>CRE08768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:636846:637145:1 gene:WBGene00045436 transcript:CRE08768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-27 description:CRE-NLP-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LHF1] MISSSSLLVLVVLLACFMAAQAQWGYGGMPYGGYGGMGGYGMGGYGGYGGYGRRMWGRPYGGYGGMGGYGWGK >CRE09194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:635337:635577:-1 gene:WBGene00045437 transcript:CRE09194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-34 description:CRE-NLP-34 protein [Source:UniProtKB/TrEMBL;Acc:E3LHF0] MISAKLFFLVVLLIACLVAVADAQWGYGYGYRPYGYGGYGGYGGWRRPYRPWGYRPYGYGWGK >CRE05557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1011288:1011767:1 gene:WBGene00045439 transcript:CRE05557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05557 MIRSILILLVALIAMSYAQYGYGGYPGMMGGYGGYPGGMMGGYGMRPYGMMGGYGGGMGMGMGMMRPGLLGMLMGK >CRE05766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1012157:1012582:-1 gene:WBGene00045440 transcript:CRE05766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnc-3 description:CRE-CNC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LZZ0] MIKSIILIFALFAVSFAQWGYGGPMMGGYGPGMMGGYGPGMMGGYGPGMMGGYGPGMMMGGPGMYGGYGMGMYRPSLLGMLIG >CRE00414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4052938:4057103:1 gene:WBGene00045442 transcript:CRE00414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00414 MSANWDMLVIEDASEEQLNRTVSFAACLLDLGNGMSQEWRFTANNHNTYITYYMRTNLNRNVIIKIADDLVLARMEYLMKIYQGYERSQGKMNWQCAINLINEYLSETALLGEFEYRNFSGTNAWSAPRPHKDGQGFREGYKRVTGQRRDLLQGNLMPGYDWLFSNKLTSSKPCTRLESVYRARQQSLLFGKQIEKPKEWQYDEWRHDFLQQQGEKTMVEEHKPSDRWLICSFIGLINRREYREFRIRYTTEMMNFDVLDMEALIDNVHAKINAREEISEYKFMWACIRAAVNINNAKYLYALFQQGPEALDRFEDENMNETLTDWSESGPIENFYRYFNKTLHYQTKIPAKPMEKIHPEVTRSAVEFINQFKENGTSDGGSSDEHFKSHALTEADIYPGESSQSGPKESDVKQVTQEGQVQKGTKVEKTSEKVTSVVSAPEDKIVVLEKDMITKKSVDTKLEAKSSFLFERKSLSYAKVVQKSLPSANGSPRSESPKQGENFSESVTKLSEGKPEIGQLENQVDEKKEENASPWKQVGPKHNGNRSNNNKKNGGNKKNNGGPSASPPKQEPKKVVLPVTDDLKRRGAPSSKDETDTKEVSKKEVSKKVPNKAPVKNDGKMNNKKPPAASKKVEEPTKPVGPKEANLKLEKEEKVSDEKDNGLLAKEDMKHDSEPCSSNAYYLLSSNQEMAEQPETPEMPEEPKKPTKTALRKARKAAALLELQKSNSLESEAVVKLVMAADDKTAVEANFDVEVQELNKKKQDEDAKKCEESEKDVELKPDDIGKIEDALKNEDASKKENELIKEGISLKKETTKQNHASKKEDASKKTNESKGEDESIKKDQSLMESSSRKELTPVEAVFSDSMAALYVENAILTAQGYWIAPYAPAGQISSNAPNDAPAQKSDDTNAETDKYIPGQKITYDYRPEVYPDAADYPFDGSTTSEQTESVKQEELREETENDTDSNQPLATYDADGEKEIELANPDQMFPERTCDPDLHDMFRRLKFIYDKQSTGGLRNFNERKKNVVKKRLKTFMNVYIFRYRIAKAMLHILEQDIVADLSGMRERSVIRNLCGYIGEKVEEDSDTLRGRNLDRLTENIRLAGVMEGETIIRGLRYIDLRLSELDTTDPLHEQYQAISNRVYDLMTKQQTLVVYLGGSVDYRGYKNHN >CRE30947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:201362:202345:-1 gene:WBGene00045445 transcript:CRE30947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lys-1 description:CRE-LYS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTP8] MLKLVALTFLFALASARPQDVDSNRIVALPEDNFKVTDIGFEKIEAKPAPAVVSVDATYAYGVDLAVPATLAQMNCLKTSRYAAVFLRAFAPTGSGIFDTTSVNNIRNAYSAGLGIEVYMTPQPLSSLQGYQQLDLLYNGLTNNGITIRSVWIQVSVTSPANWQKSATTNVNFLNSIISRAKQYGLTVGIYTNQYDWSQITGNWATLSSDVLLWYWHVLGGGVTGETPATFDDFRAFGSFKAASVKQFAQVESVCSLTVNRDVYAVGIPAAASAKKNVIVDSNKIVVGGVIGF >CRE30678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:199576:200629:1 gene:WBGene00045446 transcript:CRE30678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lys-2 description:CRE-LYS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LTP7] MVKSSIALALLFAFSSARPQDIETNTVVFGFEQTVALPAPAIVNNDASYGNAVDLSVPTSAAMMTCLKKAKYQVVFVRAFAPAGSGSFDMNAVGTIRNAYTAGLGIEVYMTPQPTSSNQGYQQLDILYNNLNNNGITVRAVWIQVTSPANWPNNPTANVNFINSIVARAKQYGLTVGIYTSQYDWSQITAQWNTLSSDVLLWYWNVLGSGASGETKATFDDFRAFGSFKKASAKQYAQVETVCQMVVNRDVYAVGIPSVAAEKTVVSESDKIVVGGFVGN >CRE30677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:183699:184740:1 gene:WBGene00045447 transcript:CRE30677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lys-3 description:CRE-LYS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LTP2] MYKSVPSISFSTSFLTMKLFVVLFCLPLIHTLPTIPPFNPWNLVQNEEVEQVIDPDYNIPEVQDAPEIPFDERDSPTHAYSVDIAYHTTMSDMECLRAKGYRSVFVRALNPIGNTYFDIEVLNTINNAYNAGLGSEVYITPNINSSRSGAEQINIVYQNLRDNGINVRSIWIQVTSPSNWNAPISQRIQFIQDMIGQAKNLGLSVGIYTSFYDWLEITGGWNTFSSDVFLWYWHVLGVGADGETIPTLEDFRSFGPWKQATVKQFAQVEKVCGMIVNRNVYATRNQEVSQVVHYSAGNDNEHKEKEQIRVGGIGF >CRE11829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1097951:1099088:1 gene:WBGene00045449 transcript:CRE11829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lys-8.1 description:CRE-LYS-8.1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4X7] MLLKSVALISVLAVLAQSVPASVKVLPFVDSSLPIKFREIIAEAAPRVPSNLASYAFGVDLSVPVSLSQLQCIKQAGYSAVFVRAYNPAGQGSFDSNSCNTIQQAYYAGLGTEIYMTPQPSSGKQGYQQLDEVYQGLTNKGITIRSIWIQVTSPTNWPSNPTNNVNFINSIVSRARQYGMTVGIYTSYYDWNQITNGWSSIGNDVLLWYWNVLGGGVNGETPANFADFRAFGCWTTPSVKQFAQVEQVCQLTVNRDVYAAGTMLKAADNVEEDGKIYAGGFIQTN >CRE11802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:899336:900451:1 gene:WBGene00045450 transcript:CRE11802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lys-8.2 description:CRE-LYS-8.2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4R4] MLLKSVVLVSALAVLAHTVPASIKKLPFVDSSLPVKFRQVIAEVAPRVPSNLASYAFGVDLSVPASLAQLQCIRQSGYAAVFVRAYNPAGQGSFDSNSCNTIQQAYTAGLGTEIYMTPQPSSSKQGYQQLDEVYQGLNLAGITIRSIWIQVTSPTNWPSNPTTNVNFINSIVSRARNCIKKGNFNIIEENKHENDFQQYGMTVGIYTSYYDWNQITNGWSSIGNDVLLWYWNVLGGGVNGETPANFADFRAFGCWTTPSVKQFAQVEQVCQLTVNRDVYAAGTMLKAADNVEEDGKIYAGGFI >CRE00159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1423509:1427158:1 gene:WBGene00045454 transcript:CRE00159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ilys-5 description:CRE-ILYS-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LDG3] MFVKSLLLLSVAIAYVSADCLHCICMRESQCKPIGCHMDVGSLSCGYYQIKIGYYEDCGQPTKKSGETTEAAWKRCADDLSCSTTCVENYYNRYKSQCNGLGMGACQIMARNHNGGPRGCHNAGTLAYWNGVHSCCGCS >CRE05919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:16972:18963:1 gene:WBGene00050982 transcript:CRE05919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-29A3 description:CRE-CYP-29A3 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ92] MPLIIPILVTLVLIIIVSFWKTIITIRKFRKYDDKIPGPPTHPILGNASIFKDKTTAEVFEIFRKMADECRSQGKSVMKFRILGKLYVWPLTGKAISKVVESTTELNKGDDYEFFLPWLGGGVLVEGFGERWRTHRKLLTPTFHFAKLEGYLEVFNSESKIMIECLEKYADSGETVDLFPFIKRCALDIICGAAMGTKVNAQSYHEHPYAKAVEGFNSLAIAHAINPLYQFEPIFWALGLKKQKEEYLHTMKTFTGDVINERKNAIDSGEIEKETSKRKMNFLDILLNSGESNVLSAEDIRQEVDTFMFAGHDTTTTSLSWACWNLAHNPDVQEKVYEELVNIFGEDPNEDVTSEKLNKLEYTERVLKESKRQISPVPAVQRKLINEMEIDGITIPSGANVAIAPLALHKNAEVFPNPDKFDPDRFLPDEIAKRNAYDYIPFSAGLRNCIGQKFAQMNEKVMLIYIMKNFRLEPMGGFSATKPMFEPVARPSNGIPVKLIRRQ >CRE05956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:19070:22616:-1 gene:WBGene00050983 transcript:CRE05956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05956 MFYDINRFHLCAFITWQLVNFAVGQYIFNIFSIHVPKWKCGDGPVTNNCTIYQNCPKNDFSFVDPVFISVAMDFDWVCGSSIYYQSFFSLIQYLGVLVGTVLFGTLSDRFGRKPIGILVILIAIFSILGTGFARSRHVLFTARFFSGLSIAGVLVVICAWIMESILSHQRMVIRGFFNWGWTRVFITAVCFLTREWRSASYVCAACLLPALLMIVFIIPESAIWLHSKGRTTEMIESEIFMARIAGVIYEPKEHKIIQSKTFMETIKTKGLFRKLSVLWIMWFFVAICGFANDLNSNTLAGNLYLNQVLFGILLVFSKILLLFVDSKYENFKRRTLHQGSQAGMILCFAILAAFLYNDYHGVGFLIVYLLGTMFIEYTWDAVYLCAIESMEIASPACRRAPLAPPTFFGPAIPTTHWERRWWTSSRASATGSCSLMARIGSLLAPFLTYANTWWPPAVYITVIVLGTINLSISYFFLQETKNVNLDEVHVDDDEEKVPMMTR >CRE05959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:30616:31491:-1 gene:WBGene00050984 transcript:CRE05959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05959 MKIFLAILTLVAGYVVAQKAKMNEPLWWNPKMLEKLDNDWIKNNHIIGVAVPLENKTVAVGEKMVLHCEIGGTPTPIIQWTYNGRLLQADGYINMEEKLLNRGLEPTETGVLASSLHVGCPKSGVFKCVGYNGYDVIESTAEITVEGQPKPCHINTDIIDSAPIITTWTESRAENFGNTATLICRSDRPSVWSWTFEDRKITNQEGRYQIKKRGDLFIHNITFTDLGAYYCTASNEFGNSTAETYLHVTRSHS >CRE05960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:31876:35498:-1 gene:WBGene00050985 transcript:CRE05960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05960 MFGFLPNFLNFNKDDGSGSSNPPPAKRARTDKENVPENDEEETIIQKTEEVQEEEDEIEAEGEEQRFLRFDPVEYVEFEDNPRIQEDDGNEEEQQVDADIPLVNDDDEDMEDIGTNEHQEGSTENSSELPKRSMRGTTVQLREQKQKEWKKQDELEKNKESARDREMRRMQEKEEDAMHVDTVQKPNFELDERTVPYNADKKIMTQLIKESVQTVDYDENATFVAAETRRRQMIEDDPMLKEHLEFKMPETIEQDVIEYKTHHVIKNLEENFENQKKKEAKRMSTQKPGSSKTNKKLSKKRIRIMQNITGNRKEVIPVYCDISRNVNEVDIPPSLTYKYSDTNVTRRAKLDELDKQIEKGKKNIKCDCHDQTPVVKCWENPSCPCYQTNLKLREEFQIGKKKDGKKVDNKKDFLEYDYKPTEFATHKPVYLKPGSFDNVIGFACSELCHCKGHCTNNVTLLVDKKLNSFELERKNKNLGFQVKSQNCIPAGTVIAEFTGEAVKSHDLVERDYAYQVVNPYRSDVVTKLLKVMGHCPEELEKSMRKAYRTCLYIDPKHIGNVARTFNTKCESNVEVLRVYQKSFSPSNIRLLVVTTENIFPGDELNLDYGSEYSKYLPGGCHCGAVSCKNNVVASFPNKEDKAYKKNMLLLKKKIAKEIQDKHSAKFNIYKSQVLDKI >CRE05962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:39854:40842:-1 gene:WBGene00050986 transcript:CRE05962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hnd-1 description:CRE-HND-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ99] MTKIKEETVSNAIEARRVKKEKSREKEFHRSRNINSAFDTLQQRIPYLRAEERKNLPKIKTLRLAMQYINHLKKLVDGNEITETESNETRPLCHTDFRTTVTNEMRLRNSYRERAHKQEMDPVTVQRILAREENRRRCVPQLDERHGTHFQPARQFGPISNNVYNFRQMNMNQFHANYMTFQLPLMVPFHPSMENIQNQQVNYMMPMADHYMMENTYPSPQ >CRE05963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:44121:46210:-1 gene:WBGene00050987 transcript:CRE05963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05963 MERVVLHRFPSKKDWSYEGMQDTRVFDASMPILRKAVKEKIFKLHPEVAVLLNQGHVVQIRDTRFVVRCGRAQWAVSCEEKRVDQIVHPLQVKHIHISKRSCHVKIFWPEDNNELPSSVLETNCTIVEEPPAANEEVQENEDQPAPPHKAATPPVMSSPQVAQSNLRDGQSTAPRMLASTPTVSFAEVVGSGAKKEKSKRRQEIEEEKTSASTSVAEPIGQITTPIGQDRAADVAETSAPVEQKAMLSQNSTEQESNGNHATPSCSAPVNVILTPKRKYEKKKPAMNMEAFNGAPAAVDSTTKKRKYEKKVKETKQNSTSPTVRDVVSARIAAFPQSVAHPTAPTAPIRHPFAAALAPTASFAPSLFYRPTTHPHPFMPTPYVQHAHHAHHAVYRAHTVPLPPPMVHQTVPVHQTVPVHQTVPVPSVIIPPQANRAAQATVQELPTVQEPIGVDSWAKTVDVIHEAHRILHEI >CRE05920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:49675:52844:1 gene:WBGene00050988 transcript:CRE05920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-6 description:CRE-SET-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MZA1] MVTGMKRSNNNENASSSRKIRKTCENADAPSTSRQSYTSSQEKKKKAEKVVIEERQRINEVVEDDELVVLEENIVMITNSGSKKFSNFFQEIELISRTWRTRAYACFPAPKIKMIKRNWNEFTAKSKNVNFSKLSSLEPHYWDIIREYRNCHPAKSVEKNSAMQKKYLRMTIDERLEFWKNQAQRIWWHAEDRLLFDESIRQKRKIEFCKDLKKYFKMNSETPSQNVERCHHLAVKFLEFNKKKFVENDKSLTPRALPEEFEMFYLSKLAYHPPRDRMRITENLSNTDLGSDGMKIPVYSDCAETDHREVHIPESLCYDYISANVIDRASEPKLEEAIGMAEQEKNKIICSCCKSENGPVNCFNNPDCRCSMVNQRLESLQGNDGRTSFTSFKPVNFRTGSAAFYRYAAFACSEECACKGRCNNNVLFTLQKNLFQLEVFRADIATGFEVRTLNYIPAGTPVMEFRGEIMNSDRLEDDLKDYSMQLTDPAEDRKALHRIIESLNFTPEYLKVLKMINKKEWHIDCKWQGNVGRHLNHSCIPNLEPFRVYQKNFTPGHVSLVLFSVKSIMPGERLTIDYGPNYKGLREGWCACGTFACRNGMDFHIFNKLNYATIRRCFEEIMQRENEDYDKLIEHWDENYYRHVELVLQLRDDRLLYKYGNDDYPLPEQLM >CRE05921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:54359:58128:1 gene:WBGene00050989 transcript:CRE05921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05921 MPFQTKYQQAVDIQRHRIAYHEAIANSWRQLNMRDQSTQNSIIKGKEKGIHVFEQNALLTRRGKSVNFLSQGSSDQVNHDWKNGHYEEYYEGGNQPVMYPSEVQLDLNHEAGLSGAPNGSQHAGFPQVGQQAVWVQQVGPQQWVSIPPGYQSIYCQQPGFYTATQPPIGYQETAPPQQQIPQDNFQQYPQHVVNPMVVCHQTQQSINEVHPAIPEKIENAVESNNSANLHIQLPFRIPDTNSQTYGTYESGYRDTPLATLLPSENAIQNTNVQSGSKSFVGLKYSFTSTVSEEKSVQSSSLTIAKNIQSSPNTPNASSEINQQDYNCPKEIEECEEKQSVPLPTTIEANEEKEEEVPDVIQETVVKQEITVIELVEFPLLRSEPICQSARQKLEQAINPLLEKPDGRQQHRTQKVTPEATQKTINVTTTVQPLPSLTSEQSPRSSYANAVKSAPKSSPPKDVQKKSAAVLPVPNKKQPRTSDKQERKEHKTIVVKKASVVYSDILPLGTNCNGKVSGATKTKSSPKKREETPFASKTTFSPSHVPQPILLKEKEEKSEKKPSSSFTPLPLLSPTPHAHDTNNSFPAEILVMEQEKQKTKNDGEEITSSSSSSQQPSTPSQSKKGGKGKKKTGKQQKKTKKRNGVEFTEEDDKMLEKIIMDKEENEKKKTPPSPIPDNENDVFETRRKQILDSTQKEGFYSFVPNEDEVEVEAAHEGSSSLPRSQKVNVEDTEDMDELMNRLDGVSTDSDTDSVFEYVMEEPSDVKEEEEKVENCVSSVFSRINALEKIRKDGPLLEYMTNNIRQVIKDLSSRPPVGKEKPVKKQDARIQKQAVKAFFKDRREATKPSRNNYSRFLTEAYNCLLLNYNSNLVPIFFDLTKCKKEELSAKEKMVFIDVFHEEGGVKDFLNAV >CRE05922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:58584:60207:1 gene:WBGene00050990 transcript:CRE05922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05922 MFGWESGSEDEEEKEQTKEEIKLLLARATELNILTESLFPLMQVPIPSWHIYHQQSPESSDTEDSESSQASTSQVKSVDLKSRRSAEVALQFENILLKLRDSNKVKVNENENDTEEQYDSEESEKEEEDMLEQCIKENDEVLQPNIEKEVDLTLKTAFSKEKREEILKIIDVVMGQKSAAKEEEDKKTLENQSIQKMLESRIMQLETASKIDTSMISSQNMGDVLLNTVKHCVRMFVADIKSVMKKGMRPKNVQNTNFAGFKALVDLHDRADGSKGIDARKRFVDDFYWKHGQTYFASENNYQRMCCFAYLFFLELCDKDKRIVIPTMEHLVQHDGSPGFQQKEREFLKVVMAQHWSVCEDLGVFKDN >CRE05965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:65557:67241:-1 gene:WBGene00050991 transcript:CRE05965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sams-1 description:CRE-SAMS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MZA5] MIFGNSIATISGFDHKTCNVLVALEQQSPEIAAGVHVNKDDDEVGAGDQGIMFGYATDETKETMPLTLMLSHKLNAELHRLRRNGELAWVRPDSKTQVTIEYETQGGVCIPIRVHTVVVSTQHSPDVTLEKLRETILSQVVKKVIPAYLLDDNTIYHINPCGTFIIGGPMGDAGVTGRKIIVDTYGGWGAHGGGAFSGKDPTKVDRSAAYAARWVATSLVKAGLAKRVLVQLSYAIGIAKPTSFFVYAFGTSPLTDEELHQVVEDSFNLSPGNIIKELDLKRPMYEKTAENGHFGHEEFPWEQAKALKISPALLEKAKGTAIPSKSAIAH >CRE05966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:75352:76021:-1 gene:WBGene00050992 transcript:CRE05966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05966 MKRMYEVDGPECSKRYCSLSPSSDTSSDTSSDTSSDSSSAGSPVNEPVKEKEFYEGKNGIIKLREKIISIVDPTPRTIHQYALAALRKPGTFFVHAAACFLRLELRVFVRSACFNTDDLKTDFWNNNK >CRE05967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:77806:79544:-1 gene:WBGene00050993 transcript:CRE05967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05967 MFASTACLVFALFYFHPAVATDTDFNNATIAVNSTETSTDNSTDLLRQENGFPHRFFIAASENATTHFKLSNKGGIIYTITIDLLYREIAWVGDHEIYLVLNISEPGAAAFLTRCNDHPISLKPNVVLQEYKLSRRCLDVDNGCLKLHVIATEGAVDGQISVTARANNRWSHLHIILAIIFFFTLFMVLFRQFSNHILRIVTSYTVMEELPQCHQ >CRE05924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:83320:84488:1 gene:WBGene00050994 transcript:CRE05924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05924 MNLVLVLLILCSSALASPIRMLSENSSLENPSNSTSLYVNSPQPLGMTDDAELEHNRKVHKFINGRAVHQQIKFELGFRTSKTYVFYLPLTIKSKVEIYINASSPVMLRIGRCGWTSVIGFKKEEIFELSPDLVQHIIKNRCLQDMDLLYVEVRSIGKTVGEFDIREKNATLFSAFHVLSVGFCVGFLLAFVLFGLHTKCKDEKEKKAGDKKEENENQENSVENLAPVASSESLGDPELPTPSNEPTSGIELKELSNSSSTSSSNSEKVTIDK >CRE05968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:85129:86075:-1 gene:WBGene00050995 transcript:CRE05968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05968 MSKRPLESFDERRLMLMLNDLDANRNVEKVLKEISKLKIPESTARNFLVIERVSQFKGTPDLDKLVDTIIRKNKNMGEANKCRKVRFAEKTIKGIVSEPVSAESIVLSPTPVTIAETVVRNSSPATIPKINKPTPMLPLKPGQGIEHSDFSPFKIVRRGTSYAQFLQAPNPPPQLNEPIPSTVPKETVQFAVPVGPAPRPTPPTPPEIPEIPISSVPAILRGRPYLRRLYQQVVAETTTLDQIKDVTVDQMLDEMNVLNGPHFLLRF >CRE05925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:90092:91050:1 gene:WBGene00050996 transcript:CRE05925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05925 MEALKEPKIEIEEEKIAGDVVVKVEEPEFRVPVNPHSAGSDSEHAKKVKKYKKKQAKKHRKEKAKKHSNRERVGNNSPAPASDSSDEDKGTSSEANSRPQPFIFAPIPLVYPQNIVMYENCYYKEAKKVFPNRLHERGENERWTAFFRRLYLEKINAPPAYETRSHKAKAAVQAGGVSQVVGEESSGERFVDKELPYMFIVDVAGPAPPPAPSGPVMVERGCSPIIDPSEEIAALSVAAREAASSQAGTSSSSGDTTNKSFQYL >CRE05969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:93055:96524:-1 gene:WBGene00050997 transcript:CRE05969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsd-1 description:CRE-LSD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MZB2] MSRVFTKAARSRQKNAEEEEIEEMEMFGLLGTDHTLKNVARCMRMPYEETTAIERSLFYELLQSRQMTEIFLKIRNTALLVWHMNRQVECTTEDVKNRLETPFKYYAIMIQNIVHYLTRHGIINFGCYHHESHLEYQIPREHQNVLVIGAGAAGIAAATQLSDLGFRPTIFEARQRIGGRVASMWHDGALLEVGCDTLRNLDTSPINTLLCQMDIETTFYMENELIYKDGHRLSEQRKHLFKTLYQNLQGSIDHVAFEKEHRSEDNGMYVSRQQMYENMFNQIERRTLVNFHNHAKESNNLTQRMEMLSKTLETLRTAALRGEEKYKEIPKEDYIQRRSVNLKLKKAMEKFDDAIEEFDALRARLNAHNQMQPSQQYMSPDDFRDFNAHLGLEEYLTGTQLETVQFSSNTRNFLPQRPAASVKQGVGSIFEELAEKCRIPILFKHTITEIDTSGKDSVRVQFETPKGSAAMTFRYVVCTLPLGVLKKTISNDQRAPIFKPPLPPNKVDAIKCLGWGLINKITMGFPDPFWRTFRDEQTQFARIPEITERSYMLSWTSPPNSNSITTYIVAHRTVHDKSENEHVDAAIKCLKEIFPDCPDQPLFSLCTRWHNDPLAFGTGTFMSLRSEPKHFEDISEAIRTKDGLKRLFFAGEHTDATEYGTIDGAWLSGVRAAAELANDYLGSGLIDGSDVQIPLADDDFEPTADHYLPKEESFLQPPPELLIPKEEPI >CRE05926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:98275:102824:1 gene:WBGene00050998 transcript:CRE05926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nfya-1 description:CRE-NFYA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MZB3] MNGRALPRGPVPRSATISSTTTKPPIPPTMFRFMSLKKANLSENIDTTPKSFPISRPSSSLSSNYVKPSLRPPIPSPIPPQVTRPPIPQLNPHPVTRPPIPQPIPSTRTLRSSANQSNHNSVNTTTTSHSPITQAAATSSSIIQTPSTSSTPVNLNPPSYSPYDEQVSSSTNIPSTSCQTTPSEHFTTAAETTTGLAFSSSVELHNNSNGPTGGPAEQSGEESGNEDDDSKPKEPTTIELPPNCKLFQYAWVVDGVPRTLLVPMPMNATEEDVKAMLPPDLDVDPSLFLCDRPRSELPTLAYPDGTTPNFEAANEEPEEEEEEEEQYEHGIRPILVNPKQYQRILRRREMRQRLEASGRLPLLRQKYLHESRHRHALNRKRGIDGRFDHTMGEGDDDDYDDEEEEEEIDPSRRPTGGPIDRRYLPVLAPIAAAPYPTNHHSYQTIHSQQTQQNSYNNHTNHTNHNNLNHQNNITNHSNQNNQNSHNSHNNIHNSNNLNNHHNHNQNNHLMGTSSMPASVMPMQSAPMAMTSPMQMQATGSMSTMPMSSPMTIQPSSNGYEHQPMEHQQNYMINQTAQYDQFQQHVQYTGEMTDGMRYPSNMDGGIDLTGAGGQSFTNL >CRE05927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:110685:123844:1 gene:WBGene00050999 transcript:CRE05927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05927 MPRSPGDDDPDDPLFLLDKERGNSKRKTRLTEKVYQEYHDSLQIKEYIRITSAHAAAQLQAQLKEKNKDSQQMGNDQEDVKPDIASLKKNGNVNQANTSPPKNPNRSKSTDNSKAVPLPDGTALPTTEEQLLKAREIKQEIGESLSLPNPKPQVERPVASSSTQRQRQPVNTSPPKNPNRSKSTDNSKAVPLPDGNALPTTEKQLLVAREIKQEIGENLSILKPRAERSVASSSTQRQRQPVVAKPPNNKTSPKSVNDFRKNSAPVIVKPPRSQNSSRPGHVLSSSLDKMLDNITIKDKVGEHLSRNKNVEPVVAKPQRNQTSSRPGHVVSLELDKLLDDATMNVEPVVARSSNYRTSANSVRNSMAPPTTQQLLEPQQIKKRIADSRSLPMSQEVASAQRHSMVKLEPVPTNPPSSNFVDNHMEIPLPDGEQTLCHLETPSTISEDDQPTTVQQLLEARMIKMEIAETHSTIGYVQPVDAFQKPNLTFSNFVDYNMAIPLPDGEQIIYHLETHNNISEDDQPTTVQQLYDARLVKEEIGESLAILQVQRHSLVNQKGTDHEILDQNIAPMAPTPIVIKNDGLPLAEQQEQKPSQEVQNHAVNDVKILNTDEAPPPVKSSNCLIRPLPAEFFVKTEPNEENNNDRRGPDSRRRSQSTAQKGSSGRVPPKTGVQRLEPPPKPPPIPSIPKSEFQRPPGQGQISQASSRRQSDPQERPKSSTVERSRLSAPSTDSRSVSATDRRSSMLTPQRPAQPIPNLEIPTIKKEQLSQRNDQGLKPSGQSNSSETPARTEGQSATNNSTAPNGSQSIPQSVAQQLLKPSEVVEGKAESVLASGVPTALCGINAPTQSTNVPRKTRWSSPDKKKSTEEVGKQPLTSSSNVSRTETVTSPAQAKPFGEKLFGVKVDVDTKTLSPEKEREDSDRNFAREKSTAQEKSQPVPQSVLIQSLKPSEVVKDKAEPVPADLCGNPPTQATAVSRKTRWSTPAEPSKVFRYEIDTPPAQAKPFGGKMFGIDVGVDPKRFFYEKVEEDSEENFVQAAPVSKERSSSIRIVSPTKRNSQTAFKDPVEKLTENERKGILSPPIAPVQHPQVDTQKRTTTSMKAGQSSRKSVTFEDEIKSYSDAKKARSGSEVPTAQVTGVSRNADVKTKSELDARRARASSEVPTAQFTSAAREAHDKTKSYSDARRARASSVAPTNQVTAASRETDDKTKSYSDAKRARESSELPTAQVTAPSTKADDKTKSYLYARRSRASSVAPTDQVTAASRVTDDKTKSYWDARRARADSVAPTAQTAGVSREVDNTIKSYSDAKRARASSVAPTDQVTGVSRKADDKTKAYLDAKRSRASSEVPTAQVTATSRDADDKIKSYSDAKRARAGSEVPTAAAASKEAFTSSIIGNVQSPEKTELSEKNTEGLSSKKTDSDSESKTSTSPSEDDSRFKIPVVPARVLGNKAKDMFNYEEEMKKVASKEAEADDEFVIDISCYGSIVLGPLPGVETMEAVDNAQKISSPTRTAMEDADLADEQNLPRGKGPRTPPLPAEIPENMETFDNKFMSSKPNERKRSHEERSDVDQAAKKFKFSDEAIAAAEWAEFVQLFLDILWWFGGYDEEIERKYISDLPSIVEINCLGLMKKEDYVRELMKGMKQTLKIFELLRS >CRE05973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:133479:134335:-1 gene:WBGene00051000 transcript:CRE05973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05973 MQSSAAVADAAIAATTVTAAKSGPFLIVVCGGSASGKTMFAHLLKTRLNSERVFILSMDHFYRNFTEEEKKEILKEEFNFDSPDALDIDCAHDLIVKMKSGEAVNVPSYSFTKHAREAHTTLVPSMDIIIFEGILSLHDDRIVDMADKKVFVSADEDTRLNRRLTRDARERGRTEESTRAQHEKFVEPAYRAYIAPCANKLGTNGLLVENNEGQNLEPHVESLAKEIREEMKWRGVSL >CRE05974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:135895:137262:-1 gene:WBGene00051001 transcript:CRE05974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05974 MNRNQNNRIVRVQRRSKEEQKKRERERKRNDKRKDQERLFRKNITYLEPDELRKSFGEFDEDDALTILERKLPLHQTMVDLMFTQNVKPIQLQNLLKVIQFLTENLGDGFLNVRDRGQDTVLHRAAYMDVPGLVPYLVEHGASLIEENRRGFTPLQAAVTKKQEGNFKYLLEKGSTFFPVISNGMHEEEAFQKAVLRVPALSDIAYRIHWQMGMAVNSTFNSLVRVDQFANRARHSAVMTVPLNFKEATETKIKFRLHSSHVHEEHCGIEHVLVLFGMTYQRGAFAVRREAVPFTRISMNGKWLLDYSIKDRTTYPGFFFCIRPKEVNELYIRKNVGELGSDMIAMTLFQYEANRRSCNRNGCFVAQNMTTQPGHREDWEVGFQEPVVE >CRE05976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:149074:150793:-1 gene:WBGene00051002 transcript:CRE05976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05976 MSMKEEVPRDHHNIASLIYVDRNIRCHSTNDDDRLNKDVKFGVLRIFWGEMELPFTEEIELRAVKRSVEMKTFMSWEIRLGSSDDQKVFVSIPAHFIWRMLRTKREELKLYPATFMFQLAQEGMEHLKTSIPDLYESVKKYHKERSKPLPELLFVVLDPANIQFFELGLLLYRYPYEALIKQFQKVFEKHWADHNKWCMTRYPDPRVVYGPIEEVSGEDFSQLTKRFGLRNYDTKESADGIRYNIRTYLPRKEAPKKYKKGWHMKKPINETNDGGADKREEDAEDDQEEFVENREMSFDKKIIYPENLNDFFEEMRKKREMQKKKNRLLKELEDRIVKEKKPKIVKEKKEDEPIEEPKEDPEEEDEDEEVELDVELEKKEDEEEEEIEEEDESSFETDAPSTSTRPARSRQTRRRAPGSAAPSPSLSSENRPRRQRNEPTEIYKATPIRTYKRR >CRE05930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:160481:162140:1 gene:WBGene00051003 transcript:CRE05930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05930 MAPTGNEIFTKKQWPHKISIGICAMQRKATSKPMQAIMEKLIVFFGHLVNFFIFPEQVILKEPIENWPHCHCLISIHSTEFPLQKVSFKSTQIIEMRLFQAIAYVKLQNPYVINNLDRQFDMLDRRTVLRILSENGIEHPRHGCVTRGESNEPDTEFVEHPDHIEINGEVFKKPFVEKPINAEDHNVYIYYPSSVGGGSRRLFRKKNNQSSCYYPKSEVRREGSYIYEEFIPADGTDVKVYAVGPSYAHAEARKAPGVDGQVERDSDGKEVRYPVILSDEEKQIAKKIVLAFGQTICGFDLLRADGKSYVCDVNGFSFVKTSEKYYEDTANILGNQIVRHFAKTNGWQIPTDMPQPPILDSGLGDDTPTITTSSGTSAELSCIVEKQSESR >CRE05931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:166926:167852:1 gene:WBGene00051004 transcript:CRE05931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05931 MPFDSSSRPSQKVISFRRHSAPSSLKNGYRMNQEEPRISQRERRRIETMSGVQLLKYVALELGFPEFEKIMPSVEYVFERLCYTQQIGVPNPRNSYFFHFATMYIKSRQPLLMPWEEDLKLFKSTAFVKNNHEEWKKTHPQPILIDMMPNDPEKKKKEKKSTKRAISSDSETDGLTEIKSNSASPTDNLEFKRQCIMNKLKNVRETHLNKRTPSNLHQVLQQFKSKMY >CRE05932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:171870:172814:1 gene:WBGene00051005 transcript:CRE05932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05932 MMSNRTPSLDEKRQNDYKEKLSRKVSTFMEFSEDDPEVDDVIRMIRRNLPLSDPLPQALYNKLHSMEGNALLKIAVLEKEISPYVEYFCALKCTYHKVPEPTNGTTSFGSFATYYLDCITRTPTDWENKLRTLKATLNTKSSAEYRERTLSKTKVCTIPVKQSTTEMKRNPQLAAVAAQTLGVQIVGAPVLSDTPKNPVTPKQTGSKVPKVKKDPKQPAKPKPKKRAPMMAKCLKMCRPRAFLLE >CRE05978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:175582:177593:-1 gene:WBGene00051006 transcript:CRE05978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05978 MNQNSMIHDPSLRQYQLERSRGNIVNMPANVFSQPPPFLPQPIQQPVYPQPRYQIWGLFDEISEKNVSNFNLDNCNSWSVGFPLSATNNSPTTDQQIYELLQQNPTLPLNASPSKVIFVYDVPPGHYVIQDSQLRSCYIRNKFQNQNQNQPVTHLSNKRVVRIDRETQTESGEAEHAKGVADNREILHKAIRESATKAPTITSNLSEPDKDEIHSAVCKVNNWFQMTEEIVSNGSRSRSEEPLSDERSITPNPTDNLPMEHQTSQQPHQLHGSQSSGNFKKPAVRIVRHSVVESKNEAKEAKEADVVGDGDDNETGRTATKRDSEKGDDTNNVSLVGELSVAEKCSESDATETDINTHSHDDVNESQEGASIPKEPNHPEGKSSD >CRE05979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:178734:180480:-1 gene:WBGene00051007 transcript:CRE05979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05979 MGGADGAPNTSLAVTTNDRLYWRHTPIVSQCPNGVPNPVVVIQKEFLTETPRPHISKSQFVRKIFEHDWNHLVEVSIPGYEFYGLKEYVKAYPKQLDTFNFEFLIHGGKLLATRRMYVDRVTGARFSTGPVFKNCNIDVSMFSGLLKLAWSEMNKVGKNEEKEPNGFEIFGESEKASGLSNMTISKPVGIEMSVNKPGGYSPMNSEFPGLEEGEADKAPKVDSVGNQQQSLKNYRTNQGCYKNFNNRQFNLWTQHYKQFVPHFRKFGQHHYNLNNQIDRVLQDDAGSFRKGNRRSFKKDDGAGMPSQSTITYQSILHVEVPLAPTRTLESTVVSREGVYNNFQTTHGYRQWKRASHAPNNQVDSNSTGQ >CRE05980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:181847:184520:-1 gene:WBGene00051008 transcript:CRE05980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05980 MQDSTPRTVKAAQRKTLNDDWLKRVLRNRLSQLSAQELCSVDRPSSSSVSPATSASSSSGEASPVLEDMSNAVESSVASKPSEDVTSGKPRSSKEALEPEEPSNDGHGTGGSVGKASEDEDAEKPGSSQSTEELSNDGQEELFNDGQATEVIEPAPPAINLETVRYATVSSETNGAADKESVVVQAAEEMGQFASSSNVAPVDARPTPPAESAQPVHNEVVDVIEGPAEVIEYHDGPKTRSRSRMEAARLERNQVSSAPQGNNYEGRQEQDPPEPIQEPARYQVVLGDVTRYFAESGRRILEEGWKSFNLPSHILNQDELLEERLEEIQEEDDGPQPDAEQYQKSQPELRRSQRKRRKRTLSLPLEEPKRPRENCEFFQFIF >CRE05981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:187399:188624:-1 gene:WBGene00051009 transcript:CRE05981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05981 MPVLLLLMVFCIGSTNSLPRPTGAEFLKNLSFEFKVNEIADFSPQLEDLLRNAEYYHKNLSVIQSCDQPVEFDFGRCNKTFFRHKHGDTNLTLYFDEQGILFLKNTLESPCFEQDASKDYLNEYFTLMKTPIENWYYYQRAHSPPELLSSRFSSTTFIIMGIFVGAVVLCFFSMLIGKCLTSDGLGEDEKDADVHELENLDEPAHHSIGFIDEDVVTRDVEPAEPVYSFPYVLPTEPVYSYPYQNYPRPALPNGYRLIQNCQSTDGSCDCDESGNGIIVTRF >CRE05982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:197314:198536:-1 gene:WBGene00051010 transcript:CRE05982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05982 MTPKTKRNCRVCFSKVNEYREFGNTDYDSTSSSSGSDMEKKILDTAFIQQPERSSSAESVASYSIDSNENSGLCLDVAFLGKPTGSKKRSKKSASKRSHANDSMLAHDGRAEPWTVSPRAKFPRMSSPKLWATAVRLLKMRAEKRWLAKASERVHRKSTMSQGISWKKPTALKVIRQDWRFPDEMESEGDNPADNEMAPTSPTKKKKRLLTRRERFIKEVAQRSLKEKKRVEELRRLQFKEKLSQFIEKVKQGKVVKSIFGQDNEEADENKKFLDFAVKRSSFVKENKADPKKVDFKVRAGINRRLKSHTIGGEVRLAYEIVLENYDSALGLHFTYLFLHKKDKRFLELEKMFLLNY >CRE05983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:204268:208709:-1 gene:WBGene00051011 transcript:CRE05983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05983 MSETTVDEDKDKELVRFRRWIAMTPDGKWREYRGTCDTVSVGDGHDLVDLVDKMMWARIQHFSQMLRGWRTMHTNLTPSNAGRIFSEMMASIESLEKFERDNFSDINSWTAPKDRSAFPRYLSDLPVLDGTTEPQPALSEDELKLKEIFGDFVPKILKKVLEELRQESQQNGQKLLALGGPNESTIQNKTTQTVTDVAPVLPNPPSHQPKRTCFGVGVSFDENGQYRITGMNTVFPELAPVTETPVAPQNVPVSSLAVSRQGPSLKDQLFAVLVAPNEHGGVTSVGYTPVYLPPITSENIFIPMSAVEAQPDVPNRKKRNRHPRGTPGALRPQPVTPSSSTPISGQSDSGFSTTSDQCNTSSRSSSPAVTPSETETPKAEVPIKSDMTTEESFENKNDFVNVEAVETTSCSNATVPPAIKRLIPKEDEKQLPVLAIVPLASYASIVAKQTNSIPTLPPDRATTSSVTSVSSLHVVMEDNLVEEGSDQHETSMTLSEESDVENANLKVDTSDDEWVVVKTKNAIPPIQPEPVLVETPILSAAPQVVQQEGPSSLSEIANSWDDFEVDEEKEREMERRRLKKQKQRAARSQRQKEMRRLRVEEAINSESSSSSSAEPVENEDERVQEATSEPRVKATVSSIMDYIIRDAVAEFQNTQAIEKAEMERRAAENAPADPYSTGKYSDGDIIKEEEEDERNYRTLEPKHLYFKEATNHAKLAFRILWFDNKKKLEKLRITDNQRKDIQSRIDKIRIMRDRVDMAGRFVVYRTSRPKITPVMEENIINVANNLVDLERHNIDSDMEMARSMRDIIGNLSKKFTYKNYVYSILCLPLDLIPKLRSGDSRIRAYKHMEILLRLYMKRVEECYEDLCDLYEFNIVKVKANRNSRT >CRE05935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:212737:214427:1 gene:WBGene00051012 transcript:CRE05935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05935 MAAPNNAPKRMNTIPFSKKMARPSDEYVSVLEPIEELTEPCLYRIKIKVSLISRFLAKLTTKQRLKNICDSLHELSMTGNAKDCIHFDTHAIKRYTMNHLTSRIHNLQNENDYFIDMDTLFANANSIDIGALFVIDQFEGNRRAFRRDYHHPVEITRNCVSRFIAQRIDQLSRCPTPSPLYLAYLTAQLVYHDILGPDFMFLRTLVRSDEFRNYEFQTLFGSHCVSFSQ >CRE05936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:215994:217384:1 gene:WBGene00051013 transcript:CRE05936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05936 MNEDQQLPPAGYVRNEDLFVITYIYVIFGATTLFMNIPLALYLLKTTSRNQKELIVIIALSLSDTICGGQFLAMGIYRFLVWFNEVFYISQAACNRQVIIASYQICIQMDNFFSLAIALDRLFAVLFPVRYNKCGPGYTVFLISAPIAASLIGYSAHLLALTFLPPKFVDEICFNFLVVLPQYRIYLSFQRMLCVFIPTLIYFFIYIRMKLKFQRYGNSNAVLSKGMRHLTKTVAYITLASFFLVLVPEVWWYFGIFGGMDASFYFMFILLKVCTLQQPTNLSFSISQKIVSFFIHTIRHRELMKHVEKLLPRKLTNFIHFDAQSHSAVSKVKVMREFSHPVTTSMR >CRE05937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:226300:228356:1 gene:WBGene00051014 transcript:CRE05937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05937 MSSEPDEEYDPVEVEIMRRLEPLPGCRYMYEGLRKTETNPNFLYATGSVKMLEYKKTSEFNLLGTLEGDIYMSDIRTLGRDLFYEEQIIYTIRDDMVRYGMAEILNWQKSMNQYETICFDLNTCGIHLTNSTANNFLHLKISNIPNDFYFQLIENFNQLVSKIQPEDIFPKNYILFPAHYDGHFYCTLIHNALGAMKMEVPYRDGRCTMVHIGTNNSMNNYENVVVPNILKLLNLFTELYASEEGYDRLLFEKIDVVNKFLPPMQKAERPIEFLHMFKKILSPEFSIRNWNEAVDSIGVDMEKTLAEMAKIRENMSLDICAEIREGTDEVAKHLYEKRLAIIRYEAIHGPPAGVSNEQKDSGNQEQPNE >CRE05938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:232399:234172:1 gene:WBGene00051015 transcript:CRE05938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05938 MPLDRSYTDVEKEIVRRLSSKPDLAGLYNTVRKFENSQSLLHAVAEFVMHEYQCASGKNIAQPYEGMLTISDIRCMRQDFEEGLNMSQQMAERILLFRLVEVYERQIETFKPKTLCFKLNTCAMNETSSSPFSKVESQFEEIFDFEETEDIFTKKYLLFPNYYERYGYVTLVINPGAAIKKKNKKKSRNNSKECVMVHIGNRDFMMRYMERVVPRIEKLLELFLGLHAEEKGYLELDKSRITNLLRYTDTLHRNDRPYQMIHLMEKMLETHHPLSDFDNILNEIKRNPRTAFQIDAIRFQMLSSIEMAIKEGDDEPRKHLLGKAQRAGEFYRQRRAQLEKEEAIAAGVPQTDSEGRPLSKRFKPNEVFTIED >CRE05939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:239010:241093:1 gene:WBGene00051016 transcript:CRE05939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05939 MPIDRSYTDVEKEIIKRLAGTPGLARLYDAVRKDENNPSLLHAVAEFVMHDYQSASGTNIGQPYEGILTISDIRCMHQDFEEGLNMSQQMAERLLLFRLVEVYERQIETYKAETLCFKLNTCSITETNDPRFAKVETEFEENFDFEETEDIFTKKYLIFPNFLDLFGYVTLVINPGAALKQKNGKYSQDKCIMVHIGNEDQMYRYLDRVIPRIEKLLDLFLGLHADEKGYLGMDKSQIKQEIRYFDTLHRTDRPYQVIHLLEKFLVTEKPLNDFEAILEEIKKNPRTLHQIDGIRIEMLTTVELAIREGKDEPRKHLLETVRRAGEISRQKRIQREREEAIAAERRQLKRFRPDENDM >CRE05940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:245872:248330:1 gene:WBGene00051017 transcript:CRE05940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05940 MPIDRSYTDVEKEIIKRLAGTPGLARLYDAVRKDENNPSLLHAVAEFVMHDYQSASGTNIAQPYEGILTISDIRCMHQDFEEGLNMSQQMAERLLLFRLVEVYERQIETYKSETLCFKLNTCSITETNDPRFAKVEKEFEENFDYEETEDIFTKKYLLFPNFLDLFGYFTLLINPGAALKQKNGKDSQDKCIMVHIGNEDQMYRYLDRVIPRIEKLLELCLGLHADEKGYLGMDKSQIKQEIRYIDTLHRTDRPYQMIHLLEKMLITEKPLKDFDAILEQIKNNPRALLQIDGIRIEMLTTVEMAIREGEDEPRKHLLETVRRAGEISRQKRIQREREEAIAAERRQLKRFRPDEGDSDILRM >CRE05985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:249438:250722:-1 gene:WBGene00051018 transcript:CRE05985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05985 MDVKPFPLFKLPDVPRSLVFKQFEIHELVTLSMNFRRVRRFATAYKSHEAELTWEFNDYYRRSRMTAGRYTRPWNTYMSVFLHFPKMKKKKKNVKYHFLSGTLRSIEPIKVVNVGGEWPLPIEAYDKKYEYTILGRNDFHKADPRRIDMKFMEKLSILFEDIFRARKYNVRLLQMREFNLAGSFIWKTLKVFENITISDQKISYKTTRFFLEELKVRHLILDVETTCSRNKPLTLKYPQIDISNCNYATIEDVMNCSSEKLSIEFKSVVSMDQLVEVVNVWISATKLNGMKKINLEWPERKNQSNATNEFFKKMEGVGEKIPNETNKITVKRATDGEIATVEFNGCFFSMTLQKADDEEDEEYEEYEDDEEDEEDEDDDEDEEDEEEEED >CRE05941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:253460:257420:1 gene:WBGene00051019 transcript:CRE05941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05941 MRSEIHNKYCNMTVHNGSNIMDLCILFTSKMADLSEWTDEQKEIHRRLVNTPSLAGLYSISRNQRKSLSLLSSIKNLVLREVEYENGSNIAGPMKKHITVGDFRLLSHDFEGGFGTTPEMFQRLLEYRLVELYRDQVDVNKDETLCFNLNTCGINQRCKAPYSDIEAGFEEVFNFVETPDIFTKKYLIFPTLDGTAAYCTLVINPIGAIKQKEENEKCLMVHIGFDKKRNLTAYKNRVAPQIEKLMELFMGLYSEEKGYLELQTSQIFKDVVRANDPAHRNNRPYQLIHLVDKLLNSYPISDYNHIIEQVQKDYTNGEIVKKTREDFMISIRAQITFGEDKAALFLMRQAEQTAYDFRNSTAAQKRTHHKETAIENEFDKLRRRRIEMIREDRTNITDPLRLEVF >CRE05943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:263199:263954:1 gene:WBGene00051020 transcript:CRE05943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05943 MSYVWCTKEEIDICSFAQSLMKNGSRDFMDQYLVGKISGYKVARMIHHNNIFHGANLGKVMIRFCEVMDERKEWQTLEQRIRFIKEVRMHLDEIRRTSAKIDVISRLSIYPAVHTFGFGGFHDELIKKLSFNRDYAYHPLNLENQPLNVNQFTVKQRNLAKEVLPCLTISCGSYNQQNANNIARVVPRPTEGLIPSTCSQTSVNKH >CRE05944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:264370:265347:1 gene:WBGene00051021 transcript:CRE05944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05944 MNTQQNPDFNNQFNYQEIEKENICRFAEVLRTPVNPIYEKSNLRLYLSGQLDGKKLGMMMYHNKVHHQCTTVDQCSQLVLLLEARKSCNQLYDKAACITDVLNVVEKLEAVDKRLGITPQPFCINRWEDLDQLETQNEVFLTPNGIHTASRQTEKFEAPTGVLIRKVFKRKNNNI >CRE05987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:265440:266053:-1 gene:WBGene00051022 transcript:CRE05987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05987 MFVLLTLALVCAAAASSGYGAPTYNQPAPTYRGSAPSYGSYGSYSSGSSSSSEEHHRRRCKKLKDLELNTDVKPYGRDAKFSSLERKGKHYTKISCPNDGKKYVLLGDKTGTKVTYNGMSNDNSMILAEGVNIDLVAKCNGKRTYVKLANGDRVRIRKVACVQLDGAITEF >CRE05945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:266327:267063:1 gene:WBGene00051023 transcript:CRE05945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05945 MAFILLSTAFIAMVAANGYGPAADNTYGAAPAPYPSSGNAVYVDNDDYSNESHDRSKKSFRKLKDLKVTGVFDADIRYYKHDGEYYAEISCTQTASKYIWILADSDTTIPTFGLAGTVALAGGVNVQYVAKYKNHGKWTGHDFEKDDKHKFRRVGCYTGTLTSEVTEGPMDT >CRE05988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:267880:268521:-1 gene:WBGene00051024 transcript:CRE05988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05988 MLIFLSSALLCIVAASSSYDDSDEYQPSRYYKEEGSPSVEDGYYGSDKPQNQYETNGNNGGYGVPEYGKCQMIKQLKVPGYRTARAKFQNLEKDGNTYVVISCPNTGKSYALVAKKEGADTFFTFGPGVVLQDTVLLSSGYNLDFSARCNEKNTFARAFNGRKVSIRRVACVELAQPNTINGY >CRE05946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:268715:271542:1 gene:WBGene00051025 transcript:CRE05946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05946 MKLLIFLTICVTVSSHEALPYEAQSVEGDFSEKNGYPQPQPPCLTCLPGHPSMPGQANPRLPSLPRPYPSNPAGPANAGVPPMPPGIPGNPGIQSNPEPQTYSVPEMNQTVYQPQLEHIPSQPVPGSQGNRRPDTYSVPEMPLLPYQPQLEIIPSLTVPVYPAHPYYIMPDKAQLNPSLPVASGTQHIRTTQWPVQAPAQPQNPQNPQNPQNQAPNLPAPEPYQGGSFQQGQYSGSGPSYPEYNPSYGYPTNTTDFRKLRTLHGNKIIKAKLRYFKNDNINFVVISCPKNPTPGAYTWILADSKHTSPSFGVPQTVALAGGINIEYIAKLGEHGKWEGKDLSEDEKHRFRRVGCIHGATQSFVKL >CRE05989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:272708:274001:-1 gene:WBGene00051026 transcript:CRE05989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05989 MTAAIEISGFYSSIKTQEPYFTWLRRYMEITSLLNIGFVLLALYLIRTEGKNLKPEYKQVLLCNLLLPAVFSFYMGFVYQPYIVFPYHLLLTVGFFRFGPFVTAHLFNICCTVAILCSMGFIYSFWFNYITICFRISRQSSTKSNLIGLCFGVVFTVINFILMTIGVDENQYHDRDNIYEGDDRLRYFFDEYSIAIVRVGDKWSLKVLSVEAFIGIAIVIIVIPIITFKSYTTLNRIHNMISKTTLIQLKNALAISLWYLLQFGLLVGIPAATAMAFLLMHYAPSESFPLALVVLAPTQLTCPVICALYLIVIKPLRYGFLKLVGLQRYAPKPVAKFSTQSQLSTFTSHAPIRHTNRNAYGSIRLN >CRE05947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:283810:285078:1 gene:WBGene00051027 transcript:CRE05947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05947 MLSEIIGVEKVLERLTLKRAIIDKSNLWVPMFNRIETEAKQNKERSCCDLFFNNEPVEGNMTPIFIAPVGARAEKEMTGSLISYPQIRQNYILRGSVFVEKAHHFWQMIWEKESLFIVMLTSPVNQAQIYFPANEKERLVFGPFAITCTRRDATRGYITRVLTVKKQDNKVLHTVTHIQYVSWGFYTPVPERFGQFLDHLKHHNALWNASISRYSPTCGMHAPVIQSNSGLGRTGCLVIINVISRMLENHVKHKYNIEKMVLGLKNGLPNGIESIAEYKFIVNQVIWETEKLKPEYVLGRQHLHMVSGMVHYNYSSRNVEKLMCSKKWMPVGASLDYECFRKAVNVEPEYENFFSSENYLTLY >CRE05948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:287663:288475:1 gene:WBGene00051028 transcript:CRE05948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05948 MSNNLEVRLHPNGHPFIYIPQLPPGQQSIPPMPPVPQLPQASPLTQLAPIPQQQVPLPPNPFDQILNSTLFPFPPPLPVGMGTASSVMDVLNGQFPFFPPLFNPFLQISPPFLTFPTCPPTPVPLMSLRIPTPPKLRAHPYLKPKEREDTNIRRPRQRNNSHRANYRTDKTNVQVVEGRTANPFYSPPRQYSRQEIDRSQKQNYPVSNNN >CRE05949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:289515:290674:1 gene:WBGene00051029 transcript:CRE05949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05949 MKPHTGNMKVGFVLLVLLPYIATYRIKGGVTESLELDSREKLCKNSLRDFEVCLEPFFDTHEAFTRDYWKDYSKKEIFLKELNNAKCLNSWRCSAEIFETEVRSKLKDMLRDIYEGADICIFEGIMSGDDVTSCLSNVDEDTDEDSNSEESTSTDVPSTTTQSSTVAENTESTTEIPEVSSTTVEDTSTTETTNTVADSITVNDESSTLFESESTTDSTSTTEDYETDWIKSSEETTTEAYWDKRIKILDEASEPQDEEKREEILGKEIEILDKEIRILGQKVGDHSDESADSFEEIYIPRNSSGGKMIYWTTTMVFAVIFLFLFFKF >CRE05951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:292176:292947:1 gene:WBGene00051030 transcript:CRE05951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05951 MIVTFPVTPGMIQLHLTIVALFPNLGILFPPNVNLINNLIIESGHNNFSVECGENITLANTCIENFNAKWNFTDFDSFTQSSVKEVDEYLGELAESDCLAYSDCQNFNFARQLIVNSIRIFNDLKGIECLQNNGTDLEKSTHNCLKRYTFDLSSSDILENCTNFAIETSGCDDSEKETFQRARDESKRISAEYRLPSVFSSGVTNYISLMSCIMFLMFHMVMF >CRE05952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:293621:294394:1 gene:WBGene00051031 transcript:CRE05952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05952 MIKSNSLLLFLYYVFLTVTADHLDDGFMKFNVSKESSETCNEEFEGIKTCLTNVAVKYNNGSEDTTILMDKQIMLSLMEDFENASCFNSCSNCTNVKLGKHIYDALYFIGEKIYRHGFECIRKEYQKLVVTGKHCINMIVVSGEVKDRSFNAFLPALKKVGECVVSRLNCSFIEKSALVAATYRTVDLVDGFIHPEKMLDNIGVARFDISMEEVSSILSFFTSFF >CRE05991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:294659:295844:-1 gene:WBGene00051032 transcript:CRE05991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05991 MSIISLSFLVLSSIQIVNCAYQEEPYHTLTVPFGTENGGQTTEQVFMEFHCHTVLYDRNMAMKITLNSSEEVFMAMDKCGMRIFETTEDTDNATFILGKEWVETMLTGIDKTCDVINEYAANFTFDCKGPCNGTITFSLLEINPDDLKANYEKSNSATEAIPFETLAEEVPAIANAEMGVLIEAVFLNPSLRLEFDIEYSTNYIMTLRKCNLEFLKLTGDGNPKKHVLTWEEIKLIRMVKLTECPENRQDTVKLAMTSVHPGYGTVTFSMTRNKVNLLHHPANDYTSMILARGVPKHNSTRKAVPKTKMSNTVGILLVPLVIFIVSYFTN >CRE05953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:299160:300519:1 gene:WBGene00051033 transcript:CRE05953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-7.1 description:CRE-TAF-7.1 protein [Source:UniProtKB/TrEMBL;Acc:E3MZG2] MTSTNPPISVNLRVAKHHDEADDWEEHLILRVPANVVNRMEKAVNDAPDAEELGINIHDDNRTVQIRLGNQILPAKILDLPTVNEVHKTLDKMTLYKVTNISQIIMCDEAMPISKKEKKPSQKEGSENMEPKIEEQQESGEGASTVVVKTARQLAKEKVKEFHFPHGITPPMKNAKKRRFRKKKQKKTMAVEEIERELKRLLRSDLEAQSVRWEIVADDGTNQDDDDDVEVYGGDDGSDLEDKDDDKDIFLSDDEDTL >CRE05992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:300866:308379:-1 gene:WBGene00051035 transcript:CRE05992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-241 description:CRE-TAG-241 protein [Source:UniProtKB/TrEMBL;Acc:E3MZG3] MGNQITCAPADDHDNNSIHSYFSVTSTNGNLTDRSCRAIENGNGKTVKIYDTEALSVSSYLSATSKGMSSTKSCESVNDTKSMVTAFSGSICEEERAITPTENETEYIQTLKEEISQLRDINHSLKEEKAQWALRQRLQNAEQSESSLINMLEERLNQAENQIQDYRDENTVLKCELRELQETTFATSDEKLREKIRTTEGLCDELMEENEQLKAEVKDLQQEIEEMQDQYREEEIEEFRELQRELELNAKNCRVLQFKLRKTERCRDQAEAEKMHSEKKLDEYMNSCPEAVAASIKSDSAKVKELEYEIRVAKEVSVRLHNELEQTEEKRCKLEDEVFYLKEKVREIQTQNKWREARNKTDSAVKRLSAEIAASVPNIPENEMSKELRDALEREIDLREQMRFSEEDLKRTQIRLQDIENENEELLKKLSKSNKLRPPMIRSASDGNAHLQLELAESQVQHLNTKIERLEKTNDHLNKKIVELETDCKRGGVTLAHSKAGEFKLTPEMEKDMSKMIGTISELERKNLELTTQVKQLESKTTPKPNFVVPSGTTTTELRKEQEKRKALEAQVNELKTTVFKSDNQKVISLATKIEQLNGQLQMVNERCNTLHKKQVKDGEIQYSDELKRRIEDLEKQLSEKLATDAVSELQGKVPTIDEIEQCCEVLAAVETQTGRLCKQFEKIDHAQKDERRRSLSKDSGAAIIAELANVMQEMKHVHQKLDNMKNVTPNSGLSVKRVPSKENLLEVKCSKCDQLQATIDEQTNEISFYKKKNKDLTNQVLQTEDRWTIEIEKQRQIFEKEIKTLGIRIADAKRQNDELSELLESKSTTLIEKTRSLEEQEERNKRLKSETDLLKKDMQEMETDKKTVKEFEIKYKKLESIFETEREKMNGERNRSKNELAAMKKMKDDAEEQLKKLTEDQKKNEASWKSEKTKLEKEIVMLKKQLPDEHEMKESTSTPNNSFAGESSPLRRQDSEKMLVLELKKQVSILEKRTADANSSLEDVRIQNAELKDQLSKVQTSWDKDKEAFQHKTRKSEKLRTVEIDAMQQKFSSRMRIMEDTNKALHSQLVLARRERDTNKDALANYEKQVADERNNLKVKEKTASESTEKVKELQNRLTAKEEELERLNTDLRLTKEARKADQILWNIDRARGRNEKIDNTDSVETIRKQYRDCETFYSKEMDRLNDKITEITAEKNRQKNEAQKTIRVLGEQIRVLEIEQKNLSQNKDSQQVVKEMIESERERLQQIVHLNELQKLTRKYRLSSIIDQLAYVSEATRKTSRENEPDGIRYIINQLTVLRDDEAANNLNPDERAGSVLGEKASNGYAPSISECGEGTYDNISQSSFSIRSVNSAPLRHAYRHANLPIPTTTASVSHTISETEPYYSKPEKLNIVSSYTTKRSFSTESSSGFDKYGRPSRRGLFYEPSSSNNLSKCHPHTTLSINLKILDVPGRKTSNEEYSMSRAASFDNRSQYSEDEVTEDGRGPRPNSTGANILYRVRREELARGGQPSVKLMAKAFEAIDEPRTDKRGFFGIRKSRSVETTQNGKTSKFEEAANTALMHSLGQVEEGVSSNYATLPRGGRNPFKNMGSRLVERVRRSLSRSSRQSRDSDREDEIEVQVYKKPEKPISSPKKTKKKSAESKARKNSSNILS >CRE05954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:320326:324136:1 gene:WBGene00051036 transcript:CRE05954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05954 MERCKRVAKAYLRKTTVIIDGSEDEFDGKTLGFNHFDNPTRDDHTKEIRAKVADGVILKMDYQGNIKGMARGPAPIICQGWKEPRNNCISDRLIRLHGKLNHGVTEDEKAYKVFDMRKFKHSLERELHDGTPDARSLLLKTCMRIALVKDGADMNRTPCWFAIVNLVALDMIKEKIPLIKSLINGSSIPSINSPPPNHASDPGIDAQYLTQIIAAAVTQANKGTPTPVNNNNQNLTISPEQLAQIASTISIAQTNTLRTADLAKKERPKKPYHCSTSSLDSSGEDSSGRRSESSIAIKSRAKRWEQVQKINEREKYTESPDLKILDKPRAGGRAHRPSLQDVIFTRSHSITSDYDSNKEHFDSGSWSSTQSRYKGGRSTSSSVVSEHELAALARDELKETSEIREANAVSEDDEPPTNPPSPAPNVPTLPRKDYNPSPPPEHAITPRNFTAIPTVEQFVPTLEGAPVWKPRSVIVEQEEPSHQVSVSSPPPPLPKTPQPNNGLPNASMPYNLNNNAGSFTRAAARRWKFTSGEPRSSPILHATDQTRSSANSVRFSSNERAGCGLRATTHNQPQFIPACDISPTPLAHSTTLLPNRTTASAATAAADATLRKESIHSTSGLLRTKCHAVSGGHTTNSSSTSSHTNSHTNETSSNHNNQHNSASHRTTTANLLCVANQHQQSESTGQAPCHGDNINT >CRE05994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:324741:325273:-1 gene:WBGene00051037 transcript:CRE05994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05994 MRFQLCFFIIYVNTAVSIPIYADDIVQKQMSTIKTVAHTRNFTKLLTLVSESVFEFENWDTVREALENANLLVIDTKFRHPGIVAKVIVNGDRLSDMEFTRDENSSTGWILSRAGFLECLLDNDSESFCIED >CRE05995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:325664:328205:-1 gene:WBGene00051038 transcript:CRE05995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05995 MQSSSTVGFQLPENPTTSQSSNDPQLMHATTVASQLEMYRSIFQNATQNTSTSSINNYKISEKIAEGSHGVVFRGMSMISGTMVALKLIKKLAKEDGVSTNLIHEAGILQQVQHRNIVTFIEVFMENSTLESPANCWLITEYCACDLLDLVMVPNLPISVLHIKSLLQSLLKGVAHLHKRSIMHRDIKKGNLLIDNHGVLRIADFGLAIQVTKLTRTLKTNIGTMVFRAPELLLDDIHYTKKVDIWNVGWVLFSLFTGKPGFNVQTTNQVLTKLTHMCGSITEDTYAGCQNLEAYKGYRKRNSLNWPRMVMVMARRAAFGPDTEEISDKNEPSHLQRDLKESGIMGIELIDQMLTLDPTKRPTAAEVLDNEWFKMEPLPNPSISDLLGEYKKYHREKKFRAYGEDHKSN >CRE05998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:333746:335230:-1 gene:WBGene00051039 transcript:CRE05998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05998 MSRIIGMNSFMGVKLKPLEPKKTTTDEIDHREYQKQYNYNPFQDKCYSREKIRNHERFHLIQRFVAELEDKNVYATRRNISGTPFGNVEKVKNDKVYALKKMRQVSTSDDIPKSVLHEIYMLRKLRHANVIKLFSCCVSKCEKNYRTFYLRMKLGKCDLDQLMFTVEVQFYTNEIKILALSLLEGLAFIHSKGIMHCNISPSNIVVTNQGVLKIINFERACDYNENGPNKKKPKRFNNGYNAPELYLKDINYGPGIDMWGAGIIIMEMFVRQRLIMGEGSLEQLNNMARLFGKINTWVSIIRTILISKHFQVYPRCDELPEYESYKKYVYSSKYPLFCSFLTIWVPKKIYKLIESLLGEMTNLYPPHRLSASDALKCPWFNMSPPPEKNVLSLMGRIPVSMSATVKSS >CRE05999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:337985:339610:-1 gene:WBGene00051040 transcript:CRE05999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05999 MVSAAQREKKRFFRKNKNKKRARKDLSISDITLLLEKSSLEETESVDIEEGSVEEVKSMNLLSAPFAQHFDPRQIAKPVSTLGSYSVHAKLGRRVQKGWNESGCIVAMKNVYKKQQKGEKVKQMKEARTLRKVEHESIIKLLSVISHGFKQYLVLEYCHFDLDVVIHHKDIMVENSHIKSIAYSLISGLNAIHGKSVAHRDLHPGHVLFTRDGILKISDFGLACCTSDGTHGNVVHCLKSVTFGMWLPYLLGCTDKRATADLWNVGVILGELFLRVSLFSGENERDQIVQVLNLVGEFWGTFNEHNQKYQEISRAWSGDRKRNFHKVFDKKTFNNLFSDQLVVQFVKSLLKPNPDVRTTARGALNNIWFEGVRSDVADLFEMFQNPLHSRMFRYNNNLANI >CRE06000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:340846:346611:-1 gene:WBGene00051041 transcript:CRE06000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsd-3 description:CRE-RSD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MZH2] MSDLFSGLTETIKSTANAITKNEHVRKFAESMNDAIMNYPKAQMDVREATNEDPWGPTGPQMKKIAEYTRSRYMEDFYNVYTPLFARMLENNKDAWRRVYKSLILLDYLLKNGSERFVQEAREKTYELRRLESYKYIDEKGKDQGINIRHRVKQILELLNDDDLLQAERKKANSDDKSKYKGYDKYDINNSGMKSSSSSNFDNNWDRGGSSSSSTNKKWEDDFKSKEVSNFSFRSSTNNRSPSPELGFVDEKKQKEVEDDGFGDFVSSRSSNPAAKSRSTPNPPKSFFDSSVPAIPPPSAANYVAPPLSPIANKKTSNVDLLFDLDAPTPSASATTTSGSVDLLSNMSFPVTNAPAPNVPAASADSLFDFGAPPVAQQPVSQMVAPIAPKTTNPNGAIGFSGFDFTSAVTTSSIPPFAPLQAMSGQQQQPTQAANQINLGGPAPKVGNSFAGLDQFMGLSLGSTNANAPKAKTLNQMKGGPQ >CRE05955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:356280:359672:1 gene:WBGene00051042 transcript:CRE05955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05955 MELKKSCEEAADFRISFYSKIKAGWNTQPLDDTKMVHYLYRIFYMAPNDQEVQVFEWHNFFDIHHDFPMRVHIDNTDDHKKCASMADIIAWFTKPEKDRNALRRLGMTPKLIYCLGCKTVEEREFLSLMTLKKMAKPVEEPAPFKIKPISSKAAKRLGMSPMEMADAAVSCSNLHAQPIQAQNNYLSSVIGFPLRRSASALLFPVTDLLEHHYIKQPETIVTDTSMWPIEKASSSYDLNKPDAPPKPKTSKASALLPSIVFGDSSAKLDPNEPLRKNGTVDMPILKQSEAHKKSIARRKRHERLLKASEWYRITDEEKATLVEIKIRQRILLIQHYIRGWQDMGVKDACVAKWLGEDLCKPLHSRRFASFEYHHFIPSHVLQILTKLNKVQMEKYAKNYLVYMQRVESLKSYSDGRGEHQKRKEDNAYNIS >CRE04300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:179788:183351:-1 gene:WBGene00051043 transcript:CRE04300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttx-3 description:CRE-TTX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NAT2] MSCSQPKVENIERMGDLIGLILQYSDPKSLSEFMELSAIHTVELYLKSLILPYFAYWLPPMDTHSIDKSESIYQILRFYLMLTNSATKEILTTHVVPETALFQFTWGTKFREEYELVERISSPQYVNLTSTSPSSTSSDPKLSILQQIQVPEPKSVVINQCAHCHFAIYDKDISVLDDKNYHHNCIRCSMCDAPFEYTEKCYVHDGVVYCHDDHAKRYRKCCRKCELPLKREDMIMKAKEMIFHHACFVCFICGTKLNTGDYYTMSPSGHLYCHAHYDVIRTSALCDEPTPPVVVAAPLSPPKTTPPPVVKKEATPAPPAAEKPAREPSTEGEASTDEDGSNGSNQRSKRMRTSFKHHQLRAMKTYFALNHNPDAKDLKQLAAKTNLTKRVLQVWFQNARAKYRRGLQDGGRSSPLCIAGALSTMDMNPPLSIRSTDVYQLNTPPLSTEVYSPNNNYTHL >CRE04280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:175334:179375:1 gene:WBGene00051046 transcript:CRE04280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lact-7 description:CRE-LACT-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NAT1] MRLLHLPLSPTIGVLLLFAFLTIQTCSGEQLDLNSHFHGFTTGKRINAYPYTAPERVVDKALARKHKKPQATPGPIYETTIATPNGAAAAPGESAADFGMQGGGPGIPGMMSNNRLPALQTVHPPPPNEKDWVLTAGNESLVDNVLAISLQYGGRLVSMGYYLRGQDVNYYAIMHKYSGPVFIKPAPMTFDELIRAVRENEARDLALTQVCGQEGKPGEITFTTYWERVPGAEFHIWFPGQPHADEQKTHFENNGYRLTYLCGYSEAGKGKYVGVWMKPTKSESQYEAHYGLTMEACMQKDRLLVQKGYVATSLRVFNNKNQVLCTGIWENRNGRSNVQVGQNLETMYRNMQRNPNMVPRQISHYFDNYQNIVYVVLWSDVDVNRYPNPPPLWDEAPIPVRFLKGSPELLSDDQMEFLIKRVEHFMKDLNIPGLSIAIAKKEQLKFAAGFGYTDIRQQEPVTPNHQFRVGSVSKPVTAAAIMLLIDKGHFTLDSKLFGKDSIFGSEFSKKYQYPRYVTEITVRHLLEHTAGGWDNLQSDAAWVQPDMTTKELIEYVLTNVPLEYKPGSMWIYSNFGYQLLGYLIETTTGMSYEAFVKKNIFAPSGVYDIQVARPSISERAPREVVYYMSGNGLGFNPYEMLPPERIGPWGGWIASPIQLLMFMSRIDGFTNREDILSEIAISELATPSPASNDTYGLGWSLNIMGFNGWQHDGRMPGSAAMLVRLDNGLEMAVTVNKEYSERDFFHELGYVLHHIGNNCDWWNDDYDLFQKSRRSSRSATPPKRHLYRAEAQRTTIHNTTNPHVIRFV >CRE04279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:172075:173548:1 gene:WBGene00051047 transcript:CRE04279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04279 MYALSRMYFINSFDFLSSCISTHCLNNGTCSNTTGSYRCLCPPTFHGPFCQFDVNATNYSWSALEIALLFFVVFMIAFAIFIVCCAWAEEDDMFDDLPTENGEQLMAMADIRKGIVVSRTRETVLEDLEDSAILQL >CRE04278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:164192:164634:1 gene:WBGene00051048 transcript:CRE04278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04278 MAITVSELLNGVASRLIYEGSPCDSHPCWNEGKCMLNGSSNYFCECPPAFIGLQCEYRVSIPNITNTLPCMYFSFSKSAGQSGVVHPLLPSVTSEVSSPTAPLSINKVRVK >CRE04299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:152996:157624:-1 gene:WBGene00051049 transcript:CRE04299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04299 MRGSQSDGEELNEETEIGDMTNQKEESKNERKAVILGTGHKALFFKQLCDAATKYERHVHYPDVHYLTVDHMGAEQVVEITDPGLARTGNREMALTDADYAILYYSSVNIDSLQALQPLIQPLQNRKNLPILLVCDSDEVILEETASQHTNSTSEGYESDDRDGMKRHYSMEQIRKSLDDNVTSVLDQGEKLAQDLGPRCSFLQISSSNSSDAKKVLTQMVISLHKSGPVRNRRMSKIANMLRSKSSDKSSDENMIFEAVNEKKSEKKKEKKGGRMEDSKVCIVM >CRE04277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:150282:152170:1 gene:WBGene00051050 transcript:CRE04277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04277 MEGHMPQIPLHALLAMIQRFSPMEVADTAQATWEIYDYIKANPKVVESMTIREGEICVDNVRFEVQFGRNRPIGERRMNSNCSVQLVQQSPHWIVQVSREAYVWKATLQLFVYLRDLFRPTTVHFDLDALAIHTFGVLLLHDKIRGGYSLRLLHASGTEYHPGDLRFVLKNVEGVQVVTYRPHAMPPMDLLANFYCVYLPNVCTWITWDHICSMNCRFLEIGKGNQNRSIFREGLKILANYWVASDELMSWKAFFFHDIEDHDDGLAWDRKRRPRHIQYTDPRTGRQYNIDAEEGWDYVRDDGTIATVVKWNADGSRSIWPWDDDVDITGGLFYVWDECEILGVECKCKNYSNWK >CRE04276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:147640:148742:1 gene:WBGene00051051 transcript:CRE04276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04276 MDIEDLLRLSTTSKRMKKIIKTINWDIVDISIDFTLLKGSVRIRHKTFKWPVKQCTFEVVGKNEFSDLKIRIGNIYFDGRLVFSYVSSHKKYFVFRAPTLDGNNASPERVFLSKQYHFVSICLYLFIDLFGEIRLLKVSHSYTREFETSGPTRIKYTVNMPKIFHRTFEATMRQVAVLQDTYARTCLPDGQVLKDEMVLNHTSSGCVKNAHWFKGLHLLTLSMSTAIFLKTNLTDIDIIAFIRHFMNSTNRTIKTVILYQKEQGSLNDELIFGAFDTKPWDPLQRDQVYTGSPDLLVLYSEGKHLLDCADGFDIVREDGLMCTLKIWNSTCFCFYVWHQRFKDE >CRE04275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:145885:147044:1 gene:WBGene00051052 transcript:CRE04275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04275 MMLVSMPSGTRGRIFQKMDVDALLTCSLTSPKVRGMIQRLDWRVAGTNIDLVECRGCAMIQCRSYAPTSKCVTFELLRDENGNKLPKMRINETFFDLSVFDEGAKLIKSWSDDMLRKWFSENTLVIFAHLFGPVNDVSFAMHVKQMADVPSMNNMKHCFIIDENAHGRDITKLCQRFVGRTLILHPVIQGSIDSDTRMMRVPYLYVRNAEWLTGSHLMRYTGKSAFLDNASIYTKDIIKFVTKWLNGTDRRLKSVVIRIKAGMPVELPEILRNLDGVKTWDPKERERYYFYRDEWKMSFFTSDLSLLDCSDGFDIVRKSDNMLCTIRMSNLVFCFYVWHNRFPTDNVFQQS >CRE04298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:143071:144227:-1 gene:WBGene00051053 transcript:CRE04298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-42 description:CRE-GST-42 protein [Source:UniProtKB/TrEMBL;Acc:E3NAS4] MSSEQKPILYSYWRSSCSWRVRIALALKNVDYEYKTVDLLSEEAKNQLKEINPAAKVPAFVVDGNVITESLAIIEYLEETHPDVPLLPKDPVKRAHARAIALLVASGIQPLHNLKVLQLLNKKEAGFGGQFAKQFVVEGLTALEILLKQHSGKYSVGDSVTIADLNIPPLIYSANRFNLDLSAYPTVNRINATLAEIPAFIAAHPDNQPDTGLNA >CRE04297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:138510:140825:-1 gene:WBGene00051054 transcript:CRE04297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04297 MSSFLRCFLLLTLVNFLATAQSSRQRNSGLVRCQKEIGDSVSSCQGKYCYKMHTPDDTTRDGIVKKGCMNAADAQTEVGKCTRRPLEEGGSELMCVCNERDFCNSSVVSMSTSVLLGIFSLAIALLL >CRE04295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:130971:131804:-1 gene:WBGene00051055 transcript:CRE04295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04295 MQETTMMMPKPVQITQRSEDEISRASVAQHLEQPPLSPQRAHNAFYRPFVADDVDDRDMPAPLRGSISYHSVNELTHSQFGTIKTIEKPKDRSSERMYKRPGFDNVPSY >CRE04294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:119399:123490:-1 gene:WBGene00051056 transcript:CRE04294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04294 MDWWLGFLKRVKPAGKKLLRKYVSDNNELLDLVINEETMTFTKCDLDATTLMNILNVVSSLMAAGKTSAAAEYCQTVADACFGQSVENPYRLMLLSFSMIADCEARFYDLNIFQPSPKDISLKIRYSRCQQASASILEVGTTLFKIGEILTMCEEFSHFACIRQCHVNLLRNFAEIDEDESVDWFDRVKVLDSSILALINESDIAARLCETDKEKKEFLQNLHKIQSLCVESNDQYCFSWFNQLHLREICVRSVAAVEVLKSDIAFKTFEKTQPIAFEKCTTVTKPSKNRRRLQPQNPELEVEEKAKRVSFGEDSGVLADANMIILRKNSKTLTRRQEKGASKTYSTDVEDLNYSTPITDDGETFSNMHAAIVKEKAAERKDQMRKYNAGGAADCRKRLPEAARKKIRIVLRNMMAEGREIQQEEVKDDILLNKAFPVTDDECSDDESAPASFFRTETLETLRKKKMRKFGFLDPKSEAKHVLWWHNAKDELMTTRAISTHCLKMNGESSGPLGTFEHYLGKMDNGGSLRDRMSESNREANCRTFRIEKETDVDDGALLTMPAVDPFKESRVTPKRRAESVGLKSLLLQNMRRKVIDELLILMMIIKGQQQLQDGNIVRCLAYIMLIEFKLAVFKETYHLNPIHENNFFTYLMHLYKHLLAKFRLYSTELFGLECLNEEQETMLAGEPEIFDLNNNCFEFCRDTGAYYFCICIRGRIVKFEPNDWGLQEQKLNRDDVEMQHEFFFGLDNSNKSSHLANFWRTECMPIVSGLIHMVNHSLVDKIDKMDAKDSTKILENIPGLSCQTRQWLKQVTRYGFVKEIPSEEKRDTASKFIVPILFQLPSNVQHELDTENLVTDLFDLDEIYRTTTHFVRQKYHRWKEACKADKEKFQKTNRDMEFYVEKDLTFFGEAIEKDVYMVAVYIGEKKAEERDTISTGMHQLCRSIRSQAGFMSIQSYHVAESSSE >CRE04293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:109818:111939:-1 gene:WBGene00051057 transcript:CRE04293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ensh-1 MPERNEMALTSFAENPTKAVEKDALVETETPFTRPEQVEKANLKETKWPWSMGKKNEKMPRFFIILLLICLLVAVALIALIALLWTFNSTAATPVHESQMVYTLPSRVTDEGNVGLAQVLMSHANSKESFDEVTTESVIEIKDSVVEKEVSAEELKKQDSEEFMSTRTSILKTDTSEEQSSEATTTTTATTTVRRTRPTTRTSTLQFYESVDGETTEYFPSKHSYFQTCVERLAMGSPSGVYTLQGVKNFQVYCDMDTTTGGWTVIQRYVADFVGYSQLKIFRRVDGDGAFHRGTFKQYVEGFGALNGSHWLGLEKIHSLAPHKKTSATLRIEIQGYICGDTCAKRFQNTWYGEWMVSFGGKQDGYKIYINGNGTGNLTFDGSDPMLQANGMRFATVDKSQEGGLMNCAHFRAVGAWWHRAECSDVGLNGYYQTIAQKYDAGDPNQNAKRYFVWAFDRKMYNGYPYIIHVRKSVMMIQKYQEAR >CRE04292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:103103:108829:-1 gene:WBGene00051058 transcript:CRE04292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-8 description:CRE-CYN-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NAR7] MPPAIRGDKRTFFDISVNGEPVGRIVFALWNHACPQTVENFRALCTGELGILYGHEATYQGSIFHRVIKGFMIQGGDITMKNGQGGYSIYGRTFDDENLALKHNKPFLLSMANRGPDTNGSQFFITTEEVQHLDGKHVVFGEVIKGQDVVRFIENLETGDEDKPLHKVQITHCGEMVKKGDVNPPAKQASAVEEEPTGCKMDDEPRTINWLMRRSKSPERDGKKKDRKNRDRSSDRHRRGRDARDRRSRSRSRSRDGRRGQEEPRKRPVSFFTIVKDGVKIRGRGKLTFNSGTRDRSRTPPHWKKEESKKLTLEEHKKRQEELEERKKLQALREKEDESRRLRLEKERQERETQRELSRQKEDAKRELERQERSAKKSRGRSPSSSSSRSASPVTKKEIESDREETKKRSRSSSRSSSSSASSSSSKSTSGSESD >CRE04291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:99602:102154:-1 gene:WBGene00051059 transcript:CRE04291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04291 MAQSGLGVADTVGENVMENLAAVVPLAVVPVAIVENVENVRDVEADSVHSSDESEYTLSGSPHYADSVASTLWECDYACNICEARRRGAREAAGYGEEEEVGEPRIVPVQESNRVVLHCRALAYYKCGPYRIFFDMEANGYFLGRVIILLGNDFPKVKRHFDKMCKEKTTTRHGLSLSLKGTVFHRKAEGKYLEGGVLKPMHPRDTPRFYQKKPVIDSEFYDSYVLVANKNVVEPLTQTSAWGRGVGLVATVVVLFFVMRKVDKVYGGGRFIITTSKDVEHYSEDHIPLGCIVCGKEVIDKITEYPCDENGFFYHPITISHCGSIKMTRAEMNAGGRFEKTGKTDKFPHKFLNRKPRGRDQKRNTNRAPRENNRERGRPQSRRIPEGPPPRRNRRRLLDAPDQ >CRE04273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:95960:97655:1 gene:WBGene00051060 transcript:CRE04273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-14 description:CRE-NLP-14 protein [Source:UniProtKB/TrEMBL;Acc:E3NAR5] MLHPIVLLVVLSTAVHAGRPRRALDGLDGSGFGFEKRALNSLDGAGFGFEKRALNSLDGNGFGFEKRALDGLDGTGFGFDKRALNSLDGNGFGFEKRALDGLDGTGFGFDKRALNSLDGNGFGFEKRALNSLDGAGFGFEKRALDGLDGTGFGFDKRALNSLDGNGFGFEKRALDGLDGTGFGFDKRALNSLDGNGFGFDKRNFKHLSNKLRSVFRNLRGLKQH >CRE04290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:77852:81318:-1 gene:WBGene00051061 transcript:CRE04290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acs-22 description:CRE-ACS-22 protein [Source:UniProtKB/TrEMBL;Acc:E3NAR4] MPDSPKVALLTFAVYAVILYNVNSFFWKFVFVGYVVFRLLRTDFGRRAVATIPRDIAGLRLLISVKSTINGLFKKDRPIHDIFLDQVRQHPNKVAVIEIETGRQLNYRELNELANQYANLYVNEGYKMGDVVALFMDNSIDFFAIWLGLSKIGVVSAFINSNLKLEPLAHSINVSKCKSCITTASLLPMYQAAVEKNLISEYINVFLATNDIDGRHRSLERDLHLFSKDEPAPVNELNFKSVLCYIYTSGTTGNPKPAVIKHFRYYWIAMAAGRAFGITKPDVVYITMPMYHSAAGIMGIGSLIAFGSTAVIRKKFSASNFWKDCVKYNVTATQYIGEICRYLLAAKTCPEEKQHKVRLMWGNGLRGQIWKEFVGRFGIKRIGELYGSTEGNSNIVNLDNHVGSCGFMPIYPHIGAFYPVRLIKVDRATGELERDVNGLCVPCVPGETGEMVGVIKEKDALLKFEGYVSDGDTQKKIYRDVFKHGDKVFASGDILHWDELGYLYFVDRCGDTFRWKGENVSTTEVEGILQPVMDVEDATVYGVTVGKMEGRAGMAGVVVKEGINVEKFLSDITSRLTENLASYAIPVFIRLCKEVDRTGTFKLKKNDLQKQGYDLVACKGDPIYYWSGAEKTYKPLTEKMQQDIDAGVYDRF >CRE04272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:77229:77785:1 gene:WBGene00051062 transcript:CRE04272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dylt-2 description:CRE-DYLT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NAR3] MEDANNRNFVLRPTPGQKFRPKAVTAMIQEVLGEKLGAVIAYDENEADQASRDISAAIREKLKGLQLPRYKYIVQTMIAEQCGNGATTAIQCVWDEDCDGFLSQRYVNGSIWCEVLVFAVFHY >CRE04271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:68724:76572:1 gene:WBGene00051063 transcript:CRE04271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trk-1 description:CRE-TRK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NAR2] MILRIVLILPILVAAEPILSGFTIDAECVKVSKDTERCDYLFAFNGTAKAIIVSGCDDLRDDFIDYGPWPTVTNVTFNCAFNDFPWNFTDVFPNIRYVHFNKCNLRTLQWQSVYTDTLRIVDLTECPIECNCQNQWMKADGSFGKLKEPLSLKRCVPDCDSAYMKINQTMITGNGGENVTIHVDIRDSFINRTIDKPYFEWAFAKSRHNYEELVSQSTADLVITNLTREDMGLIGVICWHCVDFLTTKVELRVNLPIKVEFVEKTRGDTDFLVVQGYPIENITLSITRVQSNHTESNVMDNEYDSVFFSSLIVRPEKRTNSIFYQRTYRIFTKDIADGDHLSGDLRFEVCTMGNCGAVEKHVSHLGVINGTLEDFVRLEYPYKQGVHVTISLLLFCLLMILIAFGLYFKEKVRDLFREKVTGLRKRATLASEMVRRASHDTEQTLLRLEERHSLASDYSNMTLPFIDMGNIQIHEMLGKGHFGEVYLGSWEQTGPNSVAIKSIRHVDMETEKEAQVLRDLDHPNIVKLYGMTRNNFNLLLVFEHMNFGDLKTYLEKRSPIKSLYLQYPPPLVNDELKWIIKEITVGVCYLVTQSVVHRDLAARNCLVAGDSDMRAPSHLQRPPLRIKISDFGMSRRLYDHSDYYTMDHQGALPVRWLPPEAVQSHRFTFMSDIWALGVTMWECMAYGKQPFDGLSNLEVSSFTLAGMRPLKPERCPQEMYDLMVKCWHMEPSKRITAVEILEDHVFDKIRGGLPYEPIIEKSIVASCRTNINRYQDEIAETSFTHSPGTSENTGSDGGSECYSETSGVTFQTGYSTEPLLDNKKEDDISLFATA >CRE04270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:61525:63436:1 gene:WBGene00051065 transcript:CRE04270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aexr-3 MDPLAFDNSSLMELEEEEPICAESAFLTMRVMENYLKSFEYFLLVSCFLSTFLQIYVLVKAVKYIRRATGDECLHVFLLSMTLGDLLLTSFCYPIEQLREQEIIKPPQWINVAQHFLTWVGLSASSLSLILLNADKLLYFKFPLRYANMVTSFKGVSLALFVWFGCFVFVFLCWYLECFTCEDDCRQLMILPNKVVMYIVFTVSACIAPSLTSLGVAIYILNVVTSHRSKLSDENGNSSHALATRLRTFYFIFMTTIFTVGTLLPYRIYNIQRQLSPRESGEISCGSIIFSWTCLYFVSLNAILNPIITVTVLPQYRFRWLCKKLGSNSSPAAVYV >CRE04287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:45654:48009:-1 gene:WBGene00051066 transcript:CRE04287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmur-1 description:CRE-NMUR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NAQ8] MLKACMNATNQCECLAIECPIVYGNLEEQKEACYMESCFITKRALVDPTLYKVTALYLVIFLVGVIGNITTCLVMKKHPLMKTHASMYLMNLAVSDLVTLFVGLPFEVLMNWHQYPWPFPDYVCNLKALIAETTSSVSILTILIFAIERYVAVCHPLFLMKVQPFKRNIRTIIGFTWVFSVLCAMPFAIHHRADYIIKSWPGTDNGIPVTSSKLCMVAVVFDQKLMPTFKVLFHFSAIAFFAIPLLTILILYARIACKVSSNRTIQPGELDITEELQMRINAILCAIVSAFFICYLPFQCQRLLFFYLDNEVILQWVNQYFYFISGFLFYLATIINPIAYNLASSRFRRAFKDIIYEYYWRGNSNGYPRSSFSKYSL >CRE04286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:41194:43337:-1 gene:WBGene00051067 transcript:CRE04286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-18 description:CRE-TWK-18 protein [Source:UniProtKB/TrEMBL;Acc:E3NAQ7] MAIVAQGVSKVLTTFQKTFKGLLPLIILVIYTLLGAWIFWMIEGENEREMLVEQQKERDELIRRTVYKINQLQIKRQRRLMTAEEEYNRTAKVLTTFQETLGIVPADMDKDIHWTFLGSIFYCMTVYTTIGYGNIVPGTGWGRFATILYAFIGIPLTVLSLYCLGSLFAKGCKIIWKFFLRSTRVVSKDLSNKISEAADNIEEGTTTITPAPNNDSDDDDLLSFPISGLLLITIIWVIFCAVLFTFLEEWDFGTSIYFTLISFTTIGFGDILPSDYDFMPIVGILLLIGLSLVSTVMTLIQQQIEALASVSNMFDEYYQKSCFQGMKDNIDQEYARALNEAREEGEVDEDVDPEEDPESNKKSFDAVVSRMNWSKRGLYYLLPDSQKKQLEQHSEKKMGRKSIKIQTDNDLLETLIREEILKAELNNEMHKFAAPRFSQQPKLVYSDVREKEVPIEVVRVEHISHGGEDYLEHDI >CRE04285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:38834:40512:-1 gene:WBGene00051068 transcript:CRE04285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04285 MSRLLSGIKVVELGGLAPVPHCGMLLADFGADVTVIDKKSPTVEQRMNRGKTMKEFDLREPEDIKKVSHSFFFQPKNYFSQVRDLCRTSDVLLDPYRPGTLEKMGLDPLSLWDENKGLIICRISGYGQTGRMKNEAGHDINYVALSGMLPTFAGAEASRPWPPVNMLADFAGGGLTAAFGIVSAIHARTHNGGKGCVLDCSMTEGTAYLASFVQHYYDQTHLFTDKYAAFTGECPIYRTYKTKDGKFMAVGPLEPKFHQNMFEVLGVDGDDLFTNPKKIIKLLEDTFMTKTRDEWSKIFEGKDCCVTPVLDIHEVGTYGQHVDRQNFTKSDKFGGTWIAKPSPRVQTMEELTAARSKL >CRE04284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:35450:36814:-1 gene:WBGene00051069 transcript:CRE04284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04284 MVDLKQQLQWIDYLGVVAVWLCFFGTILVIAVTCILWCCVSKEDDPTVFAKYGFGPTPRVPSQRLAAQEAKAE >CRE04283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:31852:35283:-1 gene:WBGene00051071 transcript:CRE04283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04283 MSRRTRGARDTAKDIILGLAPSGQGPKAPKEGHAITPKTPERPPRTGDDGALIRLQSQLAQMQQQNRDLATAEKAARKRLEQNQDALTRRLQNNESSGVQSRDFDDVRRQMNGMDAKLMIMNNDIAGLRTSMDRQVTDLMHINSEMKSRPVVDPSKISNATSQLDSKLRDMHNQVMDLQKNLSREQRDREKDSKTAGDGIQRLQDMIRQQDLARQDIMANLSKKGDVDKEKLNEETRRLNDKINLITAEVTRKMTENQQKTKDDLTSRIAVLENMIRAQSERIVTNENEMRHSYEAKLADLTGQLEVAMKQITSEKAKQKERFQKVNEALAALEHHLELGNNKIDKLMNSEIQARKLHEKGLLAKMTDIEERVNNYVGGMNKSIDEMKNGKNNVQMPALDTDALRREMEAIAADKNKLSMEGLLKLEEKMSRVQQGFYHDRKEINTRLTDLGDGEHVNKIRAQLNKMDALQEDMEKAQDRIRDKVERQIPQDLNELSAKADNIKHQLNTRIDNEEEERYLAIKELQEAFTTLQQTQYSGGKTAASSDQQMKRDVDECKIAIKKLAESVTTVKNVLDKKITDETKRREDDVSSLRRQMN >CRE04269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:28901:29803:1 gene:WBGene00051072 transcript:CRE04269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04269 MVKSLKELALDIVKGDPIWSLKSWRIVQTEKSEVVLRKQPEPMNEYVDRKLAAVQFSWSLQGKYRGTSVWFSREHRVRSSSAAIISAKFNNHFRNVQFWKYVFGPTQPQQPPSLPRQLNSVSRPMDRGHQQRSLADRPSDPRSKRPSTRLGQPTDPRLQCRVHHEAIPSDPRVRRHPGQADQLIDPRQQVRINQPGHLFDLRSHPQSRPRGFYTDPSLRRHACQETNILSDPRQRSSQPRQPSCPREHFQPGHAVQKRSNH >CRE04282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:24476:24938:-1 gene:WBGene00051073 transcript:CRE04282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04282 MIMTAEDHYTYELEKFEFVKYKFVSLRENLRILLDFLNKMGNHPEERIDNYHIMKIKTNFFIDEIDFNLQGEFPFGHLRMSTHILIERGVEYFNVYSNFYNRSRSELMEVIHEISLNRQLIW >CRE04266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:20038:20509:1 gene:WBGene00051074 transcript:CRE04266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04266 MAMSREERIAELFVKVEIKMDSIVQLCDDAEKAYESAEWDQERRLTLQKFDAMVKTAEGNNEAAKDLLIRALKSCLYRVCFAHKLAEFGIFVVFDGPGKVFPVPGFVVRLLKGGEYEKL >CRE04264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:6423:8099:1 gene:WBGene00051075 transcript:CRE04264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04264 MSESLSHPYAKKPKLYECIGRKEYSDFKETPRHSRLQIMKSFFTHSQIDICSLRNLAGLHGRINPYGPYSIAACLSGGATQVEEKITNVYLNLKYFPSRFESIIRKKCSSTTLNEMAKNGVCPTEQLPFTICQCDGDVRTKLRSQFKEAETSLVLQQMENEENEKLRIERESNMSIQSLLKAKKKRMSYTLAESYGDPLKKYVESLQKHLSGPLFIEPTPESIPTNSQLANSNPPKNNRLDEYQSPLHRLLQSAEPGKNGLAYVSEVLSADFVFEKYQPESAPFNGSIPPLLPQLSQPADVNYYKTIAKCASQESTSQGNPANSQFDGLPNNQPTAEFSLSFSSFLQCSEPTKKGFTEELDTNTYQETSSQTNLTPSISATQAERSLACLMDYVHEQNMKMTPVEKQFLHEHTFAVPALPKRFQPADNHESNRTELCKSLLLHETPSHNSDRAILAGGDFTFLVDYVNKLNTERSQGASRLPQVDPFVPISKLALPQRAQAERKYTGTSNCAHEKDDCILLSNSSS >CRE04281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:1206:2354:-1 gene:WBGene00051076 transcript:CRE04281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04281 MTQNSSNQSMNGISPDNIDPQHMSFNTEEFNFNDARLSVVHSFRLLKEINNGSFGVVYFAENYWNKEQVAIKVMRKKEVNRTPYLRHRANEERRIHSQLCYSPHIIRLRICFTTTSRYCMMMDLASQQTLFDVTTGGNVLGTNVAKHWLAEICAGLQYLQESNIAHRDIKRENMLLSTTGHMKISDFGFAKSEMTATQRTRTVCGTSACMSPEVFKGEGYTRATDVWSFGIIVVELMSGSSVFRGMGRDKIKATLKSLEDCATQLQLPPLPEDACNLARKMLCFEISRISLEEIEKHHFFSSIQFDKLLSKSIDVSENDTVWSKSDCTEPVVMVDDGDENPFEGFDLHLD >CRE14825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:409386:410779:-1 gene:WBGene00051077 transcript:CRE14825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14825 MSDKIKTSELEDIQVLSSEDFYSKDARTTSVKGFHLLKKIESGGFGIVFLGKHKDNDLLFAIKIVNKWKLVKPIFQESVKRELRIHKELDHPFIIKMMAAFQTPTRICMVLELASQDSMAELPEILPKEDIKYWLAEVWQGLHFLHGKSIVHRDIKNENILLAADGHVKIGDFGFAKDGMEKDTKAFEVVGTAFSMSPEIFKRAGYTRATDIWAFGVLALELITNENLYGTADQNEIASILKKMQSCDQLEFPKNLRGKKLDFVKGMLQFEENRMSLNEVRAHRFFSGVKWDALLDYTFEGAPYHLPEKKDCNKPIILEEPTVAVSLVFDDFEFSNL >CRE14823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:407158:408162:-1 gene:WBGene00051078 transcript:CRE14823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14823 MYGKIANNKNGDLPKKPKMGLFFMLDHNTDECIFIVQVYLAQCSQTKSHVAMKVQEKIRIRSEKDVQFILHEQQIMKKLDSIHVVKLLATFHTEKRIYFIMDFVSEDNFSTFTKKVTLRPEHVEFYMAQLFLGVEYIHSKDISHRDLKKANMLLSLKGHVKICDFGLAKPGMGPGTTTRTVCGSLSHMAPEIHERRGYTRSVDWWAFGVILIELLTNRNPFITHDTAAFVRTYPFPEMHFPGMPSDAYDLASNLLRHDSKRMKPEDIARHEFFSCIDWDGLERGAVEPPFSNKDGLDLTAKVEIVEEECESVVLRNGRMEPFQNFEYISESRWK >CRE14822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:401040:401258:-1 gene:WBGene00051079 transcript:CRE14822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14822 MADNSAYMSAGGCSFGYMGSKTSSSGYAREEYASGGSGGEACINQNQRSGRNTNPGQQVFKSRIDKSCYLEP >CRE14821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:394545:396575:-1 gene:WBGene00051080 transcript:CRE14821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-brc-2 description:CRE-BRC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MRV9] MSNRRDNSGKKNRMSEEVSKKKNGSYLKTDSFNEPKGAMTSMEPAFSTAAGVGINVKQKPIDEKCTTSDDRKRPQSKKTEDFDASPPKKSLVVPTTSSKKERSKEHDRKHEKDQKHEKDKKHEKDRKHEKDRKNRKEKIIIHADVMRASREYGRDEMRLVLQETDASPKILAVCPYECGKDIKFGDKIRIDAEVEKKENETVTEVYFKKLLRNDYNKARRHITRHSIAEAPYCLKPRLIYELSDGKIKKAVVRINILDLKLEIYDKCTACEKFISTLFSSNSIREDCKNCKNKKAKTEVSLYSRMRVMDPTGQIVVNIETKTMKKVFEILNYQGGLDEWLEFNIPQERINYIGKPLMIEVEKKGDDWECTDAAEVNWKIYGNYLAEAKEKIIGKIEKNHKYS >CRE14820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:386285:388217:-1 gene:WBGene00051081 transcript:CRE14820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14820 MWRFLLLFFCLHGLRDATALPDQRLPGNIIRDHIKKYLDFSVDPCEDFYAHVCPTKFNLNFLSDLMNNKTELVHEHKKKNPECINESDILPGTFLNQNDSILDGFQSMDCAGKEKILKSIYLSNLIYYEQCRMELVAAVDALVASTLTKNLRVDAKKLFENLKNAMRKEFERTPWAKNNHAVEKYEEALKKITFWTFSDAEHILTTAFRKSEISYNNCLKTLKTAYNDKVSKTFCKVMATNNATNFLHGSHEELSEMGLNEIISDRLLLFNYKNERIYVSNDFLLLMNTNDTSDLHGTLGFLLLHEIMHTFVFGHEDIAANNTLYPYWTKHADCVAKQAEKTCETFPTVLTEDGQSQVNSDFYIVKSQCLSIQGCNTTITFEEDAADLAAYRLAYELGKPKFARKTMVENYESITKDEMFFYGAGIILCIPNGMNVRLFSGQPHSYNYQRLNSLMSQMNEFKTAFKCKDTDKMIQNKAAECTLYGSKAPLTRKNSSN >CRE14819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:383954:385884:-1 gene:WBGene00051082 transcript:CRE14819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14819 MWRFLLLFFCLHGLRDATGLPDPRLPGNIIRDHIKKYIDFSVDPCEDFYAHVCPKEFNLSFLSDLMNIKTKLVHEYKKNNPDFSQWTNLHDGAIDEIIEFLSAEERCIDTKNIFVGSYLYLNDSILDGFETADCAGRENILKAVFNSNRVYYEQSRIELAAAVDALVASRLTKHLNGDAKKLFEQLKNVMRKEFKKTPWAKHNHAVKKYEEALEKITFWTFSDAEHIISTAFRKYEISFNSCFKKLKTAYNDKVSTTFCKVMATNNATNFLHESTEKIFEMGLKEIIGDRLSLFNYMDDRIYMSNDFLLLMNTNDTTDLHGTLGFLFLHEIMHTFVFGYEDIENGNELYSYWTKHADCVAKQAAKTCETFKTVFAEDGESQGCNATITFEEDAADLAAYRLAYKLGNRKFTRKTMVENYESITKDEMFFYGAGMILCIPNAMDHTLFSGQPHSNNYQRVNSLMSQMNEFKTAFKCKDTDKMIQNKAAECTLYGSKAPYTRKNSSD >CRE14818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:379101:383221:-1 gene:WBGene00051083 transcript:CRE14818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snf-12 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3MRV5] MNGEWKSALRLQIEALAKRNDLHRKCSVEEIKKRTVDMDRRIVKLRELVATSANDAAIFYLECVCHADETERMLNRGNSVEKEKKWRKMKRKPSSMSGPESRTVSSFPSVSSPEVIQTIDVSALEAQDQMPQRPHWWDQFQIYRRTDLLRFSKQNDRRELWRTQKDFFLTCLGFMVGVGHTMRFPAKVYQHGGGVFFIPYFFSLIFFGLPLVFLHLSLGQYTGQAANTAFSKLMPIGSGVGWALVVIAIPVAVYYNIIVAWAIHYFFQSAKGLLLGDDLPWETCRDEWQLDNRCCNLHNLHSCFNATNSITAPEAFFHSEVLSLSTFGDFALGPLQSHLVLSLAAAWLLVFFGVFKGLGSIAQTMNVTATVPYLLLSILLLRGISLPGANKGLSFLFTVDSTKLWKWQIWKSAAEQVFYELGIDAGPLISMAAFSRYRNNVYRDSVLLVIMDALTSILSGMVIFSFVGFIASESNSNVNDVLKHDPLYLSFTVYPGVTSFMYWGGLWATLFFGMLVLAALDAEFAWLEMIASAFMNHFSTKNKAVENRLLAFLCLAGFFLGLPLCAQGGIFVFHAIENLNANWNSFSLALLSVAIVCYVYGIDNYLTDISGMLRVPRIPISKATRFRDKVVSILGPGGIYIKFSLCFICPVILTVLLIASVLGYQRISFAGRPIPIDYEIVAWVVMIGPLLVVPLVAFLQVRQIRNEGKLLKSLFDTSEWRENQDDPLELKDAYMKPNGNYESPPNRRRTPTIFTHRENTYMYIDSRGPTVRSRVFPIGGPPLDPYGWKLGRLRDQQAQIEEGGSNYSEEGSTTTNSFMASTVKHNDDVELTLFGSPPAIMGDEEKMNVTRFSESMPVNYKCRTPEEPPIQPRRQQKLGRMARKTRKKRSSPSASDPPVPTSPLPPPPRLSHCRSEPPMMRTEEEKHTPKVITPDGSSSISDSSAEDSSDDERIRRATVIRRKTSDDDAFTQISTATAESISITPLDFPRQRSLSSVAIYDQEQKNGRSRVLSQLKRPAPIDMPPK >CRE14817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:375505:378764:-1 gene:WBGene00051084 transcript:CRE14817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-22 MSQAISFVTIAVVIACVGVAIASLVLNIIILGNVNDLSTSINNNPVWHYTPAPQTNSTAGTVSTSAAYQQAANYLLNGLDDTIDPCQDFYAFTCNKVIFTFFLQNTDLKKLGRSRLGTYDQAQIDVYSEIIAALDSVDVKSATTSMTERITKAVNLSSYCHAFVSCKNYLGNPPDKTQAVYTEIKTLFGGVPFFGETLSKNVDYWSVAGQIEQKHAVGTLTYTIASSDYKDHTKNALYTGPPGLALARDFYVKPQYIDQVNARVAEVNDLLTAFADALGKTPGSDALLTAAQEVVAFEVLIAMASWPDDLLRNYQQQYNAYNIASATAAYGAINWKSYLGQLFDKVSSVQNQANYNIVVTEPSYFAWLNSVFAGQTANTTVVANYMIAQLLADEADFINPATARVASKNNYIHYALRSGRGVGKHGKRDYRKMNLDGISQGCMDLLTAYMPYGTGYVYVKSKKDKYQVQSDVNNQTTLIISNFQNMINSLQWMDDFSKNRAHNKSDSLVKNFGWPTTMFGDFNDFTAVDKYNQDYARIIDIYNADPSNIYDIFAVLKQGMEVREFFRIMDEKADRSNFLQSPAMVNAWYQPERNSITFPYAAWNPPYYNFAYPQAYNFAGQGGTGGHELTHGYDDEGVQFGFNGELTDCAWNKCGWMDANSSSGFIDMAQCVVTQFSTQCCPEKTGNVHCANGATTQGENIADLGGQQAAYRAYRQYVANVRGGVEEDRLPGLENYTPNQIFWITYGYSWCMKQSDSNLVHQLLTNPHSPAQCRTNQVMQDIPEFGRDFGCARGTPMFPEPSGRCKVWVGQ >CRE14815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:365041:365984:-1 gene:WBGene00051085 transcript:CRE14815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14815 MTFKEIIDSLIGSRNRRQEDAPERLRSVPAEASLTRYLERNTFDVDKVELFSGSKSNMLEYRDVNHNGMLGETVSIPKETSPPPSMFAAVTPAIASNQVSLARPTSSPPRASLGQLISVASATCPPPAHVSVARATAPPPNPLYRRLERLPGIDDGFHQEPLPPLWETRDSNGQPIQFDFDEYSGVPLQELISRSINKRL >CRE14814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:360196:363618:-1 gene:WBGene00051086 transcript:CRE14814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14814 MTHSDGIMLNTNGFPFLMKAPMVAVPNFPIAVINPIMHLVNQMVLARKGHFVPMKQHSPLSLPHPQQILACANDPGVLLNTFQLPSGCFTTLVCFKPGGFKAGTNLELPDAWWRFAKESSYSANIVHSKVVADIACGAEIVISGTPLEDRKSDVEEPPETPLITDDTAEENESGSEGFSCQRCGKVFSYEYYRDKHLKYTRCVDNGNRKFPCTICNRSFEKRDRLRIHILHVHENHRPHVCSVCQKSFSQSSSLNKHLRVHSGERPYKCSFCPKAFTASSILRTHVRQHSGEKPFKCAHCGKAFASHAAHDSHVRRSHIAVI >CRE14813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:354774:357493:-1 gene:WBGene00051087 transcript:CRE14813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14813 description:Solute carrier organic anion transporter family member [Source:UniProtKB/TrEMBL;Acc:E3MRU9] MFSGSIMERIYIFLALFSFVFFLEAIGGSYINSAVQNIERQFQMSSRTSGFMISASDFGYIPCVVFIAHFGSKGNRARWIGAGAILVSITYLMLASPNFFFPQGHHDINTTAVAAQLKPTAGQLSPNSTLRELLSYQLIRDRMPHDTYRSLMNLEDETTPIEKYNITGKNPNPTNGIAAVPINNAYTIDGWLIDEALIAANDVLANGTSQNTLRSSLSLFINRRANTSDKDIKKLRISAAAPFTFCGKLTNSLRAIIKVSTVTQKNSKCEEQSSNAYPFMVFFFSLLLLGIGRTVPWSLGVPLLDDNIKKKSLPAYFGAISSIRVLGPICGYMIGSFCNKFYYTLHPPNGLTPADPTWIGAWWMGFLFIGTIALFPSTALFFFPQGKQGSSSSVQLHDVHKEKLKKTEEDDRTLRTKLKDFGRSVKTVLSTKIYLGSVLGRVCDILAFKGYIVFLPKYLENHFGIPQYLVHRYMAMFGVFGFGLGAATGGYITQKLKLNGRRAAMFVMLMSTVNVCLYSAKIFIGCDSIVNSIGLDNRDTNYNFTRSCNSECMCENARLYPVCDSQGYAYFSPCHAGCREAKQYGSDPILDFTSCECVKGGVVSKKFCENTCKTSTVVFFLTVLPGSFVAGLGVVPAMLILLRSVPPESRSLSLGLQGMAVSLFGTLPSPIIWGLVIDAACLVWDKTCNGARGACSIYHPDRLRIWMHLLYVVIRMVALITDIYVWKHAKHLNIMDEPASEEKDNIRRNSIKMQAVQPDP >CRE14811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:334808:336915:-1 gene:WBGene00051088 transcript:CRE14811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14811 MDIENLQSSNNRSTASRPPDGFHAFLQTAFSALLAFVLSLRIIVFATARKRLTTTAANPDIQVEEASSSSSDTSSDTEVVEEGVFVQLIVTIFFESFRSFNYFVTLSLNLFEFPSTSLSSDFVFYLCISGSLYNSLPINLPSLLISHHIRSVMYDVPCIPKRQINTNRLSIKS >CRE14810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:325221:333765:-1 gene:WBGene00051089 transcript:CRE14810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14810 MSERKPTQGMPSVMSLLQTDEILSSCSDDEPTTSGLIPLPSLIQSNMSVLPNFLHTINEEESDEIRSLTGSSLASPRTVVDRRLSNISLLSPTPDEDFGQDPQQQEEVRGDSRTSLEEERIVVGEPRTTRQIEITQSASEDDRLDLLKELVKIGKSNTSTSQHEKPLIATIIQMNTTTTLEKAEEIIDATGPSEVVEKKETTVIVTEVKDGDADDKKDVEEPDYAQVPAESEDERVPSPTAPIAPTAPLADPLEIIQVVQELIEEPQKAELVTIPMSHSEAEDHKVSGVVSNILADADRDQEEELVFNEERRKTVTMDDNLSLRSASITFDANRDEQDLLNESFASNPTDETVLMQK >CRE14809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:311081:324577:-1 gene:WBGene00051090 transcript:CRE14809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ajm-1 description:CRE-AJM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MRU4] MDTTSGRPISPLDLPPPPTSVILHPSAPPPPPPPLSSTEVIGNTTTTTTTHYIPKAWNDPSVTTAPKIPVSSLSGAQPLPSILSQKTTTSSYTAPSYAASSMSGVPSDLAPPPPPPIPIQSSSTSVSYQQHHTSSIPKSISSSREDLLSEHATSRSTVREIPVHRAPSTAPSHSSVFDYRMMPTTTTTYHHVETPSDEYYRREVMTRTIITRSTEALSQTPLGRPTSPLERYLPYTTTTTSGDGRTREEKTVDYKVTYHRDIEEEERRIREDQTRRQQEELDRRAREEEARRLLEQRTREEMQRLRDVQSLSERALADRERADKDRLEKERLQRQQQEMLRRDEWERLENQRLSEEEAELARRRALEKERLEREKAEQERKTMERLQREKERLEKERLEAERREKERIEKERIERERIERERIEIERIERIKKERIERERREREKAKEEEDRLLAERLELERIERERRELELRERQELEIQRREAEDRERQRLEDEAREMRRREDERREAELVADVQRQAVERERLRKRQEREEQERLERIRLEQQRIDMERADADRRERERKEEERREIELIEAARRKKEARERDRLDELERERLREEEERRERERREQERRNAAERERKRRQEEEEEIARLNDLQRSAAARQAQRNAENQRQLERDELDRRARELSELEMREKDRRDRERATEEAQLADLRDRERRNQQIRENERKEAAEREANRRLDDRRSRDKLDFLVRERSEKEKFESEKRRLLAEKEAMNKKKHHLLSSETLAKLTQPMYYTTTREPEVTTKVERQVIERVDRNIWVEDVPYPSSQSAIGYLDNDENVRDRMYNPNDLNRNGSRGSRYTRAKNDKLRRDFYSSSQDSADPVSERFRKSTDDLTARSRPEYRGPLLQKFHESEFRTTALNETDGLPYRRMGPSPYEQPFAKLLEETERRYAQYNSRASNPSIYSSRIYYQDRHGQPKHTEVNTRAESVVAYERDSRRESPADQAHIRSRSADYLMDRRIREETEVPENQLQKTRADPLDQSPRESRISEYEMRFRKSTEKLTVPDWYRENRPQGQTQTTTYRYTNGAEPVATTTTTTTSTVYNSGGNQLAPPVPPQPTVGGNIGLPRGMFDRYKDDIEELRRSRSSLHHVSQEPANRQGSTLSVGGVVDQGHALPGYTVSEVPNAWNLQTSRTSRVVEVADTFVGTSSHEYGNFTNRYGGRVTIEEVLDSIFQKVTPTDTRRHVDRSYPQADEVFQGNLDGPGIYTNNYSVMRQVLKSPERAEHILQNEELFVRCTECHRTRELSAARLYFVSCKHCYTYYCSRECRRNNWISHSARCSFARINTLCKDVIMKVREDEQAQAFMSKVARDGFSASGRGSVNIRLSSPQLAQAYVSNGWRALTGYPNDQLLYYYTVNALIAERKEQSLIALCKRYEPREKFILSVSIIADIEHCPETPPPETRELSAVQFSSPRSRYEAIQNQNAPYFSEVAHNV >CRE14808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:304948:307507:-1 gene:WBGene00051091 transcript:CRE14808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14808 MEGIQIIWDNVNRRLPVVIRAADNAGNNAGHAAPNQAAPNQAAPNQAAPNQAALNRAAPNQAAPNANANPEVVAPQPAPLPPPTRTYVAPFPIVTNCEFNMANPEAQLDCGQWGGLTWDIVYKSFNTNGNYASGTSAVVFRGMGKKTYRVHFKYDLTQKSAKEMWMRDQNVMIDDEHPYFLCNNTIDSTTRRDDLPKTVAFNLSIEFIEPVEKFEYDYQKWPPGQYWFQYRNDYSVRCDSSALERIHPKYSGFLRNFGRLSKKEAFAFYEFLGVMTHNWYIGTPKYDEVMLNGYKLGFTGQWDSYDKPSSSLDFKARTILPGYQHITNEVPARQETFREGLAIGVCDMFDLKLNANHPMMRTQVEELKPMREHRGQVSFYIEDSVSGPLLMTGVLIQGRTRQHAKLKVTLFRKKESIDIITTYRIIDTHQKTIGVIISILDEEFTAALAAGELSVAIQLHLHGTKNTYIHNHVEEFDTTTPYRMPGPITGYLNCRDGERIAVCKEFLAIHCEQLQMMFYSTDFSDCGGDEINVDEDSDVVLDALDIIWHRSKSVQLKTLRRVLELGGYWLCRRIQIFIEMAIMHSSLVTPTVKVELAHTYDLQVIKYAIRHPELASTYKWEVSAEDKARIALPAANEIDGGIDGRPF >CRE14807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:291575:294059:-1 gene:WBGene00051092 transcript:CRE14807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14807 MSNSTSPNAAAVLGNIAYCYLLPCICAIGIVGNITNLMVLASRRLRAVSYMYLRALAVADLLCMLFVLVFVSTEYLAKNGSTINQYKLYQVYQSHLMLTLINWALGAGVYVVVALSLERYISIVFPMHFRTWNSPQRATRAIVIAFLIPAIFYVPYAVTRYEGKQRFDPIKNVTIYSMDDHPIYTTFYWQIYKWTREALLRFLPIIILTVLNIQIMVAFRKRQKMFQQLTKRKEQGTQKDDTLMYMLGGTVLMSLVCNIPAAINLLLIDETLKKRLDYQIFRAVANLLEITNHASQFYVFCACSTDYRTTFLQKFPCFKTDYANRDRLRSFVRRTQSVIQKQGSVEHTTNSKVWILFKSKVGEQSERRRKCMKRRRHGCFSRHTLILFLNSFQRDSLSHHSRKFSRHMPIEQDTVDIQLASGEQSTSGETCEADTLIKYGGSIQLCNDENNTTFL >CRE14806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:289559:290456:-1 gene:WBGene00051094 transcript:CRE14806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14806 MDLSNNTAMNNSTVYFHDYYNRRSSSGKLELCMSPSSSSIGKSQSLEEIPDVNQRRRHPSLGFLEFSKTANFRSRRDAVTAFDPIEFQRMIVTVPTTPIVENTQKRKGDAPTDVDANKKLKHG >CRE14768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:286771:288152:1 gene:WBGene00051095 transcript:CRE14768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14768 MAFDKEMFDKLKKEWPEIQGDFAPELWKKTYKEKHEQTFFKSVDLLQKVENLSYKEAEEKLLDVLVKVTFIETTLTLKGKQDSAEIFENLQKFQNDEPNIPFSSAEDVESFCDSMQLIFRKDLSKKYRNIGYQSKRFIQEIKRRLELGDIIDEITIDDVIQDFRATVVSEYGQKFAEMEIQLDFSSLRQLAVATRRFKFEGGEGRLYLDENAANCLDLNLPIFCETIHFNHQLKKDLSRHVSGIFMLNTTRFPKRSQYFMSSEELFQTEASLYRQHLNTDSPHTFSTMIHGRGWIKPNHPNDNIELFRVDQVPFSLVNNFATGNAGSIIQNGKRKEFNMRNATNLLNRLEDASAEHRNV >CRE14804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:278013:280890:-1 gene:WBGene00051096 transcript:CRE14804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14804 MMMILISGALTSFLSTGNLEIDDSRTRISTDIGKYAWIVFPNLFGSIALIIALHVYAREVPLNYVLLAAFTAVQALTMGCVVTLFEAKVVLEAAVITGLVVASLFAYTLQNKHDFSVGYACMGSLLSVLLWAGIFQIFFMSPAVNFVINVFGAGLFCVLLVIDLDMIMYRFSPEDYICACVALYLDILNLFIRILQIVAEANK >CRE14767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:281734:283890:1 gene:WBGene00051097 transcript:CRE14767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14767 MRSAKVGVARQLETKKPQTGRKISTSSRGTIHSQQSQLEDIQMKYTRKELKEYRQLFNMFDTDGSGAIGNEELKQAMISIGLHANKAEIDNVIKEVDADGNGEIDFEEFCACMKKSQNIVKSTNEELIRECFEIFDQDRNGIITENEFKYIAKEFGDFDDELAEKVFRELDVSANGHLSADQFATIVEDYLLSDPVKHDVDTGDSDVERHDDGRDDRASPMPNHLSTVPE >CRE14803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:273569:275912:-1 gene:WBGene00051098 transcript:CRE14803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-11 description:Tetraspanin [Source:UniProtKB/TrEMBL;Acc:E3MRT6] MPLSCTARALKFSLFIFNLVFLLCGLICLGIGLWLVLDKYAIDNLAFATAKVQGYDQDAGLRDLATKPTAVRQFGYLLFIGGLIVIVVAFLGCCGAAKEWRPLLCCYSSCLMMILAIQIAATIYAFLHSHMFENDFRDILHSSLKMYNGTDNMKVANPGQDGLLVKTAWDKIMIEKSCCGVDSKLGEFNNSGWYHLNRGRDQFPPACCPADEHGRLRPHCTTIMRHSHGCITPLYRENQIHFSAVFSILVVLTVLDLLLFIVGVQLFRRIRIEMLYYF >CRE14766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:272661:273007:1 gene:WBGene00051099 transcript:CRE14766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14766 MNTRLIFTTLLALLLSSSAQQRAAQQLAAYRQQQYFNWWYNQQRAQQAQQLPAQPQLVAQTTQPPQWFGNAQSDNKGNSWLGDDNAKFLLVARSSWP >CRE14800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:268886:269519:-1 gene:WBGene00051100 transcript:CRE14800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14800 MKFFSSKIPTSLLSCTSIKHITPEDIRHYKETMQNMKGQKMNASAMHLITNETIYQVTVEVATRAIHTLKKVIGRGVCEYKMGSKTDRLIASYNQVHEEYKEMLVKMEIKMKPSKAEYVIECWLKKDAAEKATQEHKDRRRMRKEFRKAAVEKALVNYSDGDSRSETESDDSKSMPELETTEYIPGCRILKEIIV >CRE14799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:265736:266637:-1 gene:WBGene00051101 transcript:CRE14799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14799 MKLFKIFSSSANNNSITAEDIRQYKKLRFYMKLKRMEADGMLNMTNGETIFRVTCETIDRATKSLEKVIRRGRGQYKPGSKTSMLVAEAERMKKEYENMAIKMQIKLRPSKAEEVIEQWLSVTTSNGTEENKAADTKTSSIEIPECVADTAANCRKKSPPVEPKKINYNVEKWYNYTVNDRDEEQKMAEIKANNLKVEKWLKDTMGRSDTENITVVTKPSSVKAKKWHKYHVGGRGEEKKTVEVEKGIVNTLPKPQQHRVYLEVIV >CRE14796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:251590:254048:-1 gene:WBGene00051102 transcript:CRE14796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14796 MGDKKPPMKPSDWKLPRQPEYRRPTMRQESDDSRRSRPPRDMRSTRAPPQSHRISPYRSVSPTRPITRRSDGSPGPLDVQSRQNSEANASRLRNTTRLRDTTRQSDSNELRRAPVSWNDLSRSMASQSSNRSMDSQPLMQQSSSLPKVSLSGSGSASKTNNLGYKLPPIFPNKPIDGIHGHSKKSPAKTEATRLPLIKVRGKSEPPMMSSGKDGSLMRESDVRGAPETQNRPCRQKTESRAKIHPTSWDSLAKQQKIEKEDTVEELIGRVNSDVGEELSNYLEKLVPLRTTTDPFPHSVQTPSTSDRSSNAPSLVPLRPKGSEHKTIIDDVNEPKYNLKQLKLGNLADNAGGTGLSGVRSSEPASPTKTARVQKRKADEYDDDGQGPAKRPSTEDDESEVAEQATTYPQDMSKLASWLSEFTKKEESTSNPGTTAAEVKPSYQPTRQVQKEVYRLPQKEPGKDLTTMDDTYSPQQSDDERYRAETPIQNFDESTRQTASKKSNSNVKRWRPGRSSSHTDIYKVTVKLPPDASTNECQMILKSLVSLMKIFIQFTLKFQEGVRVTQQTMDEATRQTYMKTRGVQYDNAISSSSSLGQIPRFTSSTRPESQGVIDGYEQAAITDQNRRSRRNVGRAREARSHLQNGSDSDSDDDNSGKRRQ >CRE14763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:249400:250584:1 gene:WBGene00051103 transcript:CRE14763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14763 MENQAKAFPILRLPIIVIQEVVSMMNPFEILHFSLISRIAKLIGQMCWRNSRHIDYRFDVEISEEPFIAFTKGERRWVYEITTEMDKSDQRRNWTDIELQYKYYENPIGGLKTWIQVVQKTLKATLQYIRINIDDYPTQNRVLIDWIKTQTSSVEKFVIDGSKLADDDVTYFLNTITAKWGLCLDTKLSDQFTINFSRALAAFYVHHGEWITVVQLLLIPALSISIVHSSLTPQELKVFFELWMDSKYHEKLRYFDIVLKSEQHLEAIASLPHTPIDKEGSWYLDTWFYKDMVQAGVEITRNDGATAFLGWFESPHSEFGLIRFCLYSNELNNALTA >CRE14762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:246882:248147:1 gene:WBGene00051104 transcript:CRE14762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14762 MENQAKAFPILRLPIIVIQEVVSMMNPFEILHFSLMSRIAKLIGQMCWRNSRHIDYRFDVQIRKEPLVAFTTGKRRWVYMITTDSDKSDKNGNREDLENIELLHKYYKNPIEGLKTWFQIVQNTLNATLQCFTINTDDYPAQNKLLIDWIKTQTSTVEQCVFDGSNLADDDVMYCLATMTIKWGLYLHAKLSDQFTYNFPCEFAYFTVQFGEWITVEQVISIPAISISIVYSSFTPLELKGFFQVWRAKLVHQTLQYFEIVIKSRHHLEAIESLPHSEIHNEEPMHLENAFYKATLLGGIEIRRCDGATAVLGLCESRHSEFGLLCFCLCSD >CRE14760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:240532:243375:1 gene:WBGene00051105 transcript:CRE14760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14760 MKPFSILCLPIIVINKVASMMNRFEIALYGCQVLDSTLLMQFMFLTYENPENGPGYQIFMKKTFGILKRYVIYPVTLIEMIGAIETLEEKWGIDRKMFFKDPSEYRRFIGKTQCTAQMSTEEIEELIEGKPLCSTNLSSVLKLDHFPDDLTIKEFLEQIPWITPSIFFRYLHSTSIVFANIVNNDTLLSTYNLLLLLPLYKFFEHQENASFFVKIWGYHGQKSVSECREEYAWFETKVKDFTPIEDFPINFWKLPINTSAVANLVMLQRIDKSVTDQEVNRRAKKCLLKKVLRKDAFAEIIRYLHLNYSTPDSKVSPIFRMDVENLQQIRSQLVQDFADSNNQMLDFEENMRLELTKYVTNIYNTGFQNLAKWEAALLILQELFPRHFNVSRPSTPHSYEESGTSSNPLSTNSSDYLFNSSNPNICIISRALDEQWKPVPFEFLTNKNKNSCAGKNKLVFNSTFSASVASYQQEVGEDQTGRTTECANGNTNSNATSSLLREISKYMLIPAGAVGPMFKLVPDGPPAAGWEQDFSWQQVDITRPIQYQNDWYNVIHNS >CRE14795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:230808:231710:-1 gene:WBGene00051106 transcript:CRE14795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14795 MPYMHRRNSAPENILPEAKTKTKGSTPKIPMLASSHEILNCWLAERGIVPRDRAKVKLPERCPYETMPTHESLDQLNKAFTVAIGSNTQEQPATIEQAVPTTLPYQRRSSLPQPLPMMDNRSFSTLAQDLILVFDQREQLRRPQSNSLPSPQPLPMIYSRSPSPHPSGFVNHLAPLAISRIDNVLRMERTHKVFPRRLNILAAMGMSYDSGLDNKLSDDDRARD >CRE14794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:228692:229249:-1 gene:WBGene00051107 transcript:CRE14794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14794 MSPPAPRLNFPMPFFNSSPSIIGAEIEIANEIDTFSEVGYLYDGLYEGLTSEMVKKDRKREKARKIGIRAIAGSSEEEETQEEIERDSEFIDVVGTSQDNAMMQVEERTDEEQVMIFSNFKKTN >CRE14793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:225301:227015:-1 gene:WBGene00051108 transcript:CRE14793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14793 MLLVFAHYLCLLGGLVLNDNTYLHNTNRRIGPNDVNDESIDTFSEVGYLYDGLYEGLISEMVKEDRKREKGNRRCGSFRGIRKQVFFRCSTLLTVSVMRKSIMEMFRWLTTTKKNEKKTTLTRSTRARKKKRRLLSDLHSVQGLTYYSSSEITETMSKRSPRSVGREMLTTGIKKMTEIANKARVIEQAKNFPRRNRDAMVQRKDMRNEGETKKDIFLNVLKVQWDIDTISEKYRDLEKQYKNEIEKLQVLGRLEIYHMDEIEYHLSQSEVNWQMNLRNFQEESEERASDRNRAKREIEELRREVEEEDEQKKEEKLSKDQRLEVFGKVILGKLIKIEKKIKFLFQEKERRQRVRAEARSINNRPERLLFRLF >CRE14756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:223137:224985:1 gene:WBGene00051109 transcript:CRE14756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14756 MSSTIPMEDNVSYTPPDPKIFFDMPAEMQQRIARTFTPQENCPALYPNCHMISSFHLNHSNFLFFKTIFSLMCPQSEKLIANLRFPLMKLPLLARRLVVCFMSIEEKVFYSSLSMKCMRLTCSVSPKTDYIRLFVSTNMIMELNLKELPFIRFIFNIHRKSIPERAVPVPNIHSIKFDIGKGQSGAVEVKLREVITPAMMLKAVTDLFRCKNIDVVFTFNRIRYVFSSIRDVFLGHTIRSLTISEGLGSFTIHSIKELFPTEMLILEDQPYGITREMFQNNVLKQPLRFICLGRGVPINLQDLLVMESKHIELWMPHISPFEWNEFLVHWVEGTFNKNLESLAVQIDTRIQPFTNEGIILTGMPMKPIPLEQPWEYIMPYRRSLWTDGRAIKSRYEIYGYVGKKATIIFEEDSNGIRFKMVACLDQV >CRE14755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:221336:222281:1 gene:WBGene00051110 transcript:CRE14755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14755 MLSFRQLHDRENAFRDIYATRCNKAVFAFKYAVEELKHYKLHYSQKWFSLPLKCAESLHELCLEIQEGLAEVQPDFHHLMELGSELLNIQRNQFIAMGRVAYDNTKHDGFCVSALVSIYEIWDKILKGTYEPRGRERFQFRFNPDEDHKFWKVFTIKNACIFDNNTTQVGELVEIEPEDRVEVRGLHHYFGEMKLNARVHMPGSCSITPVSSYESLPNSDDDTYLTFNRGEFREFEREWERIEESRQDNANPE >CRE14754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:219082:220091:1 gene:WBGene00051111 transcript:CRE14754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14754 MCSLQFFLLLAVTHMAFGIEYIPFRRLSKEENEELMRTCGLLRRRSPGNTEFVFMASVTRFERVYNGFLISPRHVVSTTDGDNEEFDPKRCNGYHYDMDPALLGNITVTVYNWRPKKIRKAVNFYGCSSKLFPKSSVWVYELEKDIDTSSVPHPICLLGDGSLLKKDTELMLCVDEPEESKSPVNLTECPTTYRDELFCGVSKDAIHSRDFGSGVVLKDRRINYLAGLLHIEKKKKSMESCSNATETPPAPTPLSSFSLSSPWSTSFAKNWESASKVQPCIPGGADIE >CRE14752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:213894:215298:1 gene:WBGene00051112 transcript:CRE14752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14752 MNNTIRGLENVLERLTLKRNKVEKNVLWRSIFEEIEQRAEKIRNHSDSPPNNMRSVEITPSKGNEDGRCDGSLISYPETRQSYIIHGVVEKANLFWQMVYEQRCPFIVMLSVNRSIPYFPLNEGDTILYELYQVKCTKKIVNEEYIVRSFTLVWIQERKKPHTLTHILCKSWGSQAPNLERFEEFFDYWNKCKTSHTDMRNAKKMYTRDCAVSSPVIQSNAGMGRVGCFLIMDFISDLLRNNIRHHYNIEKMMVELKTELPQGISNLNEYKFVGDQVVWEIEKLSGDSYVRPQVTNTLGTRKFGTRNVKRMVCSNNGMSNFEAIRQHRYLKMGVEVTKNVVNVTRNFISESLRKKEELPPRPSFATKVWRILTRFCNYSRKNEWSEWDTSDTAMLIDYDSDVE >CRE14792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:209816:213028:-1 gene:WBGene00051113 transcript:CRE14792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14792 MSRGQRDNFPRDLNHPRGRFQQTQHRNSRGNQQNETQFNPNASEFIPQGTDGNSFYNLGGNTQNTSLPAVPSSYSGHNIHRNQSRSDSQGRFQNSGLISLPVVPQNQIFASRPAPHNPPHSDTGYHTPYQHTGNFHPINTSVPREQQDVPNMVSGQPTNYVPQQQQLPIPYGGIPIPPPVIQIMPAQGFMNQYNILWNQHQMALHELARLRGDAVARPMESVNNYPEVSPSRNVVDAGTQTDAVTHMPRETQTYVTSASIGVQYEEISVEEGPPVSTTEVSLPSHPPACDSTKIQLAEDVKSDLTSSVAVSIDSTPEVRIIDSVENGSGPSTNMTSKSSEVHSIASSLPVGVHHKETSGVKSPEVPTFVSSQSTGDKNQDKYGTTGEKDRSLGVSPSKSYASVAAVVTGPVENTKLPTSSKCASPTLAKNVKSGSNFESVSPVKDARNSAKNPTVPSTSGTSQCSKVSSKVSSTKAGHSSKNTSGDTTNRVQKKDKPVGRDVKSTSSNFETSVASSDDVTTSSCGNCSFQPDSVPEVIVTSPDTQTQEMPPLKVSQETRIDVQGPPKEAAQISKILETATPPADKETLRVSFNESSLEKHKEQSEANQMENSENIAPIQSKQPTKSPKKSKPVKKGKSKATEQDKKQHDLELRPDDDEEELNRAIEKSKEEADTRIEAQRFIHRRVIKYFEAMDRIRYEYLAFIKERPKGHEFLEEIMEYWKQNLSQLHNLDYHRSDKKIESLLNSRITKYSLSQDEEDWKLCLFFKSIYNMFKKPDTEFIVLQDLLFLFNGMKSDFVQKSLDTEYCDMVACLDYWLEDWENAWRLSKPSLSAADLPINSLDQKSIRTFVRHINEQAKNRYLIYKGFSQMVLDSNYEFSNVFQKIRKDKLDWSQEKTKELFQERCEFWRNVENRNSDQSKFILYYELLASITSSVIDFDAHHLHKCIQNISKKDPERIWVEELKFMSDMFSFVASGDVISKS >CRE14751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:206763:208299:1 gene:WBGene00051114 transcript:CRE14751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14751 MSIMTAVNSIIEFATSIKAKFRKRLDLYRTPTTITGAENVCERLTLKKAVIEKTDLWKSIFEVRKINKFDGIILFQYMEKEAMRISEKNYRTWYKPMGAIERYLSVREPKNMNPIRTKLGDDIRIELVDGTLAFIPDIQQYYILHGGAGQQNKFWNMVWEQNSPVIVMLDSEDLKATYFPHNENETVKFQKYEITCTDMISESFCEVRNLTVSLLTEKGNYRHIKHIRYNGFKSLSRFRPDREFFPDHEHFGKFIDYLSENEVLHAAMPSNFFPYKIEKFDHSPPSFAPVIQANIKFGRSGCLVVIDAISRLLKRNIKHEYNIEKIILNMKIECFNSIQTPDEYKFIVNQVIHMTEKMKLKFPAVDAGITDSETIEVAPPKPTPPEKEVQLINPTKENFEKIMSAKEWTSEKFFRPIERKFGWKTEERELQSWRYDGVVNHSIIEKFNAHYRLLPRKSKFPWLY >CRE14791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:197650:201405:-1 gene:WBGene00051115 transcript:CRE14791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14791 MSRRQPDNVKSNLNRPRDRFQQTQHRNSRGNQQSETHFNPNASEFIPQGSNGNSSYHLGANPQNTSLSAVPSSFSGHDIYRNQSQNDTQGRYQNSAGPSQYAFPHSNGMIANNQQMPPLMSLPLQQNASGAVSHHPQQSDSGLQTLYQNNDTFNPNDASMLRVQQNVSNMVSHLGATPQNTSLPAVPSSYSGHNIYRNQSQSDTQGRFQTSAGPSQHAIPHSNNMVANNQQMSHLMSLPLQQRQVFGGGVILHNPQQSDSVYHTPYQNNGHFNPINTSMPREQQDVPVSGQPTNHVQPPLQFPIPNGGFPFPPPVIQVMSAQEFLTQHVFLWNEHAKALLEIARLRGEGVERPMESENNRPEVSPSRNVVDAETQTDIVTHRPGETQTDVTFKSVGVQYEEMSVSIGPQEEESPPVSTAEVFLPSNPPAHDSTKIQMAKDVISDLTSSVAVSIDSTPGATINDPVENGSGPSTNVTSKSSEAQEIASSLPVSVQHKEMSGATRHSQEEEAPLVSTTTGYLPSNSIVTTVTDTQNASEHRRAPTILIDFKSPKVLTFVSSQCMHDQCQDEYSTTEKKDRSLGVSPSKSYASVAAAVTGPVENTKLPTSSKFGIPSLTKTEKSGSNSNSVTPVKDTRNSAKNTMVPSTSGTFQWLKVQRNVSSTLRGFQSKNTSGNTRNRVQKKDKPVGRDVNSTSSNFETVANSTAGSSANFSFQPDTEPKLAVTSPDTQTQEESHLEVIQDIWIDEQGPLKSDQIPKILETESHPSDKEALIASLNESSLGEQKDQSEGNRMENSENIAPIQSKQPIKSPKRSKTAKKGKGGKASKQDKKNPHALEMGPDDEEELNRAIEDAKDEAIIRNGFQWFIQTRVNRYFDAMGSTRYHYLAFIKERPKSHEFMEAIMEYWKQNLSQLHNLDYHRSDKEIESLLNTRITRYSSSQDKDDWKLCLFFKLIFNKLKDPDTEVVALQDLLFLFNGNKSDFVQKSLDTRYYQMMSELDSWLPEDLGDPSMSATDLPPNSLDKFSITTLVIHINEQAKNRYLINKENPQITLASELVLSNAFQKVQEDKLDWSPDRTKELFQKRCKFWRNFENRNSDQSKFILYYELLASITSSVIDFDAYHLHESIQNIPKKDPERIWIEEMKFMCAVFSFVASDDKISKL >CRE14790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:196945:197317:-1 gene:WBGene00051116 transcript:CRE14790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14790 MAGTAQYTSITQTVTAQPQPQNATFLPFQQPAVPQPKKPCKCCAPCCMFFQICACLAEVCFAVCDCREI >CRE14789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:193079:194601:-1 gene:WBGene00051117 transcript:CRE14789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14789 MSGRASTSTGAIIERESLQTLIAGIKARMRAPSGCLKKLAQPDSVSFLTTSDVTLPGMCFFYLGQKLLDPSAMTDEGREIREEIEKVLNSVNASEALSQIRTKMTEKKKLCDETVRSLQDLVIKFRTIERDAELAAKRVDKVGARYNESFIECSRQIRSIQRRGETVLEIIGICQKYATVDEEKQLENVGHVTCVARREIVEQAMMQARNALIGIHNICAKFMERARVGEIEIDPAPELCIKDLMQDLEALKKKIKKFEIKTTSYDEQGAHMQRSFRHYVRDLRILVPYYIECTKAYKVGYEELMRLHSKLSVVCIRLDKQCKLDKTANEQFKLHDETLLEIENDIRQADRVIRENFPSQTENYVSF >CRE14788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:187775:189106:-1 gene:WBGene00051118 transcript:CRE14788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14788 MRELILTMAWLMIISAVLVFLALRFGLTAGYGRYTNVSRFGLNPKLAWFIQEAPSFFIPVYCLFIGTNYTGHHLTRLFLIHYINRVFVFPFRIRSTTTSPLYIMASAVFFCTYNGFMQGVWNSFYQEEEPALTARHYIGSALYFYGMYINHKADKILFNLRAPGETGYKIPTGWLYEHISCPNYFGEIVEWTGYAIIAWNLPALAFAIFTASNIGPRALSHHAWYKEKFPEYPPHRKALIPFLL >CRE14747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:186322:187505:1 gene:WBGene00051119 transcript:CRE14747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14747 MAYYMTEATKENCQDECKFIPDKKATEEDLRRIGVKCTKVNFDDANVQEDLDRLIKKYDMNFHDEVHICRATMPNFDEKLNIFFEEHLHDDAELRIIKQGVGYFDVRAKDEQWIRIPVRRGDFVFLPAGIYHRFTTDRSEDVVALRLFRNNPKWTAFNRSADGDKQPIREQYLNDISQ >CRE14746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:177928:181368:1 gene:WBGene00051120 transcript:CRE14746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14746 MRTRHLVLLSSLLFGISLTNSNGTGIYKHCCSYLITISTYSEVSRKDLIYLNKDIDAFISALSIASSASADGLFALHTLGPRLTLLMKTGIPIAAMVFKNPNPKPATPEYVALTEFIKRKNQIFHYLDENIVFSNSIISQIQKSDYVEKIELPLRRMMNVTEMVLDPVYQKSEVFIKTFKQNCILSDYAPASILEFLDYCVVRNCRKPVTQKDGEDLVKHRAYLLEVLLRLNVGETLLPDPSLFISEFKTIHDQLLDKKLLNEDLKVFDMYFRNYSDAMEVFFQEIRERGSIKETGVDEYDYEMAVIRSEDEKYELYFEETGNGKYCSLKRNVNGFNIILGRIKLDFANITERHILESKMARLNETSEKLIGTIDDEMDNIYAAANLSVIVHALKKKIDVDIISELNWHCWSIVRKWKYFSCPTIESYVLPYPVYTAPSISSVDYLHGGRFYEDCEQFRFIFFS >CRE14744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:155016:155940:1 gene:WBGene00051121 transcript:CRE14744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14744 MSLYTLPNPSGGPPITVAVVHQMLPSGRPPKLHYMERTLENGEVIRHTVVNENAVNALLLNGFKRSYPEPGEVVSIETDPADTRHVICRPSPLLPLIKGLTPEILAAFIQPGDDAIARCHQELYRRQIARNRIPTRKEYEAALKLNLNPLLNLRKEEERKTKEEKKLTKKSHKKMPRGKFPSQKSVLEKIMLDAERDAVKRRPSSQARFPSQAFPMGRIW >CRE14743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:149392:151329:1 gene:WBGene00051122 transcript:CRE14743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-13 description:CRE-GLB-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MRP4] MGQENSKCPHQSLAEKRYKVERPKTKKVSSGSATDRSPPTKADEESAPLRLTVSSCDVNAEDDIPEMRKLSVCEPNEEEATSMANAAGSKSKCKHFLTRRERILLEQSWRKTRKTGADHIGSKIFFMVLTAQPDIKAIFGLEKIPTGRLKYDPRFRQHALVYTKTLDFVIRNLDYPGKLEVYFENLGKRHVAMQGRGFEPGYWETFAECMTQAAVEWEANRQRPTLGAWRNLISCIISFMRRGFDEENGKKKLYSYNGQGFSTNRARRSVSPYAPSVH >CRE14742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:139828:141142:1 gene:WBGene00051124 transcript:CRE14742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nekl-3 description:CRE-NEKL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MRP3] MPVLLSFVINTFFPLSEMDKISNIYNFDEPPPEKLSLELFIIEKKIGKGQFSEVFRAQCTWVDLHVALKKIQVFEMVDQKARQDCLKEIDLLKQLNHVNVIRYYASFIDNNQLNIVLELAEAGDMSRMIKHFKKGGRLIPEKTIWKYFVQLARALAHMHSKRIMHRDIKPANVFITGNGIVKLGDLGLGRFFSSKTTAAHSLVGTPYYMSPERIQESGYNFKSDLWSTGCLLYEMAALQSPFYGDKMNLYSLCKKIENCEYPPLPADIYSTQLRDLVSRCILPEAARRPETNEVLEVAEHMNNHFSPSEEQ >CRE14784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:134308:138072:-1 gene:WBGene00051125 transcript:CRE14784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14784 MSVTIESPSLQGGSLSEKKPEYIDLKNIGEHARTVQPFKVSKHQPLIQGSVSKEAAIATHSALHFNLTPEKEKKIREMYDRLDADNDGSIDIRDLTQALASQTPHIPTAMAPKLLAKMTPENSDRVTIADFTNYVLAHEARLAEVFDQIDSNRDGEVDMSEIKSYCKELGVNLDDQKAMSIVKKMDQSGSSSVNLNEFQDFMLLYPSTDMREMVDFWRHNLIIDIGEDGQVPEDFTPQELQSGVWWRHLVAGGVAGAMSRTCTAPFDRIKVYLQVFLYPCYQKNINFLQVNSTKTNKLGVVSCVHLLHAEGGIKSFWRGNGINVIKIAPESAMKFMSYDQIKRWIQEYKGGAELTTYERLFAGSSAGAISQTAIYPMEVMKTRLALRRTGQLDKGMFHFAHKMYMKEGIKCFYKGYVPNLLGIIPYAGIDLTVYETLKAAYTNYYTEHTEPGVLALLACGTCSSTCGQLASYPLALVRTRLQARAISPRNSTQPDTMVGQFKHILQNEGFTGLYRGITPNFMKVIPAVSISYVVYEKVRKHLGATMS >CRE14741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:124005:133338:1 gene:WBGene00051126 transcript:CRE14741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-2 description:CRE-LIN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MRP1] MKELDPDESNLLFDNQLSIRDVIEQGPFSNVYRILHEPSNRKFLLRSINLNLFKKHTGLGPEEVDEEIKICQNLQHPYICRLEKIITSTNYRHMIFENIEGHDICFEIVQRASNGFVFSEYVVSHYTRQLLDALDYCHSRKIVHRDVRPHNLVLATKDTSAPLKLCGFGVAKDLSDIGGSMVCGRVGVPQFMAPEIVRKDRVSFSSDIWSSGVVLFLLLAGRLPFTGSTSDIYERIMHSDVDVDGYMPNISESARNLVRRMLSADPSKRIGAKEALNHEWIRDKEHMASRKHMNDVIDHMRRYNESRKLKSNVLSAVNSGRFDETTPRLGSPQTAFVDGSSPGGDCCQRGESTSTDAAEPPVDKDLSGAYKVLGSLDAINSLLDPGSYKPGSTTFQKIHDDGSVRNLLRLYDKINALPCEPVVTEVDTSTLRKEAVLQIDGLLGPSPEALELRQLLNSPHLASCVQALDVVVCEIRDSKNEAGGSGGKDPNCVSSDPAPAYLNGGVLPLGSQRAGTSYEHFNHSNVHTSYDEEEEELYDCMSRLRLVQFQKDTQEPMGITLKVNEDGRCFVARIMHGGMIHRQATLHVGDEIREINGMSVANRNVESLQEMLRDARGQVTFKIIPSYRSAPPACEIFVRAQFDYEPIQDDLIPCPQAGIPFKTGDILQVISKDDHNWWQARFVSSFPSIGNSSNAQRSNQQQVAGLIPSPELQEWRTACLAMERSKNSCNTHCMWFNKKKKYYTTKYLQKHSALFDQLDLVTYEEVMRLSQYRRKTLVLLGAHGVGRRHIKNTLIHRHPNRFAYPIPHTTRPPRKDEVDGKHYYFVTNEQMMADIQNNEYLEYGTHEESMYGTKLETIRNIHKSGKIAILDVEPQALKVLRTAEYSPFVVFIAAPNLQGMQDPDGSLEKLLNESDVLRQAFGHLFDFIITNSDIDDTISQLERLVEKLPAYPQWLPVTWVY >CRE14783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:114872:115320:-1 gene:WBGene00051128 transcript:CRE14783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14783 MASYFKILDVTYKCEKECPCRPENKLSSSLKLSTEQPTTPSTQKKVRFTVVPVTETNTYMSGSPIRSGWF >CRE14782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:100970:105509:-1 gene:WBGene00051129 transcript:CRE14782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14782 MLLACLLSVFQIEFLFFQAFQQNTLIMSTTATEASLLEIVPPSACPDKPKKKFQVVPVPGEFTRGRWKVIDTRFGSAMGEFGNYPPDDEYGMIVTTKNNVITVRQRFPRPPTVAAPEAATGGADSIKVLHKSQPRLDQVPVTNQIKILIMDPETAAVTAETLVSAPVGSDMIAPVEDMTTSQNSAAAMVGADGSKVEDSAKLATATSNTVVAIDNKIVQAMDLVKTHLTFAVREEVETLRTTITDLEARLNALREENRLLRENVAPDVLAFITANKQIVE >CRE14781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:95273:96250:-1 gene:WBGene00051131 transcript:CRE14781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14781 MEDPHHPARQNAQAAEGEAARHALRFERQAAIRAGRYLPPLPQFPQYLLEPPQNPNLMEVFDRAMEVHIHHRAQLLLARLRPVNFEPGNGIVVPEDNDYQPLSPQRSDDEAELDEQALERHQNLRRNFRRYMRPRGNAIPHEVFDRLMHEYQRLANQREHNNNGQFRDFNGILSPAPSPSPPLAVSPAPSEDDAPMEQGRDADVDIEMLNVQLMEMQPNQPRQRKRQNSVDAVPIKKKRVTFKDNSSDEDVNYYNDDTEDCTGTSEVNRKPFDDDDEGFFGGPSAQQNNIPCA >CRE14739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:90952:94732:1 gene:WBGene00051132 transcript:CRE14739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14739 MRILHYLQRRLARNPLPTQMVIAGTISGTGDCLAQYLAHNKEWDKWRTARFAFLSSCFMVSDRKSQHIYIISIKAPTLFIWYRLLEKVRGGSQKLLLAKKLCIDQLCFSPCFNAAILFNMRFLQYQSVGTSWELLKEDWLNIYTSSLKVWPFVQVVNLYFVPLNYRVIVNQVIAFFWNCYLSYTTQKPIDHIEQFY >CRE14780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:87754:90398:-1 gene:WBGene00051133 transcript:CRE14780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14780 MPVRIDLSSTSSVRMIAVNEDNLACAYLANLYIPIFTLDTGSRVRRYEVSNQLAGIHFSHNKKSVIYAVDDSFGLHLFDVRSDSKKKFRLNPESENHNVNCTAMANEIIAVASSEFGGGILDVRGSRRREHHAHVISLYDVRYPTDPITSYYKRHKAGVVDMQFYLNGTHLITGDTDGSLKSFDTKLRDEDNAIRWERWVKGPITKVGVINKRIVYGISDRSEATVFVDAKGSLEVLYGSVNTAANEPILNTSKAFFKKPEWCAGLIKGVTDEIPLVAVHGVEKKKFISLTAMNQEGVRQSTPMLTYKGHTGDVKCMTVTPELLITGGEDGKVVVQISNFKNPKFGEDNTHQHHRLTLNRGDLAELEDNGEGRVEEVSDDSDSDSDSKAPGSSSKPSTSKSSSKPSSSSAGASSSSAGPSTSGPSSSSKSKSSKPDKEKEKEKEKEKKEKKERKEKEKEEEKEKERKKKKEEKERKKKQEEEEAEKEKKAKTEEKEKKEERARKEEKEKRKKEEQEKKEKKAKDDQPSTSAASAPSSSSSKQETKEERKARKEREAKARELAEKKKSEPMTEEQKKYAEEREARRLAKLAETPEQRAARKQKAREERERLEKLEKEAKKAKKRDGEGSSSSDPKRAKH >CRE14738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:85550:87169:1 gene:WBGene00051134 transcript:CRE14738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-odr-7 description:CRE-ODR-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MRN4] MLSDTNGWFFYPHGMFYGSYCIQCCMSIPHFVCYPFNPAELTRAAAYFKRMTDKVEQQQQNSNNGQQWGPFPPPFNGRTGEHPFNYEEQHRVGDGPAGDFDQDAARQQANPFMQQLFPRFSEDLYFTLISTRLYFSGIPFPDFTEYQRFNNFQRNAFFPNPFGPQFGNPGYPFHLNPMHNPMTGMDGFNFPHGQHPPAPTNPKSGKESVLSHQNSSQSSEDVQDKPPVLSAEYNMKPNIEQKFESGSEFSMVPKDEPADESMKDHHNKKQEPHPFPFPPPLSAEKPFEQARIREDVLAFNPPPFYQSPLDMGSNNTFKQEMSTPPVIDGHLDYRRLDSEAKRLEFSSGGALHDCQVCLSTHANGLHFGARTCAACAAFFRRTISDDKRYVCKRNQRCTNASRDGTGYRKICRSCRMKRCIDIGMLPENVQHKRNRRDSGSPPMKTPFDTFFNGFYPTFHTPSATTPAIPTSEARTN >CRE14779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:83001:83493:-1 gene:WBGene00051135 transcript:CRE14779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14779 MRNTLQVDSRHNEASRDNSDVWLVVILCLLFCFALRHMNDFYVGESVTLVAEGEFSHRFSRKQTNKHLERVEKDFNDMFQKLCEKYERDLDADGCCGYYEEKKLIEKTV >CRE14737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:81076:82910:1 gene:WBGene00051136 transcript:CRE14737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdf-2 description:CRE-CDF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MRN2] MSSERAPLLENGIPRVIYDTDEGRKTTVTYDNFHCHDEAGSTDSHDSNKRATRVLWLTVVLCLFFMVCEVIGGVLAGSLAIVTDAAHLLTDFASVLISLFSLYIARRPPSQTMSFGFHRAEVLGAFFSVFLIWIVTGVLVVLAIMRIINGDYEVEGGIMAITAGLGVVVNLVMLALLYFGGHSHSHGGGSSHSHGHSHGGNGDNINVRAAFIHVLGDLLQSLGVLVAALFIYFQPSWVIIDPICTLLFSVIVLCTTIYILRDAMIVLLEGRPSNIDFAKVFSSLEDIEGVKKVHDLRIWSLTMDKIALSVHLEIDSNSQSQGILRETRRMLKQTYNVHEITIQIEEFGANRSDCDKCDVPLK >CRE14778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:72940:79783:-1 gene:WBGene00051137 transcript:CRE14778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-myo-2 description:CRE-MYO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MRN1] MDYENDPGWKYLRRSREQMLEDQSKPYDSKKNVWIPDAEEGYIEGVITKTAGENVTVSVGPGAEKTVKKDVIQEMNPPKFEKTEDMSNLTFLNDASVLYNLKSRYAAMLIYTYSGLFCVVINPYKRLPIYTDSVARMFMGKRRTEMPPHLFAVSDEAYRNMLQNHENQSMLITGESGAGKTENTKKVISYFAAVGAAQQETFGAKKAADEDKDKKKVTLEDQIVQTNPVLEAFGNAKTVRNNNSSRFGKFIRIHFSKQGRVASCDIEHYLLEKSRVIRQAPGERCYHIFYQVFSDYLPNLKKDLLLNKPTKDYWFIAQAELIIDGINDKEEHQLTDEAFDILKFTQTEKMECYRLVAAMMHMGNMKFKQRPREEQAETDGTDDAERAAKCFGIDSEEFLKALTRPRVKVGNEWVNKGQNVEQVNWAVGAMAKGLYSRIFNWLVKKCNQTLDQKGISRDHFIGVLDIAGFEIFDFNSFEQLWINFVNEKLQQFFNHHMFVLEQEEYAREGIQWTFIDFGLDLQACIELIEKPLGIIAMLDEECIVPKATDLTLAQKLIDQHLGKHPNFEKPKPPKGKQAEAHFAMRHYAGTVRYNVMNWLEKNKDPLNDTVVTVMKASKEHALIVEVWQDYTTQEEAAAAATKGGPGAKKKGKSGSFMTVSMLYRESLNKLMTMLNSTHPHFIRCIIPNEKKQSGMIDAALVLNQLTCNGVLEGIRICRKGFPNRTLHADFVQRYALLAADESVIGKTDAKKGSALMLGRLVKEKKLEEDFFRVGLTKVFFKAGIVAHLEDLRDSRLAQLITGLQAQARWYYQTIEKKRRVEKITALKVIQRNVRSWAELRTWVWFKLYGKVKPLVNSGKIEAQYEKLQETVATLKDTVVQEEEKKRQLQEGTERLNKETADLLAQLEASKGSTREVEERMTAMNEQKVALEGKLGDANKKLEVEEARAVEINKQKKLVEAECAELKKSCQDVDLSLRKVEAEKNAKEHQIRALQDEMRQQDENISKLNKERKNQDEQNKKLTEDLQAAEEQNLAANKLKAKLMQSLEDSEQTMEREKRNRADMDKNKRKAEGELKIAQETLEELNKSKSDAENALRRKETELHNLGMKLEDEQAAVAKLQKGIQQDEARVKDLHDQLADEKDARQRADRSRADQQAEYDELTEQLEDQSRATAAQIELGKKKDAELTKLRRDLEESGLKFGEQLTVLKKKGSDAIQELSDQIEQLQKQKGRIEKEKGHMQREFDESSAALDQEAKLRADQERVAKGHEVRLLELRLKADEQSRQLQDFVSSKGRLNSENSDLARQVEELEAKIQAANRLKLQFSNELDHAKRSAEEESRERQNLSNLSKNLARELEQLKESIEDEVAGKNEASRQLAKASVELDQWRTKFETEGLIGADEFDEVKKRQNQKNSEIQDALDACNAKIVALENARSRLTAEADANRLEAEHHAQAVSSLEKKQKAFDKVIDEWKKKVDDLYLELDGAQRDARQLSGEAHKLRGQHDTLADQVEGLRRENKALSDETRDLTESLSEGGRATHALSKNLRRLEMEKEELQRGLDEAEAALESEESKALRCQIEVSQIRAEIEKRIAEKEEEFENHRKVHQQTIDSIQATLDSETKAKSELFRVKKKLEADINELEIALDHANKANEDAQKNIRYFNYLTITPFSSFRRYLDQIRELQQTVDDEQKRREEFREHLLAAERKLAVAKQEQEELIVKLEAIERARRVVESSVKEHQEHNNELNSQNVALAAAKSQLDNEIALLNSDIAEAHAELSASEDRGRRAASDAAKLAEDLRHEQEQSQQLERFKKQLESAVKDLQERADAAEAAVMKGGAKAIQKAEQRLKAFQSDLETETRRAGEAAKTLARADRKVREFEFQVAEDKKNYDKLSELVEKLTSKLKIQKKQLEEAEEQANSHLSKYRTVQLSLETAEERADSAEQCLVRIRSRTRATAEQK >CRE14776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:64751:68411:-1 gene:WBGene00051138 transcript:CRE14776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atf-6 description:CRE-ATF-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MRM9] MDFNDTVIEPDIEKLLQNPDFPIHFDELELDSLLYGDNVSQESSPSSSFAFSDQSAGFRSRDGGSLGDSSSDSSPPLSCTNFTENDQEMWDFGFQNPGPFDNLEQNLIHTPMQEEKVFEGYADDFSGALHYVEEEQVERFQKPAQNSRVISLEPKTQPTIRRVVSRPPIHKVYRIRDASVIQQLQQHQRQPSYRVVQPVNAQPRRLSSIPPRQPPMRQVFADMPTLEMPVQPESGPLIRLESDEARYVPIAPTRDIKTEPQAFTSEQTRKIRNRIYAQASRIRKKEAEEHMKMTIQDLLAENEVLRAENAALKQQLRYYEPDPPIREYSNQMGRTLKQKKMIAAGTVLMMFGLFAVISPFNVENHIRMNAPVLAIANKSSIVARHGRVLGLDISPPAVIKPHYPEHDYSNSTQHDCEMFKLNATETLRVNKDIERWVQVHSFDNVPVKHSGGLLNKEAMRKFNEAQKKKMSAVFGPETPVVQKKTEQAALRFRERTWRQLDRLKTPENIPIVHEKVQRIGQDIDKIASIVRQKGDTLYIMTLQDYVLLPSLKKGANSIPKLSLLLPMVPMNGTLLDQYTLLRVDCEVTGTGQLTLSNKQLSYLMP >CRE14775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:58586:63946:-1 gene:WBGene00051139 transcript:CRE14775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14775 MTTRYMERLAKIEEVLLIANKKIDINDLRAGCSYGVPESLRPLAWRLLLQYLPLERHKWQSFLANQRMNYDQMIEQVIVEPGTASMEQSKSHDNDHPLSDNPTSDWSAFFQDNKVLSQIDKDVRRLYPEIQFFQLLSKFPHPHGMKYPLSRRVINHQELDTQEFGANRDGIVGCVKTNLAKSNQDENQAPDSEFHWHIVERILFIYAKLNPGVQYVQGMNELVAPIYYVFANDADEEWAAYAEADTFFCFQQLMSEVKDNFIKTLDDSICGIESSMSAFHNMISTFDPELHKHLTLTLEIKPQFYAFRWLSLLLSQEFPLPDVITLWDALFSDPQRFALLPYVCLAMMELQREPLLKGDFPFCVRLLQNYPDSDVARIVAFAQDIRDGKAPKPTVKEVSKGSKIARHARQLSDTLRNLSIIKK >CRE14774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:53195:56073:-1 gene:WBGene00051140 transcript:CRE14774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lips-1 description:CRE-LIPS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MRM7] MLNHVLILSIIACVGVMGDIFGPLSDHFVHHLNKRPKRNDALLRKISEFGDTGSFGGKVFSEEKLTNTPIVFIHGNSDSALKFGDASFQSGWDEVLKYFLSKDYTLAELYGITYGDRNISNSYTRHFNCETLHLHRRFIEFVLHYTKAAQVNIIAHSMGVSIARKVIQGGEFISKTEKCDLGHDLSHRIGTFISIASANYGMCPCQHAFAFPACGVETGFYPGSCSDTACSSEDPNVSGTCGDISYSSYLMNLNKNGKKDATFVASLWSDDDEILGKGNMVWGRKTSVVPHSDMRKVYNELSHNEVKTLTAADQYNIIRMNDQKFSATETTEY >CRE14736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:43488:48291:1 gene:WBGene00051141 transcript:CRE14736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scd-1 description:CRE-SCD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MRM6] MNVGNGIAPVNQSISQAQLQQLQTLHGMGLNPAQLAMIGISLQSNGIQVQAQPTTANQVVVSSAQQAVNAQAMNHPPVPQPQQHHLTQAQAQAHAQAQAQAVQAQAQAAAQAQAQAQAQAAQAQAQAQAQAQAQAQQLHAQQQQQQQVQQQLQAAQQQAQQQLQAAAAQQQAQHQAQQQAHQQAQQQAQQQAQQQAQQQAQQGQVVVSSAQQVAGQQPTGQNANQLLQQFQALQAAQAAQQAAQQHIQLSQQIQQRQLVDAMANPPCPPPPPGIPSMPWPITQPVCHVPYFDMNLYRSVNIEVTPSHDASGMDLPLVDITTLRFFFNLGVQQSRNLIAARQHLNLQPGHQAQQQQQSSTSIQPDNQGQNANILALVNQANGGQVPNQNTIEQLNQLLGQHNILRQAQQLQGPQGQQAQIQQQILQQQVNQIFAAQRNQQQQQQMQQQMQQQASQNALQQQLNALAEQQRQQQQQQQQQQQQQHQQQQQGVQSAQIAANTTASAGTPNLERKIPNTELVTTISSQPIVGLAVTPGFQVSAQGMPQSATTPVPPAVTPNAQQQQFQKHIDGQTLLLQQALLNANNSSHNGNKATLHDIRFQAMAHQQQVAASGVGQGPSSLHPFIRPTEAVRQANVVNGGSDSNVSPRPIPLDPSPPRVTSGGAPTSLSEPPKEPHINIEDDKPTTSATTTATHPLNPIGIANPLSAASAAGFHNSKPSTAVSGNGNPGSNVATPVLSIIQMSEDHFNDTFRKSEQKRQQGQLVTANGQAGTSPRIEGATDPAAPDDKPPALAPILMMSYLNNCMSHAKTQLTANGVQVGIDDNGQVVDFAARPIDPIFKDAREDIKSKDVRTMEHTVKRPYSATSSQSKGILIKKSPSPPGTRQPGQSSQTPSPMRHTPNHIGIGRTIAESAPPKIELENDRSPSEDLEDDGAKRLRIATDEE >CRE14735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:30321:30731:1 gene:WBGene00051142 transcript:CRE14735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14735 MWFNGGPPGPPHHGPPPPGHPLHGLPHPAYPPGHPLHLPPGVQMMPMGGPHTVMPGMPMGAMPPLPPMTPMGQMQQNGGPSGSHQMMGPSTSGPPPSQPRGRPAHRGKLHFWHRDEFCEFRGTMNLASCYYVMFSA >CRE14734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:18867:21979:1 gene:WBGene00051143 transcript:CRE14734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-4 MQNSTDCLNLNSELWLYREDLSTRWYIMLIFAFLYLIIIAAGIIGNTCVILAITRNKSLQTVPNLFILSLSCSDIVVCCTSATITPITAFKKEWIFGEALCRIAPFIAGISLCYSTFTLTAISIDRYILIRFPMRKPITHYQAVGVIALICGFAATITSPIMFKQRLGEFENFCGLYCTENWGANESQRKIYGAALMFLQLVIPLTIIIISYTAISLKIGQSMILKGAKKQKTDNWEMELSDQQRIAVKRRQRTNRMLIGMVVAFACSWIWSVTFNILRDYEYLPELIKTQEYIFGIATHCIAMTSTVWNPLLYAVLNLQLRAAFIDLMPHWLRRRLNLEGDNSSPLLTHPTMTITNKYGSTATQAIKATYINTANGQPYVSTSLVVGKVQQEPSSFKFNGSGRKKSMMRILVQKRNKEEEEQLITKESPSPPEIQMDTLCAAPIIPRRKSAQPRSTNEKVVLPRKASF >CRE24081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:417107:419812:1 gene:WBGene00051144 transcript:CRE24081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24081 MSDAEMNRKFIEMMELMQKQMAEQAKKHEETIAVLTKAVAEKSQIDSSLGTSSGLTVSQSQLMNDIGGRISVFRFDLETEKTFSKWYARYSTAFTEEGKGLDDKNRVALLVSKLSEEVYEQYSRRICPKLHHEVDFKDTVDILKEMFDIKKSLFSHRFACINIARDGDSPVEYTNKVNSLCELAMLKDIDPDGWKVFFWLRGLDPAQDTKARAYFLKYVEKKTELGEKVNINELCTEWQKIQSQTSAVSEMEKSDTAVRAVYAKKPSNQDQSGDKNTSEYDYSEGGKCWNCGKRGHRKPDCPKPLTTCFDCQKKGDMSSFCRSRKDSSSRKTQHVAIVGGASSEDAEVNSVRQYVSVDVNSESVEFQLDTGSDITLIGREDWTRIGKPDLEKCTSKVKSASGNELKILGRALVEFRLKESVGTGYVYVREHGNLLGLDWIGKSEEMSYHMGMMVNELTHSNTDGIHGGLNGKFPEVFKKGLKRCVKKKAVLKVKENATPVVRSKCPSVNGASRVVEKVVSSCTISLGGKIPRKIPLQPWKTPERVWQRVHIEYAGPENGQYYLVAVDAKSKWAEVKIVKSISAVSTVGTLKDMFSQHGYPETLVSDNGTQFVSKEFATMCQEAGIEHVRSPAFHPQSNGQAERFVDTLKRGLKKLKGEGSVNTEILSQFLLHYRSTPSNALGGLTPAEVHLGRRLRTRLSLMMPQLNKTVDSEQVAMKEQFDKHHGVKARSYRKGDSVFVKVFEKNTWSWKPGKVNQRQGRVVYVIGLNDGRERVVHANQMKMRLEESTQEQSKEHEWATTMFDVFELPTVWSARKSTDEAKRDMTPTPVMDSPQRVHQGQGTSSSPAQQVQSRASTQQSSSQSTTTTAVQGQRLAPSPVQPRRTTRVRKNVVKYDPSDHR >CRE24124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:415471:416331:-1 gene:WBGene00051145 transcript:CRE24124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24124 MNEMDDMDSEPSFQEGLRSLCKMAGVELSGDEDGHENEPVDVKMKEVKVAPMNVIPSINIASKTSSVDDGGYEGMETRSASPQELEDLYPADYRGSPELDVVQEFVMPADMTIEININKLLEELKKADPFENQNSNKRHQLSDVKKKILDHHDLRRRLEIRDNERDEHIKMGVEFLQYRAELTSRMPENKLAMVSTKVLTCLKNMLEITFSEMLLSLACFMEITASHSRKFEDYLHLEKDLIIQILPEIEFMV >CRE24080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:412492:414333:1 gene:WBGene00051146 transcript:CRE24080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24080 MESSKQDQLYESPDKKKVETVLVEKKEVETVLAEKKEVEKVQVENKEEEVKENVEEEKEEKSELEEKRHKKLKKSDSDWDSETDYVLKWNGDYDYDYDYDDEPEQHLEDEDEENEAEHSEEYEELVNWRVNFRLRYRIKSDNIAVDPTSSAYGSVADMMRELNKNSDGETLARNFQFRHELNDRTYKNKYILRLDACKLTFSERDDSALIRSITEASVLNETPSNQEFRNRNMAMEEFRKMLSLKICVWRSLFVSNDTNQMGDMDLSKCVKTISAENLTVYMDSYPNVVEILNLHQPMLQKFTLMCREEFSGVLELEQVRNCPRLKFDGPSDFTDEQLLSIRARVFEFRSEKITSTGINAFLKALVAENIPEGFHACVTTNNQKWTEKEVMKGFDYLIYESEAAYVRINGSIPLNVYRPLLCTMATNRSDQRLVVILRNNHFQCFKEGQMVEYLCHDNVHLD >CRE24079.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:399728:405137:1 gene:WBGene00051147 transcript:CRE24079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-147 description:CRE-TAG-147 protein [Source:UniProtKB/TrEMBL;Acc:E3MVP8] MSTLNIDLTEERPKVPEIVAFKLDQDSPVLKNNLLTLIPKRTRSAVSMRADETDNWGQEALDEKEAYILILRDPSIKNELLDRLNTFRRNRELCDAVLFVKEREIFVHKVVLAAVSPALFDMFNADYEGSQEEKSLTSSPTNGEGHTNGVTNGNGVVAQTNGSPGQTQKPEKSSTKFYEFAQTDFECFEALVNFAYTANLEISSKKVAELYKTAFALQMSPVIKACAAYLADNLQVSNCIGVRRQANFHNDNFLMSKVDQFIVDNFDSVVNDSKEFTQLPVVQVRIIVPADDGKVANNANNQGGLAEMALFYFQNMPHDRAEHSIELLTCKTHILYMDENHLADCIDMDDHSSVGSCDIIRDYKKSGKDKKDLAKAMTVQEPISNTAVQHRVNGAVPVRLNASRMPNMNASNESLESAGTDESDPQDTIEARLISTHRTAPQYWVALVVLYRRLCVLSLQLTDNEELLRTKTQSVDSQKAALLSRLISCLGKQQKPLECMSAPRCSIGASFLHGKIFVCGGYDRGECLRSVEEYDVEQGKWRNLANMKAERGRFDCTVQGGKVYAVAGSNGNNDLKSAEVYDPKTDTWAPLPNLKTARCHNGCATIDNFIYCIGGSFDQTVLKDCERFDTSTLGAEDAAWEPIASMDQARYQAGVCTWRGLIIAAGGCDRWTCMDSVEAFDPKTNAWRQLPKLRQARRGCAIAVVREALYVIGGHDGTQSLDTVEILDSPSSQWRVGPTLTTARANTHAVVTAGNVIFCIGGFTGVKFLDTIELLENGNIPKQPIGWRNWQTCPEQILEEQEEEESSQMDNETTSSAPSSP >CRE24079.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:401251:405137:1 gene:WBGene00051147 transcript:CRE24079.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-147 description:CRE-TAG-147 protein [Source:UniProtKB/TrEMBL;Acc:E3MVP8] MSTLNIDLTEERPKVPEIVAFKLDQDSPVLKNNLLTLIPKRTRSAVSMRADETDNWGQEALDEKEAYILILRDPSIKNELLDRLNTFRRNRELCDAVLFVKEREIFVHKVVLAAVSPALFDMFNADYEGSQEEKSLTSSPTNGEGHTNGVTNGNGVVAQTNGSPGQTQKPEKSSTKFYEFAQTDFECFEALVNFAYTANLEISSKKVAELYKTAFALQMSPVIKACAAYLADNLQVSNCIGVRRQANFHNDNFLMSKVDQFIVDNFDSVVNDSKEFTQLPVVQVRIIVPADDGKVANNANNQGGLAEMALFYFQNMPHDRAEHSIELLTCKTHILYMDENHLADCIDMDDHSSVGSCDIIRDYKKSGKDKKDLAKAMTVQEPISNTAVQHRVNGAVPVRLNASRMPNMNASNESLESAGTDESDPQDTIEARLISTHRTAPQYWVALVVLYRRLCVLSLQLTDNEELLRTKTQSVDSQKAALLSRLISCLGKQQKPLECMSAPRCSIGASFLHGKIFVCGGYDRGECLRSVEEYDVEQGKWRNLANMKAERGRFDCTVQGGKVYAVAGSNGNNDLKSAEVYDPKTDTWAPLPNLKTARCHNGCATIDNFIYCIGGSFDQTVLKDCERFDTSTLGAEDAAWEPIASMDQARYQAGVCTWRGLIIAAGGCDRWTCMDSVEAFDPKTNAWRQLPKLRQARRGCAIAVVREALYVIGGHDGTQSLDTVEILDSPSSQWRVGPTLTTARANTHAVVTAGNVIFCIGGFTGVKFLDTIELLENGNIPKQPIGWRNWQTCPEQILEEQEEEESSQMDNETTSSAPSSP >CRE24078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:394189:395086:1 gene:WBGene00051148 transcript:CRE24078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-182 description:CRE-COL-182 protein [Source:UniProtKB/TrEMBL;Acc:E3MVP7] MSDSARIAAYGAITICTISIAATLFYLPIFFSRIDNIRSTLAFSMEEFNTLQAEVWGDMVHLRGEIPGLKRHQVKRQAGNEQCNCDQQNLCPSGPKGRPGSDGLDGLPGTPGEQGEQGLAGIAPEAEKTKDGCRVCPPGPPGPPGYPGQPGPQGLPGNQGELGEIGREGSPGPQGAPGDMGMMGDAGKEGEKGAPGREGVRGEKGPSGPPGLPGLVGPKGFSGNTGPPGNPGEPGPEGEQGPAGKDGAPGYDGGFGNYGEAGQPGEDAGYCKCPSRKQRKH >CRE24077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:391646:392546:1 gene:WBGene00051149 transcript:CRE24077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24077 MIYFFGIDDWLTSSGRTCNVSMKKSILCAVLFLLLFAAGIAQFFFIDHFKLVVPSVGHAVLFLFEMICFLVLINALFNERPLFFLPFVVTELVRCSCILVVIVLYIVKMFQVQGREDERPNIVLPALHYDNEHKTVRQMVKLVIHLIFVFFVHLVLAGIGYRCYQIYSWGTRRNRMNHADYRPTQPMHSVIVLEQPIEQAMYGKKPVN >CRE24123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:384626:386052:-1 gene:WBGene00051150 transcript:CRE24123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24123 MRKYILIEFRVILHTWEEHTRLWFVAVNDDDEQKANIDIVFAAGNHDDGEPFDGKGNILAHAFFPRYGGDVHFDEDENWSANKSSRLNKSNTKIRNIVSKVEGVDLYAVAVHEIGHSLGLKHSSNHLSIMAPFYKQYTGSIMHLHQDDILAVKRLYGSPVKIRKKTSTNHIWRSELCTKPYLDAVTTLKNGTVLAFRAGNMFFELRTNRKWFLPRRINKVFPFDGPLEAATTDRHGNVYFFKREIYWVMTKYGDMMNGYPKKISTGLTDTPDGISAALYYHEDGKPYFFKKSYFWQYSRHGKQGIWPQAMSTVFDNKDYPPEIDAAFQFNNTSSFLFHQDKYWKVSGTPMRIEPGYPRSIAKDWFHCN >CRE24122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:375751:377406:-1 gene:WBGene00051151 transcript:CRE24122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24122 MIFSKLISLTPVEEAVDELRSKFRHSPDIMKPIEKLFKKSSKKKVINNDEWQHVDLDKYYTLNLYMPPEEVGYGWIKTAAKAKERNKHDLFHILCKERIGSKNYDAISKINSDHGVLEASLGDIEEQHVKVWMGKMRIKFSFNEKTKGPIRFDFDRIVRDYYETFIIVERCLKEQNCLTKFQILDAFYHRLNAVVVFLLIEVCEAQMKYGGFDSSDEHRKEVYQLSTFIITALLMYKKVTTIVNDNREKERTALGENNPNYVGCDIFAFVFPESTTFYSRPKLITSSTASCSFQEVDNVQVYFS >CRE24075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:372772:373256:1 gene:WBGene00051152 transcript:CRE24075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24075 MPTFRMGAQNKTCHSTFELPAKMNRQLLLVFVAICVLSQNAMALRGALFRSGRSLLHRQINQEVAIEQPQMIQSEKRSPVVELYPVVDTGNGDPEAFASYFRF >CRE24074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:369010:372189:1 gene:WBGene00051153 transcript:CRE24074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-17 description:CRE-NHR-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MVP1] MLAFESHIDSTYTRQKAKDLAKKFIPGELCTVCGDVASGIHYSVAACNGCKTFFRRVVLENRTYSCKNNGDCIIDKSMRCSCRHCRYKKCIMAGMDRAELSMERRRKRKKLNGLEGSEESWETEDPLINLLLMKEALYQKLLHSSAMTIHTSLREALDMTQIAFNDKNSKYESRMDPKYPTNFSFWRAKILTVLVEWAKSFEVFAHLMPEDQKRLFIHTAFSNLVLAEAFHTPEKYNDRIVFPDGLCGFRNVAQSVSSDGVSNKTYGLTPTVVAVINDVLVPIRRMRLTKVEYVLLQAIIFFDPDCLSLTKHGAQIIAAKRKRLLDSLQRWLQAQTKDAIEASTRFAEILLRICNVQKVAAFKRETLCTIETFELMQPHPFTMEISKSYPDFSYF >CRE24121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:352915:360222:-1 gene:WBGene00051154 transcript:CRE24121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adt-1 description:CRE-ADT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MVP0] MPPLFIVITFLLSTVFWISESIHHHLNEEELKQVFGVSNKHDVPEYSLIETTRHPLKNGDMKMKFVAFNDTYHLNLRKNSRIVSPHIISVIRHADDSVTTYDGLRNYEHCHYQGEVKSHGNMKAAISDCGALMGSIVMEDHFLVLQTLPKRVHHLQKERHLVYKRSAGLLTDAESKIREEITKLQEEQESFCDTSEQLDDPAMTIPAHLHFNYTIPTSAQLDSPFIFPNMDPITLEIGLFLDSKLFEHFEREYIQDAEQHLLEFSLALINNVHVLYQQDTLTPNLDIVIVRYEMWKTQPSALSTGVHKNGQAQSLLDSFCRYQAHMNPGTDLTDMNHWDHGVLLTGYDIYHTTTSVAGVAPVARMCDPLFACSLVEGLHLGRSFVLAHEMGHNMGMVHDGVQNQCNKGCCLMSAVNGAGKTTWSDCSVREFNAFLLQLDESGRGNCLRDASPGLISTNHLSDVRLPGQRFTADQQCSYFWGRDYKVEIPNGKSMDDICRILWCGNSGSTISTAHPALEGSWCGVNKWCHKGHCTPWLFGLPPVAIDGQWSEWGGAEKGCPIQQCAVSGSITIQAQHRDCVDPAPNNGGKTCSGANIRGIVCGATSSNCLGFTREEFGNKICSSIKYDPHKPDQQLTGEGFEHSTQPCRVWCHLIGSELIRNKGQFPDGTPCGYDAYCVGGQCLALSCDNKALVEQPEDCPRLEGRSVHQWEDWSSWSECSVSCGPGGRQVRERKCSSGRKCQGVSEESRACEGVLRDCEEFGEWKEWGSCSEKCALGIQKRFRPCLTDQCSGEHLHTKTMKGVGLIGMSGRLVHKLVVVGEDTGYGMTAFHIRAYKNDPFLENVLTTNVTVMIWKKSPVTLKSVCLKLGETGYHVLFPAESVSKSEKDCVMENFVQLRTNKPGHVINSRKXXXXDQFFILTMVLTGTAVRLVHHLIAGSVLRNVMVLLRNCQDKIDEETCDNACLREQHSFGPISPKRPKIITSNDLRKAFGRPLLPIESITGEKWSEWGPCSVTCGSGRRIRTRDCQEENCEERNIQSEECSLNSCLELFIWSDWSSCSKSCGRDATQTRQKLCLFNNAECSSYAESRRCKDLPSCSSISSGNTISENSFDAPRWSEWSSWSACSCFSLTSTRRRFCQVADPTVQGFCAGSILEQIPCAPGNCSPSAGGWSLWSEWSSCSKDCGDTGHQIRNRMCSEPIPSNRGSYCSGYSFDQRPCVMDNVCGDGKVDGGWTDWTSWSECTDYCRNGHRSRTRFCANPKPSQGGSQCSGSDFELNPCFDPARCHLRDGGWSSWSDWSACSASCGFGVQTRDRTCSSPEPRGGQSCTGLAHQTSLCDLPACDHESDGEWSAWNEWSGCMGNCGIGTKTRVRACVSPPASDGGQPCFGRSSEITECRQSPSTALCSSFITSSHLADGYFIESDQRQ >CRE24120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:347802:350720:-1 gene:WBGene00051156 transcript:CRE24120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-50 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MVN9] MRRATGVFYCLALLLHGCLIVDAAKILVYCPSISKSHILLCAKYADLLHNAAHDTVLFIPSYSTPLDNFDGAKHAKVWRLHNVTDAYDQKFDSLASVMEDSHIGFIDRLRYDVDFWMEMCEDYVRQIHRLQHLADYKFDLAMFNDIDPCTPAIVRYLNISKTVLLSSEAIMDKIAWDLGLPLLPSYVPSMEENPNHDRMSFFERMSNAYKYFQSIVVHYLQERRVLHIFQEHISPDFPAIREIIRNVSLVLVNTDEIFDISRAFSPKFVYVGMIGADNNVALPKHLDEYFSKGKLGSVFVSFGTVTPFQVLPHRIQLSIFNAIQKHPDYHFVLKTSSGGSFGKNLESISYLNITDDNTTAQLFCDVPNVDFVNWVPQNAILNHKNLKLFVSHGGMNSVLETMYYGVPMVIMPVFTDQFRNGKNVERRGAGRMVLRETVGNETFFDAIDEVLSDKRYQASAKRISQLMKNRPFKPEERVAKWINFVLEHDTAEHFHLESNSLSFIEHNHFDIVFMFLVLPVITIFVYRRFKSKSKQ >CRE24073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:344983:347675:1 gene:WBGene00051157 transcript:CRE24073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24073 MSRTYSQKCAVCGRLTSLFNYEAHSCSACGSFFRRSLTTPKYFEDCENNECCFQDFQRAIHADCKFCRFHKCVDVGMLNISRFTNLSKLVSGLTLLDSKREFVYLNLMVPTSFRSEDLLKQSSVKMLAKPKNIVFDCHDWGFMNQLTIIEYLKKLDFTKFLSSQDLKSFLKSVHFTHAILTSAWRSYSLKQEFMSFPDGLDIFPECVKDVSCISSNLLNKIRCLLINRFIELKISQEEYLLLSAIVFSNPGIIEKYSSRNTFPELSDTGRKLVGSYQNVYNASLFQYCSTAYQQNGHTRFHELLSVYHVVSKTYEDIKKYLILFQYYQPDAQPKQMHLEVLQMLNFLMDSTPQFCTVCGQSTSLFNYGAMCCSACSSFFRRSLSVKTPLQSCQNSELCFKQYNRAVYAECKLCRFHKCVQVGMLNTQKYTNLPKLMHELSYLDEERKKKTVNMTIPECFDLSSIFNYTSIKFAKKSPNLHLSSHDWGCMHQLTSMEFIKTFQFIKFLSMQDLKIFLKSTHFNHVLFSTAMASFSCKQGFMSFPDGTDVFPESIANVTCYNPHFLNRIRCKLMAIMIELNITTNEYLLLSAIIFCNSVSTDLSENGRTLINSYQKIYGSLLFQYCEQTNQKNGPLRFSELLSVCHAVAKTHEDIKKFFLLFQVYQPEAQPVQLHQDVIEYLSM >CRE24119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:328482:336584:-1 gene:WBGene00051158 transcript:CRE24119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rgs-2 description:CRE-RGS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MVN7] MTAVGPINTNGLFVRNPNLPEIEPQAAHTSINSSTSTSTSTTVTPVIPIGNNNPNNNNGEKSWLRRFVTLPLNLMKVCVGTKKEEPVPEDGSVSVEKKTPDNDGPPTYEIVYGWSLSFENLMKHRSGQKYFAEFLKGEYSDENILFWQACEELKREKNAEKIEEKARIIYEDFISILSPKEVSLDSRVREIVNTNMGRPSASTFDDAQNQIYTLMQRDSYPRFLASPIYKNAMATFGIKEEAV >CRE24118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:321414:326162:-1 gene:WBGene00051159 transcript:CRE24118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-12 description:CRE-PGP-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MVN6] MRWPKKKNGIEPFNEISNANGKKKDMTVDLSKEDDGSVDSDEEPKIVYEPSRVEKFINYMLCRGDLASRELSVKPVTLLGLFRYAERKEVALLTFGVFLSILSGIAQPALGIIAGGITNSLLVYNTTSDEFYDSAMVNVWMFGGIGLIVLVVNFVQYMCFQYCCIRITSKMKQEYIRSILRQNAGWFDKNHSGTLTTKLHDNMERIHEGIGDKLGVLIRGMVMFVTGIIVSMFYEWRLALMMLGIGPLCCVCMSLMSRVKRHYLKMWFLKKYLQSMSSFTSKELAGVGKAGSIAEESLMGVRTVQAFNGQEEMVEKYTAELGKGKSYAVQKGLWGGVFGGIFLLFLFTYFGGGIYYGGQLLRWKIIESPGDVFIVVISMLIGAYFLGLISPHLMVLLNARVAAATIYEIIDRTPDIDAYSSEGQKIAKIVGKVVFENVHFRYPTRKKVKVLNGLNLTVEPGTSVALVGHSGCGKSTSVGLLTRLYEQEGGKVMIDGHDVRGLNIDWLRKVVGIVQQEPILYNDTIHNNLLIGNPEATRDDMVRVAKMANAHDFILKMPKGYDTVIGDGGVQLSGGQKQRVAIARTLIRDPKVLLLDEATSALDAQSESVVQSALNNAAKGRTTIMIAHRLSTIREADNIVFFENGVIVESGNHEELVALGGRYASLVKAQQFKETDEIFDDDEIEDDMTKIIDKQSLLSSRQVSFHRSCESLASADHEIGYASTFNTFTLKTAQDAIENEDFAEEVQRVMEEDGVITSGYMDIFKNAQGNYWYLSIGTVFAIMRGSELALLAILFGYVFEAFEKPDDEMTSALGIVFILYGALGLYVFITQIISGTLFTIVAENLGLKFRVQSFKNLLYQDASFFDNPAHAPGKLITRLAIDAPNVKAVVDTRMLQVIYSMTSITINLIVGFTCCWQIAIVGSIMIALFGAMMTSLAYRIARENLRQIKKDEAGNIAIEIIENVKTIQLLTSTQRFLDHYKESQLVQHTSEMKKSYVQSVNNAVSQTFMYFAMFVCYGVGTPLMYHSIVEPNGAFRAINSMMMGSVAVMHSSHNFPEFVKAKTAAGMLFKLIYRKPKTGDLMEGNQTEIRGNVLFEAVKFSYPQRPMHPVMTDLHFSAHSGQTVALVGPSGTGKSTCIAMLERFYDVSGGALRIDGQDIRSLSLHHLRTQMALVGQEPRLFAGTIKDNVCFGLKDVGSSEFQVPIEKVNQALELANATRFLSNLPSGIETEVGEKGSQLSGGQKQRIAIARALVRDPKILLLDEATSALDSESERAVQEALDRAREGRTCITIAHRLSSIQNSDLIVYIDQGKVQEAGNHAHLMSLRGKYYDLIKKQDLTS >CRE24117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:315245:320068:-1 gene:WBGene00051160 transcript:CRE24117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-13 description:CRE-PGP-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MVN5] MPPQGNMDDSEQNPSRVRTTIGIDDKLPTSSFSSAAPTDDDDDEKFQYSLSAFEKIVNFLLCRCDLSDQVLEFKPVSLLQLFRFATPFDYILLIIGLVTSVISGVSQPVLAVISGRLTNVLLVVDPLSKEFKNKAMENVYIFLGLGIFVSLNDFLQYMCFQRVCSRMMTQMRNRYISSILRQNAGWFDKNLSGTITTRLNDNMERIQDGVGDKLGVLIRGISMVLTSVIISLVYEWRLALMMIGLIPVSTICMTLLSRFLEKSTANELENVGVAGAIAEEALMGVRTIQAFNGQEEMVAKYEEHLNSGKKHAIIGGFWSGFFGGLFFFWLMAFMGCGILYGGYLLKVGIIKSPGDVFIIVMAMLLGAYFLGLISPHLMVLLNARVAAASIYKTIDRVPKIDPYASGGKKLDKVVGRVTFRNVHFRYPTRKEAKVLNGLDLTVEPGTSVALVGHSGCGKSTSVGLLTRLYEPEDGTVQVDGVDVRDLNLEWLRNIVGIVQQEPILFNDTIHNNLLIGNTGASREKMIEVCKMANAHDFIKKMPNGYDTLIGDGGVQLSGGQKQRVAIARTLIRDPRVLLLDEATSALDAQSESVVQSALNNAAKGRTTIMIAHRLSTIREADKIVFFEKGVIVEAGNHEELVRLGGRYYDLVKAQAFKQDPDEIALEEEQENQFDEFEKPTVFNRQVSRTSSRSSGRSGSEEFRRGTLANHSFDRFRKTSHVPTAEDEAFALKVKETMEKDGEVTAGYLDIFKNAQGNYTYMFIGVVAALIRGLDLPTFALLFSWVFEGFGFVPYGGKMMHRFAMSVIAHCAAGLGIWFFQTLSTVMFAIVSENLGVRFRVAAFRNLLYQDAAYFDNPNHAPGSLITRLAADPPSVKAVVDGRMMQVIYAFASIVACVTIGFIYCWQVAILGTSLIFFLAFMMVGLAFKITLLTGEYMENDDAGKVAIEIIENVKTIQLLTRTRRFLDSYQNESKKRKTTELKKSVFEAINYSITQNFMFYMSCFCFALAIRVINQGDQSVDKVFRLVVVCLMAMMLCCEGIILSAQFFPQFVGAKTAAGQMFNLIYRKPQTGDLKTGSEPEIRGNILFEDVQFSYPQRPHQPVMKSLQWTALRGQTVAIVGPSGSGKSTCISMLERFYDVTGGALRIDGQDIRTMSLYHLRTQMALVGQEPRLFVGTIRENLCLGLKDVPLEKINQALELANANRFLGNLPAGIDTEVGERGGQLSGGQKQRIAIARALVRDPKILLLDEATSALDSESEKAVQEALDRAREGRTCITIAHRLSSIQNSDLIVYIDDGRVQEAGNHNELMQMKGKYFELIKKQDLAI >CRE24072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:309870:314457:1 gene:WBGene00051161 transcript:CRE24072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-14 description:CRE-PGP-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MVN4] MAPKDDPDNRGFDDQRRPSQRSTVLAIPALAVNDPKVDPKIASDLPSNYIDDDEDAPKLYTPSFFEKVLNYALCRGDIANQQLEAQPVSIPGLFRYGKKFDWLLLFIGTICAIISGVSQPILALVSGRVTNALLVYPPTSKQFRNKANENVYIFLGIGIFISITNFIQYMCFQHCCTRVMAQMRHRFVYSVLRQNAGWFDKNHSGTITTKLNDSMERIREGIGDKLGVLLRGFAMLIAAIVVAYIYEWRLASMMLGVAPTCCICMSLLARQMTSTTIKELIGVGKAGSIAEESLMGVRTVQAFNGQEEMVGRYEAELEKGRKFAVWKGFWSGFFGGLFFLCLFSFLGCGMLYGAYLLRVGIITTPGDVFIVVMSMLLGAYFLGLISPHMMVLLNARVSAATIYQTIDRVPKIDPYSKAGKRLPNVIGRVKFENVHFRYPSRKDAKILNGLNLTVEPGTSVALVGHSGCGKSTSVGLLTRLYEPEAGNVTIDGTDVRELNIDWLRNIVGIVQQEPILFNDTIHNNLLIGSPGATREKMIEVCKMANAHDFIEKMPKGYDTLIGDGGVQLSGGQKQRVAIARTLIRDPKILLLDEATSALDAQSESVVQSALNNAAKGRTTIMIAHRLSTIREADKIVFFEKGVIVEAGNHEELVHLGGRYFDLVKAQQFKADPEATEDFEEEEISLDDTSRSSRRSSMTSARSGSDAFQRGNSLNDSFSGSKRSARADAENDAFAAHEAEIMAHDGEITAGYLDIFRNAKGNYLYMFFGTVFALIRGLELPALALIFGWVFEGFTFVPYGGRMMHRMAMAVIAFASVGVGVWISQVISSVLFAVVSENLSMRFRVQSFRNLLYQDASYFDNPAHAPGKLITRLASDAPNIKAVVDARMLQVIYALAAIIANIVIAFIYCWQIGILGTSLIILLAFVMIGLAYKISLMNIEQIKNDEAGRIAIEIIENVKTIQLLTRSELFFDHYQTASKQQKRSELKKGMIEAVNYSLSQSFMYFMMCFTYAVGIRIIYQGDKSPDDTFKGIIAMMLGAVAVMNSAQYFPEFVKAKTAAGMLFNIIYRKPRTGDLMEGDRPEIRGNILFENVKFSYPQRPLQPIMKGLQWTALRGQTVALVGPSGSGKSTNIGMLERFYDVTDGVLRIDGQDIRNLSLYHLRTQMALVGQEPRLFAGTIRENVCLGLKDVPLEKINQALELANANRFLANLPAGIDTDVGEKGGQLSGGQKQRIAIARALVRDPKILLLDEATSALDSESERAVQEALDRAREGRTCITIAHRLSSIQNSDLIVYIDKGKVQEAGNHSQLMQKKGRYYKLIKKQDLAV >CRE24071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:303802:308292:1 gene:WBGene00051162 transcript:CRE24071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24071 MFPVADPPRAGSEDTGQDSRRSTIRAVPALVVSNPKATTAPIDFSDDDDIRKEYQPSCFDKFVDYILCRGDVAKRTYETRPVSIFGLFKYAKAFDHFLLFIGVICATISGVSQPVLTILAGRVTNALLIYAPHTKQFRNKATENVYIFLGIGIFVTITNYIQYMCFQHCCSRIMAQMRHRYVYSVLRQNAGWFDKNHSGTIATKLNDKIYFQQMTATTVKELGGVEKAGSIAEESLMGVRTVQAFNGQEEMVERYRVELNKGRKYAIWKGFWSGLYGGLFFFWLFAFQGCGFLYGAYLLKVGIISSPGDVFIIVMAMLLGSYFLGLISPHLMVLLNARVAAASIYETIDRVPKIDPYSKKGRFLDRVIGRVKFENVHFRYPTRKEAKILNGLNLTIEPGTSVALVGHSGCGKSTSVGLLTRLYEPEAGNVMIDGIDVRELNIDYLRNVIGIVQQEPILFNDTIHNNLLLGNPSATREKMIEVCKMANAHDFIEKMPKGYDTLIGDGGVQLSGGQKQRVAIARTLIRDPKILLLDEATSALDAQSESVVQSALNNASKGRTTIMIAHRLSTIREADKIVFFEKGVIVESGNHEELVRLGGRYYDLVKAQAFKPDSDPSPSNDEIVEEIDLGPSSSGLHSRQSSFTSSIRSRMSGAEAFRRGTLGADSFGGGRSSARADAENAAFAEEEARVMAQDGKISAGYMDIFKNAHGNYTVMFLGFVTGLIRELELTAFALLFGWVFEGFQFLNVDNGKMMHRMAMAVIAYGCSGFGCFVSQFLSVSNISVFFALVSENLALRFRVMSFRNLLYQDASFFDNPAHAPGKLITRLATDAPNCKTVVDSRMLQVLYAASAIIANIVIAFIYCWHLAILGTALIILLGVTMCGLAYKISLLNIKQIQCDEAGRIAIEIIENVKTIQLLTRCEHFYDHYEKSSKSQKRSELKKGLIEAINYTITQSFMYYMMCFCFALGIRLIYQGNKPSQDVFQANIAMLLTAMGVMNSAQYFPEFVKAKTAAGLLFNVIYRKPRTGDLLEGSRPDVRGNILFEDVKFSYPQRPHQPIMKGLQWTALRGQTVALVGPSGSGKSTCIGMLERFYDVTGGILRIDGQDIRGLSLFHLRTQMALVGQEPRLFAGTIKENISLGLENVPMEKINHALELANANRFLANLPDGIETDVGEKGSKLSGGQKQRIAIARALVRDPKILLLDEATSALDSESERAVQEALDRAREGRTCITIAHRLSSIQNSDVIVYIENGRVQEAGNHKQLMSKKGKYYELIQKQDLGI >CRE24116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:297661:299722:-1 gene:WBGene00051164 transcript:CRE24116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cept-1 MTCRLSAKACGFGQKYIESDCLLTDQELKKLREHKYSAVDNSWLDELCMKKWWEFVITLCPMWIAPNLITLIGLVINLITVLILSSFSYSATEPAPSWAYLQAALGLFFYQTLDAIDGKQARRTGSSSPLGELFDHGCDSMTQVFVTLNICYAMALGTVPYGVLIVSVVSVVMFYCAHWSTYCTGQLRFSKFDVTEAQMSVISVLLFTALFGNGVWETHIIFGYTLKYFVIASSFFVSLYQIWGYVHVIFSGGVGKNGSTIAGTSVLSPLFPLLMVIVPFIMIYNKTESTIFDDHITLFSLCFGAVGAKATNRLVIAHMSKSELRLWDWIYVGPLALMLNQYYNFVVDEYVLLWIATVYCYTSLFIYCTVVCRQFCDFLNIYIFVLGPRTPKTN >CRE24070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:293869:296262:1 gene:WBGene00051165 transcript:CRE24070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24070 MPKSPHRTNFQSLYPVIILLTHLALEAQSWRIRRELDGEGEGPEADMIPTEECYHKYSEENHFKVFSNFLNRKNSSHYSPIAPSYQQALLSMQMKGLKHGEQITKSSSKCNSRKMDTISAETPLRDRALCKFEYVLNYNPKRLPAALTEVKCSCPRPNPKLVGKRIFECEHLRYQVRVLMWDDSCNTFREHVETIALACIPVIQANANADGDEDFVYTIKAEIPI >CRE24115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:281293:285518:-1 gene:WBGene00051166 transcript:CRE24115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alh-13 description:CRE-ALH-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MVN0] MVQYSITVTALMFSYKTEKRYVCFHTEFCLFTEILIPKLENVHQGNFERLLHSCSTTNLDLQMFIFQILSTKMFRATRCLRVPLRNSHINILRPTQTELIKTRSSALAPYEKVSPITAVGATPVRDANGNFCTKTRQKHPLISTRNDLKKAQRVVVKLGSAVITREDECGLALGRLASIVEQVSELQQSGRQMLIVSSGAVAFGRQKLRQELVMSMSMRQTLRGPSGMAADKRACAASGMPGLMSLYEQLFQQYGITVAQVLLTKPDIDDDQRRKNLQATIESLLSLNIIPIVNANDAVAPDPKLNMHISDNDSLAARLSAEIEAELLIILSNVNGVYTGPPDLEGSRLLHTYVPSENSGVTFGANSKFGTGGMESKVTACVTALQNGVTTVITNGLAQDAITDAVAGKKIGTMFCNTKGYEGPPIEEVAEKCRDAGRQLAALSNKERGAMVRHLAALLVDKEKYIIEANKTDLANAKSSGLDPQLLNRLKMTPEKIQDLHAGLNTIADSAETLVGRVLKKVKVAEGLFLEQVTVPIGSLMVIFESRPDCLPQVASLAMASGNALLLKGGKEAEESNKALHALVQEALGTHGFEMRDAVTLVRSREDVADLLQLKDLIDLVIPRGSSDLVRSMQEKSKGIPVLGHAEGVCHVYIDKDCDEQKAIQIVRDSKCDYPSACNAAETILIHKDLATAPFFDALCSMFKSEGVKLHAGPKLASLLKFAPPPAESMSFEYGSLECTLEVVDNVEEAVAHIIRYGSGHTESIITENTNTAEHFLKHVDSACAFHNASTRFADGYRFGLGAEVGISTGRIHARGPVGVEGLLTTKWLLRGEGHMVEDFKNGKYTYLHENLNPTEVFRALETTGELKKATA >CRE24113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:277071:278899:-1 gene:WBGene00051167 transcript:CRE24113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-123 description:CRE-STR-123 protein [Source:UniProtKB/TrEMBL;Acc:E3MVM8] MEKLVEYLQYTGFVGSLLMNSVLLFLLYYRANPKFGRYRILMIVFSLSALWYSIIEVLTLPVMFSKGRSLCVCSNGPLRLYRPIGVPLTGIYCGSFGLCISLLALHFFYRYIAVCKSEKMYYFDGNRIYITFLPCVVVFIAWSLTTYYPMAPDEMRERHYHDVLMEHFNTNSHESSFLAMMFKAPATDTQPEHWIPTQLLACAFMSSIMGTCFSVIVYCGLKSFQQMKNCASQMSTRTRELNRQLFTTLAVQTVLPVVTMYLPVGLIMILPIFGVELGVAANKTAAFLGLYPALDPLIAILMIRDFRNFIFCKSTLGASIASHSAPPSKLV >CRE24112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:275866:276499:-1 gene:WBGene00051168 transcript:CRE24112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abf-5 description:CRE-ABF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MVM7] MNYYHIALATAILFIIPNNSESVCVTKRTDWGQFGSFFTDPLCDVWCRMRRCGKGVCRENPATTNTANCVCEKCYRDDNGNVIFPEDDGFQQSRLNFDGPRSSTSSSSSWAMNQRNENDLYPSQNSYDYDRN >CRE24111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:272890:273556:-1 gene:WBGene00051169 transcript:CRE24111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abf-6 description:CRE-ABF-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MVM6] MTRTILITLVLLNLSVLVSSVTICSSSSILSTFTDPLCTSWCKVRLCSSGSCRSVLSGDDPTCQCESCTFGNWFGSSSDSNSNQPVTGQYYSAGSGGMQSTQNYQDSQYGYNNNGYNNGNNNMRYNDNGYNNNQGYNNNNNGYRTPVTAGYGNANGNFNSNQQYADQQNYNNGYRNNQYGNSVPSGYGGAGQSNYASGYQNLKKRR >CRE24069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:270426:271795:1 gene:WBGene00051170 transcript:CRE24069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24069 MSFPETANLLPQLQEKLESVSFIDQVKLEPVETPAPVPAVVRPTPIPTAQFLAPTQQFVLQPTPAQLGMRRNKRPLREIDTDPTVSAKLFKRNDERMDKYVAKTITFDINPYHFRLLAKVGFTEKFAQLPEFTPKIYNEIPASPNLPTPFKTPGQDNSIFFGANFNPEQQFFTGDSVTPKSACTPITPLTSGQSTPLYGERSSIKKLLEERRRLVADFLKQQGLFPNNHSISKFQELHSEVFPTRTSLILKIREVRQRRMSNSNETMIEPSYKPTLDNIFSAIYDKYPIEHKLPTPSFPPSTELIDITV >CRE24068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:253392:269506:1 gene:WBGene00051171 transcript:CRE24068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gei-3 description:CRE-GEI-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MVM4] MENQEGGSPKQIAAIPSLLTQQSLPSAASTAFRDHTSESQDELSPTNSSQAPTPAGPISAFRFSSAFFNPDILRNLNIQKSSPPKLPTEDVLPPQASQSSSDTPAEPPPTSSQPPVIQIAHPLPLQINPDSPATPNPNSTVVLLDWLGHRVLARVPPGVYQSGYVKNATNNRDITVQLDDGREAKYNNVTHAFNLSLVIADQAPSHSELITIGSNSILAVRLPLERSEAVYRTAELLPSKETAPRFLVKVTNANYSSLVSRANLRLLRPPWFEDLMQNTQTSQSLATTPVPSLPSTTENGGSVTNTGNVSLLMALQHQQRRSQSFQHVVSTPSVSTPITSSQTGAPVSPAGTVTNPATHPCTSNQESSSKTVSVDSDEEHPDSSNQDQSSEPSPTTVSNAAPRSLFVNPSQDSGIFEGDSEGQQANMFQNITAPVCATKAMLDQQRFKKGEIVTTQCGIRKKFNGKQWRRLCSKEGCNKESQRRGYCSRHLSLKSKPPHGHHMERSSPGNSKPELFHPEPTVFLPNSTSARVPTTVNTSVFSAGKINPLSAPSSLLSDKSLPPQFSVERAQQIQQQLMQIGNVRFENQFQQLQQLLMQQVPAGRRPDLPAITQANSVFCPPLGLTNAASGLFNINPTLLSQLTAPLLQQQAQALLQQQLNAQNNNQANNNNVKIEKEDDEQDEDDDDVGSDNVSICQKTEERSDDDDDDSSGGGGGLASTGSSNPNGSSSTFPINSTRSGESTVTPPTDQNQNQQSNSRNSSAELTGYDMSHELSVETSDVGPPSLPSQPSSASIGSSVNSAFRSPVKIEPKDDYEQPQVKEMIDIKPEVFRLAKERRRSKTSATEPHVRRPMNAFMIFSKRHRPLVHKTHPNSDNRTVSKVLGEWWYALAADQKAEYHKLAAQVKEAHFKAHPDWKWSNKEKKIKSESLNTTPVAMTPLKNKVFDFDFRSAADDLARSFVDGTALLSPMTPMTPGASVYRNLSSSIDSMSIPSSSSSFDFTTLPMLSPCLSAMSVNTGSPAPSSASSTFHFDFDCFKQGTNGVYPTFNSAMISTLVNSSTPCRPLLPMYTTNTSSFYSPTASAFRVLNPGITSFIGSLPAVESVTSSPISAATPTMTMKVQ >CRE24108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:230054:231001:-1 gene:WBGene00051172 transcript:CRE24108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24108 MTFGEELVINEAPIAKSANVQFLNFSARAWSHSTKDHFHDEWGFLTVDPVGNATLMTTGNNGFTTYETGTVSPNKLVLTLKDIGRISFSRDLPVEDLRRTFIRHDDRYMEQVIEMRTATHPKVGYLEHTRVVYTKLK >CRE24067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:229259:229561:1 gene:WBGene00051173 transcript:CRE24067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24067 MTQEVVEKIYEKIDKELGDQHIEHVVKNLMDTENQEEAARNLMELHKQCCSVFLNISPQSSGSRINSTQPKRILKKK >CRE24066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:227548:228371:1 gene:WBGene00051174 transcript:CRE24066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24066 MPKGSKRNKNKKTGKALSQSTTPKARKSPKEQLATRKARRTPKSKKFQEEEKEFEYPDPYITGLFTISQFYTSQMFETDCSDRKTEQIRDLNNFSHLMQPQVRNANPGIHEFDIFALIQAVPELQAKYKEFQNFLEAQEIVPMGDELLDTRKKEDKNTDSDQNVEEDATKQKKDPIMGLNEKSGCDGMAHSSTESSRSNKKTTEMNAELSEDVDESSELASKSAEPIDTTAGLQKNFSPVSFTEYPIESDNGQVSVQ >CRE24107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:224932:226201:-1 gene:WBGene00051175 transcript:CRE24107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24107 MKLLKFPWLVLIKILKFMDTLDIINLSSTNRRLREQYTSEINPEGINIMFSRYIAVIGIKGSRLIDFINDASADSVPIGVRTIGPITFNAFSRAINDRFWEAMVEDRYTPCLTLAQYFMTIFPKAEQNYFCCDYECEMEESTRQLLASYDLDLFKEKEFKFSVESDENTKNLVNQYCKSNQLSVIGLEDGDYKDGDYKVTRTKNFEDNELPEDSDFWFDRFPDLEYFISYILISGLKVGIEAWRDLIKKWVEEDLDQLVFVCANGVTSLNMLELTEGFSTHPWNDEEMIEFKKKTDFSVYFEQKGTIICNKRNRKASLHFDQENSIFTMIVWDTQASEKCLSLFPEIQAIF >CRE24106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:220562:221833:-1 gene:WBGene00051176 transcript:CRE24106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24106 MEQFKVELDIDPEPQSRHVMTKRNTRLANARERAMMRQDVAPTNGKRTRRETRKNTVTVRKKNNPVRQYYPAFEYQEDEESSPEPVVKLTPERRAAAEQRIAQQKAEIEEQKRNGTYVDPMELYEEALRRNNQDIKPNKRGSEVPFPENPFRAIVLARIEKERVERQRRAAEEVGDLEAQAATYAETRKKLDEARLYMRRYAVEEAAKRGLPPPPEDPLDIFDLREHFDPDRADAPPGPSYEEIMARVTRPKVISQMLEEAAKKEEAERLAREAEAAAEVVAESTSNNQVKSCTKRKRAHKAAEVQELNGHC >CRE24065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:216282:218250:1 gene:WBGene00051177 transcript:CRE24065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24065 MAPKKKTLPISENCVKLQIEMGNLSIKNDEDQLSTHNTKTMLAEQSEIAEQLPVGKTLKTKASEVGIQTIEDGWTKNCAKCTRTCEHHAKTKENLKEKIIVIRALKKEMEMLKKENEKLINDDVEHVETAQGFERALEVIRNREETINHLNKRLEAFGEKQCLKEQVEIDTERVNGLLKKKCEKCFENNKNYEEAQQELKKKEFNIKALKKELDLVKTENKKLINDGNCKVESEHFGRVLTLIKEKGDTINHLYQRLDEEGSKSRDQMYSLRKRIEELEQSLTSTKILNKNLEKKVLHQTEHIDTLQADLTVTKKALEKETHEAKEANHVCLIYYKKIKALEVYLPPEVERKNKKYEQELEKLRKELLWFDSEYSVKKYVEIKKDELQAYSDGLNTCCAVYQRNFTHLKEQRSLDELEKVPPMPVFSTYFSSIVNRLKKKSGSGYRRVQYGETSGNSQYQQHNSYQQGDDCCVCLYKILETERKYRCTKCQKYFHCVEMERTNGMQSAQSAQSLLQNRTATHFFESEAVYTV >CRE24105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:214023:215250:-1 gene:WBGene00051178 transcript:CRE24105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24105 MSDVQRKPPEFKFMDLPYLPKQYVLHTMEFSDLLRASTLSKWMAEMVSKVKVPDMDVTINSQIAIIKAGDFKWTINKVDEPDWFGPQLFSKTEFGRYPVTGFTSSKWVSNTTDENFDEVVSIVLKIRKIFPKLKIKNLKMDLNHVDTYAPMFDLFDVKQIKKVDLFTNINKLPSLIEKTKNMKMQGLIHYEEIIWFMAEVKIESSKKQITYCFQNPVDPHGGLHWFTTLGCIMSGMQVLKLDGELLTNADCIEFLKLWQTGKLPCCGIMKAEKVKNIDLKTVLKGTDWSPWNDAQMEKYRGIECFSQYFDLKGFIVKWDDEIISIQYLEDIQLFFVVFWDRRFVDRTFRPIKKIF >CRE24101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:199381:207109:-1 gene:WBGene00051179 transcript:CRE24101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24101 MKSIILGPLGLLVAFCCFYQPADAALAAMSIDLGSQFIKIGLVKPGVPMDIVLNKESRRKTPNVISFKNDERFFAEAAAAMSSSHPQSTYNFLLSLIARKEGDDAFTTFKKTFPFTAFEFDDVRKTVIFPYKEEKYNVETLLAMILWNAKKVTEAYADQTVKDVVITVPIFLNQAERRAIASAAEIAGLNLLQLLNDGSAAALNYGVFRRKEITEKPTHMLIYDMGAVKTTATIVQYVLESTRKDGKDKQPTLRTVGVGYDKTLGGLEITNRLRDHLEKVFRDTVSTSKDISTNGRAIGKLHKEAERVKQVLSANKDTYAQVESLFEEQNFRAKVTRDELEKMIEDLEPRIAAPILDAIAMSQLKVEDLDLVVLMGAGTRVPKVKDILKTILKDKEISNFLNTDEAIAMGAVYQAAHLSKSFKVLPFNINEKILYPVFVNFLTKTEEGTMKPIRKSLFGENYPVPNRVMHFSSYSDDFKIDVQDADKNPLSSIEITGVKDAIEKEVTDENSILKGVKTTFSIDLSGIVSVEKASVVVEKVPTTEEKEKYEVDKKEFDEWEKEQEELKKKEKAEKKEKEEKKKAEKEAGEEKKEEDTAAEEKKDEETKEDVEKPVVVKKTKPVEPKAKKINVALTVKETKTDNIDLNEEEVTAAKKVLGDFEHKEKEKHDREEAMNSLEGLIYDLAVRLEDGEEYAEYVTEDEKKAILEEVAVLKVWFEDDVSLETKKEDFDERRIKLEELTAKPNARKQERLDVPKVAEVLEDHFNRSMTFHAMALNLTQFEEGNKTFTETELEVLTKLIESTTEWWTEKKTAFDSQPKNEDVVVKASEVAEKARDLEREVRYLVNKLKIASSKKSKESEKKKAKKDKEAAKEKKDDDTPETTTEEQTEESQTPPPTESENMKEDESATTEEPKKEAEHDASEL >CRE24064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:205727:206365:1 gene:WBGene00051180 transcript:CRE24064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24064 MNGLLDYIEGTIQHMKDEHADLITETFSTLYADYETNPTQENLNKAVTGLQEVYNIASVGGDGRSPPLPTLKELADKIYECAQTELRMVHGEDFTLPRQHIDIDYDDCPTDEVSSINHHIPEIQRLKTSLYRNVCCIYHKTIHAVNASLLNIVIGNHSESNNQVTEQFDSQFPTMVLFQLTESICGLIHNNLQLLRNSVEIHLRRMGDAYLD >CRE24100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:190853:193066:-1 gene:WBGene00051181 transcript:CRE24100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bcat-1 description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:E3MVK8] MPAILSRVAPRINYVGSRLMASAARLETVPREEIHKEYDRKKTFYHKDLEIQLASPSQLKTKPLDPTKLKFGHTYADYMMTCDWDAETGWHHPKIEPIAELKIHPGAKVLHYASELFEGMKAYRGVDNKIRMFRPEMNMARMKRTAIRAALPDFDSEEMISVLTEMLRLDQEWVPNSDVCSLYMRPTLIGTDPTLGVAVSTEAKMFVITGPVGAYYSTGFQPVSLLADSRFIRAFPGGVGAYKMGCNYAPTIWVGKEAASKNCQQVLWLYGENEDLTEVGTMNIFLFWKNEEGEMELITPPLHRGLILPGVTRDSVLQLGREWGEYKVTERTLNMEEVKKALSEKRLYEMFGAGTACVVSPVGKILYHNKVTDEYEELQIPTMASKYGVMQKFYNTINDIQVREYGRIIKDGWMRDI >CRE24062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:188879:189537:1 gene:WBGene00051182 transcript:CRE24062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpc-1 description:Guanine nucleotide-binding protein subunit gamma [Source:UniProtKB/TrEMBL;Acc:E3MVK7] MENIKATTEQLRAEAAIQRKKVSEVSKELLEYCEKNKGGDLLVSGPTNESHNPFQEKKSCAVL >CRE24099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:160053:166022:-1 gene:WBGene00051183 transcript:CRE24099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-18 description:CRE-PQN-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MVK6] MYTPTPASQPQPKQPRQQPAQYAPQQQAPPPAYNYPHQGYGSMGQMGQSGMVPMDGRTMQQQQQHQQQLQHQQQLQQQQQQQQQQHQANMGNMMGRQIGQQQAAPVPMGSMGMDNRPMNAQMNTQMPAMDNRMAGQQQMVGNQMDNRPMGAPGMDNMGQLGNNQMNNPMSGTMAGSMGMMGDRSMGMQQHPMGMGQMGQHQHPQPATSNPGNNPQMQRMMNPPAYSQPDKQRMEHYQRQQQQQQQQQQQQMQMQNYQSHMQHAQAHVPNQAPAPAPAPVKPAPKNNSRRKRTVNKAQLDEQVPVPPQNYHFQPPPSYGYQGNNMMGGHQMSGHQMPATQPLQHHSMMHGAPGSSQAPYTNTKSSEMVRLELRNSIQAKQQKQKEQPQLEQQHRVPPAAQPAQMQRAMQPDPAMMMGNHMGQQYQYGGQIGQAQQPPQYHQPSNMGQAAPQMGQPHPQMPEMGNFNTPQGQAQLNQNTPISSVASNSSASSLDFPNELGFFDMQDPELPVSCDKLEENLRSLQVHDFAILGRCAFDFSNQQTIDFVRQILLM >CRE24098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:150954:152728:-1 gene:WBGene00051184 transcript:CRE24098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24098 MLRPAVSDPVLIKPNEFNFLRGWNTDREPYLPTDSSSEHLYGIYVSLSDANANDTKMPRSEREKRQRKLQFQLKRRNSVLMANFKEELYQPVGGMRRSWSCVELGKFSCRETTQTKRVSFSVTVPPPAYPDIENTAYDLCPPKISARPILVRRNTTYSGKDNLVHMDFDSFTAWRRGSKMSDDVKMSKKKSTKKEKETNGSVKRKDSDDVKKEEMEHGDWWIVRVAKVSRNAISIKLLSFSFQMGFESCFRRRRINPSPPSSEEEINGKI >CRE24061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:148639:150497:1 gene:WBGene00051185 transcript:CRE24061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24061 MTTRRIVLFCAVAACVSAASSEISVRIERHFPCSASSGPKKDTLLIKFPSYKTAGVQFHEEISENGHKCFRMSGGKVEVYAPGLDGSKKYYVHLETRIGIHGKPERCVNADSNGCGGIGSCVHCDICHTMGGSLKNFVQIFQADKPAQCSSKGLPAGSYTDLSLRVCLPTKNELLPFLDQNASRAEQLWDLFVSSRARSGEIPLVIAARLFDRPINNMDAKSLNTLLHDTKEGMIGCHWIYATVSQPN >CRE24060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:145378:146522:1 gene:WBGene00051186 transcript:CRE24060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24060 MLAVFLASSFILVSVSNALENVELGKDLSVTLELIKHQPCKGGKWTKKLEFEPGNDRKGPKLEPQAGKPNCFTIGGQVEVLEDFGGDFSIYLELKNTAKKNTVPEKCVRQPENGCGGFGSCLYCNACETFGDKLGVQAQLLLDGSPISCKDGLKKGSYDNLKLAFCLPDIDDVITSQGLSKESFLQLIAGDDGNAVRSMGIFATVYVFDSDVSKQMATQIKIEGVYRRTKYSFFKDQPLPAEVYWSLPFNQMLKNQQSYVACHKIYGNLKVNRK >CRE24059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:142701:144650:1 gene:WBGene00051187 transcript:CRE24059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24059 MVQTCLILLVFSLSFVSAQYLNLNLHRHQSCAWRKSSHWNRKIEFQGGHSDSGALLKSTEQKGCYAISGKVKIHEDVSDELLIYLSVSTTGDTQRPPEVCRDANPETGCGGVGSCLYCRPCDSLDSLTKILGAQLVVNGKPAGCEPLKKGEYDNVELRFCLPKLAALLEWQGISEDALDHILAATTQEGNGPPKLSLFVTVYLFDKDIKPLLVSQRKLESRIRELRKLSNDEQVDSQTYWNLPFNQIIKKQSVFVGCHKLYGTISLSDIQAKRK >CRE24058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:139763:140829:1 gene:WBGene00051188 transcript:CRE24058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24058 MQYITVILTVFFFAGVSMAIQDWKIAKFGDMSNGDNSLNFDRYITHKRAINGHLRRSSIHWGKRTPTGMRSFERDIPLVPEEYLVMWPAPEDSSQKMMENEDVYKTTTSALETANHNQRPQDEVENHADSLFKDNRKMERVAKAGIRPKLQLSSRLWGR >CRE24097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:124249:126961:-1 gene:WBGene00051189 transcript:CRE24097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-2 MLNHTVVMRECECLHEPIEGYAGIANLLLIVVSLPLISFVGVVLNFFNIFIFCEQKNTAAKYLTALSCSDVGVCMAGIFVICSDSLRAHSFVIDQVFVFLLPKIIPLGLFFQMLSVYITVLAAFDCFYSVYCGTKCEPKRSTWAPRVLALVVISVAAYNIVQFGDLQAIECLHPDNYTLFELCPTEMRISETYVIVYKGYLYALSMAFLPFVLLTFLTVSIIVMLRRKNDTMDKAEKQDCDDDGGNNPVVLLLVVLLFLCCNLTSLLVNVFEMLKFKMAFEAEAVLIDIGNFLVVINATANFFVYMGSSEEFRGAFYDRVRKLWRPKSTRLPLLHDHRIRLFNRNPVASSI >CRE24057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:117851:123778:1 gene:WBGene00051190 transcript:CRE24057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppk-3 description:CRE-PPK-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MVJ9] MAEPNELQFFEKLDPPPEEEEIVPAAGGYFSNFIGQIFRNDGTPQTNESPSPSDDRENLGRTVSEDSHSSEENGFQRSLSDKFTGLLKSKVNPKLKDYNDSNFKQYWMPDSTGRECYMCEERFTTFRRRHHCRLCGQIFCAKCCSSHIDGAALGYMGELRLCDYCARKVQRLAEDGKHTPTLGTRSQTPVNGRKISFDKNSVHKNSDTVKTVSNGAIWSTCPSESMIPSDATSAPQLGSRRSSLCHTNSGPGIPTILSVADLCASNSVMLTSNHSHPVITEEEESGPDWFRTLNPGMDGVINNEISDSADVFAYANLAGAITNDFTDLKEARPEEPTTPAAGDRKITFPSLSLDESVMNAAKEEQRDNLEEFFRQNTERILDEVLKREFIREDMWREVILKTVQEVVQNVEVNVPAGDSMNLSDYVHVKKVCTREVQADAEIIWGVACSKSLLYGNSEEEDSTTKTTESVMIVSGSIEYERVSNKLSSIEPIIVQEEKFLEKQIDRIATKRASLILVEGGVSRIAAQLLHAKGIKVAVNVKMSILQRVSRATGADIVSNSDAQLVEQNLGCIPEFEQRNLHQEDGRIKSLMVFGDCEKDVGCTILLHGDDMKELEAVKRVVQFLVTIVYSNQLEQSYLNAFNTTIARRQSDCIVCEKRRAIVYSQGEKVKMTEFEMNLYSAMLSSSPVIEFEPPFLETAIGRECPLIAYFKQPLYKLLKPGDVELIKEGYEEDLPPVQKKEQLVVGLRHAMAQCNRGAVLPGLAKSFRLFGGINFRRRTKQIVQHRKIDETEKQTFRAKDVLDPRVHQTLAVLFGSFSRRSPNAPYFCVRPWVVSMQYYKDHDMTLGEFLVKYCFNRSYECPSSNCEVPMLDHSRKLVYGRVCVEISTQSVSETDNSLEADQKTIMTWRHCGKCNCSSQMVKFDKAIWHLSFAKFLEYIGNSCFTTDTIYPSNSQNQCNHCFFHEKLYFFAMENLVTTFKVIAIRPYSVVFSPIICNVKVQKISRKELGDDVSRIATLALQACEDTNKQLADLDEEVQITPIVVKLAGAIRNTMALASESRMFAKTIFNGEEELIRSNDRLYREVDGTFMKVREVTYNLISLWNENCATIKYPKKSPDDIQEIATLQKLENPFPLHLHLSLKLQPRLGVVVRDIQDARGNYKPDIGSIIAYALSAVDYNKVPEQADTVSVDSANSSIKDATADDGENLASPQHLEVEFEDESASYYVKMFYAEKFRKLRELLIAEGEETFIRSLSKSTFWTPQGGKSGSFFYRTQDDRFVVKQMSRFEIQSFVKFAPNYFDYLTTSATENKLTTLCKVYGVFRIGYKSKTTTLKVDILVMEYLFYNHNVSQVWDLKGSLRNRLASTGKSPTEMVLLDENFVKDLWNQQLYVLPHSKAAMNQAISNDSHFLSSQYIMDYSLLVGVDDDNGELILGIVDYMRTYTLDKKLESWVKIVAIPGAHLPTILSPEMYCARFSEAIDSYFPVVPDQWTGLGSIRSY >CRE24096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:114281:116276:-1 gene:WBGene00051191 transcript:CRE24096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24096 MSISKESDNDSLPFDRVTGRVRGSVNMLDIEKNVEWVEVSGRWSRMSIMGTSLTPEILSIENQGEKDERIIIFMIPGNPGNDGFYTDFGRRLIRNLIAREERLGHRRVQFLFYTLSHLNHVLLPAALRCSDSHKVNERFNLGDQIQHKLDFVKEYLPRGNRVYMFGHGAGAYMLLSILPYIKDDFNLRKAVCLFPTIEKMTESPHGIRLRKVVSTLRQNDWLARTLSFWVDLMPESLKRRIISMKLSSDQSPELVDSLSELLHMNVFRNIVHLCNDELDKIGTLDETLLFHKNLIYFYYGANDGWCPIEQGQQMSERLTRGHVVIDKDHVEHSFVFRDAATMAEKVLQFIV >CRE24095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:108923:111999:-1 gene:WBGene00051192 transcript:CRE24095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scav-4 description:CRE-SCAV-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MVJ7] MRLFVTVILAAVILLVFALGIFLLIPFPIAIFQSIVDSQVYLQQKADGQYPTGTFYWSKIPAVQVWTFKLFNVTNPDEVLYYGATPAMLEIGPYTYTETEFKDFIEFRNNDNEVFYQNNKTWVYDQSRSCDWCFQNDSVQFANTAYMSAVFMQIYQPAGPLVNFGMDLLTILLGEQPIRTVTTAGTLFDGYNDPLITLINSPLTKVLLSILGNPIQLPQVPMGGFFPKYSHTCDGNYTIRTGKDNTDYTGQITSWNDMTHLPWWNTSDTSDIRGTCDGTIQKPGLQKKDSVVQFQSFVCRKYNLHYSESKTVNSIPTYGFKIEDDSYDAIKNPGYRYPNLEKVNYFPNWPCGLNHTKVDNGNCGMVNCNLFDNFCNPCCDGSHINGTYVMPPGMVPQQCIPGQNVPLPFGGILSAPHFYGSPDVITDSMIGIHPDPELHHPGTFYINPTTGSSIGGNFRMMLSIPVFKSLSWTTMSNVANSIMPSFTLTIGVEMRDYAVNYIYFNTVTLPNIILGVGIGLTAISLIAVLLWGFCYFRKKRNQKPFVLQQHQTEPAWSIGE >CRE24056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:107472:108585:1 gene:WBGene00051193 transcript:CRE24056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mff-1 MHVPDHLSVTGADLMQMDPLNRLMNKFESARNAVLEKMSVPEHITVNGRTTFVPEDRRVYRTASLKMEVPNHLNVFDLTEDVINHNNIQKMVVPSSIESSNVQNPYEEVKLMQKRMVVLMGRLGVLENQVVVQKRREKGLLLVLIGAVLHIAYTYLRR >CRE24055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:106087:107111:1 gene:WBGene00051194 transcript:CRE24055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24055 MTQNSDTSGSNDVKYVMTKDEFWDDINDSYYKELANTDPSDVYPSNNPGPENPDGSVNFECHCVGHLVASPCGYEFREAISCQKTSTDEEMENGACGEQLMAFMECAMRTQCFKTNDSNDEKQTDK >CRE24093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:96817:103676:-1 gene:WBGene00051195 transcript:CRE24093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24093 MISRVSLLRLQHARKTLTTSASTSSKPSTLTIGNVSVQLQEAKNPDFVPAFYGWKSQPPQDFLPHLKWLIQKDQLKQDVFLLGVPGKIRLELVLRYLEATNREFEYLPITRDTTEADIKQRREIRDGTAYYTDLVRYTVRYTPENTMFQCAVRAALEGRVLVIDGVERAERNVLPILNNLLENREMQLDDGRFLMKHDKYDELKEKYDEATLKKMGMERVSENFHVIALGLPVPRFPGNSLDPPFRSRFQCRNIEELCFNTFLEEAKRLAPRVKESELNDLISVVYAYNSEATTSRPRIATSVVENTLKIWNVNPRYSAGQILDFTYPAKEVLSDSEKELIETFKNKFDLKSNENTRKLKVKDGLFSVRTTKATQTLNLPKPSHPSTTKVTWIPTDKQEYLIADLATAFSKGDFVLIGPKGSGKSTILAELSQRLNYNYVTMVLHQDMNTRELIQRRHMKENGDTIWEDSILVSAARNGDVCVLDGVEMVHPSVLMSLAQLIYHRRFDLPNGNRLIGEKEFGTIMERDGADEATMNQRGVFKIPESFRLLFVGESQSKEHKWINENVLSILPFFTVPHLSLAEQSNIISQITHGNNMKSVNKLIEFVESIKNSTDSGLKNTATSLSLRRLIHIAKRDLMQPGHLQELVEKAALSKFLPQITKETFEHEMKTAKIYNDTKIRTKEDQKYLDMIRAETERPEDEALIPNVLFHHNKQHDEVLEDMARDMKLGSHLLLIGNQGVGKNKLTDRFLHLINRPRQYMQLHRDTTVQTLTMQTVVENGIIRHEDSALVKAARSGQILVIDEADKAPLHVIAILKTLLDTGNLVLGDGRSLRPAASFTDADKRNDRLVPIHPNFRIIMLANRPGFPFLGNNLFAVLGDLFAIHMIDHPGRASEFEMIRKYGPNVNAAVLNQLLTIFNELRDKTDQGVLQYPYSTRELVNIVRHCNEFPNDPLPEVCRNVFDFDSYSEDTIAVIHEVFQKHGVPLGVSRNKDSVAIMERIPLGELKHIGKWSRKSIDFPMEYGFNAPVPVEFDPPVRLATGSLKMDKNNQRNNIFSEFHCHWKVPLDETNMISDGIRVNDDLVMSSVNPPMIYHCKDFMNSNEVEELKLNKILPRFSQMYQPRIKLAYIGGDNILVHEEEANFTGIISLPDQMCTVVKNNTSIAGKLGAMITGTNYMWRLSNQKCNHALLYECGGQQMLVYTDDKQQISVQHGSVPFNIKRVFANGPQNWTVVSTENDNYQLVLDHGKWLLEKIENDVKDGLNTIKAKQGNNELTSVADPYYYVQGRNDGSVLGVPRKENETMFRKESFPSKNKFAKLDESREVTFLGDTIVRAMPAFRTPKAYVHDKISPYDINGFLETIDTSRNKISYVPVPYDGNTFMYENWVAEMTKTRFHLVPWDDEKVLTIEINGGSIRSYELEMSSLGKSFDDWKRMTGAAEDEKLRMEFDRNPDDVDFEKLDEPKLGKFDPSNAPHHGGNQWMGGTGGYNTAGMGGIGGPFRLDAGHDVHQMPDFAKQQVPHHILKKAREIAQVEYAKKLREINMSEYDADGYEKIWKKVHVPSKKLSAVIDQLEAKKKEREWTKHQTTGDLDDGKLIEGVTGEQNIYRRRVDKVPDPGAPQIKPKRLRISFDVSGSMYRFNGYDQRLGKTLEAALMTMTALDGKTDQVQYDIIGHSGDSANVPFVKANQHPKNNKDRLDVLKRMIAHTQYCSSGDSTVESLRWAIEEMKVKKDDFDENVVILVSDANLQRYGISPKKIKDAMQKDPSINSFVILIGDLGNEASEIQKELPVGKAFVLKNTSELPKIMETIFASTIAQ >CRE24092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:85176:89599:-1 gene:WBGene00051197 transcript:CRE24092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-25 description:CRE-NHR-25 protein [Source:UniProtKB/TrEMBL;Acc:E3MVJ2] MTEVDRMVLRPNHEGEMCPVCGDRVSGYHYGLLTCESCKGFFKRTVQNKKQYQCSADSNCHVDRTCRKRCPSCRFQKCLTMGMKMEAVRADRMRGGRNKFGSFYKRDRAHRMQRNAMRVTTIIPVSTGTQSQPPTFYTPAEHQVSSSTTDQNVQIQYYDQTKVKTEFIKTEYDAHLQSPTLSSSTNQQITVSDFIMRPGYLVDHQESIAALLNSTNEDHLLRFPTSYPLPEIKQEPFDYTEHHFIHHPLLDYSNYSSTANYAQMMPMTTISTAPSLVTSTSSTSSGRIIEASSTSPILPLCPAPTEKTVDHFYNSSIAEMCKTLPDDTLIPRILSQTVKATKNDAHAFAVQVADENLKEIVTWAKQDQMFSKLNLDDQMNLLQTSWATVHIIDITNAMVLGTLHQQYKVGNGEEVSVGFIALLGNQNFVSSWNEIVMSLRNMGFNNFDYCAFRYLALFDEGMELYPAVSAARLRVLQAWGEVRSYTAFLEIFNQIRRLAYDSMQYLWGLRTVCPAIWELLNPATSLVLEMLKTTADIRSAGNTVVTQVTSIQLPTPQTTYAPVVYMTS >CRE24054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:79633:82283:1 gene:WBGene00051198 transcript:CRE24054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-10 description:CRE-NPR-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MVJ1] MSPSNHCIDIRAFLWQTKHDLTLHPVPIAILATIYTFIVVIGCTGNLLVVISVYQFKALQSVRNMFIVSLSVSDLCVAIVSGSVTPITAFAKVWLFGSNLCFLLPLLQGITLSFSTLTLTAIAIDRYILICHPTKEPIRRERAFKMILLIISVSTLLSFPLFLKQELVEYRNFCGQYCTENWGADTYLRSLYGTSVLAIQFGIPLITITFCYASISIKLRRGVFVRGSQKELMSEARRQLTQRRLRTNRMLIIMTVTFALSWLPAVGFNVLRDYSALPGIIADQDYLFGIIFHCISMTSTVVNPFLYGYCNEHFRAAFVALLDSTKAACGMKRSNNPACSQLLSNHFESTTRRSVTTVPSSI >CRE24088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:47639:51521:-1 gene:WBGene00051199 transcript:CRE24088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-1 MSVFITVASAFDCLVLVAASEKFKSKFCSVNTSILIIVKIFLLGTFYNSPHMYEIFVIDCWSTMYNTASKDVCPTALRANEDYVRIYYVYMYTIVMAVGPVFLLIIINTAIVISMKRSSSPNSESDIITLVLVVCLFISCNVLPLTVNFLELLFGIINSYLIDLSNLMVVVNSSCNFLIYYTFGSNFRRTLRHYVRNALFRRAPAQVTNNRTPPRVKLCLPPTECCNQRNPNSNQPCISYDSSMMAESLDEAMFTFPNLASPDQATLFKPMGGYSGGAAGGESTESMAGDHNEPPPPDGLTPMSSAAVGPSVMTTKVSIAAFGAGTPLDSSLNGECPTYACMSCKMSLLNGFLANPNIGAADNATMTAQRNALALKLGTTQGSCDQQTRKRRFAEQFSKVNRHKVKKRLAKRFVIMNTTPDPMNDDGVDDNTVDDNENTDSSNSDQNGPKNKPPVLGVVTSLGCQYRRGEALETNSEWCGLCNLCWQWRKLPSDYYPNYLNEVNCDHNDDGCLSGIRFSTFRLSALSLLPHISINHSTRFFSGFGECKPIMRTINVMRKKGEEWVKESIDTTTACECQVEIGSSLHGLVVK >CRE24053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:45594:47390:1 gene:WBGene00051200 transcript:CRE24053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-8 description:CRE-HLH-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MVI6] MCQDKIKNTLNISFWQMVARRKGERVVRKNEVENVQQRACANRRERQRTKELNDAFTLLRKLIPSMPSDKMSKIHTLRIATDYISFLDEMQKTGCKLYGHSIFDEKRGYNLQTAFNMWRGNNGYTPISGPPQLPPIQSAHIPPPPPPPNMPPHCIMPQPWYLPCPEEYHDLTNSSIQNINPNPNQLTPIHWQ >CRE24052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:37341:42801:1 gene:WBGene00051201 transcript:CRE24052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24052 MIVILVATFVGKSILLKIAFMLTAILAILVAGGAVFCILYLVANFNLHYEWKWKFYLVTMLMAQVLMIFSRVTMSDRPPGEEQVGFAYYWLWMIKDKSQPDSYRLVRSTPGLPDMGYMVEDVEKTVKSLMRARIDQLKDLVDGWERMESGVNREVANRLLNEICSGSRTLTDFEHRNFSKKSNDVNMDKPREQFPDVLSGNPMMTGVPVSEYSYGKGLPTTGIIYSPQSEEFYTPEATTYFYDMMFGDANIFYDIIKENSRTPSMPAKVHPMPYHPQMNLPGKTGNFQNFPQVQEMIAAPVFIPQQAMNFVPAPPPQMPPQMAYPFQYAQFVPVMPMVPAMPENGYVAQGFQQGFDPNMQPPQQQQQQPQQPPQQYQPQRQPQQQQYQPQQHPNQFQPRAYNHRNNNQRNQRPRNNGYQNEQYGRVQNGYGNNGASSSSSSAAAHKAQSASSSEEAKPPIPPPNVTLEEVFPKLNGTDTTASLEVEVPATEAVSTTETASAVLSTPRKFSDVVSHTASSPAATANVPSTPTVKEDPVPPVVVEPVAESVVENAAVPVADTAPIAPTAPAATDVKESLPAEKEAAPGAVFEKAESTTASSDPARSTSDSPPTTESSQPATLESDRTSEERSEESAALPATAELVVDTTEHHAPPVAPPTPHRTIAEVVQQSISSTPSPTGARVNGTSSSHQSPAVKPNKAAAASPATNGQRSTQNSQSRNRNRTDNRKKSMESQHRPSISYAQMLYPKKDEKKAPTASSSPASQSQTTTKPHSAAKSSSAPNSASTPTDWHTVKLKKAAESAVVSPALTDCSPPRSTTPKKQPKAPEPVESEEDDVALEDPEAEKKRQKRRRQRQKLKEDNRQKKQQEKELARQESLARVELMNGKSNGDIKENGDTNGTGKTWLTGICLFKYIFSVPVVAPPPAFDRNNLAARRKKRLELQKKSEMASSAGSSNNGNTESLASQLPNLPMIPTGMGSNPMGASIAGGQTAMSAYGISNLPHQFRGRNSPFAVDRDGIQTLPLFLPPQEGLRTNKPSGGPVTYMLEPGTEANPMFIPVLARQLDPQAPPPARTEMDENALMIEQLLIFDAKEEIQHLDVPEAERAIILIGLEEVKKMYVRVEKAGQLISYRTGSSKISDETEAKILNMMIKLLNNRVDLSEADELLVNQIGNKVAHCSQSFNYTNYLTALVDFSQERADSLPDGSLRNNYEQSVVLTRVFLRRTRTVYETISKHFDFSKLEDPDK >CRE24051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:32451:36505:1 gene:WBGene00051202 transcript:CRE24051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24051 MLTRQPDLDLMSTYEIRKWRKEEVKRIRLLPPEDNEDKTAEIRVMTAAEVQDYLVTNCKTLVMLQSRLLKMSALQKIETGYVQLLQIHAYVKLNETGKLKPFLKECMNQNGPNFINRLIKYISQVCYDVSDSKQIRKWSNMMANLTMEYDWLRAFNGSVLASPDKEASILGTCILLLPNPSSKHATRVFASMAKEEKLPGCFELLTKRAFKYISSSAFVASDIEIVAAKYLKERYAECWEWKRKNKRKFNSGQAGQQMLIERTFQIAKKAFGIIKSSDTDAAQKLLDNPHVQLERPSDLFIEYFLQYTKLGMFNFENGDKLMAWNYYYDDRWCGYDRSDLFGVKPYITEGFHWFADFKYAGTIKQHPHTTNLYICAKVYEWMTGDEELYERLMNEYVNEDLVQSFAISLSFAGYFKLMIRLYDEFNDRIKSPHGKLCLALCYMYSCAKEAMATEALKTWVTVMEIAVNFEFLHTLEFPPNSFPLPYFVGMKNAGQFACRLLRNCLWTSAMKLGTEGWKKYGLIILHLCDSLETSFLNHNMMRIMFQKIDLKDMHLIVQPALAKCLRQHHNYWDCLQIARSREFEIPESCLKVNLLPERKRKISPKLKEENEEETVGFLVVYNKLRELDEIFYGIVPDVPMNFFRDVQEEKMNAIPDLPAESQEYFKQVVEYNLEEARVLENKKREMEQMESDELKELLEKLAKYYDGGEPDYESSDNESEKDDSEPEPEVDSDVFDEEEEEEYDYARTYSAYKKGSGSESDGETDEDSVSSECSSPDYKREVQYDYNYRIEASNPTDLSDAFGLAEFRKYQPVRFQTVQQLLKVVDKENEEKEETDGQKDENQKDQKEEKEDQEIQEDHKMEESPEERVGIEQKTAEESFSRKFAQYLARDALPFVILPKSEDDDDDVFDMDDIDEQFAGEREENKRRYKRKRRYVAEEDDDDSDECYDEDDDVAAEVDIVKHEKSFDNKSLASEIFGDNLEDFSGENLEDVSFEEQPSEDIRTEQDQEYSYDQQEDYSEANLEDLSETLEGEDEEQEDEAEAQEEEMETSEN >CRE24087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:21759:26453:-1 gene:WBGene00051203 transcript:CRE24087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-catp-4 description:CRE-CATP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MVI3] MPTERASLLDTTTQRGYNSIEMKKEKDNPKPTSSFNQARLNIGEESCDIFAYKKTTSRTILHYTLCVVTCGIYMLVCYWYPALMMSVRFKPSSHEESDYLLIEDAHGTQTLKEIFGTHNEGEIGSLVRRTRYGTLEKVDSIRFFTYRKIRYIWYEKDNEWLNPADLDSTAPFNTIKSSMTYLTGLTNEEADARRHVYNQNVLYLPLTPLLKILFKEVLGPFYLFQVFSVTLWYVDNYAYYASVIVLITVVSAGLSVRSARKQERKVRNMVGESGTVTVRRNKEDIVVNASELVPDDIVVLPTDTFVLPCDMLLLNGTVIVNEAMLTGESVPVTKASLKEADECGPEVRLSSEHNRHTLFCGTSVLQTRNYKNQPVLVRARVLRTGFSTLKGQLVRSIMYPKPADKEALNDIFVFISVLGCIALLGFGYTVGLMVSRRETPAHIIIRSLDIITIVVPPALPAAMSVGMINAISRLKKKLIYCTSPTAINVCGLINVVCLKKTISVEYIFFCFQACFDKTGTLTAEGLDFNSLKGVKKDKNGKVQFTKEFNNLDPATLSEDNANLNIVIAAASCHSLTRIDGKLHGDPLELILVEKSNWSIEEAVDSGEETEDFDNVQPTVLRPPPEHASFHPENHEYSVIKQHPFNSVLQRMSVIVSTPSEHSAHEMIVFSKGSPEMIASLCLSETLPEDYMDIVNLYARRGFRLIAVASKSVHMNFAKALKTPRAQMESDLEFLGLIIMENRLKDVTLSIINELSVANIRCVMVTGDNILTAMSVARECGIIRPTKKAFIINHHKDEKDPLGRTKLFIEQSQTSSESDIDTDSEVREFDLKDSIEKSKYQLAISGPTYAVINNEYPELVERITAVCDVYARMAPDQKAQMISANQSIGAKVLMCGDGANDCAALKAAHAGISLSEAEASIAAPFTSNVPDISCVVEVIKEGRCAVVTSYAVSKYMAAYSLNEFLSVMLLYNDGTNISDGQFLYIDLILITIVALFLGNTAAANKLSPLPPPGRLATSSFYFSVFGQLVINIITQTAAYVLVRAQPWYIPNPEALDNTTTMIGTTVFFTACSMYLGYAFVYSRGWPFRRSVFTNWPLCLTVAILTVVNLFMIFTNIEFVNSAMGFVHIPKLSMLFIILAISWCGVFISIIYEHFFVDRIVAVYFENWLRKRRLRRGDTSIPAYERILADIGGSPAWFEQEVNVSRSMNRKETMESQL >CRE24086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:18613:19961:-1 gene:WBGene00051204 transcript:CRE24086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vem-1 description:CRE-VEM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MVI2] MDLSSWFEFTVYDAVFLVVVLGFFFYWLTRSEQPLPSPPKEVNCPFYRTKLISQFQLAPLTMTDMTVEELRKYDGVKNEHILFGLNGTIYDVTRGKGFYGPGKAYGTLAGHDATRALGTMDQNAVSAEWDDHAGLTADEQETANEWETQFKFKYLTVGRLVKNSSEKADYGNRKAFVRGAESLDSIINGGGEEGSKKDN >CRE24085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:16025:17475:-1 gene:WBGene00051205 transcript:CRE24085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dao-3 description:CRE-DAO-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MVI1] MVAEIVSGLEYSKKVLHDVGEKIAKTREHHPHFEAVLAIVQVGNRSDSNVYINAKLKKAQEIGAHGKLIKLPDTITQGDLKREIMALNHDNNIDGIIVQLPLDCKHEIDADYIIDLIDPLKDVDGLTRINAGRLARGELQRTIFPCTPFGCLYLVQQATGNPNFVSGKEVVVLGRSKIVGSPAAALFLWHHGTVTICHSKTPNIKEKCLRADILIVAIGKKHFVKADWIKPGAFVIDCGINVGEDPTSRKIYGDVDTEAAKEVAGYLTPVPGGVGPMTVAMLIRNTYEQAKRRRLAGKEANEEFDN >CRE24084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:12874:15522:-1 gene:WBGene00051206 transcript:CRE24084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24084 MSTWNVEYLKPTLLQPVPSDIAISRAQPPKDIEKVAGEVGIHPDELDLYGRKKAKVSLDILERLNDVKNGKYVVVAGITPTPLGEGKSTTTIGLVQALGAHLHKNVFACVRQPSQGPTFGIKGGAAGGGYSQVIPMEEFNLHLTGDIHAITAANNLLAAAIDARMFHESTQPTDALFNRLAPKNKQGVRPLSEIQLRRLDRLGIPRVADAEHLSEEQRVSFARLNIDPPTITWNRVMDTNDRFLRKIEIGLGPNEKGHTRTTQFDITVASELMAILALTTSLADMQQRIARIVIGSDKAGNPVTADDIGVTDALTVLMRDTVRPNLMQTLEGTPVFVHAGPFANIAHGQSSILADKVALKLVGQDGFVITEAGFGADIGMEKFFNIKCRYSGLQPSAVVLVATVRALKMHGGGPAVVAGAPLKHEYLDENIPLVEGGCDSNLRKQIENANKFGIPVIVCVNKFATDTDKELELVCSKAKSYGAFDAVVSEHWSQGGAGAVALAKSLVHATEGPAKDFKFLYNLEMSLEDKIATIAKEIYGADGIELSDEAKEKLERYTRQGFSKLPICMAKTHLSLSSDPTKKGAPTGFTLPIRDVRASVGAGFIYPLVGEMTTMPGLNTRPCFYDITIDPVTEIIDGLF >CRE24050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:11073:12437:1 gene:WBGene00051207 transcript:CRE24050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24050 MQSHILLSILLLWVYTANCQWSFEEISPKDKQGAWQFQLHESSRQMMDLENIQRAHRKDFRRMREENRTPIHEWSNGEFEVSDVIAQGELFCRYYISFADDPVNTVRGFEVDLKIIDVQVIDVLTGEEYPVIIRGHNFVSYYTGDDIKGTRYVTVRVKHTGCIPHPKTCYAETYIATSFGRYNQDNRFPTFATNLATASYEEHCSWMRRLFNFWPLSHFF >CRE24049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:7008:7504:1 gene:WBGene00051208 transcript:CRE24049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24049 MAKAPIDFEEEWSKISNGTLEIKWFYFPTTQSLKVDIDKIKQVKIMRQDKSYAKNWGSGDFTTWWACDMKRNFRSHPERFYNVKVDIGETFKKGFTVNELDKFLVAIRKWLKVQNIEFK >CRE24083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:3393:5504:-1 gene:WBGene00051209 transcript:CRE24083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24083 MKSKNCTKKGFLKCFDLSTAEIKQMADVKSEICTDSNRMNTMLPVVAPNEGPKAPFHFEGGQIRVFQENPVNDNGVQNAQENEEAGDKHIVQQSARLNAYLFDAVKVIPYFDKNYSNAKKIMPTKKKTTDNIPNRFQQSIRDFIPTTNEIVPVAFVKTRAAYREDVSQKPYVVIQHDSSAKELFTNLFRNTEVKMFYLSKITNTYFQHTLIETDLNYTGLFWRDKSVTNLFDIVNHQYVQFIFLPFVLKRWHSRIDSSLIASESLYRNAVYEQKYFDAIKSKFQDKQLFGHLNYKCMRVGVRVHCFLGEVCPQSNKIKDDICWVLQYESLQKNYYWQVCNHNSDENCDITLVVTISQRCFMTGIQVRKPQLRIPDYKLTDGISLMWPFLQACECFRVRNATKNGRTIQICLLVLKNNIAAFELVRKKKSRNGGTSVIYWKPSNLPQDRVKLLWNRVTLPVLNKTKFEKLLFVQQSLHRSETIKSMYPADVTVTSDWVV >CRE00476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:231:1012:-1 gene:WBGene00051210 transcript:CRE00476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00476 MSKAGSLPRFVDEYEDDEEVELVDEPDCGKNLRSFLIRLTISLFLIACVSGAVIWSFVNGESGTGGGTEHHRDASKNFSIFIDQYSKKEGLYITTYRLPEGSSDQEPKQEEYQSFWFQSDTNKTLVHQITPTVTIYAFEHHSYWYDTSAAKPKCTFDPNMNYLTYIKNLGMTNLERHHSEYVSSPETKETQNQFS >CRE00001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3679:5874:1 gene:WBGene00051212 transcript:CRE00001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00001 MKDLPSYSESNNCSFVEFSEDESDVSRETESKVENNGNERNNKLYDFPQTSTYNVPSTSDCFDADCSQVVRSSTRESGVEKQQLTAYAQSDDHMEQSGSFTRIVVKRYGGNTYLQMDDECETLDVKIQHSELADDISRDPTSNARQSITVLNIFNTKCTENQQNQIIDQRKRACMILETRRHSTDDQSPLLISNHPDSVTEKFGEEEMMKRRRTVTTTETVKSSPVLEADAVAVGDDKMVMKAAKRCNSTQSTRAKKQTNEPGITLCTPSDKQRNIVLVRKENVKRGGQKATSCKE >CRE00002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:9034:10647:1 gene:WBGene00051213 transcript:CRE00002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dim-1 description:CRE-DIM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LC84] MPDGKAPHFPQQPVARQNDDGSLELECFVDANPTPQVKWYYDNKEVENSGRFSANLANKGSDSYSAILTIKELADADAGAYRCAIVNPHGKGNANFNLKLTGFSSPTFVEKPQISSRDDGQVMVMEFRAKSILEPTFVWQKLVGGGAEEIIANSDRIKAVKKLEAGNVYYSALEIKEPTKDKDAGQFICTVKNESGKLTATFTVKFEVPEGAPSFTRKPQILQQTSSGGEPAICFDIGYSARMNPQVTWISPKSKKMKESSRIKFKTNDEGNGNFTAQLELTNYKAKDSGTYTCNIKNDAGEANVELTLNIEGPLDDYADDSEN >CRE00477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:13158:13948:-1 gene:WBGene00051215 transcript:CRE00477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00477 MLSLQKIVNVLLPLREIRYEALPDYDEDVYEPLRPPTVHPDYADRCLCDVKFNESFRMIKTGTKEWIKETEKKESEMNASKSREDYTKKDYEQDWFFAQKTINNAEPLLFIWSKEPSGGFMVIRNEKENVISYKLYFIDTRDRVKSRPIFAQVDEKTKQMSYAIWESKKFEKSITLLIKKLKKKKTLLDGTVLTVGIKRMEPVRRHEPQHFP >CRE00479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:22542:23400:-1 gene:WBGene00051216 transcript:CRE00479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00479 MFKATINDWLIRLKLKSAHVIFDNEEEENIGKPRGPIGHPDYWDKALCEEGKGGRAGFTLVKVGSKEWNKEMKKIELKAEFHFYTDAYKKKDLDQDWLHDGKKVCEAEILILLRNQPGTFLVTRRRGVGSEYMLHSCGENGRVYVRKIATGPHKNRWCYTVTEAIVYSVSISGLIDYLKEKEIKLNGAVLDKHVVRTPCYECKEIFCQCPST >CRE00480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:25764:27778:-1 gene:WBGene00051217 transcript:CRE00480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00480 description:XO lethal protein 1 [Source:UniProtKB/TrEMBL;Acc:B6A8F3] MKKEFIKSGDGWIIDDVEKFKALENIINENGEVITTGTGFAPHVVSIGQCGYMAVNKFCVVSSHILDERIHKYHKIEVVGAVIGTITRPLIYDLLEEMELRNTYKITIYNAMDFNEQIAPFSILAAIWKSLSIFEKPAASHMEEDSIWGKLAFFNYLLNSKAKVEGKVPVAIAVIFGSIGNVHLPSLDGVDKQIRKVKSGPFSDNYDLLFVRTNCHTSDYEPKQLRSRLNERVKILVECMNSESDKRLADTEKVPAFMTFVSERQYGSETFNELHSKNFEQECYAALEYVKNKRNNFRVFKGFEIQQGGILLALKKHAFEKNENLLKEISNSIVEHSRRIKNVTQISFNLLQPARGAFLREYDPTAPKFRMPTNLKRGNNGLRSNVESNDAKRMRMEASALERRLRKEIWRRQRIERARNQNLRNDDDLSNDDTYSEISTDDDMDRSFDEI >CRE00481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:35397:36892:-1 gene:WBGene00051218 transcript:CRE00481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xol-1 description:CRE-XOL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LC90] MNDRLEVKHVQKRVAYSSLENINGKRSDENTGIGIAPHVVQLAGCTYLAVTKSCIAKAIVLHDREPVNVNEHQFLIGMDKRITPYSEFFYPLLNMLELKKRVYKIWINDQRDHNQQESIYTTYSMLAAIWKSLSYFERGNVYQESKDSIWRIENFAKRLNEFETGIDRKEAIISAVLCGTSFSLQVPNFPVPCAEFYHNYDLVFVASIYHYLRATRCDNFEPNPILSDSQQLHARVVDFEKSIWMESNNRTPTGAMASLMKKTSAERILSEKNNETYYELDSECEQAMIDFDKENPGKIKGWEVQRGGILLALQKGDIQRNNDDFLVLIAVSTLLKNTTTMIKIPVKIQKKSTLPMSNFKTSAPIFFRRFNNFSTK >CRE00482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:39003:40316:-1 gene:WBGene00051219 transcript:CRE00482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00482 MAREGNLWDSRLSKEITCPRLENIYGEKNDENTGIGTAPHVVQLAGCTYLAVTKSCIAKATVLHDREPVHVNEHQFLIGLDKRITPYSEFFYPLLNMLELKKRVYKIWVIYQKDHNEQESTYSMLAAIWKSLSYFERGNVYQESKDSIWRIENFEKRLNEFETGIHRKEAIILAVLHGNSVSVRVPKPIHTGEGYTTIPCSGFYHSYDLVFARSSNHQSRAARCENFELDPILRDDQQLHARVEEFENSIEIEHTSNLAIEEVPNIMKKTSAERILSEKNDETYYELDSKCEQAMIPSTEKTQETLKDGKFNEEESCWSYRKETSTATTNC >CRE00003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:51803:56537:1 gene:WBGene00051220 transcript:CRE00003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00003 MPVYVEPQKHQQRNDGPEVSGAAPTGNFENHQTSMMVRQSYDHLPQINSDPMQNPASNMPIEYYTGVPVVQQPFEPTKRLQYASETVYYDVDSQMKKLGRPVNTACHPQQENFPTPAPTPSDCSGFTGPLRFQYNVRLAGDPQMVYQAQPVYQKNFGPVQPQYSQAPQQQYVANQSQFQTTQTPQQQFDAGPTQPEYFQSSQQQCNGGQVNPQIIQTHQQQLVAGQAQPQYSPSTQKQYVATSQPHLQSFQAPQQHYDSVATQVNCSQAPQQQFHAGQVHPQVAQVCQQQYVAAETQLQNCQALQQLLNTGPVDTRFIQAPSQHYVAQNQVQYSNTPQEPCIPGANQPQFVAGPPQQQYVAGPTQSQSQVLKEHINAGQSNSLVVQAQQQQSTLEQAQAHYNRQAQQYVAGQNYPQIIHILHPHPITEPPQPQYSQDSRYRGHAEQANPQVVRPHQQQFVATKAPAQYYQTTQHQCSVQSQALYSESPKTRNISGPDHPQLSQQHENHSRRSNAVPQQCNAVEMRYQNTRIPLQRYHLELNQPSCSQAAKQHHVARQNQPLRAQDAQKPSVTDSTQPQNYQAPQQQIFLRPNQPQHPQVQQNQQQYMVAQNQAQYTQSLEQQCSQTSQQQYVGVPAQVQNSHLPSQQMCTPSSVEQLQLTSSMDPQWNSGPQYAVFNVPIQGTMSFRMLWQPNSAIQSKPQSQPQLQNEMQPQLHSQPQLKPQDKSKTEQQAQPKPSKSQVPHQPQALQTGNSEEQILNLKPIPLQKQQQEVKDKIPEKTTSNDNLQERKIEKNLEENTSGNKIDLKFNPSYNKTLLLAGKINCTTKAVGVQTLNESTSSHDVNVTKLPMPKKNEPSKKQVNAATETERYKQNDNLKEKFCFLFFRAAEETPILSNGTMTFATEQSPKSYSSIVAKPCTTSRRILNSPSSARTSKLSYSTKMLKLGDYLVDSNLNSKKIEQRNISARTKLIVANKSSVIHELLTTPKLSNNENDVGLEISQQINDCKSRDWHQPEISKSVSDDDSFRVPKKVAKPVIIRKKKHIDLENEGNTKTCDNKEEFAKNDLDVVQPGTPTALQPAISEQVSSKENINPDDEKEYAEDKKVVEEEEFDLNAEKKELEEFKDIISGHCSAYNEAMTHARHGFKSFQLRDRVSKPDFGEIGERIFSFFEANSLANMIFSSKKVETKKFASKKASFYGTSGNLTNQKLKIFYERIEKVIEEEKESHRFIEDILFLMSDCGRRNLDELYMKMVLEFPGRFANCKRIMPLTLESFENIKTELDKKYLNYFIGYMNHQFTFRSFSPPVEQMNGLPPHEKLLIEKFKVSWHSAGVDFDELAKKYFETKRSQLMANRRSPQNECFIKFYTFLLEISSSIFSFDVSFRKCCEHPKADRTAFFLFDDISFFKLYFGYEA >CRE00484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:56992:58607:-1 gene:WBGene00051221 transcript:CRE00484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00484 MNWFDFYATTSFLNMLTRFNANFFPSKYADFTDLSQQFMSGADEDALPVFLNTTELQFRLSEKSQAKLFTLYNPFGHPITYKILCTATRNYTVNETSGTLQAKCCQDIVVRCIQRLPVGNVDKLKIEICKKGAPTSSGSCVLNLLTISNNTPDPEERFERMAQSNRMSTSTSSERGNDRNQSNMWICLVVGLCCAIALFCPTSGEAESKSSSVPPILHMSSQQKLVASYILGIVSVLILRPM >CRE00485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:61241:64838:-1 gene:WBGene00051222 transcript:CRE00485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00485 MEFTTENYLNEFRVEACPLFKQHQCQQHRPFTCFKWHFANQRRRRPVKRSDGSFNYSPDIYCDKYDENTGICPDGDECIFLHRVSGDVERKYHLRYYKTAQCVHPTDSRGQCVKNGAHCAFAHTANDIRPPMFDQHEVGFSTVVDGEGRDKTSFVIEDPQWHSQDHVLSCYKTEQCRKPARLCRQGYACPFYHNSKDRRRPPALYKYRSTPCPAAKTIDEWLDPDICEAGDNCQYCHTRTEQQFHPEIYKSTKCNDMLEVHNEKGGTMKKHLYLQHGYCPRAVFCAFAHHDSELHAQRNPYVGSTQPSPKEQCSPSPNGFSIPTEQTRFESPINGAPSYSSVLKTRVPIKQNYATTDNIISNSYPKAPGFERSPIVGSFEYQPIGRGGDQRNRTHSLNMGHLDMLKRSMIITGGLSALDSGNPALTSHSSLCRSDSGFNVVNDTSLGTLDELSLDFLGLMKPETNSTCSMKFCLQSVTLHWTHTATPAGFLASQSRCKMIFSAIHSIQTWYVLNQIYSYIIMFQFPQDYPQDGYNDYMKPIKNEDFNNLNEKCQYLEDALKQSRQSCDMWRMRFEGECMEKNRLLNERNNLLQQIDQMKHPIPQSPFMAPMGSPLSISLPQDNYDGGIRPSASLQHSPPYNIFGNECETGAPACARCGRDRSRTGYDNQSSCPVCSN >CRE00486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:74399:74856:-1 gene:WBGene00051223 transcript:CRE00486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00486 MNRPRVKEFEDDDKEKRDNAEAAFREWLKRKAAEPKTPRASPSREAISKHLKDEARQRVMNQWHNNKRFAAKMDAYVNGNSSAQKPDGNQTL >CRE00007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:100863:101374:1 gene:WBGene00051224 transcript:CRE00007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-71 description:CRE-HIS-71 protein [Source:UniProtKB/TrEMBL;Acc:E3LCA3] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIGERKKIDALLKQNQLFSAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE00009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:119055:120624:1 gene:WBGene00051225 transcript:CRE00009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00009 MEAVAEHDFQAGSNDELSFKRGNILKVLNKDEDPHWYKAELDGNEGFIPSNYIRMTDCNWYLGKITRNDAEVLLKKPNVRDGHFLVRQCESSPGEFSISVRFQDSVQHFKVLRDQNGKYYLWAVKFNSLNELVTYHRTASVSRTHTILLADMNVETKFVQALFDFNPQESGELAFKRGDVITLINKDDPNWWEGQLNNRRGIFPSNYVCPYNSAKSNTNVTPAAGMTFAT >CRE00010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:121707:123178:1 gene:WBGene00051226 transcript:CRE00010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sfxn-2 description:Sideroflexin [Source:UniProtKB/TrEMBL;Acc:E3LCA6] MAFDPDQPRFDQSTFYGRLRHFAGMTDPRIAFSSTSELIAASDLMQKCREKKPVPATLEELHRAQRLYQSAFHPDTGELQNFAGRMCFNVWGGTMLCGAMMIWYKSTPAVIFWQWANQSFNALVNYTNRNAKSKLTTQDLVVSYSTAVSGALAMAIGLKTYFAKKQSSPLAQRLVPLGAVAVANAINIPMMRQNELKDGMTVTDVDGNNVGVSRLAAAKAISLVVLSRNIIVAPCMILTPVIMEGLNKVASFRKHINKLNIPTQLALTFVIYGAMIPIGCALFPQQNSVKLSALKRWEPEAYEKLKDIKGDRVYFNKGL >CRE00490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:123891:124770:-1 gene:WBGene00051227 transcript:CRE00490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dct-1 description:CRE-DCT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCA7] MLDIKKKINFADMSGEKTDESSTQQQPEQPLVQQTTPTAKATNPFITPMTESTPGMSESWVELAPSRTSLCSSVDINMVIIDEKDKDSRLSPVSIAQSPHVEFESLEQVKYKLVKEMLPPGKTDWIWDWSSRPENTPPKTVRIVQYGSNLTTPPNSPEPEMYQYMAYESDSLFNVRVVFGFLVTNIFSFVVGAAVGYVARLYSIKNILSISFIF >CRE00011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:132156:136689:1 gene:WBGene00051229 transcript:CRE00011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tnt-3 description:CRE-TNT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LCA8] MRSSFTSSYSSSSTLNPSSASSPLSYSSSSSQLGFRRSSATSSHGLTSRAAPDYGSPFQRDSSNRESLPGRLYPNRSSSLAGRPQEAPISSRVTRDEQTIGLLERYGNGTRKTSVGESSTSQYFKQRRQQQLEPDCGPSSLGQRRQSLEAGQFGSASSPFSPFNRDQPSTSSSLFGRDGARQNMPDSQDVAQNEYMQRLMAAHNRVDDLLRSRGLSGEDERKYLRAWEEIPIIREERYRRVRTPSSSSDSGLSTDNDSDRSNAEAAPPAEPEPPTVDSTDHFSFEREEEDIQIVHSYSPKVKEKVFYSEKLKNVDFNLDKKSRNEKASKSLHTSSAPDSCRLLVTKAKDLNATNKKKKTSICDTRATFRSDTKESVSITLPAAPTPKVLKIAREDLKKTPEKIIPKLKEAISKCTKTLRAGDTNTKEDIVKLLEQPRPSLSVSASFSVGDVRSLCKPTLPKRRKTYDENVKVQQKNVLASLKRKKMDVIPSTAEISIQHCSFYNQLAKDNFAEKNVRLNLRMTERAPKDCSAQIILPSPPRVIYVRKDISVFEMRPPPRRTKTQEIPKPKIGRLNRAFTVELEKEEKEIRKVNRLKIPEYFLQSNAEMFEDIMGVKNGLKRVPIIGGFLVQPKEKQSVQPPSTLRRAGAIRRKPTPIKEEPSAPYSDFCPPQTPSSPLPRIRIVSPLEPDPPPIPRRRPPPANSSKHLNLHGSLALSAKPRGAAKNYESKQQQIFAELSETDKLLIERFSSQMHIPRPKAIIRALSPFNAIRALKSQFKERCSSPPARFINRRAPRMRSPTPSREVKPIRLNAAKVVKKQQPRRHWLDFQVDLKRVDFEKHRSRLKPRRRLMRWIPRWRRRGTDEEVEEVDEEEVEADEPEYAPSETGTTRSDRPKTKSFTEDEEGLTEGERAMAAAKRRHEEDQHSKLQDYEERRRAEREKEEEELKKLKEKQERRKLEREQEEKELEERRRAADDRRRQEEEERKAKTEQDRRNREEEKNKKNQLSGFQAPAQGGRNFTIAKKSGQNDKFGNIVQAKQEMGMTKEQQDDAKRAFLAAIRKGIPSASEVSPSELKAKIKELHQRICKLETEKYDLEKRHERQEYDMKELNERQRQVTRNSALKKGIDPAEVGSSRYPPKVQIVSKYDRQVDRRNFRERRSVFDKRNAHPCFPGIPPPPALYEKTILTVEEEVVADEDEEE >CRE00491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:143117:144248:-1 gene:WBGene00051231 transcript:CRE00491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zig-3 description:CRE-ZIG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LCB0] MICKLMLLVSIVTAAPESKMDAAVSDLVMGLDHLTGKTMLKFVDSLADLKLESGESAAIRCEVTSTPSAVIFWEKDGKRVQGSDKLNLFEKITNTGKFVVESGIVSSIFHIPCASSKDSGVYKCIATNGHSTVESTAEIQVDGVDGKCPSTHRTAPKITLQTESRFEMSGNAATLVCRADKKADWTWTFSGEPIKMDDGRHEILPNGDLFIRNISWDDMGDYTCVASNKYGQSEAETFLYPTKKTVA >CRE00492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:147996:148913:-1 gene:WBGene00051232 transcript:CRE00492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00492 MILFPLSVVLILSIISVHAEIGYDQTDEHSTPAPVIKKETSVIKFLETPLDINITDGKPVYLSCTVYSTSSVVIEWDVNYITIQGEPDPFNEDQILNVGKKQNEEFKTTSILKLCNPRSGRYTCTASNNFNNISTSIELENMGEECKDDNPWKPNIYEYTPWVKEFYGRSVTLLCKSEGYFVDRSWSRNRRKIEVIRPKYEMNSDGSLVIHDLDEKDEGNYTCSASNDFGQDVRVVTLHTVSPLNFFLAILEVVRKDLGLSWRQWCSSFFQ >CRE00493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:149947:150801:-1 gene:WBGene00051233 transcript:CRE00493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zig-4 description:CRE-ZIG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LCB2] MLVLAFVLINCALSFGHPPVQVSSKLNQFRVLITFFKIHNSVLNMAKDLDQQQLTSKPMLKVTAALEDTQVPAGAPHTLYCEVLATPAASIRWLFNGKKVQGNMELNIEEKLLNTKKNVVDSGIIGSTYTIECPSSETSGTYTCVAFNGHQTVETSAVIETEGDFECRQNRRSAPKVVQWTDSRFEMQGNVATLSCRANQAADWAWTYEGDLITDESRFEVVPNGDLRIKNIVWADMGTYECIARNDYGETRQDTFLYPTAKKSA >CRE00013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:158847:163282:1 gene:WBGene00051235 transcript:CRE00013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00013 MSHLSLLAIALLVLGCEANHLDLKGPPCPDGNGLYAVGCSQKYLECVNNVEYVQTCPEGLTFDRLMSRCERRSNNYLCNSENRRTLNVRQKVIAFNCTGRLSGDYPLDKTVCNENYYQCSNGMFFMRKCPHQQVYVPLLKRCDYKSMCNDVKTHPARAYASPTYDADNFVVTTKEFENGHHGMFLTLHRKPQFNLFSGIDCKVQGDMYFTNDKQCSPYFFQCSNGKLFRKTCPEGLIYVLDQNLCDYPQGVKGCPEYDGSETSYQSPDSTTTSAPYAPPVAAPAAPYAPIPYSPANEPTHPPATRPIPTRPTYNPPKTTYTPRYTSAAAPTVAPTAAPYVPAPAPVAPVYSQQISYNPAIHGDCKNKDDGFYGIKHCHSKFLACTGGYGRVVFCAENLYFDERVSACDFADACNGPRKQEDIYVLYNHGGAYNEQPAEIKVDFDCTGKSNGDYVKEACSKTYFRCQDGRAFAASCAADLVYNKATLTCDYADHCDKNYVEPSKTYGGNDKPIPTIKYEAPVVYTTQPPKTTGYTQPARDTERPSTIYARPIYTKAPTTVGYEEPVTTRAPYTTHATEAPIIVDDFSCTNLIDGNHASGLCKNVFYTCSNNQLTATRCPGGLVFNPYLGQCDYEENVRDCKGYQPVETTTQLYSRPESTTGRYAEYSTRVSTINRYEPIVTDGYVPTTTPGYAPTVTTGYGYPTVPVTPGYAPVRTTKPPRHAAYCELLSNGNYGRVCEQYFIQCYNSETFEQECPAGLYYSIANDRCDHKENVEGCPEYKPTPTTTPAAEQPQPPKYGYKPDKYPNIDYTTTTPGPVDTTPIAEAFSCYGRPDGIYALPYCSKDYVQCIHGRSLVSSCAAGLFYSESTGLCDYKANVEICGNRKGSDIISTNACSGKADGYYSVGCSSHYFSCINERIRKVSLINIWSSTRPAVFQETCPNKLKFSKEKKSCQYPTDISECSISVNPDRAPPAVPSDFCVIRPNGLHAFKTCSPHYVVCDNKRAIAGTCATPLVFNGLNQLCDYKSNNKECVKDYIPAVTSSYNSYTTPAQEQPTTTATPYVPQTTTTGHIFYKASSTTTQRYQQPTTTTTPYQAPTTTTTQRYQQPTTTTTQRYQQPTTTTTQRYEQPTTTTAATTTPSRDVEIPTTTTGYKPYEETTTTPARDVTTTTASYVPTTTAHQSWY >CRE00494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:163918:165498:-1 gene:WBGene00051236 transcript:CRE00494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00494 MFSLPCEGPFGCFDYHGSKLVCIDEVKNAVLISHTDGSEMEKYTLPNSLSKEFYVWVKLCFINGRFLLGLLKSHHDKSFHLMTININRDQTCTIMKHVPTKIISHGLRVRPPTISCFTPVEAHRSMSQIVFSICNTKVLVKRPIQRTSDRQSFMKQLRQDVERTNGINGDVEKVIVEEVIVEEKIQEIVPVDDEKKMIESVLLLVKVENDGDIIPTILSTGRRRYGIKIHRTRSIREFRRTFSTKFMTRWRCVVPIQNSCEPFLWGNNACIISRDNPTCFYLAHTILNPKSGFIASLLHKLSHRFRTHTPVTEPIQSPIRGQGPPPNLIWSNIDILNGMPTFLTMSPETLRLVKWQVSRDVYGHFDWRKTELDIEGPEDTSRILFKCNSENNNSSDFTIRFQLQKSDGKSHFYHFSIPRIVVQISS >CRE00014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:171235:172973:1 gene:WBGene00051237 transcript:CRE00014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00014 MRGVSGEYFDDFYPDFHMAATANRVPFDQNDRKYKCLCGQLHIKKGARIVAILVNVLTAINIIFSFTRSSTVLVYTCMSTAFSIVIFGSLLYGVWKEKRLYLYPYLFFQIISIAISIIILFAFLISIAVGASMVVDLARNVGNVDTTSESEKLDADLAVFTVLFILFLCIGGLIQAYFVEIISIAISIIILFAFLISIAVGASMVVDLARNVGNVDTTSESEKLDADLAVFTVLFILFLCIGGLIQAYFVEVIYSFHNFLKDRENSFSFNFESYSNSAFGSEATPTPPPTYPETQVSVS >CRE00015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:175000:176167:1 gene:WBGene00051238 transcript:CRE00015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00015 MEARNSNVLIEGPEPVVGFFVPASSAAYVTEILELALSTIPGARVCAGTLSKVMDSNLPATNAHPDSDFLPDLDTSDGSCPETDVEPEGTKRKHGDHHKCVPGKTGNCCMHRMANEMKQKYGTPVAQPNGTASCPRIMVQYPGLPYVVPVHEEFNNLGLPRGIVRFGCNCVFMPTEDSTSLNTTST >CRE00495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:176654:177029:-1 gene:WBGene00051239 transcript:CRE00495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00495 MSGLKEITFFVLILLCVVEGAKRNAQCDLVCQRKMTIECCECIGCHQGMRFGKRSQPFSTPEFEEAAIAASELTGIEQAIAEIEQELAARAPSRRLFEKYNH >CRE00496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:178457:180762:-1 gene:WBGene00051240 transcript:CRE00496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00496 MLKAGSQGLTPNGEKKKARVYTDGFFDFVHFSNAKLLWPAKQYGKKLIVGIHSDDELDNNGILPIFTDEERFRMISAIRWVDEVFEDAPFQPGMSTLNQLDYINHPTTVETARYEEIRGSERAKQYVISEHVTDQEVAGRLMLVTKSHHMETDSILEFKSAVLPFASDPMSNEPVISVSLFKQNFTFAPVVIGKKPKATDRVVYVSGAFDLFHAGHLSFLEAAKELGDYLIVGIVGDDDVNEEKGTIFPMLNLLERTLSVASLRIVDEVFVGVPPVTSVKFINLIKASKIAVYPETHPRRFAGCTQLGIIKEVCPDYDATCEEILERITSRKIASSEDDEIAMFSSVPLNNNQH >CRE00016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:183014:183578:1 gene:WBGene00051241 transcript:CRE00016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00016 MEVRTPTCNIDQYAAKLFKVYSDTVFADDYEGLLKFYDDSAVLIEKGKESFYGVESIINAIKAYHAGLGPGTTKNKVSSQNSEFKVEYTDNVITIRCKYCVQSVLSHETWEGEYIQYWRSEIGQMPKIIRDEFSIDKRY >CRE00017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:195819:206646:1 gene:WBGene00051243 transcript:CRE00017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cca-1 description:CRE-CCA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCC0] MLRQPVPELRSFQSLSKYAGGPRSVLGRRTSAITVNRRQSQSIRRHEDVEALGSIEGSKETLQLSEHGRLASSSEASPSRWEGRQIEWGNEEQVEEESDLPYPGFAEPALRCFYQARPPRKWALQMVMSPWFDRITMAVILINCVTLGMYRPCEDGPDCDTYRCQILDIIDNCIFVYFAIEMVIKIMALGFCGPAAYMSDTWNRLDFFIVMAGIAEFVLHEYLGGNINLTAIRTVRVLRPLRAVNRIPSMRILVNLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNRCVINLPKSISENQSALFNNVKLTRFYIPEDTSLEYICSQPDANGLHTCSNLPPYTVNGIKCNLTIDDYDQVTNDTCINWNIYYNECQVMQRNPFQGSVSFDNIGFAWVAIFLVISLEGWTDIMYYVQDAHSFWNWIYFVLLIVIGAFFMINLCLVVIATQFAETKRRETERMLQERKRLQNRDSISCTGSEMGGASTKEEGGDTVYAAIVRFIGHTFRRTKRAAKKVLLNLNFIKLKIISIFQKYTAYMEERAERKSTERMQRRKSKLDDMATLSRIEEKAEDEEDEATANVEIKEEPIEHNEQNGDGVRIKRVKIEEEPRVKIGNGNSNGPHYKHTDDEDESEEEHSDDESYDGEKAEKKKSKSKIRWFRDKVRKFVVCDHFTRGILVAILVNTLSMGVEYHQQPEILTVILEYSNLFFTALFALEMLLKIIASGFFGYLADGFNLFDGGIVALSVLELFQEGKGGLSVLRTFRLLRILKLVRFMPALRYQLVVMLRTMDNVTVFFGLLVLFIFIFSILGMNLFGCKFCKVEEKFLGGLAKKCERKNFDTLLWALITVFQILTQEDWNMVLFNGMAQTNPWAALYFVALMTFGNYVLFNLLVAILVEGFQESKEEEKRQLEEEARKQAVEEEHERKRELELIIAKTTSPAFNNGVPPAECTCQRPTSPEDTSSPRLLTANYHASPERKHSVNLDAIIDKRLVPRNTITAFDRSPMSEGRDDSRLNRHASLVLPVANGVPYRRQRVHSWSGLCHHFNPNCPVHGRRALIETYAREKFLEASQELKQALAEEERRNEAKQNTFVRKLLKKTCLHNRTEFSLFLMGPKNPLRIKCLQTTQKKWFDYTVLFFIGINCITLAMERPSIPPDSFERRFLQVSGYIFTVIFTGEMMMKVIANGCFIGQAAYFKDGWNILDGILVVISLINISFELLATGDSPKIFGVIRVLRLLRALRPLRVINRAPGVKLVVMTLISSLKPIGNIVLICCTFFIIFGILGVQLFKGMMYHCIGPEVGNVTTKIDCLEDSRNKWVNHRYNFDNLGQALMSLFVLSSKDGWVSIMYQGIDAVGVDIQPIENYNEWRMIYFISFLLLVGFFVLNMFVGVVVENFHKCKEALEREMREKEKEKRLKRKLKRQKFEESMAGKRKKMERNYPYYHDYGHTRLFLHGIVTSKYFDLAIAAVIGINVISMAMEFYMMPMGLKYVLKALNYFFTAVFTLEAAMKLIALGFKRFFIEKWNRLDMFIVILSIAGIIFEEFEALELPINPTIIRVMRVLRIARVLKLLKMAKGIRSLLDTVGEALPQVGNLGSLFFLLFFIFAALGVELFGKLECSEDHPCDGLGEHAHFKNFGMAFLTLFRIATGDNWNGIMKDALRDDCDSSDHCETNCCVDPILAPCFFVIFVLISQFVLVNVVVAVLMKHLEESNKRDAEGPAEPTGENIENEITKSDDDEIAEEPEPLAIEGGDSKEDEVEEEDVETEPSTQIPDGHGGIKRLSMQVLEQELIEVERNMEERYRRASECLGGELQPLNPGEIEDLDDPDFRPRSRSHRPRARTNSALSNKSRGSHKSAL >CRE00018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:207339:208174:1 gene:WBGene00051245 transcript:CRE00018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00018 MSILTYSFFILAFLVINCTACIPTIPPEEVGFVERVIHQLETLPDPPPPVAQIIPVIQVIKKEIAKTNKVVIAKTTEVAEKVNKPFKEVTSVFAGCHVNQIKFISPETGRKLGKTIIPPILTCVKTSLFGCDTVRLSCIIPQNSKYPLISGFAKIDGADWATTDLKASRADFQLECDVFNRWIYISDIPGLEGKIYPTNEVSCLLVPIITDNNQIDFEDMHYDV >CRE00497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:208516:210585:-1 gene:WBGene00051246 transcript:CRE00497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00497 MKQYCCIVIIGLVAFTNAASVQRAKRQFGVVPLYSSCNEYLTCAAPAVCASGTCQCAQAYQPSGTQCVPSVAPAAAVNGVYQVPAGVAPATFRTVYTDALTHVRPVYQPVVYYPQVVRTPVLCALPPCHEAPVPVPVPTVPITTPVAPTAPTELPTPAPETTTTPRRLFDSPSIAYPGDYCELPTIVCVGGSFCSNNICICRPGEVIENQQCVPVATTTTTTTVAPTTEAPTTTTTTEATTTTTTSEPTTTTTTTTTTTTTPIPTTPSTTTTTTTTTEAPTTTTELATTTTTTQAPTTSTTTTTTPAPSTTTVIVPTVTPSREEPGCTPYNCACNPSGCGQGQIVRINFVIPGQQCNSNNQCLAGSYCSSGTCRCANSFEQRGTMCIRRRK >CRE00498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:213965:214234:-1 gene:WBGene00051247 transcript:CRE00498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00498 MLRMGHFLLLVILAVAVLSIPTSYSKSKMLNNIFKQIHNKKAVGDAFPYETYGFGDAGYGIGDEQNYFVIV >CRE00019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:217553:221315:1 gene:WBGene00051248 transcript:CRE00019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sul-3 description:CRE-SUL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LCC4] MRRTTLPFFLLLVLQHYGIADVDGQTLTQKPNVLFIMADDLGFNDLDWKDSTLHTPNLRNLAFHKNTALLTNSYVNQLCTPTRSAFMTGYYPFRVGTQNGVFLHMEPAGVPTMFPFLSENMRQLDYSTYLVGKWHLGYCKKEFLPTNRGFDYFYGFYGPQTGYFNHSADQYHRELKRVVKGLDLFEEVGNGKSVPDFSQNGVYSTDLFTDVAMSVLDNHNTTKPFFMFLSYQAVHPPLQIKSEKKLTPKIATHFEVPRSFDKYCSRVKDRYRRIYCGMLTAMDFAIGRLVEYLKASNLYENTVIVFTSDNGGTSNFGASNAPLRGEKDTIWEGGTKTTTFVHSPMYIEEGGTREMMFHVVDWHATILSITGLEIDSYGDGINQWEYIKTGRPKFRRFQFVYNIDNHGSAIRDGDYKLIVGNVDRKMSKDKNRTRLFRIATDPTESKDIARSNPKIVRRLLAKLDQLKKFLHKNVRKPLSLSGSPERLNGSYSSFWCDGQA >CRE00499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:222787:224898:-1 gene:WBGene00051249 transcript:CRE00499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00499 description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:E3LCC5] MGKEKVHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAQEMGKGSFKYAWVLDKLKAERERGITIDIALWKFETAKYYITIIDAPGHRDFIKNMITGTSQADCAVLVVACGTGEFEAGISKNGQTREHALLAQTLGVKQLIVACNKMDSTEPPFSESRFTEITNEVSGFIKKIGYNPKAVAFVPISGFNGDNMLEASPNMPWFKGWAVERKEGNASGKTLLEALDAIIPPQRPTDRPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFAPQNVTTEVKSVEMHHESLPEAVPGDNVGFNVKNVSVKDIRRGSVCSDSKQDPAKEARTFHAQVIIMNHPGQIAAGYTPVLDCHTAHIACKFNELKEKVDRRTGKKVEDFPKFLKSGDAGIVELIPTKPLCVESFTDYAPLGRFAVRDMRQTVAVGVIKSVEKSDGSTGKVTKSAQKAAPKKK >CRE00500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:228857:231752:-1 gene:WBGene00051250 transcript:CRE00500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sek-1 description:CRE-SEK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCC6] MDRKGRERKFPGMKIVMPTPVETPTMNLEDRCLIKLTNESEEIEIAATDLVVLEELGKGGYGIVEKMQHRQSGIIMAVKRIKSSINDQAQKQMLNELDACRRSDCCPQMVRFYGAMFREGDVWICMEVMDTSLDKFYRHAYKVGKHIPEPFIGKMALSVIEGLNFMKEQLNLIHRDVKPSNILLNRHGQVKICDFGISGHLTNSLAKTVQAGCKPYMPPERIDGETKSAYDVRADVWSLGITIIEIAVGTHPYANWKTPFEQLKQVVKEPPPKLPNESGFSADCQFFVKRCLEKDYNERPKYPELLAMPFMENARNEKNFSMARFINEILDTVWRR >CRE00020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:240036:242427:1 gene:WBGene00051251 transcript:CRE00020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00020 MVSLTGALGMPPFRERLGTGCTKDSKDSGIEVRPKGKQRNRNRHRARMQTSARKKPISSIEEQVVDYRQDDARQNAENSIVIGLWLIVLYFTNRTFGLPFDIMWPARINHAAVALSYLLIGIWVLCALYFYFRKRSEKMRWYKTYPKTYYFAWMVQLSGFALFCLATYPQIHRWSLLVSIFYFSFFATISNTFL >CRE00501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:242867:245296:-1 gene:WBGene00051252 transcript:CRE00501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-13 MSEDFFILVLLLSIKSINCIDCDLIFPLSQLPNNCTSMTGDIVIDGKNATTLLENHFQFPILQQKFMKIENWTGSIKIIYTDFQNLSFFKSLASVKTDFPGSSFVFFLDEDDIHNEMRYATFWIESNPNITSLGIPSLKQVTADVLPTIRTVYGFYLKNNAELCLSDSEFWMLRKMERSLLFGMKICGAVTKQYCYQNHFDHNNIPLGCQILLTGIWLNEIKNTSVQSYLNQAFATVEEVHGPIVIFNTDFTNLSYPLLHTIRNQFYDGQNDWQRQILNIRGNEFLTSLEFPKLTNWSHYGNEEQLINSGNKIWNYSEPNCNLFRRIPFFSIKDPLSNCGLVPIINDGARTMRVIIQIAEKIASLAINFVFCMFLCFKLFKCKITTSFYHLAMIAYIFLTILECLFVNLDFVFIFNDNNFAIPVGLRSIFLYRDQKLFNLISNVMYTNRLVLLISCTLYRPMHIMRFGNWKKFALGSSVVVFFVANISNIFVMEFEKYKLTFAMFPLSVLLFLFSFISNACVCGAMSQLPEPFKNERTPQNLTSLSLFLQALFILIVGLFSEGLNHMFSSSPALVQQIRDFDYFFYFWGSIIMRWHYAIILLIQTFVLWLCTSFNTVFLIFRAFISHTFVMFSVDDIPTPKPVSP >CRE00021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:247948:250333:1 gene:WBGene00051253 transcript:CRE00021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00021 MSKAAHVSYKSSPSLQCHVAFQKEAERLLKRFKTKIETVWKEQDVIKAGSMSECNLFWFENENRPSSEEVRDEILKMVDSESIELKLIFNNLTFGGFRCPQKRWFLGDETSTDLLPRQPSNVPAFFASCLTDDLRQEQTAFASLSPKPLTVTNRRLMYARNNMLSGTVLGKDTGDRPYYFHSVMTDGKLTKYRTEAQERDEILENSTDTPTKDFVFRGSKINLVEGQLTSDKQVVIYVNDKKMNKYILQWALKENGDKVLILYDSFFMAAGDIKENKTGILLKCRELRGTYGTGKFAISPDFRLLIREVKCSYSLVEFLKKNLFFFQSAINTVPRSSVKLGKLSHLKTIVEMIEEEERPDRNNDNKDRTDRQIQLISIMCADSRIFLKMTENYNRDPAPGYALTSFEKMIHNYHEAQKSVVVHSFRAMFSFFSRLGSIESNCNHNVAQCENIHKILTLSHEWIDEQNNEEDDYLDGMIYIVTKMLEIYYTGITCNREEEVAVCSNQRIEQTKAVLPPNGIKYFPPGCYILPCSREQWGFVFDCRKYSLRRESSYYCYSVIPEDFRNMNYENMDNVMEYIMRQRPDIMLFSPSKFDFPLQFIRRFAQWYEEEHFNKFQIKEISLTTEIASLNIH >CRE00022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:252372:255522:1 gene:WBGene00051254 transcript:CRE00022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00022 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LCD0] MQLLWKDWTTRPVLLLVAERKRRSQMLRLNVVKRKKDALLLQLNKMEENDRVQTLKETRVQLLMRTSPHSFNKAPSKTGNPTLAEALQNQLRSREPSPPATSRPPHNLASSETRKLSFAEFHQKQLRAFKYQQDMLRSLSSLAPPNTSHPSCLISSKLNSVDQPRTGLSKTRPVFKRLSLVDSSVLWYELERRYFFFEWCIENDKLDRLRHARPEMHILIFLRLSNTRISAICCGAADLVASSSTPASQSAFSAYARPPVNPTASDIDNAAASVSPAVANSPASSTVPAPLSAAIPGPESTEKRHEHQSSAITAAHAESLGSPGITTASTDDGAVVQIPLAAVISKTRKLAVAEVHQEQLRTFKYQEDMLRFQSSSAPPTTSRPSGLDSIVATHESTEKRHEHQTDAIAEGHADTAGSPSVQVSADDGAVVQIPVAPDGSPNSAAPSQIAEKPVLLSPEELQNMHLGPIMTQPIKFIKFNAPFEEEEKINYFKITNASTNRIGLKIDLSCPDRIQTDVNVCCLDVNGSIKIRIVTKRFDLDKNAQDCIAITWMNVQNEGDIDEEFFEGDGLRNKKTLFVDYNI >CRE00024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:264108:267005:1 gene:WBGene00051255 transcript:CRE00024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00024 MAKRSKYDAYFQRIEESAHCRLCTVKVPWTRNGGTNCLRLHLATRHPQEMEQFNQIQTKQARSSNESGISQDDSALFGHEVWETSDSPGGNQIIQPLPRIEISENDISVIAMICEDRLPVTILDGPGFRKFLEKSRPDVQLKHVSYYTQNVLPILCDNLEEKVRRDLTFATNVSLVFDTYKSDADRAEHVSLSAYWMNIQTMEPRHALLFYKTMPESSEISSLFIHSKLAKYEVHQKTIGYISESPSISNIDWLENISMKLPDFEAILDEISRSIYSSCPDLLRKVAHTVIDFQKMQKDQETTASKIQILETALPNVVLERPDSLSRRGHQEILRIALNISAIRNTINSSGNEDQRYTKLTEEEIKVTSFIFSILEQIQEAHNQIRHRYYQTASVIIPSLRVLLHKLTDVVKNDTSSPEQSIGRNVLNKLETAANVSQKNMVLKTATFLDPRFKDAFFFECHKSYVINNFKDRCGKVIKQEMLVAPKHEEKNKKMSVFDSFIQEKSNGSSSEPKNELETEIEEYLKENTTSQTDPIDFWLQNQCKFPILKYLATQYLATPASASGAKKLYEDGEKMIPTGFSDNSRDSFVFCSANIDVYEY >CRE00025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:267294:267964:1 gene:WBGene00051256 transcript:CRE00025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpx-7 description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:E3LCI5] MSTGTIYDFQVKDAAGDLVSLDKYSGLVVIIVNVASYCGLTNSNYNQLKSLNDKYYSRGLRVAAFPCNQFGFQEPYCEADVSNFVKENFEFEPDLYGKVLVNGGPIIGKEDPLWEFLKKEQGGTLFDAIKWNFTKFLVNRKGKVVARYGPSTNPKSFEDEIEKLLDEKP >CRE00026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:268811:271825:1 gene:WBGene00051257 transcript:CRE00026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adm-4 description:CRE-ADM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LCI6] MKIHYTYILTFLAIGFSQSDAFNTRVKRHAPVTFQKSMRQSIIYFDFLGQEYVVDLEPNHAAFHQNFKVFTQDGPQLIPREEYIGTVREPRAGKGVLTHLEDNLYIGIIYFDNDTLHLEPSYPHGLPEDVGSIVGYFGSDVDSNLDLSALPVRNQVSFRPLNPLLKRKRAVTIPVQTRTNIPNEKRNRCSLKLVADYSFYSIFGKNNTGIVTKFLVNMIARVNEIYTPINWDGGREESIYGRGKFQNMGFSIKEIKVLDRANVSDSHYNSYTRIWESEKLLKEFAFAEGSKEFCLVHLVTARTFKETSTLGLAYLSYKTWDDTAGGICSKKETFNGRVAYINVLLSTCFANSEASTYPLITKEIDIVVAHEYGHAWGANHDSTIDSSDPDVEDCNPNNQNGGSYIMSQFAQTGYEPNNVLFSPCSMKAIREVLTNKWHGCFQEEMTSFCGNGIVEDGEECDNGVETDEQDVSCCDKFCRLAVGAKCSPLNHICCTPTCHFHNSSHVCLPGDPLLCKADAVCNGATGECPPAPPVEDEQECIEGGECSNGICLPFCEKKSIGKKSCICEDLDLSCRRCCREQNGTCSPVSDHVYLRDGLKCAKGTCRNKKCVNEVVDNVRNYFLSPFQSTSGLFVFLKTHIVGIAIIFISILFGAIYWIVWCNENGLLEQQKKEDRALPLRQIHVSADGANQVFSQ >CRE00028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:274644:275053:1 gene:WBGene00051258 transcript:CRE00028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00028 MSEEPAIWESILAFDIWAIIVWVYGHLPFEIKSYIWMVILTLLFVVFLTTCCGILCFFAPCCCCAIMYKGYRARRAGRRRRQEDDNIAYPSAPPLLAKV >CRE00029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:276405:281774:1 gene:WBGene00051260 transcript:CRE00029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00029 MINATPESVRKSLRVSQRSTPGEQFGEPRITRQRRHIVEKFVDNYVSKSPEPNELSVEQSVETPPEETQSKVEECPEPPGPLENIPVCSTTVPNHIAAQGTDKLVKKSKIVPKERKLNAKLEAINNKLSDCVTAPFSTPSNQGQPQTPPDETKPKVEECPEPLDPLENVPVCSTTVLNHIGAQVTDKLVKKSTIVPKRQKLNEKLEALNNKLSECVAAPFSTPDGLPIKRMPVSTDNEVAPPLLPVCSTTVLNHIAAQVTDKFVKKSLVNRERNLNEKLEALQYKLSECVATSSATPPNQEQSQNGNGEIKKESIETGKQGHIAAQVTDTFMKKSNNVNKEPKLSAKLEALQNKLSKCVATSLTAPPNQEQPQNGNEEIKKETVESGKQSSASKTILEKFSPAPGIAIADSAVRNSLLWVQEQNTQRVIEEQNRLLEYINIPLDPDAEPPRYKKFKKDNDEIEEEERRLDEEAAMTVAKIREAAVKRIIQTRTSGKTPTCVQVAAQDAEIAHYITATSDVSILNEMPEPTTKALPTKALKKRADISSSEDPISDSITDVVRKNILPVKQAPVHTNNVVAPPLLPHRPIGLPNRQLPRSLGPCSQSGQTLRLPIPQFRPRVPTIYQGSAHARPMLPVKVVMRPSAAAPTPSTSTVALKAPKEEPVEPTTMLKETKEVLVTPKSEINALSGLKKPKEEPVEEEEVTAPKTLPSHIISSDRKRLIPNHYNIPTAPMGANQRVVTNGTHIPSGTTTEKIFVTRGPDGKIVPTRRILIRRVPPQIQKVILAPPPGLNPRPHLPVNQFQTRNGFDVHHRNQGANNSHYTLPHVGNGNRDLASANQPNCETTLVKKEPIDVKEEPMEDYQQSYNLYDNTVNPYRTVQTGLFPVSVIPRPETNTSAMQPRMPGAFPPIIMPCRLDQETGKYRSVFEPAALQRGTSPTRVFLGAEEPIKDLPDDTKKFSWFTQRTVRNFNDAQLMPFRKRPAANYIEEICTNNNAREASAVLIEEVEPEKEETEEKAAEEEKEEEEVNMEIDEGDKEEIQRMVELKKARNQEFMRQKQALAQKLILNEYKICEYMQSETFKDLIKPAEDSSTFQKIVQAPAPLAKFPEFCESLSSSYPALFRNLTRIHHMQNNDLSKFVKDFGEVNERKKRVVFGPVQLTHGVSEMKKKDRLRNLSTGIIAMLEVVWCGVTTKVPEFIQCTLCGDIMRLCMRKCYYRGVLREYPAYRCLRKGCQTFHSIKKQFNLNIQNKISHWYAIPVPPKPSSKKKKGAYAGPTKFLIKAVEWKNDLASTPNPEPVENIKAETPEEDIEIRMEESPERFEEKPVVGTNLTSSPREKRIRKNKLDTLSKDFLCGTSAAEIARLDNEKEMEEKEEEEDEEDEDMDAAETVGFRFITDNSNLSGEMEEQKDEDDEDNEGDDDNEEEDSDYKDSSDKEDDDKEIVVSPTKALKEKPSPSPKKVETPPSRPVTNSRYGTRSSTRSSTRELRKNSK >CRE00030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:282803:283598:1 gene:WBGene00051261 transcript:CRE00030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00030 MAIVRTLYVSAMRLLQLIISFFRNLCNGNRTKKRMEMDDLPFEVVVDNIRTERKGEERASLLQNEVNDSWGDEDWDKKEEVNDKIEQWRNNNAQKPDTHAKPEDDLFSTLEPTITSARKVRNIRVNSDIENLW >CRE00502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:284222:285110:-1 gene:WBGene00051262 transcript:CRE00502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00502 MLKLVIACLLPTLAYSAVQCYVGQKLFSYESTNAICAKFIPYTCAVQCEWRKQCSEHTSFSAFRGRRTFVSGLLLNSKSLMVLCCASLATRVETDSTGREKCIWRDTESISVLGPSIRTNPVLAPNHYIRDVKMERDGSSRVDVTIEVCQYQSERDHCKVEEMSEFEKKRFDVIKGKLDKANSHIATTTAPTEVKNEDDFLNKKLRN >CRE00031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:286198:286840:1 gene:WBGene00051263 transcript:CRE00031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00031 MCGQTSKQNNEGRSNDDILVLLISEHGIRLFSSHLPRRVLLRIDSLASTTSSSSTSSLPCLVVFLFLYLVVRQLFMESRPIGRDGGANCGKTMPGDALDSFFWLRGATPTLGEIDDDLLGWGDDDLDTTLKEHREEQHRQLQQEHERRLQQKKLQNAGTHHML >CRE00032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:287460:289078:1 gene:WBGene00051264 transcript:CRE00032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-7 description:CRE-MEC-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LCJ3] MREIVHIQAGQCGNQIGSKFWEVISDEHGIDPTGQYVGDSDLQLERINVYYNEAGGNKYVPRAVLVDLEPGTMDSVRSGPFGQLFRPDNFVFGQSGAGNNWAKGHYTEGAELVDNVLDVVRKEAESTDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETFCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRSNQQYRAITVPELTQQCFDAKNMMAACDPRHGRYLTAAAIFRGRMSMKEVDEQMLNIQNKNSSYFVDWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQEAAADEDAAEAFDGE >CRE00503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:289385:295415:-1 gene:WBGene00051265 transcript:CRE00503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-deg-1 description:CRE-DEG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCJ4] MSNHHHSKTKKPSMLPGREDYIYSHEIPNKEKSEKLNGASKHNDHINEDDETMKAKMMDFCDKTTAHGAKRVLIARNSFSKLMWGMIIFSFLLMFAYQASKLILKFNAHEKITDISLKFDDVEFPAITFCNLNPYKKSLVMMVPSIRDTMDVYDNAKSHSKTEGEKKKPKISRKQHSDASQQMVRQLFAKEIEEGMVELKKSNLTLQMTNQAARNISARRRSQRSVENRRYEAIEAHCKCVGNIGMECIRFESPPRDPSSKCICTYDRDMEVAWPCFNISVWYDHECPLCHDDGYCESSLPYGTTSSDKWPCMCRNRGDTSEREDTPYCIGKAGEVGIGKTEIRKLWLENNMTTTTTTTTTTTPPPTKHRTVVQFFRTKIFTSITLPASTTTTSTSTTTSTTTTTTTPPPTTTTSTTSTTTPEPTTTSTSTTTTTTTPPPTTTTTPYPMRPNQSARVSNPETIKAMGFQGMTDGVAMLTRAKENLMFTMAALSDKQRIALSQSKHEFIEMCSFNGKECDIDEDFRLHVDPEFGNCFTFNYDVNNNYTSSRAGPMYGIRVLLFVNTSDYMSTSESSGVRLAIHPPTEYPFPDTFGYSAPVGFASSFGIKKKVMQRLPAPYGECIESKKVTDKNYIYTGYDYHPEGCHRSCFQKGLIDDCSCGDPRFPVPEGSKHCSAFNATARSCLEKNIGSVGDFHHITEKMDKCVCKQSCEEIIHEVTFSCSKWPSGATDLGDCEGMTENECEQYYRLNAAMIEVFYEQLNYELLQESEAYGLVNLIADFGGHLGLWLGFSVITVMEVCVLLVDMVSLFFKSRHEEKLRRESTRRQNIPEDKRQITIGSGQKSDALVSI >CRE00504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:299380:300582:-1 gene:WBGene00051266 transcript:CRE00504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00504 MKSRTPSNRGRPILEAEEGTNLEEDIEMGQDNQGPKSTMGAIQRFLNNTTAHGLPRISKARNQMGRGFWVAVWLMFFSVFCYQVDKKGDLIYEEKALETIILKNRTKCIIGLNIENYKTYTYCKPI >CRE00505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:302951:305994:-1 gene:WBGene00051267 transcript:CRE00505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ref-2 description:CRE-REF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCJ6] MHSEYYTTTQSSTTEAQPEWQASAPIYSDPHAYSPSYFMNIPQVQHFIPAPVDPFMYPTNLGTYVGDKQVQCLWETNGQCCMQLFQDSGELSAHISSTHITHDSKFVCLWKGCDREFKLFKAKYKLVNHMRVHTGERPFLCETCNKVFARSENLKIHKRIHSGEKPFQCTHHGCTKLFANSSDRKKHMHVHSSHKPYCCLHHDCGKQYTHPSSLRKHMKVHENEKKGQLTPEHDESSDSGNASIGTPTTDESSTFSPENLKRDQQHTMHAFMDRPNPFMQMYQNQFVNPHYPMFVPKVLEY >CRE00033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:310020:311226:1 gene:WBGene00051268 transcript:CRE00033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00033 MPPSWEDLPVHFKQDVVRNLNFESRCCFRKCSHAERQLVDEVPLVLDKVNLEIEEDGMMLRVGNTAFSYSLDGNENRITVTVVKNSDGDDDNDEPLVKEKHLHHVQHNMLDLIMTDFFLLFINGKSVVRKMEIIVRQCQAEEKYTVYETLRRKLQAMQPDYCINTKKVEYYLSAFEDTIHSLRLLNENYLETIAINTFTKKIVNSAMESVFETKQWKMAKHVQITNVVNIPIRFFTHLHSYNADLLELYLNDLLMSVQSFYEIDAPINSHFQLHYHNTLDTTSVSNSIAINFKNTSVSGNVVNIPMRSGNSNLAVKFLNNRILGHVQSLLKKN >CRE00506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:311845:315180:-1 gene:WBGene00051269 transcript:CRE00506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00506 MDETDINKKVKEYTSRVVCGYKTETALKELIPLVAIAPLMFLDLHKTYDVVSKCGKDTLQSDIRSDANKIVRIIDSRRENQKKAKMDKNNDNDEEIPRKMAKLTEVRKTPGNEGKPVNHIKSSFKVGDPLDFSDLEVTPGKIMYPGLRPVSKKPGLSTGTKPKEMLPDKNLSRDSSNLSLNHGEIRYSTTFELKRAGESDVDEGMIKRKSFIPQEYFKKSESLEGKPPIKDYDAFPLKTQRLDMDVVLSDDPEDSDEPEAFSLPLGDPFNLNAILAKGNDSSPENGSIPKKPDCSKRTRYKPLGGLDVNEIFFKPKKSHTKMYAGKPKAAEKMRTGEDLNNNSSSENRDSLNKPPTPASKPFESLDENDTLPKRRISHLQQNSGKSETVERKAVAKESDNNSPSKNFGRLTLSTNSKTSSGLDRNESKFKPRKSHSQQNNRKSDTAKTMLVVKESDNNYPSKNVDRFNYSKNTDSKQNYGLEKKERMLQPRESISQINTRKSIEKVLAVGYSDDESSDDDSPSELLATIEKYDQFKNAAEKKFAKFIKREFPGKEKEKPSLWSYREWYKALKSAKEKLENSTVGTPLDSNAKKPGYQSSKVGPPSHLPTNERVQGNAKRHRSGSISNKSGNSSTGCKPTVVTGKKVESINNPRNWSDGVPAGIGRIPKKANHLRDEGIEPTPELDKKKSNRAPNPYSGTLHVAQRNSNDDEYDPTEFYLGTRPSHISFDFIKDEADKLSSADLITFLGNNEQFKKDADRFFFKFIREEFPEKLRHKPDGYSAYQWYQTLKRRADMQEDLAENNVPSSIKKGIQLTSNGAANAIDEVHRELKQNNNAMQTTVTAYTASLQRNNANYDFKIPDVRRSQPVSQTEKPKTNGTAPCTVSSPGRNNQTNNNPRNGSRPSTSGGSTGQPIRPEQRKEPMSKLMKKFMRDAQNRH >CRE00034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:321243:323230:1 gene:WBGene00051270 transcript:CRE00034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00034 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LCJ9] MRFIPHPTRLLIKNKETRALQKIRMVEHESYLTDRTVKPLKVTPCRTSGCKCSTTACKNVRAKQECPADCKKSCKNQNFRQNRYCHFTIVDAGDMGKGVIAIRDIPEGSFICAYTGEIITHMECTPSGPPQLASLLAYLIDSTEKMTNLLAFPNLSNKPINSQVVGGHSEYIPCQKVHEQRVKEYAEAGQEHDYMFQAGAYYIDPLKIGNVAKYVNHSCSPNMVAMRWKIDGMDRRFRAIGYFAEEFIKAGTPLTVNYQFDYDENRKPCLCRSKNCLGNMGKGPKKEKKTVVKMTLNKNKKERRIRKINRKGQFKKSPKNRTNFGRAPVRQPNNEETDENEIIVLENEPHEYPTQFENAKIPVCFDRVLPDNPAGVVEFQFSSL >CRE00035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:327327:328618:1 gene:WBGene00051271 transcript:CRE00035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00035 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LCK0] MSSTRPMRAVNKKVVSYNDNLYDEDGDANNVFPKPLPVPDMNDLTKEAKKIRMSLHPSYIIPDEDKLLVKACEIRGCKCSTEACPNVAKKLECPKHCKRGCRNQNFRRNTCVDLVVADAGDKGSGVYALEELKKGRFLCAYFGDLISPDERNRRKELYKDEGLPHDYTFQAGSFFIDPTKRGNIAKYANHSCIPNMVAITYKLDGHHKNLMVIGYFAARNIGIGEELTVAYNFDYDPENSQICLCGATSCKGFMGKGPKKEDMVAEIPVRVPSKKTGVIGRVRNTKNVFKKQQVPKQANQPSVEALENDENNADVARNGENEQIVVQHVDHLDQEEELREQIAILGPERRTRSYTNDVMKKLK >CRE00036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:330631:331666:1 gene:WBGene00051272 transcript:CRE00036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00036 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LCK1] MTNVSKISKVTLKTAPLYPTIQTTFGKFHSHFKCKFHPTCDIKRLKTERVPLCEKLGCRCNDNSCENFAAKIECRANCSEFCENQRIRQNVCANIEVKQSVDTGNGLFAKEDINRGDQICIYAGPIIPKKEHEKRVLKYVEKNYSDFYAYKVGDLFVDPTESGNLARFANHSCSPNMVAERWQIDKRFEGYRTIVFIAKRPIREGDELTVHYGENINISQECRCGEDHCSGWIGQKKEEPAATKKPQTKRAPKRRAPPVMVDVVVREPTLTAEQEADLENYRHEWSLSRTQTKFYKSSYNA >CRE00037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:332662:333783:1 gene:WBGene00051273 transcript:CRE00037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00037 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LCK2] MTDAISKPVPTVQKNSKFSSFGKFNTNIKCSFDKKHFKKMVKIDLNKCVDVNCLCKDNNFVNVGTRQECPADCRPGCQNQKIRKNEHAHIEVKTTVDRGNGLYAKEFIEQGKLVTVYCGPVIPKEEYNRRRAGYLAENISDFYGTRAGDFIIDPTKRGNLARFANHSCAPNMEAQKWQVCGMFKNYEAVILVALDNIPAGTELTFDYGSDRDERQPCRCGATFCSGWIGEKPQIQPSASTAPTAQSRKRTARRRNTAPKLPKLETKAKRVLTTEQEKLVDDFRKNDKPSRSYDYTVQTDMQYILYTIFPNIFLTIILPQQSGNIGR >CRE00038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:336548:338184:1 gene:WBGene00051274 transcript:CRE00038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00038 MANIAPIAFDNNPNDFKDDSLLKFPIGVKLVRVKGCGCKGLRKCTTDKCSNFFQKTECQSRCACGNRKFSKTRKVFKYAVQMAGDNKGWGLFATKRIEAGEFIIAYVGDVITKEEKDRRFEEYKNRGIKHNYMIQAGKYHIDPTFYGNKARFANHCCDPNVIVEKWTMDRAPQGFKALAFVACKPIEEGQEITFNYKFDYNKENAQPCHCDAGNKCNKWIGKAPPKN >CRE00507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:339825:341268:-1 gene:WBGene00051275 transcript:CRE00507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00507 MNNNDSNLSGLSSLEKFFRESSYSPNLILLCESCLKPGRKMHTNLCQGCFDHWVYLRSFPPIEKKSAVKKCALCDSTFSFNYKRISFGNVCRPCYRMKEEAGELPNKKPKKDIPAKEPEQNCYHRCIKCSNTFTGSDVLDIVLCPDCHASENSTASKTSEVIQKPDVEATQTTHADESVVANQYDGTSTCNVSPLQQASTPTVDLPNRVVEKADQSHMQFYSTNNGQAIPAYNNTYPIFDLDPNMHADFGSSPPMHPDFGSTPNMHPGFGLGPNTHPAFGSGANMHLNLNSSSNMKTDTSSSSSIYSESGLSPIMHSGFGSSPSIHSGSGSSPNMYPNLVSSPDMYSVFGSSPHMHPSFGSSPDVHPDFVSTSNMHPDLVSNPNMLQYHYQADQAQWDTWNFNDQFSYSNYPCHNF >CRE00508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:341844:344272:-1 gene:WBGene00051276 transcript:CRE00508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00508 MSAEGKLSLELDGTELRLTVEARPSVAATHVYEMRMFTPLFEQGVKTVQSLHAFVPVKINVVAEMKRNFELVYKVIVPENQKSVISLTTRPVVFLRHPGFSKFENVEAEERTVSVPQWRQYTEEIEKVHNFLGLEVSTRGNIFRQQTVENWLLAEQDFEVSVENKNRPAEFVARLTVSPLEKAELSQIKFDKIFEKEFELEQDNTENRREYFSKMVKNIQKEQGYKHTITLKLEAPRDYNMNTELTTVCDKQVRLCQWNMEVSRSPIFEETKEWTLRSQLLAIRPEMPSSLRQLREQPHREVQLSLTSTWGSQKKNEITINAQLQQSKEQKMYERNMERQSNGLQEYELLIKAARLNQVNVVAEYKLTKETEQVVSRYFDLVKAYNFWTVSSRPEDNEENRVVLQLTVEPLTRQYVNVTVQTPEQRVELKNTQIPRVHLPSIAQRSAKYQLTEASGAECKVQKNQIRTFDDVLYKTPLTTCYSLIAKDCSDEPTFAVLSKKAEKNSDEMIVKVLRGEQEIVAQLQNEEIRVKVDGKRIQSEEFAEYQIERLGESFIVIELPEGEVRFDGYLIKTQLPLYSRQNQLCGLCGNNDDEVNSFCKKCNSTNEFMTADNTETEDMEEFHRSYLLKNEECEAEEERLTEKKNYRKFSEYSFESEEPRQTKRNQKTQKKSELVEKTQIKEFSHRICFSMEPVVECRRGFEAEDLKTKKVHFTCMPRHSSNARRLMKEARQEPLQLDDYTSSFVEAVKIPTACVAY >CRE00510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:348319:348754:-1 gene:WBGene00051277 transcript:CRE00510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-14 description:CRE-SPP-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LCK7] MKTLFCLLALVAVVASFAVLPKVPTEQDGALNCLMCEVGVRVAENPADREAHTVEDKFDAECKKDLGAIPFAEKECEKYGNSKLDPIINELEGGTAPEDVCRKLKECPSN >CRE00511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:349877:354108:-1 gene:WBGene00051278 transcript:CRE00511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00511 MTCGIRPRLNNLSSIWYTILTTLLQCYLLYLGFERYRLYNEIKWPTGGYPYGYLMAYAIIYFSSIIATFLFFASGIFKSGNIAGDNERLADREERVIEVSRNRNGEKSGCVHALKVLWQHLPPLPQQLHVIIAISQLIAQQLMFSQLFRHGFVNSGDFLNTELDFLYQRSRQLATNLPIGETRLQGFRITADELAGLPVSPNLLPVLMHMKLFGIPLEFVNLFIALVAFGITYPAVFWRVSKPFSLIFSLHLVIYSAQVIWGYISFSVLFRIQETNIHNVRPVGLGQYLSPIKPLGLHIYHPYVILGSFVVRLVMTTLAPMAMYSYGYNKYYANVLNVQHRNAARTSQGQSEYGDYRRRSYTRTDGNKMCCDGYLPHMDAIALLVIVAAAIGPTIYALLILYQHEQKALILTCIIIDVIYMFSWILMWLGMTLKRDWNFNVTHKVHQFYGLNKGMAIGPIRGNENPSQMKNSVLVVHRDTVTINNPFPCREFLNFQMYVTDDQTAKQSLLRACHTGKFESISPPDDVYWKQGQQSPATRAKILTEVDGVKNSPEMSRLLRMGTDDTQQTMSYHSNGGRNISQAQMTNSQILQRGNSSPPGPSQFGTIQRNQQQQYGQMGTLQRGQSGGTLQRGAMVHDNVWNQYTAIQKKEEQQHMMGQRRDSGEGAYGRVDSTYGSYARIPNVSRIQVTPQGSQIRVNGYGGLQQNTLQRRDMTPPQHSQQPSLSSIRQSPLLSDRSGINVPPPQPLVSREQSPYQRSAIKLTSFSENKGSGIYGSSGIGSGNTVQWGGTQRAAPGQPGQLLWNPPSNTNGHNKSSLTSTTTSSQNDEQCFTPTSTLTSHGSNYTPTPGSPQGSNAIYSRINGASSTSSNLYGVATKESQYADRTLQKAPIKSTTVTTGNTILRAVNGTDDTVSSFSRPPTGALNQNDFATSIV >CRE00512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:361719:363548:-1 gene:WBGene00051279 transcript:CRE00512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00512 MFFFRGCFYDFDIRRVIYGSTIVSQVFHFRNSVCLLSLKHIYRTKSRWMRAPPLDPEDVEPESYVFYVENVILILAGTIYLLLSRIGLLSAKKRRPDCQHYQFPITIVEAWWIKNAVFLIGYPLAIIYSQSCMGRFTALLLFIDILLSFCWIPTEIFNCHHGVSLLEIFLRLVKNFFIAFVLITWSVYGANDKVLTYSQIFTTIVGLSLFFYGLRTKLINYRPVAFFPYNDSQTWPGRPDFENATSPQLFGEAVQWIAFHMISGSYASFGYACYKFLIAYARAHLRHEWYLENVPDYPRQRAMFIPRFV >CRE00039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:373621:377603:1 gene:WBGene00051280 transcript:CRE00039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kvs-1 description:CRE-KVS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCL0] MITRRSRYIALSTNDEDSNQIAMVIPSTRKGHAGSRDNFANSNHHGDEIVHRLNNGRRSEVDEVKPDLVSGAGDTVIKLNIGGTSFRIRVTSIFSRGADEKLSAFAQLNHEQRLQACDAFLMPQEEYYFERSAILFDSIFKYYISGQLHRPLDVCPTEFATELNYWKINDGLMSNCCWRGYNMSASLEELVAPKEKKIELHDRSMRSRIHQFCEGDGSLFSTLFTFGSITFVLISVIGLVLGSIHDFQVPIYKKGGKSERISNWTIVENENVIWEPHPIFGYVETICIIWFTVEFIVRLAVTPNRFQFLVGIMNIVDMIAIIPFYLELGLALFGIDVASLSDIKGALLVVRVLRVLRVVRILKLGRYSSGMRTFALTLKSSARQLGMMGMVLSTGVVFFSTLLYFVEKDEKDTPFTSIPAAFWWAIVTMTTVGYGDYVPVTVPGKLIASGAIISGVLVLALPITIIVDNFMKVSGNVNANIFSSAQQRPIDVAE >CRE00040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:379157:381155:1 gene:WBGene00051281 transcript:CRE00040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00040 MGEEDWSETQQQPRKRWTLEQLKGGNTFLSQQSGTNKFETQKGMTAVGMPRWNITKDKKQGYIAPDQRSENVLRVQCGTNQYASQKGETPMGASRFQVPKVSYKKEWETILDKEGEKLIPKQAGDYGLASQAGEVSMGGHRNQVALIRGRLPHDRRTHGVLCFQNGTNLFASQTGMSAPPGLGAVRQATQKIEGLELGEDVLRRGTEFTPWYSGQNKFATQAGSGGFLKVRDVLPHTVGGKDIEEDLKQKSEGIVPLQSGTNKLASQRGMTGFGTPRNTMLRAGWKKEWIEDYEAALKEWEETKPPGSASSVDPFGHYKKKFEERESSRQSEIDTQSVKASEPVEPEPEEEEEEEEEEKIEEPAAKEEEEEEEEEEEVEEEEELEEEEEEEEEEDE >CRE00513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:381964:384566:-1 gene:WBGene00051283 transcript:CRE00513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-18 description:CRE-UNC-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LCL2] MSLKQIVGHKLLNDVIRPLKKGDSRSAWNVLIVDTLAMRMLSSCCKMHNIMEEGITIVEDLNKRREPLPTLEAIYLIAPTAESIDKLIQDYIVRNQYKCAHVFFTEACSDQLFSTLSKSAAARFIKTLKEINIAFTPYESQVFNLDSPDTFFLYYNAQKQGGLTSNLERIAEQIATVCATLGEYPSLRYRADFERNVELGHLVEQKLDAYKADDPSMGEGADKARSQLIIIDRGYDAITPLLHELTLQAMCYDLLGIENDVYKYETGGSENLEKEVLLDENDDLWVEMRHKHIAVVSQEVTKNLKKFSESKGSKGNMDAKSIKDLSMLIKKMPQHKKELNKFSTHISLAEECMKQYQQGVDKLCKVEQDLSTGSDAEGERVRDAMKLMVPLLIDPAVRCEDRLRLILLYIISKNGITDENLNKLLQHANISMADKETITNAAYMGLNIVTDTGRKKTWTPTKKERPHEQVYQSSRWVPVIKDIIEDAIDERLDTKHFPFLAGRQVNQGYRAPASARYGQWHKERGQQSNYRSGPRLIIFIIGGVTFSEMRAAYEVTAARKPWEVIIGSDRIITPDKFLTNLRDLNKPRDI >CRE00041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:386730:390192:1 gene:WBGene00051284 transcript:CRE00041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-stn-2 description:CRE-STN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCL3] MSVTKSHHNLDVVHHGIVLISDENSTSRSSRLLLSKDELCIQVIDDGTAEPEEAKDILMENATRQVVIVKKPESGFGLSIKGGSENAQNMPIVISKIFKGLPADECGELFIGDAIVEVNGISIEGQSHDEVVNMLKSSGDQVTLGVRHFTHMTPFLKPAQSLQPDSTLDQLFDGRSTRSNKAQSESRFSDSKWQHHIDGREKKWKTLTSLPLAMAYVTRYLWGTDNIRSNSFEVRAVDGRSSGIVHCEDTSALEQWIAHISKHILSLNHKSIKMSNKYLHQSEQISYIGWVNEYLNDDDQEELKIRWQPRFLILKGSDVCFFDVPPLNSEDLNKCVYLYKCYDVAVKQVPNTSPRRDKRDNCFLIETPIQIPNHYLSFESPSGFELFEQAYYRTVYNTVSQMQSRTFACNFEGRPSGIVFDIKQGISMYDIPTKVSCRVVDYSKVIFQSYIWQYRFRDLQAVADDGKLCVQLSFSDDRSLNSDKIEVKDIECEDVVTVVFNLHSFVLAKIVSTDPDYLKSNRYVGNAED >CRE00514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:390506:392817:-1 gene:WBGene00051285 transcript:CRE00514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00514 MGGDTTETEDKTPDGSSGQSFTDPAEPMLPTPSETTAWKSIYMAGACSFIQATQFAIFFASMWPYILTLKPDVKQGSFGVVVALYSVSQCICSVGFGWWSNRIGQVRLPLIVGFLIMACGNLTYLSLQYWPSHHLYVMMAARFIAGGGTGMTYNRLLILNLKYLGNMSLLRAYASTASTMKDRSRAIACVSGGIAIGTMIGPGLQLLFAPLGADGINVLGLTISIYSSPALFCLILNGLGLLIVKFAFEEKYIIKHENDVKHDEENGEKTAKKLGNPDFIAILLCVFTRFLQIFLNTTTESIGSAYLMMMFSYEKEEAVTVNAGIHTVSGSIAATMFICFIFTKIREYVKIRTFTLISLIMPLTWLIATYPYNFYSGSVKIMVNGSHADCDLNKYSWCADQKTINQYVYIIGYILVFGVAFTFMNITVTTLFSKVVGPRPQGTYQGIYQTAGSFGRMFAPIIMSYTYTLYGPSVPWLILIVSFIFLILAWIVLRERMVPFGEYEPSKIDHSN >CRE00043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:396897:398229:1 gene:WBGene00051286 transcript:CRE00043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00043 MRSYILILFIFTLPLFIYCCPNEPTEFLIIIKASYYLSEYQWESVKSLVGKISGSVNLGRTNEASQIGIHLYDNLNEPVPVISLGTYGRSSDLKTAVSKLKLLPCGTWCAEQTQKTDAEQITSILTKQAFTRPAKIFIITSEYIDSYSLHTLVTTANNLVIQQVMIPQSPGVVTYDAPYYNYYRAYYDTYRRYANRMEQIYPYMHVEVPADQYPFVQQNDPYGNYDYNTWASSTCYSLIECQECMTTTTIAANVDITAETIEKSESPETSETLDTSETVHSGDSKNNGNVIDPNTKLSAELVTQNILSQTTSPSEDYEYEITADPPGMKIDKTPLLDEVSEKSVSDEDLI >CRE00044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:398650:401069:1 gene:WBGene00051287 transcript:CRE00044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-29 description:CRE-DHS-29 protein [Source:UniProtKB/TrEMBL;Acc:E3LCL7] MYSSWAWKIWSTLGVILRILFIDIPSDAYRFLNLRQKNVQGQTVVITGGGSGLGRAMALDFAKSKAKVAIIDVNKEGGMETVKLIAAEGNLAKFWFCDISDVEEMKKTAQDIEMTFGDADIVICNAAILSFTSFMEISNELLRKCLDVNIFGTINTIRAFLPKMENRNDGHIVCVCSIAGWSGETMGLSYCTSKFAVRGAMESLQMELRDRGLEGIKTTTLYPYFARTPMILENNMRPTCTWFPFMSVRSCSRRMVDSILKEKVHAFVPSYITLIPFVKNFCSLQVCRSLRNYLGVKYSASDPSLCKLRLIEMSDFFRTPQLFWWFVIVPALAVNYISYAHPEAALYIPIIGTLVHYIGTQYPYVAMLTNLFALVAHAGEALFALYLCHKANISFASTAKWTVQTFILGFPSLSILSHYEAKQRKNN >CRE00045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:402028:404945:1 gene:WBGene00051288 transcript:CRE00045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pcca-1 description:CRE-PCCA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCL8] MLRAASNFRAVANRGLAASAAATRPGVPRDEREGKEIYTTVGIDHNEPKFDKILIANRGEIACRVIKTAKAMGIKTVAVHSDVDSNSLHVKMADEAVCVGEAPTAKSYLRVDRILQAVEDTGAQAVHPGYGFLSENTKFAAELEKAGAKFIGPNSKAILDMGDKIHSKKIATAARVSMIPGFDGEIADEDMCVKVSRDIGYPVMIKASAGGGGKGMRVAWNDKQAREGYRLSKQEAASSFGDDRMLVEKFIDNPRHIEMQILCDKHGNALWLNERECSIQRRNQKVIEEAPSSFVPPEMRRKMGEQAVQLAKAVGYDSAGTVEFLVDSQRNFYFLEMNTRLQVVEHPITECITGIDIVQQMLRVAYGHSLPITQEQVPLNGWAFESRVYAEDPYKGFGLPSVGRLSKYVEPRHVDGVRCDSGIREGSEISIYYDPLICKLVTHGDNREQALNRMQEALDNYVIRGVTHNIPLLRDIVQEKRFRTGDITTKYLPEVYPEGFQGTVLTPTEQETVIAFAAALNARKLARANQYLNQNKQRSTHVASFSKTYKFVSSLPVKEGERATEHAVEVSFVNGDANKAKVLIGGKTVDISGNLSLSLPVNSIEVNGEHITTQIVGKRAGEITVLYKGTPFKVKVLPEQAVKYLQYMKEKAKVDLSTVVLSPMPGAIKNVNVKPGDMVSEGQELVVMEAMKMQNSLHAGKTGRVKAVNVKVGATVDEGEVLVELE >CRE00047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:425660:429994:1 gene:WBGene00051289 transcript:CRE00047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00047 MDDYMNYNNFYQQPIPGSDMYPTPPIGIEDQMGIQQKANEPPPPPPIVICPTEVDHINVTLEKNQQCECKDCGKLFNSVWYLKQHAVKHSNDRPFKCKFCFKTYKFRSNLYQHKCPERQKSIQQRRRGQNIINSAKRSETQIIKTSKIETAIMQEEPQINYSMPLQNCFSETEDNDAPITYGLVIQNIDQTQMQQQMPPPPPPAQQQQMQPVVAAQVPLQDMQQPQQVQQQQPQPQIDMQNFQNFQMQLPPNVDPLMNDMENHFDKQADFDSVRGQQLPAQQIEDFVEKNKHKLYSCRKCRVFLPTEEAFMRHSASHNGEDLFPYKCQSCRQAFDSEKELMRHTQSHTLDEGIFRCYVCCGQFRSQYALRRHKDQCRPCFVPPTQNNIMVSVHSPFDPFSFIPDDNLFLPACDDMSTVGTDSGVGSEGSPNSMSQASPERSVMDETFSYLTSKKKEKEDDEDSGFRSRLNSVTHSCSPDSFSDSHCDSPPRKLSGGGGLGASSFLGGYGTGPVYGQQMIYDKGLDEIECDSYGMISDAPVIYKQQIISMQREEVQGHDLAVAPIVQYHLHHLQPISMKEFCIQQPSTSDADTLESFNNDNNSWSNEGYDMPPVEIEQVKELAAVLISMYLCSAAGQFADVLLTLANLSIQ >CRE00515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:433529:433808:-1 gene:WBGene00051290 transcript:CRE00515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00515 MSHWIATLLAFVLIANAFAALSTGADVGASDDVASYVVPFYGMEKKWSRREPSIRFFKRNNGGQDFPPSRFLWDY >CRE00048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:452317:456491:1 gene:WBGene00051291 transcript:CRE00048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-17 description:CRE-TWK-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LCM2] MGSSGAYSFRRLTLAHLHDNPPLFAGGRRKSSATAQPRPSITSATVGVGDPFIPYYHYRKPPSRPPSRPPSKPPSNRNSAALSRHNTVRSHQFAFAYDENIGRVKWEEYNRREGIEDVPEQPIVVRVPIWRRILKLLKILLPHVGLNVLLLSYIAMGATVFIWLEADHELEGRKAKVKHVFDIYSQIINETIALSSNRSDATTVESRMRPLLESLSKAHEYDDRFTDTNQLWTGEQEGMTTRWTFAAATLYALTVITSTGFVVQINFSISKIPGYDHVTPATDPGRIFTVFFGLIGIPLMFITAADIGKFLSEIVIRTYAKLLAMWKMIANLVEMVRTHLFDTDVDSIDSMELKKSKKRNASSLDDEECEDEEDRLQLPIASYFTLIIGYCCVGSLLFNTFEKGPVWSFIHGVFFSFNTITTIGLGNIRVQQHYYLALAVSYVIIGLAVITASLDLCSSTLKRTFTKLHYFGRKIRGARRGFANMSDDIREAMRIIAALKKTRQVFVPSKDRITLEDLKRFLEVQEHLLRQPYVPYNVHLLRWIEDNVGPQMYAQYMDDVSGGKTPMSPSRLSHKMSSSDPMLFF >CRE00516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:457020:459418:-1 gene:WBGene00051295 transcript:CRE00516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00516 MALRKSAEGKTFFFRIDYLLVERDLPLDISQISSSERSKQIHCSFRVFLRTMTIKWEEEVEKRLLDYMSHSSTTGNEAKFAAVVAKDLEDNGWTVQKQFIPDTDRFNVYATFRNSDPKYVKVLLNTHLDTVPPYFPPTQDDKNIYGNGSNDAKGQLAAMVTAATIISNTDEDVARALGLLFVVGEELDHVGMIEANKLGILPDYLLVGEPTELKFGTIQKGALKVKLTVTGQAGHSGYPDSGTSAIHKMVEVLNDVLKFEWPSDKTYGSTTLNVGKIAGGQALNAWAAQCDADIFFRVVTSVKNIEELLNKVVDGRAEVTLLSSNDPVILDVPPIEAETDQVSFNTDIAYFDAKDKVKAKYLFGGGSIKNAHSKNEFIPKDELHKCTSTLVKLVHNLYSYH >CRE00517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:460507:469457:-1 gene:WBGene00051296 transcript:CRE00517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adt-2 description:CRE-ADT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCM4] MLLPLLVSVLLFRNAIAFLPFFNEDDLRYTFGVDSHAKVPKHEEIDPMPYYHQNGSLHKLEFTAFNKKYNLTFEPTLAKLLSSGVTIVKKNEKKGGSLDFGSTLDSCHYHHYGEKVYAAISNCDGRIKGTVIDDGEIIVIHPFPDHHAHRSKRATENGAHVVYKRETLAGEPKDFCGLDNVVTEESLVEDESAIFEDVFVTGQRLTQQSDLIVELAVFVDENLWRHFSSKHGGMADRKLQDYTLTLLNNIQIMYYQPTASPPLTFRVIRYEVLTRQPAALAGYLHNHGNAQMYLDRFCRYQRNLAVRDWDHAIMLTGYDIHRGAGSRSISGIARLDGMCDPWNTCTLAEGLDFTSAFIGTHELGHSVGMRHDEPYCPSKHIMSSSLGPGKVTWSTCSLRDYHQFLQRLDGRGKNCLRVSNMPTKLEVSSHVKPGQIYDANLQCELMHGNGYQQVTPRQDSYDGICYMMWCGQSSFGRIITSHPALEGTFCGPSKWCQLGRCVPWTGTNEIVPPVQNVAPVATTLPSRVDGSWSGWGATICSQCTCNGILGSVGLAVSRRTCSAPYPANGGSDCIGSTSRAVLCSRQCGRATKSVDEYISDKCMEQKRLKNDRELTGKGSQLNRFPQRACKKCVSQVFCDVQQHYGSQRNYRFFGDNLPDGTSCGYDRYCLDGECLALNCNNNALVSRDQSCPSETCPITDQSSSVYRGQWGIWSLWTSCSTTCGGGYRKRNRACSITGQCEGSEDETEKCASEPCPSVLRTGNDWSTWTEWNHCSVSCGRGSQARYRKCLSPHRTLAFDCPEKNIEVRSCDNGPCNAIGVWGTWGGWSTCSTSCGPGTLVRQRTCNRVSFLSFAPLFKNYVISQEPCDGSAHERRSCNVATCQNDGIWSLWNEWSDCSRVCGKGLRSRSRSCFGSGCMGASSEQQFCNEQACATSNANDWGTWSGWSQCSVSCGAGVKRRTRTCRTGNCPGNYKESAICNDRDCENRNAAWGGWGYWSSCSETCGDGVRKRVRKCYGSGNCDGQQYEKQYCNLRTCDFRRKF >CRE00518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:474462:476269:-1 gene:WBGene00051297 transcript:CRE00518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pcyt-1 MSQRKRTMETPEAEDVEVKKVAPPSVEFVHRALASDEPAPFSDEPTAIMIRNAVDYTNKITLAIAKANEAGRPVRIYADGIYDLFHHGHANQLRQVKKMFPNVYLIVGVCGDRDTHKYKGRTVTSEAERYDGVRHCRYVDEVYREAPWFCSVEFLKEMKVDFIAHDAIPYVAPGEEDLYEKFRREGMFLETERTEGVSTSDVVCRIIRDYDKYVRRNLQRGYSAKDLNVGFLAVSKASKYQIQNKVDSLKSKGIELLSTWKNKSDDLIREFVETFHKDGGLNAFGGKLKGIMALSRSPSPSPREGTPEEVEKQSETQDEEEEEQAEEEETQVEEVNEEEKDDEDDEEEKEDLKVVEKVEKVKRGRKAKKTGRKSNAVKN >CRE00049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:479479:481820:1 gene:WBGene00051298 transcript:CRE00049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-98 description:CRE-UNC-98 protein [Source:UniProtKB/TrEMBL;Acc:E3LCM6] MSDDLFKEARKERDDFEELMNACDLAKMSVKNNEMVHGLQSFGISGESSSEGDKPTEIKRIVAPTVEAYVGTASSSTVTKPSGGALDNSDQQEVRQDGTSVQKDDNGFVFFKCRFCGLTFNFMNTLRAHERIHDVSQPYVCGKCGESYEFACQLEYHAAQHSEVDGFKCDCGRTFFSYTEMLYHKHTDDPIDLIGAPETTTVLVSKKKIIPVTEQDLPQPAFVTEGYEPKHPLRVYNDVRSKPYICEYCSKSYSDSRGLAYHMYSHRGEKQFNPRASRYMMGREGVGYTDSRSYYLFPRTSGYVNPRF >CRE00050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:482726:484208:1 gene:WBGene00051299 transcript:CRE00050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apy-1 description:CRE-APY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCM7] MTAENNSNMLNFLLFGFVTAIAFYSGTQFNKPSESTEHVSHASLYTTKKFDDGAKEYSIMLITDLDHESRDGKKWRSLVSRGFLKISADHKHADIHFDKDSEYFVDTNIAAGGRAMEMSDLAVFNGKLYSIDDRTGLIYQISDKKAIPWVLLNDGPGNVIKGLKGEWMTVKDTELIVGGLGKEWTTTDGEYVNDHPMWVKHVSAAGAVHHVNWKDVFIRVRRAAGIEYPGYMIHEAVQWSPIHRKWFFLPRRMSNEKYSEAEDENRGTNVLIIGNEGLTDFEVVRVGEENNKARGFSAFQFVPNTHHQLIVALKSEEKDGKPIASYACVFDIHGNVILDEYLLHGPYKYEGIAFA >CRE00519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:484498:487360:-1 gene:WBGene00051300 transcript:CRE00519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-52 description:CRE-VPS-52 protein [Source:UniProtKB/TrEMBL;Acc:E3LCM8] MPRKRVTLQKFDTNDRSFTISSLEFCLSQLRKADPKLVKKAISSGDGLTESKDDVSTRLSEAHRYAVQQCLDNSEQLAHLHNQLVHCDNVFERLQKTLYSFQDSLGSIGQDMKHLQMQSHHLHQELENRQKVRVELSQFVDDIAVSQTMMKTINEVDANERGFLEALHELHHKITLIIQRGNGDAVAVNDTMPILEGLKLKATVKVRDWLLAKMFQFRKPLSNYQVFQHQLLKCRFFYEFLLHHDLISAKELQDEYIDTISKMFFTYFKAYATRLFKLAMKDVATKDDTLGNIDSAKPQGLSSLFSSKQHVVRNKATVFSIGQRHNILGDDFFGALIVPHAATQNQVAYQFEALFRSIQLAFVDHYSHEYLFITDFFLVNNEEAVELHNKAMARAMSVVLKSCEEQIALSWDAISLHLCICLSDKFIDVLAEREVPECTDYWNTVSSLLWARLNLVMNTHYESVKSVDLKKLMHSGSLDARPHFIVRRYAELTSAHLMIAKTSGKEIGDKMEAVLENSEDSIEQLLTRMSAMQQSQKNKHVFLINNFDLILSIIDDEESKHSKIYAIVHELEQKSIDDFVEEMLEPHIGYMIKFVNECESLLSQGHTQLLVRYNVCVADKVGTVVANFNAKWRPAVDSINAECIQLFTNFSLGTNILQTIFTKYVLYINRFTKILAHDVFVKNPVCTQLVNVHQVMLEIKRFKPAY >CRE00520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:488121:489581:-1 gene:WBGene00051301 transcript:CRE00520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sto-1 description:CRE-STO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCM9] MMQPSETVEMQEMAQPAAPPPQSQQRDVEARFQSPSQEPRERGCTELFCIAMSYILIFLTFPVSVCMCIKIVQEYQRAVVFRLGRLIPEVKGPGIFFIIPCIDQFLNIDLRVVSYNVPSQEILSRDSVTVSVDAVVYFKVFDPITSVVGVENATESTKLLAQTTLRTILGTHTLSEILSDREKISADMKISLDEATEPWGIKVERVELRDVRLPSQMQRAMAAEAEATRDAGAKIIAAEGELRASAALAEAATVISQSEGAMQLRYLHTLNAISSEKTSTIIFPFPMEMLAGIKQSANNGQQNFPVQEMVQAALQSIHRQDTIPATASSGGSRL >CRE00521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:490744:490944:-1 gene:WBGene00051302 transcript:CRE00521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00521 MLTISFCLLLSFFYLSAVIDPSSYAAEQIEIVLADKVQYFADLLNTTPQTGMHADHLLFIFFKYSS >CRE00051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:493055:494388:1 gene:WBGene00051303 transcript:CRE00051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00051 MLYDITSTLKNWQKQRRLSKFYRTQNALLEGHKEDMQMITDEESNEAAEEEKRTAMWDTRITTMTIALNIALIFAKSVAAYFSGSLAILASVVDSFMDITSGIVVWYACYKIERMNREHYPVGMKKLEPLTVVIVGMIMLFANFIVLEKAVVQTIEDRLDPRVDLMTVIILCTGTGIKFVLFLICRVRKSSACLVLAIDQRNDCLTNVVALLGAWVGQNYWKYADPLGAFLVSGFIIITWFLTIREHIPYLIGRRADQEFINRITNISINHDQRIKALDTVHVYHFGEKFLVEVHAVFEEPASLQMAHDVAESLQVKLEKLPYVERAFVHCDYKFDGDEHV >CRE00052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:495914:496611:1 gene:WBGene00051304 transcript:CRE00052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00052 MRIYLLALLPVFILAQNGEEAVENAENFRRLYGIASKIMELSGHIMNGNGGSEQEIRPRFQGVRSFTEDNSILSGGSSSQNGINTMAQMFQQYGGMLANAKFAQPTTTTTQAPSGFKSILDTFLGIVL >CRE00054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:504574:505968:1 gene:WBGene00051307 transcript:CRE00054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-16 description:CRE-GLB-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LCT6] MRSVSSSRTVRKKTGGGSVDSNFLEDGKTARKSSSMPSIYDLQQQAAPPTPALKHYNYDTRLSKIQKRAIRFTWHRLQTRNGGKRVENVFEEVFDKLVKNLPNIRDMFSTRMFLCAMSRGTTSTLRDHSKNCVKMVDSVIKNFDIEKSKRTDTGTENDPRVIGRAHSILKPYGLAGNYWEKFGEVMIDVVLAQEAVRDLPGAGQAWVIFTACLVDQMRAGFDENRKTDHEAQMKKSTVLHHATQQLLEDNAASTSAAGNGECSSSNQPSTSNMACPFARMSLTPKDARAIESLTGGGSNTNGGGDNNDLSGTIFL >CRE00055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:506275:507449:1 gene:WBGene00051308 transcript:CRE00055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-7 description:CRE-DPY-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LCT7] MEKASSGPNNVAKATVALSVVSILILGAVLTMLSIQLDEAYERLQNRMGSFKSKTKEMNKKNNCLLFQFVARNIWHDIVLVKSNGRIRRQYGGYGADSAQGDNQQCTSCVQLRCPPGPPGPPGVGGEAGMDGANGRPGKPGLDGLDVPLDPEPAFPCVICPAGPPGTRGPQGEVGRPGQPGEPGHPGLPGRPGKPGRVGDAGPQGEPGEQGEPGIKGPPGDDSIGGTGIKGPPGPPGPRGPKGPPGSNGLPSQNSGPPGPIGEMGPPGPPGPRGEPGPPGPFGPPGDSGEPGGHCPSSCGVQEIVAPSVSELDTNDEPEKPARGGYSGGGYGKK >CRE00056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:510098:513035:1 gene:WBGene00051309 transcript:CRE00056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-14 description:CRE-CEH-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LCT8] MSFFFCCIRLIHYNHKILSKIQKNVLIFSQVAKLYSYCLVLPEFNTLCLFSIAFSANMLSHNILTLGACDALDNHIVMCSTGLLSPQEDFTNVNAVHPNLGEAVCCLCDKEIRDRFVSKVNGRCYHSSCLRCSTCQDELGETCFLRDEFMYCRPHFYKKFGTKCASCTEGIVPDHVVRKASGHIYHVECFTCFICKRTLETGEEFYLIADDARLVCKDDYEQARDKHTAESEGDGSNKRPRTTISAKSLDTLKQAYQASSKPARHVREQLAAETGLDMRVVQVWFQNRRAKEKRLKKDAGRRWKTSANRAESDSNSPIESINGQSPNYLYLDQAMDDGNESNYIFQSREQSPDKYFRNETPSTDPPQMHLAAPGVLSSSFSSQLPLSTNVYNLPPPDSHIITHISTQFI >CRE00522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:514278:515064:-1 gene:WBGene00051310 transcript:CRE00522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00522 MGSGQSMNHNPGDMMDHHQYPDMNMIFKGIPKMIQVADDMHRMTDYIMDLRNLTIAVLGISVLGMIGFLILKIVHNKRSGRKRRSFQRQMEDGYPPAAPRYYYSSQYAPEPWDRPKHTYSSTVFVFSPSIEEKKQLECRNSSPEFKGNGSVNYKPYTNESTEISPEIEKKTSLGNDMSHRATTASVPKVIIDSENYF >CRE00058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:521481:531376:1 gene:WBGene00051311 transcript:CRE00058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00058 MLQNEIMADHRSINRSEFRGNFFRRRNYDGPRAFQIGPDLTCSYDNQCAGYPLAICDSVCKCVKGALNTGTTCIATSTALQSSVACPAGQAYIREAGVCMTVQQPGEPCQYSQQCSALEPGAYCLKMRCECVYGMKKSSNGCTFVNNDCKERGHIFISEIGECREGFLYHSHHITLISYFSVIPPGGKGCSHNLQCSGAYPDATCFMQTCTCPPNLPVAADGTCGRSCPDKQVYSGVTGECLPGTIASLEIQNSNIDHPEKQPGQDCIYSSQCQAAFGGLVCDKNTCRCPNGLVFDGMKCSQSCSGIKRVIDKEICVEGILWKQFFDNILYIFCILGCPSGLVEVGGRCVKQVSIGQPCTANAQCNFGSFCQSGTCQCPPGFYVMDSQCEATSYILYVLYKRLVESDPNGSCQNNEKCTKGSVCYNGKCTCPRNQELVNGYCQQNRAAALAYNTALNNIRKIRLRFASQSKSRNSPPQLTDADSDDANSVILVPIGSACVRVGVTCDGGSVCVAGICVCPLGKTPRNGVCIEQVAARPGASCRNGEECVEHSYCSEETHKCECVKASQMVIGGECRERLKAHPGYGCTMGEMCVGNSVCVNGKCACTDGKVDINKICVDPVSGTNFLEVFPSYKRIAAKPGDTCGKGIVCNGGSYCNTDSGKCACPRGENAINGICKAFTFVYPGDLCTDVTSRCTGGSYCARGRCECPLNMNAIDKKCVLQQIAAPGEQCSESVTCTGFSVCKNSRCACVNDMMIREKMCVQRRKVNIGNACNPEDQCLGNSTCYDNNCQCPTGHVASLNVCVLRKTVTPGYLCNPEDICTGQSVCIKGVCQCQPDYKQMHNICVKKSIGVENSPCSSKDDCGEGLICNSGKCSCPEGLFSVNGRCRSYIQLGQTCTSDDRCAERNALCQENSCSCKSGYVNINGQCSANIVTPAEPETLSQVKCELDNCTGLIGHICTTNDHCKILHSHCRRNVCICKDGYRIFGSTQCIPRVAKPKERKIEKPSKLVELGEKCDKLSLCQRGAVCREGICSCPETFYESDGVCVKNVAKIKVIVPPLSSCLNGEECSGNSECVHGMCFCKEEYTLYQGKCQRLRMVEKLRVLETKKIINPHKSFTTTKTTTKTTSKPSTTSLSTTEATTKTTTRKVPPKSTTVAVTETQKTTSAPISTTTWTPLTPIKISMKLGTTLPPTPQVTPNPNYEYKWKLSKPGHACDDKSFCISCSTCVNGFCRCPEGLELYGEECVSQIEATKCLASNQCPSGAQCVKGECKCKPGLAISRYGFCVPITFAEPGTSCAYGERCQKDSHCVDGLCTCNEPLILKENKCVRSPRAKRFVNDVHRKLLRFTPKKKAKLGEYCFRDDHCESQKQCLKNVCKCGRNFVQSSFSCVPRMSVVSSLALPGESCRKGFCVGGSTCANFICKCPEDYYKQGDTCVRYESRIGSPCGQTTGCSGGSTCSSSFCQCQDQYDADVDECYPYEPPVRSRNIKGISGRRKVNRVVSASTINCPIGYDLVNGMCVNSETLSVIQLAAPGGACEDGTILCTGNSVCANNVCICPGGETVQNGTCLSINTYSAPGELCDITNTVCTGNSQCIDGICKCSNNQGAINGRCSNMGNSNCGNIQCGTNQLCIQDTCQCRPGYYQQPGSCLADRCNCIQEVDMSITDSCNTRQCGMNQICIQDRCQCRTGYLVLQETCVSDKCNCVQPSVDAIGSGCLNQCGTNQVCVQDQCQCRNGYYAQPETCMGDRCNCFQQVIPDTGSCQRQCGNNQMCIQDQCQCRNGFSAQPESCIGDRCNCVQRVVAQPQPAPCVGNGCSVSSQSFSPFFGLPGQMCDLRPNTVPCRNDAQCINNYCACPSNRVISGSNCVFYLGDALPGQSCQNSGVICRGTAESNNLKPENYTCQCATGFSPSNGRCSPIVEMRFTMIPVTTAIPAIIIELSPGQICDPNCAFQPCMQRCSGGSSCNNAVCTCPQGSNSYNNICTPNIPQNDNSNFTRTARPGENCDTTIVCIGGASCILGTCSCDPGYTPSSDRTSCVLIDRYNVRSRSYPKTFCTFDAECTGGSICVDKRCACRNDHEMVDGVCQLANLPGSRCHTSFCSKGADCRNGYCVCSKTNYSNATLDCVPPSPTALSSTAYPGSKCNNSTTCQNSSFCVFGYCVIPQDDMDRDTQIKKAHTGTKQLARRTQQPRTLTECPSDRSCKLPDCFCTSSGKLPPDNLDPKQVPQMVMLSFDDPITDRIINTLKSLFSGKIRNPNGCAIKGTFFVSHQWNNYDQTLWLHSKGNEIAVNSITKEELSGRTKERWYKEQKGMRETLAEFSYVDRSQIVGTRAPMFNIGGDAQYGMMAENNFTYDNSMLVSGAYWPQTLDHKVSWECDGRCPTQSHRGVWEIPIQNMQADDSRWYKTLTRALKPVDSRDSVKKMMMRNFMNHYKTNRAPFVLTLDTEFLTYLPDNGAIYALEDFLKDIVQKQDVFVVTGSQLIDWMRSPYDLNNIKSLRSWQCKFLMNDHVQPCEVPSTCSFDGRARGLFAHSFRMCGVCPTSYPWI >CRE00523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:532017:533872:-1 gene:WBGene00051312 transcript:CRE00523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00523 MPSTVVLSSDESIVSTISSSICCMKARGNGIPVGRMAKHLNIDELTTSDDDDSSAKSVVLTKSSSAQSIVSTKSSSAQSIVSTKSSSAQSIVSTKSSSPTIVCMGARRTSEDVPTVGLVKNIPRKEYKLMSSDESLVSTGSSSICCMKARGNGIPVGRMPKHLKIDESTTSDDDNSSAKSVVLAKSSSAESIVSTESSSLTIVCMGARRTSKVVPTSDSSSQGHHCIAEKNNTKGSHQNSVEHRKTMIAQKRGLQNESIEMVIAHVSPPTPLKKRCVSTKKGTENYGRLETENNSENEDHSLSGDCDHSPSVVCLGDFSPSANYNHHMDIQKQMGIREDLLNLEADLYKFFPWVIQNNFQLSSYAQGLVLNVSLAQKVDNWLSEGDARCPFEHYAFRPTPS >CRE00059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:537820:541159:1 gene:WBGene00051313 transcript:CRE00059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00059 MSDATSTDGSEALNGDSSSSVQIVGVVPNNKTGTTSKKPSDPEVITIVSNGSSVVFLGHGTAKSLKGANSRNDPEPRALPIEGINGSSDSNGTDSRNDPKPRAFPIATEGRDGSSDSNELPLVDCASSTSSSMMSDSISQRIEKYNLQKDLWKKFGQPADQRSVRDKRSSRGGSTTGMDENPPKKIRGDGEMKNYSQNAKNPNPDSGYVGALRDRGDPLCLEKDKDVFDAWCRENGFFLSLYGNILATNDSPYMKIEAWRFNGDDDPSCPYDNYGQPIPEKVWVRKTPRSPKPMCGEMQKTRKSKKL >CRE00525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:541933:543053:-1 gene:WBGene00051314 transcript:CRE00525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00525 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LCU5] MAFVQYGVALLIALNRMTIIIKMNFFAEKWLKYGRVLMILVVFLPSIATFPFFFNEVYFKYIESGDRYQLTSDYNISSLFTIVFLVLCIVTVLASVFNAVTLIMIKRLVLLPKNLALVNVASDYQYFLVSVCSTVVLIIGTGITFSMRIAEKNSYLYNVTNALLPFVTNLLSLHQPFVLMIFSPRIREVVLGMVCRIVCCDCCKDPPTKTVANNTSGIT >CRE00526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:547891:548716:-1 gene:WBGene00051315 transcript:CRE00526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00526 MVKTKKTKDKGNEIGKIPVSALPMPKRSSPRNAKAQGAPSFQAGQSGKPATKRQVVNAADVTNSNVVEVQAQQEVRRSARLNLLGTPPFESTPVANVTQKVLAVLANVERPVALDVGVQAEQGELVMEQREAPVTVDVAVQTDQEEPVIDQGEGPVAPEQGDPVIEQQEGPVAADDAAEEDLVVVNEQLTHKAYIRRLKAQGKTFSRYL >CRE00528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:552301:553218:-1 gene:WBGene00051316 transcript:CRE00528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00528 MSPLLRCMKRQKKQTVLFQIGGRAPQKAIQKSTRGRPRKAIAPSPSMATDTAVPNRKRTTARMSTGGKWRAPPKKKLALAPTIGSSLAPQSVTLSPGKNRRGQRPVGRPRKSPALSPQKNKRPVGRPRKLVVPAPSKNKNPVGRPKKLVITPTGSTRTTGSVTMISKKNPRRPVGRPRKTVNPAPSKKKGTTPPATDFPPPVIRRYTGPRFWFGTHKPIRRPDPEHPIATDPQASAPVANAEAVTAPAATAPVATAPTPVRRNPYVTQALYDRQRATSRMSTGGRRAVYTKPCPTIPVHLLSEEE >CRE00529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:554300:557286:-1 gene:WBGene00051317 transcript:CRE00529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00529 MEEAPFAYLTSPSLSSFGPAYRSFLPKEHWELIKEKNGKLVISTKKPNMQYYGEGEDLAEMIRQYMGFPGSHDYFKTGLSTPYNTTPVIYESLKKNLYIYKHDVLISLLISAKATKVIPKDNRLSSILSIYLRTKELSLNGVCELVPYVKDEIAKVERNVEEEIRKMSISGKQHTLKEKTLEEVFELLKKILPVNIYDPEYTVLRKLLEKFHKEDPVKKNVANYENIINWAAIIINEVDQFTEGKPEWFSAEPEHTQQGNPEGQSFVRVFQTGTEMFVLLWEVEQTLEVLKLDSKFIEDIVLEDDTPNATIEFRPLFDHLGGDMNKIEVSSCPSFILAVAGICILMKLLKLFHLQFVVTPLIKPKPKALFIPMQNNSYGIYVVDAVIDLLRHFITVKKVFQNLDERQKYILLECFVAVANGLTGLKKANRFITEKFLLEFYEGVNSIIQKNNLKSTKETKEFRKVGTFGFTLKEFQKELKYLGIVATFPDVLGHVERVYDYINGLKAKQVLRTCDMIAAIELCQMICVFNNCEIDLFFHAHHACGRVGIVCRECVTSQELGSGWSSLHGFMR >CRE00060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:560603:562927:1 gene:WBGene00051318 transcript:CRE00060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-11 description:CRE-LGC-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LCV0] MYSRISFPLLISILLLFVKCEKTKEKEVIEQKEDRNERELAKHLLSDYYQYTRPVRNYSSVLNVTVQPQIYNLVEVNEQNEQIKILLWFPQSWKDDYLTWDPKDWNGIERIIIPKSQIWIPDGYIFNTVEETEPLENHNARVRYDGRVEVDFNKLVDLTCPMSVLSFPFDVQLCALQFGSWSYQAHAISFNVLDTFVPKKSKNSEWDIVSFNATKMTTKYGDTLGGFNVYEEIFYYLELRRKPLYYIVVILLPSFLIVTVSNIGLFTPHGVHGDREEHVSLGLTTMLTMAVILDMVTGQMPRSAEGIPLLGMYVLIEFVISVIAVLVSVGIIFAHERMLYLDATPPYWVYKLFSDEGKMSLEEIEEDFCSKPADLVQELRFCMEEIKRYLDEQEATEKNRIIWQRFFSWADILFSIFFFVANCLVTFFMFMEFIF >CRE00061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:564799:569802:1 gene:WBGene00051319 transcript:CRE00061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uggt-1 MNLFWSLLIVCQAVLLASADKKGVQTSLKASWDSTSLLAEASEFIADESEKKFFKFVDIVNNDAPTLNWNKLTDEQKYEYTIKTASKVISASSVDLLKFALALRQYSPRVQSFQQFQIAAEYEESCEVFAVAGDQVSCDYEKVDHLLRDAKRDTRVLESDHFVGDKQSKKAVILYGELGTVSFAKAWQNLSKNQKTGLIFRHFSKNVESNPVSLSGYGVELAIKNTEYKAVDESNEKKSVEEDETDLFGFNIKLLKELHPDSVEAIESFRVNLKESDELTPLKRWELQDLSYQAAQRIVNAGPAEAIGTLEEYSQNFPTHARALAKTTVDEKLRKEVQLNRKMLEEANIEVGETSLYINGINQDINSLDLFKLADLLKQENKLADGFHSMGINREYLSVLVGMDTSDDEKISYAVDHREGYPFFINNLDTDKKYKQWGNSVKLMLQPYYPGMIRPIARNLFSLVFVVDPSTADGRKFLRIGQTFNSHDIAMRIGYIFVVNQDAKASGENDLGVALLNLFNFVSIDSSNAEALKVLNNFLDGYRSQEPTVADLKDFFEGKFSDTNFKDVFGVDSDYDKGRKHGYEFLQKTGLSSAPKVLLNGFILDEEGVRGDNIEETIMMEVMKISPKIQKAIMEGKLTDRMNVGNWVLDQKEVMPRINKRILSAPSKKTYVDMFGAKECKTLKGAESLPDVDKASCLLHTTKYLQKATNDAILPVTLWTIADADSVDGRRFIYNSLQILKNSAKSRVGIIFNTENVEKSCESNSISSYIRAALEFLPMDQAKRLILKLSNEEYAADFISGKMTFDDLSVGGMDTAKFLADKKKLDCERTRAEANLVKSLLDIKAGDRVVVGNALQVGPLDKDEHFEAADFKLLESMLLSRGAEVISSHLSKWEFTAANGAGSNIAFSIAGVVGKHASSQKRTWVSIKGDEHSVVTLTADESNKPAVDVLAVVDPLTLEAQKLGTILQLIKKVTNCDIKIVMNPKDKHSELPLKRFYRYAAASELNFDHNGKLNGNVVRFDNLPSKQLLTLSLQAPDSWIVEAISAKYDLDNIKMEQASGDVTAVFALQHLLLDGQCFDEISGQPPRGLQFVLGTEKNPKQFDTIVMANLGYFQLKANPGAWNLEIREGKSSEIYKIGTHVGAEKIGEDTLQVVLDSFTGKSVRVRVEKREGMEERNLLADDEEGVWSSLSNLVTSKEKPQEVINVFSLASGHLYERFMRIMIVSVMKNTKHPVKFWLLKNYLSPQFKETLPTLAKHYGFEYELVEYKWPRWLHQQKEKQRIMWGFKILFLDVLFPLDVQKVIFVDADQVVRADLMELMKFDLGNAPYGYVPFCESRKEMDGFRFWKQGYWANHLAGRRYHISALYVIDLQKFRQIAAGDRLRGQYQGLSGDPNSLANLDQDLPNNMIHQVKIKSLPQEWLWCETWCDDASKKNAKTIDLCNNPLTKEPKLDSANRIIGEWKTYDDEIREVISGHTISEPVINETEDSHTEL >CRE00062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:570170:571509:1 gene:WBGene00051320 transcript:CRE00062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00062 MLFRAIVVASICALVLSLYIADPTRWTRHQYLVKVLTKDTKTGTISTCTGTLLSPSVVLTSARCFPKDQKNVAGQAVVVKRDSLDLMNKAMLAVRIDGDMALMKIDAIKDEQFCDKDPHPPRVARLNFKPSLTEATYLTVQPKELQDMRCRVLGFKTKDDVEEFATSKELQILELDVRADQDNLMFSEVNANTTGRVCWDDIGAPLECVLNDEDIKWTQVGFVHGLYGRETDQDTNSTISFSCSDVQTMQFVVFNDNTFAHAIESVDKSSVFEAQDKCF >CRE00063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:572125:574290:1 gene:WBGene00051321 transcript:CRE00063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00063 MHDINWRLLALSAVLAVTAIFQMGYTNAYPNTAIGSFRLFMNESAEESMTMSKSEFEWAWSAMLAVYFIGFATGSIISASIADRIGRKWTLFLGTCGSLLSAIIALFAIILKMSMMFGFSRLVMSLSAAISMNGLILLFQVSSESSPTHMKGLISFNAEMAFVVTNLIGGLFGMQAILGQNLVGLIAVSIIPSSIACFLSAFLKESPKYLFLKKNDATEAGRALKFYQNIKDEEEKLNVLNDLKLEREEMQNQRTGSIFDIMSNQPVRRGFLLGFATMQLTASVWPVIFYSTDFLMEAGFSYDLSESVSTGMLFLSTLSTIAGMFIVEKFSRKWLLVGTAIVNVLAILGFAMSAILSEYWSPIAYGCIVCLILHGASYSVAMGPIAWFITSELVPINFRATSQSLVLGLNHTVALILAFLTFPLYKTIGPVTLVIFFVIPGAVCILMLILYLPETKDKHINEIVEQLKNSKARIKQSRVEENEESYGMNKLG >CRE00530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:574863:577161:-1 gene:WBGene00051322 transcript:CRE00530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-12 description:CRE-GLY-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LCV4] MKRVLRRSASKHASTLFKVIVILVLCSFIIYLRSEDHELQKNTVIHGPRSNSKEEEEVLKPNADNDQTFIAAVLVFCATRPDALRNHLSQLLAQRPSHFQYHVIISQDGNKTSVAQVASRFVKDFKNVTHIQHEKTEIKKRNNYPAISAHYKWALDKVFKEFRYDHVIVTEDDLDIGNDFFSYFHWGKQVLNTDDTIWQIDDVQCIIVFRCVSAWNDNGGSNIIDTKRGDLVWRTDFFPGLGWMLSRELWDELSPGFPAAYWDDWMRKPEVRKNRSCIHPEISRTSHNMKLAGKGSSGGMFKDYLSKISASNANVDFSLLPTTVVLKLSYDKRLIEEIEDAKPIDLQNTTNMEKTYNYKIPYKNIRDWHQLAAHFKLMTDIRGGMQRTAYYGVVTVMYNMCRIFVVPESTYQDPSQLTNYVYDPDWDKQNRFIEFETYYCKTKKYLGKCDPHSPEMIAFFKKKGWKKRLDDWGEMIVV >CRE00531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:578199:580866:-1 gene:WBGene00051323 transcript:CRE00531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00531 MLFLGKAAHIAPRRRPNQAPSRGRTDQSSRKQSVDPTDHEANEISNLTDQYAQSLQQQIQLLQIENSFLKQQQQEIHDHDEKEKIKQAEIEEQYRKNKLKREQESSNAKRESILQQRENSRRGTTTKIFSRGNEVSLNGETWCQLPPHHPSIPAIISDRSQYSQRIRRERGFYDSFPRDRREYSVPCFPRSRHASTMRERSFVMSQTLDREESLMENLEDAVERNTILEKEISLAKEREIDQEKVISSLTSRIKIIEIEKREEIDSMVTEKKSLLEELLDMQKRIDELAPACAEKELKIVATDNEKLDLTKRLRHANSDISHLQSSIMHLREDISTKDHEMKALSEEIEYLKRRATGLEEDTRDLRMKDTENQDKICSYIQQSREFELTRINDKKLIDQMLEENTSLIKENARISTKLTRLEALDFSFKQKELKEAQTNSELVTELKAALHLEKSSSHSLLNKIEIMRQRCEELERTILDRDKSEDENIAERGRVEKELNALHALSKSLSSENKVLREEKLANEEIIDELKGKIRALEMTIRSAQGAYQEKERLSVAKIQELEDDASKKHQKYLEFEEISKTLQKITALLPAKTSSMTQPSQKDCSK >CRE00532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:582069:583350:-1 gene:WBGene00051324 transcript:CRE00532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mek-1 description:CRE-MEK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCV6] MDRDFALGGFGMNLNMNQAAAPPVPAPQPHHPSRASTHNVQNLLAQAEKNSGFLTLQGLRRKAEIKELEFVEDIGHGSCGTVSKCSYQSVTMAVKTMPRTSNSYEMSRILMDLDVICLSFDCPYIVRCFGYFITAYDVRVCMECMATCLDRLLIRIQKPIPENIIGKLSISIIKALHYLKTKHQIMHRDVKPSNILLDWSGVIKLCDFGIAGRLIESRAHSKQAGCPLYMGPERLDPNNMNSYDIRSDVWSLGLTLVELATGHYPYAGTEFDMMSKILNDEPPRLSPDHFSPDFCDLVENCLQRDPTMRPNYDQLLAHPFITHHEQLDTDVEEWFADVMGDSA >CRE00064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:586616:593465:1 gene:WBGene00051326 transcript:CRE00064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-coh-1 description:CRE-COH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCV7] MFYADFVLSKKGPLSKVWLAAHWEKKLSKAQICETDVNEAVNEIMKPKQNLALRTTGHLLLGICRVFSRKTKYLLADTNEAFLKIKLVFRNGALDQPNPVLPTFSMQDMYGEFGDNILPEFDDEELNHAPICQSRIDDITMKEDLPQNFGNRYDEQLEDDDFGEMAAGVQPEDYYRMMEDVNKEFDLEMSREAEEKQAKTESSLFGREREQTPALCEASAPGNTIFGDDDFSGNAHDDHDHDHDDMDHDAQGSSGFNDNNAMHIEDMDYDNGPNIPRDETPLRSETPMTPRASSPTPSVTPSVAPSVASTSQVEQDTVESYYERHAQKRALAKEQNMKKRRVDDVRMITGDEMKSNMADYSDLLIRLDLAPPTRKLTIAKRQCHADFLLHFPAMFGFSRSKNFVRDYQNCLTIRRHDDIEQKNEAIKAAVGLLEIGEEDLQQQQQEMDMTTQEEPQFADDFDDVAPLEFDNFENMMDMPQSMDDMSIPDDDVPQRNPLSPFADMTDDDDMGSAEKRRKLMDDKDDMEGDEDNRWSKRTHALLQSISAKLDANNGQIELDEMLKKGVSRKVAAAKFYSILCLKKNQCIDIEQKEPYGEINIRAGPNVDATVI >CRE00065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:599009:600556:1 gene:WBGene00051328 transcript:CRE00065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sox-2 description:CRE-SOX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCV8] MMMDPDLSKMQDYSNWAFGFSMPPTSSTNLHQQPMPDDMSNGPDSPDSTGKDGKKNDDRVKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGTEWKMLSEQDKRPFIDEAKRLRAIHMKEHPDYKYRPRRKTKSINKKNGAPIPFGNIDTKAPAYPAIPNNWSTTNQYIDQFRFGPYYQSSAVMDHFTLANPVHSVPNDNSSPSQYQPSPLSTNFTSGSYLTPKSESSPVGSDSTVGTIDSSQFRYYDHTKDSMMYPYSLELAHAQNIQNALSQSHVTS >CRE00066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:612689:615263:1 gene:WBGene00051329 transcript:CRE00066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-12 description:CRE-ACL-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LCV9] MLKSGLIETDDQKVGVRVANIDGSERADNTHMIEIRRIIQLVGATYFFFMTIWVVPVACVITVSMLFPLMFFSVPMFNYLEHKLCGMVNAHWNAVAVFCGTTVTEYGTNLSSYAEEKCLLLANHLGLLDHFVLMQSLNEKGSIRSRWMWVIYNIWKYTPLGVMWTSHGNFFVNGGASKRDSVINAFRDHLKNSYYKYDFGWVIMYPEGSRFYLVKKTGRSFAEKNNLKPLDNCVYPRTGAAHAVLDVLGPADGEIINVHFPFNTVYFTDSFTLSRCGKGAPIKYIIDATIGYRRGVVPDISDAMMGDWATVDTPDFAVHYDVIPVKKEWADEEKLKEFLYERYAIKDKLLAEFYKTGSFPGKQTKIVPNNYEMSLAQVFWGTLYYAHYYYWLRPLIVYGWTSFISMF >CRE00067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:616314:617527:1 gene:WBGene00051330 transcript:CRE00067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00067 MTLPVSMDDKELFPKVDILNLTFSPKSEVNEDVLKQMFTSLDRLWWSRLVISVRGYVINYVQQNDEVLFSCDDAFIKIHQKLAERNSKFENAFEYLTAIFHLIREKNTLIQLISNPKTISATKLPPVLEQSFGVVHGDLSPKAIQLTIAQLPAYTMFIKVVVNLQQRWENEKLKKKSIRFETDPEWEPDERVVLFQTFASDNRTWVLLDFDRYILQQWKPNGTSVIFGDRFVEKKKRSGLKLCNTCGMLEQKKAQFAILNDQVYCSKTCFDEVNPPMTVNNRGATVVHL >CRE00533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:617900:619001:-1 gene:WBGene00051331 transcript:CRE00533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clc-5 description:CRE-CLC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LCW1] MLVFQTKLQKYQLATLISSIASNFLLFFATITPAWQVANDLDADRYVQSGLWLYCPGQAQCWYIFSDSLINYYEKVDVCRFFLIGDCRKKLLRTPYFFGWHYAVLILNVISMIFMGLSIASIIFAYAKPHRSRIAIIMLDAFCGFASLLLGVSLIVFMVNAEMLESKYLIGIKNTYEKEYGYSYYLAGLAFVISVITILFAALVSTYTFLFPEEVTDSQYSLKMSNNQFAARYNNDLQQAYLPPQSQLSMQIPPTEHGSYIVGAVSPKGEFRSQTRHFYSY >CRE00534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:619317:620622:-1 gene:WBGene00051332 transcript:CRE00534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clc-2 description:CRE-CLC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCW2] MNWFLKKFRLAHSYSIGVTFKAYKFYFRSHKMTKVVSYAILVLTIIAFLLTAAALCTPAWQVVYAREIRQWVQSGLWLACQTRPNGMYSCTYTFSHDDFNTYFSDEVSGFRTPSFYPWQRTLFHIYLISQAFAMLSLISFCVSVSHSESKLPNILRTVFLVLAAVIAFGCLLAFAVYSYMVEYRFFHVSVSGIYEKHRGYSWYIALTGAIVYLVAIILSVVHVFMQARDGNATMSRQNINSSLQSDIFEYQYHPNRSTESFEDRFAMRTLPPVPRQEKKTTVF >CRE00068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:625835:628021:1 gene:WBGene00051333 transcript:CRE00068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mnm-2 description:CRE-MNM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCW3] MSIEASRTCFLIDSLLNKKPKDETETEEEDEEEEEEEEVSSSEVTSENDMETESVSTSTPTVIPPKPDFSALQKIFSNIDFAKIAAMKRNGHQPMLMRPDCFLPFELTKQLQFVQQTFQMNVLQNLGHSLPLPFVSPLLKNVTPLPKRIHNKRSPYVDNTPKGNLKKYRCDVCDKTFSRSNTLITHKRIHTGEKPFKCEHCGRAFRQPGNLTRHRLTHTTVGSHTYMHTSHPVITQFQVKPYVCGLCDKAFNRASNLHTHMRTHTNL >CRE00535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:628217:629162:-1 gene:WBGene00051334 transcript:CRE00535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00535 MKKVGIILQPITILLTVALLVLVVIDAYTYAWGSYSSSISTSALLSSCTPWNIYGCSQLWHAMAIRPKVGLIVQLCLIPLTITLIFVTSLAVLTRVIRKQTSIVILILISWTVFFAILATVILRMDTVPAQCYISGNLGFTYHNHWQIYITLASLIVSFIITQIFAFAGYFRPMITMLSFEGHYQRYDYPRISQLEKNMVSVKELDNF >CRE00536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:633124:635032:-1 gene:WBGene00051335 transcript:CRE00536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00536 MPPVASDIFLILSCLTPPPFLAPNPPFVFCPSSVSDYLEYNKSDSVASTSTEDEKVSVFANRTYEEQPNSGTDVTCFYTNYRQGTHDPECDNILLTYLHQTLVYYGVRFDCILSSPLILISFWFFWHDSFGGKMISVAFACAFTKFLCSSTVLTCILIDDPSFMREIFEKSYFGSYESKILYSYTMIVLSTFFNITLIVTIVMATLLPDISACKYRIGASEAAGDMNRFEFKSVLSNVKEIVAKSRNTSRISVVEAFPIGDLIVKEHPTAKSNPV >CRE00537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:635617:636417:-1 gene:WBGene00051336 transcript:CRE00537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00537 MARMIRCFRIILWCISSLSICLYITAALTESSINHRIIPRTQCLTCNPHQEFPYQILNQLSQISFFTKINFAVDLSIFVITTFNLAKNDQSGAGDFLISIGVFCKIYLIPYVLYNFFSGAHSPAHNINYLLNNEVCGTLGNCLNGNVARILYSCISVTLIMLFAFPALIASWGVMYIIFVRYFDQPLPMVTERVDDLPAYSSLFPDSQQLLKKDEEC >CRE00538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:639651:640474:-1 gene:WBGene00051337 transcript:CRE00538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00538 MSIGDVQRHITVVTLGSPAAYLFLRLLQKSTGRKFPESGPNELLFFDCILAMIISIICSHRKLLENFGFMVALTVARGICLFILGMTIFYVPQWFITHEPRNHTICWIFLSIYIMCTAASLTMCIAAFLHECDFEEYSCRRLIECCLRKNNEHCPPEYQEAISTSALPPTYEEAIASRPPGYTPTSTPPVVSPSQSPVNITRNTVRYNCYQAEMEEAETMF >CRE00539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:641797:643664:-1 gene:WBGene00051338 transcript:CRE00539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00539 MLNTKLITQLVLLSTIFSVVVLLSIKFLEIQKDYPRKNFCIACPSLAEGSLLRRIEIEINFNLLLMCDFLSMMMIILLFIIERYYEHPTYLFAFHVCRFIFNATNLATSDILPKIMKPMLREFCGFGGECSDKTVLPHTTVGLLYLIYFAVLLTNFSITIILMYFYEPYYQTRHTPIILHVIDTPRRSRPVLKLDMPPVFDAPPRYSSFNRSPSPPKMLRAAIPVANQKKYHRLESSDSFFFRNSKNKIAPSTLPQFQPQIMAC >CRE00070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:645990:646840:1 gene:WBGene00051339 transcript:CRE00070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00070 MPSPGVQRTRAMFSNAVQVMMGVSAIVSLLTVGGFPLVKARMLNYTIPDVGCVEGKCDNSSHCVINGTVLGTDFKNVENYYTMSISSLALFVNFLLATRIVGARFINMFFTTFAFFCLIVFPVRFIFLAMQTLEFTAVGGDYYTLLLLTPDQFNCPFFSSAVVLMHLRFILLVLDFITFHALIVSVPLFYVDDKLNFDKYHAVHDEKPDIFQGAAPAVMFQDV >CRE00540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:647067:648531:-1 gene:WBGene00051340 transcript:CRE00540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00540 MDQVIGQGMPYEYIEQALSFRKSAYFFSRIAMVLEFVSFVMLWTMYINPEEVRKMKCAAWPYFFDNIANFLNMNGTLLFISGASIVMNCLSGSLRRFNEFSHRRTRQGFVVFGNLFFLATDLFIHVILAVELFEQKEGLYEAFVFFTNDIMHSCVIPGKMIRSVVAIYVYCFLIVCRSMLMLHTSIISLNWLWAYIRHFNPYELYYRYLEWRRAFLNGRLLVPSSPSSDSVVLHRPPLLGLARARMGFPPTYEDMLAYDNAPPAYQAEDSEVAIGNSPADSEESFDEIMANDEGLNEEEEEINTPEEDALAEQRSNNNSNSGDIEASFYDNMPNDEILNEENLDGQITISEDQSNTPAEDAGDIVAHV >CRE00541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:649193:650443:-1 gene:WBGene00051341 transcript:CRE00541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00541 MRQDPFYILSTFYLVAICATSGLAAVLCWNCRDISDFVRKGNCSDLLLEGKIKILEDYAAEGFVYEFFIFVVSLGFFVTFNDQCRSTVKQFWISILVALSLSFLTIIRMIQNCVSNKSDFTPVLIHFSGEDLIRDTNCDEIPNQLHTRFNFLMMVLVLQPIQLILIVTAFVHFLVFYLEHRNQTERLPTLNAAPISLEDNASQNQRRFSPRFNFWPFGAPPPPYQDLELVERTGQSEPAPAYTADLNQEEQNSPQEVYVPAIEVTAVTEEEEIEPSLVPEVSESHNSVPAAGEEDTTEKCSEC >CRE00542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:652764:655759:-1 gene:WBGene00051342 transcript:CRE00542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00542 MDIPRKGTNYGELSDFTPVLMHFSGEDLTRDMNCDQVPNQILTRFNFLMIVVVIQPIQLILIVTAFVHFLVFYLEHMNQTLPKAVNAAPVSLEDNASLNVQFSPRLNFWPFGAPPPPYQDLELVERTGQSDPAPPYTIASNQEEQTARQEFFIPTIVITTVTEEEENDPSAIPEVSESQDSVLAATEEENTEECTEC >CRE00544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:658815:659601:-1 gene:WBGene00051343 transcript:CRE00544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00544 MSQDLRSFSVNACQFTLRTTLLLFSLAVVCLYAAMIYLEHSYPRIAPYHRRKLVYVCFPEEDSTVTYYMGVIRNMDIVLSIALAILCVILAVTSSLPRVHWPIFFISLIRFIFVCIEIAHVSSFTGDTQLDFILSARQGICKVNGDQMEAFHALIILFTVRCIKGYITINMSTLCYGNSILIYLFGLKSPPENVDGEEEHELDDFPVDRTHLTV >CRE00545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:661260:663533:-1 gene:WBGene00051344 transcript:CRE00545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-8 MIMEVKDIDNYCDRGIHPNSSNYLTAPFDGLCLQKFFYQLQASLRRFSQWEEVLYTTVYIVISIAAVIGNGLVILAVIRKKAMRTNRNVLILNLALSNLILAITNIPFLWLPSIDFEFPYSRFFCKFANVLPGSNIYCSTLTISVMAIDRYYSVKKLKMTSNRKQCFHAVLVSLAIWVASFILSLPLLLYYETSMLYVMRLVLSQFSPYLQNYMKKKIKFFQEIRVVDQNGEEVIRSYGWRQCRLVSAGRLPDITQSIQLLMSVLQVAFLYIVPLFVLSIFNVKLTRFLKTNANKMSKTRAPPKRDDRADSNQNSLKNSSNQNSSLRSPTLPSVRNSIVERNTTNKRTSRTTSLLIAMAGSYAALWFPFTLITFLIDFELVNNVSQEYVNLVERIDQTCKMVSMLSICVNPFLYGFLNTNFRHEFSDIYYRYLRCDSKDQPAGRFQHDVSSIAHHRQDSVYNDDTTLLTTGRQSLVKDGASSPRGFRSSIRVCSSQLIGDRIVLDDDIEKDSFV >CRE00547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:686349:687489:-1 gene:WBGene00051346 transcript:CRE00547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00547 MSSSSAAITLSNGVKMPVIGLGTWQSSPAEVIAAVKASVKAGYRLIDTAAVYQNEEAIGTAIKELVEEGVVKREELFVTTKAWTHELAPGKLEGGLRASLKKLQLEYVDLYLAHMPTAFNDDMSQHVASPVEDVWRQFDAVYKTGLAKSVGVSNWNNEQIGRALALGLTPIHNSQVELHLYFPQHEHVDFCKAHNISVTSYATLGSPGRVNFTLPTGQKLDWAPAPSDLEDKNVVALAEKNKKTPAQVLLRYALDRGLAIIPKSVNISRIEENFNVFDFTISQEGIAKLEESKISQRLFLQDFMAGHPEDAFANERK >CRE00071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:681414:685614:1 gene:WBGene00051347 transcript:CRE00071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00071 MNEDPPPAGDPNSRKRKAAEEKQQKEEKKARDSVPDDQQETTEKEKEPEDQKETKKQNEEADFSFAGKPKAGNQEPIGMKETNKDERTSVELKKEENPEEEQIWLPSPDRLVGMEQVAATEADKKKSELMGERKPEILDQIAPSSKKQRESKFTMAVRKSFAANDFKQMAIDVLHKAPRKERLEFRKVLELRRDLSMHNLTEIQKIDIEIVLERLQETELEKELNIVEAVQEKKKMNQDPPPPGDPNLKPPVPVIDYAEQARQKMAEREKKLDELMERNAKIRQEIKDMDAKEAERKKKQEQRGMEKDQSKDGSESSTSQPERSDAVIELIKLVEREAMRLQIIKDKKAKERAFSPAATSSLRDPTLLKLPKLVIDYMKQARQKQAARVMEMFELLDREAMRRLEIKYMDAREAETKKREEQRSMEKDQSKDGSKSSTSQPEQLKEKQSRERLQKRTRNRRKRKLVTVLKMVSKGCRREGREIYEKKNSIKLLRQLNNRAFRSFKWPFEKAWQKMISYRWRLNQHFHQQPEPTS >CRE00548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:689155:695248:-1 gene:WBGene00051348 transcript:CRE00548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-11 description:CRE-ATG-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LCY0] MIMYHIFYMDRGQCMLFNLNAEATTFNGLLDMVSKEVGHRISDLIILDENGTAPDGNKALADLSAYGNATAPVYLFLKSGTDRENHNCSDVTYIFQMIDDAVEQAQYVLKYEDPLKIYMELPERARTCKKVCGESLQMCATLVQQHRFLHKGWLALINNLDSSVTKMAKRGKKFRTVHTKMEELVEKSESLLLNYSDVVEQLRRIEVPMEILIKPAPMMASTTSPINSEEKCTLFDFISRADPNASLDDLPELVHEKIRQLKEHNVKHVYTTLQSVHDQSKNVEFRDFKGINKRFSQLEFSLKSCEERKEKVNRLVSAILETPKINDQSRLPPIIEEHRQCMQSIFDCFVEFRNMVRVFDQSKQEILKNLRTRMSGFVVQSYDRLRNVLNDIVQFEYKGNAVRIHMDLIGQIRDAPILYSQSVSEIVRRRLLKAELEDWHTDHSTKCGQFSSDEEKSREQLGRKLKKHFLHALFPGLFDNLPEFFVKAPLKEYDTDLPFIAKDYISELREALPELEPYLKVTVPNVAGKLATKGVKAHPPFGQTRVESFLTEEPIRMARSHFNYSPAAWLSEDGGDSSPIPQPVMCRSPDNALRESQCRPIPYVPSLQQLEGLVCICGVSVIYVFAYFQDAPAPGSSAPISIPNASSSRINFKQSGRQMSSQDLTQVGSAVSSDTSLLGHETPVKIQDTVMMMEKQSELQQQSNMSDSNDSIDSLMDVYETIDYPAEDILVGLSDKTGQLEDAVKRLGKMQTLVSNMQPKNERLFKYLHQTAPRDFELIIHDGDKLKNRIKELGESVECLEVELETRRSTETGLEAKLAEMTVSHKKDIEETQAECIKRMSVEFELMTDSMSRQSKELIEIKDREIEELKAKLEKQTLSHEKALRNDPYTEEYKRNLTAEIRAELEKEFKQRIEIITKAVECKKDEAFARQEKTLEIENRVLSSENEVKSKKLEAMNCEKEQLESLIRQMPDGEIILEEFNALKESQPSVHIKDKFAAIRSKMDRSTDSRCLDNNKVLLIDNILKIHKLQQLVDERLKYKEVIKSQPGGEQVLQCLESSTPSVAVDMETFWKELTSYSGAFDEAGRKITKIYELEMEAVWLQALVRANPSGPNIRDISAELHKENHQKDVETVTSISMMDIFMDHDREEIKKLARTMQMWMNAYVTSLRSGGTYAIEDSPKIKECAAKYKSHIMKNSSYHGMEESFFQPMAASTIQHKPAQEDLSFEVERCSQNTMSTQTRLCLPAMNLLVSVQDIKVGSAVLVIWHQAHNAYVIFCSSPHRFFVKESSIRRLGINTQDPTTRRNWIIARVVRSDSCSIKKPINRYNLSVGTIVRRVEVETVSMDFEGDFQKISIA >CRE00549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:697511:698044:-1 gene:WBGene00051349 transcript:CRE00549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-30 description:CRE-TTR-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LCY1] MPSAHSTILLISFLIVSCYSITIELGNLQSVAVNGTLLCNDKPANNIKVKLYEEEAILDVLLDERFTSEDGTFEMAGSKSEVTTIDPKLNIYHKCNYDGICVRKISILIPPEYITNGEKPARTYNIGMINLASKFSGQSTDCFN >CRE00551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:699618:701005:-1 gene:WBGene00051350 transcript:CRE00551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sng-1 description:CRE-SNG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCY3] MDNVRAYGAGLAGANFDKNTFFKKPTVLFRCAALVFGLILWYSVSKGGWHKPSDEIHPVCLYGKSSSTCSFATAVGFFAVCGAVGLIVLDAKMDQISSIPTRRRAVLADLVVSGNNFQKTGQYLDIVIAAIFTGIFLIGFFTFWSKLSAFEVSEGDENPIKTNNAKFGILSALLSFLAWGGAAFFAWRRYEEGNQATHEPNYDEQFGQVSTDVQDGYGYGGDSTGIGHVGGAPAQSSYQSGAPPQNMQNMQNMQNMQAMQAMQQPPANPYTQSEGYGY >CRE00072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:705557:705965:1 gene:WBGene00051351 transcript:CRE00072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00072 MPFPVSMMPSTLPSSDSAPTLVENITDPAERQKAIERNRILARAQELEDLAQKCKKEYNEAFAQYKVLKDLGTLTPEEHELNHKLTKEKETKAFIALKMSRQVRWDAELEISRLDEK >CRE00073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:707864:711183:1 gene:WBGene00051352 transcript:CRE00073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00073 MNILNFFTWIFQLIRDLLRPRMKPTVTPILPITRRDCGSRQPEPYEIIEDHQNLFVKAMTQVPSLQNTVRHLEGANTIMALAFTKPWASEVLYWVPRDKYYNMELLEEELRRIKLCVKAESIQLLSVAIDPVLWDAILSETNFKDLAVLKIENVHEPIPTNIGGDLEEPFILDNIINQYIVPPVLLKTLLSNNAHSIELVSLHLTETNIQEMETVVAEQTSKNVSKLKMEDLMLPPGLNQRMDEVVFGMIETGGQSDICLSIQLRTYGHSLLRRILTTQTRFNHIHLEINVQQANLSLFEEITQLHPLCNKIKITFHGHFVRLGNQYQFTEEMTIEMRKWEKVTHVILGKSVYPHFNTEAPENTWAYVNLIRCLNHLPNLRSFGMKDIVEESCLWAVGQFISNSNFEEIIFGITYIGANAMNVLNNLLSSVPITTRSLSLLYFPLNDQSLEILAIEAVLPNTEIDTTKWLSTYNGLVRQKVVSEYLVRLIAPRENFWD >CRE00075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:713809:714199:1 gene:WBGene00051353 transcript:CRE00075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-2 description:CRE-SPP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LD17] MKTVLFLAALAAVALCVVLPKQRSSLGCQMCELAVKTYEGSADKDVTSIKKDFDAECKKLFHAIPFGTTECDHYVNEKLDPIIKELESGTAPKDVCTKLHECS >CRE00076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:714960:715413:1 gene:WBGene00051354 transcript:CRE00076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-3 description:CRE-SPP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LD18] MRTFVLLAAVTLAVLVSFTQANDIECAMCKMSVKIVDPMLGEDTEDIKKAVDAECKKEFHSIPFGTQECKKFIDTKLDPIIHELENGTAPKDVCTKLGMC >CRE00077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:717564:720465:1 gene:WBGene00051355 transcript:CRE00077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sur-5 description:CRE-SUR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LD19] MTAVSANGKVTEKHENGAHTNGLTNGNDVVQVHTPQPVYYKTPQVLETFELTLRKHFEEKTNQKFADYRAFHRFTCDNYGIFWEELLKISEVKLHQNYNQVIDNNLKINERPRWFNGATLNYTENVIERGTATDVAVLNASIDGSVVEYTYDNLRKDVYRVATSLRNYGIGPGDTVCGFVPNTYDTLVAVFATAAVGAAWCSASVDFGPAGVLDRFRQVHPKVLFTVNHVTYKKKLIDQTDKINEIVKELPTLEKIVVSDTFTSIKLDASKYNQSEKFISLEEFKTPITDVVLPFVYTPVPFSDPLFVMFSSGTTGIPKAMVHTVGGTLLKHIEEHLVQGDSKKHDRMFFYTTCGWMMYNWMISFLYSKGSVVLFDECPLAPDTHIIMKIASKTNCTMIGMGAKLYDEYLRLQIPFNAIYDLSRIHTVYSTGSPLKKECFAYINTYIAPAALIASISGGTDIIGCFVGGIKSLSITPGECQCLFLGMDIKSFNYMDEEITNSDEQGELVCVTPFPSMPSHFLNDTDGKKYRDAYFARLEPYWAHGDFVRVNHATGGVEMLGRSDATLNRGGVRIGTAEIYSVVEKIPQVADCIVAGRLVEEGMDEEVLLFVKMVPGQELTHTIQAAIVSKLRNDMSPRHVPNKIYAVDDIPYTSSGKKVEVAVKQIVSGKAVQKASSIRNPESLDHFVQYRL >CRE00078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:723090:726139:1 gene:WBGene00051356 transcript:CRE00078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00078 MDSLKAAVDYSKNLDMSHSTYSSRASSKQSSSSKNSHARNNNKEKETTQITASNCKNKIEEYKRAFNFFDANNDGRITIDELEKAMQKCGQRPTKLELRLIMYHGDNDQNGVITFDEFAHLMNGTASMNQYTYDQLREQFDMFDKDKDGFIEKMEMLSIVRELSLQASFPRQVVEQLFNEADIDGDGKISFEEFVLAVN >CRE00552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:726258:729206:-1 gene:WBGene00051357 transcript:CRE00552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-7 MRIKLLFLLLILVICTFSFDPVKSNDKGKAAAYRDKAKKASLTKLEDDGEKTLDEAEKEAGVSIDDEVEDDEEEEEDVPKKKVVKKPLKKEKKTDSKKEKPTKTRKSKKNDQEITEEVKDETEDTDDANEYKACSGGEDDELCHCDMDEINCSAIVMDADEPQLQTADLLIMKDDFKPIIANFSSNAITRLQKKRVLPGFEKYVSVMDMSFNKIRYVDSDTFKPFTNLSKLDLSHNTLTQVKKPVFDAVKDTLHRLDLGYNRIKTLADNTFEGLSNLKRLTLDGNPIKIWSKGIFKGLDNLEELSLDNCKITDLPGDIFEYLPKLKTLSLRENPMDEIPSVVANSKTLINVDLSATNLTEIRDHAFSGDSDLEEINLEKMPFLYAIKDCGFCGLPKLKILLLNDNEKLMEVHPNAFGFIKSDPGHKAASITTLTLHNSNVSTISEHMLDYDKLQTFKIGGNPWKCDCDTQFLMEEKFAFKEDSVAPMCAYPAELVDHHLATVRVTDACENARFLGRSGRSVLGIALLVAFVAIGCYYGITTGALERYSRRLRKEPEVSYTNLQAAGEDFALEADFQPRPAEV >CRE00079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:739072:747275:1 gene:WBGene00051358 transcript:CRE00079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-10 description:CRE-UNC-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LD22] MDDPSMMPDLSHLSAEEREIIEQVFKRQRDEEAKETQMSQKATEELSELDKQITERKETSKKLIGTQDDAICQICQKTKFADGIGHKCFYCQLRSCARCGGRAQSKNKAIWACSLCQKRQQILAKTGKWFQPEEQPQQKISVSDPSPSPQPLTQSTDHNEPLPQPEQRQAEPPDKTNNQNYQPNQQPRGMGMQQNQMPQQMSMNGPTQNSHNQNHHPNNHQGVNNHHPTNRRPMQQQQSMNQNQINQMNQNQNQIPNQNQHQNQNQNQNFRNQAGPQNQHHQNQRPNDNRSMKQNAQQQPQQQQNQFQGNSTMGGHQQLHQQGPQQQPSHHQQMGEQRTDNNRIRENHNGQGGMFPRQPSLERTSSVNKYNQGRVEEDSQRPTFYTGNTENDQRQFDGQMQPNSQQNSHNQNQNQNQNLRNKSGNRVTEEDYASSSNFESKKQRNHNSQTQPNAQGVRAVPPTDDHLNRVKNRLHRQLRSMSSSEEDIIAGGGGNTLKMSTSAVVAAGGKTAFHDDMGASNVQRLSEECNSEKDLLRYIYGDHKNPDSSSSGAGGSGVGGVSGGNSLLKSKPHVLLKGSYQGVLDMQANRRRDKSLSLSPSRNDHFGTGSISGGDLLASRIRTFLSHPVTWQPSADQKRLIGHMILHRTENSAANGDLGLKIVGGRRTDTGKLGAFITQVKPGSVADTIGRLRPGNEFFNEIHFSLFLFLGDEVVEWNGQSLQNATYEQVYDSIAASRYDTQVDLIVSRNAILPGGDDFFNLTSSQMSSSTYSRAPSAYPPQFQRQLPNPDLFLDIHPALQQQLLSHSQSAVFPHNNTLTSRNRSTSSYYYSDVADLGVPNTREAAEAQTFGTGHIFGRIEVSFVYSHHDRQLSVALVRGFDLPPRSDGTPRNPYVKIFLLPDRSEKSRRQSAVIAETLMPVWDEVFYYNGLTEPMLLQRVLELTVWDYDKFGTNSFLGETLIDLASVPLDGEHSLMCILVDMDDDNPLRTVSSLCRLKLRKASYNAPTRRPQSELNYYDHSSNYYNHISQNMDKPPHHHHLGTNDEENDEYIDDDELENDIDLATGGAARKSRAYRREKGMHGGHGYADWTQNQQRQSGYTSDHGYGRQTMMGRAYNRRQQRRPRSATALSQMEREEMYDPTRKHREEDEYSMRETVRHGSQYYLGDQPLYEDGRYKIPPNQMMSQQHNQQQQPHPLSQAHQQQAPGGVHPQHHQGIQQTQGKVHQQQQQQQHAQQPNQHQQMQQMMPPMPNQGYYSDGSETLSVHSTNSMPTTLTTVNRRNTAANHSTASNDTTSFEETPTATNNRVPIKEAKQNSLASSSSVAGSGGAANNSNPGSIMKERKKSLMTRFIPGRGAEGKRTGFARSEEVGIPGNLSSDRLAEPTPPFLKQASKESTDSAHSDKFARQCDVIFSWLPVLADGPLGTFVDNLGPGQVVGRQVLASPVLGEIQIALMAGRSGIDVEIIKAKNLVVKPGVKVCPAPYVKVYLMEGKQCVAKAKTNAATKTTSPLFQQHLIFNDSPKKKTLQVTVLGDYGRMERKVFMGISQIRLEDLELGSQPLIGWYKLFHSSSLAGTGPVRKDSDVSVGGPQQ >CRE00080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:748375:751607:1 gene:WBGene00051359 transcript:CRE00080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grd-7 description:CRE-GRD-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LD23] MQKNVFGSTFILILMSFLTQIGHKREQASNTLTCLSEHYSDVSLARLQSFPNLMKEISNIEDNVGIVLLNQHAMNITLNWLCNLKAFHDPTILENIVVFAMDNHSYQMLSMLWPSIRTFKLELPSVSQPFRVGHCQYQLFQLLRANLATMLSMMNKSFWMLQPDTYWRENLFDLFDVSGNDATDVYLDVEGESVLSSRMIAGGNFHVRASTASTLFFHQLSTQIRERYTTDNNVMGALCAQGFASVKCEFIPYHTISNWRWKNKNLKPALMQFDSLILPGTMGKLERFEKVNARHLVINDFSRMHRAGAKFVHPDGSCLVLESANVSSLVTFDETLPHVLFPPCFHFFHVAHVDMMPNFVFILLLASIQLAFAAEEYKSQTTYPESAPATTPQPPPPPPPPKPAPYVEQSAAPPPPAPAPAPYPQQAPPPPAPYPQQAVPAPAPAPYPQQAPPPPTPAPYPQHAVPAPAPYQQQPPPPPPPVHYPAPQQPYPQHAPPPPPAPHPAPHPAYIEHSAPRPAYPEHAPPPQYPQQHSYNGGPRTYHEEPPPYPAKFQYVDYPATTRRPYPYPSFEALPHHEEYHPTRRPTTTERPTTTHKPRPGWGDTEYNYPERSLPLKGCFYNNHGYACCNLRLQNKMEELADELLNNGTFHRCNVQKLANDLQDKVETAFKEDFETVVGLSDFAERIHFREHYVCKIEVNGRYMLAWATPDDHSGARAKRGVNATADDIHEYNF >CRE00553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:751744:753959:-1 gene:WBGene00051360 transcript:CRE00553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00553 MDLQDAGDSNNSNNSDPLNNFSSSANVKLGATLNQGEDIPPANSPATSISSIISEQSEAFRNSPFLSQSPLSSSAMFQRPDISGENERPMSYPSMSSFSTNVPDSECSSRSSVDGYNLKDSTSENIKLFRQRHKAPSSESSSDEDADINETEAVRKISSKSSEEKFVPRGIDAPRGRIANIRRESSCSVDSEAAHERLVKAAQVVSNGFDDIALEAERSPGPSTDFRRRAPSFNTIIGEPISVVTNAFITNSCSPSPTRPPADIIKQCYSPSTQQMVRPNISYSPSPRPSPAQSPTRHGHQKLKFQRYVCFIYFSMYSSCFRAESPICRTPIKRKITLSSQCESETKKMFSPRSNTSPLVTDKTFPYPTFSQMYESSSSSTEFTSFSVPHSPGDLRSLRPLTPMSNLSVSDQDDNSSSVKDAVEKIDLEDEMNEDITDDINMNEDDIKTDEDLLRAATEADLPDDDDDDSIFDFDDQSDTNSNAD >CRE00081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:758615:760428:1 gene:WBGene00051361 transcript:CRE00081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lact-1 description:CRE-LACT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LD25] MFYTTIITVMFDLELQKKISITENNIGGTVQTQFESVANVFRKNFNSGLETAGASFAVYHNDKLLINLYGGLRNVEKKQPWVEDTTSVLFSTTKSISAVVLAHTLDKNTNGVNYETKISEIWPEFKKNEKQDITILDAALHRAGLAYTEEVIEREDITKPDKIAKFFEEAIPMTNGSDVLYHALTFGLLLDQIVRRIDKNERGISEILDQDFVKVFGIKNLSIGLKCETLNEKVAKLTELDEFEINRQGVLNPEALEKFMAGDNIHHQKLYSIFPWITTDDYNLLSNRILPMPSNMGISNAEGLAHFHSLVASKQILSEKSYKLLEEPVLEHVYDHAIGYEENKGYGFQYTKNPKGQWIFGHSGFGGQNVRVDVNNGLTMAYVSNGMKITDADMVKPWRNLVNEVYRIFFEQTDNVE >CRE00082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:760575:762234:1 gene:WBGene00051362 transcript:CRE00082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00082 MVDRKLQEQGGYCGIIVYMGECWVMADTRKNNADPIVISLEANFHGVNNDSWEGKLIQFPKGGILWGLHLSKQKSEVGITSRIIGCLICFGPIKDHAPDWSITFDHVFTLYSKRVGSAGTIQKNTSLSGACPIINNYEWGDANSDLLDVFKNTENIRLSCHLYISETNGINREALKQTKRSREQTDEPVTASQVESQVMKCFSDMLVNEKIDFSNTAMDAMHKLSELFCFPRVIDVCRQYLIKNQTELLGSTSDFDNESDIYEEFNLTGFDYPANCETRRVRMGNLNEDDIIAMFQQLCSIAFTKAS >CRE00083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:762910:771772:1 gene:WBGene00051363 transcript:CRE00083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00083 MKIVREKEHPNIVGRRSMLPAPKTTLSSRTVTHVTPKSRISAPSSLIRAPRTKITASVWEIPPVTPKSVTLAQLIPPVTPKVVTSTPKLQSHTTNPPDFNFPMSPLAVSTPTATQISSTPADALVSTLTPPKTPVPPKLIHPSHLHDRVMVIDRKNSLEMKAADSKRAFVKKRSKVTASEVRKHVISGLLPIHRLQDGENGFDLDISMMGKVQFTEPVPPIDVETLLEQRKTCLLYSISSPKSSKPIFEYVSDDVEVKTIRQDGLSDHNYTTIPNIENHVRDICAFYCDDFSLVNRKHAQLGTEDIKDRWNLEKLTALRSLRPQLFHSGLRIMDREPSTISLDGTSYDTTDLSKCATEQFYVSMLKKSAIDRNTLLYSMLSKNRVKHFLNCINSEEREWKWEKRGIPRLPEQEETPKLFVKVEKAIADPYFEPLFVSMAVYDIRNRQKVTESVYFNIADHDKLDMLGKHQPNFLNNNMQSLFNITGVLSDMFLVIKIEKVLQQTDVFDNSEPYTGTKEERNLEKLKATAEDNCQRLGAYRTLLGFQVVDIQRVFNANVSATVCGDRKTDPMMASQCTTNSGVVITSKSYNPRILSNCRFFSAAGQNQEDRCSITSADRTSISSMSSTLRRFGSGTSAATVFSRVRTPLTKRKFAPVSNMTTSRDVPDCLDNMPSCNLKFSTFIRQEGDKTSEDDIYRLCSEVRKAHGKINKKMFNFELELTLAGSNKSKECQSHGSNLTLSSENMIHEAMEIPKYKSTLNKSYKNVIFVYPKHINLSNRTGNARNIMMKVGYWVCVELMDASEVPQEAVFEKGANRQSLLKSAKTTVIYHNRTPHFTDEIKLSLPCDLNDGHHLLFTVYHISCKDGDSSSAENPIGYTWLPLYRNGKLRSGDFHLPVCGEKPPSSYGYLDAHNALPNLKWIDNHKPIFFCSTKMISSVHAQDDYLEKFLTGVASLSSNDIKKPPVGESELIHCIDNLLKAGPDKLVAFIHFILSRLLFLIANPPYTDELSMKCFECIGNLVKLFSRMLDSDIDAHNRSMLLSSYIKYRKLATQESKPHSNIRPVELKSSPTDNSMITSMIEHVERTHSSTNLGATNVRLHESLLEVWLRARGTARENSLVNSWFLLEIILKASSEYLTMTGRMFSSRKIRFGDQFLKNVETLIEILAQEVITRHANDFEEAKMISNSLGYFLRDCFSIMDRTFVMKLVHKYLTAFSESMKKLAHNNELLSIKIEFIRVVCSYEHYLIVNVLSDIDPPESTVTGAAPPVSSLTGSKGKRNTLVSWTMNDSSRSTHYLSGQVMSDVKDAIASGNTTLCAKAIETVKELLQSHELDNRISEGESAAQVANIYKPLVGIVLDNIDCLYSGSIRNSTDVSSTNSFVEQTLRQDVMAAIAGKLRNSPDPSFGKLQMDLSMTKSILCCMFWVLKHIDREDLQNWIGSLDHEYMLKLLHILYYTMSTFEIKDDPVTARRSPDKTSLSKLDEEPEPGEVKWRTRSSDTCDSRADQVSTQEAVASDAIVSCEVFMCVVELIDNIITVATDVKNSQFHILPMVFPIIMHGLSCNASDQVLEVIFAAQQNFFAKFPDMILEQKPELCAELSQQILRHCSSTKLENVRTMATVSLYHFLRENYKLYKNLTRARTFLSTALSTLLSGSCGVDIFVNDEFMTKSLEIANQLAAEDDTFDESTKKKLTEQMNELTANLQKIMLSTVRMREHVNDYEMTIDLMYQLVEGYSNNPDLRITWLLNMAERHEKQRNLCEAAHSYLQACALVFEYIAQRDQNLAFESKGAATFAEITPNAIKESRTNFNSVKNADNENHIQSYHFTEAGLVKILEKSFSLLEKAQLYELLFPFSKIILNYCHATKSYSRVAHIHKRLSIAADQIKETGDFYENQSDPWLSPLPGIDKRCFGTFFRLAFYGKLFGELHNKEFVYKEPAFTKLNEISGRLETFYTNMYGEGNVVVLKDSRPVEMDKLKPDKAYIQITFVDVFLSDNERMERSTYFERRNNVNRFYFETPYTMEGRAQGDLSSQYKKRTILTVENSFPYIKTRLRVVNRSIMNFSPIEVAIEDIEKKTRELAAAAQHKNAKMLSMLVQGSIGTTVNQGPLEIANVFLANSMTDERGRPVDRLQNKLRLSFRHLQYRAMEAIELSRQLIGEDQKEYQENVEANFRSFVTHLKPMLSREKHEITISEFSKPTVV >CRE00084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:774223:777792:1 gene:WBGene00051364 transcript:CRE00084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00084 MADIATKLPDASWVRLEIEPVQVSHDGDETLNFATVQSVVPGAHGLYYRDDGERKALLFDNNTGKVYPPPNGWDSVPIFIHLAHGSRHGTHFADYSKANDAFERNISAVQKLFAAAGLGGARSNKVYTTGKTRARSTSKKNQPRSQFDAQPENVNISEESEGRDSEKEKNDRQVEKLKNRNDELKIRVKEYKSDLSAAQLKIKNLEKKLETVKSTGYFDDNGDKFVRIDSESDEKTQDHDEEINNLHNVIEELRNKLAAVESKKKEIEIKFSENQEYLKNAKDKVTYLENQLNSDAHEEVKSTTVRALEVKLGLAQNSIRQVEADKQQLQEANWYANERVGKLEQENGYLKGITEQLKARADSSHVEKLLKESEKRVHEINEEKSKLEWRLGELSQWWNDAKWKVGELESSVALQRNLLDTANSKIQSLNDETHSSTMTIPTDGFTISQGNRGTWNLANASSQPCFTGAIPSIPLVSALPFAGANPFIFGGANEGRNVTLTIAHTDHEVYLTGSFINWKCTLKCEKLSSGKKGVTVNLTRGRHEFRFMINGEWSTSSDYQQVPNGLGGQNNVIFVE >CRE00085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:782967:784794:1 gene:WBGene00051365 transcript:CRE00085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00085 MLREAYSTSLHCVQAQEGMSVSPDVIAKIEEGYAKLQAAPECHSLLKKYLTKEVVDQLKDKKTKLGATLLDVIQSGVANLDSGVGVYAPDAEAYTLFKPLFDPLIQDYHNGFAPNAKQPCTDLGEGKTAGLVDLDPEGKYINSTRIRCGRSLQGYPFNPCLTEANYLEMESKVKGIFDNITDPELAGKYFPLDGMTKEVQDQLIKDHFLFKEGDRFLQAANACRYWPKGRGIFHNNQKTFLIWCNEEDHLRIISMQEGGNVGQVLERLIKGVKTIEKQAPFSRDDRLGWLTFCPSNLGTTVRASVHIRLPKISAKPDFKSICDGLKLQIRGIHGEHSESEGGVYDISNKARLGLTEFEAVKQMYDGIAHLISLEKAA >CRE00554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:788207:793316:-1 gene:WBGene00051366 transcript:CRE00554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syd-9 description:CRE-SYD-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LD30] MWESISVSLQLSRTSISTYSIKTICNLTKHILKHHKKEQNEAIAKDDIIVKKAPKTPVKENGSSATITTTAATTNGTTSTTSTSATATATVITTPSTGLNGNHNNNNSMSAINNNLRTIKAEIEDPDYPSVMMKVTPTPVITKVVASTTTPRSRPQTKESIAMATATPVVIEEKEDEEICMLPKDMSTDFDSLRAVLISLGLDFGNSINLTTQQIEQAVAAIKKEASSSPDSVNSDNSDDYDRDSPPLPDIQPPIGGEATLALIVAATNASLQRDGGSPDSTDSQKGASPERELSPESSSSSDSCPSPPKMLQCKECGKIIRKKSHLPIHMTMSHGYPPPMVATATVVEECKPKNGTIDVELELRNIATAISELRAAQAAAPRIEDVQALSQIDCRVGKLERSLETALNSIYTLVQLQSGMTSSVNRLREDSAKHFSELKDSVNRAYSPRRMYRRSSFRSGSYSRDRSRSPM >CRE00556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:803046:807281:-1 gene:WBGene00051367 transcript:CRE00556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00556 MTNIRIGAFPLEKDAQTCFELPSCKHPGAEVEILKMVFRLIGVNYTVIDVWKEFGEVYDFGAKQADGNWSGMIGLLQKDKLDMIGLSMRISTDREEAVLFSYPTRVFQSVFVIAPPTFTCTRQFIFNAFSRTVWLFIVFFVILLYLSDLLINYFKLKGVHPDKPYHRLFLDLFSTSISLYNISARVLLLVILITTFLLSQLYQTDMYAYLSAPLTFAIPFRTTKEALDVVEKKKMYFAAYENQTFLCTQSICNRYQQAIKKNPVRRAFREKEVQNLITNGGIYQSTVDSALLPGQLSWLNEKQKYLIIRDEDAPSYYVAYSFSKKYKKMVDKFNSALIEVLPAVSLITTGHGYNTRKIPFEIRTTNPRSPLSINNHLWQLFRSFIISSSVCLIVFGLEVLFHFLRSFRFSKSYSLALFISLNFLTVL >CRE00557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:807653:811122:-1 gene:WBGene00051368 transcript:CRE00557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00557 MDLYGENYVLTVDIGTTTIRSVLYDSNCKERGSYQEKVNTIYSTGNDDEVLVEIEPEQLFQQFLRVIERVYKTLPPNAHVNVGLCTQRNSIVLWNKKTRKEETRIICWNDKRANKTCHQLNNSFILKGLNIAGGVLHFFTRKNRFLAAQRLKFLGGMVSHRLIVTINRSEKLKRMKAEGDLCFGSLETWLLMRSSKSNTLCVEASNISPSGMFDPWIGAYNHMIMKIIGFPTDMLSPIVDSNLSDMAKKPIIERSHIGSEMTISSIIADQQAAMFGCGTWEKGDVKITLGTGTFVNVHTGTTPYASMSGLYPLVGWRINGETDFIAEGNAHDTAVILHWAQSIGLFNDVTETSDIAMSVEHSNGVVFVPAFCGIQTPINDETACSGFLGIRPDATKKHMIRAVLESIAFRVYQIYSAAESEVYVNKNSPVRICGGVSNNNFICQCIANLLGRPVERMTKSDHVAARGVAMLAGYSQGLWNKEQMKQLVTIDDVFTPNLESRKGLLRSFEIWKKAVDRCLGFYQ >CRE00558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:812227:815013:-1 gene:WBGene00051369 transcript:CRE00558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-7 description:CRE-DNJ-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LD34] MTLYQRMLILWSSLCATAFAGTAEEVAKHLELGSQFLARAQFADALTQYHAAIELDPKSYQAVYRRATTYLAMGRGKAAIVDLERVLELKPDFYGARLQRANILLKQGELEAAENDYNIVLNHDNSNTEVQEKTALIEQHRQLRHQIKSAFAGGDCSTVEEYINHIIEVQVWDASLYRMRAKCLEERGELKKAIHDMRIVSKLSTDSTDTMFDTSKLLYTVGELEESLNVIRECLKLNPDHKSCYPFYKKLRKVVKSLETMKKKVADSDWMACLEEGQKTMKFDPTPSVQLNVFRITNRCQREAGHISEAISECNQILEEDPSDADILCERAEAHILDEDYDSAIADYQKATEVNPEHQDAKQGLEHAKRMKTQAGKRDYYKILGVGRKASKREITKAYRKLAQKWHPDNYSDEEEKKKAEKKFIDIAAAKEVLQDEEKRAQFDQGIDPLDPEAQRQGGHHGGFGGGFPHGFHHFGGGHGGGGGDYSFKFNWG >CRE00559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:816116:818620:-1 gene:WBGene00051370 transcript:CRE00559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00559 MAEMEKWIPPFNTVCMKLNGLFLFPGRTKMEAVAEHDFLAGSNDELSFKRGDVLKVLNKDEDPHWYKAELDGNEGFIPSNYIRMTDCNWYLGEITRKDAEVLLKKPNIRDGHFLVRQCENSPGEFSISVRFRESVHHFKVVRDQNGKYYLCSIKFNSLNELVTYHRAVSLWRTHMVLLVNMSVETRSDGSSCHNTMLSRETSKSFGYIPAAVEIVALCNNANPSSPTDPEEIEELEYRREMDQFLESELIRLRVAMNKIRRAEMIECGFPAPQLTGELDEDYLNIDFNNNNGN >CRE17614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:73502:77512:-1 gene:WBGene00051371 transcript:CRE17614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17614 MITLQTIFETLLGYFTYYASYLVAKSTHRLKLDELQEFLDRKNREINHNVGFHIRNLMERGLRVFYFEFIRRPRVFGRHYQQRVKNGTRKRHIAKTETSTKTIGTLTLYGMVDCDKLFSKKCEALEMESRNLAEDFKNGVQCLQTELMDASKKGVEAAKQRHKMFDESL >CRE00092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:874549:877976:1 gene:WBGene00051372 transcript:CRE00092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bar-1 description:CRE-BAR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LD44] MDLDPNLVNNHDDTNLSESSFTMEQDSSSYSDNQMGGTPSAGHRKVDMWQNHNFDSGFQTMNHSEAPSIISSLHPSSHLSGMSSMADYEPVPNLSDQQKQKFDGITKSPTDGQYNTVRAIPELTMLMKDQDNEVVHKAVILMQNIAKMECDPLRRQNEARIVDPRVIFTLRDLLRDKVDHPNIIRFSLGTLFNICNRQEGIDLVTRAISEQPDIIPNLIRHIGTFPNSIYKYAILTMHSILSDKQRGGQSVTIARQQDAIIHVTPWLEAEKSEKLLPVIVDLIRVLCEKNNDQKIKFVRMGGPQKLLLILQHRGYENLLWRTTQLLKTFSNFDAPNLVAFGGRQILANLLSHGSPRLVLSTLETLRNISDVPSKHKEDILLKSLLELVNSRNAIIRLYSGQIMSNLVANNRPNKEFMCGNHGVVNLVRALALATKDMADLRDKEAQQMEDYVESLICTLKNLCVGHAMSDKVQAFVFRDPALFLHKLLTMRPLLLKHTLGLLLKVVSQNVLLAPFRLCRIGEIGFVEQLIHILRVACTQLNVQEVIEGVRVKDIVHLCIQILRSITRDQDILNEVVFFLQTPENSRMGDGHTLPIFVLQKANIEENTKSSTLALIYNLMHHEGMADVLDRDDTLYKMLQNVQMQSVTHPDLANLATNILKMIYEKRERSRTAYPRYNAYLENQFGHLSMATPRSEILNSSGETYEGAGEQWSQPLSDDSMAESYCNSSGRDSSKTYNSPMYHSPAVMYPDCPTSLPETYYDHHAPNSYHPRPTPPQYSSYENSPPVYNDLPSNPGPSSHLSDQHPVRNHRF >CRE00562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:878227:884208:-1 gene:WBGene00051373 transcript:CRE00562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-10 description:CRE-PGP-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LD45] MSNEKDEKENAKVNGDAEKKIADTDEKRSNKSVIYPIEPSALYIDDLKNSKKAYDITMDDPPEKPSDSKPKLGFFEPYKRLYAFADNIDMQLMAMGLFSALLQSALPPFVWLIMGNFVSVSILREEGKMGLKNLSSEYPIDDQFASSATPAFISMLGLSIAMFIAAFCQRIAWEISSIRQVFRIKKAYIRKLLLMDISWLESRQSGHVAAMLQESADSIYNGISDHLPMVVFILSYLLVNIGVCVYIQWDVTLFMCLAIPLLIISRILYSKWFANTMEEETKLQNKITNLVNETFNCITTVISFAAQKQKINKFEKLSAEHSKLTEERLRSSVVFDSLTQILLTELIFTGALCYGIWRVADNSPGRLCALAINMLYMCVTSISIGFHINGATRAKDNAKEICEVLNEQPKIEVELGSIDNNVDYVPVTRCKYRRQSMKFMGKGALHFRDIHFSYPSRKETEVLRGISFKVEAGEKIAIVGSSGSGKSTLTALLLRFYDPTKGAILLDGENIKMMSPDDLRGQCSLVSQEPVLFDGTISDNIRYGRLDATQQEINDAARKVGAWKFINSLPDGMRTRVGERGHQLSGGQKQRVAIARAVIRKPIVLIFDEATSALDNIHEEEVNAAIDLASEGLTTITIAHRLSTIKNSDRIIVLHEGQIVEEGPPDELLADVNGIFYKDPDQQRRAWARSSLGGNKKLGKSYSVNSMEKDKLKLPVMSKKKSERLDYKYSRVHSMDVKQHGESMEDDDLPGKETNFTVLKDLIYSYRKGLPLLAGAIPTTIVRAVFYLLICFQVASVLEISIAPEEERALQIFIVAAIYTALIIVKTIFEALGRLFIALYGHGFCKFMRNEMFRKVQTFEMFYFVLRHGAAYFDEEKNSPGRLVHKVINESSTLNEIMEQKLDMLIPGVVCSVFSIVCALWINWKMALLCSFQFPAYFIIRILQIREGTKRQREMVDEEKKAANLASVVLANMSTIKAYNLQSHFYNVFCDALKPVSQCMQKQSVISAFVFACQYSFTYILIAITLHFGKVMMLSNEISVFDYMRVVLLTQFGANFFSQLIASVSDFTKAQIAAENVMRVIREPPVDMDNLSEEGLRPKLEGNLCLKDVSFRYPTRPIVPVLTNLNLKVRGGESIALVGPSGSGKSSIISLFQRMYNATDGVVIIDKYNIKSINPAYLRRCIVQVGQEPDLFSFTIKENIAFGMMESEATIDKVIEAAKIADIHNFITSLPQGYDTEVGEFGAQLSGGQKQRIAIARAIIRKPTVLLLDEATSALDSASEREVQSAFERVKQSKKTACTCIQIAHRLSTIRNVDRIYVIVHGEIAEEGDHESLMNLKGIYYEMNQMA >CRE00093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:886213:887113:1 gene:WBGene00051374 transcript:CRE00093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00093 MTNRFWMSIILMTLIIAAFLRETESSEEKIVGLEEFLTELDPSLSDIILELLEAQQLPTNKANRMMRRIMRKLPAETLEQLFEVYKDTRKP >CRE00094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:889329:894947:1 gene:WBGene00051375 transcript:CRE00094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lam-2 description:CRE-LAM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LD47] MTSIQWLFYLAVLWQVGHPQPAELYPSIDPNHFGADGNPCYDRSTHQPQRCVPDFVNAAFNLDVQVTNTCGTKRPTKFCVQSGHTGQRSVCETCDDRHEGFSHPAKFLTDFNVGNNETWWQSDTMMEGMQFPTSVNLTLTLGKSFDITYVRLKFISPRPESFTIYKKTTPDDDWTPWQYYSGSCRATYGIPDRAPILPGNEAVAQCTKEFSDISPITGGNIAFSTLEGRPSAHAFEESEILQNWVTASAIKIALNRMNTFGDEVFRDPQVLRSYYYAISDFAVGGRCKCNGHASECVGSSSVDGENRLVCRCEHFTQGADCNECMPFYNDRPWKAGTANEANECIACNCSQLSNRCYFDQQLFEETGHGGHCIDCQGNTHGVHCEQCVANHWRRPGENYCVACGCNEIGSLSTQCDKEGKCQCKPGVTGRFCDQCLDGYYDFSTNGCKNCGCEASGSLNNQPRCDSTTGSCTCKLNVEGRQCDKCKPGYFDLSTANQFGCTPCFCFGHSSICNTADGYFAMNVSSIFDMDKQKWAGQNRIGLQDTQWAELDKAVAVSDTDNSPVYFVAPEQFIGDQRSSYNQDLVFTLKVAKHVTNQDVKDIIIVGADRQELSSSITAQGNPFPTTEAQTYRFRIHADPYFGWYPRINELDFIGILSNITAIKIRGTYSYKDIGYLSNVHLGTAGVAPSASDPKQATWIEHCECLEGFVGQFCESCESGFRRETKFGGPFNRCIKCDCHNHSNSCEAESGSCICEHNTAGDTCERCARGYYGDALQGTQEDCQKCPCPNDGPCILHADGDVICTECPNGYTGRRCDECSDGYFGNPKEDIECNECACSGNTDPNSIGNCDKITGECKKCVFNTHGFNCEKCKPGYWGDALIEPKGNCQSCGCFAAGTRRPNNDYTLLECNQADGQCDCLPNVIGIQCDQCAHGFYNITSGLGCQECNCDPLGSEGNTCDVVTGQCTCKQGVTGQRCDQCAPYHFGFSANGCQPCDCEYIGSESQQCDVNSGQCLCKENVEGRRCDQCAENRYGITQGCLPCDDCYTLIQSRVNVFREKVKSLDNTLQEIIENPAPVNDTKFDEKVKETSQAATEVWEAVKQKTKEGGGTIRTKSKAIKEEIIAALEKLTSIDESVAHARVGADAAENDMRRWEIIIENARREIENVLHYLETEGEERAQIALAASQKYGEQSKRMSDLAQGTREESEKHLKQAADIERLSEQAIANATQANKEASDAIYGGEQISKQIAELKEKQNQINESISRTLDLAEEQKKSAEEANNLAAVSLTNVEAVKIPSVDPKEMKNDVAGVLEEAENLVDSSVKENSANDELFEEIDRSVADARNELQSSQDQQRVADQLMLELEKARERIVESVSTADKTLKDAEAALATLEEFSAKIEQSRNDAVAEFSGVDAINEKLAKIIASQEEKKNSLPLDKQFTIDYRKTADAALNDTKALTEKFTDLVYNGMDNRDAFYLMEDELDQFNEELVESKENLQYYQKQAEDDKQMATEAVRKATLAKNSAIEANSTILSEEEEIKKIIDALSKITS >CRE00096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:895943:897998:1 gene:WBGene00051376 transcript:CRE00096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alh-10 description:CRE-ALH-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LD49] MTTANSNYRDEFKSVIQKLIDSPDNQHEQLTNFIGNEFVESEKCMDSVNPATGKPWIKIPNGTAAEVDNAVAAAKVAFKTWKNTTVQQRSALLNKVANLVEEFNDEIAILESRDQGKPIGLAKVMDIPRCVQNFRDFANAALYSLSTSKILEQPTGKCVNYVKHDPVGVAGLISPWNLPLYLLSFKLAPALVAGNTVVCKPSEMTSVTAWVLMHVFKLVGFPAGVVNMVIGEGRSAGQRLVDHPDVHLISFTGSTLIGKKIQEDSAKMNKKVSLEMGGKNPGIVYSNYRKSDIATIARSSFLNQGEICLCTSRLFVQRPILEDFLTSYVEEAKKVCLKNFTVGDPSSQVQIGAMNSKVHFEKVKKYIDIAKSEGGTILCGGVKEISNGCEDGYFIAPTVIVGLPDSSQVMTDEIFGPVVCITPFDTAEEVIERANSTSYGLSATVWSANTDELLNTANELRAGTVWCNTWLARELSMPFGGCKQSGTGREGLHDSLHFYSDAKTVCVNLASKY >CRE00563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:898055:898661:-1 gene:WBGene00051377 transcript:CRE00563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00563 MLRVLCLTIACFLALVAGGCLPGDASFESYCFSFNRLQGRFDDAQAHCVKSVGGSLVTIYNMIENNWIQKLAVDNLDADYDLFWIGASDAIKTNDWRWRDNSKLNFTNWNRGQPLEDRHCAAMSVASGTWFTEVCTIQHQFMCQYPNGDYPTGAPYTCPPCQACRFF >CRE00564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:899093:903653:-1 gene:WBGene00051378 transcript:CRE00564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ist-1 description:CRE-IST-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LD51] MLPAIEPPKEEKRFQGEYVETYFGDPDGVKEDAPGPNYVELAAKVTPSMREERIRESKLQGQMKERRMKNPEARKDLHQEKALREAAKQMKVEDLPKDEDPDEFGIEGVYKCGNCLVGFAPVKKKKLMFVTLTERCLELHDSEKAYRAGKSAKHMVDLAMSFNVHSEHYDAKLKKCLCLMGPDETICMRPEGGILTIEGWRRAITKAIHDARRRKMDREPRPEDMFDAAYDIRICPSTKNAEKYQSKLKKDGMINICTIAKELAGKKRLCLFPHSLAIVDLCIEPTAYGLPPAGFPPYRTANMFILERSTIAYYGHRDEYFFMRIGKGSPYRGYEILFQVENNDVCKEIYTRIRHLAERDVESRKQEMARRAEGSDMHGMESLSVPSPLLHRTKLSLDTPVLTARDRRLMLGRDSLSFASLERDDSAPSSPFANYNRPRGSLGNFQIDHLSRPRAAFPSVKQAKEETGLREVLFESYAQMKKKNSTQSERGEILIPDQERKMSDNRPRRRTQDEKDISRPEKKSLEPTRPRLPPLKFNANKPSGEFLIALQREKELLEEAKRNGSDGKPTNPDGNLRQVKKDFLDVHGPPPLPPDVGIVPKQLNSDYTLMGPGDWNKMEELKDDYSDSGDSCYSSRRGTETHRSRPIQPHLACQMPNRAQSFGAKQLKFSNRLPPTVNLPDSERKISAAVQSTANQLDLPEDDPRKRAFSLGSRNFFSLIGFTDFRRLVSKRHRTTSPNHTSTSGISLNSSNPSPSASSNFLASAEYLEHTRTESFGSSARSSPSKGYSSYRTTSPKRKSDDDLVSIDFSKLGKKNSITEMKRSPFGGGGPGGSFDYDRQKREKEENDRNEREQKAMDLKRKEEMEARELAAKKREAERKIQEKKERKLEKEREKERQKEKDREYDQEKDFRPKADSGIADCTPGSSFSGKKDGKGGDSSYVDAEGLRFLADIKKRKKEQEALETTTSSSSSLSTIVSLDDNKTARKTTKTAETTTTNISKAIGAVDINRRSSTCAELNRKPSICTAIMEEKEGEASETESKGEPSDKKTSAAPVTRKTASTGSSMSPFRRLKFLSFRKN >CRE00097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:913708:914246:1 gene:WBGene00051379 transcript:CRE00097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hen-1 description:CRE-HEN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LD52] MNFPLLVFVLCSSLAITDAAFGHGSNGPLNDADEVTAVKPTCETDRLGHKYIPCATPDLNDSRHWPCIKYSDLCNSRKDCPNGDDEDVLQCFYHNYRMEEFMKLRKLLDQVRGK >CRE00565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:915303:916909:-1 gene:WBGene00051380 transcript:CRE00565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00565 MSKISETGTKLEELHPVVEQKSVKVEKLKPIFKRVNNGITSQEIISTYSKLITDYEKKEVVNFPVVYTIGAFSAKNYRCHRTGLFTSTCASFETSSGDHVAYRYLVDRVLGDGSYSEVYRCIDRKTETPVAVKILKHNMQIFDEEVQALTKISQLDPVGKSNCIRLLDHGKFRRHHYLVFEMMHCSLATYLDDVKNVSVQECGKMMRSILFALDFLHQNKIVHCDVKPDNILMSSSDPNNLKLADFGLATKDPTDVGDSILQTRHFRAPEIYFQGIITSATDMWSFGCVVAQMVLGKPLMAGRHYYDQLALIEQFFGLPPIPYYKRHLHFYGDYPKHCEKFYDSVTRKPFLRLEMIYHAANPKTGLPGSKTLKDVFPDPEQFVIVEFLSRCFKYEPAERILPTFALKHPLFFQEPNL >CRE00567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:921189:922120:-1 gene:WBGene00051381 transcript:CRE00567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00567 MMSNETCNNSADCLEGLICVKQICLDAPVQVNKIPKRHSKVFHDKPQSLCVLPLMMSSARDIDTEKKLRHLVSGVDALSVYDPADGIRFLLFENSSIQISTVRHISILF >CRE00098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:923284:925257:1 gene:WBGene00051382 transcript:CRE00098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00098 MADAVAPADPAAAPNGEVEQPRTWSSMIFSILKQAMMFYFISSMLGKFGGGQQKTNTTAPANVRSFPPSVNLFPAGQLFDLYMYLDESELQFNNFENGNLFAQKKKLKYGDWTSGPNGDGSYMFEKTFATPEVLLRNQSLYLHAFVVKSGQSPNPSDKNYIRREVVYGMYQLNRYKKKHYKKTANLLTGVSEQSEEDLAKAEIMKFEILNFWHPNISINIVDDHTHWQKGGVPPPLDKDLKFAPNGEFYHPILFFNNYWNLGADYMPINETVKELKLSVSFYPLSLFKYQMYASQNMRNQWSDILQSEKEDDDSIKVALLETNPILLGITIVVSMLHTVLELLAFKNDIQFWKSRKDLVGLSVRSVLFNIFQSLIVFLYICDNETNFVVKMSVGIGLLIECWKIPKVLNVELDRENLLFGLLPRVKFSDKGSYVESDTKIYDQMAFRYLSWVLFPLLVGYAVYSIIYVEQRGWYSWVLNMLYGYLLMFGFITMTPQVXXXXFDRIIPESHFPKSFLSQNIYNHSSTIVAKASLFLLLLITNF >CRE00099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:926776:932103:1 gene:WBGene00051383 transcript:CRE00099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00099 MKRRTIFLFLLLAQCLSLVSSLRVCTRRHYKVHSNAILQRVELVSAERCVERCIEDMQYCFIAQFTKDENDLLGVCTLFNETKDAEIHPDATLAPLSIIYELLEKCPPFTASDITHRITKLHRDALLDGRESRKKISENDNMARLADAFFPESRDHVGSDDEFKLEGERYAVSFVSIKMFFPQFYFQVMRSIEEVEIQAAKNRQELPRDPFNDGPRFHQSSHERERGDDTDRQRDREYLKTIDETEESERPIRPTVLHRGQQSSQQMSASPVASGNPCLPNAACARTLYQLDTAPCPARQGDPCAPKRPCMNDDCYHVAPPGQASPPMQEWTEWSECSASCGISLRTRQCLGGITCIGPATIPCQVPECSVWTLWSPWSLCTATCGVGEIQRSRVCQTGRNCEGPSVEVEACKALLPCPTWSSWTSWTGCGNSCGRGIERRSRICQNGLLCPGPATEERPCDKGPCPHWSPWGQWEKCSKECGAGHTYRTRECIDGVSCEGASEEKVLCNQQPCPSWSQWTSWTVCDERCGEESIRLRNSMFRKCLNADNNNACDGPAQDQMSCPYRDCPKWEEWGEWADCSTTCGQGTQKRLRKCDSGNECTGPSEEMRFCQVASCPYWGDWSPWSGCSVSCGQGVCERTRKCITDDFLQLPTLEELERDDSLEKHEAKEALIARAKTISKYRRTNETRLAPFRPLSPEPQLGGTCDGPEMETKVCDAGFINFLSTGPCCVWNRWTEWSPCIGCGRDGVSKRNRVCSMEGHSPAPPPISFQDNPRGTYVTASIGQGALAPNLLAGLSPIVPVEIHRGKRQVLFGIQATPQCHCPGDTFQTRPCLEPNPCQDPVGRCEWSEWGEWCGCMRCRPGKEVRRRFCDRSPIGHGGPMRPDSTCDCGNGDDNQERPCPMDQNCYGDSTGRFGNAASLQNSRERQEFRGRTGAFHNPPVPHRGRGGGNDNNRRLPSQDEYVPIDSVIGRVQLRGGKDSQTYSKKDNAEAFETTEFPYKVCHWSKWSDWSKCHGNSTRERKRFCIGEKDSELVSNCECVGKPHEEEPCNATGFIEQSNEETDREIENNLDKLLSEEDAAGTDTEILEEKEKEEESKSKIESSIGDVQCDWTRWSQWSVCTATCGEGRRMRRRRCPCGDTKCGAGIDSDSEPCQSTPCQSGHSERRKPIFTILPSST >CRE00100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:932890:934912:1 gene:WBGene00051384 transcript:CRE00100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00100 MVWLMVFQIFLFVVLLIALGVVYFVFLWHSASISYNPCSFNVYKDDYRRFLLRFALPHSIGQIVFALFQVIVKPFWKGLFCHASGSLQVLSMGFAIFYYHRLVHNYKYRFLECQNRGHMDFKKPFFLELTSFCVYVLIFAYSSVLFVAEIQFPYGIFAEPFIDPNVGWDIFEFTGMQRFLTLAGSCLLFVNLGRIIKNSYNIRRWEDDLEECNHFFFRKYVSKNIEPHFINDFILLYIPTQYLLINGFPGFRLNGKPSSGQKLKHHSFISGFDVLAKWLISVHPIGFIIIYMLQIKAATLRSNKDEMECRCCVCQKKDLERRTSKGYCRSLGLKVMGSCGGEDNGMEEEGEGDRVELGGEDVAAGEGGAATNAHEHPTETTPATHTDQIRVSEDL >CRE00103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:938398:939294:1 gene:WBGene00051385 transcript:CRE00103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00103 MMTINLTIILCYMIFFIGLVFVDTDYKWVQKSWEVARKPKLETKIVDTMPAFMESKSSKLSKQGFVFNFHSMTRGTIPNNNFLTLKTPTNYKITNDSNDSISTQILPTLDYQAVYIYVFEGSVTVSLQMCEGSEMYGGRAHSKWNLVALDFPKLIKAGRCQNFSMEKDRPIISFHGSSEFTVWKTTQFCFFETRPTIRDYFTPRNVCIVVFIAAVISILCWWIDRNHKIEMSNRPIEKPIVDPEDAYFY >CRE00104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:941192:943187:1 gene:WBGene00051386 transcript:CRE00104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00104 MGIRFAWADNDAKNGLEWAPPVRVVAVVTLFASTLDVLADLLVCNRIAEYLDNFQSLVAIYAAYGYFFFTGISLFVYIFEMVDVCKTLKYDEENVFYARLAKSMVLVLEEVPLPSLMNLLFTNEPRLSLASPVYFSSWIKLVALTWGLVKFTKLRFFWPCLPLNPKHDARENVRRCFTFTMYRICMIIVNICHILAIVIVIMNIIASSSGGRKIDVKEQRL >CRE00105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:943641:945614:1 gene:WBGene00051387 transcript:CRE00105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdi-6 description:CRE-TAG-320 protein [Source:UniProtKB/TrEMBL;Acc:E3LD63] MALIKLFLATLAITSVCGMYSKKDDVVELTEANFQSKVLNSDDIWIVEFYAPWCGHCKNLVPEYKKAASALKGIAKVGAVDMTQHQSVGQPYNVQGFPTLKIFGADKKKPTDFNGQRTAQAIADSLLAEVKKTVSARLGGKSSGGSSGSGSGSGKRGGGGSGNDVVELTDANFEELVLNSKDIWLVEFFAPWCGHCKSLEPQWKAAASELKGKVRLGALDATVHTVVANKFAIRGFPTIKYFAPGSDVSDAQDYDGGRQSSDIVAWASARAQENMPAPEILEGINQQVVEDACKEKQLCIFAFLPHILDCQAKCRNDYLALLKEQSEKFKKNLWGWIWVEGASQPALEESFEVGGFGYPAMTALNFRKNKYAVLKGSFGKDGIHEFLRDLSYGKGRTSSLRGDGFPKIQKTEKWDGKDGALPAEDDIDLSDVDLDKTEL >CRE00106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:948012:949188:1 gene:WBGene00051388 transcript:CRE00106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00106 MKEKERVFDLKQMHNRHFFRLHPTRVFRAEQVMNTFGSFLLLLIFLHVKSSIFITCCRKPLIYRFQIHKRNMFNFLTLILLTIISTGLCHKSRPRRHYNDEYRINTPACDVVCEGQWKSEFHANFHKIYDTEYFEIPLDTTIVKNRSNLKMFCSSTIQKYSCLRKECRIHRTPWSAEKHICIGHFDNFDRNINCLSLTDRYVQKECSNVCNSIKIEVSQAEIDRMAEMEFSRQEKSEFVEQNKHCNFIACHQLCHEYIISKICIDSAVAARSVVKSYYDSYLEREYTALNKDDQDELYSSFCRRVTPGQDENTYTANMTRFNNLTLDRMKNDIRSVFSILD >CRE00568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:949990:951897:-1 gene:WBGene00051389 transcript:CRE00568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00568 MRITTVLAISTVVLTTSAQTKPIVSNDAPPETQAVKDEASQILFGERGILTGIFRMMDQQRKVQTAPQHDTVAADSSKIGNVDFKKIVDTLVSGSASKGELGDHGTELPEVLGICNRLSCGDIYKVIDKFRKSEMFSNFQTALTLVNDPNGWETIGKLLSNPELIAQFTAGSGMEELFGSALGTAEKESKLNKNKNSKIMPEDGDFGIDFSEDGEGENITKTVDPKIDFSVDKKGGSEDYYSEIATVGDDSDEEIIVDQTLPPSTKITKSAAGSTDFNEKLPPVSFSIDGAGEENENEETESVMVAELPPKPPRLLKRIHAGGPTDTKDGVQPASVTRISFATTSSPTTYSTSTTSFFRPVQTTRPVMTTTWRTRITTTTKPTTTTTLRNYRKDNDYYAMYYDDADKG >CRE00569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:953303:957223:-1 gene:WBGene00051390 transcript:CRE00569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-17 description:CRE-UBC-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LD66] MSSQSSSTQRSSSTSAAQKTRERRKSKSRRRCWFGCLWLSSRVSLIRSSSRFSRSPEPDESLTAIDKVMAIINEKPLKLAHVLRAARFVNDISFVERKQFVECGVIHHLLELIGETAISDFSSSSRSSDENKRRARRNSSASLSHKGTGYGTGSTRSRWDIERTVEEKLIREEHLTWLLSILNSFMLGWPVTDNLKIKSEELVHMTDNAVQLISDSSVLSILEYNLRNDSFFDVSEHIEIYQVLIDSSAIITIFYFEALLETAASMAATPGLVQYLVRPYTPNAKSIAKELIPRFKENILAIQARWGGTLEETNFRMAEFATKITLLSDFVIDAARAYEQTLPPEQRIQTATHRRPSHAGVHSKMQDPKDEETIYKNKMQELQLQTAKFIGDFGKLCVPYVFKKEAKNINPFSPHLRDRTKRIAKELASIANALPLNASNSIYVCYDEGRVDIIKVLISGPDDTPYANGLFEFDIFFPTGYPFSPPKCAFLTTGSGNVRFNPNLYNDGKICLSILGTWEGRPEEKWNPYCSLMQVLVSIQGLIFVKDPYFNEPGFERYQGTERGDEYSRKYNLQIEHATLNYAIREQLRKPSDHFKEVIEKHLWLKREAILKQARAWIDNMRNDFGDDKLSKRKDVFAFEAGFNPATQERLIQNLIVELQEMTSPFAKEEAEEAEKLKKEQLEKEEKRLKEAAALAELERETRELELDFQRRRSSSALTHGSVIRTQPLGDFVASTTDGPSTSSG >CRE00107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:962935:969350:1 gene:WBGene00051391 transcript:CRE00107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00107 MTLPVEEPPEEVLTDPTADGQENGTEKVVVGNKEDKRVPEPMEVEENVSLSVMKKTREMFLKLAKEDTGKMLKTYAKANASTHESIMKPFIDLIVSTFQTLDAKEEDCEELAKENKELEKENEEVINKNEILQGLLDEMQDRDLGGTSNSKQAQEYQMVSMLASEGINTLEDLEEVFGNTEKLQKLIKMQNDVYQASQQKVQQMEAEIKKLKKALKDSESRVKSYKGTQAEKEEQTRFIELNQKSNSFSRIGECTSCMSLKEMNSQIKENASQPTKSTGTTTLTSGMPQKVQKRTVQEQTHTDKELEEVIEAARGQMDSESDSERADETIGNGRYYTNWDTGKQKEMSPVEMMNKMLVQQNLPEPPKFSAEENSIKLESFRKSFALKFESFSDENQIILLETKYLSGRALRIFRGLPEHEKKSINGVMQAMAIRLRISPEDETRRAKSRWESLRKKPEQNIEDFCLSIDELARVAFKRVNASELSSFKTAKLLDAIAENETLSCLIDNRLLGMPERDHYDTCRMLATRYEQGIRDRNLRNKSQNSEKKKSPSPQSSNTSSANNTSVQSNPNGQRTNTYSQNRNNFTNKTDNAGSPINQNWRQRTGENSDNNGKTKGFIECSECKLVGCHDPKCSKAPGNAKTYSRPVVTCYRCQEQGHIATYCTKQSQNKVNDNPVKENTVNSVEDKQVLTIPSDAPKTEGNTMEKPLIKVEKGRIGNTEVDLMLDSGACISIIPQKIWKKIVGENGEEWVKKVKEEKPELAQVFTANNQPLKLLCTVEVETSMQTRTRLIKYYIAAIDRENIILGMDQFNKLGVEVRIEEQPRAVKICKDIRLTPSSSRVVQVSVEGVVQEGKDHCLITPIQECIAPSVCQVEANGRALVTISNFGTKPVLLRKGQTIALGEVDGFEVMQGKAENLEFLNEHLEETGFLNESAEIVCSVVQNEPDDKRWKTLCEHLKRQESSSESETEVWNVIQSFQNIFAIEDSELGRTNATTCEIELLDGAEPIRQKPRPIPLAIRPEIKKILQKMVMQGVIRISKSPWSSPVVIVKKKDGSVRMCVDYRKVNKVVKNNAHPLPHIEATLQSLSGKRIFTTLDLLAGYWQIPLDEKSKEITAFAIGSELYEYNVLPFGLVTSPAVFQATMEAVVGDLLGKTAYVYVDDLLIAKAHAGTVAGHFGIEKIMRQLSKKFYWPKMRVIIEKVVKGCPKCLCVNDHPKLVAPLKPYETSAPLEIVACDLIDVGLSTQGNRYILAIIDLFTKYASAIPIPDKKGETVVKAFVDRWAMGEGRIPNKLLTDMGKEFDNEHFKQLTKLLKIEHVMTKGYNSRANGAVERFNKTLMHIMSKKAAVPIEWDDQIAFAVYAYNSVAHSTTGESPMYLMSGRDSKGPLDLAGEDAVGMSYANLDEYKHLMASELAKAHALVREHAMQEQEQYKYLFDKKHNTEKRKYPGPGSRVLVEIPSEKLGARCPKLVNKWKGPYRVIACSETSATVVPVLGKGKEVLKIPFDHLRVIPAEMENVPIETVKSRAKMRVDSVHYDNMQNTQVNLITFSDIYGCRCPTSCVFYPKDAMSARTTSPTQLQRMIALTKEAPDLLLRDNARELLLAIQTPIPGLNSTPDAETFLALSNCPTVALVVKDLRGWEHEYLKFRHSLLEQFLGSGFERKETIQVILAPGVTREQIPLQNMHITALTTESILEDDVHEQCAKHSTSIVVVVVPVSKKDTDLDTWRSIVNAIPTKSVVYVIPTHMSDFDHSVMAVFINLFDRIKRDHGEIVHVSPEEIVEDKQHRMLYLASEIMKAPEYWLAVKEMLEKKNRPWPQFQLASITKSNENTPQKTVSTELQPSSSTAPTATRTEGWDRGWPPMRGHGNGRGSHRGRRQHNNGHHPYRRDGTSSRN >CRE00108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:977758:981612:1 gene:WBGene00051392 transcript:CRE00108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00108 MRWLISPFILWWHSHLASSTRHISPFELTVNSGAIRGERLVGLNSAIQLVIRYFQLIDGQDYTVFKGIPFAMPPVGYLRFQMPKEPAKWRGVMNATQYSAMCMQNIDEGDAGEPERYVAHVSEDCLYLNVFSPTPYQYTNDTYPVIVFIHGGRFQTGSGSDIPQRAILSNFVSRKIVFVTFNYRLGPLGFASTGDSVLPGNIGLWDQIWALKWVKANAEVFGGDPSNILLMGHGTGAASASLLALSPRAEGLFQKVLLMSGSALQPGVVRNTQVNATWNMNHRFGCRAFNSSELLDCARKRSKEEIFQYKRLHYDDYEEFVPIIDGVGGILPEPPEQLTLHRRKTPIVIGTTKDESSLRILLLNEKELNFTSISWEHGEKLAENLTMGYKQFQNHRLISQGCKSEYVWTQVDPSFPESVLFNSLLKMYSHFWYDAPASQLATYYLKHDLPVYLYSFDHISENFYDIDRAFHGVDKLHIFNVTPRFLNKRKDMNWQLDQRVVEIFSELVANFALYGTPTHENDGFSFNWTSTTAKELSYLSITDSPSMQVGYRWQGHVFWNWYARSLDAVDVGNIQRIAQLDRDVGNWQFATAMLSFCSLFFFAILVGLACYCTRKESDEDEL >CRE00570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:982989:983334:-1 gene:WBGene00051393 transcript:CRE00570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-26 description:CRE-FLP-26 protein [Source:UniProtKB/TrEMBL;Acc:E3LD69] MKAMFVLAILLGSIVAISAFRLPFQFFGSNEDFNSGLAKRNYYESKPYKREFNADDLTLRFGKRGGAGDTLAFSPDMLSLRFGKK >CRE00571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:986048:987719:-1 gene:WBGene00051394 transcript:CRE00571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cah-5 description:CRE-CAH-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LD70] MSSHLLVLSLLLALLAVVHCGPGADHGWGYDEDNGPDTWQGKCQNHLKQSPIDIRAPDVDYALLHRMHFLNYDMDGKIELSNTGRTLFAGGFESWQQKQPMIQGGGLKHRYKLVQFHLHWGQNDAVGSEHALGSLHYPAELHLVHVREGLTLKEALTRPDGLAVVGVFLAKTNDPVANNFSPISERLHDVRHSGNKTEIKNFRTKYVLPFDTEAFYRYEGSLTTPDCSEAVVWTVLAEPVAISSHQLHLLRQLHNKELVKSDKNYRPLQPLNGRRIQYRPSKLDRAMICSSAATTLTIFSTVFAFFMTMIY >CRE00109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1000608:1004130:1 gene:WBGene00051395 transcript:CRE00109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tyra-2 description:CRE-TYRA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LD71] MYPNNFFEKLTTGDQILKGSALFLLVLWTIFANSLVFIVLYKNPRLQTVPNLLVGNLAFSDLALGLIVLPLSSVYAIAGEWVFPDALCEVFVSADILCSTASIWNLSIVGLDRYWAITSPVAYMSKRNKRTAGIMILSVWISSALISLAPLLGWKQTAQTPNLIYEKNNTVRQCTFLDLPSYTVYSATGSFFIPTLLMFFVYFKIYQAFAKHRARQIYRQKVIRKHIESTILHEISHVLPTSDEFAKEEEEEEDSESSGQVENGLGNGNDAIIEEDECEDEDSDEKRDDHTSMTTVTATVTGPTEAPYMKREAKVSKSVPIREESAIQKREAKPMRSVMAISYEKVKRHKNRKERIYRKSLQRKPKAISAAKERRGVKVLGIILGCFTVCWAPFFTMYVLVQFCKECSPNAHIEMFITWLGYSNSAMNPIIYTVFNRDYQIALKRLFTSEKKPGSTSRV >CRE00110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1004904:1005993:1 gene:WBGene00051396 transcript:CRE00110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-16 description:CRE-TSP-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LD72] MIGSGFIIGFGIQTLVEVSFTASIIGNSEIIYCPYLLIVVGILSFVMTPMGFYSIILNDKKLMVTHMFATFFLGGMCAMTAVLGYHLNAHVSIEIIKENRKFCVQVTSKDMETWMKQSIREDYGNPMAPHIQEEWNKAHQQFKCCGYRNMNDFVKSKWYMMQKKLPKRRIPDSCCASCATMHERFCVAFFKDPVQETEKLMVNQTICLQASEGCLSADTSIANREVCLQHSTDYRLSADAYRHTNGCLHPIRSTLEFFSFRIFIYSSMFCVILLLSTLIWLVVHEMSRPILPFRLIK >CRE00572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1006160:1008209:-1 gene:WBGene00051397 transcript:CRE00572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-57 description:CRE-UGT-57 protein [Source:UniProtKB/TrEMBL;Acc:E3LD73] MRILLLVLCLVQIVTSLNILVFLLGTNQFERNIFEFLAQQLALRHHNVISIKPVLIPEEPRLVKPKLHLVREKVIKNVLNKDLFKPLEDAVPNTAWRADYDYDSYLEPYHRAHNASCYKLLNSNLVDSLKKESLDVAIVYSGNPCLNALTHLVAVPTIYFDTEGLTDETLTAAGAPVDVQTSPSHCAIAESKDYPLLNIYRNSVCYLQEMIAQLGLPILSSLVSKRHRLLDEPTTNIFRTDYTIKKRFKNFPNVNTLKQQSVVFFANTDPLLEPSRALPPNVIPVGGLHIDHPKPLFAVSRVHDSLRKKHLQPWNTTIAAAKEGLIIVSFGTQADSSKMSAKQAKSILKALTNLNDYRIYWRVGPNMKLDGIDETKIPKHINLTTFIPQNDLLAHKACKLLVTNGGMSSVMEAVAHGVPIVGVPLYGSNRYNLQKVSNKGLGVVIEKDDLNEISLYGAMKKVLESAKYKNTAKEMSREFRARTTSPFAAALHAIDHVGRHHSYAYMQSAYQPIYHRVDFYLLLLIVFLPVLLLQKFFGFFFKTTAKNVQSSKVAEAAVKVVKEVAESKKNK >CRE00573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1014354:1026098:-1 gene:WBGene00051398 transcript:CRE00573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00573 MKKCKDFWVSVFITSQLPSNNSAEPIVVIDGSENLNSSTGMIGNSEKYHNATEPSYLYIVQLELKSSRVEEPFAEIEKRLDKLVDMAFVLAAYKHRRQAPPAEIKSDNLGYSTKILRKRTSDGHTYIHFVTYAGSELVLGEVVADDMTLLSVSQISAILRYPLGKIISDRDIESQSSTKWWFIIIVLCAGLFLIGIGWFCLFLFFNTCGFMYGTDYGDHMTRAQRARMRKHLIIDTAPSQCEPAAEQPNDALPSDGSIPSEAAKKSRLNKKLQRSCRTLSEIHMERRIKKEQENIRKAIQDAFHQAVSENKKAALEAGPLPSSFGPRAVDTENTDVETAQREVATKSEKKRRHTKIGPITAVTTTTTTTNEQEGKTSEISGVSSVTTTSTQEMDEFDVSNLPETSLKITKKKKGPTDEGEIGSEPVSDYGSSLDDEKSKDEEEQSKEVHEEHRVRPMTAKKQRTSLFGGSGISPLPVQPRAWTVYQAGDRVAEFWNDKNYHSHPTPAMPTEDGMIQLRTSNSFNF >CRE00111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1041853:1046110:1 gene:WBGene00051401 transcript:CRE00111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-1 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3LD75] MLLYYLAAIFKGLHPRVDDDFVDKLNYHYTSAIIFAFAIIVSAKQYVGYPIQCWVPAQFTDAWEQYTENYCWVENTYYLPLTSAFPLEYGDRRARQISYYQWVPFVLALEALCFYIPCIMWRGLLHWHSGINVQSLTQMACDARMMDADARAATVQTIAGHMEDALEIQREVTDVSGMCVQKRWANYVTLLYVFIKMLYLGNVVLQVFMLNSFLGTDNLFYGFHILRDLLNGREWEVSGNFPRVTMCDFEVRVLGNVHHHTVQCVLMINMFNEKIFLFLWFWYFMVAFVSAVSMFHWVIISFLPGQHMKFIRKYLRATDLATDRQSVKKFVHKFLGYDGVFCMRMISAHAGDILATELIVALWHNFNDRVRKSPIEMFEGGVTQSPSKIDANFKTWLLGQSRGKPPFDGSNPTRGKKRRKSDGYFTFV >CRE00574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1047305:1049731:-1 gene:WBGene00051402 transcript:CRE00574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00574 MWKLVIVFLYFTTLATGQYPDEGEKDGLLHNPPGAVPPMPPTDPPGYDPELLATPTPSSQPSPGLRAPPLPTWTQKTEESSSDTLSSGDGNQETHVGEESGDGSGNASGTSEGSAGVLEEGSADSEDFFEPSGEGAQEDSFPDIMHSLDEEAALLGVDCPSDVIFIIDATSSVRTFFEQYVHFVEKVIEGLDIQPSVDRVGAIVYSSAHKQRVKISLGEHKDKGSLIAAVESLPFFSGITATGEALKFAANHTEGRRQNLTLTFVVLTDGYSYDLIESGARLLREVPNSKVYAVTIGESYLRKELDLITGNPANVLIGSMSYGALVKRIKSCEARIRAMERKDELVRPGEFLSDRFQNRKPVNENVEEHKPKEEIVQKTEQLPVKDCRYDIGIIFDSSGSLEKNFQKQLKFATTLVEQMPISPNATRVAIIQFAGKTKLRVLADFAQKKSAAELKTIIGRSHFFSGTTFTNGALKTMADLFQKSKRADAKLKVVLFTDGYSAEDTSEGAEALKSQGVVVYTVGISTEKSTGLNMKELHGMATSPNHFFNASDFVELSKNFPSSQNC >CRE00575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1051177:1053580:-1 gene:WBGene00051403 transcript:CRE00575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00575 MNSTSGSSTIRDLVTPKNCNWDFETFNQLEKNTSKQPTCNCVAEAIRRVTALRKLATQQDGDGFTMVQNNEVKWAKLFMEFIIGNAYCQSTKCQAQSDDMIWYVHQAKLTNSFYIQGNQNLLQVFRRHSKNQPTPCDDNYNWEETVCLNIIMQQIDFYVTCAVCTKTGPQNLQIIRKNCQRVFPSPSRRRMDSKGENEEITYPKLYFAIDGFEEIFNDVIVRDGECVCVELVARDRQKTRESVVFLGSIRYEILKQVYDTKASSTWQWAQKLMKAGDRRQEFVRMRGPQGKGYAEMAVARVPNCGYETPLTENPIDFSELAGVSEKKRESVEQSLGKRRMSETNLAGGTRMFPSRSIATPSHSSRGRRWQSEADTVNQYPEVEGSNIDDELDEGPTNKLWSMRGFAQVWHGLREKKRAECTPLNAFLTYVTLPWNSILNDLLSERQKRPILTFEFDAPAHNHD >CRE00112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1056281:1057903:1 gene:WBGene00051404 transcript:CRE00112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00112 MAFRKVINPFLILFLFVNSSIAVIEVDVSKISINFGSQINTFISNCFGVDMDFYLLISTSNFSQENPYYMRQQDASQYSVDLPGTSSFLIQSTTDFISLTGVLSMRCSNGGNVSDSFLISSQSSKQNDKNNQDVNIQVTSNSYHWTLTATINRKCNGANQYGFNCNEQCTNTNNDDNYYCYTCGANGQKTCCPSADVNPDDCSYYNHPVSSTWSPNTSCSASAENTYFWLMISFAIIIAILAILLLLVLLELCCGLFMGGRAAKGSEDGDWIVPKEPKANRELYDADINRHHQYRRRQEETRESSEPDERDRRSPYIVSRQGMENQSYDDEVLRNEWQEPQPRRIARV >CRE00113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1058791:1061968:1 gene:WBGene00051405 transcript:CRE00113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00113 MRVTALFVVLGFLGRGDAQYQQQQFQGGQQGGYQQGGFQGGGGGSQSRYQQSHVIVGPGPVIGGGGGANFGGQFKEQRTINIQENIQGVQQGGGYGYGGNSGYQSQYGSRYGAQGGYGNQNGYGGRMGSPGRTVFSNNPLALDTGTGLMGAEVDLQLISYSNTGLKLPNGTTCNCPISNCNYVPSNHQNQCQFSFVLVISCADQSIQYTQSNFYPVPTNGIMTTGNWTNQHTFYMTTKPVSIDVFVQHLGVVMDSTSGQLLFFNHLSLVDSFVVDLSKFSTNSNNQAGTQTLTLAGTNLGTQLQLNINVQCINNFMGPYCDLTCNNTNAQPNQLVACYSNRTDTFSLCKWNAQKTQVVECKVCTYGVYNGACSAAVNVNNVGVAYAFRTWTIVLGCLLGIALLLILCLILAYLICFRILNRAYEKEEIQDNYRYEENTKTETSDKKTSNNRRTSGSDHGSNEPLITNEEWTSTSAIRKPVGILSRRNEDQSTQEQVHNHPIQNGNRQVVTHQQNGGTVNRTGSDYGERRQDSPSTEDSYLANGQVQQTTTTTTTTTRREHIV >CRE00576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1065683:1068354:-1 gene:WBGene00051406 transcript:CRE00576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhx-4 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:E3LD81] MEAEGEWVQNANPEEIEEAKHLLDPVHMDFQHTTKPLAFVFLIILVTLAKPTLHWPGLRMLPESVVLMMYGVLMATGVKAGLKIDPGQLDTFMFFMILLPAIVNDAGLSMQKKEFTIALPKILLFAVFGTVIHALLLASTMYAIEFLFDFKPGFLHLFVFSVLISAVDPVSVLSTFAELDVKKSLFILIFGESLCNDAVTIVLYRTSINVIKTSDATDEMFTIASVAGSCLVQFIIVCGAGLLCGAIVGFLGVFIMKNMVGHQMSQPIFQLFCPYLAYLLAETGHYSGILASILCAGIMSYYMAANMCVEMEFAAFTFSKTGSSLSESCIFFYLGVSLVSYNHEFDYYFIAITVLCCTLYRFIVTCALSAFYNLFASKEDRIPFADQLVVTHAGIRGAVCFGLVQIIDERIIPAKPYFVTTTLAMIFITSILQGCTIKFVMKCLKIPGDDGIKQKDPEVLTWFTNFNDKYIKRWLASENTYLPTDETFRNLGLPKAVSTALRIGERSHTDLTRHGEVSHTQIPSISRPTVAPFAPFVDFSSLDDGDDRQKSTGRRTPVSRNQTRYSRHFIYAIPTEADNYLTCPVSASKRPPFDPNQLYNNQNTVSNPGDAERARCYNRRHNSMSGADSVELKQLREPIAKKLSRTITSGNKQFVINPDEAISEESPAAIPKKRATFEDDEISKIDDSE >CRE00578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1081001:1081251:-1 gene:WBGene00051407 transcript:CRE00578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00578 MPSKNCAKNLHACQWERDIALVFLLLIVLFNIGQVVYAYRAKFLRIVRREVNNRIPEDDEDPILGIRD >CRE00579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1081641:1083723:-1 gene:WBGene00051408 transcript:CRE00579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pes-23 description:CRE-PES-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LD84] MLNYHELRMLIIFQVAMVFMVQNVFQIYSTFGQQLKDTDDYVKEALNQPRTTFYRFNKNSSFYSTHAQWLTDGDETDVFWWENVIAIQQVGIFVGSIMFGYISDHVGRKTVCQYSLLVSSIILIIEGFQSSTVVISICRFIIGTQTGAIIVVSWSLTTELISPRTRFLARAFANWVLKFIKINYLYKYYFQPTGKFILALICFFSRNWRISLHVCAGFTFLGALLYVFFVPESPTYLQCHGQREKAQNIVADVFEKSDGKCVITLPNGIRSKPLTLKQIWRKEKYRNVIILFGAIWIMTNFTATMLDFSEVIIFKNNLIYSQMLLAGVPALCKILLGLIEIYLGIISRRNLHLVSLFINAVSMCASGLLIVFNLQKSYPTLYVIVFLIGYSSIEFIWDACYLCVVEQVPTEVRGTISGACSFLSRLSGIVASKMTIVKRHWEPAPLFIAFGTAIIHFLIAFFFLNESKDANLGEIGFTLRRISQKVSQKINQIRNMTKKEKRPSEVIIPPIVVIDRSDPNNPVLDPVQQEIGKN >CRE00115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1084607:1085196:1 gene:WBGene00051409 transcript:CRE00115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00115 MTVTGNARMYFLQIVIFSLVAAYCHPALYDDYRHAQFVRDWAAHCVDKYLPKYDAQEIGSEIRKCDTERCVYFMYKYIADNRPEFFLKFDICFETNTARKQTRGAPSRNPEQHYHS >CRE00580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1086337:1092902:-1 gene:WBGene00051410 transcript:CRE00580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-6 description:CRE-UNC-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LD86] MIATVLRYILALYLCTNFADGAYFSQFSMRAPDHDPCHDHTGRPVRCVPEFINAAFGKPVIASDTCGNNGPSKYCIVKEGPDGIIREQCDTCDARNPLLSHPASLLTDLNSIGNMTCWVSTPSLSPQNVSLTLSLGKKFELTYVSMHFCSRLPDSMALYKSADFGKTWTPFQFYSSECRRIFGREPDISITKSNEQEAVCTASHIMGPGGNRVAFPFLENRPSAQNFENSPVLQDWVTATDIKVVFSRLSPDQAELYGLSNDVNSYGNDTDDEVKQRYFYSMGELAVGGRCKCNGHASRCIFDKMGRYTCDCKHNTAGTECEMCKPFHYDRPWGRATANSANSCVACNCNQHAKRCRFDAELFRLSGNRSGGVCLNCRHNTAGRNCHLCKPGYVRDTSLPMTHRKACKSCGCHPVGSLGKSCNQSSGQCVCKPGVTGTTCNRCAKGYQQSRSTVTPCIKIPTKADFIGSSNSEEQDQCSKCRIVPKRLNQKKFCKRDHAVQMVVVSREMVDGWAKYKIVVESVFKRGTENLQRGETSLWISPQGVICKCPKLRVGRRYLLLGKNDSDHERDGLMVNPQTVLVEWEDDIMDKVLRFSKKDKLGQCPEITSHRY >CRE00118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1100610:1101981:1 gene:WBGene00051411 transcript:CRE00118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00118 MTNKTEFAEYVIMIIVNLANVGLVLIKGVAAYLSSSFSIGTSAVESFGDVFVSFLLLIQLILDKRVKKSEYPRGRSSEATTNLTASVVMMALAFVNFIQSFDALITGNLDPEFGVPHVVVIVVNIVVKLLLFFICLIKRENNQIRVLMRDQLTDVLTNTIALIAVWISMVFWKESDFIGASIIFFLIVRNWAPIVSESWFKLQGIKGDDDVNEKVSKILSNNLNLFTVIAGYITYHIGNKAIVEIYCEIESLQRRAEIYSKFEDDEIQVVYLLPITESKNVNLLTLKPTEEDNSKPVETETAELLKRV >CRE00117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1097966:1098437:1 gene:WBGene00051412 transcript:CRE00117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00117 MRPKLAMAILGFTIPFLINSILVGTTAIMLIRNENPLFIVAFITMSLCVTLVLIKSMFHLLRELAGPLQTGPARRLTARDVNRNLEEMLPMSTRPPLSRASTLPSRPMSPIPPESPPSSLFPRTHRSLHRCDIYADI >CRE00116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1096209:1097587:1 gene:WBGene00051413 transcript:CRE00116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00116 MTNKKEFAEYVIMIIVNLANVGLVLIKGVAAYLSSSFSIGTSAIESFGDVFVSFLLLIQLILDKRVKKSEYPRGRSSEATTNLTASVVMMALAFVNFIQSFDALITGNLDPEFGVPHVVVIVVNIVVKLFLFFICLIKRENNQIRVLMRDQLTDVLTNTIALIAVCISIVFWKESDFIGASIIFFLIVRNWAPIVSESWFKLQGIKGDDDVNEKVSKILSNNLNLFTVMAGYITYHIGNKAIVENVNLLTLKPSEEDNSKTGETETAELLQKV >CRE00119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1103195:1103648:1 gene:WBGene00051414 transcript:CRE00119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00119 MAILGFTIPFLINSVLVGTTAIMLIRNENPLFIVAFITMSLCVTLVLIKSMFHLLRELAGPLQTGPARRLTARDVNRNLEEMLPMSTRPPLRRASTLPSRPMSPIPPESPPASPFPRTHRSLHRCDIYADI >CRE00121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1109984:1112116:1 gene:WBGene00051415 transcript:CRE00121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-173 description:CRE-COL-173 protein [Source:UniProtKB/TrEMBL;Acc:E3LD93] MELGPKEEAALRAEAERLRRMAVIGVALSIGAAIVCVMTVPFVYNYVQRVETVLQNEADFCRAKRDSVIKELTRTQKRAGLPLRLKREDTYSGYSASYGTYESVPLMQYKSPEVTEHKEERVVEKKEDNYQQCEYSFLEFQITILFSGCGCGFGAPGEAGAPGQDGHDGADGRPGSDGNPGADMHLDDTYNMADQFCFECLPAPAGPPGPPGLKGMPGIPGNKGEDAGPGRPGMSGPSGPPGPRGPPGETGDEGEGGPDGIPMEQKSPPGPRGPPGPAGPEGPQGPEGRPGRQGPQGPTGQEGEEGIIGEPGKAGEPGKPGAAGARGPAGPCNHCPNPRTAPGY >CRE00122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1112531:1114431:1 gene:WBGene00051416 transcript:CRE00122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00122 MMLNHFILIFFLFKSTDAHDVIRDALNSIDQFSDPCDNFYAHVCTYTEPGFLTNAMYAPIFEKLIAQQRDSSWENLESMVCSSKCETQDLDLHNFLLKVEQIMYRTLSPKCHFTDCMTLLESDNNCTRASETLQSRLQPYHGNVVADMKMLNDEMNKVLENIRVVSMIIDGDYREGIEFVNENVKNMISIVTKMIEKTSWAQNQGVTKTIKQLVEEIVVDDNYAVQLRSNIDVLMDFEQKYLKCTGSFKGDFNLLCLQLTWEVMKTTGEPAFFKWLNAGNFHPTTIFGLPIYAIAKDAKETAGKLGSAIAVAGHELSHSIIESPYFRQLLPFSSEEAIQCVQSQFNNTCNEFGEVSKKKHKSFKLIRFQGMCGVSDVPGEIDENGSDLLGLQLAYELFLNEYQGREEDIYLQLNHRNITYQQLFFYAFPILHCRNVPRAVGDPHSTAIVRANILVQIPAFQDAFQCSDDSRMMKTMQKQCNIYGENAPQQKK >CRE00123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1115648:1117569:1 gene:WBGene00051417 transcript:CRE00123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00123 MKPSSLLILVFLSQATAFDVIREAFKLIDENVNPCDNFYRHACPLRSIKGRYIEDAYGSKLFKLKAKTAEAVWNNLAIQETFERAHYKEFPSLHIFIAKLFQKQCETEHVTTEEKGKFLELIQETWFNHKNSECVYSECLGALASDRNCTRASELLESKLYYRPWDEFTTTLRVFFIQTQNNLEGINAILDDDLREGVSNVKNIVETMKKKLLTWIQQTPWVINNEAIESIMAEAEQVHHYDNFAKTFRYNLNLLLKLEQSYLKCLRDLDDTEKFRVFCMLAATNNIDFKKISMDFFTFYNAVNGHPNLYFSHLFYDMAKNVESPAALLGSVGFIAGHELSHSLIEDANQPELIPYFSNDSMQCIQNQYQTTCDSFKETSCGANDNQIDENGSDILGIQLAYSLFEDIYSERKKDEYIQLRYNNTITNEQMFFYSLAFISCKGEPGTQSEMDPHSPWNIRINAVVQHPGFRDAFNCDVNSPMVQSFNDQCVIFGEKAPLTRK >CRE00124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1118572:1120497:1 gene:WBGene00051418 transcript:CRE00124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00124 MKPTSVLILVFLSQATAFDVIREAFKLIDENVNPCDNFYRHACPLHSTESLYIENAYDEKLFKVKAKNADAVWNNLAIKEAFERAHFTEFPSLNVFIANMFRKQCETEKVTTEEKGKFLELIQDTMFGQKNSECEYTECLGALAVDRNCTRASELLESKLLYRSFDNFTIPLERIFIRTQNNLEGINAILDDDLRDGVSNVKNIVETMKKRLLTWIQQTPWVINIEAIESIMAEAEQVHHYDNFARTLRYNLNILLKLEQSYLKCMRDLDDTEDFRVFCVLAATSHLDYRKLRTAFFTYYNAMNGHPNLYFSHLFYDMAKNVESPAALLGSVGFIAGHELSHSLIEDANQPELIPYFSNDSMQCIQNQYQTTCDSFKEISCGANDNQIDENGSDILGIQLAYSLFEDIYSERKKDEYIRLRYNNTITNEQLFFYSHAFVFCHGDPGEQDEENPHSPMNIRVNAVVQHPGFRDAFNCDANSPMVQSFNDQCIIFGENAPQTRKK >CRE00126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1125728:1127069:1 gene:WBGene00051419 transcript:CRE00126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-odr-10 description:CRE-ODR-10 protein; ODR10 [Source:UniProtKB/TrEMBL;Acc:C3U4Y0] MSGELWITLVDTADIVGFTMTFCVNIVLLGLLRTRGKNLGTYKYLMAFFSVFSIFYAIIESILRPIMHIENATFFLISRKRFNYSTRLGKINSAFYCACFATSFVVSGVHFVYRFFATCKPDLLRLFNMPYLLLWPLGCSIPVTMWASVSYFLYPDTEYTEAAVSNVLKTHYNWIKMENVSYIAYVYYQYDENGVKYVYIKNLLGCFVHYFVMSMTFVVMFYCGYATWKTMNEHKEISNKTRQLQSQLFKALVLQTLIPSIFMYAPTGVMFIAPFFNIDLNANANFIVFCSFLYPGLDPLILILIIRDFRQTIFKVVCRGKKNSVDESRSTTRANLSHGATS >CRE00127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1129088:1130805:1 gene:WBGene00051420 transcript:CRE00127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00127 MLSIRRSILQMLSLGNTWWCMKTITKFEAIEIQKASEPILIHTRHPLAKLIGRETHEMNGHMPEIYTASAVKTRECVECQKVNNFPFAYPYTKKLPRCRTTPSKPFAKVGLDYLGPIVYIKDDNRTTGKAYILVYTCLTTRGFVLSVVPDGTSQRYTLTLKTIFHEVGVPKTIFSDNASTFKLSGSMINRDIKEATYSHSLVEFLASEIIDFKFISPLTPWRGGIHEKVVKLVKNQLTKKCGTRTYDYFSLQYIVSNAQSMVNNRPLIPHSRSPKDTIALRPIDFIAPGVMLEIPTGAENATAPPQSTEATVRAHLNKMEQAVDRLWEIWSTGYLLHLRENVHKQKRSSLLRPAVGQVVIIVTKLIKRHKWPLGLIVHVEKSKRDEQIRSAIVKCRGKLYSRAVCQLIPLELNPLNRPNIVAENDAGSAQHDSPHELPGPAVLKNPGMRYAPELFPSRDWPNIAETENPIQNEDLNNSNLTIPLNLNTDQLENFENLDDTDFESNQSRLVDGGIYTALRWSFRLTPLIQILRSCRRAA >CRE00583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1139788:1145580:-1 gene:WBGene00051421 transcript:CRE00583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rig-3 description:CRE-RIG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LDA1] MGRPLAKMLFFFATCLLLASAVSASDSPSSNEENPIVISSENMDYDTNTITVREGKKLMVSCVFESSEQIHKSDLLWKQPNGNNIDGETNPSLFAVVLNERGSKHRKTSLHFSSVHTRDTGHYTCSARTAGGETFEKTIKLVVLPTIEWNDKETVKGALLGEPITIDCGVKSPSGSDPMIQMSNGNGEPLDEEIWTIAGNEATIHSLKKEHANLAVSCITIEMHQEGNNEEFPVVDRKDVKIEVYTLPEFSTDESIQYTVIDNHVRDANVYCNVTHSFPPVRHYTFYHNDEEVKLTDRFNIFMNVGRNQGAHLKIHNVNENDLGTYKCEANNIKAKSIHTVHLREANAPAEPKVTLIEAKKISIVWKVESIDRDPALPVTVVEIRHLRAGTAEASGVADEDISDSYWKSHSIFMQRNIKEDGVYEISGLRHGHEYVWRFRQINEAGFGESVVLRAKTLDDNDLELMDSSSTITLPLTIATLLFVRLFV >CRE00584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1149970:1150620:-1 gene:WBGene00051422 transcript:CRE00584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00584 MFSCRASVCLIFLSITTVSCQYHQTSQVTCGTNEQYSPCTQICPPTCDSPNPQCRVDCTRPSCNCLPGYVFSSTRQCIPANSCYQQVQPSPRCRFHTECRTGSYCVNGFCGAATGVYTRTIVTSSSSSSSGYRSGYQRQIQGGCTLDVHCEHRKICINGACVYADHSDRFN >CRE00585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1150950:1152056:-1 gene:WBGene00051423 transcript:CRE00585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00585 MQFTILLALVGLASAASYYTSTPSYNSNSYRSCSSSYECSSGQTCSNGQCMSSLGYNGGLYSNTYNNNQYDMNSQYGNQMSTTGSGLYCTTSYSCRSGETCMNNRCQSSYSNNMYGSQNMYNSGSTTCRYSTDCMSGQTCSNGMCVAQYGTSYNNAMYSSSTGNYCTYDNTCGVNQRCVNNMCQSTGSSSYYGSGSSGYNTYGNSNTYGSSNSRCTYNSDCGSNRYCSSGYCTSYVLRQKRSS >CRE00586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1153785:1154574:-1 gene:WBGene00051425 transcript:CRE00586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asg-2 description:CRE-ASG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDA4] MAAPKLGFFEKIANLSGALYRHQAAQFPRRFAILKAVGKHELAPPRQADWPAIKADWAKVQSFIQNGGYKNLTVKEGLVYTAVTLEVFFWFFVGEMIGRRYVYGYLVPSDYVSKSTKKAVKEQEALAALEN >CRE00128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1155184:1158302:1 gene:WBGene00051426 transcript:CRE00128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glr-6 description:CRE-GLR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LDA5] MSGNFKILLFSILVFNGCSIRIGLITSHHLENSVRTAVNIIVPRINEQIVKTRGACFITHTSFIALRNLHDDLNERICGLAKSEVSVIIGVVDRETGSIIEEQCAELNILFIHYYWTPGYQKKQQTSLNLYPSMEYSQLMERLINLWRWDNFVYIYSNYDAPKQLIETLSRLEKSPALLRAHTLDDSMMATALALRDTCDRNRCWPKKNRVLIELSPNETLTFFDASLKLGMISVHNWFLITALDDLKDHLSQYTHNGMRVSLLTVSKEKWNQNDLAVKLPDMYQEYLAHIPSNPRTPFKDFAFIFDSILLACHSGERRIKSCGENDPVQKYKLPIVKPFKGLTGTISFNGTSERSDSELHIWEMGITGAGLHTGTWKSTWEGSKELTMLAKNIPGTHEHYQASVRESRTLKVTSIHEKPYVIEKIMPDGRVKHEGFCVDLLDKLAEMLHFNYTLKIVKDNKYGERKNGTDEWDGMIGEILRGDADMAVAPITVTATRLEVIDFTDPFLQLGISMLMRQPNPKASSSLTRFLWPLSASVWTFSAIATVLTALLVTVAAVLSPKESTTEFKIQNSVWYLVCILLRAGSGYNCQAGATRLISAVWWTFTLVLIAQYTANFAALLTVDRKSMPFNSFEELGNQTEYNFGSILGGSTMQFFKYSRIETFRRLWERMQSAEPSAFVTTNHEGVNRVLNEKYVFLMESATLDYQVTQNCNLTRVGNVVLGSNGYSIALPKGSKWREKLTRQILDLNEKGIILMLKNNWWKKSQQECQSSEPEDLQTALGAENVYGLFLLLALGSGIGVLCAVLEHTHFIFFEKNKRNGQTPKLQQMIETIHAEIRNSPKF >CRE00129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1158645:1159199:1 gene:WBGene00051427 transcript:CRE00129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00129 MTDNYLRQVIDHAQHNHRLRGTMSGIFYQTAYAAAGAGAGGLFAGPIGALFGTMAGAVYGYANSEDYSSVFGIIGNLEDEEKDILTSTIRNHVGGLTFAEFVNWFKDLNHQATFMQLLMAYLAANQQKSAGTSRR >CRE00587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1160148:1162111:-1 gene:WBGene00051428 transcript:CRE00587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00587 MNDPSPLSYQSKLSVTKFLAIKNRSGNFFPQKVPFYLSEVEFDFENPDFLKIRIKDERNGDEFEWRATDLAVSVRRNGDGYVFAREGSRDEKLQKLIDYYFDGCTGEFKLFVIVGSPRQWNSVKSIKTEKLYIEIGENTTADIDGIKKILNFVDKEAIKSVCYAIYDNPQTEVTVCVPSVMLEHIPNMELSDFTVYVPAELRSPNCTEECRSMVEAFAQSRPLGFKLAINIKTSIMVMSSFCSRSRPNWFFTPTPNALTVTFLPNNASLKMMVTFYLCSDRIRISMEKREASDIFRHAGYLGGATTTVLLHTSYKLRKRLSRQVPWERLLPYRIDSLLIDFENAKLEVDGEDVEVDGAQGLCQTIKDITFANDTNIMKLTIIGCHIIEYAEGHRICIKDLELFHGEEYPKEFFNMFKKTRFEKVSVSSRKNIRNGQFLTECANEVVYLDEESRN >CRE00588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1162861:1164792:-1 gene:WBGene00051429 transcript:CRE00588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00588 MDNYINKDDLPHVGTDYQSMDKLLSAMHSPLDLPIQLDKVELNFEYSDLTFLRFDVTNGDHYIWKLYENRVTLEKNNTQKVINLEGSKEEKLHKLVQYYLEQSRKVMQIFKLTGYPDKWKSRRSIRTKVFHLLVTKPIAPDCQRNGDLQMFSIVCAIDRAIVKEVTYTLLQDFSSSPMNVPYEPHTEVKFMASMARKENIYKIYLENVTLDFGWSSPSIEECQELIEKHFRRRGVGLPTTILTKNTHRHVEHFCKKDNWKFTPEADILAVTFYPENKQVPGDDSAVVIRFFPCSDKITVTVEPGKSKGLCIQNDVDELRRQNRRIQWRSYQTRQLMRAEHCWEPSIPYDINKMLVDFNNIRLEVDGEPLQIHSSADLVKKVVDLTAHEESRIKLLMIIGHGHLTCDRRNYIIHTKELILVNSEQYPHMFLMNFLGINLKRLEASSRKHIDDVLKYIDSAEKIEWLDKNNKRVKNYA >CRE00130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1167439:1170201:1 gene:WBGene00051430 transcript:CRE00130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00130 MNQQKPINKYNEYSNESCPTCSKKRKYDSDEQHASIKRGTSSSGIVEITSTSSNTQKEPNDGVPSEMNDRKFDFDKDFTEFSLQKQRPKIYGDQLTDYFLKLEKYLKGKKYEHIYACGELKFCFDSIYDNKELPGFARKKINVTVILTARSDGAKNHSIVILPDNDLDHDLMQKSKNILKKKFGSEFDFSFSPDSNVNTEIIKTFLSRNYWPSNSLLVWNSNAENNNDDVKKHLLPMHLDALFVPEDLEEMVQPLNVYWKSKFMANMRENYEYWRKEEEGNQLSLTEILEWIQYSWGLVPKKQIVESFVGCGLTGWPEELALTNIHCFKNEGSLSWVDYMERERLKMFKESAYKQLGSRFRLNIHGRGSGRPWPLPKLVSPPQPSTSNNNGSGISETASTSSDTDGVAEDGVASEFQKKDPEISVTRCIHSFLNLEKELKDKGYRHIYACGEMKFCLNSIPEYGLAPGEKHKKIYVTILFAACSDGERKIPLVIFPKNGNEEENVEKLNRLKNEFCDDLLNFALSPDSDVNTEIIKQFLSENDWKSNSLLVFDSNTKYNNMEVKKHAASLQLNSLFIPEDAVEMFQPAYVYWKPTVMKYMREKYDDWFERNQGRPLMSDFLKWIGDSWDIIRRDEMFDSFVGCGFSGWPKKLPATKIHCFENEGSMVRWRPEMHSERSKMFNEGSKRQDPCFEVYYYDEISEEPIFIPFSPPKESEPSTSAGPVQGPSDQRRRSNRLRTGGHEATNIAS >CRE00132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1175934:1177349:1 gene:WBGene00051431 transcript:CRE00132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00132 MSYSAYLPNNYHDETKIYINGVELLNRHELSSTQDRELQRFIDNNAHILQIQEKELPPPPPPRKTPSHKYEEMRRSLSRGPSSTLERYSSMDKLFGSQNKEKNVEIEQGINPRDLPICPNIEGHNYTNEFPGLEYLSDNKFIEDLIKDGQVSIGNTGMISQPGSRVGSAMSNPYSQTHSATSTLNRQSQSNTLPHHLPQYQQFHRHASPPVAAPQHQNNTNYYTENTSTTTTYQPTSVTYGSSNASQYGGQSQYGGSQSQYGGQSQYGGSQSQYGSLSNVGTGSTYGTLKGGMKHTSTLPPTSPYSSLKRKGVSWLDQERARSLSPGLHQSTSTTRQVLHQYNRPASTIHTTTYTTTY >CRE00133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1178304:1180707:1 gene:WBGene00051432 transcript:CRE00133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gei-15 description:CRE-GEI-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LDB2] MSQYGSAANLSSFRERTGSPSYASLGKHRDDYNRFETARHAMNSRSNRSQTSQEKEEIRFGGFGDRAGSGVELTGFNWSGGEVITSPTQLPKALKPRTMFYSPIGDGTVAADGYELKRRPVDLSPKVTVTQLQHIERGSKGHDGVNIIEKNWSTGGSVPPSEAGFGSEYGPGSGRNSRAGGGAFSPEPFPKPAANLGNGKPAAAPPASDFGNYQPKSDPYPAAARPVGGLGGLGGDGGNPFGGSQSNLGPKDGRNSVASSVFSDPSYRLDTKTGYLITNPRELIHQFATMTPVATIDDSVNNTPATHTIQKQSYYKRTEETTEEQFAPHAPYRANHPVASPNKFVRQLRDDNLTHSQREANTHTEPAYQRDPNYNQRVQEIRTKSTTYSRGGDDIDQLTQQLVTGMQVGKSRYN >CRE00589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1180933:1182486:-1 gene:WBGene00051433 transcript:CRE00589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-28 description:CRE-DHS-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LDB3] MALRFDGKVAIVTGAGGGLGKTYAVELAKRGCKVVVNDLGGDRHGTSSSSSMADKVVQEIKAAGGQAVANYDSVEFGDKIVKTAIDNFGRIDIVINNAGILRDVSLLKMTELDWDLIFKVHVKGAYAVTKAAWPYMRDQKYGRIVVTSSNAGVHGNFGQANYAAAKSALIGFANSLAQEGAKYNILANTLVPTAGSRLTETVMPQNLVDALKPEYVTPLVTYMVHDSFEESGKVFEAGAGWYGTIQYYKSKGKVISHATADDIAKNWSTITNMNGAEFIGTITEQGARLVSILEEHESSSGNGSATGGASGGAFPSNIKSSALFQEMADGVKADPKAVKQLKAIVLYVITDGKNELGKFTLDFKSANPSVYLGDVQNGEKPNATVTVADSDFVDIAAGKLNAQKAFMGGKLKVKGNVMLLQKLQTLLEKAKKSKL >CRE00590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1183540:1191955:-1 gene:WBGene00051434 transcript:CRE00590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-2 description:CRE-ATG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDB4] MTLHTINRVWCKIMIQRYLGVWLDNNLSFDQLSIELSNGSLELECLDINTRAVSAALAAGSIPLKLVDGYVGKIRIEIPWVRIMTDPTRMSIEDLQLTFRGADVVKLDDMETSKFKFFLKLKKNCFSVTSMIESVLMSLSTDDMARSVFDEVSKENSITMDFKGNEETADSFSGIINAVWSRFCLTVHNLTLRFENEPKNKSEMATAVEIHVQKITFMDEQMRSCEMDHTNATDLVTTQPHGVGSTTNLNKNLTFHGVSIHTDVFSEIANDGNEDEILITSMHIRREKAKQMSPTKSVYNSSHPDMFQSAMSDMDAFHSCYDKLTQESTSPDQLETLRTAPAEPELFSNPIKCGEVIGDISCVFRIKNAANDVNVADIEESKIETDIFVKGLNLFATASQIEIIKRYFLSITTPKGIIVQEQGKPMSKEDYENMTKNMEPNQTPEAPISVPTFGGNWNAGEEFKEFENAKSLKQKEKEKSEKEKFKTLKANSNVKEEFTVRTHIGTLLAYIPHCDYMSSDYARQHGGYGKVLEALQKESKQFFMGIQGFSFLSKHGLANIRQAAEAFYPKDHLRIIGGSLAVTSSSSRIGTVDTFSCRINATHFDMLEYLTPESAPGHNGPLTVNILDYSNQENVECDPNFKMVLRTSTEKKGETKIDVLLGAVRSELDFSIIDRISNLIICKPFFDDESEKGAQRNKVPQLKDDLYAEVNATEDDAKSKTLFNLVCPNWQVDLRIPKADLRDPTGSRLPFSQRHVHNEYLSLGIKEIDVSIPIGKDVSFIEILCSEMFGDFCGEGLNIPKEQQRVLYASKNGFDKINLKLALNGNTKIPGRDSTSSSIPESMLKSVSADIMMAHPKKEGPFSKVPRSYMSHDGEEKEEIIQAGTRREILSFQKDCEDFASTFMLFTIPVLKLHIPEKSFLEILYNRLVNDLALFQPAAPAFRAEADAVINAQPVESFQECVSPKNYGSPHFSCYSSTNLFNCSAESEHSESDDDALTLKESVDSIDFDRDVPHTFVMTLNAKKCAILCNTSIKEDEKAPEAAQVSLDLEKVHIGTTAGYHGNINHTYFHFTSSKAAVGSVDALKGVQIPNVISAKDFGKWNKDLNQLEYVLATDELSSGSTEDAFAVALHMNFKPDVNVKDVLVGIAIRNSQLQAKPFKNWGAFWITQLSELFTLQDYAIPGYVLPTVSTDLHICLENAIIGYDHSWINPSSKLKLRAALGHCNLASSIVSDMNISKVCCIFEMSINTLFSLFQTLCIFESCRLYMSHDSLKDAVRFEGYGAPKAPTKKFIPFLDFGSVQLDILFAVGEETGSRTTPAFEIRCQNDIINAWACADSLATFIQTVMEYTSHGQVPVKTPAEEETDELEKSIKNEDIAKSVAGESVWSDASTGSKHIQKMGVGAALPDDVEKRLQAMIREAVDENDESAGIAIGEDAVKEFTMTKPKPKKPSRKPSKNMEDPTRNYTITDEEFCMVDDNVFGSGITNLPGESRVRSMTAKNKYDEPPLTKQDFFHSIEESGNDGLYQTMSGNWTPALRYFLKDVTLRLSLYAGNDLSTTPSPIRTYCTEEYRNGYGPEQKIEKNSAGGPNRDHSAFVVLELNRITYLKQVFEKNAPLLSTSLFQIGDVVIKDCVKASTIQEMLYQYSVVNQPRRGSAPIFSVRIAESQSKEGKMRVSMLPIKINVDQDTMEFLIEFFEETSRLLDLPKNQMNPLIQRPVIEVPAEPSSRKTSPKTSVSSSEGDVAPRMYPSIPEPAMTLEPLQPSPVQPATPLGTFLLTWCLNLTFSENTNILIISGDLSYLEKISSNHQSPVKRPIIDAPLTASAVSIGITDIYEGIISKLFVLGRIFESPKNEVDDEPVDPIQIEEMGNFNINEELRRINELGKSKSSPLFNDSESESSDEEDETNTDFVVPHTSQHQKLHESFDPAHPANLSDLAGDWADDDDSIDHFTAHTENDHYHNSKTTKCEELQSLNLMDEEESGKGSMTSPMPSSPLRSARSVKRELPPLKMPQQPLSNDDILLRSTMMGSIHPTQSVHNLVDTSDDLEEHSNFLDGLDDDEDEEKQKVEEEMEEEQKKEEEERDKEIQEAVERGETFFKQFVFSPSVNIYVDYQGKRKITMEKQGAIVGLLMAFGQLNQMPITLKKINTRTGLLGSGRCMQHAIGEWSGDMLTNMPSVIASYGPISPLVQIGRGVVDLFWMPVSEFRKNDGNVMKGVQRGVGSFSVSSAAGIVGMAQTVTGFVQSLAEMTMNEIKPDDPSTRRSRRYNRHHGTNPTDVRHSLQLAYGILYDGYHQTRDDLELAAQEDRASGNSVVRSAFRYAVPTFLGPIVMATQVTYQLLGGLRNQLRPDTYQDERRKWGEKDVPGGVNK >CRE00593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1201139:1203772:-1 gene:WBGene00051435 transcript:CRE00593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00593 MPRSSLLSTNPKIMYSIQIICQAFSNFLPTRYLKAMEPLLTTEVQLYQDKLIGKKWKSYIASFFEENSLGKARIELFQSDGKKREPAKTILLDNVVSLKPAKTESGASYVKVQYKDDSFVQFSSEELQKIMDVLSSICFPKKMQYVTIAPSTSLDSPTEEEEYFEFPETYTMLKLKQINGREGTEGVYNLSLNEHLQISGPSSIHCIPYQSLHWVGTGEHCVGFGIENLGIFEFATGDALLFVEHLRSYIRFGCDFSTPQVKTICRFNRYFHPNRLVNSTSPGLSNNSTMESVDSGGVYSKIPGSTDTSVSSLQLNEVEFVNRVVKNELRRNASMEMLRHKISFLQKKKNESRTDFEESADRDFVRLSPTAERNPARAKQIFANEKRVVPRYGFNDV >CRE00594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1209128:1210507:-1 gene:WBGene00051436 transcript:CRE00594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asp-3 description:CRE-ASP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LDB9] MTGRVFLLLALVAVAAAIQRIKLEKRTYTREQFKFPAIQEHLKTKYIKGYVPNNDAFNEGLSDYSNAQYYGPITIGTPPQNFQVLFDTGSSNLWVPCANCPFGDIACRMHNRFDCKKSSTCTATGASFEIQYGTGSMKGTVDNDVVCFSHDTTFCTDKTQGLACATSEPGITFVAAKFDGIFGMGWDTISVNKISQPMDQIFANSAICPNQLFAFWLSRDANDIANGGEITLCDTDSNHYTGNIAWEPLVSMDYWRIKLGAVSIDGTTYTNGPIDSIVDTGTSLLTGPTDVIKKIQHKIGGIPLFNGEYEVECSKIPKLPNITFTLGGQNFDLQGKDYILQMSNGNGGMTCLSGFMGMDIPAPAGPLWILGDVFIGRFYSVFDHGNKRVGFATSKSA >CRE00135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1211615:1214450:1 gene:WBGene00051437 transcript:CRE00135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sgca-1 description:CRE-SGCA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDC0] MKIRLLGLSIFCWILLIGTVDSFKAPQHVTATKGKFFVHTLHSANFFPSTVKVKWEATLNNKPALPNWLRLLPSRHPEIAYLIGTPVTNVQHVTLHVIAKRLDNFDIQQRMIVINLVEDSLYQGNTQQIFELPVNGLDVEDLVSNRERKITQLENALRNTFRGKNVNPYIAGIRSRYIIPRGKEHIFKNNVGSSTRNILKFRTIVYIGTQQKFYPGTLSIVKNLQTVPDFCTRPSTVVMNKYFAPTFSVDWCNVAVRNISSVEDEMNEKIINENTEEKPRKEAVVEETSDKPEQPPRELYGYSEHGFSIVLVAIILGVCALLIFALVLIFFGSREGQKWRDDKTSGETMGEFVTIRDNQKKLRELSLQRQLLSMGPDTNSTAPSGIHSYLQSRSRAPSTIARFSKSASRLNDREDTIELLPSVDHVPVGKQTVAEAAKQCGSSLHLYRNPLSDESDEQVTESSEDEN >CRE00595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1214486:1216874:-1 gene:WBGene00051438 transcript:CRE00595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bgal-2 MSRELAFIFLCLAVSAVVGRDSSPSFKIDTVNSQFLLDGDPFTYIAGEIHYFRIPHLKWDDRLKRVRALGLNAITVPVPWNLHQFDQDETPVFSGNLDLVKFINTAYANRLYTILRVGPYISAEWDNGGLPWWLIRNTKIGKYRSSDPAFMAEVTQWWKHLLVKVAPLMRKNAGPVLMVQIEHFYGPLGICDQIYMLQLANLVREYLGNDVVLFTVDPPVIQFMRCGTIPNVLPTIEIVPTAVDGEVQSWFNMQKAFMQGAPAVASQFMINPFKLWGKNVTDPYSNDLIVQTAKTAFSLKASISFHMTHGGTNFGYWNGAVDPYPVTTSYDSFAPISEAGDVNQLYIAIRYWINNIPGWAYPPTPIPSNLPRIAYPDVQLTVFDTISGFILGVNPECWASPETPRTAEYIRHGYGYIYYNTVKFETIIECGQLYIPTFADNAYVFLNQNFVVRHFSDIWKSSEISQGALYKQFGTIHNNTIDVQGCLDQFNSLEIIVEITGRAHNMYPVMSRGIQGNVYMHNITLENWESCQVPIETYEISMVKNYENLQKHIFETMDFNRSQSAVTSQPSVFIGNLHIKTEPVDTFLDTRGWGKGVVTINQYNIGRYWASIGPQQTLYIPSDFLHKGTNLIMFYEFEGATTACTATSCTAKFTNLPIFDY >CRE00596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1217335:1218323:-1 gene:WBGene00051439 transcript:CRE00596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00596 MKFKVFGTLFLLIQFVAAAVTQEELEINGEAKQTGIVVDQIRLKRQQNGYGMWNNGGGEGGNFPMGNWNGPNSNGFGGPQPPPPDDTIKGSIGTKEEKPTEENSKNGQINGEGQEPWWPNGNSPSGYNGPQPGGNFPNGNGYNNGPNPFNGGIVNSNVDDGIKGSVGAATEPTKSPNQRNGYGYGNRGGNGYGNNFGFNRMAGGGGYGNNFGRPILPRGERFFRGGPRMRY >CRE00136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1229487:1235215:1 gene:WBGene00051440 transcript:CRE00136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irk-1 description:CRE-IRK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDC3] MTLSVPDCAEVNRIRMSNHRKMSLGSAPLIANGRPSPPPRRTSLAESIRTLAFTARRNSSPLYRKSTKKLKKSRLVGKNGICNVYNTNVPKKDRQYLRDIFTTLIDVKWRWMLMLFASAFVLSWSVFGTAYYLISLVHGDLSLPTPANHTACIMNLDSVYSSFLFAVETHHTIGYGHRYITTECYLAGVIVCLQAICALLLQSFMVGIVFAKMARPKKRAETIIFSDKAVICLRDGQLCFLCRVGDMRNTHLVEAHVRLQFITDRETNEGEIEPLHQFEMKVGPSISDDDRLFLVWPTTLCHVIDSRSPLYNYNQQSLMSAQFEIIVLLEGIVESTGMTAQAKTSYLPSEISWGHRFRKLVTYQRSNGSYQIDYDLFNATYPVRTPAMSPAEFYSSKPNLKDYYCHDSQEHKLEDNRSSDSTPLPSPSPYSYPSTPMNHFQSSSNSPVFNNSHSKFNTEAVTCEAGMLCPPTIVVQCPSTCASPNHMRRSRNQMDKSRTGSSCDLTRPSTALSDLEEECSDSGSPTKCQSPPVVPIHIEIVSET >CRE00137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1238381:1247167:1 gene:WBGene00051441 transcript:CRE00137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abts-4 description:CRE-ABTS-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LDC4] MSNGSPGRHSNKAFSIGSDDIEESETSFISPHSSDLLRNLLNDSSEQTPLILQQIREESEDTVGSLQPSTQRSSSASSTSEERLPSSIDVFTVNDFDGSWKPASRFARYESDAEGFDNHLGMAHVPCLPMGTYQALQKITSKTIIHRHVMVGGSEHFLKYIETNVVDSDFPTLGQAIVKIVKDRAETLENKKKVNKKHSASTQSLNSDHLLPRPGKIPRNLISEPNNLSRMDKQPLKRLPTSKSGVRIGTSYVKDPPQTFCEPHYDNSIEYSMLIAGAVPDATVCRLVALRFDEAAEMKDIFPGTKKIRTIYFLIGPDLTEHSYLDLGRAFASVVSNPVSNSIFDTLKSPELITKAVDRFLADTVVIAPGKIVSRNNISGEFIRRVVNMNCEKRERNTTLSVVHPSDLDVERNSRGDEDKINSCCSLFAGVKSDLANRYRYYLSDITDGFRFTILTVVVYMFCVTVVPTLTFGAILAMGTSGLLSVKKCLLSQGLSGIVWSLFSCQPLLVMSPTGPFLVFEKALFKCSIAFGLDFLEVRLYTGIFVFLITIIGASTNCARFIKHVTIYTEDIFCALISIIFFSEVCEFLLHQLELNPIDNLDYYLHNSANCTGLGLPDECRLSNPNTFLIQAFMLLISVAIFHYLRQIGLSDFFGRTFRNLCNNFGGIFAVIFVSIMYQLLFANIDVSVSSENQNISQLLQFVFQMVNVAENLAENKVKIGWIVIPTTPPTLSTLAGSFAAACLVYVLIFVETEIPEQMALRSKRKLKKGGGLHWDLIVVGFCTLVSSITGLPWMCPAAVQSLAHIDACTETEKTNPGEPKIIKGVIEQRLSGMITYIMLLLFAFYGHIVSIPSAAIFGVFFYLGVRNLEGSRLIMRVFLFFLVPKRRGDHKFLEMAPFAIINGYTLIQCSFVAIMYAAKTHEILGVFFPIFIVITTWFVAKFLPLIFSDELLAALDGEDEEKEDEENSEDFYLNSRIPV >CRE00597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1250716:1251625:-1 gene:WBGene00051442 transcript:CRE00597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdl-1 description:CRE-MDL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDC5] MCIQRLHILPSLLFITSNQKKTRRANLRGCLEALKTLVPGVTDATRNTTLALLTRARDHIIEVQESNAVELNKLRNLRDEHEALVAELSQLQADDEVAQATSQACQTLPPSRPESRASSFTSTSSRDSPCYLEYSPSSKPMDSHKPTIIDLYAEGLIPRGPITFPRPVVYPHNVFDLFNLPPTPFDVSQYLPINLQV >CRE00138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1260011:1262897:1 gene:WBGene00051443 transcript:CRE00138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00138 MVKKVIEPGNSQQSVTENTSADTSDGKKSTNEEKKPVKTESKEISSLSMPKVLTAVLSIGFFLSIAGSYGYQSFIDIYIKPPLEERTFPFLGGVETNDTRLRNVYRHWLYAVNPILHFLEVDKPWNPAFIVELSINDKFIAIQDFDTREYDSPDVYNGGKMTLRSITTYPNEKISVFMISENHITITIFHIIYPNATIGLFVNTQGRSPNNTENNLKLKISGRGVKWIEIPLLPDSSIYQTFPNTTELPYKSHPEMEKAIGEVTENWKNKFDKWFTSTGQQQIPKAYLELINSAYSLIANSLNNVPHVALVGKYETWIEELNYYFIARSSANYSTLDEQFFPLLTLSRLESEDTMRVLNSWMSLVNNLGYMGNRLHQGKIHLTPIQGPLLFQVIKDLLTNPLFEDKFQGIVEKLEYIAEYTWKNSIGKGGLTDLKWLGDCRGIFQYMPPSQNNNSSFELLCLLGDMSSVMQKVYKKTGAPESSKWVQRSIDVENAMKKYWNEETKQYGDLVNGKWHYSISSHVPLILASVKQDAEILPQLFKNLQQDTNFTTLGIQLSEEEGAAVSTNYFLLKSLKHYSELSGPSQETAHQMYSVLKNNMAAAIARANRIEKSFYGNYDRTMRPIGLKDNLDGTLVFAIMSS >CRE00139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1263516:1266434:1 gene:WBGene00051444 transcript:CRE00139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00139 description:Lipase maturation factor [Source:UniProtKB/TrEMBL;Acc:E3LDC7] MTTLGTNRIKRCILYGQLIILLFAFTSIYPQIHGLFGERGLLPVSPMLECEEESVFQCRLPLLRFICNLFHFSPSVGLQLFSLTGVCLAALAIHKPECQNLVTFLTLYFLYRTIYEAGGVFMYYQWDAFLLESTVYVAVLAWFDDGPADSVALFSIVALLVRVIFMNGASKLLSKCPAWWNLTALDYHFESQPLPTPFSWYAHHFPPFFKQLATIAIYYFEIILPPLFLIPVIHVRYVVFFCQILLMILTMLTGNNGFFNYNVIILLVSLLETPRVPVGAPLLSALVFGKLGYDLAHRMPVKLVTTEGSLPSFVLNLSYDTFQKLAIYYIDMIIILTALMFSIINAYTVLKGLGSQARVSKIVHVAFVAACVLLLNIYGSVPLLRMDEKLAQRTNENPMIMSYYKIANSWSVANPYGTYRHMTGQHGRPEIVIEGAPNFDGPWKEIEFKAKPGSISRRPDFVSPHHPRLDAQMYYAAEGTYQQNPFFLSLVYHLMQNTTEVVSLIENYPFKNRSEPMQFVRAKLYMYHFTDIGDKNWWRRDFQEEYMPPFNKGNQALMKFLVENKIINNKKSQFVNGPLGKGMKQWHRLTGGADLIAFFTSIIVLLVIRYLHNRLHGNIEENNGHNHAHHHGHNH >CRE00140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1266676:1267867:1 gene:WBGene00051445 transcript:CRE00140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00140 MVEDKTKRLGRWYFGGVAGAMAAVCTHPLDLLKVQLQTQQQGKLTIPQLSLKIYKNDGFFAFYNGVSASVLRQLTYSTTRFGIYETVKKQLPQGKKNLNLKNKHSSLLDKPLPFYQKALLAGFAGACGGIVGTPGDLVNVRMQNDSKLPPAERRNYKHAIDGLVRITREEGFMKMFNGCTMATSRAILMTIGQLSFYDQIKQTLISTGVAEDNLQTHFASSISAASVATVMTQPLDVMKTRMMNAAPGEFKGILDCFMFTAKLGPMGFFKGFIPAWARLAPHTVLTFIFFEQLRLNFGYSPLPKA >CRE00142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1271384:1273569:1 gene:WBGene00051446 transcript:CRE00142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smf-2 description:CRE-SMF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDD0] MVSTLSSTTDGDGSQVDSSEQNEPSLQEKTFDDSIAVSIPDGDEDTIFSWRKLWAFTGPGFLMSIAYLDPGNIESDLQAGAQAEYRLLWVLLVSHFIGMLLQRMSARLGVVSNKHMAEIAYDYYPVIPRLCLWLMIEVAIVCSDMQEVIGTAIAIYLLSKGRIPLLVGVLITILDTFTFLLIDRYGVRKLELVFVVLISTMAATFGYEFIVMKPDIGEVAKGTVIPWCSGCGKEEITTAISIFGAVIMPHNFYLHSALVKSRKIDRTNEKRIAEANKYFSIESAFALCVSFFINLFVLSVFARGLYQKTNQDVSSMCLAHNDIPDSDVFPNNTELVTVDLYQGGIYLGCQFGVFAMLIWAIGIFAAGQSSTMTGTYTGQFVMEGFVRISWPKWKRVLITRAVAITPTLILCVQAHGIKNLTGMNDFLNCVQMVQLPFALIPMITFTSSERVMRNFRNSRPTQILSIICGVVIIAVNIYFITQTVEQYLGSKWYIFVIMTPVTILYLGFITYLTIYCLVACEMIDDSVKIPGFDFRRNLKIDAPWLKNKVPRVNGGYA >CRE00598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1274077:1276267:-1 gene:WBGene00051448 transcript:CRE00598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-11 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LDD1] MTPALVLLIALTGVAEGQGWRPWDRFNHPSSFGNWGGGGWDNRQRNPSPDPRDIPPPVPPPGFRGNHQFGGNIIKITEIIDLGKNKGDILSDFKDFHNKHKKLGRKEWKGKVRQFCHRFPGHPNCRRGKIPDQKELEEMVGQFKKGGIGRFLKRVPKIHIEDPLARVDPKLKEYLENAGRGFGHLNSENVNKLRDICKRRKCREQPESAKKTRELFTKKLSDFETRIAGKDKTDSVQLRFDRTLQIKEALLEKGNLTADIAPVDNGVYDLDTMLTEEQANILLNELNKAGVGDDEIPLPEADDDDDSDDETNSTDVTTAAPGTRARKSALYFEGNLIKKWDPSSPIRYVLDSSLEDLDKNDVRAAIYEIEKNTCIRFKELSSPPTGSHIVYYKVDSPTFCGLSYVGRADPANPVYLSFGCENNKGVAIHETMHALGVAHQHLRNDRDQFITINWSNIDPQQYDAFVVVDSKLYTSYVTILLKMDISNSFFRYGVKYAYDSIMHYNGYTAAQNIAIPTMNPKTNSAANLRLLGQRQKMGTTDIELLKKMYCQPGCEDKNVYCGAWALKDLCKATGHDQYMAANCKKSCNLCSVG >CRE00599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1276692:1278900:-1 gene:WBGene00051449 transcript:CRE00599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-3 description:CRE-ACR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LDD2] MWFTLFITILLITVINTYPNSAEERLLSYIFDGYNSLIRPVLNASSPPIEVFFSLAFVLLINVDEKNQIMQTNVWPTMKWNDYQMQWDPREFDGIKTIRVPPDKVWLPDIVLFNNADGNYLVSFYSNVVVEHTGDMLWVPPAVYKSSCLIDVEFFPFDEQVCSLTFGSWTFRKDELQLSYLSGKRHVELNDYLPSGVWDLMDAPGLLIDERSKISYQIKIRRKALFYTVILIMPTVLMAFLSMMVFYLPAESSEKITLAISILLALVVFLLVVSKILPPTSSTIPLMAKYLLMTFIMNMITIMVGFWCKISFCFIFSQVSVIIINVYFRGPATHIMPTWVKTVFLKCLPVLFVMRRPESTEKELAKMKREKRERRSMKSALKTFFKRNDAKLITDQTKQALTRKEGSCSEEKLSSDAKKAIEAIEYITTHLTHDNAFKRQREEWKFVSVVIDRLLLYLFFGVTTGGTMGILLSAPNVFEHVNQTTVIERLKQQAAEEMLNS >CRE00600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1279395:1284260:-1 gene:WBGene00051450 transcript:CRE00600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-2 description:CRE-ACR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDD3] MNKTVRILLILVTVFLKVQCNSAHDDEAADVLSHANIDDFNNSSDPNRNAGEQGDTMGEDEDRLVIDLFREYNFLIRPVRNVSSPPVVVDFGVAMILLINVDEKNQILQTNVWLTMKWNDFQLAWNPAEYGNISNLHVPSDRVWLPDIVLFNNADGNYEVSFKSNVFVDHHGDVTWVPPAMFKSSCRIDVEWFPFDEQCCTLVFGSWTYNSEEVRLHWYNNIQAVQLHDYSYSGIWDVIGMRFLESEKQKVTSDVPGQLVHKPDLKENKMVFNVVIRRKTLFYTVILIIPTVLMAFLSVMAFYLPVDSGEKVSLTISLLLALVVFLLLVSKILPPTSNIPLMGKYLLLAFVLNIVAVVGTVVIVNIYFRSALSHKMPTWVRKVFLEFLPHLLVMKRPERIPIFNGYFVEEYCASEIFDASLVMPSMTATMLPFLQVTANMKEVSSTSSGQSSEHHENCSKWKKRLSIRMSRRRAPRARLDDDSEDIVDDSNGNHVDSLQEKISKEMKTTVEAIAYIAEHMKREMSLKKMRDDWKYVAMVLDRLILLIFFGVTLGGTLGIICSAPHVFDFVDQEAIINKLNAKYLPSDMYS >CRE00601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1285643:1287364:-1 gene:WBGene00051451 transcript:CRE00601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00601 MLWTLIFFVFLIQCTVSQPSIPPPERPGNFLKLYFIFLFNKFLFFTFQTECSESWQSPDLTYVPSINQCTDYTSGIHFVIVTPRKKIWTGAGVACQPNNPFTCTGRNPFCATAGDRAFKCCSDIIQDSTELSKLNVTQIKPICPAGAIPYKMPQVLLCDPTIVNICPYDYKCVEAANGHQLPADGRSLCCKTTTLYSFASVFGEAKISPRIVPNPPLSAIEYVTLNVHTSAKEHSPEIRIGDHFVLTPYKLYEPAYLKKIKLFTDFGHGSFVHVILFGKLILNMFRMDPMSTTETMQLYYDRPTSNGKTINLDEPIPDGGFISKRIFNAAPITQIENPSRPGPIKEYRKLWIVLIFKTTNPFGRVYILSTKDLHSKYRSVTDFLKSDTGNMLGTPVAGTYFYVSSKFQYKPLKPNFLFQLTTD >CRE00143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1287521:1289143:1 gene:WBGene00051452 transcript:CRE00143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00143 MSRCWSSSYQPKHKQPDSLFSTPALNDHIENLHSNNQNMRRSLLIFIWFVIFVVICKKEKAEKPKVEEEEEEEEGVDEYEEICPFPWQTHDQNVLAQYSMCNDVMHEGVKCTPNDPIECTGRNPTCVFSKMTNDHRCCADVPQDLSNPPGVPEQVKPICPYGASSYDLPSVLLCDPTEDNACPELYTCEQAVNHQMLTTYNMHLCCKTSTLDSFENVFYETKVGINKMSSNLSMPIFYVTQLSPSIVPNAPTGGIDYVVLNEYIPTKNKANTPEIRTGDHFAMLPYRFREPVYLKKVYLFHEPMPNFFFHVLVLFNPHGNPESMNLYYNRPSSLSREIDLSVPVWDEGVFFRNMNRVLTIQSDQTSSRQIRRLYIVLVFKTKFRITKRHPQTWNDFHANYTTFTEFLGTETGKQLGSPMAGTYYYVS >CRE00602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1289302:1290342:-1 gene:WBGene00051453 transcript:CRE00602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00602 MSYNHHNQSQGYVPSYNSQNTGAAYMARRGWENESERNTKISFSSKSSSKRIYITLFIMVVLLLIAAIVIIILFATGVFTGADPLQNPTISPIFNPTIRPPINNIPPLGPPIFSQNQSYSGSFTILRQASEMFDMKNTNNYYLTFNRIQDGLDNIFVTSSLLRPYAAQAILTDLQNRGNDLAVLFRVNMLGGGSIDQNTVAAVVRDNINQLQNQLGGNIQIDPNTIFVFRLTT >CRE00144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1293299:1298200:1 gene:WBGene00051454 transcript:CRE00144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00144 MKKHLRAKNSSSCEPTHRSTREKEKSYELRNVFVIISLSILPYLSCLNGDFVFDDAESIVNNPIVNGEESLSQIFTRDFWGRPIASPHSHKSYRPVTTFTFWLNYQLHGKSTLGYHVVNIICHAVATMVFYLFARLMEKRLDNFEIALPAAVLFAVHPVHTEAVANMTGRAELLMTIFSLLALITFIRNETFSWNFVIFVTMATFSKEQGLMTIPIALCIDLVTRNSFSSKHTTTIVFFLLLAFLRMFINGFQTAKFTKLDNPAAFIESRFYRAVNYSYIWLYHIYLLVIPANLCFDYSMGCIPPISSLYDVRVLSPVLISIPVIIGVKFRNECRVLTFGALMGAIAFLPSSNIFFTVGFTVAERVLYLPSVGFCIMCAVAMKKLSVHTKNADIIAITVILLSISKTYKRSSEWENELSLYSSGLRVCPMNAKIHYNMGKVLGDNGLTKDAEKNYWNAIKLDPSYEQALNNLGNLLEKSGDSKTAESLLARAVTLRPNFAVAWMNLGISQMNLKKYQDAEKSLKNSLTLRPNSAHCLFNLGVLYQRTNRELLAMSAWRNATRVNPSHTQSWTNLFVVLDHLDQCSEVIELSDQALLSVPNESRVHMQIGSCYAKNKEFTKAERYINTAIDLHPSSALYHANLGNNRTSSAKTRITLSGVLFQRMNRHKDAETEYRIALMHDSQNTIARQNLQKLEQHNCYNSTSP >CRE00145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1299533:1300993:1 gene:WBGene00051455 transcript:CRE00145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00145 MDNDRTRLLTAIEIDCRSNSTVSDPSDLHDSELEHAMSQEMTELPEVFDSEQEAAESATATKENTLDPNNNNDEVNTEVAKTIQDANGNITGPMVVGETSSLSVLASLTVGNSSRGFQAGLLGARPLRPRKTSTCAGHLAARRIGSCKYPHRIVVGVHEASVQSNSKTIDDEAPIDVHEWRRQLGSIGTITVQRVTPGYVEPLEIREARRAAQLEAQEEDQQEEPENLTEESEETDENEQRRAARQGFRCDDLPNYQWQFGSVPPRNCRNSSNVQYISETRFNGDGEYIRDDMSEDEDDNEYWFPDYPLVNFVAAKDEESFDMFPNLKSAAVQQCILNAKVIPMRILSQLFIFFIVAAKLIQFCQSNGTCPMDDEASIIFRLHFADFIDYVLSNQKYLRETEKERKREGVETENQDENGEPPEETDNNVGFRY >CRE00603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1302799:1306539:-1 gene:WBGene00051456 transcript:CRE00603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00603 MNVLIVGSGGREHALAWKLQQSPQVKNVIVAPGNGASGKIDLNPNNVEEVSLFCGTNDIHCVLIGPEEPLSNGLADHLIKTHPNMIVFGPTKDGAQLEVATKLSLQTCSYVKLIQTSKSFSKQFMKEYGLPTADFVTVSVENVKSLDSVFERIPWKNTVVKADGLAAGKGVIIPKDNEEAKLAARSILEGEFGSAGRTIILEERLEGYEVSSLAFVDGISYKRMPLGKDHKRLLESDLGPNTGGMGVVAPVHVPADVDRQIDVIFENTLKGLADRKIHYCGVLYAGFMIVNDKPYLLEFNCRFGDPETQVLMRLLESDLFQIIKSCFYQSLSKCEIQWSTRSVCGVVLASANYPKSGEKGSPITKIPPPDMTNVVFHAGTSRINKQIITNGGRVLCVTSMADSLHKARAHANKIAEQIEFQGKQFRRDIGKYLDTVTPSLSYGASGVNIDEGNQFVEDIKKLVKKTLLPGATQIGGFGAVLDLKNAGFSNDSQLVVGIDGVGTKIEVATLCKNFSGVGYDVVAMCVNDVICHCAKPIAFLDYFVCGKLDRSMATQVLASISDACVEAGCSLIGGETAEMPGVYSTHQWDLAGCAIAARESTWPMLPLSSSISEGDVIIGLPSSGLHSNGFSLARKVLAVNGVMYSDKLPWNHNSTFGEELLTGTKLYVRSVLPLLMDGLVKGCAHITGGGLTENAIRVLDKNSDVTLVIDCAMWRPHEMFEWIAAAGPVETKEMIRTFNCGIGMILVVAKDKFMEVNTRLTELAEPFFEIGHVEKRTTGQAIKFFNEAKLFHRDTYKTQRKRVKVAILISGTGTNMQKLIERSKTPDSNCEVVVVVSNKKSAGGLKIAASYGIPTKVVQHTADRVTGDTALAEVLKNYGTQLICLGGYMRILSPYFISQFPSRIINIHPSLLPSFKGAHALQDALNFGARVVGCTAHFVDELVDHGDIIAQRPVMVEDNDTIETLRQKIQVQEHEMFPNAMVSIAAKILGE >CRE00604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1306939:1308221:-1 gene:WBGene00051457 transcript:CRE00604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-172 description:CRE-COL-172 protein [Source:UniProtKB/TrEMBL;Acc:E3LDE0] MDSSEKQLCAETERIKKTAFLSVAISTFVVFCSVIMLPLIYNYVQTLQTHMLDEMDFCRTKSRDLWVEVVSVDTVLSRGKRTIRSADRAKREWSFGQYFDRNQRAAGSGSGTSGGYGTYPPAPTVAPSYGDYKVAAVEEFQPASCCTCQQGPVGPSGPPGDDGLDGVDGKPGVDGPPGRDGVLLPPLGQAPEPCVICPPGPTGPPGFPGQKGPNGPRGSPGLQGQDGKKGEQGMPGPQGPTGRPGRPGPKGPKGEDGRVIMVAGPAGPAGPPGPTGTPGKRGARGMDGLPGPQGAPGQQGDSGVPGPDGKEGPKGEKGPPGPHGLKGSCEHCPLPRLPPGY >CRE00146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1311271:1316947:1 gene:WBGene00051458 transcript:CRE00146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-137 description:CRE-NHR-137 protein [Source:UniProtKB/TrEMBL;Acc:E3LDE1] MILNAPLFINHVNYSSYIDYTVELSYATSNSCSTPESTPSPPLSKSNSPQPTVSQVRERRVFDLPCAVCLWPATGYHYNVASCNGCKTFFRRCVLSGSSFRCIRSDSNCLTAMRLVPGARIKCRSCRLDRCLQQGMRKEAVTSGFLANEGKTATDTYLASISQPEISQTKRDLPEWLTIDLVSAIEFAKRLPDLAKFKDSDKEELIRTSCFTIAIAIQAIESYFEKHRRVVMPDGTDIIQAMIESGTVIHADYTQMFVTILDPLHREEFTIDELVLTLQMLFFTIASPENLSDEGKEQLEKSVKSSMQCLMVLLITQDKVNFSARYGALTALNETFITVTHIHKHKMKEAEPFRQKLRDLLIEQCVFDYRPTH >CRE00606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1324941:1328300:-1 gene:WBGene00051459 transcript:CRE00606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-173 description:CRE-NHR-173 protein [Source:UniProtKB/TrEMBL;Acc:E3LDE5] MNILHYSPEQSGIGQFSNEFQFQSQTSPSNSTSSSEGSDQQQTVADFVDSAIFMNNWSGVGEFPDVEEDIKNVLNPIGSPDEIKEVVAFHHLPRNVCPTICAVCGDRATGYHYEVPSCNGCKTFFRRTVLSQRKYECMRGGKCFNTLPKEKRCSCRSCRFQKCVEVGMNPYAIQTNDSLSGNTFVTKIVGKRKNSADDSIPSTSKMVVPTEVISMDNAIDKLIDGLVYLELKVDDFRSCAYNPPSSEYKQLKFLLEQQSMIGLVDRIGPMPNWPLRQISHEEMKAHHRSGSKMPIAPERKNWFVYDILTSVEYAKTFMFLHQIGKEDQVLLLRAVVLKLMHLNQAFYSYEKKFDVILHPDGTIPPHLGDKNWHPPHKELHLPHNKTPEEMREEWTAAIKAFATYGLDKKEYVLFKAITLCNATITGLSEHAKEVVTREKEKYSQALMRYCLANRGMKGPSHYASIIALSNGLESRQKNQKDMHLILRMVKKGFSQELVDDVMEEVL >CRE00607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1332018:1336760:-1 gene:WBGene00051460 transcript:CRE00607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00607 MSTSTTVKRARVTARIAQAENTSLPTTSENTQQLLQQTEGKRKQLDKKCSEYEQICKKTAKLKAEKSRIETEASNLTAQSDEIDQMFSKLEQNAETSFLLQQQKNNEMNEAVQQWTTLDGKEEKLYDDLTHETELEKGLREKYSNTKFPDEEISKNVNQLGTDAESDEKEFRLLESAFDTDMRTEKTLEQYLTRLIDDVFINQRRLEDIQIGTSRGRANFRQAEKALRDQRSRNRRMSAQISRNTNQDSERVFEDKSEEEEVQKLEAMEKAWDSMEDKIRLKEFELAEESEKVNQKIQLLGRLQSAETANKEKQKQLRIAKDYADLQSHTPMYAAYSASMSLNHNLVERLEIPVSRNSDVKEPITYKSSRTSGTPNQLVEFEDDVDEFPEYSKMNESRKKILRDTEQEAQRVLTHELKELEGIVSGQRQESHALTRDVKWLKENIQLRKTDIEFYTTKAQELIQKARKENNDKLQSSQQKSQNYFPLDLVASRGDVLELREKRLILENERNMLTNQIKENGLECDRLNEQWKILKGFAKSVNFDSIRQLDVEIEHWQIKVTQLEEQITKLQKMEDYASLANGQLRTNLALLKPYDEEYQEFESLLEANKLLNNQVARQEFRKSVEELKQNGDIDIVF >CRE00149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1341185:1344166:1 gene:WBGene00051461 transcript:CRE00149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-120 description:CRE-NHR-120 protein [Source:UniProtKB/TrEMBL;Acc:E3LDE7] MSSYWETMNCTHLDKSNKLLHPSPPMWINRDSMNWVDGSLSSHSPMSSDSVSPIRSPAEDTNMMECSSNELPAPAPIRKIRNVRKSDKHHCSICGDRPTGYHYDVLSCNGCKTFFRRTIINHRNFVCSKGGSCQFTKDFRCACRACRFQKCVRVGMNPSAIQFPNSKHANHETDDMDSGDEDSNPALQDDKSLALIPFVESPIRETTIHTYRWVDDIFRREDAVLRHRVPGTLPVEDDFCLGQLLRRNILLGGDHVIDRKPGTLYTSDPVRYWMVVDLLLIVEFAKTFEAFRKLGELDQVIARLKNIKITFNTLQKCLISHIGGVLHVATQSFYSYFEAKSCTLTFPDGINAFERKMADMKKDEKRYVDSCCSQSLLYYRFYSKVFMNYYAEMYNAPVNEFLKNKITMTEYAFFKSISLFSPDNLEMSETGRSIANEERQRLTTLLRKYLVAEYGFKIGMSRFSFILMAISTFVNYGEKRRDYISVSYNPSVFQNEILFQLMNLLQFDIANIGKDIYLRKPIDMM >CRE00150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1345236:1347450:1 gene:WBGene00051462 transcript:CRE00150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aexr-1 MAATVFNVMVIFCAIKLFKRSGDTMHLFILNMTIGDLVLTIFCHPNELLIRKHDFLQQKHLCAVVHYFNWIGLAVSGLSLTLLNVDKLIYFRWPLNYDRSMSKRRAAIFCLSIWAVSIGFVSYCWLFNIVYIYDKDCSLQMSPSKKFYYEIFTMLFCVLPVTSSLIVSIYLFNLTRSKRNATNAGDPSALKNKKVLSQVKSLAFIFATTTWTSCSLLPYRIVNLARIHLFTWSELSCEGKQTMNWIAWVLVYLLTLNPIVNPLITSLIYAPYRLTIKKFLVNIPVGNRPLYSYQPDGLQSDSSFSSVRRNRNRRSSCTELASLRQSTEVRVVRISMSEPPVTPMKSDEFSFESQASSDKKLQAGSKC >CRE00151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1348177:1349968:1 gene:WBGene00051463 transcript:CRE00151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aexr-2 MSFSMSQRVVETMPSGSWNDFTDLPAEFISQFGPINYVEQKPTGCYYSKSFMFYATMMDDVMVVACLLATLVNFIVVACSLKLYSDKGDTLHLFILNMTLGDSILTLFCHPYELLARRYVGVQIHLLTVFLNFANWVGLAVSGLSLTLLNVDKLIFFCWPFKYDIWMSYSRAKKFCYMTWIVSIGFATYYWLYSYVYFINTKVDVKKRLEIQYVFLTVFMNDGQLSPINRLFYEAFTVVFCVVPIISSLFVSCYLYDLTRRKRKLIIRSTLSKRNENKVRRLGSNFVYILKLQASSFAFIFATTLWTSCSLLPYRIANLARIHVIAWPNLACESRQSVSWFTWSMLYLLILNPIINPLITAFAYAPYRRLIFSTVSKAVRRGPKKIADEIFYHLDQSGNIKSTESRSQNIYFIELSERDAAETKVMTPKAPLARFPSLCSCDSVATPKTQKCTRF >CRE00608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1350347:1357376:-1 gene:WBGene00051464 transcript:CRE00608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kqt-1 description:CRE-KQT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDF0] MSQASEEDNDCADLMSPESPMTPDGMILARMPWHPGLVGNWNTAKSVSSAMLNGEETDRAAPSNEQQEAGSSSQIGQESRKTVVFQEPDAGFPSEHDQLTTLHDSEEGNRKMSLVGKPLTYKNYRTDQRFRRMQNKMHNFLERPRGWKAASYHLAVLFMVLMCLALSVFSTMPDFEVKATIVLYYLEIVFVIWLATEYICRVWSAGCRSRYRGISGRIRFATSAYCVIDIIVILASITVLCIGATGQVFAASAIRGLRFFQILRMLRIDRRAGTWKLLGSVVWAHRQELLTTVYIGFLGLIFSSFLVYLCEKNTNDKYQTFADALWWGVITLSTVGYGDKTPETWPGKIIAAFCALLGISFFALPAGILGSGFALKVQQHQRQKHLIRRRIPAAKLIQCLWRHYSAAPESTSLATWRIHLAREMPPMVKFTPNGSNNATGLINRLRQSTKRGPSTNIQTPSSNLQSTSKNLSVPRVHDTISLVSTSDISEIEQLGALGFSLGWKSKSKYSGSRKAADDSMLQTRTMAQSNAQLDEEENVGYQPQTMEEFTPALKNCVRAIRRIQLLVARRKFKEALKPYDVKDVIEQYSAGHVDLQSRVKTVQAKLDIICGKNIEKLEPKISMYSRIATLETTVGNMDKKLDMMVELLMGRPTAHRIFSQNTSPRGEISSEPNSARHDITRSRRSVASTDNEMYTARSHSPSAQGPIIARMAEEEDDDENVFDENHPLNNGPSTSGC >CRE00152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1357716:1358999:1 gene:WBGene00051465 transcript:CRE00152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-266 description:CRE-CLEC-266 protein [Source:UniProtKB/TrEMBL;Acc:E3LDF1] MIFHFSESSNLHYSPQPLDLYPLILHLSNCSTGATKTSELAPSLTSHWSNASVLPIFLLRLTGTCPDGWIRFSDSCYWVEQHKQSFAEAEKRCYEKNATLFVVNSQDEWDAVREHFPQIGYTWIGLVRFTHRERSEDTPTWQTEGAVNPAKLNWLIRPYKPVSNGWSILSNCAAHYSASLNLDASAYTYYQPCSFKYYSICERNSTILDFLNRKFDIQA >CRE00609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1360562:1363923:-1 gene:WBGene00051466 transcript:CRE00609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00609 MKKQVTMDKEYCNMEKIVSKTACKSSSVPAVASSSKNRMEAGDRKVSTGTLNRLILVAQALESEVETRRNDDISAIKITFSIRVANTILLLLINVLIFSGFGKYQVQKDGRYQDLMLTADKWTYDSSNDELPETFVYLRLSGQYLSACITVFLLFSTIFLQLLHLCGISISRITNMCYSFGAVPFTLFVFGLEMHYSTCPWLDEYFISYSMSDMSSQCAINGWALAGIFSLLSCGLFVSEGIITAFFGNSHAAENKETIV >CRE00610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1365339:1367033:-1 gene:WBGene00051467 transcript:CRE00610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpr-6 description:CRE-CPR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LDF3] MKTLLLLSCLAVAVYCGCNDNVESTLDKFRNREIDDEAAELDGDELINYVNNNQDLWRAKKQRRFTSVYGENDKAKWGLMGVNHVRLSVKGKQHLSKTKDLDMDIPENFDSRENWPKCQSIRNIRDQSSCGSCWAFGAVEAMSDRICIASHGELQVSLSADDLLSCCRSCGFGCNGGDPLAAWRYWVKDGIVTGSNYTANSGCKPYPFPPCEHHSKKTHFDPCPHDLYPTPKCEKKCIADYTDKTYSEDKFYGASAYGVKDDVEAIQKELMTHGPLEIAFEVYEDFLNYDGGVYVHTGGKLGGGHAVKLVGWGIENGIPYWTCANSWNTDWGEDGFFRILRGVDECGIESGVVGGVPKLNRVSARLQRYRGFSSLKNWGKYDLNEMM >CRE00153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1368304:1370180:1 gene:WBGene00051468 transcript:CRE00153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00153 MASLPENNVMRYCSLAFTIIGIALTATSLFTDHWIDVEIINPKGHDIYLHRGLMQWVCINQKDISDRNCIAKYPLFPGWLKSVFTCMCFGLGTQSLLCICALLSLFIKRGRMYISVVCTALSFTSFLLITIAIGIFSGQAKPVYFETYIYKGLTVFCHLGWSYWLSVGVIIISILCTSLETVQIFLNSNMGYTSSTLPPEATATWKYTM >CRE00154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1371195:1371856:1 gene:WBGene00051469 transcript:CRE00154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00154 MHHHHHHAPFAIAGLLVIITILTGVGTFTDYWGVASLGNAQGHMGIYAWGKSGANRQFQRSPGWLQCVVVCQLMAFSFELLFCLLVVPAILFRRMMPVHAACTLLSLIILILLFIGMIVFAVNIGNYTLVPGIKMKVGWSWGISLAATILSLVLFLVSGSATGYGAYSEYR >CRE00155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1372412:1372935:1 gene:WBGene00051470 transcript:CRE00155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-6 description:CRE-TTR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LDF6] MRSTVLILALFGMAASIEMFGRDQSSAVRGKLICDGRPAAGVLVKLWDKDTLDSDDLLESGTTDSNGDFHLAGWTKEYTPIDVKLNIYHDCNDGIKPCQRKFGIKIPDSYTSSGKIPKKVYDAGVIQLAGSYPGESRDCIH >CRE00611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1373452:1375766:-1 gene:WBGene00051471 transcript:CRE00611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00611 MTAIYDMTRRVTMHDVNSDVNYDDMDADVQDFFTDSNQPYYHERNQYTIEKLPDKVLRDIFQYLSPKQVCSYSSKISFQINQVGLVCKRWRVISQNPLLWKFVSFRPNYGGIQVNPQCIDFFIQLIGTRFSELRIVELATDLITPNVLYELANKAPKLQYLTLDFSTAMQLHDFTDLQSFPSRLKSLTICLSENIFLEGFLRKVYTFISSVETLHIIGTYEKVEDEEEEVYETVNIFKLKQFLPNMRVVNLWGVPFITDEHVDAISSNCAHLECLCVNYCPKVTGACLKLVLQRCRKLKTLFLAHTSRFYNTKPPEICLNSELDNNIVKMVDWEKTRIEELDIKGTELNSEALISILTRLPHLRWLDASWLENMTDQVLEAWQNSNAMGSLQFLNMDTCDSINEQALVDMIKRHGHQFHGLCLGGQHKLLEYFWMNMIPQLRNIRVMVMGIAEDCCPKVVAKIHVDQFIDCIAQNCPRLTRLEVRWDDETLRFSDKSRYKLHTAFTVTNNFYFSKFIDVLRMKCLMLHSIVLSDGQYYELVRSNFERADRMSVVRTTEMCRTGLLHCSRYFNQLLFN >CRE00156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1381130:1383760:1 gene:WBGene00051477 transcript:CRE00156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00156 MLNYPFPSPPVFYSTYKFDMNLNLINKVLFQTVLIAYKVDERLHFICLGIILPIAFVITTISSYVIFRTACMKHVRKIETTLVCYALIENILMQMFSTVFTVFYWTFGYAEFEEELGTTKFVCIFYLYCFNYLPLFCFNLVNCTIALLLLVYYRRTHPKLFGIELSNFNLRTFYVIIVNFALCDVLFIFCISWIAMAKNEEMRNYQTKCFVLLNPIFQRVLFGLLEVLIGFFQLKIVDLMKGLEWYTQIFTHSVPYFLCICFMLFGSYKIYQYHMIRREGAGIQLREKQRYGGVGLTLLVSIVFLTIDMVFNLYCDIYEIRLHYTNEGTNAEYFFFLKDCKTVITTVLYEKLPEPSEMKLLAWMYYKWCRCYFALCMFRKFLDKMEDCSIKRRQRKRQNKENKELKKEIKQKARNQQGCQSAKKETRIDIDINENVEHVSIVPNKSLPIRETAV >CRE00157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1394280:1398283:1 gene:WBGene00051478 transcript:CRE00157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dop-3 description:CRE-DOP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LDF9] MLAGQHHVPGIESPLMVVLWRVAAGVFLPLIPTMAVFGNALVIMSVFRERSLQTVTNMLIVSLAVSDFMVAIGVMSFGVYYEWNSFKWGLGAFFCHVYQALDVACSTASILNLLAISLDRYIAIGHPISYAQYGARGGRAMISITIVWGVSIAVALPLLLGVNPMENDQCELANPYFNMISSIFSFFIPCIAMIILYTIIFRRLRQRERARSLRQAQRSETDKISSALLGGAQIARQMGKHFKNRTDQILLEISFQKEKGDNLKKWYVLQTSSFPTMSESSDDGSTISPMINSFNNFLPKKTTYPSTSIPAIPECGSMPNLTIIEKPEEEKDIELSIMDLRDTVEMLDDKYSSAMITRSFGEELEEILPFIDGSTSVKHSREQLHTTRSNTSTTRLLDVKPELRSISVPSIQDEKKLGQKPSETPFAHQNGTNKQKLLPNPGILMKSKSTTLLKTNGYMDTDSLNNNRSSHKKSLADLLANDEFSFSDSMRVYKNRLFKSLSRATSGWNKPRPSRHMVKKATKQMRREHKATVTLAVVLAVFLFCWLPFFILHLSNSICLILDPNSDCVGFLPLYLATWLGYLNSSLNPLIYTVFDQRFRNAFRNILSCGIFKKR >CRE00158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1399641:1401606:1 gene:WBGene00051479 transcript:CRE00158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00158 MYAQKVFSLYSFIMLSAERFLAMCNAIECPEGELIHRFSKVSFCQVDFNMNPIEVVFNITTEAKLMHTCRTYSRILPCFDQKMVQCGTPAEKSQLERGKRLHTYLCAPFSLQRQKIFLRRSKCIQEVLAEPQNSDCNRNDTVFVDKLQSCKLEFSEKCVLDQIVSLKLNSQRCQPALISTLVKNAPQKLLNFLPKCNRLAKLLYNKIVKFLFEVLTNKEYPMQCQYDLNRRPEGELRKGLPIESLIAQTTSSTTYVTVHPPELPPVIDGVITRTSLPIMRRTDPHSKSKPRPTVSQSSGPVIKTVIVDERGAPVTPRPTTQKPKVVHKFLPNPYTTKNPNALKNDNVRTTRTIIPAGDKQSQTYVPWNYKSDAVQVSTLSSLLAPIVKPTENVLSAPPVSFNFKMPPEQNTTQPFRVEINWNDDTVKEEPTQAPGIYASPWFIKTPSYIPPEIEFSHSSPKPTTPSPLEAVNPLLNQLKSNALNFTELGNQANNYFSAALSAFAETKKEMAHNDPWRTLIDAVAPTIHKFSPEMIPRIREEINRIQPQQRTQ >CRE00612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1402104:1406560:-1 gene:WBGene00051480 transcript:CRE00612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-svh-2 MEIGSSRMSAKELTTQSSIFRYLVLLTCFTLLIDGQLNAKLNSNGVYVSRDEIQNKNVLGVTEGFGVLVVATHSKFHIFENDEERRTVGHVSLDMQPRTKFLELKLFSKSEIFYCDETECGLCTYSGIKSDCSKLQLHSDEPKIQEILSASAVKLENMEQLMLAISFKNVESSYRMMLLKYSGQDNGLVYPQAYHADSSFVRNNHVLSSFEKEGFVYFVTTSTQLFEPDIFLHDQSNNQITVTKIVRLCSADQTPDLASKTTILVGCDQEMRNISSRGETAIYDSANGQVNVIMLNLTSANHVMCRFKMSNLEKRFSNVWNTCQETTFSENVGKINKCKYPSMFDVMKKKKGCLIYSRLDDESSPTLCARYGVSSLHSDLRYILYLFQRDQALDNCQLHTAKSDSYRYGWLEDYNVLQGELMMKIPYTELQNFEGLMIDGKAYFAAVSKESGIADVVRFSASENAEFKPNWRTNITNSGKFSISRIQDNRLLYTTIEGLQSVVISCKELYPVCNSLKLGGWQDPLDCSWCADDDNARTISASEVPVCKKNLKYECPPHLRWIHKYNNNSGFTAVVEGFRALKNPKLNACGTNCIVTVVDPNSIQCDTTPGDSIDDSCQQVFLSGMIGEKNYSFPFDYQHADRGTQTDPKNSQAEGQKGSSPGWKVAIAIISVLGIILIVAFIVYYMRNRFPRIKTHVRPPFGERVENEYGMGHMPGSLTQVVTNGDSYVKVFRSFPQDLKVDFKQLRVDKLNPIGQGHYGVVYKAVYSPSKSLEEKVVCKHLKDGKISEFYDEAKTMSEFCHPNILRLIGIALDDSSHLPIIVTEWMANGDLKKYITNENNTIKMRDLFEFAFDIAKGMNYLHGKKYIHRDLACRNCLLDDRQHVKIADFGLCRKVDIETEIYVQAHERELPVRWFPPEIMERGFGIPSDIWSFGVVIWELFTRGATPYNKMTSWDLIRPWLQESETNRLAKPPYCPDKLYTDVMMACWRANPVERPQFSELVTLIPNVVKYMEGYDRSQLHAGYERVSSRFLSLSHHDPAFPIYQNEAPQDQLLPSSTSIEYIHLAQFDPDRPSTSTAIPRFKSCQYQLLSDCCETSV >CRE00613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1415750:1416751:-1 gene:WBGene00051482 transcript:CRE00613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00613 MCRWFTLFLLPYIIFLISALEHVERNSLPRPIHPRRHKRAEQVRLPPVSRTPFVLWKNDPKCMIDGRDMMSCPKKNANDPDERLICIEPQELCDDHQDCLGGEDEAPHFCMFKKLEDAEVRRLKAEIYALAQNNNRKQEPVYKDVIVNNDETSDGTKQQVRPGVFKVNHLGPLGKSKMYKNAEYEDDVNKSEEESEEEDEDEDEDDEQEQEQIEDAETKRREHAKRRRTYRHLLQLKGVRL >CRE00160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1429781:1434518:1 gene:WBGene00051483 transcript:CRE00160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-60 description:CRE-CEH-60 protein [Source:UniProtKB/TrEMBL;Acc:E3LDG4] MDDLMKRLQTTLAAENIDRNAIAKSVEMNPYRRLLHEVLLERKDLLLVGSRMNLVALFDTEDASSEIEEILAQFENPTFPLEEINTEKDEEWQPLERKYKDGVNQIKEEMLTKLGHLEKDLETSLTHSEKVLKSHRDFRPIDERDYCNIRQSINKHFDQAKINLRGEAATKILVLRRDIEQQGRKRRNFDKNTTDTLQNWFHDHRQNPYPSDQEKAELAKQCNIKISQVNNWFGNQRIRSKQQALRMEEEERRRLAQLAADEAQAMQNALADATVVASQNLVNSSLLNLPLVNPAMQAMVIPAVQPTVINNTNGFLQQPNYFPVGGQLPLTDNGNGQVALKSIDVMTRRSLFQQFYTTDFETFGLVQQQQDVEQQQQQQQQFTAMNYLG >CRE00614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1435411:1438400:-1 gene:WBGene00051484 transcript:CRE00614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ets-4 description:CRE-ETS-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LDG5] MAAAYCETQFHGLNQFGFPFNNLDYKYGSYSSPMNGTGSVGHRWNSLSPEPHSGTESTASTPFVKSEFPFDDDLFGIDQVNNVKQHPMDMHCNLPMPTLEYNRRFSKDADDSVFVKNEIEENILNFNVNPEIAQDNGLDTQQMDIYRDLILRHLIQDISTTCAKLGLPTDFYVWTSEHGARWINEMCQQFSLQPPRNCFVTGRVLLGMSQKDFEMFLPEGGDTLHAQLQVWKTAFESYHPPVTVQSSGLTAANNTMESKTNWMANTNNQTNNMAATENPNHPFFNGNGGYPNMSMGSFFQQGTVLPSPSNSDTSSNGSSQDMNDDDLDLQMNTPNCNLSNFFHHPGYMNSPIESMCNGSEGDDDDRAYTRHQGTVHLWQFIRELLDQPKQYGTCVRWVERDEGTFKIESSLLLARYWGQRKNRSQMNYDKLSRSLRQYYKKGIIQKPEKKQRLVYKFLPPYNL >CRE00615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1443974:1444588:-1 gene:WBGene00051485 transcript:CRE00615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-35 description:CRE-TTR-35 protein [Source:UniProtKB/TrEMBL;Acc:E3LDG6] MISNTSIIGACLLTIVLAASVPEPHTGRQNYRVKGVFRCGSEPAKNVQVKLIDDDFGSDPDDDLGNVYTDVDGAFELNGSTTELTTIDPHLKIYHDCDDGINPCQRRWKFELPNSYIFSNSENAKTLDIGIWNLEGVLPGESRDCNH >CRE00616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1444730:1445289:-1 gene:WBGene00051486 transcript:CRE00616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-36 description:CRE-TTR-36 protein [Source:UniProtKB/TrEMBL;Acc:E3LDG7] MRILVCALLLICGAVAFRTQSTGVKGKLMCGSKPAVGVNLKLFDEDNGPDPDDVLDQKTTDDEGNFLLTGSSMELTPIDPELRIFHDCNDHGNPCQREWVIRIPAKYITNGPEVKDIMDLGTMNLEVCYSFLKLLFQILIQIELDNESRSCAH >CRE00617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1446048:1448504:-1 gene:WBGene00051487 transcript:CRE00617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glr-8 description:CRE-GLR-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LDG8] MHILLFIISIHVSPTDLHKMLFTPEFENTTADPNSIRTLNNILESINEKVAVPPQISFESDYGDAYTQSNQKHFFLEKMRDKTLKMVVPAVEPPYVNYVNFTDAAVTEKGYGPGVVIEILKEIGKRLNLTFEIVPASGSTWGEYLNGSWTGAFGQLVRGEVDLLAGGAIMEYDRSVISDLTYPFQFEPTGIMIRSPEKYEDDTLLIVTEPFSWEVWVITAAVILISGVIFLGMTNILRKVYKEMSVTPFESIWVFFSIFVQQGLPEQPRSWSCRVLVALWWLASITLSATFTGSLVALFAVDKTNLPFQNIEQLVKLVKQGRFEIVMDENSFTRTEMIARSKLPVYRDLWHEMNVNHKVKYVNGISRGVAFVRANPGYALLGPMATLNFYAYSDCKVILLNDGILPVYLSIPLAKHSLYSSYFSTKIREMVERGFTQKWIADYRSYVAMQKINECNSTTVGPKSYLDLKRAQGAFWVFLGGVSIGVTLFVGEFIFKFFRKKMTKHDDGEKPFEEVTTVSPNSIQNIPNTGNLDSIQPSEPPLNENNIADNWSLRRRNVLNLSLDLSRIAN >CRE00161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1449640:1453271:1 gene:WBGene00051488 transcript:CRE00161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdf-1 description:CRE-CDF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDG9] MTVSFEVPPTAENSSSPPSITTLGKTKVALEDCSAKADNIKRNDNVYSEPMDKIRQTKVIDHCDHGTHSLENGLRLRGAPIEKTEKVKSASRSLIIQIGMTLIFCACEFFTGVFCSSIAMLADSYHMAADVMALIVAFVCIKIATRPSTRHGYGWVRAETLGGYFNGIFMCTVCVMVLQEAFGRLIHAHVITNPVSVLGIGFIGLMINVYGLFNMSGHGHSHGGGGHGHSHGFGGHGHAHDKKSKRNKKHEDHEDDHEHSHSDDGHGHSHNNGHGHSHDHDDHDDCHSNGKTRNTPENAKSEALTRLLEDEVSDEIVERRLSGVNNHPIIATVDRQMTPYGTHMASDVLNSTIELKSSDKKKKSKKRMNVNIHGVWLHLMSDALGSIIVMISATFVWLLPEWAMAKYIDPLLTICLATFMGITAVVLVRTSAKKLLKLTPEGLEINKVKKDLCSIVGVSMVEKLSVWVLCDERIIASAHVNICHPAIFPEAAHKIKKYFHDMGVHSTTIEPTFEDTCMQSMKVLVKKMNEGTSVEDTTTVEMKNETCTTSH >CRE00162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1454246:1455732:1 gene:WBGene00051489 transcript:CRE00162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00162 MSSDQAESTENRNISDGTYDDEEEEVIIDPPILITSVKVQLPGSVKIKHLSQELRDLLVIHLDVPSAISMGSWQMVEDFLTASGTFQLEPADPSNKNIWKPFENLHAQILVNALYNVERIDLLVKMRQMIRNNVHLQEADDVPQTSQNFSQAVSISNIPPLVPFTPVDATNTILVLHYEKKKQERINYKWFHDNLVQHLEKQSDKNLKVVDIITLEKNDGGNLLQLLEHLYPQFKHIIVCFNDSFIDAIKSPDPKKTFSFRKFLHDKTIVEFLQNNNRNRRFRCVVMPGITKTIETIWAMVTNRYPFPDNYNELLEKLLESKGVKVKRQSSVTLNEEQLQNEMRQMVTK >CRE00163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1464954:1468946:1 gene:WBGene00051490 transcript:CRE00163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gar-1 description:CRE-GAR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDH1] MPNITVFPHPADTSWNSPYSVPVQVVVWLIIIVLSLETIIGNAMVIVAYRIERNISKQVSNRYIVSLAISDLIIGIEGFPFFTVYVLNGERWPLGWVACQTWLFLDYTLCLVSILTVLLITADRYLSVCHTAKYLKWQSPTKTQLLIVMSWLLPAIIFGIMIYGWQAMTGQSNSMSGTECSAPFLSNPYVNMGMYVAYYWTTLVAMLILYKGIHQAAKNLEKKAKAKERRHIALILSQRLGTQVGVSLMLQSKAEKEKAEEAQKDSGYTSNQAGGSMNTDNDQNLGVIEEERSGFLSRRESNESYYPGPHPTAANSRRCSEMEKVSLLSESDGVQSTRPARSYGRLSLRSRYSASDSITTTHEEEKEIEKADSLRKLFADDELGSVLNFKEEKLKNTDSNNDSDTTSVILQRSRKYKKNKRPRSSRRSEHSTPRQIAKVKQAEATAVQLIEEPVPEGDQMETIEVKRTDRWVVSMKKRIARALVRRRSTRQERGSSSNSDDSSSEVEGEEKPENRNNSLKVPQLTVNNNNDRGETSTQPERDRLAPPNKTDTFLSASGVSRKISTISTVITREKVISSIFAPIAVFNRGRKQTKAEKRAHKAFRTITFIVGFFAILWSPYYIMATVYGFCKGECIPSFLYTLSYYMCYLNSSGNPFAYALANRQFRSAFMRMFRGNFNKVA >CRE00618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1469658:1470603:-1 gene:WBGene00051493 transcript:CRE00618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00618 MIDNYSAAILVIFGLLRLFVCQHTRPALPFSEDVRSCILADARLPTSLLAKFLIPVVLSTFQSFRAGCALTVLLIAMWTDDFQYPPVEPPRERNRLASYFIRIVSYEIIVGSIIWALFNQKEDVYNTLTIFQMILGFNCFWFGYYGKVDYDEFMHFPHGLPNRIFPASSTFDMLHQITGPDYQHRIIQWIGFGLLAGNGFVYAFVFYEICNLASKAYTRHRINLEEDPNQVDDGRTPLLQRL >CRE00619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1471541:1473766:-1 gene:WBGene00051494 transcript:CRE00619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00619 MIDNFSAAIFVIFGLLRLFVRQHTRPALPFLEDVRSCILADSRFPTSLLAKFLIPVVLSTFQSFRAGCALTVLLVAMWTDDYQYPPIKPPRNRHRFSAYCTRIVSYEIIVGVLIWVLFKQKADVYSNFTIFEIIFGFYCFWFGYLGKVDYDEVMHFPHGLPNRIFPVSFTFDLLNQITGPDYQRRIIQWYGFGLLAGNGFVYAFVFYEVCNLASKAYTRHRINMEEDPNQVDDGRTPLLQRLFESFDNAYHQKKYYNETDVEKRFPQFKLNDEWNALIDPMGGVIYADEWLNTFRDDFKILSFRTVKEFFLTWNKKKLSTSTRTRLDTRKKDEFTLRIMFLSDNSPGNHYIIGSIPTKNPNILVGGCGSGSGFKVAPGIGKALAEMAAGKKTTVDVSFFSADRFKSSKIKFPFSIYSYFDKH >CRE00620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1474580:1476056:-1 gene:WBGene00051495 transcript:CRE00620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00620 MSTDYDVVVVGAGIFGSCTAYNCQKLGLKTLLLEQFELGHTNGSSHGKSRITRYAHTEVEYVDLVGDAYEQIFELERVRGEKLWKKTGLLWVSTGDEIAKIHSNLKAKNIEHEVLKGTDVEKRYPQFKLNDEWNALIDPMGGVIYADKWLNAFRDEFKKLGGILHDRETVLSHSEEGDILYVNTNKARYTTKKIIFTVGCWITKFLPDVKFDIEPVSISVCYWKAKNEAESHLLNDDHYPVVIAQEMELKVFHYSLPDNDYPGAIKFCYHYGDRLTKDLAHPENRSQHCIDLPAKFIRNYMPVIDGSVPVKVDKCIYTVCFHFLFSSEFFIFQNSPDEHYIIGQIPTKNPNILVGGCGSGSGFKVAPGIGKALAEMAAGKKTTVDVSFFSADRFKPSKI >CRE00621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1476643:1478105:-1 gene:WBGene00051496 transcript:CRE00621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00621 MTKHYDVVVVGAGIFGSCTAYHCQRLGMRTLLLEQFNLGHSNGSSHGKSRITRYAHTDPEYVPLVGDSYSQIEQLEKMRGEKLWNKLGLLWAATGNQVQSISGHLQAHNIEHEVIRGNEIPKRYPQFKFDEKWTGLIDPMGGVIYANKWLNAFQEEFKHLGGTIQENEPLISHDESYDSPLELTTKNGKYTSNKIIFTVGCWITKFFPHVNFKIKVSFLLSSFFHLTYLQPISLAVCYWKAKEENQRHLLDEKHFPVVIAKNLEKKEYYFALPDTDYQGAIKLVLDDGDELNEDLSHPKKNNKDFVDLPGKFITEHIPVVDGTKPYKVDQCKYTNSPDHHYLIGPVSSETSNILVGGCGSGSGFKTAPGIGRALAEMAAGKKTTVDVSFFSPSRFVTPI >CRE00164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1478733:1481670:1 gene:WBGene00051497 transcript:CRE00164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00164 MRHFLLLLFFHISFEENSLLPRIKRQSDVGSNAETNGNGDTVLTDASTQHFKSPDGVVGMNVSSNGNSSGIGSSNIETSAGGNVGDSNIDNVANVMSVGDNSNSYSDIFAAVEGEKFTSNVVQQGRVAGQGATLSNVNGGSSMQNHNGEKKNGFSYGNAGGTGSIDTEANVQTQQSMSWDQLLARLMASATASGVGSSQSNVDMGTGSGDKNITISGLVSGLNSNQGIVNSLVKGNGMINGTSEEIVGTMYGVASGKGNSTLVGASSIVSNQSSSLGEIQAFGNSNAFSSGNTSVNLMSAFMGSGNVKGIGSDQNSNASQTVETAVDPSGVVKIIARSNGQSMSHDGKNASLTFNDNGLVGGWRNSSYSGYANGVGSANGKDSNVTGQGFVEMNGDSMNGNSSMQAFGTGSGIISADTKAVLNVEENGVQRNGTVNGIAAADGNNTHVESLSVISNIDGFETVNNYQKISSSGAGASSVSASSSTIFKRKKRYSVLAKILKK >CRE00622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1482365:1483453:-1 gene:WBGene00051499 transcript:CRE00622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00622 MDIKKQKEEDLRQIMLWKEVVSPFKRGLFTTTDWRINTKRPLYFREIPGYHAWNQFFFDVAPPIWPLTEAEHLGWCCWYQDMAAAQANDPPVNPLNPTPRFVMNWKARQCPDRFDVFAQGGPHLNIVHEDEFSMNSYFLFNLDYCPNWKEEQMNVLAFYCLVFMHQGVWEPIIPIEMTIAAQKLRSLCRVPLMKLFHIDRNPRCNNVRSVRSLYPLPWPQFDLERHVQLLTLWHKMMVDFVIYHYVVKKAQR >CRE00623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1486806:1489366:-1 gene:WBGene00051500 transcript:CRE00623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00623 MTDELTSPSLKSGNVLALLNTLYTSGFAMASSSTPLLKGKTLHTLYAVSFVVYVTNSICSWLHTSFVVKGYVTSFPLNTWLVVSLVVTAVCGSMCTWLLLVLCIENAFAHRLDITPYRSGCALLFEAFIEWTQVELSITFSQIQTAFNNFRMSFLIMALHDAPITIFNYFFIAACRCPNPKVIIKFLRDIETMFSTPSVKVKRLQILSWPLVLSSSSCTVSLIWRITVLYFSYKRMVCAKKPVKKVSGVAVNTPAKKHFQQAIDTSSKTNGGRLGEYDETWPIRWARIHTIGPHHDRLCDTSDPTSPISNGKPNHIETPPPNEKPKPAMKDFIQRAGDFRANLDCQNSCRYFCGHLFWCILTFITYLVWSALCWIPCAYHYTCRHNSFYHRHKLCRSFIRYFSITFHYSIFYLSLLASAFLIGMNITLLTSVHGLGSNSMPPEIDRICVTVSPGSHMIFTNILNASPFESALQLQKKLNKLNAPKDTRAVTECKPLWEDGGLGIGLARREAGIWEMRTPINNTMLAVATYVTFNNSEIHNPALKLEYTHTLFIRRNNDVNEKYSCVRESGWTIVPSVAQLSWPYFSACEQNWKFAENPSLIECNQIFGIESGTASKKHTPRHNFRFLKTYAALRRS >CRE00624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1489652:1493947:-1 gene:WBGene00051501 transcript:CRE00624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pept-1 description:CRE-PEPT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDH9] MAYSESRSESVSSKGQESKVSYLISISGKKSYGHELETVPLPEKKIYTTWPDMIRHWPKTTLCIVSNEFCERFSYYGMRTVLTFYLLNVLKFTDSQSTIFFNGFTVLCYTTPLLGSIVADGYIGKFWTIFSVSILYAIGQVVLALASIKNYQSSVHPWMDLSGLLIIAFGTGGIKPCVSAFGGDQFELGQERMLSLFFSMFYFSINAGSMISTFISPIFRSQPCLGQDSCYPMAFGIPAILMIVATLVFMGGSFWYKKNPPKDNVFGEVSRLMFGAVGNKMKKGSTPKEHWLLHYLTTHDCALDAKCLELQAEKRNKKLCQKKKFIDDVRSLLRVLVMFLPVPMFWALYDQQGSVWLLQAIQMDCRLSDSLLLLPDQMQTLNAVLILAFIPLFQVIIYPVAAKCVRLTPLRKMVTGGLLASLAFLITGFVQLQVNTTLPNLPGKGQCSISFWNQFNNDFKTCQIIVTDPNGDKRTINQSMALHENKDDKAGVFKLFQTKSPNQKSTSDWTLTFKIAYAGNCGDPTKLQNTAVITAKSKKVIYVGVGPFGYYQNTANTDKPTDGTGEFSMGIVANLDDHYAGNFAMCRSDASDYDRAHPCNPRHPADFYFWETDYNDGTDDREGNSTVVGSGSLVTTYKQKSVKPGKWELYYLLNTPKDINRQTYNKTATLVQYTNFNLTRFEQGGVFIYALSGPRDSPYITELQIVQNNRVSILWQIPQIVVITAAEILFSITGYEFAYSQSAPSMKALVQALWLLTTAAGDTIIVIITILNLFENMAVEFFVYAAAMFVVIAIFALLSIFYYTYNYYTTDEDDDEYGVDDMEEEVAEIEDHNPRYSIDNKAFNSDEADCLDVRF >CRE00165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1495264:1498260:1 gene:WBGene00051502 transcript:CRE00165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-33 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LDI0] MLFVSILIISQFSAYLTQPDFFERPPDWFFPGPPPPRPWGPPPPWHRHRGPPPFGPPPPWDRPPPPWRRPPWHRRPPWDFPPPPPPPPPPEPQQDQPQVVNSVNQNTAAFQRPGESYDKVIQLMSSYFNRKSGSQYDMNAVIPSSGITNNEITANSKIAAIMFESDMALTVAQMNKVAQNGFRAKRKMNLNGTTWSRNIPYRFLDTDANWQTQITNALRYYERNSCIRFSLNGAGTDYIVFNQGEGCYSSVGKLGGAQEISIGYGCETLGIITHEVGHALGFWHEQARPERDSYVRINRQNAIIGLEGQFDKRSWSEVNEYSLPYDYGSVMHYGPKSFSKSSTLNTVEPTDAAFINTIGNRVEPSFLDLKLLNTAFCSNVCTNRINCQHGGYPDPNNCWQCKCPTGLEGTYCERLQTSNCGVELPRADYTWRNISYSGSSDCYWRIVAANGGNVRFEITYVMYRCSPVCEEFVEIKAEYTHEATGYRQCCRAVLGERISKGNSVLIISKASQNSQFVLRYRVIHSSGRVGQDALKTVAHVVPSIEQGVLAQRIAQDLVDKPESVTPNHVLKELREENEVYWKHKYLIATNDMVNGAVLTLFFREEIVFQCEMDK >CRE00166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1498970:1499881:1 gene:WBGene00051503 transcript:CRE00166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-6 description:CRE-GRL-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LDI1] MCAIAVTVLLIGLSCVYAQYPFGRGCGGCPTPMCQPRMPCAAPMPMPMPMPVCPPPPPCPAQFCPPPPICPPPPPPPMPCPPPPPPMPRPSCGCMQQRPSFYPSYVPQYYQPMFQQPMPMPTAGGCGGGGAVMPAVRIPAQNDCCCGCSSPCKYKSVRRAAFAAKTVDPSCNSSELKNIILDNIIEDASESKRNIQKIAEETLGHEVNVICGTGEFSYIAHTDTFCQAFKENVTCYAFKPL >CRE00167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1500562:1502162:1 gene:WBGene00051504 transcript:CRE00167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fah-1 description:CRE-FAH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDI2] MKSFISVAPNSDFPIQNLPYGVFSIGAEETRHIGVAIGDQILNLAEIATLFDGPELKSHQDVFRQTTLNAFMSLPRPAWLEARTKIQQLLSDNCGILRDDATLRKRAFVAQSDATMHLPAQIGDYTDFYSSIHHATNVGIMFRGKENALMPNWKWLPVGYHGRASSVVVSGTDLKRPLGQTKAPEGKRSLHFLNKEMTFSADVPSFGPSKLMDFELEMAFFVGGPENKLGTRVPIEKTEDRIFGVVLMNDWSARDIQAWEYVPLGPFLAKSFSTTISPWIVSIEALRPYFVENPTQDPTPPEYLRHDDPFTLDINLAVTIRPEGDTEDHVVCKTNFKHLYWTLKQQLAHHTVNGCNLRAGDLLGSGTVSGPEEGAYGSMLELSWRGAKEIPVGNEVRKFLKDGDEVNLSGVCDKNGVRIGFGQCRGKLRPADI >CRE00169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1505509:1506682:1 gene:WBGene00051505 transcript:CRE00169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00169 MDMRNSRASLLRTSVVTIQALFGLALVLLSIQLHFNKYYSPYDIVLVILCIAVTIRLVAVFVIWTNCVYRIGEKLGELKKFLEKCNGRTEYEHLALKMKDITMCLELLNRKVSIILLLGLAMITYCLILVLKKLTSVTIFSFVASPFILLFLVSSYANIEVNYFWMSRKIEELPALEVKFLFETTFSNAVKIVFYCFVVFISFEHCISSALQCKSF >CRE00170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1506918:1516612:1 gene:WBGene00051506 transcript:CRE00170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00170 description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:E3LDI5] MLRSLGIVCLLGAALGAPSQFASKSDDLVNNLPGLTFTPNFKQYSGYLDGSQGNHLHYWLVEAQTNPTTAPIVLWLNGGPGCSSLLGLLTENGPYRINQDNATVIENVNSWNKAANILFLESPRDVGFSYRDSSATPDLLYNDDKTATDNALALIQFFQRFPEYQGRDLYITGESYGGVYVPTLTKLVVQMIQNNTTPYINLKGFAVGNGALSRKQLTNSGIDLLYYRGMLGTKQWEDLRLCCPDTPQGPLVDCDFSKFVVFDNYGNPAPRNDTNDPTAINCGKMVVNLGLNSIWETYNDVYNSYQDCYNFDSSVFGGAEGKHAKVHQKTMRRIMRSALSTTGANSAYQLFSTGFNPFIDQGSLVNKMSTDALGSFPCYNGDATIAWLGRNDVRDALHIPTFVQAWQDCSDDINEKYYIQQNPDMTPVFQFLVDSKYPLKVLIYNGDVDFACNYLGDQWFIENLANNAYKMTLTQTRQQWNYTRAGTGNTYKPTLAGYLKSWNINKFSIDLLTVKGAGHLVPMDRPGPALQLFHNYLYSTNGYSNQVPYDVTPAPLLPQFAAPPQKTWTRKQADRVWNLPGITYGLNFKQYSGYLNGVTGNYLHYWFVESQGNPTTDPLVLWLTGGPGCSGLMAMLTELGPFHPNPDGKTLFENVYSWNKAANIIFLESPRGVGFSVQDPSLNNDTIWDDQRTATDTYLALKDFLTVYPEYINRPFFVTGESYGGVYVPTITSLLIDKIQSGDFPQLNLVGMSIGNGELSAIQQFNSAIMMSYFHGLFSKDDFDSLQQCCNQTKTSSQWFEYCNFAQYIHLGPDGTAIPNDSSFCANKVADLGQQRFWNSLNDVYNIYQDCYEDSTRAFGSRMTINQKKKYMKGFVDQGAKISTSSTDNQGGLACYGTAQAASWINLPDVRSALHVSSAAGTWSACNDTINGLYVQQHNDTTSVFQHILDTKYPLRVLIYNGDVDQACNYLGDQWFIEAFALKNQLPVTKQRADWRYMTAIAGYAKKFDNNAGFSIDLITVKGAGHLVPTDRPGPALQMIANFFRNQDYSNPTVIDSSLHPLKQTYVVAEKLAASLNRSTTGISFNGNRVHTKVHKVGRANKFMKTGEQESVKKPAEFNAPPPPPSQSKAQDEVTNLPGLTFTPNFKQYSGYLNASAGNYLHYWLVESQLNATTDPLILWLNGGPGCSSIGGFLEELGPFHVNADGKTLFENTFSWNKAGNVLFLEAPRDVGYSFRSNEYPADIMYNDTYTASDTVLALANFFNKFPEYQNRPFYITGESYGGVYVPTLTRALINAIQAGTINKVNLVGVAIGNGELSGIQQINSAVSLLYFRGEHDKSDWDAISKCCDTSVPQAYCDYTQYINIDTSGNVSPKLNDGSLAAQCGALVTQQGFLDVWTTDNDVYNTFADCYSTPPAADSKLSELASGIRRVQNRRSKRSADVSPFLPSTLFVDQAKKINYQSTDANGGFTCFSGDSSEAYMNLPEVRTALHIPTSLPYWTDCNLVMNENYIQQHNDTTSVFNDILASGYPLRFLIYNGDVDMACQFLGDQWFIEKLAKDKNMAVTSQHSPWNYTQGQFLPRVGGYWKQFTYTNAQKGNTKVTIDQLTVKGAGHFVPQDRPGPALQMIYNFVNKYDYNRNMTLDYSRKPLLSQYQPAPVTLSRRMADHIFALPGATWNVNFNQHSGYLQATPGNKLFYWFVESQSGNEGDPIILWLQGGPGCASTGGLLGEIGPFFVNPDGETLFENVYSWNKAAHLLIIDSPRGVGFSYQDKNVNKDTTWDDDKTALDTYTALEDFFAAYSPHKNSELYITGESYGGVYVPTLTRLLIQKIQAGQSNIKLRGMAVGNGMVSAVNDVRTLPDFLYFHGIYDKPQWEKLRACCPSSDVSYDCNYDYYITIDSGVNVKAKSFPNNQTLQQCAYLVEQLSYDRNWKAMYDQYNLYQDCYVTPRSSVSPFEEKEKVSRIDLERRLKSTIPQALLKTNPTDPLSTDATGGYSCWSDAASYNYLSLSHVRDALHIPDSVQRWSFCVDINYSNLYNDTTQIFTDILNSGYNLNVLLYNGDVDSVCSMFEAGSMVNNLATNQQFVSNQPRGSWMYGGQIGGYVQKFQKNNLTIDLLTVKGAGHMSPTDRPGPVLQMINNFVHGQPNYNTSIAVSMIRQPLLAQYLEQGTGPLNTQAPPTNPTNPTNPTTKTTTTTTVATTTKSSKYLSATFSVLIVAFVKFLLHIKAIVYNKTRKYAGHTVDLNLGDNATVAEAKSKIEELTTVPSQIQWIVFGTTHLEDSKPLREYGIRDGYTIYSTPTYVEIQDMPNL >CRE00171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1518731:1519979:1 gene:WBGene00051507 transcript:CRE00171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00171 MPGSVSSVPESSQILTYVGSVGFLVFSLMLTSFGYINNAWIVLFRPNDNDEFQRGVRNLDCYKTYDPPKIGCLDWSYVQKMDKFPMAFNRVYDATYQIYVAHYLSIIIIASQVFWLVYTIGHCLSLKFCVKVEKYKLIMFHAMVISVGLWIILLFIIIYETFTDQVLPRQLRKPDYEYSIGTGFWTFFLGGLIPYVGAVFCLFREQMQQSQDRLVQLIRHRRIRVPTTETTQGAEVIIPMNARSR >CRE00625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1520481:1520941:-1 gene:WBGene00051508 transcript:CRE00625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00625 MTTKLITLLLIICFVSVVCSKFVAMMDQSDVEPEDTIDLEEDRKVCFCCRAFVCRHQLCPCSRFTALF >CRE00172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1522738:1524150:1 gene:WBGene00051509 transcript:CRE00172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00172 MMCWQRIGRYFVTDAFVIIMAVHFSFLVSRFDVCFKTGFLWILVAKTWTTYPPPNLNYHINWKKGRTARGQIDPRYARTVERIRSSMIRRGEEDEEPDLATLTERNMQIAEALGAQSWKDRTEELRSMSTSGGRTKYVRKIRFTRECGNCGNSTATDEIPEEGSSTGPSLAQAVSEYLSTGRQAKNLRVDESYEPEDDSEDEEHERELARKMLSQPTVNRAAPPKQQFKEFIKGTKEYEEQLAIEKEKLINDMKELRLLQLKRDEEDKKRRAVDDRIKEEKEKKRLAERQKIVDAAIAEREASGNTDMDYVVYVFDGKAHFLRASPLEDYRPAEKDRCVLKYCSYHSNKSKNSSGSRY >CRE00173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1526754:1528414:1 gene:WBGene00051511 transcript:CRE00173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mup-2 description:CRE-MUP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDI9] MSDEEEVYSDEEVEEEEVEETEEAPVEEAEEPEETTEEVVAPPEVKERRAPVQEEKPPAEMTEAEIAMMAAKKRHEEEEAAKLLDYEQRRVLEKEQIEQELRELKEKQEKRRAEREEDERQFAERRRQDDERRRKEEEDRKAKSDAEKARKNEEKVRRQQMMAGAFNGRVEAGAGRNFTVTSKGDQAAQFGNLAQGKGKDTLSKEQLEDAKRNFLAAVCRQQDVSGLLPNDLKERIKTLHARIVKLEAEKYDLEKRRERQEYDMKELHERQRQAARNKALKKGLDPEEAASSVHPPKITTASKFDRQTDRRSYGDRRYLFENQEPEKQASLVRGSGRPPAEWGRKTNEELEQIRKNLEPPKYVEQVKVEGARAPVEFIPLTVPTDDFEPQQIEDPNVGEEVVVE >CRE00626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1529912:1532468:-1 gene:WBGene00051512 transcript:CRE00626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glt-4 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3LDJ0] MAKLSKENLLLLFTVLGVAIGIGLGYSLRDPNQAWSKRHLSYLRFPGDLFVQMLKMLILPMIMSSIITSLASLDSGTAGRLGIVSMTYYTLTTFSAVFLGIVLVTLIKPGKWTTTNVEDLVGHVKTTPCVATAVDTVIDLMKSCFPENLIEATFRSQKVCLKFFNGTTEIPPEIAMTMSPEQRAQFTEVPEKIVSDGMNILGLVVFSVALGIVIGIIGEDGKPMKNFFKSLEACSMKLIGWVIMYSPIGITFLIAAQIVGMKDPGQELHRLMGYVITVILGLLIHAFVIIPLLCVVLARRNPIKFVGGMAQALLTALATSSSSATLPLSIKCCEENNKVDPRVTRFVLPLGATINMDGTALYEAVAAIYISQCVGNDLNLGEVILVSLTATLASIGAAGIPQAGIVTMIMVLIAIGLPTNLFILIFPVDFMLDRLRTTVNVHGDSIATAVIERLCEDQLQKGGHHHDTNDQGYSMLSTNASPDPKRITIGNNCENSHML >CRE00627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1540899:1541528:-1 gene:WBGene00051513 transcript:CRE00627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00627 MNDLFGDDLYFSGSAASISTSTAVTISSPLFDMNVELEKIASTCLTTREHEMLSGDILRNRMARTLNSILVIEAQEVIGLAEMRDVLGFAPPGPWTNYRKPSKDEIEAASTIEEYYTLREPLTQIISLDSEFFLEKNVSTAVAFLNKRIPAIRSIYRNKFEEIRRRSRDANLTINRKEVDIMIDEFLIISERIRHAFYKWRPCKQLANQ >CRE00174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1546645:1549976:1 gene:WBGene00051514 transcript:CRE00174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-igcm-2 description:CRE-IGCM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDJ2] MKTYWGKAGAPITIPCSINLFNEENFSLDWRKDGQLILSAFGQEQGHVTPTLQGRLARDGFLGITIHSVTNVDAGIYQCIVTKFSKQPTKPEKGLSAKLVVNVPPVINLPINNEVIHKTVGSDLAIECKADGAPSPEITWSRNDQIISTSPVLTLSNLSESDGGYYTCLAVNIEGNNTSSIDLRFTKATSLDLVPLNKTVIEGSNVFWHCHANSQSASISYSWFFEKKPIKTTPLGVRSNIRSGDLSLQDVRKSDSGWYTCEAKNTAGETTSSTAHLQVFFAPEPSETHQPVKTVASGRNTTVACDITANPTPSSYTWSKNGHYLPTQSQVSCQYNESISLSLTLQDHISIVHAKPGDGGIYGCQADNIAGKGSIVETHLIVAEPPVFTVLPPSEIKVRLGDEVTIPCQGFGDPMPIVYWIRDKRRINQSTLNFKKVEHLDHGVYECIIANSVETISTRVMLLVEISKPQMASSIKFTCINSSSMRISWAPGYNGGFDQTFAVHAQNEITSQWTSIKTSRNETILDHLEPFVSYRVSIESVNARGSTNSTTYNRRSCTSLHAPDKLYFCGYNELCWTAAEGASSYRIESRTEPSKNFQPLVIPVTIIPYKIVYLQAEVIETYYRLGKDVDETKQTIFRVRSTRPAYPPSEPSNALKYGLTDNVDLPIFMLAAVFGLIFCFACGLFAWRCFNKKNKKAKRRKSRSTSTPSKTYQDYGRFTYGDASSSSQPGTETYYEPSLRLLDEHEWRGPRDLEPVAVRYPPSLTELDYDIGEENPIDDMFRDRYILGVQDPPAQLYQDLRLERLRREYKQSQI >CRE00175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1552130:1553204:1 gene:WBGene00051515 transcript:CRE00175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lmp-1 description:CRE-LMP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDJ3] MSKSLVALFALLAVASAEHFYVTNNNTGLACIILDGDFQFNLVFNEGNVTSKYTVLLNNTLNVDGDCNGVINNQSVQTLNIKFHPEGESARYPKEWELNIVFGSSSNEAFKIVDYTLKTQKTSLVPFFGTFVRDEKAAGDVTATETNAYKCSTAKLGLVGGSTIDVKTANVIAFAQLNGTTFPTNQVYEVCYLDARTSDVVPIVVGACLAALVIVVLVGYLIGRARAKRQGYASV >CRE00628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1553781:1554907:-1 gene:WBGene00051516 transcript:CRE00628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00628 MMSANPKNNCTKMQLRSSGRIKKTPISRCQSVEPNAKLAGNHNRGNRRISAISRKVKNSARGSNIKKETERNLQPTAEELPDDATHDEVPRYDYGEATPEEVLLYGPDPRNIPAMQFKTENNPEFLEYSKCFDQWDQDMATLGGMIQLHQYCYDVYSKYRDPVYRDAADFFKDADPYCIFVTNIHPIRMVYMRIYTCNEVEPWYRDLYSYRDYKSKPFIKDQYDVDKETSFDLLFYRLGRDAWKIMIDVIGDLEAWCTRMFQQENKSEFDTGIDFWSKASPFDFGRNYQLILILTKRKNWKLFVAHKLFKPLYYQQRIASTRRNNVFILRDEEAASLSVYL >CRE00629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1556095:1557359:-1 gene:WBGene00051517 transcript:CRE00629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00629 MMPADIKNITTRKNMRSLKSKEPSVPRRQSLAPSVKLSGQQDDNNKKKMISALPRLLKTVRKSIAPAEQLRFRTDAERAAHEAYDHVIENYPTHNRSAMKFEADVDKFPALLTYWKQADLVDLDMMHIGGMIRMHQYCYKEFKKTGDPVLRDAANFFKVTDPNCIFGSNIYPIHMVYLGIFKCDQVESWYRDYYCHRDYASKNNIRSEFDEDKTTKYDLIFDNLGREAVEVLVTEMKLLEDYCQEKFENDELPIYKKGAEFWKKASPMHLPRNYQLALILKNQEKWEDQIVEEWFRMLYEERSCRGARGKDVFN >CRE00630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1557848:1561651:-1 gene:WBGene00051518 transcript:CRE00630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00630 MEKLFTKYETKLKLDHIKNRSWEEEETFVKVGKAVFKVPRRPKQERTYRWIIDPPTEKGEAKRSYSVKYTPRLGKRPKIPEQMYNMKRCFQTRRDTRIALSDATFQPEKKFVFINQVYIITNAPELAEKDLRQIEEFRLFFESWDEDYYSEEECLELMEQAIIGRIEVYQEMYKQSSNLLYLAKAVFLKFLDFDRLSNLHKMYLVMSEECEFPMCYVEGILRKHYE >CRE00633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1568335:1569433:-1 gene:WBGene00051520 transcript:CRE00633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00633 MESKSDETPLESATIDLNLFNLVPNNNKVEEQPDSTADKEAMSFTMFDVCQELDKLKKEITSKQVGKPIPTKGPGLKKYQLSDRTKHQKTNVKSSDSLSAHVSSLNPWSYYNRELKFIIFSKKMKSISDEEVIEKAREEIGIRIAYHKERCDENEDRMHVHFRHKLIELKSEIDRSKATVLLFVLHNRKQDEIEALERKMFPFPTKVLMEME >CRE00634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1570418:1572346:-1 gene:WBGene00051521 transcript:CRE00634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00634 MSSSHGLKRRADTTAYEGTSGEPTSKHFNSTPEPHAMEPDHVPPPPPVIGDEAFLRLDLLEMTNIPRYWTSVLSLGTGAFGEIHLVHSDQLDVRGENIIHNEFVLKIVKFPSSELVNVVREVEMHRLCRNHQNVLFAGVSYCQQYGDGYRVQMCLEYAALSDMGLFSIKEKEERHIAFVCKQVINALQHIHTLRVVHGDLSIRNVLTTHRGVIKISDFGMADTLENTTRRGQVTYRGTPGFIAPEVISMTGYDTRADMWSLGILALFLSTGKNPFQQGILFDFQTYRRLIAEEFYPDLDPLNLSDDFYSFITDLNHYDPAERCDAWEISTDNFIKTSCTQQEFLDHYKQVRTRYGMDLPFPDDSQPFSVPTVRE >CRE00635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1573770:1575031:-1 gene:WBGene00051522 transcript:CRE00635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00635 MLAGSAASRFFEHDPELAAIFQEPVTKRIGVVEYQEKPRKGFYRHEILKTYMRRYYPTPSMRSDLIDSMNVAVKFNIPTYFTHQPVDKKQNMQKAQNYLQYLGSTRGFICYFNRASKSTTYRHVIERMRYSFRCYIKELNGIYQRAEHVHFLNEIIFIKNLQTYPFPKRWIVLIAFYHNMPEYERLLAAIRVLTDYDGDRAPEFEAEMF >CRE00176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1578509:1579387:1 gene:WBGene00051523 transcript:CRE00176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00176 MKEVQSILSLENITLADSESFNNLDENTAKNASPERSILRELIDLPTVNTGLLKSIHQFLAAGGFSDERISEFLEHWNQTYYDVKKPLFDNFVETVFDREDTTTFDSFINTENNELNGRRKMLQDVHHYHAEYQRSNNLSNSSLEKMIALLIATDYIQPNFFLFFNFACEQPDTTLKTHLLNSIFNLNLVGYDEENDKRLALRLINNP >CRE00637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1579858:1581227:-1 gene:WBGene00051524 transcript:CRE00637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00637 MVDVGIQHMGFFSNIFLKVFDDIMSTYEESIAPIASITDYDSDMDRKVKQIEKAEELKESTVKETIENLHRMRQASETNICIKMAEEVFQNISTKENITSKDDEIVKVMKELEIDQRTARAYSPIDIKIPNYNLERTMFEWGSGGPTVKQYQLISHVVKLYRKEAKAWFVNTVSCYISFRENGDKWIQNLELAVPMLDCFTMNKHEEDCLIRAFLPGNEDHMKEENYLVDGPSLGRVFLGIDFYTKHNNVFKAFVAKTMALNPKIRERLAAQYLYKRMIELSKKFFHSQDLKYNYIRIAWERKFNYMDDYMVCLVLFIRDKTANITWDEIEEIIYPLAKEVLRACFAVSYNAELEKLAPPIEY >CRE00638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1582456:1585492:-1 gene:WBGene00051525 transcript:CRE00638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00638 MVIQAGPFINARVGELDIIRSGWRHLQRAMHDEDRVSFEQMINTPAFCVKKFEEMFLLKRINPYAQTEELRIMTFDHSECNCKKTRDGQDISVMKNQLSDFLKKWDNLYEKLKSVRLPSGTVLQMDDLHQTVSLLMYIMTHTHFKFQTTEELEKLVIEERPTEKIVDTKTVSNLPTVQTEDHEKHPKQTHHINAVAEQCLWNVAHISAKPTHPENTFKEDHVPSNKTEFEPVVVEKDPYVKQSEGKTEVEHFDTESTIKLEPGNISIVTKAVEEKTDISIASSDILSPAITDNGRSAGTPDSGMVSDISEIKLTDAEMGVIALPKQKDPAKLTGRDGRRYRQKLREAEQAAGALTTQEETPEEDAVGETTKRDSFVSESTGSEQATISGKEKRRLKDKLRKEKKEQERLLALNKAEESKAEPIPADGAIADVNSVDPNNAQNDSKLATKPAEAVEQKLVQEPPAVEESTADSSMEAAEILSNFANTVVDTSATEPKEIEGPIENKTEDETQVKNISKRQKRKAQKKAAKGEYVVNDNHSHSVELLPGEYKLMQVDHQRYIQELNFGGGTSREIDIDQKVEEKKRENESKGRKRKMQNLYVPNDISQNILDKGKWLAYVVDDKNPNGVPKCGEVSSEEMKETMKILNQKMTLTNVPVLPDGYIDDVNYLCPNNSKHFTTTINQLLPELNADIIRSNFYDEVDSSFIFGPAPESDQADPSIDDLQILLNSEGDNVVFQTERKIFIGLKKIKSFFFKSLAEPLQYSPKISSSESSVRNMQTRNTTQKRFKKFADRESRRKAKGWSRMNDCSPYALMIMLYINTPKSDVGFADIETVLFPSP >CRE00177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1588580:1591058:1 gene:WBGene00051526 transcript:CRE00177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00177 MNIPPCRLVILAAIASFCANWSFAFQITYVNTSVDTFYKLARKAYKLSRFCPTCQLPESDWLAQWSVTVSMFYPGTILGFLMVPFLTKWLGVKRAQGIMCIAATIGVGVHFLAVSFLSLGEVTFTTILSIGRLMIGLQAGSSLCLLPLYIIEISPPQHRSFLNNFQQVSQSFATLLGLLLGSEEILPLGNARFTIMQIAAVVPVLFLLFLLVITPPTPNYLCLFHPECIHEGIYIRVLTAHYYNFSAINSRLFYHGTDRSDSYRPLISNYEMMENKTYSKYAYYGKSWRDSFKGFIIGAIVAASYAFTGDDLIDTFSSNMLIGDSQNSKKVHQSTDAFTVLVSDALGVVLFFASLLGIVLADRYGRRKLVLVGLFGTCVANIGAVVFTANKVIVALCFAATKAFIGIGCGGPAWFLTSELVDPEYAWIFQPLSTGILLSTTMIETFFYLSTDALIGGYSLLILAAGPALIAAILLYLYLPETKGRSSEEIQHMLNTTTFSGIHTKKHINDDLYGTFDGNIF >CRE00639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1591182:1592084:-1 gene:WBGene00051527 transcript:CRE00639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00639 MSDIKQLEIEASSLRRVALVGVAVSFTATLVCVIAAPMLYNYMQHMQSVMQSEVDFCRSRSSNIWREVTRTQVLAKVSGGAVRSRRQAGYESAGVEGNSFSQGGCCGCGVSAAGPPGQPGPDGEDGFDGAPGAPGNDGPDGPAATPAPHHEFCFDCPAGPAGPAGPSGPKGPNGNPGSDGQPGAPGNNGNAGGPGAPGQAGQDGHPGNAGAPGAPGKVVEVPGPAGAPGAPGPDGPAGPAGSPGAPGNPGSQGPQGPAGDNGSAGAPGQPGANGDNGADGETGAPGGCDHCPPPRTAPGY >CRE00640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1594970:1595878:-1 gene:WBGene00051528 transcript:CRE00640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00640 MSDIKQLENEASSLRRVALVGVAVSFTATLVCVIAAPMLYNYMQHMQSVMQSEVDFCRSRSSNIWREVTRTQVLAKVSGGAVRSRRQAGYESAGVEGSSFSGHQGGCCGCGVSAAGPPGQPGPDGEDGSDGQPGAPGNDGPDGPAATPAPHHEFCFDCPAGPAGPAGPAGPKGPNGNPGSDGQPGAPGNNGNAGGPGAPGQAGQDGHPGNAGAPGAPGKVVEVPGPAGAPGAPGPDGPAGPAGSPGAPGNPGSQGLQGPAGDNGSAGAPGQPGANGDNGADGETGAPGGCDHCPPPRTAPGY >CRE00641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1597186:1598094:-1 gene:WBGene00051529 transcript:CRE00641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00641 MSDIKQLEHEATSLRRVALVGVAVSFTATLVCVIAAPMLYNYMQHMQSVMQSEVDFCRSRSGNIWREVTRTQVLAKVSGGALRSRRQAGYESAGVEGSVSSGHQGGCCGCGVSAAGPPGAPGQDGEDGSDGAPGAPGNDGPDGPVATPAPQHEFCFDCPAGPPGPAGPSGPKGPNGNPGSDGQPGAPGNNGNAGGPGAPGQAGQDGHPGNAGAPGAPGKVVEVPGPAGAPGAPGPDGPAGPAGSPGAPGNPGSQGPQGPAGDNGSAGAPGQPGANGDNGADGETGAPGGCDHCPPPRTAPGY >CRE00178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1604374:1611703:1 gene:WBGene00051530 transcript:CRE00178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00178 MHDVIISLVSETLQLLCFILEEYLSTFLVAIITRQPPLSTQPNPTIQDHIRIMKLMELPPRQHKTRTLNTTMKIEIAGAESPSAGKVCMTVEHLKPADNTTQFYECAPLNEDEVKGYALSKKYLGVWNLRDCPKEHEFDEPKQRCVEKKTFRRQQVACAQNAQASGCVASCQAPTDVANQGMECNWRSSALQRDPASNAYFFQCVPSSPTEGCGEWTRMQCSQSTVFNADLNVCVPLAIQNSCDSSTQQPVCSCSQVSSSCPGTSQCQNKVCCQQTDTLNLNNLIQHQAPLCPGSNVPPLGSCNEQCPQYSACTPGLGCCPVPVNEQPTGMIKITLCPGSYSPPFGVCGSCPSGTQCNEQLAMCCPLQQQPSTDIVYNVVLLCPDGTPSTTSCSQGCGPNNACFQGACCPIRCPTGQNAVGFCSSGSCGVGSCYSKTTCCQEPVKLPVCSNGMISQKRCLVAAECGPNLECSNGGCCPIPFCPNGVTARGRCSAVNGCPMGQACMEGLCCPLPRCSNGITALGICTRTLDCGRIGVDCNNGACCPLPSCPNNVASTQRCAAGCSNCCPVGQTCMNGGCCDLPSCPAGGFAISMCTGKCGTGFECVNSGCCSLPRCPSGLMSVQRCVMGIGCPPGNQCENGVCCPMPMCSSGSIASSVCGMVNSCPIGYICEGRGCCLEPLPLCPNGGRASMRCYRGAECPPGYGCTPLGGCCLLSMEPVCPTRSNAVCQCSPNNVCPSGSSCTMGTCCSSGISPIVSFSVPGTGCQNSNQCNGYQSSCSQCVQGVCSCVNGAASNGATCEQMAPTILTLARNGCDQYGSPCKFLLSTARRRPLFAPTSNMTETPLFFNVASKRKCVANIQNFDSDSTCLPNEKCIDGECRMKLWPGEYGCQTDTECQSRCPNTYCEKKKSDKNVPQCQCANGMLLYGRCFSQCPRGFHESGAFCMHDDEDKFWMDAEAQDNLKALLNAGKC >CRE00644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1612135:1614156:-1 gene:WBGene00051531 transcript:CRE00644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00644 MSTDDLPRILAKTCEYAFPFLAVLGIVGNSITLFVLLSRSMRSSTNEMLAAAALSDMLYLIFMTPNHLSRLQALLYSDCVDDPGKKCPSSYHMWFVEYKHNLAFFVNWVSACSTWFIVTVSFDRLYAIKAPFSARFQTYCSRHNLIVIPLILLLTGATCFHMNFKLLDDHTGHTNSTVDAETKKFSVLRVFTIIMFVFHILIPMILLITFHTCLVYYLRNRLRHFFPTRTRSARHSTRSADDVPAPLLTDVTDRSEVVRHHSSNSGVWNRHVNKAERHVTYTVTAIVTCYIFSHIPSAGLYVYINVFHNALYTTRWMYASVQVSTTVVTCSKVANFILFCMSSKHFRKEMKKKLCFLFCKTKEGISQKDSANQPRTRLVITDRCMAQYEYIPSSEYHWRLN >CRE00645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1618953:1622080:-1 gene:WBGene00051532 transcript:CRE00645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00645 MRDLLFICILGWVDSQLLEKSRSVWVEQGLVRGNIYNIDGKHIQIFRGIPYAEPPIADLRLKPPVKKTRWHQELPAVEYGPPCLQFMDFHKNDKFAKANMDRQNEDCLYLNVFSPYDTDDESKTYPILVWIHGGSFLAGSADTGIDMEVIAANIVSKGIAFVSINYRLGPLGFMNYQNGDKLEGNFGIWDMVMALQWIQSNMKQFNGDPTRVTVMGESAGGAASSLLALSPRTTGLLHQAIIMSGSSMAGWAIHRHSQPAYSVDNLVAYFRSLDAGCEKWINEEDTKEVVGDEYQHLTQSVIRSSLCNYQNVKINCLTDDMDQNDKLSCLRKELNFSSPLFRKALAAELGVSKMVVDGDLVPASGVSLVRNNARIPIMTGVARKEWGHKKAMFYNMHQRDGLKRSDVEEQVYRIIDNSFHETASEKLANSTIQLIANATIVRYLETPNNDFNADQVVGALQKLESDIEFVSPCEREVSAYVERGVPVYLYSFDYVPKSPILESERKIYSLFGTKPVEMRRTEKSEILDKAAFHGLDHAFIFSKGYSSNFEISPYTKREETMSKILCTMLTNFVKVGDPSTSRFSWPVFSGNNTQHVSMDVPLKLLEGEIHFPDAQFWNTEAELITRYVSKDSETDLDPDADLTNEERVQLSAYRRSWYALWVLVIIISVLVWAYVGYCACNKSKSPRSQPYNNILITKS >CRE00646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1623889:1626373:-1 gene:WBGene00051533 transcript:CRE00646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mom-1 description:CRE-MOM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDL5] MDHLHDPDLDEFDPFDEDSGIRCTTQVANAMFVTVSKIAMLVLIFRLLKHLQIPIKLRIFLHVAVATATIAWFSPKKILSLFIFHLFSLISLACCVNKVNGYKVLAFNILILIAFQNICRHAKSEEYFLTIRGILMMHIMRLTTVAFTRAESTIKRMSFDQFAIYLEYIYYPPFIVFGPYTTFEQFVKMRDGKRTRFEDELGLIVRAIPVLFFGVTLAIISSCHFEFFEPSSQFTEDVLTAMSFRFSHYFVCLTTQAFVIFLGSNVCISNPLNVEFARSPVQIVVEWNKPFHTFLHEYVFKRRFFNSTAYNVLLTFTVSSLLHGRDYQMTITLLALGFIAYSETVFRKRLAARYSMCVAAKPCPVRANRLICKHQYTTFSKRTLLVNLFFMLLSMYHLVFTGMTFTDDYSATGYPFSHAWIIWGTHYYSSFIISIVFIVLSKVM >CRE00179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1627766:1633382:1 gene:WBGene00051534 transcript:CRE00179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lev-9 description:CRE-LEV-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LDL6] MRIPLLLHLLLLTIGIPYSLTLSCPEVTLSQRPKHCKKECIADEDCKRNKRCMCDGECGLSCVNPIAMCHPLPSIENGFIRTAGDLRFGSNAEYGCDKGYILVGASQRRCQANKEWSSSQPVCRLQCISHLLETSNREISVKCGPPPEIPFAVHDGSSFSGEYDLDAEVAYNCIPGYHKFNAKGLSISKCLLNRKNVAQWFGPDLRCKARACPDPGDIENGMREGDTFEYPHHVKYSCNPGFLLVGSSSRQCSSNGEWTNEPANCKATECSRPSSPLHGKVVGSSLTYQSVVTYSCDHGYRLVGQVQRICLAEGIWGGNEPRCEEIRCPILPPLPNGYIEGSETSFGAVAVFRCLETMTHEGASKAKCMEDGQWSAPMPRCLASCKVPNIQNGRIKDKNEGQLIASGSKVIVECNKQHEANIDERLICSNSTWSHVPVCSPLSCHNWPPRVPHARILFSKSSHGSIAKYECNNGYHPNRNNQIIKCLYGEWTKDGPPMKCLPSWCEHPSKTYGTLPGGQILLEGILGAYEFQSYIQKVEEGRAISFQCSKGNYLIGPPKATCVNGEWMPKVSPKCVSQTHPMIEGKILWDRKKRSLPSRVKRQISDDESSYNRQLSGKCGLVPGKLERMIMQHSENGISVICRDGYEFASERIVGKSTCLDGKWQPEIAECIPKSCRVPIRLHVFFLKAGTSQILQSNDVVEDGTTAQMACLRGFHLSGNGVLECVKGEIREQLGHCVPQECVLSELIVGKYNTTAETIKNGESVLLMCTGTNVTISCSKGSLNPTPSCHENESRFCIAPQDTTPAIIYRYHGLKKTHIDRYQSAYPNGTIFQFKCNDKEEAGGIECINGEWVSNLLPCVTANITAWKSPTNDEMCAPLRMEPNQKIFNVENYVPHPLHLFAHGTILNVGCLTTSDSAETVPIKCRRGKWGYKKKLNCSRIETICTLKMNINSHTVIYHTQKKETVLFNQNFESGSKLLFRCANIGLEQLHGKKELLCYNGVWSSPIPYCIPIQASGELQNSRFTHYKYNSGTSVPIKYSVTHGTHAISSKGELIVSRAANVKLACLTEKVASDPIWKVTSTYRSYPTQWTKAKTGDYDDIDGFEVTIASAQPFDSGMLHCVLPNGARNSIKLVVNEDKCSLPISSPYLQADLSSPTLFVGTVAQFSCPAGYFVEGNSISTCLEDATWSHTVPKCVAAQCPAVFVNGTRMTVTVTSYRTGGVAHFKCHKGFTLIGERNLHCTNQGSWSHDFPHCNVVNCPPLLPPANGHFIGEPKEIYTKGDIVLLGCLPNYMLTGGDFAVCQADGEWSEIKTKCDGYCRHPGQPDHGATTTIAKDYYSIGEKIVFYCPSQNYKLSSDNVLICTSPGQWSRRLPLCLPSNS >CRE00647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1634496:1635676:-1 gene:WBGene00051537 transcript:CRE00647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00647 MKGRKQVGNLIKPNTMSDEKQLEIEASSLRRVALVGVAVSFTATLVCVIAAPMLYNYMQHMQSVMQSEVDFCRSRSGNIWREVTRTQVLAKVSGGAVRSRRQAGYESAGVEGSSFSGHQGGCCGCGVSAAGPPGQPGPDGEDGSDGQPGAPGNDGPDGPAATPAPHHEFCFDCPAGPAGPAGPAGPKGPNGNPGSDGQPGAPGNNGNAGGPGAPGQAGQDGHPGNAGAPGAPGKVNEVPGPAGAPGAPGPDGPAGPAGSPGAPGNPGSQGPQGPAGDNGSAGAPGQPGANGDNGADGETGAPGGCDHCPPPRTAPGY >CRE00648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1640308:1641902:-1 gene:WBGene00051538 transcript:CRE00648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00648 MRSFSIVLLLLFAISTVSSVRYYSLADTFQSVIDTEKALEEELRVNFENARAARDKYRQEAQKKLNETLSAVSDAVKQKAEELEKEKQEKAEAKKAEAEAAIQKWKEVSQEVGEFVQNLKEKKEAKEQEKQEKIDNFVKSVTDKIKQKRDEKEKQREQEIEQWKQSAEKLQQQREELQQQINAAKEKLDERRKQKLDDLKNELAQVKDLVTAEVKRRVDEKNQRIAEQKENQKVLQQEIYEKFTELKQQRDTVGEQANTYQQKKDELTDLIQNMISQGVKNKIENEVSDKVRAQLDKEALKEVLNEKKAEVPVVVAAAPGSPPNLNEIPVDIAPKATILDEITGKAAWETVTWVLLALCILLSVALIIMIVYQVKQRNQYERLDGNDHIHNPTYNERAPLLPPPATPTTAPPLHPAKEEQQF >CRE00649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1642919:1645764:-1 gene:WBGene00051539 transcript:CRE00649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00649 MSHNDRPVPPEDPSEIPLVPDHFANGWPEDLMEEPIDGFVIISKWCVHNNIDAPRRLSSYARPLYPESIPRFKSLNDFHEYTRSATVKRKLVLEQARFISEKYVERRTMLSKNISRITANLWSVVPEREKRVEYANYVNFMMTYKEMYYWIGTVMESEFNNGLRSFASCEVIDAFYDYYPTVRSCSNSKVVDITNFTFLLYIRDRITTSLRDHYRILLPMLRREKVIEYDSRNFEKYQPFDYEWLAGSVVSGEYELAFAKFITIARMNQLEMSITRASIVAAEQEKELNFLWNPKTPFPRTPTDAKWVAESLQVTERRLRQDFVRCHKVIKTDGNENDDNVVYVTSLEQFNDFCGAVRVKKRKVMNTLFRAHKNQLKQKFRVIGLLATLDGLLLILQKVFGLYADKEKGLYGEAIPQIVLKAAVDVFKLTTEERCYRDTLDSEIDQALETLREKIHIAVKKFYTTQEMICEKHYTTVVPEGPASYQSFIMDISSDQFPPAIAKEAETMMWHLREQQKERFRLNRQLLYARHAPEVWPADEGIAFFRSNSCTGTSSFFSNLPFFVNPACIDQLNDVADNALGEVTPAKACGDTLFKELHMMMACAERAPTSYDVCSAFHAELKEELGSEQRVCGYTADILATILSRIVNTELEISNARNVYESGPSVLSSSLALLMAGKFVRTYLDYVCSNSKTTLRRSSEVCCSQRPRIPAPTVEEEPIMRFYQNGVEIEPPIYESESDYATDEGPDPRLERETDEELEDEEEEQGVEQQRGRRFDVNDAANIALLLNTLVDSNVLYFQPEEQLAQIPDELIDGFLSHLHSVHLYDDVDMTDPIFDFDVDE >CRE00651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1656675:1657510:-1 gene:WBGene00051540 transcript:CRE00651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00651 MAPGNTPILFFNPDLPLLLPSLFILCHSSDLATSSTPFSCTFGQSEAGLQTNKQLDKEPATYAVVD >CRE00652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1667741:1669027:-1 gene:WBGene00051541 transcript:CRE00652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00652 MEFEMGDQTHDPEILTHLLIDFIIDTGLNFSAVDNLKFRKFVNYVKPGVKIPTSVEMTTFYDTYYEEITGLNDNVLVNVGNDGDRNSEEYIHVDGADNQVEYQSHEFPNTEPHTLQDSMEVNSNELTMKIQNMESTHQNSGLGYGAASEIGIFPSEQLQISDERLAIGTKNHKEEKCLLINCENLNNIMPEASPTLVPPLHRKSFGNIEIKQENLINLPYPEYVLGCKVHKIENNIVTLKSIPGYLQYPCLVCCERKKGRHMREVEGYHAYIMIFACIKNGYYSKEKGMLISRLRKFYSCRSHLDDMYNSACNHMGIAKYSTDVHTGNKNIVNAFSLINEIKSSRETIKRNNSLGSFIGLVRTFCETYKRDYHAYNIVHS >CRE00653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1669609:1670970:-1 gene:WBGene00051542 transcript:CRE00653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-got-2.2 description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:E3LDM4] MSVSKKLFSTAVRGKSWWSHVEMGPPDAILGVTEAYKADSNPKKINLGVGAYRDDQGKPFVLPSVKEAERQVIAANLDKEYAGIVGLPEFTKLSAKLALGENSEVINNKRIFTTQSISGTGALRIGSEFLAKYAKSKVIYQPTPTWGNHVPVFKFAGVDVKQYRYYDKSTCGFDEAGALADIAQIPEGATILLHACAHNPTGVDPSREQWKKISDIVKKRNLFVFFDMAYQGFASGDVDNDAFAVRYFIEQGHNIILSQSFAKNMGLYGERVGAFSVVTSDADEAARVASQVKILIRPLYSNPPVHGARIASRILSDPALNKQWLGDVKLMADRIITMRTQLKDLLAKEGSTRNWEHITNQIGMFCFTGINQQQVEKLIKEHSVYLTKDGRISVAGISSNNVAYLAHALHQVTK >CRE00181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1672758:1673574:1 gene:WBGene00051543 transcript:CRE00181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00181 MSVPTPEKRFQLEKEVVAAIPDLLVIKPKVFPDERGFFSESYNKTEWAEKIGYTEDLQQDNHSFSHYGVLRGLHTQPHMGKLVTVVSGEIFDVAVDIRKDSPTYGKWHGVILNANNKHAFWIPAGFLHGFQVLSKEGAHVTYKCSAVYDPKTEYGINPFDEDINVDWPIKDKSVVIVSERDTQHASFKSL >CRE00182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1675593:1677794:1 gene:WBGene00051544 transcript:CRE00182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-43 description:CRE-HSP-43 protein [Source:UniProtKB/TrEMBL;Acc:E3LDM6] MTLATRHADFGRRDNLWKENDLWLDDFRDWPLEWPKPRDFFNRFSRDVDSWWKDWPTDWPRMDAVMPRFSTQLDRVDRNWRSDPYWMNLYPRWAEPIFKEGIDVNSNVVNDERKFAVDMDCYQFRPEEIQVKTLDDTLMIEGRHEDIRDRDNFTKMYFVRKYQLPRDVDFNSIQSSIDAKGRLQVEANKFNNMAIQGRERLIPIEGAGRASPRYDSGTLRSQRGPNSPIHVQTEQDGRSASSRSGSRLNDSPGSRDVYSSHSYSYHRSDSRNRLSPNDVNIRTDNRNYSPVTPRVTTSGAYNTAGNANLQEERSGSRAFNSAGNANLHEERSSSRAQSHRSESRNGGYRVESPVSTTTGILRNGNSDSPNSTQREYRSIQILRKTY >CRE00183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1678178:1679837:1 gene:WBGene00051545 transcript:CRE00183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00183 MSRHPPLVTKKEIIYRRSFIDDHNDRFENVMRNPTAHPPRDPRPERPHNVTVSHNYHGDFESEFQLSKINKKLDGIIEILRSPSTKKNGVERVIVDAGRQEQTRQYKDICERLDKLETELTGKTHYAPLQNEILALTNKVHQLAQIQEDLKEKLIASNIAGDDIDELLKVINETRRDMEEKIGNIKQLSDGNYIHLEDKLDGYLRNQHGIQSQVDHLMQLLTHSSRPASVVASRPASAADKNGSVSRSSSKSSNLKANLVVPTRRSPPPKTPSEVSYTSTHPRSEHSASHKSASTVTSSTTITTLNSSKKSSRPVDAPKKPERSHTLEILEKTKSIETLSNTSNNSIFKISVQFSDAIHTAQNMEIESSSSGSYIAPLKIDERRNSQPATVFHTKKY >CRE00185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1681763:1683488:1 gene:WBGene00051547 transcript:CRE00185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lite-1 description:CRE-LITE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDM9] MKSPKLLAKKTMIAKILSSRNKWAICDRTLYPIYYLLLILGLNQSIRPNNSLLFRIYSWLVFCLLLFTTIRKFNQIGVRPNGTRESAQEFFANPRSLITLCNALIMLSGLLASLQLYTLGAKRLKPLKILCQFSLNVRSKEAERRQFMINTFLAVFSGILALTMAATYALSKWGYILYIVGTPNLDTETIFCVLLDAYALFVSRAAISALAILFYQHCSVIRRSIKHLINEMVPQEQDECPLPETSIQKIHDCQISYQRIFNGKAVIEEYYSFVLFYSYGVCIPIFCFLMFVVMSSQSICWSEVVTIVIWIINAILVLLLFSLPAFMIHEDGDRLVASSFRMYHETFHEERDLTVLSQMTFFTFQIHSTKLTLSACNYFYMDRSILLSLFSAILTYFLILWEFDIKNKPISGNYTLQP >CRE00187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1692858:1696662:1 gene:WBGene00051549 transcript:CRE00187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lrch-1 MLTFSWTGKRKKKSDAADPAMAVSKHRSTSDQDSSEPSVSRSTTSTGSIHFGQTTSYTSTVGTSFKEAEYSGRLLLHGLRLSEFPIEAFSNIELMDIVFIDLSDNRMSTLPADFSAFDCLISCIASSNRFRTIPTCICSLEQLTYLDFSFNEISHLPDGLFDLPLTALILAGNKISCIPEGIRRLAPTLAYLDLSRNDVRSLQSQLRYLKALKVLKISRNRVEDFPAELCSSLELRTLDLSQNNLSYLPADFVKMTDLRHLQLECNPLRSPPMEIVELGIVHIFKWLDGRTSGCSSMSAGSQDGVLDRKRTPTTTAIVAEKHAPHNESRTTRNTSEPAKHHPHDHKTENGHLTHRPKELVEPKTIASVHSKPHNVQIVHNKVSSVPSHVPTSIQNGREHSRQSTPDEQNNNNNNNDVSKSKLSSNLSSPGITKKPISKVAPMMKPAVRPAPNGNIQTKIGTARRPNPIQNTTVTRSTNTTAVKKEIPVKSTKIASATTRRSEEPAATRIASRPITSSVTNLNKSGIRAPGTGIANDVESARKLLREKLGPSFALNKGDISFSLQLSDGQELCKFVNKLHPDAILLASPTDSSIATTRSKMNVDKFLQFCKKLGVPDNTMCSQMDIISKRNPQKVARTVLTVAKLQQLQSSTHNSIQC >CRE00188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1697665:1698925:1 gene:WBGene00051550 transcript:CRE00188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00188 MFSVIHWGIGADEKYSKLLYEKNKYETACTWIEWLIHGFPWFVVAALGLIVAYGKNFDEMTQYGLVVLNIGLYFDLIFIAIIKFYIHRDRPLKTYSKYMEYTVDIYSFPSGHSSRAAMLIVMLYNFSPMSAVPFIPLPLIVGLSRVALGRHYITDVLAGIVIGFMEGRLMLTIPYAVNTVFRELLK >CRE00189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1699139:1699768:1 gene:WBGene00051551 transcript:CRE00189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtp-18 description:CRE-MTP-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LDN2] MSPIESSDEKDIFRHTPVRFLGYANEVGEAFRSLVKPVVVKFSYVVAFGYVAADSIDKGFIESQKTHVNTTEKTKKVAIATVDTVLWQTFASVLIPGFTINRFCFSTNMLLQKSTKLPTTVRKWTVTTLGLATIPFIVHPIDTFVEETMNKTARKVYNE >CRE00190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1700363:1702096:1 gene:WBGene00051552 transcript:CRE00190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bca-1 description:CRE-BCA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDN3] MNKILRGVIKYRQTIREDLVKQFEEIKNNPQPTSVMFTCMDSRMLPTRFTQSRVGDMFVVRNAGNMIPEAPTYGTSSEVSVTTEPAALELAVKRGGIRHVVVCGHSDCKAINTLYRLHQCPKEFDPSSPMDNWVRRSGYSSIKRLNERIHRGPSIMKFDSEVAPSQSFEAIIDPMDKLSAEDKLSQVNIFQQTDNPVFICFQINVLQQLVNICSHQILQEHLESGRLHIHGMWFDVYTGDDYLFSKDKKRFVVIDEKTVDKHLSELNARCPLPEDQDGPVAFAKAK >CRE00654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1703243:1705475:-1 gene:WBGene00051553 transcript:CRE00654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-9 description:CRE-DAF-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LDN4] MDRSTFLRVRDWTMFAISHHLIMAIYLSILQVLIPYFFPQFDYHRWFLRAFLFHLACIIVSYIVRVTTYPPGPPPMAILGNSPFVNVLCPEETFLEYREIYGPVFTLHLSKPTVILADYSTIQEALVKNGQQTSGRSSAESFVLFTGDRENGDGVILAMRQKWKDMRHEISRFMNKWYGKPMDELVLHHTRCLETELMKISETQSLVDLRDPLAGAIANVIQQITIGRNYMYQDQEFQTQLKDINSVVKEIMTAEVFFVNCYPWLRYLPEGILRKWTNYKRSGFRLQQWFRTILEEHHVNRHQGDFMSHMIDLQESKQEQFNDLSIILTCGDMWTGGMETTVTTLRWGIIYLLNNPEVQAKCHLELLNVFGNDVPDMSRMNQTHYVRATLSEIQRLANVLPWAIPHKTLEQCNIGGYEIPVNTEIIPALGATLFDPNVFESPKMFKPERFLDEEGKYRVMEEFRPFGLGPRVCLGERVARTELYLIFASLLQNFRFYLNRGDPTPVAERVIGGITAPPKPYATRVEYLGHRTIN >CRE00191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1708961:1709793:1 gene:WBGene00051555 transcript:CRE00191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-54 MDIVKNLDYATTALREIVRSLQHDNLPNTSDKTNRKRPSPTADGGKKKRNRITFEASQIDELEKVFANNQYPDATVREQLAKKVQLQEERVQIWFQNRRAKFRREMKNKNDSIEPSTKPATPSMEEKKLDEILNLNKTIVECQKPIQAAVPVIDNTRAFEAKTVFNADQDLSAALCILLNQAGANPTYSENDDIEEDKYESQLSAENTNLLMLTLSHLFTTQHESILS >CRE00655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1710031:1711287:-1 gene:WBGene00051556 transcript:CRE00655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00655 MDTPFPFLKLPENVMAKVMIEIDPVQILIFALKFHAVREAMIKNKILFKIKSVKWVLSDDTIASLVIEMEGRKIYIRVDADENFDERHQNRNEQMPLKSVSLKDIPENDLDWHMRVTNISEVLASAEEEVENPAELIDLQMVGVHGMNNLSKLQRLEILSKVLMSITEVDSFYLATFISGKMNLLDFFNWKVTEEMCTWETPKAFREVQFYPTPSADRRQLLREFAYVQRNVTVEYSQLLVTYPMQGTKFGEPLTSRISIIMDSDFITLKSLLNSTCEEIHIVYETLNGFVMNAVVRKWISGELNNLKVFVGQTFNSFINRESVFDKLNPIAPTSSAHPKFEPMDGDWDEARDVFRPRGSMRATIVLQEKHFKLIIW >CRE00657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1714304:1715190:-1 gene:WBGene00051557 transcript:CRE00657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00657 MHPDSTSRNYTTVAITAKPTTTATSTSTTTTTTATTSTTTTTATTTTSTTTTTMTTTTTVLTEAPFPCSSCPKVYDPACMGFGNPSIFDWCPKAADVGVTYFLGAVAALPFLPYDSCSTTIVCPPGTTPRINLFGSSIPAPTPATLAYCEETGPDAGKWMTGVPPFNFELSTLTCKNVVSG >CRE00658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1716254:1717653:-1 gene:WBGene00051558 transcript:CRE00658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00658 MLQWSFCCVIAIHCAWNMLFHVSVLLFSMLKVLDTCIPTQFVNNDGSLGGQTTTSSSGLTTLPGSATTTTGKQTTAINWTTTTAKFPAVSDPSATTTTSTTTTTTTMSTTTTTVTEPPFPCNNCPKVYDNTCQGFGIPNLLQWCPTAAEAGIEYTLGLITSIIPFIPAGSCGTIITCPLTTSLKIKIIGQEIPAPVFYAWCEESGANAGKWYTGTTFLKFELVSLACKPIL >CRE00659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1718170:1718798:-1 gene:WBGene00051559 transcript:CRE00659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00659 MIYHITILFTSFVIVVYACIPTQNVDPVTTTTVATTTTTVTEPPFPCSACPKIYDPACQGLGIPNLLTGCPTAAEAGITYALNAVIALFPFVPANSCSTVIVCPPTTTLEYVVLGTKLLGGSPAIAWCEETGPNAGKWYTGVSFIARIEMYSLSCVPIISG >CRE00192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1732882:1733755:1 gene:WBGene00051560 transcript:CRE00192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-odd-2 description:CRE-ODD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDP4] MLPWQRQLQTSIFPQPNEQVFRFMLAQQHMHLQNFIQQQRKIQMMTINPVLPMMADMKKQKFDFTHMADSIESEQKIKEESLSPKSSPTLLNTPVRAFGPCVQPWFMIPGRGRTTGRAARPKKEFICQYCQRHFTKSYNLLIHERTHTDERPYSCDVCGKAFRRQDHLRDHKYIHQKDKPFKCELCGKGFCQSRTLLVHRATHDSSRHNMGTPMLPIVSDGGSMPELDPRVTLILQNLTDSFNSTPMTSPQISPNRDDLDTSSD >CRE00193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1741366:1745787:1 gene:WBGene00051561 transcript:CRE00193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-275 description:CRE-TAG-275 protein [Source:UniProtKB/TrEMBL;Acc:E3LDP5] MRELSIILVISLSLFGIVLSWEEQNHIENGAAVWGAKAPDYSLASTDPGTTIFIRSLIFVDNKTTNYYEFDMLRVKKNIMEMVDEANQYLNQLGVGLIVVGILQTNREDLSLQSFHEYRNARVHKLPPHEFATLISYKYAGGLAYVNGMCSSHSVSLSGFYPNEPRAMGSIFFHEVAHLVGVPHRDVNESLYVPNCQCTPTDSAKEDGCLKIPGFDHDCTVQQFVNTIYKNKCILKEPIFKESEPVCGNGVLEDGEDCDCGLPGRCADLNCKPHTCRFFMHPFFLVLVLVSFIFFFIVATWLIVRNYTGTMLNCFKKYKSKHERGSASPYTNGQIQILAASPYQNRKMSHSSISGSNTILVSNDSRFATIQRPKVPPPPPPPKTTIQVVAPGAGQPYETNKVFGSYRESFYDDFSDDEFEEPEVPSAYPLPPGVPTCPSYPPNAPINRVTVELQSPRTNSTATTSNSTSSFSF >CRE00194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1748390:1754266:1 gene:WBGene00051562 transcript:CRE00194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00194 MAERRVDPISVLGKEVAPILFSYLQLPHRTRAERVSRGWREAIMEATRNETKPVWVYIIFRKNHCSGHEKMTVNISFDGPIFWKRQVAYIYCCSCHFDEHEGPLMAILEKFEQRVERVCLVDKPVDYPFLPDSLFSYMANKMPKLQFIYLRELDLEKINRGTVVELANHPNLKKVIVHLCRNYEVLEDFRNLPQLLVVKGEIMGLKAMLGDFEEEIAKSTASSSAQSSEKSSHSPVPVNNGY >CRE00195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1755987:1756634:1 gene:WBGene00051563 transcript:CRE00195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00195 MRAACFILLASLALGVSANLSCYQCTTNENPTCGVNDESALAAFKKVCEPLSEGSLKGTAAIGCRKISQNVEGVSSTIRECAYSGENVDGWKKTGNHGIQLYYYQCENEQSGTPCNSIGNVFSPLSILTLIAIYLLQ >CRE00663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1756779:1760903:-1 gene:WBGene00051564 transcript:CRE00663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nnt-1 description:CRE-NNT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDP8] MVILRSKVTRLYFFRLFSSGAKKIEYSKLKVAVPKEIFPGEKRVSLSPTGVSLLKKNGISVLVEEDAGAWAGYSNAEYTKNGAVVTNVDDVFNADVLLKFSSYDFFQIRPPTEVEVLKLKSGSTLISFIHPGQNKKLLDALTKTDKTVFAMDCVPRISRAQVFDALSSMANIAGYRAVIEAANHFGRFFTGQITAAGKVPPAKVLVIGGGVAGLSAIGTARGMGAVVRGFDTRAAVKEHVESLGAQFLTVNIKEDGEGGGGYAKEMSKEFIDAEMKLFAEQCKDVDIIITTALIPGKRAPVLITEEMIKTMKPGSVVVDLAAESGGNIETTRPGEVYSKHGVTHIGFTDLPSRLPTQSSELYSNNIAKFLLHLGKDNTFFVNEEDEVARGALVVHEGQLKWPPPPINFPPPAAPKSEKPAEVTAIVPLTPFRKTANQTLLLTSGLGSVSLLGLAGTNPNIASMSTTFALSGLVGYHTVWGVTPALHSPLMSVTNAISGTTAAGALCLMGGGLVPQNSAQIMALLATFISSVNIGGGFLVTKRMLDMFKRKDDPPEHNYLYSIPAAVFLGGYGYGLYTAAPLIHSYAYLGSSLCCVGALAGLSSQTTARVGNALGIIGVTGGISATLGLLQPDIDTLYQMAAAVGTGSLLGIGIANRIKVSVTDLPQLVAAFHSFVGLAATLTCLANYIQEHPHFLEDPSNAVAAKMALFLGAYIGGVTFTGSLMAYGKLQGLLASAPTYLPARHLLNGALLAGNVGALGTYMYSTDFGTGMSMLGTTVGLSSLMGVTLTMAIGGADMPIVITVLNSYSGWALCAEGFMLDNSLLTVLGALIGSSGAILSHIMCKAMNRSLLNVILGGVGTKSKGTGTAKAIEGTAKEIACDQTADLLLNARSVIIIPGYGLCAAQAQYPIAQLVKELQQRGVRVRFAIHPVAGRMPGQLNVLLAEAGVPYDIVEEMEEINDDFKETDVALVIGSNDTINSAAEDDPNSSIAGMPVLRVWNSKQVIIVKRTLGTGYAAVDNPVFFNENTQMLLGDAKKMSEKLLEEVKSKPM >CRE00664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1761962:1763325:-1 gene:WBGene00051565 transcript:CRE00664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00664 MLINTSSTSDSTTIIELENRYYYVNPGHAKTDFDVDGFKWRIVLEEQQIVFTLLSELAEFCTIDLIIHCTDFVSSSTFTSQFARSGESVVHQWLPGGHHVQLEIDQIIHETQFSGIDFSRPFDQTNAILRVEDEDFHVHTFLLSMVSDFFRILFNGSFSESSDLSVPIELKEISSCSFKNLLNCIYAYPNGFRITRGNISELLELSHFYDCKRVTMLCENILLYNLCYNIRSVQKMEWAIRYDLETLKNQLLRQEPHLVELLALE >CRE00665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1764053:1765606:-1 gene:WBGene00051566 transcript:CRE00665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00665 MSLVYLKALMCVWGIFWNVLIISAILKDRVLRNSSTFLLLSLHFFASTIDVGSRLVFDVPSEILNRPLFRLSVNYDDFPTKSFHFTTYSCWFIQLYSLIAIAASHILAVYGTIYYACFTQKKTIIAIVGIVLLSMLSVCYLWSPNFPILFHPEFWGWTEERNWPLVNFFFYLNYVVQGIVFFCLALTDILIVYKLSTIKESVFSEKSVTVAPPQMMEKMQVSVVNSGTAALAPRRTTRVSTELKVSINFIIVSLFLLIQTLIYNICTLYEDNKLCLFLLFIAPIFRGSKCLAYLVSGSALRNAIVNLVLCRKQF >CRE00196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1767510:1769004:1 gene:WBGene00051567 transcript:CRE00196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prx-3 description:CRE-PRX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LDQ1] MLASAWEFAKRHKGKIIAGGVLVGSAIAYIQSSSKNEALQKVNTSSELPNQARRHYIFDSTHRSCDQSITDLIPSIVSQIQARFDVEAIQEKLKNTPDLTADQKIQLWDQLKKNVFCRIVSVAFGFSILTLTLKAQISILAADTCAQFEQRNKQPTWQNYLPESMNSILSSKMGSNSSNPTDNPMDVGNRRIFLQCVQYFTLRGIPELMEYVAESVSAELQNWKLTDVKTKHEMRDLFDKVSYKISFTGLLTKLVAPLDGDVDSSSSVMKLLQKLTNNLESSKSIHVLNSLLDFYFSAALRMVENDEQSLVKYVPSFSNSFPVLTSTSFDSPLFNSLYSSDIHQFAVYVFNS >CRE00197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1769675:1771516:1 gene:WBGene00051568 transcript:CRE00197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flu-2 description:Kynureninase [Source:UniProtKB/TrEMBL;Acc:E3LDQ2] MADNEGQAPAPPQPEGEQECMCTQDKVLQFLNKMADESGIKDLTDPALAEFLTDSDALKGIRDLFHYPKAGTLPDVDTTLVDPEADSIYLCGNSLGLMPKVTAEVMKEHLDKWAKMGVFGHMTGEVPWAHSDEHCLEGVGRLVGAKKEEVSVCNSLTVNIHVLLTAFYKPTETRHKILLESKAFPSDHYAIESQIRLKGRTVEESMCCLEPREGEETLRTEDIIDYIEKNGDEIAIVFFSGIQYYTGQLFDIKAITEAGHRKGCLVGWDLAHAFANVPLHLHWWDVDFAAWCSYKYGCTGAGSIAGLFVHERFLHDKRERMLGWWSHKMSSRFVMDNVLDLDEGASGYRISNPPIHCVAAMLGSLKIFEQVSLENLRSRSCYLTGYLEYLVKTLFGEDSDERTTKLSISIITPEDFHQRGCQLSLKFSSPIDVIYPELVKRGVAVDKRYPNVIRVAPVHLYNNYVDVRRFISVLQEVAHIVEDHA >CRE00666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1771893:1776130:-1 gene:WBGene00051569 transcript:CRE00666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00666 MTTDSASLKSSEGTCSSIGDEREKAKIVQKLVEIKDKLRALNEKREADVEKFLTVTKQAEISKGVGTDNPQRARIRNNFERQNRKHAQETETLQKKLTDYEERLKLVDIGEYEPSPSRSRVIPTGIRKAKGMTESMMNAQMELAQRVKSAFSADNVNSTHNGHGVGKTGQSTFFTARRSADAEDAEHAEKKKRDSVRGSSTLPPNLSFSDPLNAYKEDDISEPNSRPGSAADETNNMPYHTADNSLYFPPTNNVSHHHQSSRNEESFALINEHLNSILHHVMLIDRKYDRLEDDVKKEIKFYSEALEEERFKTTKLEELLNEAVELQQAEIASLKEQNLMATRVDFQHNDRFRTVEEKMESFENHMIRIENALMDVRQVKLTGNVWQRVALTAGNIVVELLKIALFVVASILDLVRPLTGSRNRSAVAFGLLFLAIFFGHHLQKVNYFFGNSADANKTGPK >CRE00667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1779980:1783172:-1 gene:WBGene00051571 transcript:CRE00667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00667 MWCRILLLSIVICTTTSKFHHLALRADSRRNIIISEFGYGKNGTLNIAINNFTVPEKIKDSVDSTENADKLGVIGFSLSLGSIITRGVGSNPHVCQLQQTDQGYDAIFFFADLPNKRLRVYRSGIGKYIRICKSATECLTKDAVRTPKPEELQPEDPTGPIEQRGWFRNLFGRFLNPGAPEIAYDNYIPLQVDNENHFSTNMSIRFDGKIVGQYVFMFHNCYNYRAHGYSDRVAVDLTVDLVERNMHSYLSIGEIPKPQIYLYMSILFFGLSVYWSHLLCRASSDNIYRVHKFMAVLVFLKALSLFFHGLNYYFLSKYGMQKEFWAVLYYITHLLKGLLLFGTIILIGTGYTFIKQFLTDRDRKVFMFVLPIQVLDNIFLIILSESEIGTESHQLWLKLFVFLDVLCCFLVGLPIVWSIQHLHEGATTDGKAAANLEKLRLFRQFYILVIIYIYCTRFFSVIFKFILPNNMEWVIVAAVEIVTFTFFIVVGYKFRPANSHNYLLLNSDFDSYDADVIPKDDRKDKDNNEPVVVDEQFLTKAYSDANVSRRVVSDESSNNQADYPHQKLMKKPSQTYEQSLLD >CRE00198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1784870:1787668:1 gene:WBGene00051572 transcript:CRE00198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-3 MKTLFLLGLIALSAVSVYCEEEEKTEKKETKYGTIIGIDLGTTYSCVGVYKNGRVEIIANDQGNRITPSYVAFSGEQGERLIGDAAKNQLTINPENTIFDAKRLIGRDYNDKTVQADIKHWPFKVLDKSNKPSVEVKVGADNKQFTPEEVSAMVLVKMKEIAESYLGKEVKNAVVTVPAYFNDAQRQATKDAGTIAGLNVVRIINEPTAAAIAYGLDKKDARERNILVFDLGGGTFDVSMLTIDNGVFEVLATNGDTHLGGEDFDQRVMEYFIKLYKKKSGKDLRKDKRSVQKLRREVEKAKRALSTQHQTKVEIESLFDGEDFSETLTRAKFEELNMDLFRATLKPVQKVLEDSDLKKDDVHEIVLVGGSTRIPKVQQLIKEFFNGKEPSRGINPDEAVAYGAAVQGGVISGEEDTGEIVLLDVNPLTMGIETVGGVMTKLIGRNTVIPTKKSQVFSTAADNQPTVTIQVFEGERPMTKDNHQLGKFDLTGLPPAPRGVPQIEVTFEIDVNGILHVTAEDKGTGNKNKITITNDQNRLSPEDIERMINDAEKFAEDDKLVKEKAEARNELESYAYSLKNQIGDKEKLGGKLDEDDKKTIEEAVDEAISWLGSNADASAEELKEQKKDLESKVQPIVSKLYKDGAAPGGEEAPEEPSDEKDEL >CRE00669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1789209:1789572:-1 gene:WBGene00051574 transcript:CRE00669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00669 MNSFAILLAFAMLLATALAGPGGNRYGWPSSYERFLEKQGVWDSNRHDVRDNYRRRGNYERDLRDLFDDWKRQTQWGGKGYRSNRFQQPKGGFSRYGFPGGK >CRE00670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1790682:1791034:-1 gene:WBGene00051576 transcript:CRE00670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00670 MNAYALFLALAVIFASAEAANRYGWPDSYERFLERQGVWDFKKHEVTSVYKRRGSYERDLRDLFDDWKKQTRWGQKDYKSNKYSPPKGGFGRYGFPGGK >CRE00671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1792069:1797299:-1 gene:WBGene00051577 transcript:CRE00671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ggr-2 description:CRE-GGR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDQ9] MLFVSTIFLLLYRSGTASRSEPVPIYLDNLLNAGREVYDNQSPPVQHDKEEVTVVELAMYIEGMSSFRTQTMDFQLDVYFQQFWRDPRLAHNETRRVLVKDKAVLHKMWHPDVYFANARIAEFHEVTQPNFLVWIQPDGSILYDTRISMVVVCTLNLEKWPLDSQRCHLRILSYAYTTEQLVIKWKEEEPITRNPNIAMSDMHIVDLYPGLCDGNYSTGTWSCVTAEFFVKREITHHVMQSYVPTTLIVVISWFSFWLDVEAVPARVSLAITTLLTLSTQANAARMALPEVSYMKAIDVWMGACMMFVFGVMIEFTIVNYAQRQVMASSLESVKSGVPGAEKKPSRADLGAHARQLFLRLRTNERQHLVEDPPEQIAMNDTAYDTVSQAYSNSFSDEEAAPTTQQRNEDAEAQEEVWAEQKETNLSEVWKERDGDPAERLAHWNEKENDASSPLMGNGRAHVRYGAADKLRNRKCNDSSRKKEKWSSAIKQIQKHKKIAGRNRAKKIDQTSRWIFPLTFVIFNLTYWIYYLYWKE >CRE00199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1802828:1806942:1 gene:WBGene00051578 transcript:CRE00199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-4 description:CRE-PTR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LDR0] MRLSDYGKQFEDWMVKVFYNYGLFIAKHQRWFLITPLVLTLISIGGLFYLQINLDLYRLFVPTDAPVRLEFERTKDFNRLPLGDLNLIPKRNRRDDCTDASCYFPDVEMDRLRRDLEAMEDEAHFNVPFGNVSNLARSRRDVNKNKEKKDSEKKLVPAKNDILRFYVVHKNFDNLLQSKYLGALFQYTQEMMKVTNEFNEEVWGLEDFCTKDPGASTCNNNLNLWIKHADVLFKDGKIKANPNLQLSYPVLYLFNRPKDIGNVIYGVDVKGEKNEIQGARVLTIHWFVNYPATAENNAAYYSFRQKLNEYWENIAENSDLQFIPHNDKAMDDEMLEIIKTTVPFAVPATILLTIFVLLANYSSDKAKSKPIEMCLGVWCVIFALIITFGVFFFFGAKFNPVTSTMPFLVLAVGVDDDFLMVAAWRECDRKLSPATRLALVMGDAGASITVTSFTNFFCFFLGWMMCSTPAVADFCLITAVGVFFDYLMQITFFAALLKYSGDKEETGGLATCCYKKNDGDDDDVEVQRGTPSEAAKEKEEHINYQHHEKTEDLPYMHKFFRDTFAPIVMKKWVRIGSLALFPIYTALALYGCSILRVDISPVKYIRDNSPIQTFVALADKYIWADNVMPTFHIMTPPDLRDEGARAKLNELVFRLEHTNYSIGRVSTNLWVWQYQQYLNDFPNINYTTDFYDRKNMRDFFSQLDYSQYRDKVKILDNVTNGEPCISAFSFQTSFYGLDSWDKRQSELFLWRDILKEYPEFDMFLSGIFSPFLIDQRHTIAPSSMQTIGSALAMMALISFFFLPDAQSVFLMTWSLLSISMGVCGGLALLGSDLDSVSMGCIVMAIGLAVDYSVHICYRYHRSEYNTAQEKVSDTLASVGWPITQAVCSTLVGLAVTIFVPAYLVRVFFQTVYLVNLIGIFHALVWLPQLISALDPCERVPLRARHEISKQIPLQLH >CRE00672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1807452:1809181:-1 gene:WBGene00051579 transcript:CRE00672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gln-1 description:CRE-GLN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDR1] MSYGRSHKRARTDNISELNAKYMALDQFNNYQVMYIWIDATQENLRGKTKTFDFEPKVASDLPVWNFDGTSTGQSLGEGSDVYIRPVALFRDPFRPGPNKIALCETLTHDEKPHPTNTRQQCLDLMEKAKDQQPWFGMEQEFTLLGADKHPYNWPTNGFPAPQGPYYCGVGYDRVFGRHVLEAHYRACMYAGIKISGSNVESMPSQFEYQVGPCEGIEMGDQLWVSRYILHRICEEYGVVCSLDPKPVLGEWSGAGCHLNFSTNVMRTPSEDGAGWRAIEEAVHKLSKVHMHHIAYYDPHGGRDNERRLIGANQTETVDAFSSGVADREASVRIPRQVFADKCGYFEDRRPASNCDPYMVTSAMVKTCCLEGEDAKLNLRYVPKF >CRE00673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1810289:1813549:-1 gene:WBGene00051580 transcript:CRE00673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00673 MDFLKKLAKSDAGQMAMNMVRQNVEEKFKEYTASQQNSVGSTSQSGDTEGQPLWPGPVNKSLPFAIEKKLSSNFSRATYGDGYALVYTPTNYIVYANDDSTCIDHLEYLWKEFDECVLQHNDPVKLIDDLVPAIIREKEQQSDCLPVFFAIVAGFSKYVKQQQEKGGTRFSMSKLNKRGDTPVHVAAEYGTIGLLQCLWDECFDPLTINQNGETILQVARSRPDIIKYITKNVKGEVFDRMILTTENHPTPLEPKYPKSFESILKYTIDNNLPHCLFAPGLLISSSKFSFRDLETVLKILYIQDSDFLGRLHKSQNIFHNINAFSHQQQKLIMSFAKLEMLNVQDSDGLTPIHCIAKSGSVETFLTMWSYGADINLLTNNGQYPMDYALVRDDLDLVKAFLAFDGKYSDRFMKDAVNQKSRKCSETLKKHFKALEETKNNKIEFNNSDPTHRRCKNFPAIKKDDRIVLSIDGGGMKGILALQLLKEIEKIVGNHFLKRFNHIGGTSTGSMITLGLVQYGNIDHVIRQYFRMKDEIFIGSRPYSGEGLENALINEFGRDTLKQLGEKNNIRVCIPVARVDISPPQLYMFRSYDLRDPVFEKDSSNLNWCAAKVVRSSSAAPSFFPPVDGKFMDGGLIANNPSIDILTDCQRLEFERNERNTTKIIVSVGTGAMEKKIDNIDLMKPTTMGGIINTFNQVLHLKDVFIEQLTASDGVTVERARWMAEAMGMAFFRFTPNLEFPVAIDEKKDGALIDAMLAVKYEAITMRNEMLMLASIFK >CRE00200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1817866:1820886:1 gene:WBGene00051581 transcript:CRE00200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pak-1 description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:E3LDR3] MKAFSSYDEKPPAPPIRFSSSATRENQVVGLKPLPKEPEATKKKKTMPNPFMKKNKDKKEAAEKPVISRPSNFEHTIHVGYDPKTGEFTGMPEAWARLLTDSQISKQEQQQNPQAVLDALKYYTQGESSGQKWLQYDMMFIDDAPSRTPSYGLKPQPYSTSSLPYHGNKIQDPRKMNPMTSSTSNVGYHKQGVPPTTFSVNDNRSSMPPSYAPPPIPHGEAPADIVPPAIPDRPARTLSIYTKPKEEEEKIPDLSKGQFGVQARGQKAKKKMTDAEVLAKLRTIVSIGNPDRKYRKVDKIGSGASGSVYTAIEISTEAEVAIKQMNLKDQPKKELIINEILVMRENKHANIVNYLDSYLVCEELWVVMEYLAGGSLTDVVTECQMEDGIIAAVCREVLQALEFLHSRHVIHRDIKSDNILLGMDGSVKLTDFGFCAQLSPEQRKRTTMVGTPYWMAPEVVTRKLYGPKVDVWSLGIMAIEMVEGEPPYLNENPLRAIYLIATNGKPDFPGRESMTPTFKNFVDSALEVQVENRWSASQLLTHPFLRCAKPLASLYYLIVAAKKSIAESNN >CRE00201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1825022:1828609:1 gene:WBGene00051583 transcript:CRE00201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-25 description:CRE-HSP-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LDR4] MSERRIDVNRSNYSVIDNEFGNMRDRFEQEMRRVEEEMKRLRSEFEGYRPNGGAPTAAISNQPYNAYSTTSSHHETSNRTGGFGGAPLPPPSSFHGPSDLMAHRPTYDPYLDNLKSPLIKDESDGKTLRLRFDVANYKPEEVTVKTIDNRLLVHAKHEEKTPQRTVFREYNQEFLLPRGTNPEQISSTLSTDGVLTVEAPLPQLAIQQ >CRE00674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1829076:1830887:-1 gene:WBGene00051584 transcript:CRE00674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ipp-5 description:CRE-IPP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LDR5] MCRLFKIKSRRLMPAAFHLDSSFVSHPNSLQLLTLSNVFPLQIMVQYLLITANVGSLFEPDARLHAAWVKTVADQVDYVDPSFFVIHLQETGGKKFTECSQQVPIIISRLSTALPKFDLLRAYVDIDYEAIEYTALGALCFIKRSLWSSVSQFNFHTKKYEQLTSPKEVVTHGLENYPYVVKHKFPKDFWPSIKWGRKGYMQTRWKIANRVFDFVNAHLFHDESNLALIHENPQLYSQNRKRALDFVLSELSAMENGCTPLLFVFGDLNFRLDSRSFLNRLTERTAPHPVEQEQMGSLADGLQTPTASLQVAAHPSENLRRTVSAIEFRRESDSDDSQNSCVLRIEKKKFDYFNHKKLLDDWQSYRDDDKEAENFKSMFEMNINFPPTYPWSEDPEDSETLMKTRAPAWCDRVLMNKNAFGLVKEGGPEYKSFGMETCTGDHKPVMLTFNI >CRE00202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1838207:1840386:1 gene:WBGene00051585 transcript:CRE00202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00202 MLSLLSLIIASGTVLRADTELWEPMTYPDPRTNSTDCNVPHEGTLCDPDHILTDTWRQTILENIEQHMAKLEDAKIHYTEDASPECSSNSSGSVQIFLILAKRIHAASNQSITTNDLTQFGHGIREAFGLDSMPCKNYVLVLGVELAKEIYVWTGADLAIPKEALDNALAQYKKLFTERNYMEGLNKIVDEISSLLMDPYKEYSTTLAPELSTEDTSGTTLEMSSPSNQTETVIPTWMYVVITLCVIITVLVVVFFVLFIIKYLRSRSKSAQIYPIESNQKTGPIFNVIQKHDSNDNENYQLERGIVMNRRFSIDSENSHQPISEHSECTADARFVIFKLFPINEVFSSSIISVDNTAVEVEHHNGFETIPPDTMINTAKVTLDDGHLSTDRVIARRNSDMRLAPPSIEDQFSSL >CRE00675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1840667:1843239:-1 gene:WBGene00051586 transcript:CRE00675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00675 MLLRTIFLLALAILGLTNGKEEDKTPGEEMIDRLAVNMAGAFVKSMFPEMDRKPTEAPTPAPPTPSSEIRRAPINPLISSMEGYSPQFAASEYNAMPQLSQAADTPRNPFSYQANQPSTYSVMPEMSQTKIGQSSAGMDGLGALAMAGMRNPDISGMLSGGGGTPEAVNAVRNQAYLAELSKHQSELNAYSAKQMEYLDQQRRYQQAMIDHQAGAALLMQKQQQEIIAKQMQNMKQSYGAGETTPGESKDFEDNDNTIGGRYLTARARGVKTMKVPTNRKLEDDDVVANDEYLKEYFKQKYNIDIPDDVSQLTADEKATLRALKRELSRQQEKVKENGVFKTMDSLKGKMSNERASPSKNTPIEACDQCIPMNLKMVRGAWTQIYGNPKVVNKVFGTVMSLENMKSSTGSIKMTNKKTSCVGMEVGAASSHRKSSKINLFFRDSEEGNELHEMRGTVTVKDNIMSVETNLYRTHMCLVKAGPSESDKFEYVILSETTGKYACKSYHVFVRNTDEFNRRHFDDVSEFMKSQADAMPLGALPKSSLCELDNP >CRE00676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1846409:1846738:-1 gene:WBGene00051587 transcript:CRE00676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00676 MKWLICVFLLGYCPVFCHEEGAVFGKWNMKRQAPNWSSTDIHYIKSISRRQQLDGWPFQDPRMGEMKKDLRRRPIKKENLKRARGKLKPISYMGVVRTRRKRLVSDDES >CRE00203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1848832:1849620:1 gene:WBGene00051588 transcript:CRE00203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00203 MFRLFYTLFVVALLVSFANSQSFNCNPACVSPALCDTNTGVCRNFRTAFNGPVSIGCSTICPAGTSCDTNTGICRTFRMP >CRE00677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1850126:1851874:-1 gene:WBGene00051589 transcript:CRE00677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-3 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3LDS0] MLGVPFIDRWLSNTFKPKTFDDAVDRLSYVTTATLLTFFSIMVSCKQYVGSAIQCWMPMEFKGGWEQYAEDYCFIQNTFFIPERSEIPGDVEDRQKAEIGYYQWVPIVLAIQAFMFYLPSWIWSSLYKQCGLDFPSVISEAEALRSSDSDTRKKGISKLVAFIEDILETRSKNEYGRFYCYRFGKGLGSMTSVLYICIKLMYLANVFIQFIILNKFLGNETFLWGFHTFADLYAGREWQDSGVFPRVTLCDFSVRKLANVHRYTVQCVLMINMFNEKIYLFIWFWFVFVLITTLVNTICTIWRLSIDSSRHNYIRSLLSGGQHNMKDERFPIGKFTNHGLKQDGVLLMRFIDDHAGAMVTKEICSSLFQNYLQNDPYARPVHHGHSTKSTSPGIEEGPHEHLYTPEKMGLMVPDYPIKQA >CRE00204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1854898:1855588:1 gene:WBGene00051590 transcript:CRE00204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00204 MEILTVVVPDLIRYSKDYFMENSLFQQIEHVRKGTLPAAVGLHPIRTMVDGIDYCATAIQVPVATQKKCDLLLHDCEKVSLDSTHLAGILGEDTVMTLGKNKEGTVFFLLVDRNPEKVSHVPEITKVMASMIQLTTNIKLRELREIEQLRLPIELAGSFMLMVKCEENGKRTLELFDENMTQVGFVNGFVWREIVV >CRE00678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1855802:1859730:-1 gene:WBGene00051591 transcript:CRE00678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-13 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3LDS2] MVVEIYAISLWGSTNEQIHQDNNFTNERFVFSLELNNSSVVVRVRPLNTAEKARKAFQCVFPLDKRVIEDIMTDMNYKNDLIQRVLLVDPEKFENNILRQNRQHERKFEFDATFGAVSNQEDVHETTTGPIIESVVQGYNATVFAYGATGSGKTFTMIGTKERPGLMTLMTKTLYEKLDNQYQVLLSYMEIYNEIIRDLLNPSGGDLELLEDERGNIRVPGLSSVKAPNLSRVMIVKNQALHSKLFMIDLAGSERASNTQNRGIRLKEGAAINRSLLALGNVINSLASKTTKYVNYRDSKLTRLLKDSLGGTARTCMIAHVTPSSSNFEETYNTLMYASRAMNITNKPVRYRPASADQVYAEAMNAIRKEMGSQIKNSSSITGLAARNGLPERSSSRSRPKSISRNSSLTRAPAPVPIERGRGGSLFTQLKEQYMTLSDKQKRLREKLMAINQEAYALEMSLISKNAIINAWEKHHQDKTTESIERLKQDGEIQRVRLVELSDQRTKVEKALRKGEENASGLESRMRSLASTNEQREVVKLMVKMAEIEAQKISALSDLAIKGLIMDRTDTSIAKLQKYEMVADKLIDGKLDDPDRKKLEEEYRVIKNQFHYHLLPLKNIQTTVSWNSMLLPKINNNNNTIMIEERLMTATKKKSESSLPLIANGREKYPSSSADSTTDDDRVNSPVTERLPNI >CRE00205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1867911:1874354:1 gene:WBGene00051592 transcript:CRE00205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-2 description:CRE-KIN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDS3] MSGGNEEDQLAQCQAYVQRHNIQQLVKEAIVVLCIHKPDNPVLFLKDHFEKLNEQRAQEGGIPDSVDDDDIIIEPPKRSGGRRTGISAEPIQEDDTEYKKVVIPKDDATRKSLEAAMRKNLLFAHLEEDEQKTMYDAMFPVEKAAQETIIEQGEEGDNFYVIDKGTVDVYVNHEYVLTINEGGSFGELALIYGTPRAATVIAKTDVKLWAIDRLTYRRILMGSVTKKRKMYDEFLSKVQILADLDQWERANVADALERCDFEPGTHVVEQGQPGDEFFIILEGEANVLQKRSDDAPFDVVGHLGMSDYFGEIALLLDRPRAATVVAKTHLKCIKLDRNRFERVMGPVREILKRDVSNYNSYVKLMT >CRE00679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1875537:1882477:-1 gene:WBGene00051593 transcript:CRE00679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00679 MRNDGKLEIEGGGKDGEKRRINYFPIFKSCRISDANLPNIDVARPTKVPNVTGTVRRAARTHSGLFTCYVISCSRFFSGCEKKQLCFSSTTTFFRSPSVIRSSFLDNHHNQQDNLCDFGAFSAMSQPYLVGLDDSRGRPLISVCAGAAHTLASTALLCRQQSLDEPATRALEKVASGPLLRGISVQRQSSVEFSERRRAEDAQKLQKKAMKTNMISMFGAIIACEQNDVEALKVVIDKNQFDVTSAVNGNYELYPTKWSLLDIAINLNNDHDKRISSLQIHLSLLKQMEDFLEKTVRPRMLQSVSVEAISHSRALIHFNYKEPQQSIILKFKIQWSDTEDFKAVLGEIIEPRPIENKIILHNLEHGRHYFFTITCIGVNGESKPMVCWPGRIEITSFDDGDPKRETWSDRIESMNKLMEDVEKHRQSLVWQRVFPMEVNGKKRKNGFRELFSASTKLSKHVNHGVYLAALIYTEGKVLVTVDDCVPIVPVDENVTTVSKDDHHWLIKMSMCWDQINGLMDVNPTAYSNNVSLSFRNKLINAAFSMQEALGIRNIGHVHYLPLVYDNCFFLLTVRFIEPSVTFQTITLRWMPFNKLLRKKMPTPAVDSMTKQLLNILNFYEASQIQLQRGLYVGYLKLHSSLNSIRVVVPDVLPSMLPFTRVRDNPHLTREEWEWIKSIDMNETFYATKAQERIHSDIAHAIHRLLNELDIDPDLVPGTRLYHAEIVQPDDNTTVLLILPRSDDVCSAPTGSSKTTEYIESKRQCNSVPMPVFDMIHFLTYQTSFLTSYCKLSIFLEHFLMISQFEQRKCLLENDSKVYRNQTEILTEFQKRLEEIWQNARWISRVASEARDKHVKVSRNAIPLTRFMTALPRNPEEVRKEENERESFRRIQQRRRMRLELLGDRLASRDESDTDEEYEFVMSNDPNPRSNGFLKSEQPRSALNSPQQSYRDIDSVYRRRRKVSTDLALALSNTSLNNLNGIEEKNLTPDRPQIKEDKIFLESINVIVGYECQVPKGTKIALSVTQSTEAGEVVNFVVEQIAKSAAGSDSDITLSDSSELCLVAAIGQRERRLKNETVIQRLDRTWLRGNFLIRRKDGFLNGEDIANESLV >CRE00680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1887389:1887661:-1 gene:WBGene00051594 transcript:CRE00680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00680 MRPTAVAMGKHFGNLGKMYGEHRFALAPNEQKAYKGFVDQAFVKTFKTYVWDQWYYYIPQTIGAYLLYDWAKKTNHEANRKNPADYANDV >CRE00206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1887953:1891102:1 gene:WBGene00051595 transcript:CRE00206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00206 MRVRKEIHILVDASLKMHVKIDHQKTAMNKVNNFLLHLAKMSDLQPAYFGRVHIHIGDVYIPVEEGNAEKMIRDAEKVMNDASKENDLLFFWNSVLSGDGNDDNLFFIISSVVDDRVSIWLFLIQQLLSMFENYKNKLIFLDFSGKKIDISPEHKRLVHVVKHRDVKKCAKKIHKRFLSEYLTTVNFGFLMKTEFFPVLLHEEDDYDLESIKVIATSTFQPLESISILAKGFIMPVDQCYDKKGTKVNSAAAYKILKALLGEEFNPKVKEEDISLDVSKDDSVTIIEDESRAEKVKDATAEVKQEVEEEVMEEEEIKEEEVKEEEVKEDIEEEVKPILTTPAKKSRKRKAIGDDDDDDDEEYTPTKRKSTRKPAKASGRGGKRKAVKEHSPASAKKAATPGRGRKAKKVVEEEPEKEDEPMEEEQAEEPEKAEEKTEEQQAETKEEVKPAEVVEEIPEPVFLVACASERHTGIRRPVILRLRGLEQQDKTYYDEETRKLKIAEKAAAAEAEAAEQAAKEEAARQEAANMEYAQQMAMLRQYEYERAQQNAPMTTPMEDDSPESPPPYDCPASPDMAPATAQDVKIIPTIATIPLEPEAQEPEEPDEPDEPEEPEEPSGDVDFRTTTPIKMIPLEAVGTEETSDKSADQGSDKSADQGSDKSADQNELNVSSESADNSGGIELKESTCIVDESDVPEEPEDLLKVQNTPVKTIPVDSCVKSNDGENQSESVEEAAVKEEDEKYIEVIVLNMTWEKFREQVALADDEEAIEELTERELEMPGVEPMHDYTKLTGWYSSEAMQFYLNKLFRAMRKLHDRRPLYDNEIRNMKQMVQYGQSANLAWNFSMLLRDEDNVVPEDFLPLLESTAQEFDKLYYEISKRSPMRRPALPRRFQHHHPLHHQPHYSPHYQSAQHHARHAYGHNPQHYNSPHHGSPHSSPHHGSPHKKYQQPQHHY >CRE00207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1891938:1894131:1 gene:WBGene00051597 transcript:CRE00207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-23 description:CRE-MIG-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LDS7] MRVSLRFTIFAVTAMILIPVFIFIYAVEAHTSANVILQEQERSYGVICDAGSTGTRLFVYNWVSTSDTELIQIEPVVFENKPVMKKISPGLSTFGTKPDEAAEYLRPLMELAELHIPEEKRPYTPVFIFATAGMRLIPDEQKEAVLTNLRTELPKITSMQVLKEHIRIIEGKWEGIYSWIAVNYALGKFNRTTQPDFPGTSPGQARQKTVGMIDMGGASAQIAYELPDNDDFNSINVENINLGCREDDSLFKYKLFVTTFLGYGVNEGIRKYEHKLMEKLKDLNGTVIQDNCMPLNLHKTVTMENGNNFVRRGTGNWNSCADEVRQLLTPETSSEVCKAIVAKCYFGAVPAPNIPLSNVEMYGFSEYWYSTHDVLGLGGQYDAENIAKKSQQYCGQRWSTIQAASKKDLYPRADEERLKTQCFKSAWITSVLHDGFSVDKTHNKFQSVSTISGQEVQWALGAMIYHMRFFPLRDSSRNLIVKEWVSNVTLSSFGFSIFRTHSTSESLWAPLFFLTAVFCLFVLVCAKEHSLLCFDDKRRASFGLTRSQYSYKMLKENRTSSSSFLENFA >CRE00208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1897447:1900846:1 gene:WBGene00051598 transcript:CRE00208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acs-17 description:CRE-ACS-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LDS8] MTFCNPILNWQHYCSLCHMISKPPLFHCTQINPIIFVISEEPKMVDESDVKSALNGHDRPFSITLAIVLLKIVFFIYDIVTFIPFKIFADPAEKLEKSERVKAEPENSDPSTPWKNISAKDGLMNSSFEGIHDLGTQWEECVRRYGNLSCMGTREVLKVHKEKQSNGKIFEKWQMGDYHWRTYAQVDKRVNMIASGLTSLGQEEKEPIILFAETREEWMTTALACMKMNFPIATMYATLGDEAVQFAINEVGAKTIFTTEALISKVKKAMSNGATSIENIIFFDSVDPASRGESVDVDLPFTLLSFDQLLDRGEPHPVPIRSKKDDLAFIMYTSGTTGNPKGVMISHQNIVAVTAGQAFNIILGTEDRYIGYLPLAHILEVCAEMVVFTKGVRIGYSSAQTLFDRAPKIMKGEHGDCWVLQPTLMACVPAVMDRIFKAVIDEVNANSELFKQLFKTCYERKRSRYEEGYTSFILNKLVFNRIGKLLGGKVRQILSGGAPLSPETQRFMNICFCCPVVQGYGLTETCGGGTIADIHDLSTGTVGPPLTCCEILLQEWAEAGYSPKNDPPQGEILISGPNVALGYFNNEEKTNEEFVKVNGKRFFATGDIGEFREDGSLKIIDRKKDLLKLSHGEYISLGKVETNLLTNPNIDNVCVYGDSNKSFLVALVVPNQKNLTAMAEKQGVDTGDFEKLCEDKKVVEALQKELASYVGSKLQRVEIPQKIFICHEPWTPASGLLTEALKLKRKNIEKAFRKELDELYK >CRE00681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1901091:1902282:-1 gene:WBGene00051599 transcript:CRE00681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00681 MLLNFQATVFLVILVRQCYGADSKVGIPGTECLFGGICSGGSVCIDVLCLCVDGEREFNGKCVEKSVYDSKTKESESFQDDKPERLPTIGLAGHPCGQGGVCRPSTKCIEGTCKCRQGYNPSFGECVRDLNVPRHIPRAFIPNHRSILRFPS >CRE00682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1902910:1904444:-1 gene:WBGene00051600 transcript:CRE00682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00682 MGKWSELGLSSRENATISSSRIPTGKSFLQYYDEIHIPLSISICIFGAVSNVFNIIVLTRKRMRTPINILLTGLSIAQWLLATNYFLYLLLEYYRYQCIQILWSEAFTRYRFFNVNLNTVFHTIAFTTTIVVAVFRYCALKFPIQANRFIYKCQPAIAANVIIWIIIPIISLPLFFISEVLKCVDNPRNHLTLFQVKIVARDHVAYDLQCEMEGPLYDLSYQESPLLVSAVFWAFGIVFKLLPSLILSILLTALIRSLKSVERRRKNWKRTQGANICTNSERKAKRKLTTRPRTTRMLVIILLLCVMVELPMGILNLCVAIYGEEFGNRYYDPVGNLMEMLTLLYSSVSFVLYCTMSNEYLSTFRALFFPWARKNSLRGPGRSWKNRHDEETKSPRTFLINGTAPSSYVGS >CRE00683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1913768:1914256:-1 gene:WBGene00051602 transcript:CRE00683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-capa-1 MLLWMVATLLIFTAIPATALDYNDYSLQRIARAPHPSSALLVPYPRVGKRSNVMKGARHQGPPNSVQKRLYMARVGKRAFFYTPRIGK >CRE00209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1917041:1917748:1 gene:WBGene00051603 transcript:CRE00209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00209 MTHLTIAQEEHLSYAICNKIAYDRRQAAYMIHAMMEQLQNSHLTVDYKITLSRQVAAARRKWCREYFIDLDSYSLIELMRYACSVQDWSRRLSDLFTTNARMIRDRMSRIREINFNRRHLQWCF >CRE00684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1918360:1918680:-1 gene:WBGene00051604 transcript:CRE00684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00684 MMKWLLLAVFCIAAYAWADGNEDANIDQLMSRVYRTVLLKSKRSPSMGLSLAEYMASPQGGDNFHFMPSGRK >CRE00685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1921280:1922728:-1 gene:WBGene00051605 transcript:CRE00685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00685 MDIDDRKAEELLQLVYFLLTKNTTGPYLYKADLKGLAAKTNKSVIDIRLWFIAKRDSDPKRKEYRYKTVSDEINNQVTRCIELAIKSHETAQLKDTKQTTIREDSNGINNQVSPEERTCEPKPKRSRLEKPEEIKLNAEKTLKFLYYNLLWSEKGPYLYDACIKKLGKRIGRTEEEIKNWFTEKLDSQKNSLVQIPDPIDGVSKDKVLSKIVKEATLDEKSIQNPKPFQLPDIMYYQETARDKSNWAKFNKNDTGKVTVLDYIHTELRKVHKDPCISLGVIGILSEKINMSAPSIMEWFEAKEKGISSGIPVENIRMSEALFEQIHSIYPKPFPFNENGSEVISENVAALKPSNNDAGSLKPTSKIQKVASEEGTSEPEPKRPCL >CRE00686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1923360:1926669:-1 gene:WBGene00051606 transcript:CRE00686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00686 MSAFSEFFKKAHDALNGIRGVNSFFLGRDGDVVYSKNNVCVHETDAKAGEDEAHCPGYLTVHCQCNESMGLTLILQWLPNLTLHKNPQSIRSVSPRGKQNRDENVQTPPQKLAEEVKIAVGSDEESSVSLDIQVEECGTAVPVKQVKRPTTLVPYSTQEDHSFNDALGVPSINVIPHTPIKHEKDGLQSAGKSRDDPERHSQSSLSTSCADEMSEKELSSSEDEDDTCGTRIPGYKQTKILESTQMFDKTPEQFALEHDLMLTDTKSNDNDEQSRGRKKAAATTSLFSVNLGKMRSMRVFYSNPECTSGQLVIATLDSHYKILHFHHGGLDKLAYIFEQWSSIKARSVQEGSPSAIADKQLIICQPAVTKVEKDPEEGLFEKISLNTWRNYENKSGVIVDSGTVRKHIFFASMDVEMREKVWPFLLRVYPWESSADQRENIKNDLFLEYQNIRKKRYRVIENAPSRWISIENSIIKDVVRTDRKNPYFAGDNNPNSEIMKNILINYAVMNPEINYIQGMSDLLAPLLSTLKDEVDAYFCFKNFMQQTVFSSNPQGNENLMETNLTYLRNMLKMMEPDFYAHLEKQKPDAMQMMFVHRWILLCFKREFPENHALHIWEVRNHYIQTERHNLFQCCWAHYRTNYFHLFVCVAIVSIYGKDVITQELPHDEILLFFASLANHMDAILVLQKARGLLFEFTRMEKIPCVLEGLCSPELEQWNSHKSFRNFYCNQSHADKEACPYQ >CRE00687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1928788:1932865:-1 gene:WBGene00051607 transcript:CRE00687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00687 MSPITVLLLVGVPLVLSQSFNQTHLGFPEAWDGDKYRELYCPSKNIPKWLDGYFLCQLSASYGNSSAPEGEKLNHMIDAIGAVGSFHVSNGQVVFSAQYYPARPYKIWEFYDRNMTKASVPWAGWSDYNLTAMSRWEQVPANPDSARFHPNLDFWKVGNRIIAGTEAPYWVGYEFDVRTLQKFKLFPFKEENDIFSTPRHTMIPISMAIHERNDADGTIWGSFSAMNFEEQRFFQGIFTVDTNGVRRVVGLYDYGVWDTNACGSNDEYIGDKTLLPGYIHSITSTENFIILPITSLLINPCKFKEPPLNNVRSAIQKGGLWGMDFYDMVPMRFLIFNKKTLEFTTSKPLEVFPSMFVTHQLNAFEADDGNLVADMVVYDSHDPYVKYFYTDFLTKQLYPSTARVLRFTLDNKKQRVMYNYLVPQETIAADFPQFNHNYEQKAYQWGYLVQHPFASGNSILKINVDEPAGNRNLEFRAEPTLVLHEPWFVQKPDTKKEDEGVLLVRGLDTAENKGVLIVIDAEKMTELGRAYVPISIPFGFHNRFFSKKDLGLPEGFQVGQSQYRPIEKKQGFATLPLRKVSTIPPTSPTASPTTTTSTTTSTTTTTTPTPTTTTTTPKPTTTTSTTTTTTTPRPTTTSTSSPTTTTSMTTTTTPQPTTTTSSEKPVTLTTQTWTAPPTTTVKRTTREPSHPQNISYQSFSAQTVPTTTPKIPRWWPLAGSGSTEQPWWQKVQTGANTLPPLFPVSKRVEEKVEKVSAKPNESDNKIPEQKPVVSTGSMDEIYEQTLGALCSWLPKVFTSISNELCWKQGKTAAKWMAPLAQTYAERFRMGRANRKVSPNDTPNLQPSLKQMAFDGQQEQQAEPIRFG >CRE00688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1936055:1936789:-1 gene:WBGene00051608 transcript:CRE00688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-7 description:CRE-FLP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LDT7] MLGSRFLLLALGLFVLVWAEKSTEQQVQEQTDLDKSGEQLSEEDLIDEQKRNPMQRSSMVRFGRSPMQRSSMVRFGKRSPMQRSSMVCFGKRSPMQRSSMVRFGKRSPMERSAMVRFGRSPMDRSKMVRFGRSSIDRASMVRLGKRTPMQRSSMVRFGKRSAPSDINEIQDNEQIRDNE >CRE00689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1940691:1944375:-1 gene:WBGene00051609 transcript:CRE00689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00689 MAHKTSKSAGWSFKPPRQELNLIQYTCQVEPLDVQLLNHLREQAQNTDDLSVLFNILPPVEQLVSEIPYTLPLLEAIYLKCELRTVEDGLLLPTKELCCEQLFCQLVWWTAFCEQSNTSSVKKHCVMFTDFQPCIATLMSIIGRQCPDLVKRAHEDRNQLKTCLESLGCHGLVNCSSGLIRFVLNCMLMTDAVHLELLKHVENLKIICTQIKELQHDPKSSAPDPSCESHQRQMSMRLSQVFERLKLNETLQCVLEETFQNQHLGSLLRICRKRVGSDKLLIGLFNAFARAAPEDFALQQMDPIVAQLIVVFNNSYNKVLLQMCPDEVNATRLSSGSSTSSVSQGTPSLNDKFSVRSSENSIQFDNLKQEISKLRKELRVANYTITQLREKSEEESVIKESALPIPDYPDATAYYKSSTKDQLSQLVTRFGELFIFASNELRDSLDTLPEMEGEDDLQAIFGHETLIVTYNVVKEHIEDRKLAVLKALGSDNNNATESKELTIFENALSSQLYKEFTTTKAGGLISEELCQEVFKKLNDYPGIDECLTVHQFIQKCISMSWDIQCCPENKLKLEYDGIEFEPTRHSRGAYSGTTNHIVNYIWPSLIDTSNNRVLLKAIVLT >CRE00690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1946965:1954554:-1 gene:WBGene00051610 transcript:CRE00690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctbp-1 description:CRE-CTBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDT9] MPTTCGFPNCKFRSRYRGLEDNRHFYRIPKRPLILRQRWLTAIGRTEETVVSQLRICSAHFEGGEKKEGDIPVPDPTVDKQIKIELPPKESKNSDRRRKQNIPNRFSRPESPSGDSPSYSKKSRSFRDFYPSLSSTPSFDPAQSPQTPHQQVLPDPQQALNDILSMTNTRMNGPSSSRPLVALLDGRDCSVEMPILKDVATVAFCDAQSTQEIHEKVLNEAVAALMYHSIKLEKEDLEKFKVLKVVFRIGYGIDNIDVKAATELGIAVCHAPGDYVEDVADSTLSLILDLFRRTYWHAKSYTETRKVFSSNSLDFSTKTVQTIGADQVRENAVGSKKVRGSVLGVLGCGRVGTAVGLRAKAFGLHVIFYDPFVRDGHDKALGFERYKLNFVVLNNYGLFRVSSLDEFMSRSDCISLHCNLGDETRGIISADTLRQCKPGIFIVNTSHAGLIIENDLAAALKSGHVKGAALDVHDSVRFDPNCLNPLIGCPNIINTPHSAWMTESACKELRIQAAKEIRKAINGRCPQDLTHCINKEAVMRNNNPINRRTSAAHPLLNMGFPGLPNFPPMSMSPHFPYPNPLLAMGAQMGALNPFMGNGSLPFNPAAALSSLAAAQAANAQRGSPANRSSRSSPSPQTNKSSVSPSNNGFVKTEPSSPAPKIEVEIAETDKQSMMTFLQRLIGPNGDSSASTADSGIEGGDKEKVQSDGDENMEDMEVIDAEKLKEELNIGQLTEPEEISVGLNNGNRINIDEPALAT >CRE00210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1963850:1965029:1 gene:WBGene00051611 transcript:CRE00210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hog-1 description:CRE-HOG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDU0] MKKMWFFLSVFCLSFSGISCNSLLDVARYAIHEFKLPSFSDTDGHACFSTDSWMTTPTGKKRMDQVAIGDLVLTGNLTATYYTPIISWMHREPDNRYNFVTIMTEYGKMLAVSAKHLVYRNLCDENYAEYVRYLPKGRDVVFAEDLKIGDCLVLLYKGKYRQQRVMRISITERKGIFAPITENGRIIVNDIVASVYSGIKHTRLQGQFYGNVAYFQSWMRLFGESVFNQVSVPIGSALASDLLRLVVP >CRE00691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1965919:1967520:-1 gene:WBGene00051612 transcript:CRE00691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atat-2 description:Alpha-tubulin N-acetyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LDU1] MEIAFDLSTIFTDNIQRLDRADLLKYSPKRHWAVAKSIDSLGEMSSKFHGWKRIITMYEKIVDHDEDQIVYIMWEKVNGSKSVLKGLLRVGYKTLYLTDNDQNQYMEKAMCILDFFIVQSEQRAGNGFKMFEAMLKAENVTADQCAFDKPSVAFRQFLEKYYDQKDPVMQSNKYAVFPSFFIGRHPTVPFTPRQTKRASRASSAVSSHTPSRNTSPIGRNRPRHDSVADLMRQETNFARGRTPVDPNSPTGVRFYRDQKHQQIW >CRE00692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1970635:1973397:-1 gene:WBGene00051613 transcript:CRE00692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-puf-9 description:CRE-PUF-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LDU2] MADPNWSYPPPAYAFADHSIAKPIMIGGVQPSQDQGHSPKSDSFGQSVTAFNGMVDNLVGSPSSSLPPQRNYFPTTAAFPISRSPNDRSEDKEMGNGSYGVPIPIPQNGVPQGTPDFQMTPFLQQGGHLIGGSPNAPYAVTGNWYSNGPTIFSTMQQANNENGMPGMPAEFVNNENGVPPPPNGIHQPPPLISGSPPFPYSNMINLTSNFGGMSIAPPQIQQRDAPMYQQAIIHEPIQGMQQNGFGQQVFYTPIQNQQIPQTHAQQQLQQLAQQQQQQQHPQQNGGQHQFFGQPNNGMNNGPPNDWSQRNNFGGMPPQQQHQQHQQQNGLPPNFSQNPPRRRGPEDPNGQSPRTLQDIKNNVIEFAKDQHGSRFIQQKLERASIRDKAVIFTPVLEHAEELMTDVFGNYVIQKFFEFGNNEQRNLLVGTIRGNVMKLALQMYGCRVIQKALEYVEEKYQHEILGEMEGQVLKCVKDQNGNHVIQKVIERVEPDRLQFIIDAFTKNNSDNVYTLSVHPYGCRVIQRVLEYCNDEQKQPVLDALNLHLKQLVLDQYGNYVIQHVIEHGSPKDKEQIVQDVINDDLLKYAQHKFASNVIEKCLTFGGDAERNIIIDKVCGDPNDPSPPLLQMMKDPFANYVVQKMLDVADPQHRKKITLTIKPHIATLRKYNFGKHILRKYIKIYLMELSLITPEDYELREEYLYILHQLDKPQTDR >CRE00211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1975450:1976817:1 gene:WBGene00051614 transcript:CRE00211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dct-11 description:CRE-DCT-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LDU3] MAITRSGLFTLETSNPRFFTCCARLHVKVALAIIIFFLGFLELTEWYVYIFVDRAGESADAGQAILSIWQLVSIICMTLSFITEKEELLIPFILFMIFVVTSFAFWAMQILVIVMFPYSERANHLLGFRDDTDFMMREKIELMLSVLPALTVLSVFATITTMTGWFLHVGLACYVYFQSRNRENKVKASAREARPPMVAPLAAEPQQPKPADNFPNPNFSISDDEDVDEEEDKVFEQKVGPSMV >CRE00212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1979052:1981214:1 gene:WBGene00051615 transcript:CRE00212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00212 MMNNNETSRLYSIPFSDCYPYQISEVLVTGASGFIGTHCVEVLLKNGYRVRGTVRDLKNKDKVQPVKKLDKKNLLELVEADLLDASCWKKAVAGCDYVLHVASPFPIVSDERCITTAVEGTMNVMRAIAEDGNVRKLVLTSSCAAVNEGYKQDRVFDETSWSNLESDLVDCYIKSKTLAEKAAWDYIERLPEEKKFPMTVINPTLVFGPAYITEQGASITLMRKFMNGEMPAAPPLNMPIVDVRDVALAHFEAMRRPESDNERILVTNVPSIWFIDIARILREEFKGKGRYWIPRFTAPYFFVRLYALFDPETKASLPRLCQEVKFDNSKVQRLLGMTMRDSKEALIDMAHSLIDLGIIERK >CRE00693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1981350:1983114:-1 gene:WBGene00051616 transcript:CRE00693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00693 MAFGVLKFVQIWFRHGERTPGHYIYFPEDDKNNTEWQQIAWPGELTKRGIYEEFKLGKRLRKLYGDHFGDTYRPNDFHVYTGVDNRTSASAQAMFAGFMPPNKDQQWSPDLLWQPIAQETDASIDWVSLGAIDNCPVYGENQQQSSEYAGVMEKMGEYDPELLELVRSHAGEPITEAVTYNHVIDSLKVRYILQDKRLPYPTWAAGFENRILNMSFLIHDAIVKIQNTTVGDYHNELIVSYFETHLLKNKTKGVFVSGHDTNLVTIWESLRLDGHPEDIPNYGAHIAIEMHEDYGQISLKFFLAMGFNQTRVELFPHFCSRSQAGGCEWEEFKALTLKSRKPKSDWIYECQGYEKSTEPVSTLTGSMIVLLSILLLSTIILGFTTFSYKRQLNNLRDPERTRLL >CRE00694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1985856:1990414:-1 gene:WBGene00051617 transcript:CRE00694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hbl-1 description:CRE-HBL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDU6] MTKYLHMATHAPIQVHTDSSPGALKEVLKGARRSQMPFNCTEFVQKPALIGDEWHPGKTWSSNNNTLGDWDKCCETAAKVPQHLTGSFDTSSLKMLTAKQPDEKITPDAGYVSPNEDDRKSSEHTNSYDVSASQSPSNDGAQSDSSTDEHIDVECMTQTEEMDTDDKDDTILPDAEPEVKTEVKEEVAETVEVAENVEVMEEERKPTFTNGSLLTPMMQSFLQTSLPAPIAIAPILSYGQTIKAPTSEETKLLLGFAQSDFHNIMSEAIKPKKPLSDSFGFIRSGSSAFSNIERKELLIKSPPANNNNNNDEAPVPTISNSTTPTTTSASFCRPPGLGPVALPPTQNGQTPMLVCPICGFMCPSKFHFNSHMNTHGDHQCSMCDYTSRTEGRLKKHMRESHTAEEQMKAGLELEPAKESNGTQSTSPKGSTSSKDSTATSPMNESFNLSTTLASILDSTNTAIAACSTTEQPSALAALTLDMSATTPNLLSSLGQSNFPASALDHIKAISENPNFMPDNGMNLASALGVVSQVIKGEPASPEKQSSGESRRSSSGKIKIFKCKQCGHQSLSKDDQWAHARSHIPAEKQLNCQHCNFVTEYKHHLEYHYRNHIGSKPFQCKKCSYNCVNKSMLNSHMKSHTNHYQFRCMDCTYATKYCHSLKVRENYRMSESIITIFQLHLKKYNHRRVPEGIEMNGGDSSPTLSSSDATITFSPIVKQEIKSETIEPPTSMAQPFPMNPMMAGQGFNFANQMLLNRHLDNGLMNIPGLRNVGMGSMKCPVCDFVAGSQEEQMRHSMSHILNSNSVPTTIASLYNSLNLPTLNNIKRETEDEQMECEVKIEDDNTTESHIDEDEEMDQSSVSAVSPTGSSQGSSGDEETAKVAMEQARAEGNNSPAVSNDSAMERDGESADDTPHSPSDTTSASSPPHVPVPIAAPVPIAPRPDMLHAILQQAAFAINMAARGHVLCPHCQIPFNVSTFNIRIISLYKCFQNQETFNDHMSYHTAGNPFKCSKCQYQVHDSLSFALHMFQARH >CRE00213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2005400:2006250:1 gene:WBGene00051618 transcript:CRE00213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00213 MCSLFLFFFVINFHISIVFQTTKMSNNNKSLLSITQVRPHLFLAGYGCITPSLLKQYNITHAVDCTNLKTKPIPGLDKIEVPVDDNTLAKITQYFEPVVKFVEDAKQQGHNTVIYCAAGVSRSATLTIVYLMVTENLSLEEAYLDVNKVRPIISPNIGFWRQMIDYEKSRSGNATVELISGRMARPVPSVYLRRAVC >CRE00214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2010932:2011400:1 gene:WBGene00051619 transcript:CRE00214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00214 MGAVISQLGFNQPFLLALAVIVILLGLTVVAVGHDNNEIICDYVPIPQIRILPTSAPPPPAPPRKNM >CRE00695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2011739:2016167:-1 gene:WBGene00051620 transcript:CRE00695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00695 MEDELDSDLYDRVSYLNLMDKIDTRKKVPKVGKFRDDSDAPSPRLDASEAMGAPPDADIKRYWDSSLDITDLSGHDFTIFKQLQGMLGIENISFETLSRFNVRVHTDTYGQPAILFPRYRGMTSRLRIPMGLKLIRKVGDRMEKENYPLPDETSVRQKFSGVFGLHMATLSDRSVVITTNERDALAIYEATKALVFALPHGEILDPLVLPYLEEFDKIYLWFPVQHVSYAKDWGSALNTLKCLLVKNEERPIELVRNGDHRLIRNSLSNSVVRMRERGFRSMTDLDMREGIRSDLLNSTNRIVGFGQWKRFGVLNKYLGGLRPGEMTVLTGGTGNGKTTFLCEYSLDLFTQGVRTLFCSFEMPEKKILHWMLVQYAGLPLHRVEYSNSINSWLDRFERSSSALTMLDSEEFMEKSINEIARAIRIHVENSGIQHVVIDNLQFLINQGMMADEKSSGLDRFHLQDRFVGYMRQLATQNQIHITMVVHPRKTDGDTEIDVQHFGGSARVTQEADNVLAIQRKRDDRDRSKFRKFLYILKNRYYGRRVESDQLEMVFNPSTYSHTIVEFPK >CRE00698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2020660:2023096:-1 gene:WBGene00051621 transcript:CRE00698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-257 description:CRE-TAG-257 protein [Source:UniProtKB/TrEMBL;Acc:E3LDV2] MAFARALGVIQSVSRGTGIGEQSELYDRGQTFSINGNNYRVENVIAKGGFGTVFLATNNKGKQVAVKIMLSHDAAATKDIDNEIEMMKKLQHENIIQLFDASSEARNSNRSVKEYKISMEYCRFSIADVLMKYKEVAVDFVVRIIYFTTRALVYLHSNGVIHRDIKAENLLINGNGKLKLCDFGSATTKSIEMAPLSNSERLAVQEEMFKYTTPITRSPEVCDVYSNWPIGKQQDNWAMGCLIYFVCFGEHPFDGGALAIINGKYKKPPPVQQNQLSAFADLITKCLTPNPDERITAEKIEEYMKIAMQKQPKLAAKTDFTDILELMNAQPVQHEQTIESQAAKGFFTMQDKLFSNLTSLKNTVVQQTTKMGWGIEPTTNTPRPGHPSTSPKMVPKAQRQQPSEKEAPSQRTSATNTPRAPLNHPSGPVTAPVATFEASWPTDNGSSASGHEWGDFNQAPPAAPKKKEVFDPLAESGSHTLDAKHSDDLLSLQNWPGTTHHKTEVRSQSSRDLFDFDDLMLRHTTPTTSSSQSVLQPTRQMENKNLTKVDSSKNGINSSSSSASLDDMVSDMLKMSTKK >CRE00215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2025969:2027458:1 gene:WBGene00051622 transcript:CRE00215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sft-4 description:CRE-SFT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LDV3] MNQFRAPGGQNEMLAKAEDAAEDFFRKTRTYLPHVARLCLVSTFLEDGIRMYFQWDDQKQFMQESWSCGWFIATLFVIYNFFGQFIPVAMIMLRKKVLVACGILASIVILQTIAYHILWDLKFLARNIAVGGGLLLLLAETQEEKASLFAGVPTMGDSNKPKSYMLLAGRVLLIFMFMSLMHFEMTFMQVLEIVVGFGLITLVSIGYKTKLSAMVLVIWLFGLNLWLNAWWSIPADRFYRDFMKYDFFQTMSVIGGLLLVIAYGPGGVSVDDYKKRW >CRE00699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2027695:2029267:-1 gene:WBGene00051623 transcript:CRE00699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sym-3 description:CRE-SYM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LDV4] MAFIKRKTVKFSVDLQVCHLSDVPLVNATVFGKMRLLDGGSFEEATERVEVKNHSASFNTRFVFCCRIPCDQNSGTLEKCLCRVSIRKEQKGGKSFYKLGFVDINLSEYAASGVEGMSRTYLLNGYTSNQRLDNSKVCIKIAMAHQSADPFFRVPRLSNFGPREDGAIDQDGFRADDETDSEEGTSSHPKPSMIEAPENASAASNSQMEEPYIERRGVHQGPHQVCQQRRFSQDRSAHKIQHSRFDADNVINKIIAECRISEDEVEGNNAGLVLEKFLDKNGKPLVNPRPPTKRTSYVAEHFNDN >CRE00216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2034277:2037017:1 gene:WBGene00051624 transcript:CRE00216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00216 MLLDHAKTVDSRQETLRDLRSMAMGGDEQMRNFTEMTNDELRERLMKMQMDMQNLQMAMRVNGDGSNRNSANFAAREEPSGQMDRRTEYENGQRGQQEQLKQRSKSMPRKIESNPFDGIESGWWSKGEVKRNQVGLLEQMRLLLLLYFCFFSFSSSFKKLKLIYIKERREIANGGQMVSGGNWNQRPPSRQRVSRKLGALEKDEAEDIPAAGYSGHIQGLRQLGVGKSFNIAAKQAKKEYIERRRTYSGSRDALNGRGRGTQFSEEVLSANAVKLPDNRF >CRE00217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2040100:2042082:1 gene:WBGene00051625 transcript:CRE00217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-294 description:CRE-TAG-294 protein [Source:UniProtKB/TrEMBL;Acc:E3LDV6] MSSRKRTKRKNSEEDFDVDEGDDGLDIDEVNRWACHSCTFVNRAAAFRCVVCGTRKGTSTRRSRCNDNVVEMQKSITDMVQKQVEKEKSLKRKERSMLKTSATRETSEEVTTTEVKLEELDGNTILKNLKIPMPENPMTFENLRELTPKIELVASGTSDLQETLMNFITSSKSTESIREKSLTPIKKKSPKISREPTPSNNGTPQKIMPSSSNIESITSEVSDRIPLEVPSNSHNVNLTEKQRLPPVSLDVPSTSVSTVIPPTPASKGEDVMQHRPEKMVTTLDQGTLQNLNTLKSHKSLTGVDSVAVESETMSLPHIWNQQKVMRESVSSIGSHHNVSPTTSRQSSETDSSSSEGNRSFEAPLFDASASVSKSCQIPDYMIHRDTPQKIFIVANGFPAYFDEFPSRRH >CRE00218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2056716:2059543:1 gene:WBGene00051626 transcript:CRE00218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gnrr-4 description:CRE-GNRR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LDV7] MSEATQQETQRVELSFSYTLELWMYGIAFCVGLPAFIFTVVRLARSRSSRQHLVLAARLFSYKISLSVADCIVLFIYAPTQFAWIHSYWWFGGDIGCRLFKFISTFGFHLTANMQVLVAADRLLITAKMNRVTRNIKKRQYNTRLSLAAAWILALVCAGPQLMLFRQKTTPQGYPQCISIWTEHRVDFYDRLELLEQYKYMQQELASKSPLYFYENGTLKYAKEDFPSEPGFTIEELHTNNRNWLYLERLYNVLHLATICVMPYALELICYTLILYILKGASKGRFVSLSDIIKDIFCCCRSARQRDSPIESNVTQESDNLMVRVPSRSKRLQNIAPEGKSCDMERRRAASVDVPMRMKNSSSLTVCLDENGSATAATRPEVPPEPEPQTKLQGCFQRVWNLLLDLFHNGSEGSVHFNGHKTELRLSKLKQGEVRRQSAPASSRSNTLWVVTVDTARRNARWKVYQKIISYLFDLFFRRSLCSHLILSSGLHTAFLQSRLQ >CRE00219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2069897:2077117:1 gene:WBGene00051629 transcript:CRE00219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rgs-6 description:CRE-RGS-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LDV8] MGVGSAPNETNSSRELKIFKKVIRDPVLRAPFQEFLEQQFCAENLNFYLAVEQFKEIQDFQERSSFGRRIFDRYFAMNSTEPVNIDNSTSKRIRETVESGAFPLDTYDVAQYQILHLLKYDCWPRFLRSTNNTQPSFTDEELAADDEEKNGHSQPTSLNNTNEFEAAAQHSQPAPNAPAATKEKRKSILWHGLDRFSRRLRRGDSNTTTNCDDDADASASGSAVGGRGVVGSAGSKRRYIFAASKQYSMPAEMTSPVKKNSLSPTHLRRRCRPVEPKHCQLMIGDQFNTETVTLDDPTMSVRRWTQEMADTQGMDRMHVEVVDAETGSTIDPARQAIDALQSRALRLVPVVSFIIEFLPANFSFKNPASTPTKLVCIRARHSLSTGVVLRPLLHKYTLDPQVTRIVLNGSLEQVKRSCVVGAVSQKCLTVMSEAQYNDRVNAGKMSLPPRDPILSQLPSTIYEQNNFPFHQNGDISYCEIPSESERNKHAHLHGDAQNTAHQQKEYTLSIFNKFVRKASHAVSKSDPNPSAGASNPEKMASGVYAPATGGPSFASRAAAGNNFGGSANQVNSAGASTSTNNVSEPNKNRLSIFKSKTDKKVVKAEPEKEKLKPRTDDIPTTSSTPVAVDTKPNGGRSNEESTTTRIGKSGDDTQEASGIRHPAIFSTKIGDEAAAAAAGAPSTSTASKPTAFPPKAPSSSSPKKTTPTPTPSTSTTTAPVVSAAPPPVIHTIRSSSTPATTSQVAGGASGNPRESSWQTAAYV >CRE00220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2077572:2079236:1 gene:WBGene00051630 transcript:CRE00220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00220 MWLTFLLLLTTINYAFAETNVTITEDIQNGLDNSTFPTIREDTLTLLLMGDTQFHFPCEEDNVQCKLVSKRPRSRFFLNSRLEFIDGVEVNETLRQGRDSCTKIESRFANRVQRQALDALISSMDYKPAALVINGDLTDFGHLHQLHEFRQVWYNNFPIPFILGLGNHDYQNNINDCALNFCAHTMLSWYTDYVKNMSLVADIQRKTVKFDVEFTGSLAYTETVCSSSSNFLLYFYSSTLQMCIPGKLCAFVIQLNNAIDYNVEFSSLFVKWNISSPMKYLHNELNLLGSTSLPILLNMHQCESMHIHKIKMMLRRWMLTVKKSFESNHRVPRIGAFYAHMHQRHEVTLECIEGYKVPFVYIGSVPNNRFTKFDMTASTATITGYKARDSLMYNGEILEQLETVDLWGPCVRTEHFRFEDHVTRKRRDFIRRNRNQADFSSDASALFF >CRE00700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2079331:2081710:-1 gene:WBGene00051631 transcript:CRE00700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-43A1 description:CRE-CYP-43A1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDW0] MPFDFIFNFLTNHSLSFSNPSTHLSYFGSRIICYHLPHNSHRNLSYRSILFLLTIDTLICQNCLLNQQLYASALLHSKSMILLFSLPIIVLAYVLCRNIKLYYDLHRRGLNPQFDIFGIKGLMWLDSSAAHENFTRMCSVIGDKTFSVLRGATPVVVTNDVDLIHAISTEHFDCFHSRIPEVLSDDPITSENIHMFSAKGERWKRLRTLTSYGLSTVKLKLLFPTIETCVSEFLDHVNSLSNGHSVVINHSHSLFQNHTSYVLARCAYGHKEKNHRVNNFLGVFSNAFGAFSDFQKSTAEKITYFFPEMRLIFKNSFVGHFLQSANQQKFLDYLLHLISRFRSRTINENNNKPSEKEPDNYSLLEFFFDHHNEKKLVEKAEGQIDMKKVKVEKSISYQEITAQCKFLSVAGFDTTANTLTLLFNFLAHNPKIQDRIFNEEIKSHSDKIDFETVCSLPLLQNCIFETLRLFPHASPLQTRICTEPLQIGKYEFSENIQVVINPWGPHHDRDIWGDDVDCFRPSRFEKLTEQQRKAFMPFGVGPRQCVGMRFALLELKTTAFRMLQKYVVKTTLPVNDSHGKMVNMTVRDTGTIWPTDKLGIILTKRNT >CRE00221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2084529:2087209:1 gene:WBGene00051633 transcript:CRE00221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cat-1 description:CRE-CAT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDW1] MSYLLDLISSYRNNRKILLLIVYIALFLDNMLLTTVVPIIPEYLLRMEHPNETDILFSHDSQKRVKRQWEDDDSWDVPLKLGSQSEIDWEENPIGPVGRNEDNKKESRRKNQKNQKNKNREYDDRRKYMSPAAKKPPIKNEEPPKRVIDEAERHRLLASENVHVGLMFGSKALVQLLVNPWIGPLTNRIGYTLPMFGGFVIMFCSTILFAFGDSYFTLWLARALQGVGSACTSTSGMGMLAQAYPDDLERGSAMGIALGGLALGVLVGPPYGGLLYQWSGKELPFVLLALLALFDGSIQFMVLQPKIDRGEPEGSTIKQLAKDPYIIVAAGAITIGNLGIAMLEPSLPLWMMESWGANSLERGAAFLPASISYLIGTNIFGPLAHRIGRWLSSFIGLVVIGFSLLSIPSATSVAGLIIPHGLLGFSIGMIDASMFPLMGYLVDIRHVGVYGSIYAIADAAFCFAFALGPFFSGPLVKSLGFPTMMYIIAIISFLYAPLMFLLKNPPVLIEPTTQTQPVELRPNGDSRVTNENYERIEGMNIAGQVQQNNMYSATSAF >CRE00222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2092516:2092875:1 gene:WBGene00051634 transcript:CRE00222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00222 MRVHKHILLLLNTSKGQSDLPHSYVEAAFLWLWPHVPSPDTPFTNRVSSSQKPRPSAFLKKKSISPALSSGPRTILSNKTSSLIPLVLHTTVPRVFLSECQQIRVDHMHQAKCSSSRTV >CRE00223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2094067:2096617:1 gene:WBGene00051635 transcript:CRE00223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00223 MISQVVRTFLLSFVISSVFCHDINTYNADYGVYVGELSSPESDISGQVFVVNATTLQIFNLTFSPTQQDLYFWLDTKETPTKEGVKAHTYEYGITSLGPFPEDNDRVVVHVPEKNRIDDFKSFSIYSFKTDKNFASLVLPEALKIPKSVALTTEFSGKRYSLRSGPLYVIDRRTIKVYGFTFEGNKAPKTYFYAGRGASVSYSSGVKVAIRGKDEKEISEISENYRGGKDIILELPENYDIFHIDWISVYCYKYRVNFGSVLVPTDDTLANLPPYVPSFKRNSDEKSMTRGVLKGSAERRNFTFQLGDIEHIKNYNGNLRSPKYVWHVNSVMTPDLYLLRNVTYNFNVEGGREKMIPEFYNPLYIGDDENGGYNELSENEIERVTVHSPGPIESNTGPLCLWMHNKDKSANEPDTFLDCDGWPEDVHSFAFTPNETTPSVLYYNSGNNFNMGGRIFIVDELPEDIADSAEVPYNRERWHTLALSRQRADKVNGGKATLAAVFLIILTIMIA >CRE00701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2097056:2097898:-1 gene:WBGene00051637 transcript:CRE00701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00701 MQVPEHLTEEHKKTLSDVKSFGELVKTFSEKLGGSPKEQRSSPLILFIKNYLRYYSTTLIDQVIDLEFRNSFSLFEKSSKNLMSAFEKLNASYTSIFQEEQIASYATSALQKIMNETTGF >CRE00224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2103938:2110561:1 gene:WBGene00051638 transcript:CRE00224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00224 MCNTRRKSNALPPELIIRKRRCLRAESSLSSKVRIGRSNVEKIDHTNIDEQQETDHILQQFHLRTAKIKGEIIAMPTGLFPRNICLSHWVFLDADFDEIVENVFNRMGEFENYLSKRGKERKVSAAQGKKQLYEKFKAAHPEEKKRCKKEIEDLLKKSLESHILIGGKNRIELRTRNSKKSLFLNKNQESEIKNLKLENFHEEIEENNIRDVSGLHLEARRELRVKSQSCCNCVGGKCDKNCECGRINNALKNDTIYDPEHNRSYSCSIHCACKGKCGKTFPEPPENSTEAVLHNFPQKGFVSRALQYFGQGETVATMTGSYCRVKSLQDDDNYALDIYNKDQDKECILELFNQNKKLAESKKLKMLSEDVKDTLTGTLGTTISLDPRFEGNHGRFLSSSCLGNVKTNFVYQGGLAPTNIRVMMTSKMPIFPNQELSFFYGFPYVTTQLKNICLCGELCCITNRHLFPFIKRNHMRSPPIRRREKSPPVRRRNSPEPDDERPVEMTSVIPGSPDNDLSKWPPTAIFDYTAKNFVGRELSTAAQMSLKEGEKTKICCSCGPDEECSKNENCECNRVVAELQKIYYRKARGNFVAERCQALTDQGIKLVANMHDKQMFFNCGSECTCGPSCEMKVLMKSDKGQADKFFIQRRNENTGFSVFAKECIEKGTVLGCLNGEICGETLLNSDATVAAYSMSITHKKDMLRKFYNETKMLGRQQKQYLKTIFSDEKEIISINTLQYGNFTRFANHSCKPNSLVLRAFEGGLSISDIRLFFVASRKIMAGEEITIHYGDEYKAQYLPTCFCEYCLSQRQQNAASTSNQPVPQVQQEMLPIEDEEQERAVDFQEPTEELAAVRINSQDQNTENEDDIQNEASSGIQLDTNEPHMNLAPMRRSIRVRNRRETMNLPPSFENNENQLVELSRRRKRLADTMDQDVETPSKMQKIKSAVYDTCSGLMTCVQLPFTSIANLYAKFWSNQIPAVSTD >CRE00225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2111412:2113352:1 gene:WBGene00051639 transcript:CRE00225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-19 description:CRE-SET-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LDW6] MPRILRRARRNNCFRLQRGVAIDMISELPNPIDNDPSNRPPTARYTAISQNIVMDGLSKVAKTCVQVGERHRICCSCGPGVDCATNPDCECRMVSEQVAKYFNSEGEEKTFYNTMLFVCGAECRCNHTCSMRLLDEADEHHLEKFEARRRDEAKGFGVFAKKDIPAGSIIATFNGELAGRAAVKRQKNAADYALLLIHHQEVELREFYRNTTFADKQYKSSLLATCRSQMFINPLTYGNCARFLSHSCKPNATFWRAIQGGISPADMRIYFVAKEAIKAGEEISFDYGEDYEKTKLKHCLCEPCINNRQEKKEAENKLEEQRENARLQRKRSAELEVEDENSSQAKKTRGVLANITSFFSSFLRKSD >CRE00702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2114928:2120188:-1 gene:WBGene00051640 transcript:CRE00702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-13 description:CRE-EGL-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LDW7] MSRRRKANPTKLTENAKKLSKEIENSQEENDDKMLTQSHTPTIIIPDHMDDTPNPAVSPHDDSIKSSSSTISDHTSTSATTGISDFPDILAQTEHGCSVLIDGNHLREIINSVDTQDGKQDLLSDVIRQLTSIKERLTSDESPIKDDLKEDRDDMSPMLHAGNFDSEMLLRQHEMMQQHQQQQHQMIIANMLKATTSLPMLFNGGGLNYEALLNNPVLNATIAGHLPNTLANNISMIQKSITAKLAAANALQAAEKVETPLNLSKDTPSPTAVPESPLSGFRLPYSLGTNYGSDGQLFNNCSPDSSGKSTPGNTSVTSEVATPRPQAKSPNHIKRPMNAFMVWARDERRKILKAYPDMHNSNISKILGSRWKGMSNSEKQPYYEEQSRLSKLHMEQHPDYRYRPRPKRTCVIDGKKVRVNEYKTIMKTKKDLMWGDEPGFSQPSVSKNKLICYVFVIIFYFQLELASHVNLLNDLAHHHQSHLLQTAE >CRE00703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2130366:2131427:-1 gene:WBGene00051641 transcript:CRE00703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00703 MKTVLLFVVFCVVTTIFADELSKFNDLRRKFAKDNGIPNMWALRYSKRLLDIAESIPCSTEPGADWLYYFTIGGKNGAEFNRHLFQ >CRE00226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2134084:2135484:1 gene:WBGene00051642 transcript:CRE00226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00226 MSANASARALQSKGSLISNLKNYRPKYPVQPVRHPELRTMDQLYDCFYKYARQLISARSNPEISLETRKMVESELKVVIPLATDFKARLNMTDSNGHIRMGRLLEAVDIVAPCACYMLNREDLSLKTFETGTLPRMFVTARFHQTNLSNGYGLSPYRDIVLRGKVTWTSDNKAEATVNVIQNKSEFLTARLVFSSLDGTNTSRKLPTNQLFPSTPIEKFLNQQRHDANTARPAVPELGAIELPLVQDGQQPMTSTTVESTTIAQPEHENPYGSVFGGFLVRKGLETAELCAKMFAKTPLRVASIDDAEFLKVVEIGSILKFSAFICNVDNKEQRFQISSQVEVYNSETNTFELCDRFLFTFETKEEINLPQVVPHNMQEFVAQWKAKNTAKTN >CRE00704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2136157:2138228:-1 gene:WBGene00051643 transcript:CRE00704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00704 MASSTLVRTLEHHVKRILMVRPTHFELKYSINPWMDMKRGVNREKALKQWDYLKNTIEASGAKVEVMESTGAESLPDIVFAANAAIIKGNKAYLASFAHPERQGERYFYEQWFTNNGYECVGDQDIPSEGAGDALWGGDQLRTLFMGVGTRTDVRALRDVANKLDDGTNWKVIGCRLVDPRFYHIDTAFCPLNEDVAIYYPYAFDHITRHNMRNETDLIEVSQKEARNFACNAVVVGQNVIMHQGNEEIANKLVKLGFTVRFVDMSEFIKSGGSSKCCTLQI >CRE00227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2139566:2141004:1 gene:WBGene00051644 transcript:CRE00227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-7 description:CRE-SRV-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LDX1] MASNATLPEWFDPLESVVSTVFMLGSFVTILLYFMEILILFTLRNSVYKGMFYQIFTVGIIVDVFSLVNNYFGCVFPAKGWFRDFYLSMGTFVGQMYLMIAWTARGIQGCTVVALALNRATAVCLPIKHKQIWNSKFISMIHIFQLGVGLCIGSSLITQKFYWKFERNGIYIQFENKDFRRGFFMSAYVIETIFVASIMVINATMVIAFRTKYRVRLSTQPHLNQKVMSEKQRQEYNLNIVAGLTCVAEIVYYCYVIYVFGINTSVPTRVFYLLYDVINDIYCGLSGWLLLIYSRSMRAHVTKMLRCPMFGFRRMRIMIPPEQQFASSTNIDGNKNTSNRRVPTCGTPC >CRE00705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2144455:2148535:-1 gene:WBGene00051646 transcript:CRE00705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00705 MEILYLRLSKMMVANFYITKLSLNVLENSTRQSLKDVYKSSLIDCFENRESAITQSIQLILFILFCKMGSCFSKRDEPDDEAIDESVDEVTDEVTDEANDEEIDEAPSEAIRPILNDPLVNYVALFISGKSLIKPLHLSSNQWIMCEGSCCELFSELQKMERFDLVILYVSQHKPSMSMPFCGDMLRSTYTLANVWRAFFQNNGWGRNGEKGDKKIVELGYRKIFLIRAEVKKLCENNLQNYNEISKVLNHWFGDIYRAILLLMARLECKICLQEYKANVPDLTPRILSNCGHTICEGCAVTLLNQNENGDIACPFDRIVTELEGSVQELHKNFAIIEYLEEIDPNAAAPQVQAIPAVAVNQIHDVENIENNIPLINHLQDNDIFRQFFDPLEFEGADYLAWDPQANANRYNNEDTSESESDDEELQQQMDFIEAVQNFNIGDYQENYENFDEHLL >CRE00706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2148865:2150289:-1 gene:WBGene00051647 transcript:CRE00706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00706 MKTTTQLARQIIKAVGCANGLAGRQLGCENAVEVIKASPFFTNVQERLPLQWGKIIEEVNTGRHASALSGVTQTCRQLAHETRQVIENKDELLVFGGDHSCAIGTWSGVATALRPQGDIGLIWVDAHMDAHTPDTSDTGNIHGMPVAHLLGFGDKNLVKIGDRLPKLLPHNLCMVGIRDYESAEQELLERLGVRIFYANEVEKRGIQDVMQEAQYLVTRNTIGYGLSIDLDGFDVSYAPAVGTPSADGINALEFIKALLTIDLTKLIATEIVEFLPRFDDNQRTSEQLVSSLVEYIYKTKQFQINSVNEIAARVSKSEKIQQVSQAM >CRE00229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2164079:2166006:1 gene:WBGene00051649 transcript:CRE00229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-octr-1 description:CRE-OCTR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDX5] MWNLNCSESDTKVACLNLCEAVLTISSMLTVMLIIIFGNLLVVVTVYRDRKLRMQRQNWLIVSLAVADMLVGLLVMPLTLTYEIVGEWTMGTVLCEMWLALDVLFVTASILHICAISLDRYFSVTHPLTYPATRTPLRMFIYIGVSWIVSLLICLPPIFGWRPERAEGECSVSTDIGYVLYSSLGSFYIPVVILIIVYAKIYSITIRHSRQRLKETERRDHTLNMLTIRSSTNERYNMEYELEENSDPVEDEKEKPASNRDMINKVCWQLRKISEELPRQGVKVSIALDTNHNSPPSSDLARKMEEKKFCEKRKRKLKAKERQATLLLGIILSAFILSWLPFFLLYVIGAFGHEAPPLVFKFFFWLGYCKCKLFPCSIRTIILSGNSGINPVIYTVFNREFKRGLCKQLHKFERFIHPLMEFYK >CRE00707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2166579:2170708:-1 gene:WBGene00051650 transcript:CRE00707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00707 MKERVELNNNGRSIESHCKLFQNDKWEPRYIACKLQNDLEDQLLTVYKNRKDRQKNKAKQSILLQNYIGFEKSFPLKNKNQTLTVITKEYNVVLAFHLPETLLQWETWFKNVGGQSTTHYMQLLQFPNQKDNPSFVNKEVRCHLHDSRLAVVYGYPAETLLYCEVAAASISADHMNHRVTITPYDGSSEFVFCCPSIEQFSKFLRKANEDDGSLNFYLNKKSAEGIWLQNIGNRTRKHQDSISGLSGTFSGWFNLMSPATPSIKQSDIFSSSAAINTVGLKNSGMKTPVGSLKFLNGLLPEVTPTPPPAETENTETAPEVNPSEPITSNSESPVLAETDNAPYLGIHAKQRSTSLPDYVNVSHVIRPTPPLPEEETEISQHEVIQRKASTMTTPANDSPRISNCGEHKDFLTNRIPSKGRSMMDFSTLPYEETGSRFESWRRAKKFISAFSQKSQQDLYKSVPKLGNRKASSTSALQYHPTEMSRSLNFQKSSPLNQSLQKIQSKTSLVSNSSSSELDVVPPPALNVAISNPPPPLLPKKNKVQETEVIPAGLVRLREEGSLKMRGGVNIALARRLNAGINGSFTKYDGDRGTTHMYPITPEEKERKIEEFIEERRRTAALRRAETPDLSDDRNREVFCFFFHVHVLALAMVVSKEREKIRAMERRASSVSFNKANSVQVSHLNDIQKKALASVKIITRNRSHSDSLSSSSESLSSDARSKISIKKRSNSPPTILAPTIIHKAPSQRTLTLQKQSSSSIDSLNSQKTTPTTSTVRLPTRITVNQSSSTELSSEESSGKSQHYFESNVSFSSYFPTFLIHPLRTHPSLSPLSQHFLIFRFFESFKTGAEKKEISYLDWSQNERLHDEDVDNYTITPDSRQTGFTTNVRGFA >CRE00230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2172640:2175669:1 gene:WBGene00051652 transcript:CRE00230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sulp-2 description:CRE-SULP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDX7] MNQQQYDELHGYRRQDGENWVKRKVKNFGLVFTRNGCKKAVKRRLPILDWSSRYHKSQLSADIFAGVTTGIYNVPQAMSYSTLAGLPPVHGLYASFFSPIFYAIFGSSPTSSIGVFSITCLMVNNCIEKMQHGDNAIRFPGLTSIEIITSLCLLTGLFQTIMSVFRFNKAMLLLPESSVSAITFSACFFGIVNQIPKIFGFSVPHRNEFMFSLFHVSLPYSRKPRSSNFQSMYDIAVHIPKLNEWTTLLSAGSLIYLIVSQMLFEPLFKKICKKFPFPRDLILMTLAITISYFLNLDAKYGVQTLRTVPRGFPHFGIPRIDLWIVIWYDAASIAIVAYSVTIAMGRMYASELKYRLDTNQELLALGITNFGSSFFPVFPTSCSLSRTVVNKDSGARSQLSGIVSALIILGVIEFFGVFLEPLPKCVLATIVVFVVRSLLKKCSELPYFWRCSINDFWIWIVTAIVTLCTDIAPGVFVGMAFALLTLAIPFLQPTTKRLGQIGEHDFKAKTHYQSAKETPFPIIRFDAPLIFCNAEKFTDMINDEISEKRENAANGSLEGWTAIILDCHTWTYTDSMGMDAVKEANDCLHRFNAILLFANLKSSVRRQYRAAGLIEERPIDEEDLEKEEKRIEQEESRIQEEKKTLSSVSMKMNRNKNKCAEANVESGGHDDEDISHIEIKISQDQKQLAEDEKKLVQDKKTLVQVRNELKMKQKKLEEFNKLSPEDQEKKRNEEVKKISYNQIYPSIQDALHAAPELITKQQELFDIKKSK >CRE00708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2176176:2177553:-1 gene:WBGene00051653 transcript:CRE00708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-97 description:CRE-UNC-97 protein [Source:UniProtKB/TrEMBL;Acc:E3LDX8] MVCLGMEPDHNHINGDLAHGFENMVCVRCRDGFSMQDQMVNSSGEVWHSECFVCAQCFEPFPDGIYFEYEGRKYCEHDFHVLFSPCCGKCNEFIVGRVIKAMNASWHPACFCCEICNKQLADVGFLRNAGRALCRECNEREKAAGHGRYVCHKCHAMIDDGQHIKFRGDSFHPYHFKCKRCSVELTTASREVNGELYCLRCHDTMGIPICGACHRPIEERVIAALGKHWHVEHFVCSVCEKPFLGHRHYERKGLPYCEQHFHKLFGNLCFRCGDPCCGEVFQALQKTWCVKCFACSFCDKKLDQKTKFYEFDMKPTCKRCYDRFPTELKKRISESLKDRDVENQRRSMSPGPK >CRE00709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2179613:2186185:-1 gene:WBGene00051654 transcript:CRE00709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-2 description:CRE-MEC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LDX9] MKTRETVATTSGTDSSPKTTILPAKKDYFHVEANIQNEFGVCGWILTILSYLLIFFTLPISACMCIKVVQEYERAVIFRLGRLMPGGAKGPGIFFIVPCIDTYRKVDLRVLSFEVPPQEILSKDSVTVAVDAVVYFRISNATISVTNVEDAARSTKLLAQTTLRNILGTKTLAEMLSDREAISHQMQTTLDEATEPWGVKVERVEVKDVRLPVQLQRAMAAEAEAAREARAKVIVAEGEQKASRALKEAAEVIAESPSALQLRYLQTLNSISAEKNSTIIFPFPIDLLSAFLQRPAAKVEEPPALPKKIRSCCLYKYPDWVQGMVGGEGGGGGHGHSHGGGGLGSSQGAYHPSQAGSGPSTTTTSGRPLLRSVSVVVLSLSNPNTNITMNLCCKLVAGVYTPTIRNIPISKDEQFCMREAQLHSAVPPISAPSQSQTSASQLDPGENNYYSPVTISMWLLGFFVSSMCSGSRSGQQSRRSSKAPGTGANSLLKQAIANRMMPHVAPSDGGETSTNPNLASSSATSLTTEPAKIHRTDSSEMSKSVLLNPAWYRDDQGRTGYKTSDWEKMSNQQKNVVKENRKKRKESTSETGVIKELDPDLLSQSSSEKADSGSDNKSMSSVQSDQKDLKDAKDSKLDKKQAKALEKEKKKLEKEQKKLEKAQKKKEAEEEKKLKKKKGSSTNISEVGEHESVEMKTVEKKKEKAEATITLTMVSKDKKTKSQEKSKQEKHHETTESDSSKETIRKDSTEKISKEKVKKQSSKEDTTDKDSRNEGRPPSGTTHSSVRKLKAESFSKKTGHGEPRKKNIGDRRDSSMSELSRGSFKSVTFNDRVQTHEIERNSSVYTSSEDDAAIMSDDEMSKPSQAKMKKKLTMLQQQDPQMYNQMGQNRQQEEHTDIYDDEDLEDFEEQERLALLVAQGYDFRREMQYDQNEGVPYEDDESEEEENEEEDAEDTLSQNSYYAEDVEGADIYLRNEHSFLGTDVNPRVQIQNVGGYEMFDRTMLGSMSKLHGEDSASNSLLFGYNFPRSASGYFEPPPEAGPMNFSYEEAMLNRQVQALQQQQLLQQLQKLPLQSPQLQRELQHEVPGVHKLSPSGGSLRQTATQRSIYENVTPGDLLSQPQSLIIPQSPSQRNLQARHEFFTQTPSNVVSKTIMAASSDGQSVQSLGSTDSNATVRAEDEMRKKFVARFGVRKAPIAREEDPADPQHMNVMGSQESMNSTDSRESVVSAASIKAEALARRNFNYYTSDPIGKTINERYNFNEMDRNLSALVKPLWAGNEKEDRAEKDDSTSS >CRE00712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2197539:2198303:-1 gene:WBGene00051655 transcript:CRE00712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00712 MRQLIEPSVSASRRPSTFDPEALAHHNSPPHWAPSSSVPGEQTTSSSTGQRTSFSGPSPFSSLCLSVLAATPQPRMINARIAMTVDAPSIRTENIRYFPKKGKAPKKLIYWIQSENP >CRE00713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2202553:2203960:-1 gene:WBGene00051656 transcript:CRE00713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00713 MSWTAAGDGSSWVSSKVKDKAEKHKLKPQSVPTSPAASGRGESSLSPLDSALQGLKMKKPSVSKSSSPPGTPSKDHRDSFRDSFKKSLFRSFSTSSDKRKPTTSAAGDIEQGHGSHHGHGEHAENPAIRAVRQFKSAHESFRLRMFQEQHGFEPVVFMTKKRTRDNKSVMSEFKGGDLNKYTAKTYAIQNGNEVFGE >CRE00716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2210037:2211108:-1 gene:WBGene00051657 transcript:CRE00716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00716 MWRELDIFVIFITFNLIDTLQEPCFLKCKDNYMNGMQFDMGDFHEWSIDMVTPMNSLLKFGQGKMALRLTRACRRNDEYHSCLLRCPNVPAKEILIKGQNVWMILCHDFRNDTDFRVNIVPCWSDYGHQISTRCESLASFLQAEVLQLLQGGPTGIQESLDSLCKSVYEYDKCFVNENYDFCGSSAARFLVKLNHQTSHTILELLDQTNTVGKLPRSCKDWLNQKGMTGWQKTKTVQRKLRNSAQLPFFAFSLIAVFFVRN >CRE00231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2215363:2224650:1 gene:WBGene00051658 transcript:CRE00231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgx-1 description:CRE-LGX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDY7] MRVLLAISVIYHITIAQQVGINVPCNKELDGLLTADPDGDERAFMSCQGVGVGTIGFWERKLCPNNMVFDFINQQCKEQKKKARKQQTLSIAILNNSCANGETCIGGSVCDLDTLRCMCPYGTTPKLDTLSCESSQPPFVSATVDGPAQFFNSFNGANGHRNNNNNNNFLPFGQQEVPDFQPNNNFKYGNNFGNNPVPNTNGNGNNDFNWNPNFNWNNNNNNNNKQAPINNMNNGAGSSEFNPFQPNPNQKFVFNFNKNQEVQNGNNQNLMNNNNNNNNNNNQNVQVPEVKPKVATLAIPGASCKSNEICVGGSICTLPIGICLCPGDLEARDGECVLPAASTISVQKVGIGALCSDLAECDHGSSCVMGRCTCVSPLVQHEGKCVLRQQQKLVGPGELCDNGEICGKGSVCDVMIPVCVCPAHTDLSNGECISVTAQTTQPVQIMTPPPTLPPVQVPIQTLPPQTRPPPQPPVQTQPPMQTPAQQIFYQTTRPPMQTFMTTPPTTQYIPKQIYTSPPQMPKSSIKINQMKIGGSKQAGVGVRCSLNTDCMIGAYCNGNTNPPSCQCLSTHVNIEGRCEKVIYPGQVGCRSDLQCHAAHSGTHCIDRICVCPEGQKAVDQTCVSGTSFPNQPCGFTSSNPCSNQSVCFQNLCICPDRYSYNMSSQSCEVYFPEPTECSKACSYPRACVNDICICPDNIDCLTSSKTRKKRQTEDCAANADVCKSRNAACIDGFCQCLPRFHEKNGNCVPDNSGFLTRMRDTNCTVSSSCPEHYMCENGKCRCESEEFDINGNCQPVQFYGAFKNINNQCTSKDRCAGGSKCKDALCQCVDGAVELIGKCKQFPGGHCSNGEMCSGGSSCYLGKCRCDPSRTLDNQRCVQTAVPIGSTCRRGQQCVNGAACRFGMCMCVSKTIAVLGRCVNGVDAVGTSVNQISDNKIGEDKTPGMPCSTEDNCLAGSACRDGVCLCDADLVLENDRCLIPTTQESTSTTTNDDTPNDLKCFSDDVCPVNGKCSDGLCICLSGFKMNGEVCQKEKVSFSSHPGKATPGSQCSTTSECSFRTKCIEGVCRCKKGETIIDSTCRSAIHHVLPGLTCDPSNGYDCVGESICQYGVCRCKKRLVNDGQKCVPIHLALLVIPGKSCASGEPCGGGSYCAKDGICRCPNDEVADVNKKCVKKHSVISVFNKIKPIATTAPPTTTTTSYIPTTTVDNIYVQRKIDELEKMEMEFKNSIPTEMTPPAVHRPSTSILAGHQCTQNSECPSFSFCFANACNCMAGFRATSGICEPAIAIGEPCMSSNQCFDESECLFGICTCTGSNCKDTTMAHPGEDCTSLKTVCSYNSYCSLMSGVCECPSGMATKGKKCENTFESIGKDCVTSRNCQKSSYCDNGYCVCKNGNKIADNMCFNSPPEYKSFSILPFDKNIGENTPLQNNLKNEFRGLQEMTNEGLFHTTTKWPEILSFTMIPPPPDTNQPNSNFPQIFSSFPIAYGAKTVAEQENNSTMKYKIAFPGEYCGTGEVCLGNSVCENHFCRCLQDVAAENGICPPQVDDLRVLGLQPLGREFRFSQGKKIEMKRTSSLPLENCQNGETCENNSTCQSILGLGMICQCVENTVLWNSECVETEDSYSLVPIDGPCDEDSMCLSGSECTEGKCLCAEDKRLILGICVIVALPETSCENGEVCINGSICGDSSCECPEGTVNNNGNCVTSQRTQPEERNETHDEEQEEELAVEPSNDDENSLRSLVRRELASIDCANDSECQPNFKCQEYVCVCDGNTENCLESIVEQKELVPPGSGCDGIRKCGNDSICYKNYCVCSYEDLPEDDRCVSRDWHVGFGYQCSNVTRCREDLTCLGGVCMCKFGDLSCNPNEPVTSPPGGSCSNLRECTGGSVCREGWCICPDPSMIVNRGICIQSGPKPTLPPRTSIPQVPLPPQLPISVHVPQVTITKAQPFVVTEAPPQGKKIVPGGRCGPIDVCVGGSNCIEGFCLCPAGQQPSNNGRCEKFTTTSRQTTLPSTTTTQGTTYTTTTAPPPTTSVFSFTIADLLSTRRQPAFIEIPTHVPLTTTAIQTDDECTAIGLICKGNTVCINKSCQCPENYVLHHDGCVSPEEAARRKARGKARHEATTARLYSKPGESCTQGQTCVGGSTCSFRKLCECPQDKSEISNGQCVTPKKIEAAPGTSCNENSFCTKGSTCESGLCRCQPGYIAVSGTCVALPMSTTPKMKVMAKPLESCESGEVCEGGSSCDYDTGICMCPPGQIVFNVQCMPPPTQPQITTRVTVPTKATPVVTPKPRHSTDCEQDANCGENKICVSGKCKCKPGFVDNSGNCEPLEDIDVIERPIPVSYAKHKVATLSERMLPREQIEISNIEPATVATPARQESETQKPRIVGPPIRRPRPKNKNSGGSGGGASGTGTGGRAYKTGNGSANCPPGNEPTRDDSGKLIICNGLEPNCPPRSYCYITSGGFATEEYNCCKSW >CRE00232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2225086:2227602:1 gene:WBGene00051659 transcript:CRE00232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00232 MFKGIRGRTLKYGQACDRYQDKCINGAKCIDKICKCSPGFLLAPNGWCEGFDLAKAIENNKQSVGTTPPTKANRIVQTKKQQVYTTTTTTSTRAPVQQKVVPEDFPTPPSSIFGFPDRRTTKMVPKVAAVGSACRPIDICLGESVCTNGFCHCPENYIRQNGQCISSEIQKLKVVSYIKFSAESKHKVAKVGETCKNGEICAGGSICDYDRKRCICAAQHVAIRGICKQKSAPAFAAPGDTCSMREKCTGGATCIEGMCTCDDHHFAEDGYCRPIEARSSKVQFVNGAGLRFSSMQVPNRQRAQPCNEAECKLPNCFCSHNGRQAPGGLRPDETPQFIVLTFDDAVNGKTFPDYKKLFENDVLKNPNGCDVKATFFISHEWTNYDAVNWLVQKNMEIASNSISHESLENENTNRWLNEMDGQRRILAKFGGAPEEQVVGIRSPQLALGGDNQFEVCDNMMVGAEFLWDNSMSANPGIHGEPFWPQTMDYQVAWDCHEASCPKSSFPGIWTVPLNQFHGSYMRQIDSFRRASMLRAAVDLNNTVDELEEIIMRNFERSYSANRAPYVLSLNADFLQLGGHNKGMKAVQRFLNKMSAHKDVYIVTIKQLIDWIKRPVPVNQMKSSKAVGCPISFNRNPSLSTCDKPNKCLYSTPSLSSQEHQFLTCLPCPTMYPWLENPAGGIV >CRE00233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2229102:2230833:1 gene:WBGene00051661 transcript:CRE00233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00233 MPGIHTFYDGSKILEPLAETVGIEVDRVNFVLSLFASFGISYIYQKTCSLKQVNRQVRTVIPAVVGLSLVFFCFGRAIKHLLANALGSYAIMYFAPPTQVHKIVMTFSMGYLFFIHTYRWMILDTYSLDVTGPIMVAVEKVTMMAFNLKDGKVKDESKLTEEQRKEALKEIPSLLEFMSFMFNFQTVLSGPANNYYDYVKFLDEKHVIANKNGKMPSPTGVAMTKFYQALGFLAIVVTLGGRYKVEDVGTKEYFDLPMYQWFFWWFITIFFIRCAYYFAWVFADAICNMSGFGFSGYDKEGNAEWKLCTNVLPYQVEMAQSLKETLDGWNIQTGFWLRKVGYERAPKSIRTVATYSLSAVWHGVSIGYYMAFFTCGLFTVAAQTFRRSMRWRFLDNVDKKFAYDIFSFIISKIALAYATYSFVTMHMYPAYDVLKRVYFIPHIVAFAVIFILPKVFKPLKKTQPAKIEKEIIDEKQIKQE >CRE00235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2235399:2235638:1 gene:WBGene00051662 transcript:CRE00235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00235 MALTCSDIPKILLAILLPPIGVFLERGCDNHLIICILLTILGYIPGIIYALYIIFAY >CRE00239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2246007:2252561:1 gene:WBGene00051664 transcript:CRE00239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00239 MMREELSTSADDELATTSTSQINGEPPPKKGILKRPSVVSDEKRDKLFPLPGFRPSPDRFPEKGTTRIPKLQVTWWEKNAVAFIGETSDENNTDSEHLMRDLGNGRREEEKPSRALQIRQTAILDSEDSDLNEQDLIAQFQTPDLDDAMSVTSSTCSSASAIVGRWWNGKDTRYVPHCQKKGCNHAHHDLQGEYITPTQRRNKELAQLKKELRQALSERDEKDKHLSDLRDKVKEIEIFNETQHTLAEGQKMMRKEQMEKEYIEREKKLLEKKHAVRVNQLIQETMTAREEAVKLTSRVAYLEEQLNPPRSDGETQTDEMEREFYLRHNPPDPSDPNQNGTLVSQTIQADPSTPLSIIATQPDLHSPSPMMIPLVTGDNKNQTIYCSQEALNHIQVINALIKKHFFFMKFKACQNEAFIWRNKAAQLEIVAKDQLVKIQQLEDVLQKAMEPPPEVSLKKSNFSFFILFQSHITMTPNAFIVEDVSHTNKEADSHNDPIIITDCTFEACQETKNLMKNEIENLRQTFSEAKFRIEELEEDANIFRRDLEKADDDRLKLEAALKDANDDIDSKAAEIVASLNTANRLQHEKDQMQRAISYMEERMQVYRNTIQDHHLVVTDEKMEDWRKTMSDPRYQVMHSKEVQTTLTSQQLSEHESDFLSTQQTLHDLQKEYSAKNTTLVDKFKEVEEILLAKTELVDALTKQLEDIRKEQTRELSLKQNERDQYKKSLEEMTLIAEKVPVLEAEILQLSKVSKTRNQKLVPQQSNFQDKNEIAARLKHDQEFFEDELAKLLNDSMNIKKERDDYLTEHIRANEAMIERLKLEISSLKKDLEDQKMQAHLQKAELEKKLLSSIDHVEQLQSKTNHSQRDVECQAIPRQINKYVGCKPNVKNKETTIEKGALFDENEERLRICKAELETTRRQVTVLQQKLVSIIQQQGSQKIKKRIAVVEDSNKNTVHSDDLDTKLREVELKNTELMERINSLEAERFVASSIEKSRIQKLVSEFDNLKQKLDNDMSNYSKEKQWLQWRISNLEKDNSELQKQIQPSLEKSQEALNKSNLRKTMSEPDFGDDMSTEGDGGSTNDSADFMVESVTAPVISLRSEQPFSQLADVLNLVRSDLEQVLTEIEEPEAAKTEEPQFSLETSTSILNDVLSEWVEDERKTLERQLKRSQEERTILKNKNNRMSKDLQVAMAELNVYRSEKPHKEDEPKILERSSSFSSFNHIKTENDTQKWKEKSGTLFREVNRIRQNLAEALEQNNELRYQLALARGERELSSCIEKDAQYPISPSLSYHTAHDGQRKDDIVIHEPQEPFQLGSSKASLSCSIMIRSNSLERKSQPREPSRQRSRSANRRPPISKDELTKREKRREMRLPKGVSRSSSVTSLYLTSKEQQKLPLMSASWHEKALENDLLDGDTDYDRRNIRVISLREKVGKLARENKDLSAKLTYFTASQPDSSKMLELEKETEELKTTVRDLLQRVSQATPNYDRQLKLLQDELDIRRQESAMYEKKITEIEDERKEMYLVMFKKGQQAANMELKEDKLMDAMTEDRVTLKFLHDAFYYYLLNRGDSQEHLSVSTGRR >CRE00717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2253515:2255267:-1 gene:WBGene00051665 transcript:CRE00717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bus-8 description:CRE-BUS-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LDZ6] MHVVIVHPEQWNGGSDRCTVALIRHFVSQGHRVTWLTTMIDEYWKNHTFDGVEIREVGLKLHPGDWWSQNVALGWHMVFSNLNPDVAIIDHSASCVPMIKWRFPQCKILFYCHFPQQLVTPSRFFLYRWYAKLIGIVEEELFGQIDQIFVNSNFTASQFIKVMPNIERNKVRVVYPPCDIDWIVSASDRPVSRAERAKNDVYTFLSMNRFWPEKRLDIIIEATSILKQKGYNLHVQLAGSVMPHIPESRIYYDQLQEMARELNVTDMVSFIPSPSDKVKFQLYQQCDTALYTPPNEHFGIVPIEALDQRRPVIVCDSGGPAETVLEDITGTKIAKPCGELLAEAMLHHMNKRDWPELDTEEGYAKQRHRLETEFSTRGFCGNIDRAIAEMMGTMDINATEPAQPVMETIVHQPVATEVFAKPYQQNKSAHIRRAQA >CRE00718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2259113:2261181:-1 gene:WBGene00051666 transcript:CRE00718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00718 MARFSQDELVNAFNKYMPKRPIYPPLVYDQESCDNSSTESEGSGDEEEDYSKRMTVSIYFNTEMSPECLKAWNQAPFCKLPDVYLYDNIQVALRLALRKLVNLKVKPSNPIEWLGYCLLNINVREEILPTNDHKIKLNSDQRDFTVGATFIPELLAHVNRPSVVRHTQGKAVSIIGKRKFPSD >CRE00719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2263103:2268144:-1 gene:WBGene00051667 transcript:CRE00719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dlg-1 description:CRE-DLG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDZ8] MPSIDKHRKNSSGIGNITEGSMSVESSERAHQAIERLEEYFLTLSRHTDEELRSSVERLINTFKSQLMHTLIDIHDLYEGTLLSDRKGYAQKVNEVKRMCDKLDAQPLLYNNRAAATTTSTSNYNLSSTTPLVSDLRDRGGFTYLNGGDLGTVGLGNGLGNGLSSSPFNSSSTHYLHERQRQTSHDGTWKEVTTRTVDTPSGLERRTIEHTGIIDDFGRRWEIENIVLEKGHTGLGFSITGGTDQPTEDGDNSIYVTNIIEGGAALADGRMRKNDIITMVNNTNCVNVKHEVAVNALKSSGNVVTLTLKRPRREEAAIPIGGTFGGSTSYLRTGITPSVSAGNLQHAIHSPSAPLHPPPPPPVHHGSLSQLAVAPYRSTRPNTTVIDLVKGARGLGFSIAGGNGNEHVKGDTDIYVTKVIEEGAADTDGRLRVGDKILEVDHHSLINVTHEYAVDVLKNTGNRVRLLVQKGNGPIFSDSVSQQFNPTTPILRPSSVQDYNRSMGSQSHISYGAPQNTSYSSQTPVAIPLEPRPVQLNKGQNGLGFNIVGGEDNEPIYISFVLPGGVADLSGNVKTGDVLLEVNGVDLRNATHREAAEALRNVGNPVYLTLQYRPQDYQIFESKIEKLRNDVISQSRMGTLSRKSEYVRALFDYDPTRENSVPPHRSMGFNYGDILHIINSSDDEWWTARKVLDNGEETTEGVIPSKKRVEKRERLRRKQVNFNSGSQSLGRNSSSTGLEHRRGSRSQLSFSRKFPFVKSTDRLNDLAEETNNVTEEPVFSYQAVEQQAINYVRPVIILGALKDRINDELVNRDPARFSSCVPHTSRPPRDGEVHGRDYYFVNKQMMEEDVKNNLFIEAGQFQNNLYGTSIQSVKDVASQGRHCILDVSGNAIRRLQTNANIQPISIFVKLNSPKQILDLDTQLASTRPDDRAMSEDEAQAQYSRCQRIEQTFGDLFTHEISNVHSANEVLARVYSLIGRESQNTIWVPRH >CRE00720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2273668:2277980:-1 gene:WBGene00051669 transcript:CRE00720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpyd-1 description:CRE-DPYD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDZ9] MTPTPNTSSPNANLPLLSKDSPDIESLLILNPKVQSKANAIPSAVTKKNKHNWKRNDEKGCGATCGESKLKNDFRDIKHTTLSERGALKEAMRHVQKSHCFIFFVTVTCLKCADAPCQKSCPTQLDIKAFITSISNKNYYGAARQILSDNPLGLTCGMICPTSDLCVGSCNLQASEEGAINIGGLQQYACDVFKQMNVRQIVSKEIRENRNASHKEQIALIGCGPASISCASFLARLGYTDITIYEKRAYIGGLSSAEIPQFRLPYDVVDFEIQLARDIGVKIETNRSLCKEDITLDKLKEQGAVAVFIGIGNPEPKIDPLFEGLTIENGFYTSKNYLPAVAAASKPGMCGCKRTPLPTMRGRVVVLGAGDTAMDCATSALRCGASRVTIAFRKGFTGIRAVPEEMEAAKEEKCEFLPFSAPRKINVKDGRIVSIEFNKTEQDDNGKWYEDEEQIVILKCDYVISAFGSTLKEDAVLSALQPCQLNKWGGIEVDPVTQQTSEKWVFAGGDVAGIAETTVESVNDGKIAAWNMHRHIQALHGNVVSEFPELPKFFTPIDEVDISVDMCGVKFENPFGLASAPPTTSGPMCRRAFEQGWGFILTKTYGLDKDLVTNVSPRIVRGSTSGPVYGPNQGSFMNIELISEKSTEYWLQCIRELKRDHPTKIVVASIMCTYNKADWVELATQSEEAGADILELNLSCPHGMGEKGMGLACGQSPEIVKEICRWVRACVKIPFFPKMTPNITDVREIARAARDGGASGVTATNTVSSLMHMKADGNAWPAIGGAKRTTYGGMSGSAIRPIAMKAVSSIANELDGFPIMATGGIESAETGLGFLMAGASVLQVCSAVQNQDFTVVEDYCTGLKALLYLSGAESLKDWDGQSPPIPKHQKGKPILVQGQKNLPFFGKFRDEREKLESIKLSETNLLDTENYHFASRPDTQVSNIPTVEDVIGKALPRIGPYVTLDNQQQKVAIIDDDMCINCGKCYMTCNDSGYQAITFDAVTHQPHVTEDDCTGCTLCYSVCPIPECIEMVPRKGPWKAPKRGVKPTVEPGTPKVVKVDQRGRVILESTGGMQ >CRE00721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2279345:2282553:-1 gene:WBGene00051670 transcript:CRE00721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddr-1 description:CRE-DDR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LE00] MQIVLVLLAIYGTTKTNALRIDQCGERALGMQNGDVLDSQITASSSFDKQSVGPQNARLHSELASGAWCPKPQINSKSYEFLQVTLNDTFLITAVETQGRYGNGTGREFASNYMIDYLRTGSQWIRYKNRTGHVYMDGNFDTTTPVIRVLDPPIVASRIRIVPSSKNTRTVCMRAELHGCKHDGVIYYSTVPDGSRLDTLDFKDNMFENSQMYTESGIKRGLGLLTDGYVAQTSPFEKNQMNNSWIGWNRDTTDGRVTILFEFEDVHNFTDVVLATFGNRIDGIDVIFSQDGKTFPLFSQISSSERQTMNNTSRRYDFRVPLHNRAGRKVRISIKFSSDWMFLTEVHFTSAANLTLMSEKIPSPQSSATQQLLVICGIIFLTIFACVAYCISVCLKRRQKNKSVDSNVKKDLIITHMGNKPTCHVFPSNNKLSNGSYEVANDILYARSQKSTLLSVSSKSSSSCRAIPPTWNDFNFPPPPEGREEHTYSQPVSPENTSSSNGSYRSVKKIPALKKYPTSALLIGKAIGEGKFTMIKECIIFGGLKCAHKSTKEEDCVHGTRALGDEIACLLKCGRHPRIVELFGVDESYNLLLEHVEYGCIRNFWLASDAPLDTEFLARICKDIYAAMAYLESIRIVHGHFTPNNILLDGEFHAKICSPRGPSHHAQLRYSAPESIVNNEFTHKSDAWAVATTVYEMAYQCRQRPYEELTNEQIVDNACALLDQQPNGVVPLMPTVFNYEILQLLTRCFRVDQLERPTFERFSKSFQN >CRE00240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2289739:2290514:1 gene:WBGene00051671 transcript:CRE00240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00240 MMISRLLPKLFIVYMLIGVLGIIDASSSMYSRRRTPSERVMSIIRPRMFQNPGFKMSQTIQKSIQLNPNRALPCCKDESGGSICKNLRRTDIKLFTQKCQTEPDFSLVVCCNSCSEAGISYRKRAQNFFVGPANSTNCFDRMSPAYCSRFETGDDAWNNRRWSCDTSHFRLGFRVCRATCGFCGLDWVNAPEALKCL >CRE00722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2290565:2291363:-1 gene:WBGene00051672 transcript:CRE00722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00722 MLVPILIIALFSSIVTTYTTKPPCCRDHLGSAACSKLMHQNTRLFAKRCNSDAEFRLIQCCSSCNTDGIGMAYDLIARSLVSEHCFDRYGPKFCDRLSPSPLFPSSLVIFQLFICRYVNKTDVFEPHNTWSCDGENPQIAFRTCRKSCGYCNFSVVQYTLDNALQVFFFIWHLQKENCLNFKACRVQPVAEEKRRWRLKFYSSTTTTSSPSPMEVINSTFQFWNHN >CRE00723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2292078:2293494:-1 gene:WBGene00051673 transcript:CRE00723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00723 MTIFTKTETTDAEKKTVEGVKEDTPKQVVVCEADEEKHISNCGWVPMEGGNFDRPKEKRSSSFGPLSIIRLSPSPLARTPSPSPPDYENAVNARRMRRCTKLTFTLIAIFSFVILVSFFLYQQGLISVAYQKGVYHGWNAATFSNRGTTERLVQNVEINANDSYEKIDVPKFGSNRPAIFLHDFKQNLTAIVDTVGNRCFVKELDRTKIRNPRMLIEMLRNIDIMAPEVAYAQTRVVRETYNVGDELTKADISVFNSTILSRHCAFRQTYKLKKQEAQTRSRRSAGIGPALTFASMAGEEVQLEEISF >CRE00241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2295380:2295691:1 gene:WBGene00051674 transcript:CRE00241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00241 MNTSDGKTSDIAEEVFTEKWTVERVSRGRLNCNGSDHNQTLREWSESVRHKNDRDQITFFDCALRIEYWNGHENKQERIAGTASQEESDTSSRPGGRLVASFL >CRE00725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2297369:2321344:-1 gene:WBGene00051675 transcript:CRE00725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00725 MDVCLVGSWAKIANGEDGHEMAENIFLNRDNIVPLPIDKSYLSDFREKHPDVKAALVNGIEYFDDQYFGTGESEAICMDPQQRMLMQGVIQALENAGVTIEMASEARVAVYTAAWCYDYKDLLPPDQYMATGNSASVMCGRITYFLNSRGAAVGIETACSSSLVAFHLARQAIMSGETKLALVCGANHVGSRSFHSLYNSHMVSPNGRLAAFDRSANGFVRAESFAVAVLCSKQFAEENNLRIHCECIGSAFNSDGKTPSLTAPNPISQYEVQLEALKGIDRDTVQLVTCHGTGTKLGDQVELTAIKKSFKPDIRVMSPKSSMGHGEGAAGLIGVLQSLYSMEQGIIPNQLHLQLPSEDLGDEKSMAFVNEEMDLKKVAISSYGFGGTNACAIITKPKPNAIKTESYAESKVLFLSAKSHESLKIQIEEITGFLAQSDSDLEDILYTMNVRKTKYDVRAAVFGKHREEIVRKLQNHEYSMTNSQEGTFEVEFGEGNEKLWLLRMLYEKNETFHSAVDKYCKLAESCGFPEARTALFFPFKLTLTPLTYNVSRLISSMATFELLVQYNTLPSKLRGKGLGQIFCLAAAKVISFENAVQLIKGVVAEANLTDILSNIDLKTSKIPIEIQHLKSTVKKILPIHISGELKETAIPNLMTFLVNGKEVTELDPIKKVQSLNCQLFASGFDPKLIFRGQIVKTPGYSFLKRKFWPDSIKTGLAITDTIDEEVTSGISASEIESAVRAIVKQFLDIENDDVNLLETGDVDSLTSIEMVEAFGTAVNQTMPFDLLESYPTARSIIEFLKTLVASAPTVQQKTKSAKKKSIGHSVETDINVVATDFMFAGVEGEKELWDTLLTSKLVTNKISDTRKKQCEGDAGLEVGLLKQDISLFDNSFFSVAKDEAGFVDPQHRLLLHSAYNALEKSGLTAIPDADLFLAISAHSEYRTLAEKHVEELDERLWMGTVHSMAAGRLAALLGIRGRAIIVDTTCSSIATALEMAVNSIREGKQYAVVATSQLIQSSKWLYSLRTLLDHHNTKSFSVDGTGFCRSDGVGVIILKAAEPGDSAVIKISEAKSHHCGAVITPVVSAISQLLEDVGDVTYVEGHGTATSAGDSAESLAYQKLGKELIMSSVKAQFGHCEVASGLIQLMKVASLSNHGIVPAVVHNFLPNEHIRHNNDIRLPFVSEESEIRESAIVSFGITGTKTVVTTKKVDVTESKNQANCFMLPISAKTKDALKNACSSLIGMIENSSESLHDISTTLQKQKTNFKWRAAVVGSNHSEVVRNLKRILTSESGSITSNWHICTSPFSIGCSSFFNNIPEFEDHYYLFCHRLRFKPHSDTGSLYHLLSITYALIKIIIQHKITSSFAVGGFNSLVVLAAVDAAPSHYLNDLLHAFVNNDVRMMKRIARDVTINIENLEIFNLKGESITTARQAVDATIDINPTNVRLSEDAVILSPNETYPYTEPLQNITDYFKLIGEKFTRGAKIDFVSIFGKPSKLIDLPEYPFNRKSFWLPIPENVQLKNNEIHASLPNDYEFLLKSEKWSHVKNHVVDSKIVLPGATSIRLVYQLHGKTYVGMSNIDFLNKITPTETPTIVKLREQNGLATLCFGETDAISFSFSDSQDFNLVPNERLNSEVHHGDSIYQRFSTSHLTYRNEFQMIDSLRFTMGRGEARFAEITNLDILIDGTLQAIVGGYFFENPHDQSPFVPFTIDKLSIVTGDIAQKQLHTVFTYDSSENFITGNATVYDVFGNVILHISNVTFKRLNGQSAPSISSKTVDTSKITKKIENEDLKRASKNMLHLWFEENFGWTDIDNTTGFFDLGLTSIQAVKLRNTIKSNYPNASSTCVFDYPSIELLSGYLSTLNDPPASENQEDETTDERKEMEEDHPPTRLAQNPIGVMAAACRLPGGVSSPAELWELLKIGKNASSRIPATRVQTRNTLISGKSPKNKFLVNNLRSGSKYGNPVEGGNFITQDVTQFDPAFFKISKSEAELIDPQQRILLECVQECLENSGVTETSSVGVFVGLMEKEYQDMMESSSILAMLGSMAAVIAGRVNYVFGCYGPSVTIDTACSSSLVALEMAVNALLDNRCSKVIVAGVNLILNEKGQGLRTNGKMLSQHGMSLSFDSRASGYGRSDGCVVLMLELAKPNFHYMSTIQSVNVNHGGRSVSLTAPNGVAHKMLLTSVINQSPSLAIDYWEAHGTGTPLGDPIEFNTLSSILQNIIIGSVKASLGHGEASAGTCGLLKLFLMLTYQYVPTLIHFHVLNKDINAGSIRLPIIGEEAELVSAGISSFGVSGTNAAAIAFNDNNKLKPYTHIHKYYILPISAKNQTSLDQLEKQILSVIPMTDVPISSIASALANNRSHFTIRNALMMSHSGRVISKVEGKPHRVAKKDRFHVKLGENVMDASLLQYDVVNEMYTVAALKNPQSFAMKFAVIKFLTSLSEYVEIVASSTEELLAILLADGSLKWDKFDKKLLDYPTGSLLNELADLNLNGTTCSAIKCYQSQPESVILNSPLEIMETIMNLYVAGYELDWSTVYSPVEQFVALPNYQFNKQVLWFEDRGEIVDHYLIGTVDEETDNTITLKNQISELRHPQFFKGKPIDVGTMTEIAIEALKIRNEIPFSIQNLKTEQITLIKPAWLETIVKKEEEDEGFTVSAFIDDKKLFTLSASPVEIDNIELPAVEVQIPDKVVYLKECPNAVIQRQRNMIYVDSRSEPSPFRTANIVLNEIIGFAPNPSDMFVEILGVLPAVYYMVQVDDGALWQFQVMISQEKRVLSNIYVLKDAKGLEVPTVRMHKKSTLLSSQEAGIVAARTLQMAVRSKVCLAVGDVIDSGVEIDDSQMSTGFSELGMDSLATVDLLNRLNQKYFPEIELTTSDLFDNPNIIDLSIMIEQLLNEKGIHAPSEPATPKLSLRERKLSIPAIRAQELAQIEFVTNYNAQQKEEQEEEQKLAEMKNETSAPKEDDVDRTDIRRKISSAVFDLATETLSVEDLDSKGFTELGMDSLSIVDFVNRLNEKYFPGDDITASDVFDYPTVDELADHIVRKKSISLSTAPELVADTDRAEKVEITVNGKEHPELEQLTQCYVLTDNKNTTFTSDINLTSSGSKFHLINSSTQKPIIELNPDGGQDKELQRCLDGKNNILISLDHFENAVDVLYMTLLNLMKTISKNKIKCHFAVSNKFSLGNSIARAFMKTVTSEKHPLISFEWDQKIQQVSFVDSDSGISGNWLITGGLSGIGFEIGKFLANNGAENVILVSRRQPTNDVLKEIKNWKASVKTIAADISDKQTLVKELEKIRVNVTGIIHSAGVLKDSKIERQTKDLFNQVFKPKADGVLVLEEIEKHFNYKIENFIMMSSFTAACGNEGQLNYGVANAYLEHQIQRRRREGKSGCAIQWGNWIDTGMATNAHVRKFLADLGFLGQHNKDALKYLKVCIEKKPEVIMVANIDWDVILKNRKDLPRDLINNGIISFENLIVERKESEYSASSHETTDFEEVPINVAVEDEEEVLELIKEKVSSILKYAPSKLKSNKNIMDIGLDSRLLVEFLNFINATFKTKLNLSDAYNYSTLEKLASHIFEKLTAVDVAVTSEIENHVEETKKSTDFCSFFGVNLFFNDKDSIERSKSEAVRCLESGKPLPTSGNFAIPVVGTSISDVIKKIETVTPQQAKTCQQNSKSVMMLTGQGSQYPLMGRQLVENYEVFRTTLKSCLAKCDEYLLGDVSLWEILFNTDHYKLLQLTKHMQPIMFCFGYATAQLWLSLGVVPDYYLGHSVGELVAGVLAGIMSIEDGLRLIVERGKAMENIAGLGALLAVQREIADDVLRKFKVSVATINSPKQVVFAGTKPELDMALAFVKGQGECLIVFPFSSNPPSFLGKQGTFVNQTYPFHSNLIEDIHLVSLRTCLAEIRFRAGTTALVSNVTGQIINTFSEAYIIKHTISAVKFVNCVETLRNEGVTVWIDAGPAAVLATFVKRIIEPAELAKHRIVQTCKEKDSDVETLVQACLELEQSGLNISWPTLYGCDKNEDAPLVQFPVIQEDSIHDDEFEVLEGHRLNGKIIVAGAYQMFKMDQLVKSRAPGLDLVLKNVKFLKPWYIEDCKNFQIRWNSDMTIELVVKSVTMCSAKVDMKEGQLVAEKIGENEQSFDVHDFYETLYRNGLQYESGFRRMDTAKRSEKRCFSELKPSTFAWPLIDSAMHSITASVVPRRPDSYFLPVAMGQVTMSNEQDFKLSNLHSQTVITSETEKFIQVNVILFAGDLIICEVRNMTIVVLKLVPIATPTPTPTATAALETTKTEIEIVGFDITLPYNQISTNSENWQFLKTNRVKQPLQNRFSEKGDNLCVGLLDTDSRYWDPEYFGIRPSEASYIDLQQRLLLVSVAKLLDSLLIANLPSKTGVFIGCSTYEFSQVVYAHSHENPRAEWGGGTSNSALAGRIAHWLKLKGPVLTVDTACSSSFYALTSACDAIRSGQCEYAIVGTVNLVLHEMTTNVLQNAKMTVHDFCKAFDVDANGYKRSETVCTMLLSKPQNMNSVSTLVDWATGHNGNSSSLFTPNGTSQLEVMKKATRTVEDIVAVETHCTGTKLGDPIELSAISKLISAECSINSIKSNVGHSEGASGLVSLCALLMSTHSNYKQAQLHIKCPTEVIRRSNMHCQFVGEELFLNTNASVLINNFGFTGSNCSVVVKLKSRNLETLEECHILYPMLMSAHTMESLRKYIEQFIQFVTASSASLHDIMISLLQKKVHVHRHFVIYDYRRKIVLESQNDTVYHNDDRLEMLRKKCMLFLKEGAIPFDRKTSFRKVELPPIIFNNSLHWKLRPFHDDIFKTRQVSFREIFYEKVLVEKQPLSEATTIRNVACIGRLNLIPKIEMDEVSCFVNGIIVFHPLTNSIEEFLKLTDFWSRLKPDQNNVVIVCCFKNGTSHTEWTGVLRSLASEKLIPYKFVSIDRIDELKKELNNHDVFETIFYRNHTRFVERMRKFTPNFKKKSEYRQLLISGGTGGIGNAVLNELKPKSSTILTRQNRRNVEEENTYVKSDVAIYQSDTQYEHVFHFAGNVQNASHSSMRKEKVEELLLTKLQGARNLVKCCSKQGTFVFSSSIACILGSYGQSNYAFANGLVTSFLETTPLKSNVIHWGPWKEVGMLARSECDGINKTLAMNGWNPLSNSDALSVFSTDVMNPKSQVIVFDGDFEEIVARQPHLRKFLSEIVKEKKTPIKENPSNFDSVFSDVVGIKDMENKTQVPFMDLGIDSLCMENLRHTLNIELGLDLNVSMMFENATYEKLKAYTSNLLKLKTGELKNSENEIGSEHKIDNDNRVAVIGWSAEFSGAQNIEEFWNNLLNGIISTNIGTNLLNSPFGFDNKFFNISDEDAKMLDPQVRKFIQHAYLALENSGYIELRTELKCAVFAGAEPSDYGRQDEQDDAMRKLFVMNMNNYLATYASYCLDLKGEAVSVYSACSTTLVAVANAIKSIQTGSIDYALVGAASIAEVSESLNGYGDSKKTIFSKSGVCRPFDKNSEGIVRGSGVGCLVLKKYSKAVEEKDHIHFVIKNYSISNDGLSRASFMAPNPAGQLRCMTSVLNKLTDDEKQRIEYVECHATGTTLGDTIEMSSLQTAYGYKKNLLIGSCKANIGHAYAASGLASLIKCGMMLQTGRVPPQVNFSEFRDGFGNFFRVNTEEAEIKKNSLIGIDSFGIGGTNVHMILESHCVQETNLRMQYELQTHIFPLSAKSENALKKLEETVINYLKNDDRTLRNLSSTYIHERTLMDHRTYFISDIKNNQTIINRGPRIKWQPKSPKVALYFAPQGVQFSNILPEEYHNNVIYQKAIQRLCNSANNLGLRNLERILYPTNMEDDLIQSIEYSQVTLFVQCMAIYESLKKVLKPQLLIGHSVGEYTAAVISGVLNEDQMIDLLIKRSRLIGETDEARMLMVWNYEKTLPVDVEVSAIIDINTKCVVGPIKAVDEFEESLRQQHIKYRNIKTNYGFHSQMMQPISTKFKNVFSPLPVKKPEIPMISSITGSTIPDFSTTYCHNHLTSPINLDRVADAIIKSDVDIVVEIGPTGVLSNLLTKKNSKTVVILTCGTKKHPKQSLMECIGELWNHGVDVRGLFEKTDIDGNAPGYCFDETEFSKNIEFAKNINQNIEKQFKLYTEDWIKEDSCDVIHSLVSFEIYDRSVTATTSSILVFLLEESEGLHTNYFDVEKLLKSSLRYETILFIANSDQPSNQMILGLLRCYQLISRTRIKYIENLDHLEVSNIVAKTVNCEGLYLRLASSEVFCHHFKEMLPRSPPNSPVEGHALVFGANGFVGRIVVEYLNLLKINVIPVARNSKYTCDITDSESVKKIIESIGLNHFTLVVNCVGKESSPSVVKSFEEQELVLSSKTRGNLNILNCFEENQIEVDKYITFSSLSSTIPLLGNSDYASANCFIEALSRQKFEYIQKLLVLSLPPLEGSRMYSESTSSTKQMLSHVLMSKKYLVDVFKDTFFSDIDGSIFVSPSNPSEIASKSLKHNRSGENEDEPTIKAEEVMKQENGLEDLIKKIWKTTLGQSLVIDDSSNFFSLGGDSLSALQVVWQVQKQTEITVEVSDLFDNPTLAEFGKHIERLKSTKLKDGPIDKEDHIPDYNNIPLAHSQTQMFMLRQIDATSKYNVIFEIVINYDNEFSWENLKYSLLSLVAYQASYRSLFGNTNPPNQTLCSLTESFHNLDDRCDLIEAISHETNHSFDIGVTTPLRVRIGEDCDNNRIHIVFNQHHILTDGWSMTILADTINTFYNSYRNGIDLPRKSHQMITKLAIKQSQEFEKLEDKIKEVVKYYKNSNHTVIPYDTNYGSEENHTRFIKKIPARLWTRIIDLSKKYSTTTHNICLALFCECIRDFTGQSEIMIGYADSGRNTENSNLIGYFLNNVLFKTSISHDVVEFEKILKTVSKSLETSRKFSNVPFHKIVEQNRELNAISIYFNFRQKLDYPTVSIPGANCEIKHISLNNAFSFSFTIDETPSGSLISIDYDSSKYLKSTVNMFSNMFLKKVNFSKNKIIPVRRADYPSHLFQCDLLTSWKLFSEEPALLLSSNTISYSELAQKIECTATNIQKQFHILRAVCLREDDMIGIDSSYPPTALLACCYLGITYSPLDPTWPKDRQKFSGEKVQMLFKYDALPKYSKIRNFQTRTLYGGMYTIFTSGSTGVPKGVFMAERSVSSFLTSATKQCMFREKVRVLDSVKQVFDVSVSNIFGTVFNGGLLIAPDHSTTITEKLPKCQYVFLPAAVFNGFTTKTMSRLKNVETLTIGGETVSNGVLQKTLQLLPNMRIIQIYGPTETCVWSLTNRCQLPSENIGSRLGASMENETYQIQNFYVRGVLEIRGSSLARGYITSDLTGTSFIDNYSTGDVVDARSGYLQFIGRVDNQVKWKGVRVDLAELEKEFLMCLGLLQVAIVYSNQMLIAFMAGNKAKMQYSVLLEKLKDRTQIPDHFVQIEKMPLNSSGKIEKSLLLQAFEKIRKSYKREMVTLENSLEEKVQRFLIFSISEFLLFLVHRS >CRE00242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2323602:2327873:1 gene:WBGene00051677 transcript:CRE00242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00242 MASEEDEQWNVSVKVLVGNGKYREYRLPSSLCTDRPTLWGAKHEVGQRIADIVRDKKLKIGELKRYIQKIEQSQSIWLSLAKNVNGKSENFFLDALSASNEDLEKFEQLNFFSKKSWSKLRDVEELSELEKDELSLIDNEVEPYKTDDVNENGENKLRHYEWMCKKSVGLSSLRQQSTEREDRNDTSSAVKKTTSNGFSKTEKGDVVPVEFWPNSSQPVDSLNAVVVNGIEIVTKDKKNDLKEDQNTDDDVVPTVSNTNKVKVFDETVRKSNKQLEQIQTKLETSKLKAPEKEVDDQLCSTQNASSSAIADIHSERIATDSTLEKSSQPPKVLMKDNKKDTAIKKEETVQESKKKSENGETKNASVSQNSSSQVKKPLADVSGISRLRLTSGSSVDVKPVPVTVPKVCGNIWERKAAEREQKQLEEKYEQQYPNPGSQTNGNALSISDAVIKSKIETVQNGVISKRAAKKSKKEQLKTEPPKPSDSNGWITFSAKKGGRPTNGTFLKDLEKQESTSFLTRSSEESTTSSSMQSLDLIAQSSNVPTENVTDTEKKKVEDVPKDEVQVNERRLEFPKKNNDDIKAEKRAKRRQRVHEKVKLSAKQNKEEEKKLKEQKRIEHELQILSEKKEKEVKQRLEYEQKKAEIQRIKRERESQLLTRKQKGCDPSKVQDMLESKERLVAHVKEKPLRYLMIGSKIYMDKRLMELATDRSMEINKNKHKILHTYKGRLKTMDRMNTFLIFMSDLTENTKDFRLEELLDYFTSKSLDVNEEPLNAVHEAIRTTFIQFCKNFTLKNPETMEKACQFADSLLQKMGKVRKTSEMIEKSNEILTNYKMLYTEAVDIMGLTSYLRTRMDTELFIMEEDYLLTVTESEICGYQGDETDDEEEFEKPEVHDMCCQTDGETYDVRAASMLPLAD >CRE00244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2332899:2334207:1 gene:WBGene00051678 transcript:CRE00244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00244 MSRREKDQLHLARINFLNLIGQSNPSDAWKLFCLVTPNITEEQVDDQAETSSKLTLTTIPPDVVEMIVRTIDIESEKNVRLTAKYLKQIVDARDTMINRVHIHLCSQTVGIEVHEVTKEEPETYSFNVSVIPGVNHIDNAVKKFEEIISKPNTKINHLKIDWVRSEENRASYDELLKSLAVSLADFVEKVHVEELTIDVNAVEHLENLICSLKPDILKRLYVIGPPTCGNDKFDFSNIVILPQWKHLSAFTSSCCELICPIHHLYHISNLRIKMASTSPIDIVGYRDTLIVSQQRIDHEITISHDMENTKQMLEPYIDCSRLTTCRGYYIRSNEKRLTFELKHNKIIFSTMQFLM >CRE00726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2338005:2338641:-1 gene:WBGene00051679 transcript:CRE00726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00726 MGGYFSKLEKSPSKGVSDVPKILINDTPIDNAAESFKTGEKLVCITFTTIKLFIVIFQIKPDPDHRGKWIQCDGTYEEMSAESGKMIATFNLLQSCYNCIPLLKNYLCEDLISFTFSLANMWKTYFVRNGWGENGTNGEAEIVEIGYGRLFMIRGEIENMRQNKLQDFKRRELVLKTWIYEVSDAIQLLKLRIDV >CRE00246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2339633:2341899:1 gene:WBGene00051680 transcript:CRE00246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scav-1 description:CRE-SCAV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LE12] MTSRTCICRVTAGILFLIGALLLVAGLVIVLGVFPNIVNNQINDGKVLGLNDDGTLNSFADSWVNSKYISTMQYWVYDYTNTIGIMNRALYPDVREKGPYAYDEIITMDHVNFTDNGNFMEFRQVQTFVFNPNKSCPGCDPYKDKVLIPDMGFQVGIDTLDSTIEALLKNPIAVQICQLIMTKRNAPDPAQSCKNLAALIEGEIGTLISLFNVGPFVTVTVDQLLFSGYKTPFVDKFVNQALAMLHFLFGTPPNPIPNPPIQLNPLNGTSDIINTVLTGKSDPLLAGYMTSFRSISNDSMFNSVGSTLPPMWWPFANKTYCKDPISALTIVGTNGDFFKNFVKKTDVLPAFVSDVCRTIHFKFDREVTVKGFTGYRFIMPPTQFDYSLDENCGFCIPLKYGAYEYPPHSACLPSGLLDISGCTGGPIIMSKPHFYQADKMVSRFVPRFKPTYDNDETMLDIEPNTGTVLQAQKRLQINMLVNQYKHVRSFSVMRPGAYPLAWVNESFYMDQNTIDQLNSQLFGPVSTVNTICWVAVGVGSGLIALSIVMVVVSFCCFQDEHHKVS >CRE00247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2342696:2344236:1 gene:WBGene00051681 transcript:CRE00247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00247 MNLTASVSMVYDGGHYIVVDSPSATDVHSKELMLKGIASRNIAPGEIQYVVTTHGHPDHFGQGNFFPNARHFFGSYEYSDTNFISTELHTKDIMQLTANVQLWNTPGHTAQDVTVMVHNVSCCGIIAVAEDANEAAGIWFQEAWNPIIGKISRNKVICYADYVIPGHGKLFRITQDMKTAADCFTKYETNSEGQTIESLPQFENTLEQVIRKPAETLFSSANSLSSNYVRDPTPTTMTTTQTTPTTSASSSESDATTTSMFYTVDLTAETPSTSETELIFDTQENLPPLGMKIKKNANYIELPDLSGDIHPMVQNFAKRVSDVLKQPQNEAEVAKMMPHFKKWQTTLTKLW >CRE00727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2344644:2349694:-1 gene:WBGene00051682 transcript:CRE00727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kcnl-3 MERPNSRLHCHPEVSYKCTVQPYFSLHTHTPTVYSNVTKHRPSLGTLQAADTLHARRFLSDKRRSYRNRSLGPTIASAPSVEQEDYRTPRPSFDSNGYAGKSFDFSSAYGHHGAFGASESNGLVKVSADFLRLNGSRQQFKNLLSARKKLGSLPPAYTRIDYSRESLDSHSARVLPQHHGPVITVEDTGSQLRINNIKPSVNQNLLETSCSFEKTMVTQCESNGSVTSHNTTSAFQRNNSRYGVPIDSIAVKQVYRVRSERLTNRVRITDRSLYLALFGVILMLVESEITAERIYGVSKAHWISQSLRVGVTCSTIVLLYHIILYHLNDIVLELVDCGADDWRVVVTTERIIQFCIEFICCAICPLPGSGDMKWTFIEPSLHKDGTTEGRTVSTRSVELPVDVILSCFMLCRSYLFARFMVLHSKQFQDASTRTLAALNRIQVNFSFVIKTSLDQQPVLFLTSFTFIFWIIMSWMFVQCERYGFSGKNPQSILYSNSLWFIAITFMLNGYGDIVPQTNAGRFIAIFVGVVGAVISSILIAVISRNILLSQGQRNVNNFMYDSKLAREHKEAAARVLQHTWHIHKCLQGGEGGNRRLRTYQRKFLKAIHKFRAVKSEMREFSENNSQNNPQMTRLVTDMHTSMQRLLNVQEEMRMQIEVGKRNSVMTDIQCFRFYNRVSVIIIIILLQMFLNSKVHSTNF >CRE00728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2354785:2356279:-1 gene:WBGene00051683 transcript:CRE00728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00728 MPQLIPKRIARPKLDLPELREPEVDFLKNGPSGHICLSGVRLGVPPDMITGGTRIGSGEGHAAVYRLVYNGIVIARKVCFDVRVQLTFGADNEDINKMLNKKLREVNVIKGCSPCPFIVHFYGYYVHKVIGCVNIHIFMEELALSASFLKREISERGQQIPEFVIGRIVCSVTHALWFLKEKMVLLLLKTTVFNVESFQQIIHRDIKPSNILIDNDGRVKICDFGISGILQNSLAISATGVQQYTAPEINEQSLVHSPGYSIKSDIWSLGITIFELATLTFPYPSDISGICLSGAIYNSAPPRLRRGAFSDNLVDFVEKLLQKSKDDRPNLNGVMELAFFKEHDVPFSCIGTGEGNATNANAERPSVGKWLDDFLFPAE >CRE00729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2356659:2359593:-1 gene:WBGene00051684 transcript:CRE00729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jkk-1 description:CRE-JKK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LE16] MDNVCFQQRLRDLETRVRKWKFLKLGLTEVRLRPRDRRSTSVDQKHKECSSTSSSPQHQRPNNIGYLTSPMERKFTPLTMKPSPSRRDTEKDALEFEFLEGCKKSGTLDIDGVKQAVDPNEIHIIGLLGSGSCGVVESAMVRSKLMAVKTMYKNDNKENLKRILRDVRIMSLCNSPFIVTSYGYFMFNSSVKICMQIMAACCEKLLRRIYHSKLDFFPEFVAGHIVYSAISALDYLKEKHSIIHRDIKPSNILFDDSGNIKLCDFGISGFMTDSMSHSKSAGCPPYMAPERLTIETNSKYDVRSDVWSLGITVYQLVTGLYPFPLNDMEFTTLTIIANLNLPSPSLKKETTRSFSPLFIEFLDFCLRKDVRERPEYKQLMKHDFFLDYDPASGDYYKLKTINGNTNQVADWFNDIIKRSKTEDELKPIPNTPCVN >CRE00248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2359727:2360053:1 gene:WBGene00051685 transcript:CRE00248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00248 MARHSQLSILNGQCKWKKRRGAPVWALFALITNDVGGAVEEEEEEEEEEEEEGYKCTHILVKICGKDCSFLLLLLGHVSFPIGPHPLSTFLDARIFAALMGGPVREKG >CRE00730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2360506:2362013:-1 gene:WBGene00051686 transcript:CRE00730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00730 MLDLYPVQNFTVEQIEYEKNTRFLQPAWDWIKNGNEHILSSPLFPPFYALSIDYTWVAIFTFIDLCLYDVPFFKNSKIQKDRKVTWELMKQSLKLQGWNQLLWIYPMALVQLIWVPDTELPILAPTVFEVVSQIAIFFLAFDFTYFWFHYINHKVKWLYRWCHSVHHMYSSPFAASAQHLHPFELFFVGTFITTVPWIFPTHCLTYWLWFFVAQSVSYEVHIGYDFPFALHRIFWFYSGAPAHDMHHLRPLTCFQPWFNYLDRLMGYHITYEDLKRMTEAKFKKFGLYSAEDEKGLIKIN >CRE00249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2367276:2370572:1 gene:WBGene00051688 transcript:CRE00249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chtl-1 description:CRE-CHTL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LE19] MARKKHRTVPAAEVYEKSDSFPPMPTAPPLSPTRMDNVYPDNYGPPMHQAYHVQPARANYVPDQIARFNVIKPDRLAKRHNPQLYTKRGCTDVFCCFLFFVFIVGWVIVACLGVMWGDPQRLIYPTDSEFRRCGVNQDGYYNLSKRPYLFYFDLTKCISYATALGGCQTTQICVEECPSTYFSYLQLRTASASEIQAKMKSVVYCTDDVDKASVTTFQALQALVQKGKCVSYTVKSVPVLQRCFPEAIFNAVDNVNTVLNSSNSLDYLTRTFGDDVLIPQDIQITGQSSEVMKSVVEDQPVTHKVIHDLSQTWWQTLILIFAAGILSFIWTVIMRLLGSLLIWISILLVLGALGFGAAFSWIKWNTLKSTGAIDDYSFHPAFDAYFEMPTTWFVVAIVTSVLLVIFLLVILFIRQRISIACALISESSKAIGSMMSTLLFPLFPFLLHIAVFALWGTIAIWLASSGQEVCRMKETNGQIYNTSAKCDCTAKLAGCTYVGIEKESETIFWLQVYNLFAFFWLSCFVTALGDIALAGAFASYYWARDKRHDVPTFPVIRALNRAVRYNLGSIALDLSLSPSSKSSNKAIKYFLMCLKCCFWCLEMFFKLLTKNAYIMIAIYGKNFFSSAKDSFLLITRNIVRTVVVHKVAGILLFLGKAMITLGMGILSFYYFSGRWVVEGVPKVELYYYFVPIVIVVIGSYFMADLFFDVYEMAVDTTFICFLEDSEQNDGSLERPYFMSEKLLEILGTKNEIPLHTK >CRE00250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2372339:2373144:1 gene:WBGene00051690 transcript:CRE00250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfn-2 description:Profilin [Source:UniProtKB/TrEMBL;Acc:E3LE20] MSGWDDYIKLLFGKSPAIKRAAIIGLDGSVWARSGDSNAFSASEQELKKFAALFNDINSVPGTGADLENIHYIVPRVEEKLIFGKKEQTGFFASKTNQAIVIAIYEGDNAQSASVRAGVEYIAQYLLGAGY >CRE00731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2374406:2375595:-1 gene:WBGene00051691 transcript:CRE00731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syx-3 description:CRE-SYX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LE21] MPRDRLQELREKASGNHIYHEPETKDPANQPLIDPSADFGMFLERCSNLRQSLKSLDDEYDAIVQLHGSLLSTPGADADNSVKLNNHSQMFITKADQIQKSLKILSEETRNLPANACGISRAKNEQVRAIYRTFETMMIKFNKEQEEYKDKAKRKIVDYLKIRNMQLSDEEIEDAVSSGNLSELTKGVMLALNEKKALYDDVKSRADELKNLERQMGELAQMFHDLHILIVSQGEMVDHIENSVHNATEYAQRARGNVEEARTLQKRARKMKVCIIIGAIIAVLILLVFFQAAVCHFTPIC >CRE00732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2376724:2378716:-1 gene:WBGene00051692 transcript:CRE00732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00732 MNYSGDHIFRLCPQLGLRAVMDSNNEAQKEWEKFDEVSMEEFSIANKVESPKEEEPSRVKKDEKVEIPSVDDKKEEKKTNEEKEKKKENKETADPLQSKSDSEDEISVPSSAETKPQTAYEPRIATISPVACMPIVKTKAPIPLDVSRLEEGGPKIAKSSVISDPKNVNAKVLATVFPDNVVCDWVTPPHYDAYSMPSILAIDIPTLNGDDYIATIKTIVEDTRFKMFSTIYSRLIAIWMTFWILSLTITLLLQSKGGWPVMIWCLVWAVLLFVGIYVCAMIRRRIRIGLNHVVEKANKIIVDRHFLIGVEDRGQLSCHKTVIHFIRFNVGECTADVIKQLKIRNSGGCVFGDGAHPEVNDESVEREAAALILQYSQEYVKSVVKKRIVFPSKPIHGVSNYAPKHCKTQMCLCQFIDERKFNAKPKRWYVKYI >CRE00733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2380124:2380523:-1 gene:WBGene00051693 transcript:CRE00733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00733 MLRLLAVLLIISQTFAQIYLSNDIMFDYPEDPSPSYVPVFENYPDVLPDYRVIPYPPQTYSYSRQMWNGCGCATAKTYADYIKSRPRSKNHKLLSYYQLM >CRE00734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2380749:2381961:-1 gene:WBGene00051694 transcript:CRE00734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00734 MEPPFLILCVCSILFFRCVAYKDKDNSSEEDNGFYKFLSDSLQKDEEIRRAPEVLPKERNKPPKEHEPVKLADIVQLPGRNYKSWNLMFQIIPGGYNPLPGRTSFGDYWPLMPMSNQYHAAVTYDTSKGRHVGGDILVPVPYWNNFLNIGGHYIERFQEEWVKVGYVNSPVNMLGLTKEQITRLLSDPSLNYNRQIHPKLPVGALPREYEPISCKPPLCNPYQGTLGVGVEANYHIEDGVEGELDLPIPLGKDIAYRFPLSGNIHYDHDDTSVNYGQNLSPLDPLAPGFPDEKKPNKNTFKFHTSQ >CRE00735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2382255:2383636:-1 gene:WBGene00051695 transcript:CRE00735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00735 MRVYSLILLCFITFVYGQEKAEVDIRVSGACSPIYLSRKGIDINKYRPSIRFNPDKIQLIPKNPVIPGCIKIKAEGVEITRPTKNLIAEVEMRIGGTPDPNNPSLPCSKKIDEKVNQCPCAKLEGSCVFCDFCKQLKAQSSKITASKLSRPEHKLIDEDCKCGDMQPGLYDIETEMCTPEMDDFKDYIPPEIQNNILEKRPISMFITVYLMDMEPRSSKESYLSAFGKAILQRRMAQSTVACFLLGIDVKLALPSFD >CRE00251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2385931:2387218:1 gene:WBGene00051696 transcript:CRE00251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00251 MPPASKKKKNTREYIWIYGCPTLKEGCFEFHPNVQEHIETSYNRGKKMCQIYVFGDTWDLDFVQMIRTKKDDHDKYQIKRILPNKAKKYMLQGISEANYKKMEGFRQTDNICNICLYPPSIPTRIQDCGHEFCFACLKSNYMMGLECPTCRGRIPSELFRDPKRYDLPIDIECPIEYANDCTSMFALTEEGKGSANSSVQLRRSQRSTRTKYYWIYQATDYGWYRYDPKNEKYLEESYIRKKSSCTIFICGFKMSINLKSNVQERISEGEVHRRKIKRIKAGDLKNHRVRGISGIQSYAYPVISE >CRE00736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2387980:2390000:-1 gene:WBGene00051697 transcript:CRE00736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00736 MEWVKLLLALGAVAVVSQAARHPKLPPYLLGRPTAHGFVRHGDRFFDNFDTPATNLVRSNVTQKVDNFDATNGATYIQQMLLQRYWYNSNFTQNKNIVFLMIQGESPATDTWISNPNYQYLQWAKEFGADVFQLEHRCFGKSRPYPDTSMPGIKVCTMSQALADIHSFIGKMNDKYNFRNPKWITFGGSYPGTLSALFRQQYPQDTVGAVASSAPLDWTLDFFGFSITKKSIVTVFFRHSMLAKCEPSLYQHATTQSHKNRNPTTEQILFNFRLTPAFVDGQYTQLDIDNFFANVYSYFQGVIQYTYDGRNAATLGGLNAQNLCKKMNDVNTPDVITRVKNTIDWVNTLNGDPVGGLDNSYSDMIAVLANATYDDSGDIGMFSAANRGWMWLCCNELGALQTTDQGRNIFQQTVPMGYYIDMCTAMFGADVGIKFIRDNNKQTLYKYGGADNYQATNVVLPNGAFDPWHVLGTYNNNTANHMTPLLIQGAAHCSDMYPTYAGEPADLAKNRAIIHDELKYFLGLSS >CRE00252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2400464:2402917:1 gene:WBGene00051698 transcript:CRE00252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sto-2 description:CRE-STO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LE28] MESDDEGNIQIPVPTGQPRGRMGRRFTLNPLIFAKEEREARRQSLAQLKLSYYPKHMNPEHYDTGLGFCGWFLMGLSWIIVISTFPVSIYFCMKVVQEYERAVIFRLGRLIGGGAKGPGIFFVLPCIESYTKVDLRTVSFSVPPQEILTKDSVTTSVDAVIYYRICNATVSVANVENAHHSTRLLAQTTLRNMLGTRSLSEILSDRETLATSMQTILDEATESWGIKVERVEIKDVRLPIQLQRAMAAEAEATREARAKVIAAEGEEKASRSLREAATVIAQSPAALQLRYLQTLNSVAAEKNSTIIFPLPMELVRHLLN >CRE00737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2403352:2408661:-1 gene:WBGene00051699 transcript:CRE00737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ift-81 description:CRE-IFT-81 protein [Source:UniProtKB/TrEMBL;Acc:E3LE29] MANNMATFILHFLNDEPFNLNLSTLQFDQMQHQQLLQLLSNVLSWIFDSERVDIKREAAEETAIRILNSLRILRYRPPQDQEEQEEWRAGIVEGRKTSVYPLLVFLFENSDGLKERAYLAKYLMKTDVPGEFYDYDIEELQNEIGDLMTEFKEIHADVKSVMSDVLLLNDIKEDLKSMEKEKMILVRKIEKTEKKVQNIPYFDKQMQLASQLRGEKSRYAEMAHQKQLERQKVLNIESRINRMKINLNEAQAVADTLDEQALMEKLEDEVATNTYLVNGKLFQEIETKENKLRELSTMARSSSVKEDDITRMTEEIEEMTKRIRKLEDERDHKEVDIDENMSVFRHQVTLLERKKETAVQKLQELRQELAKVEKDVEERKHNLKDKVGGEVVSNVQYRKHLEQYRVKSEDQRKKRKEMEMMHTEKGVLSRTVDILSRRYKQLESHIESIGGEIVEVLNVPTKYDRPKTAAPQTNNSEDIKSDMKQMMNSLDERKDRITDQRARREEMRLMLSQKMDAINIMKRQREEQVAEMERNNRLLVESVRDLENTIEECGEKIPELKNELAILLDDKNSLEGASGDNLEEKVTLAAEKEQELDAQIEEMGDMDEMRLQGELWKDILTIFEVKMEIFKERSMSRH >CRE00253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2409420:2416614:1 gene:WBGene00051700 transcript:CRE00253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ags-3 description:CRE-AGS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LE30] MQDRSCYKLTQEGERLFRMQKYEQGIDLLKKALEVGTDDFSLLSAIYCQLGNAHTMLKDYEQALKFHTYDILVERLLGNKEGEAKSCANLGNIFKMKGAYNDALTFTFKQLDFAEELGDKVLKSRAYYNIATIYVERGRCTKLEAAEEKNEAKNVEATSDFENAAKYFKLNLEVAEQLEDALTMGRCYGSLGNTFYCLGDYDQSIHFHKLRLELSQQYGDRASMRRAHANIANCHALKSNMPLAIQHYKLAYNLAMEIGNKTEEAQMAYSLANALYIGKEVQKAITYFQRHLKIARSLEDISGQLRSYYSLALSFNNLCERRKALYFLVLAKRSSLQVNDTSAITDIDNLLNEILEAGQEDVLIDDIELIIDQSADPAPADIEHRELRLTYFQKRNINDRPVNTPEDPLAEDRYEKEEFFDMLAKLQSKRMNDQRVDASVLNTNIDFRVRQTDTEPDNSSTDGSEVLIDLILNAQERRMDDQRAPFLPGLNPNGQIILRRLNTDPGNEELDDHLVEWLMRVQSQRLDEQRSELPPIKPEGQEELDKKKEEDVTAIVMRMQAGRLEDQRAHLPNIPTTKN >CRE00738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2417372:2420726:-1 gene:WBGene00051701 transcript:CRE00738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-41 description:CRE-VPS-41 protein [Source:UniProtKB/TrEMBL;Acc:E3LE31] MEEANDREIEPDNGHVNNGGNEFYNAVFDDSNQEDISFNFDEDEEPPLEPRFKYERLEGEHTLPFLKTATFTSIDIHDKFIAIGTASGLIYILDHHGYGNFDSVPPLKPHRCAVSRVKFDETGSYVLSCANDSKLVVSGVGTDKLCCTVNITVMPKSIAFSPDFIRQQSGHCFIMGERNLVLYEKTFFQYKANNLYSGSERDGFIHCCSWNDNFIAFTNDTGTRVYERGPERIVTSVQPSHDVDRVRSSRSPPKHLWMPENNLVIGWADTVTILKIRDDGGVRKGEVHHIFHVSMFICGISYIPENGIENVELFLVGLQLEGEDFDDCASVISTVTTLTAMESTACTTVLKTSVIRPLGLKDFELQSEDVIESIRLSSHTLPYMIHGLGIPYLATYFILTTKQIIIAVPYGPEDGIRWRLQYKLYDEAFEMAKHHADLLVKTDLSQKSVGRKIIEGYLSNKQARVAASYLPHICGDCKEEWEWAVGQFHDAKMSTLLADVLPDSSPTLNSESYETVLIACLFNNPKQFRKLVQTWSPDLYKTSLIIDKTQWRIQQISRSGESGDVDEVEKILMDTLAHLYLYERKYENALKILMSCQDFQIFNVIDKHQLFDLVKDQITELMNINSERALRLLLDNADSVEPAFVMEKIGRQPKLQLAYLTKLMSRNEGTEFADKAVQLYADHDKKKLLPFLRKNANYNVNKARRLCSDRGFVEETIYLLAKSGNHFDAVKMMVREYKNIEKVIAYCKDQNDPDLWVHLLGVVAEFPAHFSQLIIEASNCLDPLLIMDKLPDDADIPNLSEALEKLLMDYTNHADLQKCCYESTLNDLSVLTQNLLQASDESVPVTLMTRCSLCSQIIMASNQELGRKLTDIKVFKCGHVFHLACSTSEIDRRHSIEDGICISCSDNSDSVNV >CRE00739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2420952:2424046:-1 gene:WBGene00051702 transcript:CRE00739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00739 MTTKNNTDEVVVENATFDRLRRVIKEVEDKKTNLKTLRETIDDEDGLVVRYQMAQDLEIKIEEKEVAIRKYKTKIEMVQKLILAKRRIMYEVQDKLDKKEQDKQKLVEKTEGYAATAGKNVFAKEKNVKITGVMNRLVAYRKTYLLQEVMEIFKINIDGGPAAMQRNRPPSDCRCVLVDTIRGLHLPHVASIQLSPHNERETTAAIGLLIQFISIISRVLGYSLRYPVVPYASFSRVYCPVEDKWAVLSGWKKRSERERFFEGLNWIGKNIAQLRSDCGIPTPVADKTLSVLADWIRSVTEGKYVSIYERPINNSSNPSSLLINFEPVLDGLLRNSSR >CRE00740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2425107:2425408:-1 gene:WBGene00051703 transcript:CRE00740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00740 MAFFGIKERMDDSQFFFENTFDMTFSRKMSVWGKSKSNDTILTDSQLAHIRNVNKLDWELYEYAIKLFDERVSQLRRKRRIRR >CRE00741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2425439:2427083:-1 gene:WBGene00051704 transcript:CRE00741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hst-6 description:CRE-HST-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LE34] MKKMGMCSLRGWKGVLGRKLFVFATILFVASIVYFYIDFPLKISNRILENGYHQHEDDVYNYEEIIQKEEGQAISFNKSSNDVIVFVHIQKTAGTTFEKFLVRYQQSLPCKCQAHKKRCNCGRNASNETWLFSRYSTGWVCGLHADFTELVVNSCVQRVLDKQAGHKKRRNYFYTTFLRNPTDRFISEFRHVQRGATWISSKHVCDGKPASINDLPTCFDPRIGWEGVSLEEFISCPYNLAFNRQTRMLSNLSLVGCYEHLRKPSYEQDKIMMESAKQNLRQVFYSDYYTQVKKR >CRE00254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2427248:2430051:1 gene:WBGene00051705 transcript:CRE00254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00254 MHANTNAQTSLCILTCFRCATLVSPLHFLLSNLPLFAGRMEVGHRFRRMKHSLQTKKFTDEELKQFDNLMLRNSKVSNFASTFRKDLASTLETGFKEENVDKRKKKVEELAMAQTLREASVDLGYYGTSCLHDVVNEYGIVLLNEVDEKVKLEMAMERRVYEELAPFVDYEKNLNKLKDKLNLCSTDLEIAQKDTEKEQTMGKQEIYDSTQMKYEGMKDALVTDIFSSSVSKELQMSEHVLTSMILKRDYFKTMANMYDELIPVVEEKIGEHLQILRGCYEQIILATALPRPVFGVALEEHLKIQQEKISVVLTKCCDFLKQHGMNERGIFRVSGNASKVKRIRAALDAGQFENDEKHYHNDPHAVASTLKAYLRELPDPLTMESLQNDWVEAVNQEGEDRFAAIDNCLKKMSRAHRQNLTYLMKFLCEIETRREETSMNASNLAIVFAPTITGMVFDGLNAHGVKLTEFLISNGCRIFNFGALDKLDTSPPTFTRAQSSISPRSFRPKEKAPPPPPTQSTSHQSTSHQSTSQAASTSGDLINLADEDDFSDDQAPTSSNGGSLSRSNTEHRPNRPPPPSFRSTFAETSKSRPISYNIAVGARPTEPQTAPRAMTASMEDIISDAPVAPERKRLSMLSIGGSLRVVPTTQTSSQESSSGSLVMDGGDNRTLVSINGEPSTSTSVTTFVSNMPSTSASTSASTSAPVPSSVQPPPQSSKPKPPVPLKPKDLSNESSRL >CRE00742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2430750:2435778:-1 gene:WBGene00051706 transcript:CRE00742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00742 MSKEIDISIRYLENVLSTNNSTLILSSTAALLEAVSKNCNTTDVELKRSLISLLRIIDKKCFLNQEVDVNQIKFSLQEVGKLSVKCPKSSQKAAPDVKKKPNAEFRDMEPIPNPDEENIDNLLNQLNLKKVPSFAPAFPDIKDDSDDENGVTTKQKEEERAEQHGQKVCEEEVVKLPDGREIRMKKTKTVNVKQTSKHVTISTKSANMPEVSRTFMNARRGFDEDEEEENTNFGSSNLRSDPFERFRSMLKDKDEKTEAVEGESAEPGTPGSSSKSKTIERTSEVSQKVSEKKEKDGRLLAEHEASKLDKSNLFAKQVDTYQGTSLIDRKGEGSYEESTLVRNALPRDFQVTTPPRMHVVEYMKMFGDDKKNNENEYLKGTTISSYVRLNSNLTTHEEQFKKKIQFEFSTAGTSSTTIDLDNLSDLLNQTDFNCLRPPNKFLEEDTPRIVELPKAIDWQREPTSVPPQKDLLLEHDAVDYVIFREKEGKVLEVRGGPKDALIVYATQSTHSSLLYQEAFLITFRTFISALELVNKLIKRYLYMSLANDSQSESIARHTFSVIVRIIDEVTQYELDFDLITSVTSFVYRLIHDGNFTYSRILRTRLLDRIKDSFDIQTVLGTNLCKPKKPHDLFDFKSADIACQLTYIDSQLYHRIESAELLWWSQEQNEKKSKNLVNFTEQFNNLSFWVRTLIITQSTQKERERHMMKFVKIMKHLREMCNFNSYLAILSAIMSTPLARLEWGKNIKDALKVCLYCSVTLENLNFRNTLPSWTPHNRIKTIERCCKPQSPHVFHTCNGIILQDLTFVHAGNPDTIPTDRCNGAKNMINFLKRWNQFAILDSIRKLKKWNYDIKKNDIIVQYFNGFKDCVDEERTWQLSHQIKPSNRKPKTQS >CRE00743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2438848:2439225:-1 gene:WBGene00051707 transcript:CRE00743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00743 MNFVLLIIALYLIVTLTVAFPLLMISVFIALFVYRNSVTPEVHHDVMNFVEQSLNAIRQTCAPSSPVDDTMTRSGIERARRQYMDHCNGVNRTPSEEEKDAKLLLTYQVPILTRYYESIIQFFKR >CRE00255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2440453:2441026:1 gene:WBGene00051708 transcript:CRE00255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00255 MNPVDPSHLEPFVLRESPRVTITRDDVLVQLEFTEEHRTIQIIHRDLIRMIPGIKNIVQDLKPDWETEFAIFNFPVTGMDLNATVFVLTHVDKNWSLRSRRRRRPRQSDFPILNAQSLTQLAAIRNVAVRLELREFLDCTDFIISRKRDEQRIRRERTQ >CRE00744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2441444:2442367:-1 gene:WBGene00051709 transcript:CRE00744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00744 MSFLALIAVLAIVPSISGACTPSTTGTCTPDLLQCCGLQLKADMGLANCYGILAFEPECHRQEIENMYINGTSGLLKVCNLCLYTSAFNNYYECLGPARRDCTSISYHVKAGLRLSDAVQVVSMYKQFGFACGAGFEGFSNNDACMSQIFSTKQTQITACRTKFANNILADYKNHCMYFQQYTGCFNALFDHSLCNSESSWWGCEYARQSGRVVLHDCNLQCSCKFLTFCTG >CRE00745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2443032:2444285:-1 gene:WBGene00051710 transcript:CRE00745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00745 MWQGRCLPLLAFIVGLSGVTAGGLDCDSTLYMECQSDLNKALTISDPQPWFDPENFRKQVETYYQNQGPDGIRKVCRAFREFKTCMGAQYSDCMSPVHFVSVSATPFAAYQFVGLFNQMHFVCGAGLQTYLSNEGCMSTTWKGQSGQQLTQCRLDYEVTSDVDPMQACTLANKYLICFESQFKQNCGDKSNDAQFWACEYSRVNIFTRFPQCAARCVLPYSGGIIG >CRE00746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2444503:2446379:-1 gene:WBGene00051711 transcript:CRE00746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00746 MCSTNYCLAIFVLVGVVAAQQNPQLTPCNGINSLNTCQGQFNTKLGFDASLGVAKYQDLRSAIEKSYADSQAYGLLKTCEAYKEYQQCYTDGQFFACAQNPFGIITATNNGGVQYTRDQAYGYVKIWNQLDFVCGAGFSIFANAEVCGSSVFNNQTAGMRQCDNDFDVNSKADPSQACAYVEAASTCYFNLFWDACKKPEMAYWGCNYERTGTNLLYPQCTQIFCSCKLKSNKVSIRELNISVHERV >CRE00747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2446874:2448941:-1 gene:WBGene00051712 transcript:CRE00747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00747 MWLSGVLLLICHYQMVSTSKTIMTNEISMPSLGHKEEVATFHRLQPKDGISPRFRSARFERLRGAPDNIKPIFVEPAVLETEESLNPTPVAPIQVVPSSETVTEIPLDFVPTSNETPTPLSFTEFNIESMPIIKDSDEPSTHKFHTTPEQPTTSEEPSTAEPSTFEPIPTTEQVSPSEIPSSPALSTEQTSEVSRATPVIHEYAQERIYPTMELVQPTTEFVEVLPSQQIEVASTNVVEIEEPTTTSTKAPRKRRHRRKHRKLRKVTKVTEAPTETTTVTEIITEPSTELSTTEPITEPSTAALTETSTLQTTTPVTTPVASTPISVVTTPTTTHIQTFPTSGSTGAKIGESYLGGWNEDEGIIVTTSGPSLGSAINQFSEIPASISIDDDKAIKEYYEKYYAEWYQKHNQAINTPGESASSVSREIKIELAKPTPSPFGSPTTQPFGISPSTTAEPTKEQLDKVCDYVAKISKSFGIKDLVGFAKNNCAFVKSFHPTATCEQIQHLMSYCVTGVYVNQ >CRE00748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2451687:2453763:-1 gene:WBGene00051713 transcript:CRE00748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00748 MPQGILHTPNETVFNLKRPPRTMSIKTKQYFACIFSFCILIYLVLYFYVLPSEVLIDEQYGRGLDEDLLNQKRGLEESIISQECSPVTDNCYVVVDKKVETDLGQMVERHMYVKGFEDESDTIVRLIPQGDRTFEYSDTRMWKIDHLSIRAQYIAALISAPFIVSALSLVDSDNDGKTILEIGLGGGSLDMFLHQLNPKVTKKYFPKPIILFQLNITAVELDPVVVSLAQKWFNVVNDNTRRTITTDGLEFIKLAEKNGVKYDVVFLDACDSSKSIPCPSKVFRNQEVYSSLSSIVGSTGALVVNILSQSEHGVEVDQIVEDLSQYFGSCLKVSITDEVNVIAICTKQAITDSTSNTDFLRRRANAVTMRLGLQDVLKTIKMN >CRE00256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2454798:2461107:1 gene:WBGene00051714 transcript:CRE00256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtm-5 description:CRE-MTM-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LE44] MRDPDKVKSGPICDTVAVIVLEESEDENALPDVLHEVQSPHTSDTIPTSSIKKFVRPRGWYNQSETSPSEFFYQILTTEKGARRIAYVLSTWEEDETTKNFKAVSIVLISQNFHPKAFKEILLEISNDLRTPEFSSSSELIRFLTDELVEEGSTIEIRTKTLHVELGFELSPVSPVTGKDVAMLFKMLGFRNVVKVIHALLSDCRIVLASSSLMRLSRCQNAILSLLYPFVYVHSCVTILPDSLAEVLESPTPFLIGVLTEFVTSFGDENIVVYLDNGEVHIPDHTEIFKADDYYYESLHQRLRDVLFTTTSQEDLAVPSEERLDVDEFILDKKLRACFILYFAELLYGYQYYILYTRIKGNFEKKLTTSLTFHVGAFRGFRKLTDMMSSSLLKSVYFQTFILSRALPRRKHDLFDEISCLKELDQLIYKQKSNSYESRKIIEQISCELIQKERYMEKCSVRKQEVFTKIYWTTGKELAPNNNSIIHTVKPKMRSNVILQAMLPVVNTHVEYHANQFEAYAHRIEALRNCLGDIFESKVSFASKSLDAVKSSMRFAPLRIELCRLLNQKSSALAVLSDKQFDDIALLMNAALQAECEEDKDGVVRSLMYLSSVYSRKLTQGVQQYMYTALQEHKVWKNQRFWTSCFYYEVHEMLFSEMLKQDRKITESLWCHTLRPCAMEMIDTDDTDQEELVRQENEMIQAQAKHFANLIICLLIPLTDEFFDNEENHTAVQTEKSKWIAYTLDSILGVTGRINGLPLSRIQNYVEAHVESLRDVYLELSHGDHLKKDNFDPILVCPSEFLLSDPIDCYLLTNTEESTMSLNRLENLLPASGSLFLTNYRVIFKGKSVDINVTNGTIVQTLPLYSVESFKKLTSKKMIPSQLIEKGVKIEHIIAIRSSCAATIIVAFDEDEINNLAIEKFLEVIESSSRNSFAFYNIRKDKTITDTSSHKFSTLNSAIRGFTKKKTDPRRIRSHSSHRGSVHIQFDKIEDFDHLKKNAYIRYAVIDYPRLGLNSKNVKLRMSAANLDYNICPSYPGNFIVPSETNESEIAKVAKVFYSIMFNIVKILFKGFVDHRLPVVVWMHGNGSLLIRASAFTSTDMVKKLKKVVNYRRNAPKLTGTMTGSQQTLHSKASSNEESCSNVVAGAEIKSAEVQLNYFAKLANSSQKVVSFALPTQYAEKNSVFNDGCTLTHHNGYSSRTANTETVTITANGFPVSRFHRKALYVLLEKGHAVKIPTDSNAEAIMVRSVKESELRRSLQKARQIYSKEFPKDAKISNLEAWNLSNWPQSVSRMIELSNAIVALMSLYNSSVAICLEAGRSITTILSSLAQLLSDPYYRTFAGFRVLIEKEWLSFGHYFHKDAEMCSPSFLCFLDCVHQVMQQYPSAFEFSDFYLNFMAFHSAAGYFRTFVDDCEEKRLQSDANEFYLPDDLTTISIWEYIKLRIRVSTSFLNEMYEPFGDVLVPSSSLSQIRMWSFLTETHLRFGSPYDIESSYHERKLVDAECEEEDWSNLDTTEDLDKSVSFTKPPETDSTKMNAIKALQKSFLVELFDASEKKTTSNGEANGKETVHELAPFAVGARPVQCCYCANILTRWSKAVHCKKCRIHIHEGCVNRNITIGNIVHTWEAKPLENIKMPSAAIQISTPTAEKVAYSPSNTLTRESMSPPISNNIPPLCTGYLSKRGAKLKLWVPRFFVLYRDTPKVYYYEDLDNWKNSEKPSGLIDLVEYKNCHLEQNGRRAFMELHMKNRTYRLLSENMNEAVRWKECIEQVIRD >CRE00257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2462813:2463641:1 gene:WBGene00051715 transcript:CRE00257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00257 MERFKNFNIPNAKLSKEELEKRQKFCQEEISRLMSEECPKTTDWVYVVYLKINDDYNIWRNKLEELIARPEQEKRNTVFATYKLWLENYSKSKALPESVKELLEIEEQVKLHVKTRDQNEKTRRRSSRIAAPNVSTLLEQAGSTSASAHQEVNQSLEHSQGSSSGTSSVQKKRGLPKGEGAKDGAAQRKQKK >CRE00749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2464513:2466527:-1 gene:WBGene00051716 transcript:CRE00749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00749 MYGPYPYPPEVAEMIQTGGYPFAMMNRGMPPPPQWNGYPVIHPYELHQMLRQFQQMGMGQYQHDSPPQLRKLFIGGLSHETTDEQLGNYFSQWGPVVDAIVIRDPTTKHSRGFGFVTFASIFSAESAMNDRPHKLGGKTVDSKRAIPREQMSSMIPPPFFETDPAPGCKLLLSGITSGIHSVDSLRVYFETFGTLDQVEILGQPRGLGFVIYEDKESADRCLSHNAGRHIVNERKIEVRVFTKLPNGSTYWKRPQAQHSPRELYEQLSNLNLKNGDRKSTGNSSSAADTPQNFDEDSNYGGTTTEDDCNVFDHEEGSSEESSTEQAVVDENAEQSD >CRE00752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2480172:2484527:-1 gene:WBGene00051717 transcript:CRE00752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00752 MEMLNQSISTPAQQQNNINGTDMISIGSYIKQQQRQQPSQMSGPHQRPSNGSNNFEQHAMIPATTGGDPANRVFNDQKSSINGHLHQNNSLQMPHQSMMEVQKNNQQSNAMMPYKVVNAGNSGRLPPLTLNSNNYSKNISVRLNNGAKDHNQSTFLNASIQSQPQMNHCEYNQTTITHNHVSSSLPMNEQLFDQQSGNSQQNMEASIRMNTPLPPHQHVDQQTQHVTYHEQNTHIQQQPDQTRYIRIADQNPNVSTPSMPMNQSQFQPALQTGNQMISSFQVNQTQEQVPHLSHMDQPPPTQHSMNSVGVPQSQPQQRLGQTGCNSNHLTVQNQSPANVPSNMSISSISSTSSGFSNNSAHKNSTNPMMSPTSIPMNANSTTQLLMAITNNSPITSEQLQEIQNMTSTAPDGTEVRTTGSIHNNFVLKMLLMQEPRLKQYGSLKDMSTTDLRLECKKRKLTSTGTKMRLCERMALYENEILDERNHQLMQEFTHRQQMYEAQSATLKAFQAKKALLQVQNSQTAGSVSNGGSTSGTAQSSSENSTLSHAMNNHNKTSEGPPAKKKRVSRPRKPAQPKVQKNAVKPQLIIPNASNQMSNLAPVSNDNNTPTDIDVLLGRSNSQPSMNFGNVGNNQNHQSGQNSAPVFGNGTSQTPGSTGFFMKDNSCFSHLGSGCRLISNSNDESQNSYGGTARAFQATVPPPPENAQIQLQQQNEQQMYNGPKQTVQQRMDSQPQQQQQQQSVAQSRPINLNQRNLQLNWGFKTNVATISEQMISNPNQAMYTISTPTTMQQQQQQQQQQHMVHPNQTIYMNSTLAQQNGYAGMYDYPGPPEHFYPHIWDTWNQFYPY >CRE00258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2490032:2491432:1 gene:WBGene00051718 transcript:CRE00258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zig-6 description:CRE-ZIG-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LE50] MAKILALLCLVLVSVGTIALAEENITISISPNANPVQKPIGHQISLVCSVKGDFQEKPGMIWKKHGGLDRTGNVEIKKLDDRTLGLIIRNSSVDDSGVYYCNAQVGSKVYMNKMDVIVFEDIVFRDKQLHFGQVLATASVNISCEVSAKKGSVITYWTRHGKQILEGGKHKFYNGGSILEIQNYQPEQDAGQYTCEVFHVSSGSSNTKTVTLGTAGEKNYVACQQMCNSFCTDVHTKIFTNN >CRE00754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2494373:2495799:-1 gene:WBGene00051719 transcript:CRE00754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00754 MSKEEKSERELQPTHGVVDYASDYRRVVKNESDVIGIFAQLPISEEKREELTKCLQMFSKSFAATWKACNCLVYMEIIESVRADPSKTISVLFDKTSKLMVEDQYDLVSAESSDLLQVANLFIEKYREGADEDALFKSGFGYLSCKLQLVKIKEENNPSILNVAACLAELEEKIIEIYDTLSEMYDFSTVQF >CRE00755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2496430:2497599:-1 gene:WBGene00051720 transcript:CRE00755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-48 description:CRE-SRU-48 protein [Source:UniProtKB/TrEMBL;Acc:E3LE53] MKTELFQSVHNKAEYINFEYTLNWQTLYFGLFLSAIPYQAFTLARMCYIYCKSKSKATLHLVPFTSLMMMGYSNLFFCILDNIVFRLPSTAIFTSLCASSDPNKFLIILYILQLNSTYLSLGSSFLFCVTRCIIHFIPLSYKKVSKIAAWTLLFGFVIIICFGIYASSFMFGGIGYCRQWDHPFSFGAVYISYAETDQRHDPFFVPVTITLSLFIVLVNIGVICQNKKMAKTTNAGRRVYTDSAGKSLYYTTLAMIIPYLFHGCLTVITSSLKLFLKIYLQVVSVYYPATSAYVILIRSAVTDVFQCSILALYNKHTMFQTKKTSPVAIIPLSNLS >CRE00259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2498802:2500162:1 gene:WBGene00051721 transcript:CRE00259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00259 MSYQLERTYGLKAEHWYRPETNLSSLAQQHFLALTPDNQTQQFLDNSNQIASNYFWQTVRNIGVMILSAMYTKTDINGMTGFGNMFLFSEHQFAKFLSVERSSWNATSKKVLDLGAGNGDITEHMRPFFGDIYATELSPKMRKRLSSKGFIVLDALEWANTDIKFDLITAFNLLDRHYSPKKLLQDLWNVSHRSNCHVIVSLVLPVSHYVEFNPNGKSTRPDNYLNVQGRTYADHVHHMIVNVFKPANFEVVRWTRLPYLCEGDMNNSAYYLPDAVFLLKPIAPAADAIPTSEKTTTPEPSTARTDL >CRE00756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2501184:2503380:-1 gene:WBGene00051722 transcript:CRE00756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00756 MDKVDPNEPTFPCPICGRRFIKSSLEKHESACKKLASLHRKPFDSGKQRATGSDLTYAAIKKAQNEKAKNGGVFPRPQTNWRERHGHFIDAVSSSKRVDYALKTGAPLPPPPKTAVPSDYVQCEYCSRNFNSAAAERHIPFCREQATRKQGGSLKSSAGNRALTSNNYRALPSKHEGRKQESSSRNGSAERKTTTRGRDGSLSRARRDDSNEITNRRKSLETRSQLTTGQATNRNTSLSAGMRPSLPPMTPTSKRSSSAKRDAPQPQTSTPQQRLKTPAPRTTTTSSRSGSRTSSRTRTRDESRESRLSQAKSNSRNNSRSRIF >CRE00260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2506252:2507955:1 gene:WBGene00051723 transcript:CRE00260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00260 MNLLTSISILLLTVISTSAQYCTAVCMTKFHKSIAEITGIGSSETTTMLAPFHKIIASTEDNRSAHKQIRSLCTRVKSWETCVRSCSRDSARAILLSSMDQWKKFCALMRKPTRASNEYLNCERDHQQQVSKHCDVYMPTTFTITVFCKSLEKYRDCSDRYMHQCSDEAFIVKKAIDDAIQNSFSKVLKFATNRIRLPQRCNMYHRSHHYNKQRGEINHKPIITSTISAVTSAAITTSPAISDVFAPEIEMSKIEKAENPCDCEVKHCPQKCLSTLVSGTTTALATTTTPTTTTISTSSASNNSSILPENSKESQLLVNSYPLIFQFSMIIFLITNLIVL >CRE00757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2508152:2510494:-1 gene:WBGene00051724 transcript:CRE00757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mans-3 description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:E3LE57] MRLSRDDPGLPLYHTDTARGYTFRRIRTRARHWLSSRSIGVKVCIAFTLLFALFVLVKISSGGRSSTQESYISNQEEIIINEQVIFAKNERVDKAEKKHDDFNEDHVDLERLPDSDEKHQDDKIELAEKHEDVVKFTGKKLQIKKTSLFNYSGPTNDRQRAVVKAFQHSWLGYKKYAWGHDTLKPVSKSYNDWFDTGLTIVDGLDTAIVMGLEEEAAEATDWIQNKLTFEKDRMVNFFECTIRVLGGMMSAFYLTGNRMFLEKSVDLGDRLLSAFKSPSPIPYSDVNLLKRTATNPQWGADSSLSEVTTVQLEYRALSRASGNSTYEDLSFNVSKHIHKIGCETHEGLCGMFINANTGNFKTEGATITFGARSDSYYEYLFKQWIQTGKTIDWLKEDYGKAMTAMEKYLYRNSEPKKMYFIGELLSGETYSPKMDHLVCFIAGTLSQGSKNGFPQKHMDMAEKIGETCHNMYDNPTGLGPEIAYFNMIPGKEDLYVKPLDAHCLLRPEAIEGWFYLYRFTGDKKYQEWGWAAFQAIEKYARIPTGGYSSVVNVKKIDVKYRDSMESFLLGETFKYLYLLLGDDQTVLPLDKWIFTTEGHPLPIYDH >CRE00758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2512389:2512907:-1 gene:WBGene00051725 transcript:CRE00758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00758 MEVFIRCYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTFIPSVKSLRRHPFYLRCNIKNSNKSSSQFLSNRTLNCWNSLPVSSFPVKSSSRSFKTNLKHVDLSKYLTLSPLNY >CRE00759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2513765:2514183:-1 gene:WBGene00051726 transcript:CRE00759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00759 MSSSMSGLVLILIVAVAHAALFIDDDNKMVAKTNNQFGPYVAEIDKLKRSFGRNCMFTISGCFTSSQAERYRKYMENVRFIPK >CRE00261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2517352:2519837:1 gene:WBGene00051727 transcript:CRE00261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00261 MGTISAIHHIEFIVSNALQSAYWYCSSFGFEKFAAKNTEVSTSYALRNGKARVIITSYNAQNMYTDQLIKHGDFIKDVAFRVDNLDGVLQNLMENDIKVIQQGEINTKEGLVRTATLLADGSDVTHTLYELGSFEGDFLPYFTPTSNFELFDRIERMPAILMDHVVQNYPIGEMEAAADWYYKTMKLKRFWSVDDKVATSEYSAMSAWLLVNEDHTVQMTLAEGVKGRKGKSQIEEFINFHGGSGVQHFALLVDDIIAAVQLMKRRSVEFLTIPDEYYNILEDRLSKTNLNVKEDLEMIRKLNILMDFDENGYLLQIFSKPMQDRPTLFIEIIQRANFKGFGAGNFKALFDAVEREQEKRGTLF >CRE00262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2521801:2522975:1 gene:WBGene00051728 transcript:CRE00262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00262 description:CRE-ELL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LE61] MDESFSNGNGSHRGFSKKARETLLEKNEIRVMPKSSSEFDIRKKLNSMASRKKDSNDSSPKSQCSVSSIPEHPTFDTIGERPNVKKHIKLGDLGKTRSCVELKTNDKRLLRTFQEDNIIKMPSMDSDIGNEHDAGLADLCLSFEGLGKSLIYSQSDDNNDTDVKKKNKK >CRE00263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2523652:2525182:1 gene:WBGene00051729 transcript:CRE00263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-8 description:CRE-DPY-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LE62] MRDNFYDAAVASIVVSTFALVVAVFSVPMLFHKSDNVLQEISMLSDGIKAKSDMVWNELMEIRQGDAVPGIQYFSRKARSAWMGGAFCKGCFMLSCPTGPPGPPGAPGPDGQPGDAGRQGRSGDDGFDIQPESEPELPCVICPAGPPGPRGAQGERGMTGNPGMTGMQGTIGMEGVDGGPGLPGPPGPKGEKGPLGPYGPPGDTVIAGVGIKGPVGPPGPQGMKGPPGPGGKGSNQPGQPGQPGEIGGIGKTGKMGGYGMEGPAGPPGDPGMPASYCPSDCGVQPILTEMFPTPFTPVSGYSNMQRPAAPARTHNQPEYANEGSAPSHLPVAGSAAAAAAAAADSAGYAPAQQQHRKSHRHRPSHHQQQPYGDEQNTAADASRSVQAPEYGDGAAPSHLPRHKEHRLPNPASQNYADPERGTDTAAADAYANRMHDFQDFERKWRKKLLQRRLRMMKRQ >CRE00760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2525256:2525523:-1 gene:WBGene00051730 transcript:CRE00760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00760 MENDRRKSRSCGEPPNKKLCPQPSGKPSKFFIPFNGTTKPKENTRPPKRTAPQDNLSAQVYMVHPYKLY >CRE00761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2526164:2535268:-1 gene:WBGene00051731 transcript:CRE00761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00761 MMSSKIIRPEVAFNDQTDTGKGRLIIIKRTEKPGLLNLAAMFQAGADMSDTSGDEDEVVPARVGQAVGRTNESEVDNEEGNNFFRFQRAAPVYPKHMINHNLDGTTAGMRRTTSSIARISMAIRRSLRLPRRSEARMAAREEQILIETVPEGPLFTENTRDTRIGESHVPNLLQEIIDNKRLNRYDKVKKLIRRTDWPVCHEIRGNLWKELCNTKDWSSSKRLYYDEAIEYDRINQGKKQSPQILADEGGVLNNFDLKEVGSVKLIRLLLIIERLRPEIVYAPTIYPLCSLLLHFNDDDADCFACINYLLNTKGFIMTAPVQWAASSHTILALVKKHKSAAYVLLKRRLGTTDDSVLVKCIEDWLLWLFQYLPLPYVCRIVDCYFAEGHKFLIRSAISIVYIWSKVNKRGMEDFSGKSIQEKIDCIKKEFMDVATNISVSTSTFIATAVKIRNLQSATIAKLQSQYEDELRDEVIQRPRAVKRVRRTIFCEAFKSCLVDNDTAIELMSYMPERLQLVTPTLAYQLSQDGTSFYNFWNKIDRLDQTIIIIKSTTGAIFGAYCSSTWAERHDRKERTRSKYWGTGESYVFRMNKDMELPEIYQWVGNSPDVTSSDCPQYFMSATDKSFVIGSGGSDAIRIDEELTHGMTGPSNTFNSPKLCDEGAFDIYELEVFHVTSSD >CRE00264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2550909:2555087:1 gene:WBGene00051732 transcript:CRE00264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apl-1 description:CRE-APL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LE65] MTVGKLLIGLLIPILIATVFAEETSAGSKRHEKFVPMVAFSCGYRNKYMTEEGTWKTDEERYATCFSGKLDILKYCRKAYPSMNITNIVEYSHEVSVSDWCREEGTPCKWTHSVRPYHCIDGEFHSEALQVPHDCQFSHVNSRDQCNDYQHWKDEAGKQCATKKSKDSKAMIVRSFAVLEPCALDMFTGVEFVCCPNDQTNKTSGHKADEDDDDDDDDDAYEDDYSEESEEKEEEEPTAQDPYFKIANWTNEHEDFKKAETRMDEKHRKKVEKVMKEWGDLETRYNEQKAKDPKGAEKFKTQMNARFQKTVSSLEEEHKRMRKEIEAVHEERVQAMLNEKKRDATHDYRQALATHVSKPNKHSVLQALKGYIRAEEKDRMHTLNRYRHLQKVDSKEAESYKPTVLHRLRYIDLRINGTLAMLRDFPDLESHVRPIAVAYWKDYREEISPEVDIDEAEISAIIPDFHDEEFSKNAKLDVKAPTTTAKPKETDNAKVLPTEAPSSSDSEEEADEYYEDEDDEQIKKTPDMKKKKVKVVDIKPKEIKQSKQPLEPKEIKVTIEQEKKSPKLVETSVQTEDEEDDEDSSSSSSSESGEDEDKNIKELRVDIEPIIDEPASFYRHDKLIQNAEVERSKSTIFQPYVLASAMFITAICIIAFAITNARRRRAMRGFIEVDVYTPEERHVAGMQVNGYENPTYSFFDSKA >CRE00762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2555938:2557980:-1 gene:WBGene00051733 transcript:CRE00762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00762 MSMYGKDKAFIENEKKFRPSRDYLSSPIYNETVYRPPPPLITQSKEVEVIETEQVECTPNMWGHPMGLLRWFQLLMFFVLQWLVQITCGGDACTMIMNVFGYTAMGQLFVLVIFLGLSIFCGIILLAFVLNAHRWIPSIIISLEKVYAILGIIFMFIAGILGTWMAILANDREVNYQGRGRGHIQGQWIAAAVLEFLMVIVYVFDFILQRREVWIRPKNLGTGFDAFNNDEY >CRE00265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2559751:2560581:1 gene:WBGene00051734 transcript:CRE00265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00265 MSEDDSPKRMPVRVRSTFELANPIRELPPDPDAVAISPNTRREREKAMDDLLKNAKCEEGTSMDSIATYIPSKATLKRREEEAARAQAAAEQADGKAISARKQTKIAELEAKIVNLKRDSFDENMIEGKAIEKLSITRPTSVFAQGEDGSQPSAPAGPPLQNRASLSSSDSITIVSSPTIGALVAAPPAMGALMGAPPGVGAMVVAALQPAQGSLMSSGDVDDISLSEDFQIVHDEFKQAEAVDKSTKKRH >CRE00763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2560769:2565918:-1 gene:WBGene00051735 transcript:CRE00763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vit-2 description:CRE-VIT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LE68] MHQKQSNQGSAMRSIIIASLVALALASSPAFERNFEPKTDYHYKLDGLILSGLPTESSESAQSRISARVRIQSADDRTIALQLIKIRMAASHLPESEQMPAMNSLEQRELSDEYKQMLELPVRAQLRNGLISEIQFDKEDAEWSKNMKRAVLNMISFNPVAPRNEMERLESYDDKESSEENRSFFTNEKTLEGDCQVAYTVVREQKKTIITKSINFDKCTERSETAYGLRFSSECPECEKDTEVIRPQTVYTYVLENEQLQESEVRSLYTVNVNGQEVLKTETRSKLALEENHSIKNHIKKVNGEKEDIIYSSRWEQLVEDFYKNGDKAEFSPFEKFPLDKKIQLIKTITEQVQEVENNMPETAHFLARLVRIFRTTSTSQLKEIHETLYVKADKKIQSMIEHALAIAGTRNTIQHLLVHIENEDITPLEAVKLLKSIQETPFASQSIAESLLKFAESRVAKTNQMVRQSVWLATGSVVRGIVDFKNIRPLVREDKREMKEKFFRVFMKQFQNAETIYEKILALKTIGNAGLDISVNELNEIIVDKHQPLPVRKEAIDALRLLKDSMPRKIQKVLLPIYKNRQFEPEIRMLALWRMMHTRPEEPLLVQVVSQMEKESNQQVAALTYQMIRQFAQSTNPCNQRVANECSKVLSFTRYQPQEQMIASAYAQLPLFVENSNSGAQFDFAAVFEKSSVLPKDLHASLDAVFGGNWNKYFAQIGFSQQYMDQYLYKALQKLESLEKESTTVVRGRRIQTGIKLLKELAQKMNIRARPTTRDEKDAFAMVYLRYKDMDYTILPIDSQIIDDAIEQFIRNGQIEFSEIRRMLNKDYEFEAHHASYFYEVIRKFPTTLGLPMTVSGKIPTVASAEGQVSLELEGTELRWTVEARPSVAATHVYEMRMFTPLFEQGVKTLQSVRAYTPIKIQAVAGLKKNFEIVYKVIVPENQKSIVSVSTRPVVFLRHPGFSKYEYIEAEERTVVVPQWQQKTQEIEKVHNFLGLEISTRGNILRQHTVENWLLAEQDFEVSVENKNRPAEFVARLTVSPLEKAELSHIKANEMFEKEFELEQEKSENRREYFSKMVKNIQKEQGYKHTITLKLEAPRDYNMNSELTTVCDKQVRMCQWNMDVSRSPIFEETKEWTLRSQLLAIRPEMPSSLRQLREQPHREVQLSLTSTWGSQKKNEITINAQLQQSKEQKKYERNMERQSNGLPEYELLIKAARLNQVNVVAEYKLTKENEQVAARYFDLVKAYNYWTVSSRPEDNEENRVVLQVTVEPLSRQYVNVTVQTPEQRVELKNSQIPRVHLPSIAQRSGKYQLTEASGSECKVQKNQIRTFDDVLYKTPLTTCYSLIAKDCSEEPTFAVLSKKVEKNSDEMIVKVVRGEQEIVAQLQNEEIRVKVDGKKIQSEDYSEYQIEQLGESAIVIELPEGEVRFDGYTIKTQLPSYSRQDQLCGLCGNNDDESTNEFMTADNTETEDMEEFHRSYLLKNEECETEEERLTEKKNYRKYERDEEESDESDESYENEPEYTKKSQKSEKKNQIVEKTQIKEFSHRICFSIEPVAECRRGYEAEQQQQRKIRFTCLPRHNRDASRLMKESRQQPLELNDYPVTFVEAVKVPTACVAY >CRE00266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2569242:2572764:1 gene:WBGene00051736 transcript:CRE00266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acn-1 description:CRE-ACN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LE69] MKFNILLLLLVGACLPSFSQEIKPKPELLPADEAPKDPEVAFSEGEPFELTDALDAPKNGSVPVPEPEPKPEPEPEPSPKSEPEPSPTPEPEPAIKFDNIESEDYGDVAEAVVTQPDELNTEVIEKLVDTFLNTGSIAPNKTNKGPVFQNPAAQALVNSSDYWKTDNLQASGSIKDEEKLRSWLAGYEAEAIKVLREVALSGWRYFNDASPTLKLALDEAENVLTMFVRSTSMQSKQFDMTSVTDERLKRQLGYVSFEGMSALAPSRLAEFSQAQAVLNRDNKDSTICDKDVPPPCALQKIDMDSIFRNEKDASRLQHLWVSYVTTIAKSKPAYNNIIAVSNDGAKLNGFSDGGAMWRSAFDMSSKNHKAEFDLNKQIDKIYSTIQPFYQLLHAYMRRQLAGIYSNPAGLSKDGPIPAHLFGSLDGGDWSAHYEQTKPYEEESETPEAMLAAFTSQNYTSKKMFVTAYRYFKSAGFPQLPKSFWTSSIFARVWSKDMICHPAAALDMRAPNDFRIKSCSQLGEPDFERAHSLLVQTYYQYLYKDQSLLFREPASPVITDAIADAFAFLSTNPHYLYSQKLVPSEHLDIQNSVIINKLYQESLQSFTKLPFTIAADNWRYELFEGKVPKNKLNDRWWEIRNKYEGTRSPQPYNTSNLDALIHNSISQVHSPATRSLISYVLKFQILKALCPEGTILSEGCILSEDTTEKLRETMKLGSSITWLKALEMITGKGELDAQPLLEYYEPLINWLRNTNEIDQVVNGWDGEGTPFTVEEIPKTRQPGDGGNGLPSEDRVALPGAECVNGQECLLDSHCNGTICVCNEGLYTLEIGNTYNCVPGNPFDRGFGDGNGGLLIGLSNNDVSTPEPAAEPEPTTVTTSTKMPPRVRASASILSLFVTILPVFYFLL >CRE00764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2573306:2576274:-1 gene:WBGene00051737 transcript:CRE00764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pnk-4 description:CRE-PNK-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LE70] MNRPSLIPFHSSALHSAMEAAQRKIDFRRLVRDAPNINHLCFDACSKTVKIVYSSKVPLSHTTLKCKRLNSGKSEERIYCIQVQYPDFPLILKYLGEHCPKIKGTTIDFVVTASQNAEIEGEISKALDVTLRHVDAFSGLVKGTCFLLRNIEAESFTYSFDESQKYEFQTLNTESMYPYLLINIRTGVSVVLVEGENNFKRLGGSSIGGGCFLGLTEIITGVTDIEEMMERALQGNPDEFDIFIRDIYGDRAANFNMDPHLLASSFGRVTRGENIPRAGELDEQSKNNAILSLLRMILYNVSQLAFLLSDISNVKRVFFNGFLVRNRPIVMKTLSFACNYWSGGKLRAYFLRHENYTTGLGAFLENFPNADMYWKEYCSGSTALGRFVPVEPLETGFKTQTFALKCARFKAAPFCLLVDKDGKPDTIDFNNDDEARNFWIEILDKTINDMTKFAIASQKNCEDQDDVANRAKDFENDFVKTMDTIKEHHVAYGNSNARNLLEVREQILQEKGFDDIYVQKKHEENCTAIAELPHVLATIDKLKETSDDKTVLEYVSRGLLAGNVFDWGAKEVVKMMNSESGLSFQTAIDHVENRPWLFDGFDSFYEKHKDYKSVLIFVDNSGFDYILGIIPFARELLRNGSKVVICANSSPALNDLTYREMVALANEFREIDGDLTKFLENGQMMFVQSGQESPCLDARRVHEDLNEIVQKYKTDLVVIEGMGRALHTNFNVQFKCDSLKAAVIKTQWLADRLGGKMFSVVFKHELGVQQ >CRE00267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2581248:2583296:1 gene:WBGene00051738 transcript:CRE00267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ets-5 description:CRE-ETS-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LE71] MYSMTPDYYYHDSREVYYDIPDFIPNDNYNNVCQPASSTQWDTPLTNNPDTSRLTDGCMQYASALSRIPSANSNSGITKGPMAIAFSATGTGQIQLWQFLLELLADAVNAHCIAWEGSNGEFKLVDPDEVARKWGERKSKPNMNYDKLSRALRYYYDKNIMTKVQGKRYAYKFDFQGLAQACQNAILTNGGNPNGDLSSAVQSLSPYTNQILPLGVTSRLSTSMSSYPSILSASSSTSANQILPPSVYHYTMFHSHINFSVGFIHLLVYTTGIIILYRNAIIVLKTVRQSFHYFDYQHLILDITYSIWFFQKKKAMFMNFVNE >CRE00268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2584591:2586996:1 gene:WBGene00051739 transcript:CRE00268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cal-5 description:CRE-CAL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LE72] MGACCSSKKKNQGEVGEIREDDLKGIFREFDLNGDGFIQREELRAVMQKMGQSPTEDELDAMFEAADKDHDGNIDFQEFLVIAKANPLSLSLKAVFEELDVDGDGYITRSELRTAFQRMGHSLSDQDIKAIYRHVDQNNDGKINFQEFCEMMTRRK >CRE00765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2587349:2589244:-1 gene:WBGene00051740 transcript:CRE00765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00765 MDWHLMEAVADTTTMSERKTTSAQTIVIISSLILLIVALGLQAGGVMSPSWQVVDIREFRSTHHHGLWLDCVRPQLHLLKNQDKDDLPLHCTYKFDMSATEIIDENIEDIDQNSAAGESEHHQFFGWHKFTLGFMLCAIILAAFSLLCGICAPCSSGFAVLYAILVAFTAFTATCGDAIFFFAAHRVDSRFVQGLVGTYEQNIGMAFYLHVAGTTISCLALIMAAISAYSLLQNNESDRHLPMREMAPLHEPRFARV >CRE00766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2592631:2593979:-1 gene:WBGene00051741 transcript:CRE00766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00766 MSSQRMPEMTQQLKLSGGSKLFQDAVTVQINMKEATPDADDKVNKKYTANKHRFEASRHTASMLLEAEDMSAKEGDPASKMEVKITINMKQLEKHHREIESYISPFTPSPNSIFLRIPPRPEDYARIELARDEVEKLVREVHLQTPHIITEEESREYLQILRKLDVRLSALYPRPPAPGDKEKKSYRMCPCYEPEMEDIEDLVVFYEQVFRGHRFMHRCNLYMAEKQAAEEQGLPIPLPPKIDEIRYSHGQC >CRE00269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2596194:2596968:1 gene:WBGene00051742 transcript:CRE00269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00269 MAEVTIVQVEDLQDDLKEFLLRAQRRSYRHNLKKVKEYVSKMKSERSVFNRVPLDGGAVISIYNARDRTFNLIKRLMKLVMSQEVLVTLRDYLKVCNHLEVRLQSLIYHIAQCPQYDLEIADIQEKLDSMEEIVTKLEMEYKNDENTSDELLETCGVLKFTENAEEEDDQEGDDNDGDDQDDDEFEDAREETQWEK >CRE00767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2597177:2597617:-1 gene:WBGene00051743 transcript:CRE00767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00767 MSIYDRVPLDIDALDRLFDIRDKALDVKKDLTDYTSDDASKMNTRNEGLKSISTVMKMVDYVFNYRFCYVGFETDLVKIQTCLSDAEYYLSFLYR >CRE00270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2599504:2599997:1 gene:WBGene00051744 transcript:CRE00270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00270 MSSSHQEPRPVDVPLSKSSQASIHQLIERCIDSQRRLETAGQNLTDHMLRQRTASLLSDLRSYRRVLVENLTERFEPDIVQESIRIVEKALQFIASSTDEICLIAGKECTQQN >CRE00768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2600998:2601661:-1 gene:WBGene00051745 transcript:CRE00768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00768 MSSRTLSIAVVFIIAALLVSDIEARFKRQTYQYDPYLMSFAHPHPGTKVTQGYLARYAPQDWPKWYSRNMMKWTGESRFSPYDKSDARYPNWHNEFANRRV >CRE00271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2607048:2609231:1 gene:WBGene00051746 transcript:CRE00271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00271 MRLLVILLILANVAYSKFHCQSGLRKVTEIGLIEKCLTKSECSNMPQCSDTVLGGCCCQENKNCLLTRARRHLKTTTPASEDSEEPTASDESPEDATVKTTVKAHKKKSTKSQPTTTTEEPKTTKKVDKKKAESKKAAKTTTTAAPESAETETPSSEENKTTEEESGNVSNEESTTSSSEVSAEEEPKSTEATKEESTEASTEEVKSTESSDEATTSSSETSETTEESEEEETTTKAAKATKAPKSTTTTTEASTSAKKDSKKAHKKDKVTTTPEPSTEESSPETSEEPQSSESTLESEESTEKPKKEDKKDKKDKKEKKNKKEESEENDDSKEDDDSKSTSKSSSKTDEKSTSESDSSSESSSEKSVGGTKKTLFSGPPDSDEDDDDEGAGADEAFFSESKHAATEAPAELSRTTTMAVKSKKMKKAGSGFSFIPLAFGGLLFSALVGGGVYMFNKKKNERAAQELVEGKELTTIAPLLEDHKESAEVDEHPQERKEDDE >CRE00272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2614382:2615496:1 gene:WBGene00051747 transcript:CRE00272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00272 MVDHPDAQEEMMNFNFVKNGNEASALRNELLALMVLLCLLILGVIIYFVFRSMVYIKKEKAQERLPINTFGPINLP >CRE00769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2615923:2618095:-1 gene:WBGene00051748 transcript:CRE00769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00769 MKRPVLLLLYLFVVVYCEDFRSCFKRVSRRSIGNYAPIAEYRRTALTMCTQHCIMAAGNGPEGGSICKAFTYEVEKQLCKLYDNDGHKIPAVIHPIIGIDIFYRTSDMGECAGPMSGQHMNPNFVKLNNGKNSLKNTLDKSSNFKISDLPNPVNDQFMQKTKAMAAPMVKMEDMDEVLRSKLRGEDALVPMSQKDTEERKEFEKTDGPLKCKTSSGYYVVVGNEIVLPISGGDVRVYNEVEQGDCAKYCSENKGPDGSSISCRSLNYFPVERKCELYGILAEPHGSGKLLENEKVIYAEKFCLPESPFVCQNDEIFILHVQKTISKLHQIATKSAGSITDCLRVCLEHDDCRSSVYTSSSKKCYLHNVDVSSGDYARDSDRETVLIENGCRRKGVTPRRKNSPSLSTILDTSSSETSSSGSTGGWSGCDYKINGETVRVRTNDDGDMETEVC >CRE00770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2618560:2620818:-1 gene:WBGene00051749 transcript:CRE00770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00770 MRFSFLLCLANWLVIANASWQCFNVHPSHAVSNSDSIVELFHVTAGECLNYCISQAAQKGDGCVSVVFHRKFNTCQLYGHGGTHNGAEVVYLEEHDFYIRSSWDGQCQDKTTPSRGYKQSIQHLQSQNTANLPQSPSISNLPKFENSDFPKDPNPQVMRESVRKAPVHFENEILQEIERQQMEDEFDMDYMTTTQAPKKKTHPDVITTFRNPANNYKCEKDETLSYFLIYGSRLSTKMLPQRLNGVDQSSCLMYCSQNINAIGENIPCYSLNYEPTNEICEMYGEQERNESDSSVLAIDDEHTFGDKFCIKTKNHCDTETLYPVHLYKKLTKDIIGKVPGLDSKMACLAECIENRECKAVTYKKGMCVLHSVSPSDDESLLQDGHGKTIVIENGCHIANRVKNEDTKIGEENEESASSWQEWSLCQYGVKGRKMRVRQRECDDCDEDLQVEEC >CRE00771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2621462:2624732:-1 gene:WBGene00051750 transcript:CRE00771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00771 MSQENHTNRRPVEPSLTSLPSSDPKSNRTPKPFSLNHSLQSRPSPQNVVKSSACKQSGVTQSKTTRKRQLPPAMPDGNGHTPPFAPPRNRHNLAKLNLSGMRNPEPDLSNEDNMNSLDYVRKYLSNTLVLPPKDEIHEFARDHLQELELLGNGSFGVVRKMLHRPTGVEMAVKKITLINNGPDDAFNKSMQRFRLEVEATKAASNCDEIVRYYGITFHEGVAWMCMELMDLSLDKLYMIAHDILSVDIPEEILGAVAVATIRALEHLKTTHHIIHRDIKPSNILLDRHGCVKLCDFGICGYLQNSVAQSVDVGCRPYMAPERLAPNADGYDIKSEVWSLGITMVEVANGKYPYDGFLDAPILDQVRMVVYGDPPILDPNHDFSMPMKMFIAQCTIKDRFLRASFEDLKKTGIFKDYIRDDHKRIVGQFVFEMYEHRLRLPFANF >CRE00772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2625684:2626640:-1 gene:WBGene00051751 transcript:CRE00772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00772 MQSLSSMLSPLKSSPSSSVSTDQQGNMNFQTPLSITIPPNDYEMAGIRQFANDPCQGDQSNSMWPITYNQQPLLASKDPSMDALATLNAGLGNQYTYQMFDAEQAGHSQQQNVFQNDPRIGYDKIVYASANVLPYKDLYYQCAYGEPKTERMAIRHKLDDDDAGPEAKRQCVGEPPRFGNERSVIVQNTSVIGSSSNTHET >CRE00773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2632008:2632916:-1 gene:WBGene00051752 transcript:CRE00773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00773 MGTPQLLKAYQFLLFLALLIVNVTRPFVSYFFRSVKPIPRICSCTENCVNAVSRVDISRTALIHQFQNYFVLSLQLCFDVLNFAMTFLIIWSAIKSTAKDEKVNVSWMVALKFLNVFVCCFTELAVELDFLFSPGVFVTYNIIAFNFHFVVEFFIMLFVVNYLLKFLEKQRILNILPEVMKPGPQHEWIFLAPPAGQEIVYYDLAPVSQSKSAKVVQPVPKDARSESLRVLDQFVVEMFHWISCFEIFNARHQLKTFRPGMRLEDYQDLI >CRE00774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2633429:2634827:-1 gene:WBGene00051753 transcript:CRE00774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsd-3 description:CRE-HSD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LE86] MKHYVITGGAGLLGSQLISSLQKNGDFTKCVVIDPTPYRPFDTIKLDTSFVEYIQVSSKIDSVSYTYSIQGSFLDETVLNRVLSNCVTVFHLCAIGHTGRFGAQKYKSRAHQFNVLGTIQLIKKCKENGVQRFIYSSSIAVVFTGKPLYSCNEAEPYPKQSEYLDIYSSTKAEAESFILSQSCLDFKTTCLRFRAIYGPQDVSVAEKVVNMVRKNLFMVKISRHDHESISNMSSAENCGQAFHLANQVLAEQNGPHGQAYFITDGETVGQYEVWSPLIRALGKTPPVHSVPYPIVSAFVSISSFFCYEIFHSSPPMTRFELETLVTDNTYSIEKAEKELGYVPGKNHFKKTVDYYRIQPIQSTTHNFGSWFNFDTLLFMILIIFWTIISF >CRE00775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2637563:2639014:-1 gene:WBGene00051754 transcript:CRE00775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsd-2 description:CRE-HSD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LE87] MISAKKLTKKLSKKKQMGHFVIIGGGGYLGANIIHRLQKHGCENKIIVVDPYPRVFQTISINRDNVTFIKKSFLDTSLLDVVLKNAEAVFHLAAVGHTGLIAGNKDYVFDFNVNGTKMLVRKCQEHGVSRFLYASSVAVSFIGQPLNNVSEDEPLPSAEKYLDYYSASKAEAEIFVLSQSTSKFKTTALRFRGIYGPEDPNVTHKVATLIKNGLFVGKVSVHGRESKSNASSGANCGQAFELADKMLQKPDGLHGRAYYIMDEEETGQYQFWTPLVLALGKTPPSRFIPYELMRVVVPHFEHLCYRLLKTAPLLTKFELSILATDNTYDISRARNELGYKPEPSLMSEVAKYYQKLEEQSIVSSFTTWRLIVTSMCILFFSIAVLYLIPVI >CRE00776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2639945:2642127:-1 gene:WBGene00051755 transcript:CRE00776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-30 description:CRE-SET-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LE88] MSSGDAPLEAVGVEKIGSKPKSKEFYPFAYSLIDSTKDHYCWTCLGETVELTCDQCKVARFCSKQCQVFGAFDHKYECGAIQKCADLNTDERMLIRIIGRYKDILDGNDKKIDGFYTNRESGRTVMQIWEHCADMKKDEHAMNVFKKIYDRVKQFGDKNYLVDEEVAFQLHSRNFINRHSISNVDYLREIGKGLYLDLCRYDHSCRPNAIYSCNGTVAKLRALHDNVDLENVETTHYTYIELPPCKIQRRHMLKETWYFECHCERCEDPEDNWLTAVICPVCISKSEFHKTVKLHGPEAYANPQTLEIICDRCNNTLEREYIFLALDGMRTIRKTIESAEDVSTEPVELLKIYQGALMSYERVLPMSNAYFCQLIAAMIPLISRVSMTKKERLVASLDLHFKCEPFVRYVYRYAHPSKAMHFLQMGQLHLELHNDKDAARYLIEAHRIMDYLFGADHFLSKQTKGFMDHALSSLMQFQRSFVNVLEKAPEAKKALEERLLTKEEKKALKDAEKNKKKRNNKKNKKHAETNKKTIDDDLGDLPELVADL >CRE00273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2643212:2645065:1 gene:WBGene00051756 transcript:CRE00273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00273 MNSGHNDSESSAISPNRRTVRMSSSGASESEVETCDENVGLLGSRAKKTKYERPVRAEQTAPLHRPFGSTTDDATVEFGGRNDYHDPYTSDDELLHTGSDAYGSTVGATISLKFNEACRAIHNGHYPQRIAQGSSGSYFVKNMQDEIIAVFKPKNEEPYGSLNPKWLKWIHRVFLPCCFGRSCLPPNQVSDVSVTFVLSWTFHLIGL >CRE00777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2645430:2654136:-1 gene:WBGene00051757 transcript:CRE00777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lfi-1 description:CRE-LFI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LE90] MSSHDDTRSSGGGDGTIHIPSADSKEPTPESPGSRSNYGEFYCPTTDSLKTYSYTIHMKKTEEETHEYEGDSWDEYSRDTSGENNYHHTSSFDDDLLLEIDSSRGAAVARLDRTQDDLNKFRQRIDNNVEQQKEYSEMMAALQNKVHEYRKHIAELEGRMVGARNRMLDDPSSNVMIFDNYDPGNTYINNHNVELWSPARGKRETILGGGGAGLTTVNVHTGAGTGGYGSGVAGYGGGQTMAGDPNANYEMIARLDEERRRSDEYRMQWENERQKSLVLEDENDRLRREFERYANDSKDKEKTFVNRERNLAQYLSDEQRKMLDLWTELQRVRKQFSDLKVQTEEDLDKQKSEFTRALRNVSNMSRNVTFTGGAGDSLGLYGLDDSNDVNRTTNNYEKVLIETVKRMNTGGAGGASSAELLEELRKIRGGGSSEGDAELHKELMTKYEESIERNIELESKGDDAQRKIAELEAELRRNREKLNESQNALKKLHEMAQDSEKNVDGTVSIKRTRSLSPGKTPLPPSEALRAVRNTFRNKDNDIQQLERKLKIAESQVKEFLNKFENADEARRRLDKQFADAKREISNLQKSVDEAERNSRRTDDKLRASEAERVAAEKARKFLEDELAKLQASFQKSSTDDARKLRDEMDEHANSIQEEFKTRIDELNRRIENLLRENNRLKSEVNPLKDKYRDLENEYNSTQRRIDERETQIKYSDDIRRNIQKDLDDLREKYDRVHSDNEKILGELEHAQKAAHLAEQQLKEIKIQRDDYQKQKDENARHLFDIRHKLETEIKGRQDLEKNGVRSNDELDKLRQTISDYESQINLLRRHNDELDTTIKGHQGKLTQLENELHSRSGEIEKLNDLNQRLQKEKQDVLNQKLKLDGDIQALKETIRKLENELEKLRNENKELVGKEVRARDAANQHLSRANLLNKELDDTKQDLKHSTEINKQLEQDIRDLKERLANMGRGGRVSRDSTTTGTDGGAFGDRSSVADSNRQRGAAGSNVYIPATEDIESRGGDEITIPSAGGDVIHGRDGRDGKDATGQRGTNTIVNTKERIERIEKNILDRYHDDELAEHKIREINDRWKRELERLENDKDDLERRIRELEDELSQIGRGNDKTENDITELKRKHAAEIDKLKSDISALHDKHLSDLDDEKEQYGKAVENLKSVEDDLRDKLNNLEKQLADSLNRENELEREKRDYDEKINNLYTQNQKIKDEWDDFRNDADKEIQKWKTDAYTVRSEAKALETTNTALKAQLQAANDRIDHLTKTVNDHTSKVRDLTSQVRRLEDELSDSKANLVQKEMDLESAQNRLRSLEDQYSTLQSDSNKWRGELDAALRENDVLKSNNTNMEADLTRLRNRLKSAEDALKELKAALSHAKTEKERLQNAFREKTKQADHLNQLASQFDTKLTKLRNELQDTNDKVVCLSLITSDTERTALRNELQKLSQELKFGNEQIQRKSDEYQTIIDDLAHSHRVSEDSRLNALQELEARKYEINDLTSRLDSTEQRLTTLQQDYIKADSERDILSDALRRFQSSANRVINFHTFVDGGAGYVDGVPGGTSVIGGGPSAQRSGAYDPASGGVIGTGISGGPGGSDFGREIEIGRGDSDQSDVAYPRSVPFPPSADFSSGRPGATSAGGRVINNLDGTTTVNLNGGFDIANLEGTLQSLLNKIEKLEMERNELRDTLARMKKKTTETHTTINQKETRYRNIEDNLQDTEEEKRALESRLQSAKTLLRSQEEALKQRDEERRQMKSKMVAAELQARGKEAQLRHLNEQLKNLRTDLDNAQTDIRSLRDKEEQWDSSRFQLETKMRESDSDSNKLQLQIASFESERQILTEKIKELDGALRLSDSKVQDMKDDTDKLRRELSKAESVENELRKTIDIQSKTSHEYQLLKDQLLNTQNELNGANTRKQQLENELLNARSEVRDYKQRVHDVNNRVAELQRQLQDANSEKNRVEDRFLSVEKVVNTMRTTETDLRQQLETAKNEKRVALKELEDIKRRLAQLENERRNSSQLADGWKKEKATLMKKIELLENEKRRTDAAIRETALQREAIEKSLNAMERENKELYKNCAQLQQQIAQLEMENGNRILELTNKQREEQERQLLRMRQEKGQIEKVIENRERTHRNRIKQLEDQINILRDQLDGERRRRREYVDRSMVNDIGRLGSNVLGIRSSYGDNNIDAIIHGGSRSVGFYPRSTFASNPLTPPLGTSTPTHRPHITDFRSAVDAGSSYRRPISTIEDSGMEVTFNTYPPEVSKHQQNTPVIRVHRRSVYGGSIRDRDSVYGGGGRDSSFGTRAGDSISRTGVEPRDPSIVEIPSNEPMTTSTHSQGGSRYDTLAPNRDDL >CRE00274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2655857:2656189:1 gene:WBGene00051758 transcript:CRE00274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00274 MEKKGRLEARTTDDIGPSPTATPSLEWRALWWCKPSIWEGAPSVVVDNNKRAMEIRRRGRRAPARTRSSVKPKEVFLLPHWTLFLILGNCLMMTAGSLKCCARIDESCLS >CRE00275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2656888:2664311:1 gene:WBGene00051759 transcript:CRE00275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00275 MPFFSSASILHVCFLVFSVLCLSLGYLSEVGASLVDEKLKLGIVPPTGVVELAAPTFYYDRIDRAKARTKERIQSRYPNIGRRFHRIGLPEKTGSFQLFVKGYQDAAHWLRTWVNQPETAPPPVTQREFQFLFEKLVALDYIIRNTDRGSDNWLIKYILADVIDRAPVHNNDIPCDPANAKPQVPGDEKLIDLVDSEMIPDAPLGSLHIDDPAPAEVEWADVSIPTVDVAAIDNGLAFPFKHPDEWRAYPFGWALLPQARIPFSDDIVDLLLPKLDDTKWVRELCEDLRRVFKNDKGFDKKIFEKQMSVMRGQIFNLREALMKKKSPYQLIQMPPQLMVEVKQKKKKSRRSRNAEYEEAPNYDSDASAVHSASGNVEPEQPGPSTSTAGPSTSSEAGASSIGSKSWQETYEQKVQTKLPFFRWW >CRE00276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2666494:2667847:1 gene:WBGene00051760 transcript:CRE00276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-calu-1 description:CRE-CALU-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LE93] MKVLLIVGLLAVSALASHHSSDPTKDGEHFKGKEHDKKYDHEQFLGKDTAAEFDELTPEKSKEKLAKLVPKMDADSDGFIEENELKDHINFMQKRYVNNDVDRTWKNYKAEKIVDGKIKWEDYREMVYGSADGAGQELSPEYAKMIARDEKRWAVADYDSNGALDRTEYGCFMHPEDCDHMRDIVVAETVDDIDKNKDGTVDLDEYIGDMYRPDDYPELNGKEPDWVQSEREMFKEHRDKDGDGKLNQEEMRDWIMPVGFDHAEAEARHLVGIADDNKDGKLTLDEIVAHYDTFVGSQATDYGEQLQKHDPAEL >CRE00277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2668075:2671034:1 gene:WBGene00051762 transcript:CRE00277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00277 MHSPFIRLLFLFLIIDRLAEAFDVPCAQKLIGSDCLFDEECYGMNSVCRNSRCTCPTNFEEYDIDERTTVCRLAPAKIGDSCQRDCKPPLLCRDGKCECWGGSIVDGKCVVPCPAGQQLYGVECTRVAHYMQPCEKDSQCVDPFNSCIAGTCQCAPGTTRDTVRGFCYAVCPDGMHPRQTCRRLFINDIDMLENAANTDSCPLGYRCVTYGSPYVGHCCRLRCPYGEPDLSQSCDAGASPDSKCRPLTHFCFTVSEPGWKSSLCCPRPCRDPTPLYVNGQCLSIAHRGDPCQIDQQCEGGITMSCSLGSCQCKLGYHENNDERFPTCTKDCTLEEVASNDRCLAKVQLGSRCYSNNQCIPSAECRFGTCQCRCGYKQVKDQLLGVRCSNPDDPLSIGSILDRVGDIFGNKRGK >CRE00778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2671147:2672804:-1 gene:WBGene00051763 transcript:CRE00778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00778 MKHNETNENNHLHFQAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGVVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITVGNERFRCPEALFQPSFLGMESAGIHETSYNSIMKCDIDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF >CRE00780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2678509:2682322:-1 gene:WBGene00051764 transcript:CRE00780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tyra-3 description:CRE-TYRA-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LE97] MAIHWTSESSTRKKEIESIMAWSVFIYIQAALGGSLWTSITSPEGSVSVPTSAVATITSTTIKPQWEPSLPGNTFVDTLGPNSPLSEYLPVKLCLGIHDVFIALFLVMLILLTIFGNILVVLSVVVYKRMRTFTNILLTSLATADLLVGLIVMPMSLLDLLHNHRWPLGRFLCRMWATSDVLLCTASILNLCVISLDRYFAITSPLKYPRTRSRKMAAGLLTGVWTLSFVVCSPPWVVPSWNLFTDNNNNTGSSEDFKCAYSPSVAYRIYSALGSFYLPLLVMLFVYFKIFRVASEREALMRQSVGTCRLSNRLTKTQQKNQRNNLRTASAPHSRTRVQVNHNCGRVNYSVRPMEYANRLENSLKPSTERFDSTDCEDSPPNGDSLEAGTTCNISMSLVTNSPPNGSQREAKNSMERECHSLADIVNSADTPVRKNTEVGIAPSLSKRARQCNARLQPNNLLQKAHEHYQINGPGKAVRGSKEKMVYLRERKALKTIGIVVLGFIICWMPFFIMYLVEVFISDPVAESAVYRITSEFFLWLGYSNSVLNPIIYTMYNGDFRRCFRDLLSFGCVQHHRRTMSVKKLHQQSTIF >CRE00278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2698273:2703843:1 gene:WBGene00051765 transcript:CRE00278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mct-4 description:CRE-PES-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LE98] MPDGNDPNKEQPTSPSKSEKSAKTGEPPFEEEEDQDNGSLLNDEPLTTFSSQFSINMGAQQVEAHLVPHAPDGGYGWVIVFAAFMSNFVVDGISTAFSEFKQSYKERYMSSDALTSLIGSLIIGSYLLVGPIVGGLCNKYEPRYVVILGSLISGVAFLIAPAAPNIYVFMLIYGVLGGIGFGMIYLPAIVVVGFYFESKRAMATGISVAGSGVGTFVMPIICQYAIANFGWEKTLWILAAFNFICVIFGFLYRPLPMIDINEQREQEMEPLRQALSKVSDGEDDEVVESPHVNRSTSAASGANQEEDLSQDPAVQRLRSVLNEIDDGTETPKTDKHRTHAAPRSRKHTMTSNSGSQHDLKSSRGNLAENRLSRVSARSYAQSLSKLSKSGGASNLSIAMSGVDPNEFSRPLNRQDIFYGGSISNLKEFKQEGGNMTNYRASTLSIPKSVVGQAASQMNLSRAGSRIGGPVAEDEEEMIEPFVDDGKRKKTSHHKRSIRFYSRCCKILPLSIRNAFSEMIDLELLKDPIMLILCLSNLLGMMGFYIPFMFLKDLSESMQLDPSQAKFLVPIIGVTNTVGRVFFGWVADKKYLTALTINNLSLVICGFLTLACPLLRTMGGQYFYSFFFGFIISAYICLTSIVLADLMGLEKLTNSFGLLVVARGIAALAGSPFAGLVYDITGSYDAAFYFGGMVILIAGLISCTIPFVLKRRGNAAGVMFQSQDQDNMSGKLSVLTERSEEALTDYQRTIQSLKQQHQLLQDLEEEKRKQKQNGVVEEEEENDEHVCSSENESDKLMFY >CRE00279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2704852:2706850:1 gene:WBGene00051766 transcript:CRE00279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00279 MLPEINVIPSDSLVHERISIVVNGLEFQKLYKIELRLIHKTGTYRSFGVFKASVSGRIDLRRDAPIRGTYCGVNEPGLFESVEPTESVRYGGYFNCTPPVDFEYQLIVTDSQEQLVSKKVFKRRLRHPLVERIEIEETYPSDNDAHNGHEINKIETSSLLDQSRNPKITGTIFKPPGVGPFPTIIDISGTGGGLNEQKGAALASRGFVVLCLAFFKFKNLTDDLLSVDLQYFEDAINYITSLPYTSERIGFQGVSFGGTLVMYLATKFPKIKAVCSINGSFAMDEFSHIRVNGERPPIGIFSPNGGHVRFLNDLMVYTDMVKNITLEDGAEFQFENSTKDTAFRFVSALDDLSVPTVRSTNRLSERLRKLGRIVDVDFVPGGHLLDPPCFPHHPMVYSNIAGLFQTYGGETSLHGKSEFEVWERTVKFFSEHLGAPTPLSDYLRLSAKL >CRE00280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2708855:2711404:1 gene:WBGene00051767 transcript:CRE00280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifa-4 description:CRE-IFA-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LEA0] MSESEYRSSISVRPTFNRTLHSQSTATYGSTISSGTSRTLKITEIGGSTLTSGMSPFGNHAASAIRESRTREKKEMSELNDRLASYIEKVRFLEAQNRKMEKDLDLLRGKWGHDSTSVKVMFETELRTAKELIADSDKERGQLEDQIRKLTEELNNYRNKMHEAERGADVTRKDLDNVLTKLGALEAEIELLKRRISLMDDTIGHLKRENHRMMDNLHHTRNAVEQETLNRIDYQNQVQTLLEECDFIKRIHDSEIHDLLAMASRDTTVENREYFKNELSSAIRDIRSEYDQVHNVHRTDIESWYKLKVQEIHTQASRNSLEQNYAREEVKRLRTTLGDMRGKMADLEGRNLLLEKQIEDLNYQMEEDMRSYEQSLNDKDTSINKLRDESKILMVELQMLIDTKQTLDAEIVIYRKMLDGEENRAGLRQLVEQVVKTTSIHQTKELESLRVLKGETTSHSSYSRSAKGNVVIQEVEPSGKYIVLENISRRDETIGDWKLRRKIAGKREIVFTFPREFTLRAQKNVKIFARGQGIHSPPDSLVYDVEDSFGTGNDVVTSLYNKEGEERASHSQRASHN >CRE00781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2712062:2715591:-1 gene:WBGene00051768 transcript:CRE00781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00781 MLFLLHSFMFTSNVSGMELKINIDGLERSVSGVTENTTCSQIIYALAHATSQRGRFVMVEKYRNVERRLAPNDRPLETLRKWREHAANVTFQMLRVDQNDAICDYMSVMEKKNIMMGATLPKKEDFENFERADVKKLSETMNQQEIADYLVQEEELRQLLKQQKNLRAILKPMISANWPQQYQLELKKSHKLKASLAATKEAISKTNSDIKRVEETERELLKKLTEFKKQDENGGDENSELSETSFDLGCPSTGTSTPQTLIA >CRE00281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2722509:2723923:1 gene:WBGene00051769 transcript:CRE00281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00281 MVIHCASHRSSTASAFLMPDFTNQDIILEDKYEYNDSLDAMIGMGAFGAVFKGHVKNSDNVVAIKRMLKVHVKESELKMIKELHSEYLVGVLDICNFDDFYCCLIMELCDCDLDHHMRNISEKGRLNASNFRNNGKRKKNKEVEFRSECVLFFLEPNFKPEITQLSAFRLLLDNIARGYKALYELKIVHRDIKPQNILITYTDASKQIACARITDFGIARTLDDEGEELCNVAGTFYYMAPEVGANLLKTCQYDSKVDMWSIGCLLYQCVTGEVPFDECSLCKLFLYVAGANFDAYDPPELPVELSQEVSGIIQSLLQLDTTQRCTPTQFYNKAITWSQQIC >CRE00782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2724365:2725681:-1 gene:WBGene00051770 transcript:CRE00782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00782 MPERRVFIIRHGERCDFAFGKSGLWINSFDSRGRYRPLDINLPRTLPKRPDGWQGFHADTPLTEIGYLQSKLTGRALRDNGIEINHVFCSPALRCIQTTIGLLKGMGLDKRLQFSVEPGLYEWMAWAKYAKPCWIPPKDLKKLGYPVQENYVPCYTDKELRMSETMVDYYQRSFSSMNKLLAEYPEGNILIVAHGASLETCTRQLVGGDIRSTDDFYYLLQNTPYLSCVELSSREGLWRLVGSPIPSFSHTYNRTFDPLQLVTKDLASLKREREGKDNMRITQ >CRE00783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2727008:2728108:-1 gene:WBGene00051771 transcript:CRE00783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00783 MKHRGWIFCFLLIIGSVIAKIPREYEEVVNQDNILFTVTEPYMLAYTYQMKHAFMLGTHFPDGANKTLKNLEMVLADPINGCDPLRNEIYAPTVILMERGDCSFTVKAINGEKAGASVVMVTDSQNYEFGFRQYYVNMIPDESLDRAEIPCVYIAPVTGRYFRDHLEEGGTIKLNLPVERNDAPMVHHQKKAPWETWPEEEHLF >CRE00784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2728846:2730576:-1 gene:WBGene00051772 transcript:CRE00784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-23 MLHTPQLSCEENLLECMLELSKKDACYSWGDTIQGKLNLKISDGSIEITSLRILFHGYGKIHCKGKKDELLQENMTYMKKFSNAISHPLVVSQPEYSIAIEETLPDQLPTSVYSSKGYIQYVIQCTMEYKTAAGTPSLVKAVRGITVVESLDLNKISKTWFEPKTEFEQRKFGWFACTGGHIRLHLTFERSAFVCGEAIPFIGKIENKSDRRIEKVSVCLMRNTRFGNDVEEDVENATVDNHLIQEDLMAMYIEEGCVNKIDKKVHIPCTAPSTPLPILFRSGQLDGNKFQLRRKSQLGRLSLTSQKSRQSISSSSNMQRILAITYTFAIKVRSNGMDVIDLSIPVVIGTVPLIDHVNSGDPTDPLDRPVYNLCRQDKPIPLLDEKERSLCNKAQLQHVNKYPFFATLPTSSKQSKKLCVIAQTIKTENRIMNTIRSAAVEEEKY >CRE00282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2739488:2745306:1 gene:WBGene00051773 transcript:CRE00282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-14 description:Tetraspanin [Source:UniProtKB/TrEMBL;Acc:E3LEA6] MPHRPSRRFLKTASGACDWEQCLLMGSGEPIRARAVVSSSQKPRKPRQEISACLKWLVFTLNSIVFLLGVGILALGVYLFVKDFREVKLVDVILNPAILISIFGFSICAVSFFGFMGALRDNIFLLKCFAACVFLSYILVVAVTLVFFTLFYTDTTEGLSANWLLLYAVKNYHTNRNLAEIMDALQENLECCGVSSIAQGYRDWNMSYQFNCTTSNPQPEKCGVPFSCCRKSVISEAFKAGSSNPLLPAMRSLECWQNALTKRPGDLEHDIYTRGCLQPMRTLFESHAVHVGAFVALLIVPVCVSVCLTNILAKQVDHQRYLLEREARRNERRRKRDRGHNQRDQLNSLDLLEEGKFNNSSSNATRPRPPDIPPPLPPIEHVPRKKSRNASASPTRKPKSSGVENVAARRKRTPAATRSPPVPSTGPTPTPQATSTNRTHQWVLQQSDLVPQKTKS >CRE00785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2745818:2746304:-1 gene:WBGene00051774 transcript:CRE00785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ntc-1 MRSLPVHLLLVLTISVALVGACFLNSCPYRRYGRTIRCSSCGIENEGVCVSEGKCCTNEECFLSNECSYNSVCPELFCKIGHHPGYCMKKGYCCTQGGCQSSAMC >CRE00283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2750460:2754746:1 gene:WBGene00051775 transcript:CRE00283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-add-1 description:CRE-ADD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEA8] MYGQKSKERERPYLRDPDDPEYIKDLQRPAVIKEDLSEMERRKRVQQILESKSFCHELEEVIRQECDTAKTDPDHLQVLQKLSDLTVPQGNMSFGNLHTYGGNTIAIADLRGNDTYSKVERIQRNKLACLFRLADLFQWSQGIHNEISYRTNDEENTFLMNPYGLLYHEITAATIVKIDENGKILDCGALKAGVNQPAFLLHSAIYKARPMVRCVLHMHTAIVAAVASMKCGLLPLCQEAMVIGPVGYHDYHDIGDDDIPFDELVESLGDKNVLFLRNQGFLVVGDTIEHATFLANNTVIACETQVRAARAGLDNLIIPDERAIQRAFRNSKNTNSLKRNGTVDWRVGELEWESWMRVLDHANFRTGHVYRQPQLRPKSAMSTSMVNNNDVALPPTTSAYGQIDETNLESVSAHRLALLRKEQERVRWLNSPNAYQKVEFMEYGADNPKKVTKWVHDVNVPSASGTPVKINSVHQFSPASANPKEFKEKQKAIKENNRLGTVTAGPQSQILDHVTYEDISLLVKPSSDGTVGQSSTNDRAILIGTASKGIIDKQYQHHTQVYHQIYAPNPFSVETDEDIRKYVAMVKAKNAMGTPFSSARTAMSYSQYDDCEADTVSLMQGVREHKLSQAALSASDDGLNAGISPVTNNGNTTSRSCTTASEEEKHAKDEKKKKKKGLLSFMRRKEK >CRE00284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2756770:2773913:1 gene:WBGene00051776 transcript:CRE00284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00284 MAEITLGFDDIFKECCPNEFNEFGLKREMRFISERIIVEYDPTDHLSVREIMHPMDAFRSNEPYFKHKLVDKSKNQFVTAIDFHEHITYFPYAKNTGDLCLARYISNFNDINFAKISGQDLCSLPGETVYGLRVGRLDKGMLAVNHRTGSSVNSTVTVIDLVRPARNLAHYDSLIPVNTIDWVWHNPFLLAVGDDNSLRIMDVRCPKAFNAVFFEGGVQRTALCSTRPLEIACWDGSNVLLYDVRRPEVPCAIYDIGLHKDERIIDMKFNPYITNELFVHTSKHRVYAMNVNPAHLVGGRAIGDKPYRKWQVITFKHIDRGCTATRHSLKRRETMPFENEEVPLLDYYHQYEASKNDPVLPVPNHWKYPSKADKSILDKVHKIPEIDYESYLYKAVERVSADGDFATVKPKVLYQAIGVETFDFVFPGVNAIMCFDRSDYSYRIVRSPKHYEGSINPYAGSDSVHFYRGLQIQEMIPAQTLTMMCNRLEEGWNAYQVSERSLKRLAFTITEDTRSIWSDWLRNSVIMQYGGNEFVKIGKDGSKWKDYVSLPDGILPEPEILPYDKAKDDIATEEICQMVAPFFRLFLPDPRPDLQSDSESENEDHNAPPPNPFLKTVHPETGFPTGLSDNMRTLCKCHAKLRYYNKKETERKYMYASMGNTFFIGASKRIREKFFKLGWQEITKPQILAHRLMIDTKVKRRRIIDELPKFQRWKAREFQKRVLPPKLITDSSSIFMKPEYIKEREEKEREMREKAAQPIQAPIGLSMPKSKKKEPKKAAVVPDVSGEDDPEKARFMIRAAIQLADNNSSDDEIPLSKPEPTPPPPPPPAPGPAPVADRHVRTPQALSVRNEKDDFEYETPEDAYFGIKKKRMYVVYQPATAPLGLQRYKPDRLAEHTFVKRLEHLMYWGRRRHEHDILYGRYEENDKRQSSIKERVTLDLKLALNNHMKVGWQTFYDKNYFFSNKCSYNQILRKAVQLYNRMCNDGQYNLSTKHVRHERLFFGVKPKMLLNKPSMEEILDLRKNCSVIANTTIGAYNQFMNYLQTQLPYQIDHNIFIENFEGDVSKQVEARIPISEKKPTAVYDEEEKYMFPMGRLRFCEYDIPPNFMREIERRRIPVPPKKRKCYYLEDYELPSSIKAELPTIRRSPSSPDLSFSTSSTEAVSYQDVSSDAIRRIMANQILADFQSRIGTRDHYGPEFFEPNLSEEAQHQLGLDKYQVNHNVICAVTEPTKFINWDSPMIADTDRKTGEKFMRRVVPFKEPQPPRQLDHIDPMFEYVTIEAMLAAAKNLHVSYIFNDPILTTWPGLQILDEGRNEMFTLCPKIPKRRNNVPIELEKSPLVVPEKDAQFYRDFYTETTLVGRDAPKNRIRPPVFEEHSINIPHGLIDLLKPGYYGYFECEYKSRYNEDQVYEMKNRRELQQAIMERRIITFRQPVIEETEQELMSEEHVNVEEDDLKTTSEESGGEDDKDSDNIKEDSPERPTGDGVIEFWRMFDGVEIDEQKRSEERRREYKLWKKLPIPEFPEDPKKEMPNFAPPKSEDWLESSGSEDELPPIRSRQLSKELKDFIQTIRVHMDSKPKNFDGFRVTYGKLFERRPILKNDIVLVTKKRVLKRKPPVFKIQRIPLDETWLNSSLTVAFQPAPMEMKYPKDSNKWTVPFYMSNLLDLNAKETKDRTFRPPTPPPTIKAEYKKMKNMEKAYYHQKRVARLAMLKKARDARQLRFHKKVYGHAKVPSSKPKAWTIAYHRMLEAKRAAARPSAKIGPPRIPDNKKYIFSYYPETSSEKIPLSKREQSRISSPSDSDYCPIIKLPRVIKIEREDSEEENISYSDEEGYLLPNLNHSPFGIIDRKKRQLNRQTHPADSQPKPALKKLPETGHFVRRISAVEEISSCDLPSTIPNTLEKTTIHKKSVGFTDERPEIIAPETPELPRNPVFAFVEDKSIDNFSKGTPAIILVDNKPIARESRERVSKVTVNTKTSAETNVIKSTAKKEKKREKRSPTRAPSTPAPYVKKSPKEIMTRRSFSVDTGCRYAKVVKKLAKKHNRDLLKKRQAKVAATNLVQEPSAQDKTVDKSAGNDSESSLETSENSVAAPTFSNFLLESSAKSVKRTKTLKKFKGSKLPKMSCIRREFTMKLNQIRRSRARGIHTEHPFQPRWYPTEHESDSADWESESCYQRQHMRYKRFTRSQKILHHDATRSKVLNTIRRISELERQKQLMMCEEPMNRKVSDIYLYPHESLPTYWEPERKKKKKSKTSKKAEESKQVKDGVENGADENKKEENAEEKTAEEKKNVEDESEEVKSERESPKYGMDQQKLLKEPVVKGDKTDDDLKEETVDESEEEESDEELEEMLAKESPEPETPQADKPQPMSWRQMLRKLANYKVNIKRIKLSNLKRLKKKKKNLSECIDQSPQEVEVIVEPTENLKPCLKRGVGRRSLNIASKPAETKDNFVGFNKTLTLVKKAASASDKGSPSPISDVPSFLDNSDSHLNKSNWLTSTRKPSKSKEEKEKEVSIKGDVLFPRIEVTTPKEDRSDTNSPNSPVRLLRPVVPENSENPENEAEIDPASLIPAPAPPPKMPADQQWRAAMMARRYVGSSRPVSAQQAKKKEEKKSEDGAEDKTKQESSTDGAKADADTASSSTPTLYKGADESEPGPSTAPAQLPRMSASKSHVMKTYTEPVAVPKATAPPPTNPTTSDKPGVKGIYHSDSSGDEHAWPSVSIAGELEKREPSSRFIRQEKEMKSKSRRGEAKKRKQTKPNSPKSDDNVPSTSQVPPAQPPAPTTPIMPTITTTPTPRVERNSFFTALFGRKKAPPPLVINTETESEGRTSPLLPRIKKPETGKLMKRSPTSDAPRPHPIEPETIVKFVDGKPISNSPNYVTPMSQRRRKAASGSGSGSGSGSGSGSGSGSGSGSGSDSDDESKKTGKPAEKLTSVLKPPTDCEEGTSSQWKQPSAKTVRFGPTSYEPPKPPTPEPPKPPKPEPKPEVSFETLRELMLKEIKIKEEEEAAAKRLEEEKEREKEAEIVVPEELPAEVIIPDAVPTPPPTNPPPKFSFFGLSPFGKINKRKPTESQGPSTPGSSVPTLEVSSAGPSTSNPPVTTESVPWTSAINSDSKEPHASSSEDPNVRPGRPGRPQPTGRPIRRKTSTRRQLRMDIPSALQLSGDPNLPRPAQPSPEAPPQLEIPTGVQKYNPVSQRKYYSRMGREYKPPKSLPPLVLPDPEPLPAALPTQPAEKKKKRRKRKPTPVETTLVLDGTAHFSKLTAENLKLLEREHEYNEKPLSPSVKGFFDNHEMEKVKDVKSLIEVIGDIEKQLKSIGPDEKMVTIKAMFNPKFNDSVITKTGPSKDKPKYETAANKLRRMESTRRKKIDESGLSSLSKRQSAINAVRHVKKVKFFQTHNRHMTAPIASNFKPKSILKPPKPPVTWYYRIDFEGNKLYMKKLGPSDWQKKMDEKLSPPKADAVVRKKKSTSYLRRWAKVIDKVGKANMTQLLESENLKFEDTPDMKPRGNSQPKDVYVDLPKFNPLPPSRSLTSITVSTNASLNSLLDALNAVDAATTNPPVPTISTFEPRTNLGSPPPIPTVSSCQDLVSMDNQPISKRKRNVSENNVDDVPPLSKHYPLVYIGATVSRSPSTSTSAVNVTEREPISSRALDGSEILEFVGQEEAPTDTVKRRLDPFVRPISALFKAFSSNSTEIGTDAMSRSRSTERSFGGFFGRSSPPMLEFPTRHRSSSPPQRRVRIGPITSISQGSSAEDILALMQAEPNALEIMEELANPKFPRLELEGNLPEGIPMWRPKLKLKRSMYTQLRKLKEASEKQKKLEYLQHFYKSTGDQISADIQTLITFKLNKYMKTHRYPRPSLSYNLLGKYDPSSLQISTNSKSGIQKTSAMIVKKIKSCEKRLETAKKIVQRSTPGSLPKKELVKQAKRTLEVAASKKPRRIPVLYKFKRTCVKYKVQVTIPNSSRKLLMPCEKKTQTIIDKILPIALETVQEETLEPATKLNKDTVVSDIEEDSKTDVLSEYDGDNDENVDDFEAEWAATAKPAIIRKNQRSKLLRKYFQFSVKMARKISRRSVGVQKPFVFYAFMSQLRARMGKFMDIRRKDQKAEKIRSQLPSITGSGKVHKQRKCSERKKVAAANRRPYLIRHSLKYGSTTPKQVVVEQSRFNFLKIGAKLETFMECLDEYVDVGTPIKLVYRSRNTLLPKKMFETSKKPLVDPVCYTSPSPCNTDSDFSDDTPENPKLKEKRRFFQRHSLESMYHVKGLPGLIYLARLVQERIGDDIERNIPFRGPGMENSNLVVYMTPIRRLMLNSVGLRMPDRLDQIYLSKYQNEKFELWFGRLYKMLCYGRGDFCKYIIDKIALGQGPPNKKYEKMDITYQAHIVHKLYKKMIYMENGREAKLAIHEFFKIGLDIDENPFDKTLKMGPYDRMLYGHPQPWNRDNWIEACDQFLNYSTTKEDVLVTCQASLLVMRMIFVGGYSQDIIDQLITLKLPVREKLLFIINLTPPDNLVENIVKMFHTVRGLDRLPFVGLGYHADPLRVLFEEAVESGDPQLIAHIVRVGRCLDRRSREPMMTDCGSEHIKNRDFSTMFANIFNNGKPRRPYGAPTMDEMFPFRYVRHPDGSEVTETTEELAEIIECEVTRYMAILELTKGAAVTKGDLDHNPKFNTYPSKFFFDVLCTGCKVNVAKGRNLGRLGRTLCVSTMPLIEQDKDRKRWPNAKTMSPRLTFENYIETLDPTENKMLMAKDIEEEKTDITVQVLPSRFGCFQCRFSLPRCCVCMCPYLNDHMDYALKEYNERYSQFSVCNICNHGGHVNHIAEWFASEKYCPVAGCDCRCLRPKQVKHLKGRLRRHMLLSANMRAKSHLCNPECAIEADIDEGFLPDPDLALLYD >CRE00786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2774082:2784207:-1 gene:WBGene00051777 transcript:CRE00786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00786 MNLKLLLGLLFIVWRSTSAEEYTNHWRATEDVANVEFCSHDSFKKDSNCICNVDYSGNFCLNRKCRNFGFDGQLLQTKTDRCICPPGFLGRNCEPVSCVPGKDQVYSTSKNEKTISLLASFNTKLEETWKTGDIGQVICSKEDYGRNFNYNYENAQLFTKNENATECYRKVTQFLTPDPCLDDYNNPVPCTQLDINNLNSLVQNSPPNSIIFVVTNMGLLNTSETADLSEEIIATSIARRIQINVLVYNNTGFLANDPGLTYLSDIASATLGTFVLPSIKAVNEQYGIVSTLLDNWDQGFQLSNVYTNAIPTMPVDNTLDLFVAVQTEYGDDAIFNVNPMPSVVSKTDFWKLYKITKETFPPHLTITNQKENTQILVYSSGGLTAYSAFTSDKTDDESMVVDAAYSISVNGTGYPLVVRFESGNAALDYDVGFRQLGSSNSITLGSSFSNRSYCQFNFQVDATCNTVGPSVVTIVTPDNARSVSFPVYCASYNYASRKYNNFVSEVMEIKHFESSQTFSRDMACGAEIDHETYGKRTFVIIAENSKNTTDSSKSSVDNVFRNKNSIFYQLTDLLNTDAYSGNRWYSNFVAVLNDGSTSTSQTSSDYGIFLTKVMNATSSSPIVDNFKPDAVSINNLLTSTVQSATPYSDILLVVNYNVSVSDDDSTAIKAALEKQRSKLFVLFVQTNMQYESDIYTYTNDLAISSGGVAIRLNSYDDLHVFFEQYFKRLVSSDIVAKAYSENMKAGIALNNVYLLPITYYLLITNENLAGDGPVTATVNVNNLNVTAVTTSFIGELQLFSVTPLTAGVYNIPIMFSTSGFAQFSNAILVTNNAPDIISLSFIDSGGENRNDIEFDGGAFTPVIYSSKPFGSGSAISVTYSDAANPAKPVYSGSITNTSCTGYTNYIWTTPFDWKCSISNGLYHFKIDRITEDGSISRTFPITCIGPNAGGCLNGGSLAAGGDCSCPLEWTGSKCETPRCLNGGTVTPADTCSCMSPFTGDFCENAYPACLNPPSQPDYRSDLSSLVIVADVNALSCGVLSSDIGVTGVPITVILYGDASGPRIVLSTTNSQHLTDVLGASATPSPSAPTPSSSSDMYKALNLALTNQLTNRAYIVVYTSNADVPVDQDFLIRLAVRRAEVRVLSVSGTESANSLTLSLVGNGIPISSTGPGDFQSVGDNKLFKKIITFIFQYLTNYVGPLFQSLQYRANIPQPVFNVYKTDQLTGDLTVNIPKDSTFDNTMINIFVYIYKGYIAEYEVKILPLKLFTSGKNGYYSIEIVGYFKTAYGFNRNNTNGANEVINSGVTYNVDNTMHIYSVPGADNLSPTNPGKFPYLALREINSNGSLSDSQTVLFTRKTGASCFFQHFTTLDVCSQSSTIGYQVQLTTRLSETENRQQQFVLTCFKSTYADGNTTCKGHGSPETLPVDYCICDNNFGGIDCTEPVCVNGGVRDMSVCRCPVDNYGLLCNNTFGQSPPVTTSAPTTLAPITMYISSSTNVPSTSTTPVPSTITTTSPNVVRVVAFVIDCIGSDDFAFNQTIQSIGEYYKSFGNSHWVMLISNIDGDVLEFPFQQYQNESSIVNKTMLFWNDRYIASRNSSLTYALNKINKAFMDRKTQFEGALSSNIFYLTQISLKDSDDPTAALVGLEQFQKSSVVFSSFTKKADQAVLDSLKKFSANATAAQTYFDGLQAMVTDTMDNTGASLPTKPPTLSCVYGLQSNIKLAIDRSKCTDEISNRLNTFLINFRFPFNYIENDEDRCGTTNPLPYFYRERTALTALSYYQIYLTTANFCPSQYTSQINSPVMGTTDAPTFSTRLWNAIGRNINSYSCSCTRFADANTMKIILWLPRAPADITDEYVGFLKLNTTNAYHFVVPFYDITEDSKKTDLYFEILKAQPNGGNYYLLPNAATATDLQKDVIDVLHNKLCETYGVDPTNVPPSFFESNIENRHNDYYY >CRE00787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2785022:2787317:-1 gene:WBGene00051778 transcript:CRE00787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00787 MEEADAFIREACGHLGCSFADDDDFEFSTPQIFEFLVRCIWKIDSSSKKEIPSYSYPNIITARLHLGNAISNYLQKLHIRGDTALHTVLYGNINNLRSAFIELIRKLPADAIETAYQNQGHQFLLTAIETLESQPRWVPAYCRGLIKTEEWIVPDNEPEVFTLDQFDKPSMYFKSRQWISQYINSSCVDQKPAPRRPKPALPPKPVFVEGQTLSEGDQVEEPEQVPDEVDENALLQETYDKKLEEYKKLRAKRLEVEKQCQELQSKLTEYSPELIEALQNPEDYVKKLTVKIAELNQQMEEDTLTLEKRSEEAVQRKLELAKEMKKSGTNDEEMRTVEEMELALVKMDDRIEENRLLADKLRKKLARTDESNVKKLYEYEKRSKDLDEMVRKQEEDMMKMQEERTTLRAQEERDSEAVNRSFAIIYNILLQHCDHYRGRLAMESFTRIHLYCMEILEMLRDNGALKQTVMLLQSEIDVEEQKQYDKQFELLTQDFREISDLNDQLFSQIKLQNPDFQLPAQ >CRE00285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2794033:2798875:1 gene:WBGene00051779 transcript:CRE00285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-16 description:CRE-ZTF-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LEB2] MDELNACTECGFTTTVFSEFQGHIEKHESEHSRSSSGEMSNSQTIEWGDGIQSSTPSPRSTPPSDPTPSPDSEELLDPITITEITNTLGKREPGTKGQKQVHVCPHCNFTTCMSQHMKSHLEAHERHQGQMYQCDICKMQFSQKANMHRHRMRHSGVKPYECRFCKKRFFRKDQMQEHSMTHIKTGFGFDCPVSLCNMQFSQHNALRAHLEETHTISSTNPASCKRCNLMFANSRRLLLHFQTRHDEPEGSPKKENAAKRKKLSNGNVLPMDPASLTISEQLQRMVKTEFSPPNTDTSDNSTSSEFDKIPPSFPMASPDILLMCLNQMNQFGGFGENIPRLLNIPSMPLPVYSPIFSLRFKRPVFQSLHNIPSVAAMVKQEQVQLWSEQTSSSVSVSAPSPSDQSHSPPVNDSTLSLMDKEKSPSPEKDDEENVECCHCGMVFFDNTMYLLHKSLHSEGDPFKCALCGTQCGEKYMFTTHVIFADHSTQATTSA >CRE00286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2803700:2806040:1 gene:WBGene00051780 transcript:CRE00286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-13 description:CRE-MIG-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LEB3] MISFPQFFCRSSYTITQTPFSSFSPFLGHFPVLFHQQLGYISMVSPLSTHLSDYYLYCYHSKCVNVVIRSSFQLCHFVNPFLLSLLTSGFQVMLKLLLPLIIVPILWPSLYAEPIASFFDGLDSRNECKARLDRRLTGFSGLLYSHSKYGQEPYNTSRNCVLMLVAPIGYSIRVRAIHFDVASTENARNCEKDTLHVFDHETTLDPESYAPARIDDITSPGPIIGQFCGHFENRILNMSSHNALTLWWHSNQNGSNSKGFKLHWGAFRVSKTGNCVTGEFSCGNGECIPIESACDRFADCSNGEDLIHSRQMAANCQNIELDPLTTVSGVFVLLFSATIILSLCGLIMFICCLCKCLKSTIPIKGATSHITTTTTTASDYKPEPPQFYPPSPPKMPPPSAASSYTPRLHHHFEGPLVPSEANAFHSGRLQNHYSVNSDINGDYTYVRNDVHRNLL >CRE00788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2806547:2808530:-1 gene:WBGene00051781 transcript:CRE00788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00788 MSIHHLPCEMLRSVFQNVNSHDIPKLRNVCQMFNNVIKTNYCYLPRVAYLVKIFSENGRIMIANMKSGDINGCTQNLFDFDFKHWREIGIVEMEFENIKECDSECVEQAFTLVFNEMVKTRQSQLRKMVFTNVQLSDNTKSSFEGLFNMALKQCENLTVDNSVLPLSFDMKTLNPAKFDHFRWIASSGSTSVPTTDILRKLQNDMKVAVNKRSFLAEMDSVTVEAACDFLEEWLGLPIASFFNLSFHNCDDTWKTRFLAECERRELTHNYMEFQSRTHTNAHVKVSFSQDASTCCIWPVLDVPARTTGQSVCYSRYFRDF >CRE00789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2810329:2813333:-1 gene:WBGene00051782 transcript:CRE00789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00789 MTAIASPVNHDIICQRYVLDDLQLSETFDLLDVDKDGRLSRNEIAALLRTINVEPTRVELDFIFGEMDTDKTGKISKEEFVNYMKSPPIHRTTLRELEVQFRKFDSDGDGAITEDEMAEILRSTADLEDRAAIGDMFKATDLNGDGKITFFEFVKMMQE >CRE00287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2815350:2818861:1 gene:WBGene00051783 transcript:CRE00287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hum-10 MWSSTTLPRQRPMDPPPPPPHNKSATMLRNPAKSVDVNKLRSDIEIVTIFFVIFVTVFLFQLSQQLEMVKARLESALAEQDEPDEKSKKMLSRDNSIDSRLSTSLFSTGSRESCAHTPVPQPEQPIAAFRSGSNLSKLNKPLSMSTSHLVNAVNEEPVNKRLSMALPSSSTSGFITSLRRRETFTKVDEITKEINARIGKVKRTESLSIGINRQQGPPVPPPKPMRTFQEHTKKPIEQLQTTTLGRFNTNQKFKSQTSSFMSSSVAFLSMCNHREFVDAINKRFTQGQCWFSRGGQLFFVNPFNTVSSPRCNFYSVIPTITSSLFESKSSTLFLRGVSGSGKSHVAELICMDIVKRLDSQGQLSQIFKTSLIILRPFLTANNPYNNQCSKAALHYVFQTRENRLHRISLSHFPIESMSRGCRANIFAVVANDLSDAEKDKYKIAGFRLRETCYNYGSFDEIKTALSSVGVDVADVLKIISACILLNNINFKTESTASEIDNIADLEDACSLLGVSALTMYRFMVSDALIDSRLMRDSLVTALYSRTVKYIIDKINLLLDIYPDSYDRGSVVTDSGISVGTINENNHIIHIIDIPGYVRSTQNSLNELIVNATNDIVQCTDSDMASQKFCSKREKLQSFQVHELLKTVEVATRTGEDWTENKTPKMIKHCTDSFEIHYDLRLMIERNSNYVSRELVRIFVNMFDFRTCTFPFAVNIFAQDVESMIIDENYFPSAINWPNNGKTVIQNVMESIRKLKKEISETSCQQIICLKSNDALEYARVHENGLGYQLNLYRSVMASSCISSNRVNSICDRSPSISTETSKVSFHRQYAIREGRKHYFPQRRSVVIDFQDPSTGVILRAGEIVKAIGFSGECYLVENGRRVRASIPISFTEKSVVIAHNMNN >CRE00288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2824478:2826536:1 gene:WBGene00051784 transcript:CRE00288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-1 description:CRE-NPR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEB7] MGDENFTDCQVYWKVYPDPSQSIYAIVPFLCVYLFLFFLGLFGNVTLIYVTCSHKALLSVQNIFILNLAASDCMMCILSLPITPITNVYKNWYFGNLLCHLIPCIQGISIFVCTFSLGAIALDRYILVVRPHTTPLSQRGAFLTTVLLWILSFVVTLPYAFNMQMIEYTEEHICGYFCTEKWESAKSRRAYTMIVMLAQFVVPFAVMAFCYANIFSVLSKRAQTKIRKMVERTSALESSCAFPSHGLEQYENELNEFLDKQEKEKQRVVLQNRRTTSILVTMVVWFGITWLPHNVISLIIEYDDSQQFFRLYGRDDYDISYLLNLFTHSIAMSNNVVNPVLYAWLNPTFRQLVMKTYFGDRRKSDRIINQTSVYKTKIVHDTKHLNGRVKNGDSCREALKQRELNSCSENLSYRVNGQTRTPTPDVQLNEVSSPEISKLAADPDELIEFSVNDTLV >CRE00289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2830530:2832814:1 gene:WBGene00051785 transcript:CRE00289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mls-2 description:CRE-MLS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LEB8] MNLPIDMTTMSSQERLEKMSQIPKIDLLEEDEEDDEQKPNLENKMKFNINELLEDDRKPNNQSSPSASSEDSVNDNEPQAFNFNFDPKINPIAALFQLQQSLINIGSSQNQMMSPLSMFPFMGLPTAAQMMQFKNLSNGDLNGQSDNGEEKDGKSEGKDGETRDSTGGSPLESDAEDDDEMGRGSDDETNSSDPNQSRKKKTRTVFSRTQVSQLEMMFDLKRYLSSQERSNLAQKLHLTETQVKIWFQNRRNKFKRQAQTEDPNASLQIHRANIFSIPPNQAIPSPILSIPTTSAGVNMRNMIPSPMDASTAAARFLFGFGTLQAQQNTQNM >CRE00790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2836913:2838675:-1 gene:WBGene00051786 transcript:CRE00790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00790 MPLGTRAQTRKKRDREEEDDDGQSKPPEFKRHNSKNSEVENLEDQGIEEERLIQQQNQQPSSSRRIPSVEERGSDLNLRSSLLSVNQFESLSQRSRNRVEGEGEEQTGNRKELELSKFQAILNADGHRLKIVVEEAGSEPNDILKVSFFQISCTIPIKCLKCETQCKNTDELAQHYLADHANQEQKDYVALYNCFEREMWQDLERSINNQRMETFVIKRFVVTRKFVLRIPFDSDIVPYVLPTETKVFLHSCPTGTKYFFQTALTRKSNVVQVYFVFDDHVKPQTFARRARVEKILKIFQEIEGYSVEQLISLTQEDRAGLIELLEGQSNNRLRKEKKEFNRDLLSRLQAIGNAKRMNPVQIKEAIEKGSPGFEKQDIQPIHMRKKQTANPAVEPTDTPQTKETSKPKDQKKAKPANQKTAKTGIQKQVAPVAKKTATKTAPKRESSGPQKQSASSKKSKK >CRE00291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2845200:2852081:1 gene:WBGene00051787 transcript:CRE00291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lon-2 description:CRE-LON-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LEC1] MNFRWLILLVLLFRTALSSEEVVVVDILTNSTSLEEPTDEFTCECDAEDLLEKGNYTLTVELRNMQVRLVEPFRDAIAFVASEKTHLLDFIKFVTLRDIRSTYPLLLNYTEFYTNFDDLIGTFRNILTTENAISLSGIKYEVTTAVQKFLSALLPDMFLCLSVGKCRTVPLEYHNCMMTSTEHWSEYIGNTPNKMAMTIADAIYRYRKVEFVLVDMHKQLMNAHSLTVTDGCLTEYVTTLPCNCTMLGIVRCHTSCSDSMETCFGKYSREWAAKLHLMKNMTSTKKSFLEEFLTLKKTIFSVIRLFIERKSFLYAEQVFKSCGPLGEMIIHPSKHVAQIHSPGPFVSRADNAVRELQLSAKSWDRFGRKICDHTGVVLHPGYCFDGTKVIKIQHELAPITKDVRPKTMMDWIEKKNEKKIAVEGSASPLWDDEDFDGFEGSGSGMPPNIIDRNPVKAVIQQDHPKNIDLSTNPKGPSVIVTEQGVQPDGSSILTIFTSLIFIAIIRLF >CRE00791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2852495:2856440:-1 gene:WBGene00051788 transcript:CRE00791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aman-1 description:Alpha-mannosidase [Source:UniProtKB/TrEMBL;Acc:E3LEC2] MKALPFLLILCLYLQIEKSLQDCAWDTCHEIDPDPDVITAHLIPHTHDDLGWIKTVDQYYWGAKPELVPVGVQYIYNTVIDELLKNPDRRFSFAETGFLWRWYTSNGDYERHQLQKLVKNGQIEIIGGGWVQNDEATSHYVDIVDQMTLGLQRLKQIFGDCGKPVSGWQIDPFGHSREMANIYAQMGYSSLYFARIHYLEKELRLKNKTLEFNWNASDDLGTQIFTGAFFNDNYGPPEGFCFDSLCGDDPIMDNVDLEGYNVKQKVNAFAEHIKKQAAHQKTNQVMLLMGSDFQYTNANSWYVNLDKLIKHMKTYSSEKIRVIYSTPACYTKAVQSRSPKLSVKNDDFFPYASGKHSYWTGYFASRPAFKGMIRQASSMLQLAKQLDVIADLGPEDESDLNILREASALVQHHDAVTGTAKENVTRDYEKQLARGMSEVEAVINDFMSGMNPNGVEPKLVICPLLNETICKPISNQEEFSIVIFNSHGRYYNGTIRIPYGQKSATVRDANKNVIESQVVETFKVDQLKNADRSLYEIHVLVRVPPLGYTTITVSKGNSIVNVHSKSRKKGDAKVEIQNEHLIAGFDAQGYLSYVTEKATNKRRSIRQEFFYYEGIDSKDDQPSGAYIFRPKTQQPIAFTSKIALEVVTGSITNEVRQKVNPWISQQIRLPKGKNYLEFEWIVGPIPKETKNPITKEFITRYITDVQSKNVSFTDSNGRQVMKRFFGGATSFDYLDTEPIAGNYYPLTSFGYIKDGNDQFSLITDRAQGMMATDGVVEIMLHRRCFYDDHFGVEEALDEPGKDGNGLVAIGKHIILFTDVKASAVQLRPLVSENFHQPVLAFSKNSEKFEFNRKLEYSGLTQELPLYLNLLTLEKWHKKNSLVRFEHIYHNDGPESEQLAVAESFNPKNLFTNLDILSFKPLLLGANKQKGSSKSIVSKTGSFTIRSSEILTFEIEVERKGT >CRE00292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2861005:2863496:1 gene:WBGene00051789 transcript:CRE00292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acc-3 description:CRE-ACC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LEC3] MIRTRHVFLFAVLLASASSQNLTPETRSEEQSLEENKFFPLPPTTPLPTNAVAATDEDGEEQCTSDKTIIEKLLNNYKSFRTPSESGVIVWIEVWVQEVNSVNEITSDFDMDIYVTELWMDSALRYEHLNPCKYNLSLNSEILDQIWKPNTVFINSKSANIHKSPFKNVFLMIYPNGTVWVNYRVQVKGPCTMDFSAFPMDQQSCHLTLESFSYNNQEVDMQWMNWTTPLSLLKKEIVLPDFVMTNYSTSLKNEVYSLSIFCSFHIFQIYPAGVWNELTMTFVFSRRYGWYIFQAYIPTYLTIFISWISFCLGPKMIPARTMLGVNSLLALTFQFGNIMRNLPRVSYVKALGKNFQLSTEIRQFLLDVWMLVCLTFVFCSLLELAIIGSMGARSENRQAQQQKQQDEETTKQQKGRENSTCSHLISPSSCPNSPRICRNHVPNDVPQSFKSYGSTDPRMRKRLIIASSSTISHAPNANRAEKVLLLDGLEETQFSQVDTKYSSMASIKMKKHWTTEEIDRMSMIVFPGLFTVFNIIYWTYYLTVNT >CRE00293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2865255:2868259:1 gene:WBGene00051790 transcript:CRE00293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00293 MMWLWTSSLNFVLAILQLGFNLLVLIAVASDRRLHTNIHLLSTSLWVCNSITAIVTIFFSLNPSQCLEVPCFQHQQLPKQLVDMKSRSFAELEKFPALTTAILLNSTISLLTLLTIGFVHALARHNYHLSRSQLSRLIGSTWLLVTLLLLSDFFLIRLSRSFVLAVPFHLALLSVFLVLNLIIHPLNLIQLIISQRLSPELQENSQTIRLKKDCATASLWLLLNSLSFIIIFMMVAWESKEKDQYNSIMGIEVAAFSVHCVANPLIAVIRDRQLERSLSRIIMRNKRPRTNHEEDLIIALMRDPPPPYTE >CRE00793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2869237:2872032:-1 gene:WBGene00051791 transcript:CRE00793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glit-1 description:CRE-GLIT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEC6] MFLGTIFHGLFTIPLVISQFIPPPTRPVNLWDPFETTTTPRPSGLNQGLNRDEVVVRLPLGDIVGKEVHLHNLPWTIHKDPTEELPRDGTHFDPNPLKPNNTVTVFTFLGVPYAEPPTSQRRFKPPQQLTTFPGKPPFLAFNYAASCAQDVEKAPSPFVDHPYPFMVDEDCLYLNIFSPDISKNAPTTYPVIVFFHGGNFQTGSANEWPAHGLASRGMVVVTVNYRLGAFGFMSFGDSETGNYGLQDQRLALQFVKDHIATFGGDPQAITVVGHDAGAASIGYHMQAPQSRHLFRSAATMSGADVSYHSYIGKPALAFNNTMKLGHYVGCTETVPQNMWNCILTRSTNDIVYATQNVPIEYNRYLFMPTVDGNHLPGNPLWTLVNAPSGETSIMSPVPMLIGMNAQDGSEVVLEDRRLGEFSQFNDVDHEYLKSYSLEYCYRHNYSMNREATADAILSKYTFWPDRAAVWAIKENFIQFATDAYYTAPMQLSAHLHSASGSRVFQYVNNYNFSKQHPDLKFIPDWMGVCRDCDLYLMFGYPFLPDELRPIGLRGVNFTDMDRNASRTFSNIIRRFTYYQNPNFLYDGSWVAYEPRRHWYMNFNYSHEEDWKVPGTIARDYRYQDVAFWNEYIPALVNYMTTTFSPENVAYRREITVFKWITGVNVIIIALLIVLAGAFGYMVFGNNEEAAAADKVENHQLVGYSTSDVSSRTRSPRSRISNV >CRE00294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2872594:2873594:1 gene:WBGene00051792 transcript:CRE00294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-14 description:CRE-DNJ-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LEC7] MSGDGLREAEEGRTGGQAPPREESPAAANMDAKKGTHLYNVLGIQKNATEDEIKKAYRKLALKYHPDKNLDGDPEKTEKFKEINYANGVLSNPNKRRVYDEMGETGLKLMEQFGEDEKILQWMLKPWFKWTFFAFGLLTCGFFFCCCGCMCCCQCCCNFCCGKYKPKHDDDFGDETSDGDVIVDQPTASDSPPNTERQTPIVIAMPPPPPPQKYGSVESNI >CRE00794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2874512:2876137:-1 gene:WBGene00051793 transcript:CRE00794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhhc-10 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LEC8] MGLAMVNRKLAIFGEFMVKWGGTMLVSFVLVSTYYSCVHIIAKDMYDNEAQIFWLTLVARVIILEIAINLACFVYYARYNSVTYWHRKSCVADLRVYAEDSEAQPEIEYACAQPRVEEHLSWEPANESGSKFCFQCNKEAPQRSHHCPLCKMCVLRKDHHCFITGACVGLGNQRYFMVFLFWCSIGLIIAMPHLFFYMNTQIAYWSVLLSSGHLEYFIFRYPFGFLHYIGPVAVLRWMFGYVPFAHACFSTLFSFAGASLITAGGFFCLQVWYTTQGYTMYEYNNLTVRATFQGDG >CRE00295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2878521:2880009:1 gene:WBGene00051794 transcript:CRE00295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-8 description:CRE-AQP-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LEC9] MGVFQDKVANILRIEDQQFTRELLAECIGTFFLLLIGNAANIQAAVAVGGNSTSAHIAWGIGFMFAVYLAASVSGGHLNPAISIAQSILGNLPPWKIIPYAIAQVIGAFLGAAVAYFGHHDDLWKLDGGIRQVTGGQATAGLFTTFPSDHMSVWGSLLDQIIGTAMLSGLVCLITDKRHQIPTGVVPVLAGSIMTMVAMTFGANGGFAINPARDFGPRVFCLCAGYGWEVFSAHYYYFWIPIVGALIGAIIGAWIYKIFVGLHGMNESLDIQPAKGFNVSVKVDREYSNSSGSY >CRE00296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2882612:2884766:1 gene:WBGene00051795 transcript:CRE00296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hyl-2 description:CRE-HYL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LED0] MLWWWDALYWLPRGVSWVDMYNTTTEPGYMYPHYSHLWMTVLTGISLIIYRFVFESYIFVPLAYFLSRKNPPETRQGVLDREKKYTRMAECAMRALYYFISFCSGLYLVSNESHFYDITECWRKWPFHPIPTAIAWYYWIQGGFYIALVFGILFLDAKRSDFWQMLVHHFITLALVGTSWIMNMTRVGTLILVSHDAVDILIDVGKILRYEQLDTALAVCFGCVLIVWVVTRLVYYPFWIIRSVWFDAPVLIQEDYEWLNFGQQPQAPRFIMFLLTALLILHIFWAYILFKIAYDTVKYGVVDDVREDFDENSAVNREKAKLQENKKDD >CRE00297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2888452:2890872:1 gene:WBGene00051796 transcript:CRE00297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00297 description:Solute carrier organic anion transporter family member [Source:UniProtKB/TrEMBL;Acc:E3LED1] MGEADETQFGFWWFRPKSLQWLSNFIPFMSILSANALLQGAIVNGLVSVSISSIEKRFKLTSTQSGIFAATYDVFVTVMLIPLALYATKVNKVKCIGIGMMIVGIGSILVIIPEYTAGAYSVGEARKDVCVTGGPDKMCAEDSSNSFTAHRLVFLTHIFMMCRDFRELLLLLLSQAFVGIGASPLFTYGITCLDEFDSHKRTGRNLALYMIASTVGPALAFVGCGFMLRVWGDWRTSPADMGIDSSADPRFIGMWWIGFVVCGFVALFTAFPLVMFPKKLKDTGMRKANDVHRTDASLDKDFSDHKYEFFKIILMLLKNKTCMCVILMQTIEAMLMNGYITFIPKLLETLLGFSAGNASLITGGVVVPVGICASYIGGRISKVFENRFKPSMYFVMTFGLLAAGCSSCLLIRCESLNVFEVNVPVKESVKTIKIKNICVLSRLPKFGATETCSENCHCDAFFNPVCSEESQLTFLSPCHAGCIDSPDIKFGASNWTNCGCSKNLIVKKGYCDASCQKQIYQFIAMFIGLSFCIFVGAPVLQSASLRVVNPKHRDHFTCFGWLWMRILGSIPGAIVFGYIIDVNCMYWQKDCVSQKCQYYNASNLGWAFFYFTIAVKLAGGALLFLAAYFYQETDRPSGKESCRTLDTDASESVKMSYEKVQL >CRE00299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2898415:2898867:1 gene:WBGene00051797 transcript:CRE00299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-11 description:CRE-FLP-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LED3] MTKLSALALLLIVFVAATFAQSYEDVSAEKRAMRNALVRFGRASGGMRNALVRFGKRSSLDEEDFAPESSLQGKRNGAPQPFVRFGRSGQLDHMHDILSTLQKLQYAGNK >CRE00795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2899288:2901423:-1 gene:WBGene00051798 transcript:CRE00795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00795 MGAFSKLSYALGQPISTATCYETIHTWNPDCYGALWDALGVGLYFSVKTYASFYLITNIVGKRGRIDKISWKKFGIDVFQSALFLVTNMCFFLILLCKFRQALGFFTPVTMGLITSILASGIAIMVEKKTRRPALALYLTNLASETYYRHLANHGYVKMYTYGECVPFGIGLMLFTYLQTKGRLPKSFNGFMNAALKTNVTDNVINEKKIPKSFKTFLEKLRKDYEKTELCHHPHSCVSHSVESFAKNFSFGLFASSALTVARNYRSILKNPFNLITLLVSMQNVKLPLFAGFLPFIFNVSRCLLNRVKGVPPIVNNMFSAGLSSIAMAFYPTVSIAMYCLWKAIETVYFDLVDRGYLPKIKNAEVILYSITTGYVLWNAVIEPRAIRRGYLNFLAGLVGGKIGLFNRRLYDHFGYISRDIYTKIPEFDHKHAMINPLLYMPLVE >CRE00796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2902270:2904537:-1 gene:WBGene00051799 transcript:CRE00796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00796 MNEEEPERDVIQVRPCEELVVPRRNVRPDHDISFYRKIAYGVGHFYNDLCASMWFTYLMIYMEKVLKLQSSRAGMLMLIGQVTDAISTPLVGIFSDSSILPSWFDKIGRRISWHLIGTILVSISFPMIFNRCFLCKSDTSEWYEVLWFVPFIMVFQFGWASVQISHLALIPELSSVPSSRATMNSLRYAFTVIANLSVFFALAWLLSESTGHSTIGPWDLSHFRIAGWLVVILGITVSFVFYAFTREPTNQRRISRLNSFSSDASELARMHWTSWFGHIQFYQIALLYMLSRLYINISQVYFPFYITMTQNYEKTYVAILPMVSYLSSFSVSMINSTPLVSKLSKKILYTIGLAAGLMSCATMLLDLPGWRIYGLAVGIGIAQAILLITSLSITADLINKNTESGAFVYGAMSFFDKLSNGIAYQIIELWTPTYDALKPHQASAIFYRRVMVFVPGTCLVLAFLVLLSLAPFTIGERRRARPVRDDEQAILDDQDDDAYPEITSA >CRE00301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2918896:2921832:1 gene:WBGene00051800 transcript:CRE00301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-45 description:CRE-NHR-45 protein [Source:UniProtKB/TrEMBL;Acc:E3LED7] MSCLVCETDAHGQHFGIRCCRACAAFFRRTLTMNLRYKCRFDRKCEVSFNKRYSCRCCRYEKCVRVGMRKDNLTSPVSVVQNKSEAEKDSSGNETDSIEHSSPHSSLESFTYRPPSFDKQIQNPDPPPLLMGNGTFVQEVSSEAYQPNGDLHQPNFSFHRTVIEEVGRAVMPAPQQHMQQHQQHIPQQQFDYQYSDLLSSDEQNTTSMPSSSHYNNMPQEYNNEAGNQNQMFAMAAQQATQDLHVNVQNVLTPTIDNMFGQFFQPPETFCQLPDIPLTLCQQALLAYREHNKQWPDQDKMIENVPLDMENFMRNHYIEIEHIARFCMSIRVFAQLPKDQKWIIFKHFWTRFYELDRCFATCQRLGYNLSDERGLTLNGQIINFGISVVKLENISDMDATQVKNFLKGSMDKFRLIFINPFKKLQPTEYELMYMMMSIMWSVSNLPGITDATRDMSEKVELRLAEDLHTYYAEQYDNNNPNYAGRITRLSSIASAVDEITERKREDSQVSKTFNIFKNDFFFSDLTDFPV >CRE00302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2924140:2924839:1 gene:WBGene00051801 transcript:CRE00302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-1 description:CRE-CEH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LED8] MSGFRVEDLLSEREKESNSDETTHPKSPTDDKSSRKLKMRRARTAFTYEQLVALENKFKASRYLSVCERLSLAIQLQLSETQVKIWFQNRRTKWKKHNPGQDANTPQTPPSSDETPIQPILPTTSVPNFSSLLLQPIMTPANSGMMNGGSIPLTLFNLNQILMPQNVGYN >CRE00303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2927796:2929388:1 gene:WBGene00051802 transcript:CRE00303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ent-5 MAVSSHSNTYVVEQDKAPEDKLNIVYWLVVLVGFGVLLPWNMFITISPEYYVNYWFKQNGEETWYSKEFMGSLTIASQLPNATINVFNLFLILAGPLIYRVFVPVCFNIFNLSVILILVVLLEPTLEWMKPFFWITLGIATSINFSNGLYENSVYGVFADFPHTYIGALLIGNNICGLLITFVKIGVTYCTFLVEMSRVTCSFSVLNDMPRLVAIVYFSISLGILLVCAIALYFITKQDFYHYYHQKGMQVREKAETDKPSPSILWMTFRNCFGQLFNVWFCFAVTLTIFPVMMTVITRGKYGFLDKIISENNEIYTLLTSFLVFNLFATIGSIVASKIHWPTPRYLFVAIVARAFFIPVFFFCNYRVETRAYPVLFDSTDIFVVAGILMSFSHGYLSALAMGYTPNVVPSHYSRFAAQLSVCTLMVGLLTGGLWAVLIENMVDKPQIF >CRE00797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2930280:2934661:-1 gene:WBGene00051803 transcript:CRE00797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hosl-1 MPKRKFRTVCRRVINRIVIIKKLQGNFRTTWAAQIMTDSGGSHKRRQKLKGRLSVDRCAILELIVQLCSDNATHFEKLAQSGGYNERMPVVQTVLQTAIETLKGNIKKLQEVAPKYDYDEKTPGNGYRSLICICDTTLLHVVSLQKAVYEQRGYLIFRISHFCKELEAYATVIDYLNKSIPLVSFVSLLETFFYFQCLESEKNMRGSLFPPLDGNYETYQEILRVMERLDSSVFFGRPIGFQFSPSINKIFRIIGVVLATYSLSWEKGHGAIGSLINTGRFFLSPEQRAERIIKVTREADIDFCKGFWNLSELSNNMPKFFCPNMALNEIREIPLDGAIPMEGKSGETVMVPEPSAHTGPRPVQYRILSTVHRQNMSSFALSTTHPPSRYLVLHCHGGGYVATSSKSHETYLRQWAKALNCPVVSVEYSLAPENPFPRPTEEVLFAYSWIINNPAAVGWTGEKIVMVGDSAGGNLIMSVNLRLIQLNIKRQPDGLVLCYTPFLFQVFEKFPSPSQLKKLQYLPSPSRMLSVMDPLLHMGVVLRCVAAYTGAYGAQINNNKLRKNRNSIGGTDMYASHRSLQEYVNEVQKTKVDFSVSNQLFIRLNITTHGGSQSIVSLVQKSHDNDGFAKTKGNSYYKLESDAKTSLETEAIEKTEVLEKDECECEDDALETTSIASVQVDADPFHIQLNHTMHDDDLISFLSHHPITKDAMSHTPDISEDEIELIDSPIELGLEATENLVIEEEHEDTKSGDEASTPSTESIPEVHTTKRPRLLQMLSSVTSRESRDNSQTAPSTPSQQPKPLTHSNSMNGFNVPVHKRSLSQSLADTAASTAAYALDNLQDWFERPPKEKQKLDRTISRKDDGDILEEVIEEEEQPSHLLELVSASTVPRDPLISPLYADNETIRELPPCYFMVYRIILLHVLKVVFFQACHMDPLLDDTISFAGKLRDAGGKVMSVDLLSSVPHGFLNFTLISPECKKSGQVCIGRLKEALGMSDESK >CRE00798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2936346:2938942:-1 gene:WBGene00051804 transcript:CRE00798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00798 MGFWTDVRDRIKSWKITVEPLMFILAACNTAVGIVSPALKEGKMKRYYPAPPDIHGKDLDKYYNKKMVMWDNYYEYVNLPIACIFGIIYGGYSDHRGRKYPMLIGILSVLVSNAMNLLMWDENTDWSLNWTYPTAVITGFLGDFLLTMSCINAYIADEFPDKITLSYRMVVVSILFSLGSFVASRFVKDLVKWTSKPTVMIIAEGGYLLTFLVSLLVLEQKKPVPKDSLLKENDTISTIESAENQSLASAPIPIVTEKQSVIEIIKISFVSLYDAAKIFVLPRAGHRRLFLYLCFAANFLDQFVWGEEKGLLGTYVRLPPFKWDTNTYADYKSWRPIVQIVGMAVGMLFFKRICHFRDTFIISLAILSMAGCVLMIGLAQASWMIFASLAPGSLHGLLNPMSYTFMACIVEPDEIGKAYAISSVAQKLAGIAQSLVLQNIYIATVDWYQGFVWLLMAAISFVAVGIYLIVHVMAKRENVGS >CRE00799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2939770:2941643:-1 gene:WBGene00051805 transcript:CRE00799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00799 MELIDKTVELRGSQVLNMHSYHAIIWQRRIMAFATLLAWIGVVLLVAALATTQWATIDFLNTEYQPVHVDLGVWGEYRTLNNFKKITVEWIPHFPAPPENILRLADTNLKHFYRSQAFLGCIGAVLLLCTNVLAIYTFYHHRYMYKRAVAALYLLVVMCIFGAIEILSSSINEWNTAVARNGEFDYEAGKKMGYSTRLAQGAIFTSLVACLAFAFGSHKQKGEHAATAELEIEDREYHIGR >CRE00304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2949067:2961134:1 gene:WBGene00051806 transcript:CRE00304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-65 description:CRE-PQN-65 protein [Source:UniProtKB/TrEMBL;Acc:E3LEE3] MFSGNPESCLSVDLMKIKKEPPSDWYSADERDDSEQPSPSQQTYPEVRLGRMRASSFNFDYKRVSFINAHYTSKDKVCVWNDQTGQSVVVASNQSTRDALNSLSMRDSEAERMEIATKISYKRIEDRTPQEKETLRQYHEEYASKQLAMKARNEPLCITPPPVPPPPTRRTRKRIAKVQQTFIDPANMTSEEAQAMFYTYLNYTQQNKEKVHTFLTEDHQLKPFIHQLKKKAMSDAKPPILAPTPINRSTSATATAIVMESSKPVLPPKRPYNRSYFGISRFLDISSSESSSSSSSPMAGNISKERDFGRVIATNGLQSLPISDQSKNSRMTQRGVNLGSSLNNIATPAALVINDVSLPIPPGTVQRAVVFNVDRPMKIEPRQMAVPVRPSLVDQAHKLETSPVLRSKSTPIVRKDEDLIAFLREPLALAKAAEEAARKKKNTEPKPKKPKRKSRPKKVVDRRTQPRSDSMVTVNLKKVVSMIKAAKTPRSKSTPSSLSAELRRMKAQNSISSDHVDNPPLKNPPTDYNPVKGSPSIRGIIRLDMSLGQAIHQVPLGAVAGQLRVQKALYEKMEPFNGVQPEFAQKTPEMAPTTVGQNLIGEVPTVPEPASVPEKKPPPKRKRPTKAEREAAGMIPAEEKPKKQKAPKPSKKKLAAEAAAGVVEHHMVPANLQYPEHAVFQPPIQQPAYQPLPSIANLLPSLNNNHNQQPPPPPLPQQQKQNGAMHDNMMGGYGFTDAQPGSSSSSSSIYSPFNNYAMNGPHNQNQAEKHPSLASMLKPLSCSSKFAQKETTNNNLNQRYPDNQQMYQQQANQFSNLNQPYPPQAAIYPGQSTSNEYYSGYETAAIKHYPSTTDFTPHSVESRQSCPSRDSNAPNSHNSSMPQTPISQQSNNGSLLNTMPVTHDNQFSNANGHILIDDPSSGFDMEQYAKDYGLFGPENVTTDVHHEFKLISDVQVEGFFSASLEKEDATNQASGTSSPNSNNLNSLGSAVSTPSDFLGHFSDSGPSTHQTHREQSVGAEMNSQQANTEPQVISERHPSQDPPQANGSVPTTVNPQGVSGRISSEEKQEKSYAEVKYVPSVPKVPSFHAPSQPPPQNQRVHSAPPPEYYGGHDNMQMPQHANNANRAPPPGYFDNQGNTQMSSHDRSEQARHHKGQMVIKSVLCYFINQNNFQMQPPPGFQQTPNNRVNSAPPFESSGVQNNVQMQHGGFQPVVFQNQDNAQMPAHDQPGGSSNNAPPPRYSESQNEMMQSHARPQEPQSHRRNSALPPPYFVDPNNGPLESGGTQQPERTTVNSAPPPGSSSYTHVAEIVKSARSQQPPNMQDGQRRLSSGVGDQPSAQEPSNYTIQNSPLPVYSVKQVHEQMKLQVVPQEPVTNTGNQAQHPGNQEIMEVQQRADIQDPSNYRVHSAPPPGYSIDQDNVQMQGQEPPKSAPPLQRFDDPDIVIMQTTHTENRRTSLGENGQKKTPVKRQSTATMPISNASARAVQIVPSQQHRDLSGEPREQIKLLPPDDRQISVVSYLPSSLSELPTPDECESTVNSTVVKGNPSNTSVTKAGRSYKKKILVLDEREVREINERYLNGSSHSMLQSESRYNPNEPVGQVLKQQQRFRPDAAGTFPHPSPQPIYSIPSGRFREHVGRTEHVEYVERYEHPERVAFEEPPLQLPDNFLDGITNNTSNCAETIDEVINTMLSSVKTADAQKKSSKVEPSPSRSSSKTPSKKKDGRTSTDVQTGYQMESSHHLANYQRVQEQLQQQHKYVVQESLKPAGTTKRRYNKKREPVQQDYQQPNQVPNMTNGTQINQNGQSSSSQPLYHPEASVEYVQQHGQRVTINLNPQVQPQNFEAFSQNPIPAPKPAARKKKPPKQPVQHESLLESLFQPVEAQQGQNQHVQQNQQKFVAGQHVQQPQQQRQNQYPEIEQILNQRPQGRPIEMNDAAHHNPPVEYQNVQNKNAQISYVEQEPVQQNQAEYQQQLSSQPGNAQQHQFAQPQLPVKQTKPRRPSKPTSTAQKNLHLKAQAFIDQANAMAERELEMQQARQQTLQLQQEYQQQAQQLQQNQQMAQNSVHNQEQQPAQKIQIQNPQQQPVKMQYQQPQQHHQVQENQMQKNSVEQQHQVPHHHVPQHQVQQQQVPQQQIQHHQVQQQHNPGQYQQPTQQNQESMMQYQQPQQHNQRHQQSHQFQQQQQAQVHHQPLQNIQHPSQYHQQQNGQPSQAVNQCKAPNQILMFLMALVSRLVLKMMHAKFHSRLXXXXKLQELLQRPVPRLNVQPQQSYPPMQQHQRIIEPERQQPVQQQPIQYQQTQTQQIHHQQMQHQQVQHRPVRPQPVQQHSVQHQPLQHQPQIAHQQLQQQSNTISATIASVSSQPPPLPQKSKSRRSHKKRVETGSQESADHIVRIIKESMKQQEQSASTQQPTKVVEKVPAKAPPKQVKVPAKATEHIIETVENVAKNGPNDSLPPPPLISTITPLMRSKRFRETVAEIAALPSFTMEEYFQDGHGIPCEDNYIEFCVKVTQEMEDNPCPAEIGVEDALADPELEPALHGRFRKRMIALREGRTESTVFKKRKCHSTDVAPVAKKQKVTEKNSDAAAIVSSTPLFVQSQDGNSLDGHLSHNVAQPMSGATASNSMSSSSSNNQIATGSQQQGTPFYAQVVPSSSTTNTHQPQHTDIYSKVSNASKPPTSSVPPVSPDYFIDQYDNTNKYMSDLIDSNAPQSPILINDPIMGYANSEKQDIMTVFNETDNGPGPSFRLSDHRSIFDTTDDFGLGPNMNSIGHNNGDRSSHVGTLEDIDNSVDTFYIGGSPYSPLDPNVNIPMQNVSDDRSLSPDLSVPSQLVASSVFDSVVFNDDSDDIDGQGSSNDMFGNDSNNTNSIDAVSPVDQSQDVLMPKTAKLRRTNFKRTAETVIGIMTNARNTMTSHILGHQKDGSQLSNQCTQQKNASYNKSKHAQALQMSQSASNLEPTTLPNVCRSVVNEISNDPNILFKKGTKNEQKSEYSSIIRGFENLKRAYGEAYARQKEQALFPKKQ >CRE00305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2965287:2975680:1 gene:WBGene00051807 transcript:CRE00305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spat-3 description:CRE-SPAT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LEE4] MDDIPGTSSSSVPEAEEDPANHTSDSSSDSTSSSLLEDEHKSHESSPVSESVPVNKPVKVPICSQIKDGGHRIDLLKLENDEESEDLYKKAIELAKDSKDGKPEIKIPTTSEEYYDLEENLMNPARMESGELSVNVYDSVRNTYNVLPSQHVSETDIQKVIGSFSCHVCQEVIQQSIVTKKCGHRFCDQCIIVAFIRSGNTCPTCRQNLGSKRELQQDPRFDQFILQFLESRSIVPRMMAEKRDHEHDIYFGRYGYVEGESDWNKRYGIDLNQKVKEDLPLELCPSCKVEWFSDSNDYGNVPEIIPKSPTEDILECIPVITLDKPSNSPNPIVIDDEDDKPSKDDEVEVLDTEKNKEAEKDEEEKEAAAKQEDEPMVLDDEKTDGENKQDDCKVIAEEHGEAVCVDNEEFEKMQSEEKDKLSEEKTVEPIILDDVKDEAEKKSDVVTEKDNDIIAQGEAADFPIELDSNESDCEDESDCSENSDDTDSDLDLDSDGSDDSDESGYTDESSNDEQSEDEESGKKDEGDVAVDKDAPEVEEDTPPKKFYRKVKAISNIDPSLDDSAESDESEDESLARKRQKKSASSGLTLEAASALARDNVDIPTPSEDSLTSSGEEVDEGVDPYDHVFQDEFNRDPRRDGNPEKDKLINFDWAIDMNTNVNRKFEVDGELKLIEDDSNSEMESSKSDSTDSEDSIAPDTEEKARKFLKRKLSKSSENQSENGTSVGTGEKSEKIVKLETPATSDSLKSSDAPSSESIIAQPKRDTEKLLTPEPTSSKTEQIVDVEPDKDVDGNQGENADEEIENEEVQEFEEEEEVVDDEVNMSKAIKFHRRLQGPAETENRMFEGFLFNPENANNERPLIQRHSAFQQLQLDGEPLEVIAVYSSPAPRINKKRELPPEDYRAPPPDLLDVETDVSDEEVDKKDVDDQKVYVAKTDELIRGVDEVMRQIDELVGEKTEEMEEEIKEDSPSGPSSPKKIKSILKNTTSEPSTSTQPMEVDVEPTTSAETPASVRKVVKRKKIPVITEKVQKIRLEDSDDDSDQDWEESESADLDKITKDKFEELLAVNKVSWNHRVFYDKSSRTPLATKSNKPRRRSARALPMEEIDRLTEEEVAREENKIHQRRFALEKSVNDAADLLGITVESTDDESEEDESDSEEDETREDDQSSVYSHDIENLDKCSTPTDDPDLSTDVISSTEDSKCEEPNTSGEQKSGVDEELVMVETPIEDIFFNDDEPCSSAQAEARDKMRERYERQQQLIEDSLKPNRKSVSPSVFKVPESPMKQSGDESLEKTTKVVDSPVSKSTESSVDHDVTVLESDDSDEDEGDDVSVAKSLETMEVEQQSDDEDITMESTDFDDSTNKIKCSVVLSESEDSESGDDEASLKDKLAQLLEEADIGLIKPTYGEYVSTEFLNVVAKLPPVNDETMVLDFCSRDTRKEFTTFGKSGKRLARNPDDVKLENLVKNFRKDFAIRHPRPVRKNPQNFERKLLDKAIIIRAKHLMEMDELQMQILIALQKQQIASNCANLSQPVSVTPEEHQEQVALLTNLQNPAILQPLMQNPLFATTLIKAQKQAVRQQETEQKVRASKEQAARQAEQLRVEELARKRIAQEKVEEAEALKKKQMEANAGSEPFKAETQVLLEIFSDIIPSEYLFQPTTQSSTEKPVSASTAKDDVPQHDALITSDAPIVETAHSEPIIPTTQEPVVPSVAETSATMDNSNVSEGMNTSAVVSESEGTTTSQDISIPKDVVLTNEDDNIEAIRGNEDDQDEEILAGNISHTVEKTINEDEEPRNESPNLLDSTNDQSNIEGECSTSQIVEKTIDIDGSTTSEQAEWEKMIQQPDDDLNRERLTYVNRYHHNTMIALNDHGVAKHHQTIRDLLASQELQDLVARHSLGLAQPDDDPEEEGEDDEPAFEMDQFELAKSIMSQRLGYEYSDDSEESEDDEEALTAELNTNIDEVLYKKNHLYKKGRMFIPNIPRTVRRKYVEKKLQVDKTKRKCYCFQYQYNERIFTVRARLKTQKMSTIRIAVPPKPAPQPQFVTPQPAVRGAGKHSMQGARATPKTKKPRISNVQMSIVQPPLPLHQLQGHMGAPTKITAVPNVAAGYHPTQQQQVYSGMAQAPQSTPIRPPQQSAPQPQRPQQPQPQQHVQQAQQVQQAQQIQQAQQPQNPSHLAQLGQFVHGANQGQLQMPQTTVAQQQQQASQMQQASHSQVLAQFAQLAQAQQLVQTLNQPNALAQLAGSLTQEQINQLNAQLVMQRVVPQNVLNDVQATEELSAIYQLLQHRGQYPQNNEMFHIQLSQAQADVRAAREHTQAQLAIMQAQREAIVQEQQKQARILEQARIQEAKEKARAKAEAEKAAKAKAKAKAEAEERRRAAEQERLRRAQEEVKARSLAQAQAQAQAQAQAQAQAQAQAQAQVHARTIQMATQYIHEMEAATGQRLPFEQVLQMFQMQHAQEVQAQQVQHAIVEQIRQQTPMLPGVAPEMQPGIAGMQQALQGMIPPMYPGFPPGMQLPGMMQQRATGMPPPPVPTPKASTATPQTAAQPVAQQATAQSQSAQQQQQLLMHLQMQQQQLVHQQFQQQQQMQLQQQLAQGMIPNVWQQQQAQAMQLLQQQLFQQQVASQTALRTPAPAQTPQVGVPRTPAPVAGIPVAVPPAATPQARAANPPATPASTEHPPQIVHPGVIEQGRVNVSFEIPQKFFIMIYCFQSQVEMEIWPTNGFVQKKQIPVSQAMYCATSSDVTFHHVASLIRNRYACDINDIGTLWVLRIEDLAVLKLQFEQTLADAQRFVGRQHLILFYDDVQSGGVNQSKEYIINKSCPYARQN >CRE00800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2980720:2984836:-1 gene:WBGene00051808 transcript:CRE00800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00800 MGGFNASDIMIDSGKNVICSVPDTDRHISFPLADSVNERLPVVIEISSPAASAAHFFKFDFYLLFALSSAISKTSTMSTVDYITNDDIKSVLRGRNLKFKEQQEDMDLVRMDDFVRSSLLDPIYGFETNKCLPNYCSIPESLKAEVAKLIKAHVFIENNDDIRQVNNYMLLFDVRSGFTAVPCHDFDMEFNLGLKLIATRMWMPGQLMATYNLCSYFERLEPYFNPYSVSNAHKKKSNKVWLGPCAIVNHSCNPNATYHTVENQTIIQVLSPISIGEEITVNYGPTFFPSGACKCATCKLGEEKEQTETGQRKPRIPDSSFKPKHTRETFDIYSKIFAKIEYPAHLQVRADHAERYFIGNVTVVTEKDVYKMMADMQTDVTNMGNRIDVFGCMAGLDDPNRNLLSSALQGISYFTEIGKLALTKVGSDPGEIKEVLSGLHTFLGQMKVDFELRSAERAADSRLVNIFKEGKKAHRERQLEDYELVVPDIPLILQVEKPNTPEEAIGLQADHELGSPSAVSIPTTEPTTPITSRSTSKKKRQLNTGTVSAGKKVRKEESPSSESDGEIAELTIKVKYRNGSSKMISVNAAYDSEQPEAEISKVRDFLLERITKTL >CRE00306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2986824:2987813:1 gene:WBGene00051809 transcript:CRE00306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-12 description:CRE-FLP-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LEE6] MNVQFAIALLLCLIATSVAQKAKGSPEVLPAAMYDGDVSHESLNKISSQLLNALAELEALQEGSQQLKMAEKRRNKFEFIRFGRK >CRE00801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2988387:2990413:-1 gene:WBGene00051810 transcript:CRE00801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lnp-1 description:CRE-LNP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEE7] MGNLISRNKSPATELERVALSIDELKRRLQNISASNSTTLYYYYMSVIIVLSIAMAHTWLRFEDPTKTYVACALAFGAAVIVLAGRYVINCFFSWRTNRTTQKLENAISQKTTLLDLVKETLKFKEAKEILDRYEKSELENTTDQARLIRQPPTPQKRQIIDNATPGTPKQDQKKVETPTAQPARPGTAMNTMNMTPFHQRNPNAAPIRPYLRQTTALDRVLDYFMSDGPNCRNALICSICHTHNGMSVPAEYPYISFRCFECGHLNPAKKMGPQIPLTRPPMGPKGIQHNGRVGPGPQAPSGSDSELLEQQKPSTDLTPSASQNGSDSETEKNNVNKIPAEQS >CRE00307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2994227:2996724:1 gene:WBGene00051811 transcript:CRE00307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00307 MLKLIFILLFLNESVPSYARCPDHSFLGCMLRLKAQRVPIEKNILSLIFNIGTEARLLHTCKLVVFRVARILLMLDFRVYSSTLPCFQEKIRECGDDKQKRILSEVSKTIMYLCSPFSMKRQKLVIEHQKCISGVLSLPASTGCQLDDNEYGQQVISCKQECNSRGSDFICMMRTWISEQNTCTLKDIDQKCGAEAAGVFQELQATVFEPSYPVVCTIVNGSTTATTEPTVEKPKLSNLKERKIKTQYRKPMQKSKTEIQEYPMVLTSPATPSTAENIYIALPEPVNVYMRPQRPTFAANLTNNVPSSLYPNQEEMQEKSNIWKKGGYGQKVQYNKEHWKQKTTSGEFVFTTQHPLFTTTEYGATPRRHKVIDILKSLIPPNLPSQLTALLENSYDRDLFPDIQSYNTPAVAMSPVSEVYPTSQAVYQPEMVTTAPKTTMIPPQPVKITYRPAQTTATTQPIVLYPAQPATTAKWKPWYLGGA >CRE00803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2997345:2999058:-1 gene:WBGene00051812 transcript:CRE00803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00803 MEDNRRSRKPQIVIWLEGRFENMMNGRAVRSVLIRNNRQENGSVDHVPRSIQFDESSEEYRCLCNCFHVKTGAFIIGCVHVLMILFFLIHSLFVYFQHDGRLQQARGVKENYVFGSFLAEMIGLGLGIFAVFLLFVALSRNSALLVVPHLVMQVRVAFCFVIAILCFILVLVSGTIALCTDSAVFYRLINAAPFMEHPNNNTVALDTGTMVRIYSLMIVYAISLALEFWFIVVIYNCNRYLDERSDYMKYCLAFSTPMKTLSAR >CRE00804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3002634:3004774:-1 gene:WBGene00051813 transcript:CRE00804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-amt-1 description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:E3LEF1] MSAPVNLTAEIVQLHAEITRLETGFYENVNSFFLCSMALIIFFMQCGFAYLEAGAVRSKNTTNILIKNLLDSCICIIGYWAIGWALAYGESGDGVNLFFGHSQFFLSGFSDYPRFFFQYVFSATAATIVSGAVAERCEFITYVTYCTVISTFIYPILTHWGWSENGWMAQGITSGIIDTKYDDFAGSGVVHLCGGSISFLAAWFMGPRIGKFPEDEDDESDEILGHSVPFTALGGFILMFGFLAFNGGSVASISHAGDGHTVALAMVNTIMSGSFAALTYLGVHYYQHGKWTLLLTINACLSGMVAACAGCNKMEPWGCIWVGTGAGLLYLACSKLMIRLKIDDPLDAFAVHAGGGFWGLMSSSIISRGGLAYALVGSIAGEENSGDRLTQAFAQLGWQMICALAIVVWSVSFIFPFFWILKKVGKLRVSEEVEINGLDVFKHGEMAYPLRAYGHGWHDFERANKVQAFSSKITVGEGRNTRIMKIHPEMSIEQLASVYDRSGNIIPMPKKSRTLFTNPAERKLSQMVYDENKM >CRE00806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3011051:3013355:-1 gene:WBGene00051814 transcript:CRE00806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00806 MQKIGIEPDFDLQNVLSGFSFGSLAHVLNCITGGKKEVSSICRRSLASIVIQIRSYSNYTISNLDRLKTRPKISVLESFSRDSRATKSYYFLIPTDFVLLRPDKRECEILAWKQTRAPLCLEMWANSRCAYLLMPLLILLLINLSESVSVHRRAHKQTSNGRHPTESVFRAKRTYIDENANCGIHEIEMVHTIMDRICMLCHELHSHFAPNTRVECRKDCFQNTTFQSCMRIFSGGPKPVQPVEPTAPVPLYTEDVPTLDDIKERRRRI >CRE00807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3013646:3017593:-1 gene:WBGene00051815 transcript:CRE00807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ham-2 description:CRE-HAM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LEF4] MPYRSELKRPDLKGSFPCSICQKVFCHSSSLSRHRMQAHFKSYTCTTCNNEIPSNDTLRSHMYRVHNITRMFMCRCCNWAFPDKTSLHIHMQSMLKNGTPGEAAVLAKSSDADSSSEPSSPRNSPAFSPDMMMQKRMLQVAANNNNIGSIFPSLLKSPDSKSVFPLDLSNMGPSQFLSAWLANNPINTAALNLAAQQTPSKDSIESSNISDYDDLEVQTTEEDIKFEVESSDVSPRSVIIKSEPTCKRKLEHEADIDVEGDDGEPPLKMTIDEKDIHISHDQPSPTVSDSHISGGSSSHSGESSKCFDCQVARGKLVAVEDKCRAYEKTIRDLQVQLEIVRKFQSNPMPPVMLPPPMMPIPPPGPNNFLQNPAMRMLLNNLVHMNRPQIIP >CRE00308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3023398:3024280:1 gene:WBGene00051816 transcript:CRE00308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00308 MMLQHRTVLQNFTLAISVFFLLLVKPTSGINCYECTSSQGSECVYSATSCQYGLFGCVKIAILSGGVDKMGMFVDRERSIISMIRGCAIVPFGGVDMCEQTTLFGTRVLKCTCFNDYCNSSSSQLVPTILLTFLVAHTLLFLFG >CRE00808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3024553:3025112:-1 gene:WBGene00051817 transcript:CRE00808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00808 MSAKLFILLALVAIAFQFATVIEARNAPPMTRIQEVFRSLMAPEEVRGKKYYQQYIPNADQYITEW >CRE00809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3029894:3032855:-1 gene:WBGene00051818 transcript:CRE00809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-35 description:CRE-NHR-35 protein [Source:UniProtKB/TrEMBL;Acc:E3LEF7] MLGTYNGENKLESDGKDNSICHICSDVATGRHYGAIACNGCKGFFRRTVRRNYDYHCRFESKCEIDKHNRAVCRYCRFMKCIASGMRDDQVQSERDVIGKREKKDNVRSHQQEATPRTSPELALSPNPDEFEELLENLLKSELTIQSLRDTVITQTGNVEYTTKSKNRLANADSTATLNDVLKSMHSQLLLVIEWAKTLPEFTQLSSADQAILLKNFAGQHVTLCVAYRSVGAADALKLLNDLYIPRASKTNPHVKEYVDGFYLRDCEKVMDQLVEPMRFLKLDNKEFVALKACVFFNPVAPGLSNHAVNLVLNARRKIFTAFERYIRTNKPMELTRVGDLTFFILTPLSVLSKSISEDIMFTKVSGVARIDVLMEELILAETDYVEDRHVPDQTPCSIMNDIPSIPSGSQDMCGQCDDILRTSTSSNSPTNSSLTAGLLLKTDDVMMSGIGAQYSTPQPHTPQFADSSHLNIPYSYSSGYNQYSNGYS >CRE00309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3039490:3040675:1 gene:WBGene00051819 transcript:CRE00309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00309 MAKTPKKQNKKPTSALSGRPTRTKKSTISPEFEVYAMTVKDYKKRGQAQPINPNRASTGSQKRTTHQSPRAVRNAEAVQNVGASQSSGAVRNVGAVRNTAAVRNTGAVRNTGAVRNAQKVVSAPSASSGRPTRTTKSTISPEYEVYAVKVKENKKRGQVQPTTSNSASTGSQSRNTSQTPKPAQKAGAVRNAGAVRNGGVVQNARAVRNAGAIRKAGAVQNAQSVVLPPLALSERKPRERTTTLKGSDYSNTLNGKQQKKAASQV >CRE00810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3042255:3044579:-1 gene:WBGene00051821 transcript:CRE00810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdi-2 MFRLVGLFFLVLGASAAVIEEEENVIVLTKDNFDEVINGNEFILVEFYAPWCGHCKSLAPEYAKAATQLKEEGSEIKLGKLDATVHGEVSSKFEVRGYPTLKLFRNGKPQEYNGGRDHDSIIAWLKKKTGPVAKPLSDADAVKELQESADVVVIGYFKDTASDDAKTFLEVAAGIDDIPFGISTEEAVKSEIELKGEGIVLFKKFDDGRVAFDEKLTQDALKAWIQANRLALVSEFTQETASVIFGGEIKSHNLLFVSKESSDFAKLETEFKNAAKQFKGKVLFVYINTDVEENARIMEFFGLKKDELPAIRLISLEEDMTKFKPDFEEITTENISKFTQNYLDGSVKPHLMSEEVPEDWDKNPVKILVGKNFEQVARDNTKNVLVEFYAPWCGHCKQLAPTWDKLGEKYADDESIVIAKMDSTLNEVEDVKIQSFPTIKFFPAGSNKVIDYTGDRTIEGFTKFLDTNGKDGAGASEEEKAEEEADEEGHTEL >CRE00310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3047415:3049825:1 gene:WBGene00051822 transcript:CRE00310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00310 MSNVTEQVSKAAESARETVAKVGESVSDFFQGNPFATAVGRKIELATDANLLATENWGLNMEICDFINGTEEGPRDAVRAIKKRLHNAMSKNNAVVMYTLTVLETAVKNCNHQFHVLVCNKDFVQDLIKLIGPKFDAPQIIQERVLSLVQAWADAFRGDPTLAGVVQTYDDLKSKGVEFPAADLDTLAPIKTPKRTVFTQPPPPTLDAPVPEQAAQPAQRSYSQVVNPTYDVITIREQGQEPITATPAQLTKLRADLDVVNQNVKVFRETLTDVVPRKETADELQLLSDLNDGCRQMQQRVLDLIRYVNNEEVTYELLMVNDSLNSVFEKYERFISNRDGEKQAAETSDLIDMGDGKSLGDQLSALKVTAASGGPSSASTSQDAYKANAEPQTDVGLAAAVSNKLPTEDEAKEMEKWLATQSEKKTKEQEENDKL >CRE00811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3051112:3055234:-1 gene:WBGene00051823 transcript:CRE00811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spr-3 description:CRE-SPR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LEG1] MPPKKRKFEEVERGEPIGSEGEYKCTLCGQEFIRGCGLASHLRKHAPVSFDCYHCGHSSKSKHKHDRHVLVAHADYVRRGGILRGPIIRKVKEELPSDSSDDDDIPLPSFPPVTRRMSGLRRVEEVVLSRDIKMEEPDDYEDIPNFSVRGPPEAPPDPLALPTPIASPAPKAPTMPQETAVPVALPAPVSRPVRKSMRAAVSEAVPVTVPSVVPVTASEAPTMPQEPAVPVAVPAPVWGPVRRAVRRSAVSVAVPDPVPSLVSVTAPEAPIMPQEPAVPLPAPVSRPVRKSMRTAVSVAVPDTVDTSKLSMNVKEDMPVEVKMEIVEEIVHASTVSPQLPESSRKATHVVKTMKLGVKPRKLSLKKAAVKKAENLLNNDTVPRQRDVSPATRLKLPFGCPICPSRFNVHPRACFHVLSHRRKDPXXXXRLRYMKENFGHSISSPRSAADECQPGCSSSPMRSKLKPKLKAIAKRRKALKMSNTKNLFMKCGTQFGQRVIFETYSYYLCKDCPYVTWNVSSLWRHFRHHIQRSIMSWTCVSCSFSSANRVKVDQHVKLHKEIPETDLEYSHWLRFERRVNRTDLNKPTPSKKLPTRQYNYPAANRTLRSADRSSNQDMLNGTMEDQLDDNVPQTSNGEVATTPKIAYNTNLKANGGAKNDFEMLPQPVRAAHQVVTRRRLSAKTTQQLIEEIQQSDEETELQVNGLQQPTTVIEHPTKTVQQAVKPAGQTTEEAQPVKIPAEQPSKSSEQPVKSTTTSTKTGGKMMQAKTDPKNSKSAAQSATVATQKTVKPPEKAVRHLEQLAAQPEVQQQAEEVQKSAKTSQPRKKGVKPLKSTEQSVKTDQKPARQVQQPAKSASKSENGSQQPAKPAEQKSVLKKEAPKLAQVDNLEKAAEVNSQQAASSNSTQKSKRASQSVRFQESPSSTSPADVKGFKPEEYLSQIKTVPSTVVQSVAVSQPKSIVKSQAQPLEKPNISFTARREPIFKFTPLNSSASCAGTWSSTAFSSATSTVRFNFDYKAPRDDPTVITAPTSSLEAITMMNLQKIVTPKESKDSNSMPRREGSRKRSIDDDDDSSDRSTPSSHGDSIQSTSLSQQTSQSTSPPSSPVDIPHYRKELVKPRYEDFLYVKPVYPDFQKERHPLDANGRYQVAMREYEKALEIRQRQGSLIPVSDDQFKTPLPVTADTFAPHRPREYLMREFAIERSRRCADCPFKEDNLERFRKHRDKHLLPGRHKCKECNYSSFDYHQVQEHMFVDHYLSDIKLLEGLPSSDEDDYSPPPQRKPKAKKKTGGRRKRRRRTDY >CRE00812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3056864:3057373:-1 gene:WBGene00051824 transcript:CRE00812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00812 MKDFIGALSKDSGTASFFNKIHFKPNLSSTRLFLFGVMMIFTLVHVLLLATSPIVESASRVECHVVLECDPLTSCYSLKGGFPFVYGNKKEEDWQAGGKKYSMFLARNVETVRCVLLDKYEF >CRE00813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3059239:3060116:-1 gene:WBGene00051825 transcript:CRE00813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rhi-1 description:CRE-RHI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEG3] MSDHENTAENASEFQYKQPPQKTIDELLSADKEDESLKVYKEKLLGQGTVIVDEKNPSRVIVRSVELLFDGKDSQSFDLSDPKKLLNSDLSVNIKEGSNYRLSFSFHVQREIASGLHYKHKVKRSGITVENEKYMMGSYAPKLEIQQYKSPNEEAPSGMMHRGKYKVHSKITDDDNNVYLDWQWTLHITKE >CRE00311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3063422:3071483:1 gene:WBGene00051827 transcript:CRE00311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dgk-2 description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:E3LEG4] MEMDVHDELLAAVLFIVFTMFTLWVFRKLWAVRKRKTINDTSSKSGHYWSPIEQNEQQHNYCSGCKQHVVSGYECDNCLLKVDNINCLRAISTKIHCKVNQTLCRKETFDHHWICGNIGADLFCEVCEELCGGGVGLQDFRCSWCWRVVHTKCKPKFTLSCDFGRLQRTIIPPYCVTTRKPGNRNQRMMLIKSPFQAVIDKIEIPTDIEKWRPIMVIVNPKSGSGAGKQLLRNFRAHLHPAQVVDVLKSNISASLRWIDEHPEVDVRILIAGGDGTICSALDQIDTLSRRIPVAVLPLGTGNDLSRWLKWGKKCGGDIDVIKLMEDIQEAEVTLVDRWTIDAESQKKLGVRLQSNKTLSMTNYVSVGVDACVTLGMQNTRESIPRAMSSRLLNKFLFFTFGTKDVFERVCKGLNERIDLYLDDVHINLPDIEGLIFLNIPYWGAGVKPWATYNASHRQECDDETIEVFAVTSSFHIAQMQIGLASPLCIGQAKHAKLVFKGNHSFPMQSDGEAWVNSAGTVLISHKCKTAMLRKAEKQSTGCGMFF >CRE00313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3082688:3088637:1 gene:WBGene00051828 transcript:CRE00313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncr-1 description:CRE-NCR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEG6] MNILLIFCLLFGFTFHHGEAGCIMRGLCHKHTENAYGPCVTNSSNVEPIDFDKTHPAYEKMMEFCPHMLEGNKQVCCTPAQAEGLTKQIAQARNILGRCPSCFDNFAKLWCEFTCSPNQQNFVSISEMKPIEEKEGFTPEYHPAEAYASTVEYRLSTEFAEGMFASCKDVTFGGQPALRVMCTSTPCTLTNWLEFIGTQNLDLNIPISTKFILYDPAKTLQDDRSTFMNVNFTGCDKSARPGWPACSKSECNKEEYAKLINLDDGKTSGQSCNVHGIACLNIFVMLAFIGSLAVLLCVGFVFTSYDEEYTNVRQNQNGEESPKRNRIKRTGAWIHNFMENNARDIGMMAGRNPKSHFFIGCAVLIFCLPGMVYHKESTNVVDMWSSPRSRARQEEMIFNANFGRPQRYQQIMLLSHREFQTAGKLYGPVFHKDIFEELFDILNAIKNISTHDADGRIITLDDVCYRPMGPGFDCLIMSPTNYFQGLKNNLELKSNKEETVSEDESFDYFSSEGTTDEWMNHIAACIDQPMSQKTKSGLSCFGTYGGPSAPNMVFGKNTSIHQAANSVMMTILVTQRTEPEIQRAELWEKAFIKFCKEYREKSPKVIFSFMAERSIPDEIEKDAKDEIVTVVIALAFLIGYVTFSLGRYFACENELWTILVHSRICLGMLSVIINLLSSFCSWGIFSMFGIHPVKNALVVQFFVVTLLGVCRTFMVVKYYAQQRVALPYMSPDQCPEIVGMVMAGTMPAMFSSSLGCAFSFFIGGFTDLPAIRTFCLYAGLAVLIDVVLHCTIFLALFVWDTQRELNGRPEFFLPFKIKDLLGAYLVGRQKSTDTFMTYFFQSIVAPFTMNRTTRVVTGIVFVVSFIATIFLSSRIEVGFDQSMAFTEKSYISTHFRYLDKYFDVGPPVYYTVDGELDWHKPEVQNKFCSLPGCSDTSFGNIMNYAVEHTDQTFLSGEMYNWIDSYLEWINRKSPCCKVYVHDPNTFCSTNRNKSSLDDRACRSCMDYDDVANSYPKDSIFHHRPSIDVFYRHLKHFLEDTPNSECAFGGRASFKDAISFTSRGRIQASQFMTFHKKLSMSNSTDFIKAMEHARMVSRRLERSIDDTAHVFAYSKIFPFYEQYSTIMPILTTQLFITVVGVFGIICVTLGIDVKGAACAVICQVSNYFHIVAFMFLLEIPVNALSATNLVMSSGILIEFSVNVLKGYACSPLPRAQERAKVTVGSIGPIILSGPVITMAGSTMFLSGAHLQIITVYFFKLFLITIVSSAVHALIILPCLLSFGGSREHRSSENSMHENDEQGEVLILSPLAESRVDVETGAVPIRPTDLDTSHPTDPLLKNENDIEKALDIITIDRSYPSTPTSLPCTSRLPKTQIEPDLRSL >CRE00814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3091675:3093020:-1 gene:WBGene00051829 transcript:CRE00814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asb-2 description:CRE-ASB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LEG7] MSLSRCLPLGQNARVVIIPARFAHAAAPQAAAATDDAPNFFQKLALRFQGVPLKGEAHAPKSMFEDCNKEWSAPEPLPAIPKDFKEHPDRDLVNYPYPARPMYPPKSRLLMMPDSWFTPFQKVTGVSGPYLFFGGLFAFLVNKELWVFEEQGHMTVGWIIFYLLVSRTAGYKIDQGLYQGYQDRVNYFKGLIQEDLKDVVEFKKTSAAQTESLSAIKEALPTALKESMALQLEATYRKNVQTVATELKRRVDYLKETEETKARFEKEQLLKLINDSVEKQINDRSFKDQYLQNAIQQLKGLNVQL >CRE00815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3093658:3095317:-1 gene:WBGene00051830 transcript:CRE00815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmur-3 description:CRE-NMUR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LEG8] MTNDNDYQTAIIYDRIRRLFFNNANSTMKPGIPDLQDYCFHPDVQLFAEITLRRALDYPGYKPNSTLDQLSLEPIYLKPILSLIFLFVGLVGLIGNLLTVIVIYKTKSLHSHTNYFLANLATSDFCLIVVGVSFDLVNIWNKGEPLEVFGYCSLTSTFISLFTFASILTIVLLTAERFTAICYPFSHRTIFDEKRVKRFILLIWFVALLPSIFIGSMVKPFKRVGLDFCGFKRQMNYVGQCDLITSPDSFFRYPFESAMAITFVLPLFFIIYCYFRILVTLNEMSNSTHVHTPVGTARSDSGGAFQFPHNSSNSHTQSFPLTVHTKNVQPPRSQQAQKMVVKMIVTVTGVFFVCYLPYHAQRLIVKYNGDDCSQSAICRLLYPITGILQYISASLNPIFYNLMSVRFRNGFKKLIKDVWTHRTRSYSNLARV >CRE00816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3098880:3102285:-1 gene:WBGene00051831 transcript:CRE00816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00816 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3LEG9] MDALSKKLGECGVGAKKEETKKKIGLIALAPKLESNKNGTTTTVDSNLRKLNISPNQPIYKYDVKIACFYTNAQGNEVEIEFSKSPKKDSQHDRDKMKCQKFYEMAVKKTPALQTAGGKFFYDRQASLYSTTKLAAKDNIKLEFSKEASKAPNFVRAVFELKAVAESYQATSNGIRSTVHPAPALADKTLVEAISIFLSGPAYSKYVDHKLHLQYTVFFSKNVITIGNCVHYLLDTSGINVKMISYDQGFRYSALGASKGVKSLQGTNKDPSLYMVTEMKSTLFHPDDMPIVDLMQSYPGFTPNFNVHSPAGAHIAKAIIGKNQNDCSKLYNNFFSGLEVYCDYGKNQNNMEDALIVKIVAFDSSSRDVVFDCDGVDVSVQQYFKKKYNITLKYPDLPTAVAKGKKGGKIHLPVELLKTCPSQKVTNNQMIGNEQADMIKLSAAPPHQRKPTTDAVAKAVGIAADKVYGFIEVETSQKVQGIILPKPKIAFAGNKLVAFGQNAKCPTDFSKAGHFYEAKELKNWEMCYFQNEEIQGLSDQLVAEMSNNGMRVAKPTISYIVKNDLEAVFKKAKQAGRQLIFFVIRTRYNIHQAIKSFEQKYDILTQEIHFETGEKFFRQAQTRQNIVNKTNMKLGGLNYLIGSNYLNNPRVLIIGFETSQTGGDIVTVGYAANMMDHYQKFAGGYIYTARSRDIYGPIIKDTLVGIFNNFKKFKNRGAPEELIIYFNGVTEGQYGMINEEYTQQVKNACSTMNEAFRPKITIIATSKTHNERLYKSEQGRISNLEPGTVVDHTIVSPVYSEFYLASSVARQGTTKAAKFTLIYASAPGKSMERIETLTNDLCYDHQIVFHPVGLPVPLFIAGRYSQRGAMVLGHNGPSITNKEVDLVLTNQRLGYSDKNLFGSRYNA >CRE00314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3105349:3109224:1 gene:WBGene00051832 transcript:CRE00314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-16.1 description:CRE-ATG-16.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEH0] MVESYKKLIVQRLEEVKQKNKHIAAIYNNYSKLGEALERKHKYGTTHTGGYSKENEELQRVKDEMAELYRSKCQNDQRLINANHAITEYERKTSELMAEKRKLEATSSQLSGRYARIEVELHKIRIDNEQLNDERIATNTTVTMLTKQIQEMENDRIHFLNKIRELNETRIDLLNAEVAQEEQRRHSRVQEMITNVVQDVTEKDFKLEAMLKRMPETNASGDLLLGDSLPSRAEFNFECDEGEVNDVHWLDGETFATGGSDRKIKIWKTDGHGGQTRIDSLAGSNAALTRIDYDRDRKFLIASSNDKNVRIWNLDTSRLMSTLSGHADQVTCVKFYQSHSAVSGSADRVIKIWDLQNQRCSRSLFPASKILDVATNMGGSPSLFASGHFDKKLRFYDGRSTDPVKTVEMGGRITSLDVTMSGCELLISTRDDTITMIDLRTFQTVHCYSAENYRTSSDLSRVVLSSGNEYVAAGSSNGSIFIWNKESTKLEKRLSSSSDNAIFSLSWNPTGYGLLSSSKQKVVTLWK >CRE00817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3110938:3111868:-1 gene:WBGene00051833 transcript:CRE00817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aps-2 description:CRE-APS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LEH1] MIRFILIQNRAGKTRLAKWYMHFDDDEKQKLIEEVHACVTVRDAKHTNFVEFRNFKIVYRRYAGLYFCICVDITDNNLYYLEAIHNFVEVLNEYFHNVCELDLVFNFYKVYTVVDEMFLAGEIRETSQTKVLKQLLMLTSLE >CRE00315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3113863:3117884:1 gene:WBGene00051835 transcript:CRE00315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mltn-13 description:CRE-MLTN-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LEH2] MDFVLLLVLLVATVQVRAKLFEERVEGQKIETDTKVFEIDKKKLQQYWQYATTMALIKAHTRSALEELPRIERIVFEECARDSSTVVAVSKCAVKVLDARENAKLYTTTRPPPRLSFQDLPRPLIKQIYVSPSRTYVEKKYQMPEASQKITSSNLYYSSIHHQWVQNPIRRQMQSRRRFKRRDEMMEAYDLFLEEQRNLKKAENALKKVLEDPVERNMFGLPRHMSMPRSKRDIQGETIKHQQHHTSNFSEPVQPEQNVTATRPAIDLPKLASKYFQRIVEGPTGQRDHLANIRRIRNHYLRVEKCNGYFKLMNDENKKVFDQLKLPINSEAPKIENENDAFQKIVDIVNQFSASEVGQKKFSFLSPRIFSIMPEGKPKNRFLSPTLLSFQKDGFFSLPDIFDIVSSNQRYQQLMLEAILDLSGAGMAMEELLAKIEPEMQFMEQVQYPMVQKMSRQDINWLKARQLFTESQSAEYKKHGFAHLTEDQIRLVYADDPSSYIPITNMTRPEREARIETAIRKLAAEGRPQWPYWNGSGKRMKRDGGGDTDGGDNIPNYPEGEHIGDVEFMVLKPHAFANLINFGVSMEAMVLSPHAFVSEIMRPEALKLDVLSPRAFIATVLSPSALIARILSPTAFRAEVLSPRALTAWVLSPEALIAEVLTPRFLEPRVLSPEALVIDVLSPGILAPHVLSSETIGVMILSPNILSPRIASDEKFLVEVLSPHILGGPHSEEEEHSNIEIGSGSHEGHSHSHKNEHKPTNHSNHHQLHRSIFHHGAPTSIRSQSQVPHNPFLSRFKKR >CRE00316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3123645:3126121:1 gene:WBGene00051836 transcript:CRE00316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00316 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LEH3] MGGVISFISLRHHNESHMVPSKQVRTRNGVVEGFRIKIDDEKEVDLYLGIPFAKPPIGNLRFKNPEHTEDWDGVRKCVRFGPRAPQADFFWERFTLGVGKSEDCLYLNVFSPTWKAEEVTNGLHPIMVYVHGGGFLIDSAVKYGDEGIAKYLCRHGVVVVTIQYRLGLLGFFSTGDQVCPGNLGLWDMTMALQWVRDNVHAFGGDPRKVTVFGQSAGGVSVDLLALSPHSRELFHQVVPMAGNGECEWSTVGKDRLFNACRDFAYRKCWDEKQEKDENASESMLAFLRTKKDKEFEKRLLTRKGVNVSKIGLDLAPVIGSKPSDFLPKSIEELRKEAPKKNIMVGTCEHEGLLFASLGPSNFDEKGIDKLLALLITEENHEDFEDLRKEAKQIYLKKDSEDEDDKVAAAKGYIKLYSDLFVNNGTYNYAEKMTKLGNKVYMYSFDYCNPRSFGILSLRAPFRGTFVNQDRFLQNWLISAATHCTELAYIFGVSIVFNYKYNEADKTMLDLMTRMWTNFAKYGNPNGQYEDSTVFDFKWEPTNKEQLTQFLAINETKCEMQTVYQDNRAEFWKKIKISSKNV >CRE00317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3136617:3139958:1 gene:WBGene00051837 transcript:CRE00317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dop-1 description:CRE-DOP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEH4] MNETQWPLLGLFSILIILALFGNLLVCAAILWDRSLRKQPENLFLVSLAVSDLLVSVLVMVFAAVNDILGYWPFGQFYCQFWISFDITTCTASILNLCAISLDRYWHISRPMVYIRYCNRRRINYVIVLVWLISAGIGAAPLGFDFGSKVTINTFVSDGRSINNLTYFSLTGLPVCEMRLPLPYAISSSMASFFLPAMVMVILYTKLYLYARKHVRSIKTQLQQATSFLIMQLASEKIREVTAATLKGEALLPPDSPATERTTMTVSRHYSRRSTTTTTATPRRGDNKTSSQNKVESIRSSIFSKLNFLCPARFKNQRSPQDPHTPAAHNRSNISDQKARLETEVRIVSKSNVSFFSLTLGVIMGTFLVCWLPFFTVNILRAWLPEIFSPKTIMAVTWLGYANSSANPLIYSIFNRDFRRAFKKIIVKVFGCCWEEPDLNKSISSRYAAPDQIERRRSCTRSSESAHDNNNDANATRLNLLSNNNEETIPE >CRE00818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3142398:3146920:-1 gene:WBGene00051838 transcript:CRE00818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00818 MPSESYLEPDYFVGDVNATNFTLCDDTSELRIAFISIGSCLSVLGCMFNGILLYIFLGKTQRSYPFQTFLAFLDFMLCALYIHCFGLLALSVEYKNALLYNFVMDSNVISLVMSRIVQLAIPYTLIANSAEKLTMILGFDCESKYSLRLRIGVIILLSATVTLLRINGLYLFYIFEDDNCEYFHRKYLSSHQEEVQKWTTFENVLTFFHTFVSFVLLVAFNIVVVAKLRVQHRRTRRQSTSPAQLFSSTTARVQAAQEIREQQHKIRCAVKTTVVIISAYLACNLCNFVLYSLETFRREWIMEGNGGFKPFYVIISDVGSNLFVFSSTIRIFIYYKYNAEIKKLIREMWLVNFIITHIRPLKTDALLKMDRADV >CRE00819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3153263:3156048:-1 gene:WBGene00051839 transcript:CRE00819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-23 description:CRE-DPY-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LEH6] MIGGLFVYNHKGEVLISRIYRDDVTRNAVDAFRVNVIHARQQVRSPVTNMARTSFFHVKRGNVWICAVTRQNVNAAMVFEFLKRFADTMQSYFGKLNEENVKNNFVLIYELLDEILDFGYPQNTDPGVLKTFITQQGVRTATKEEQSQITSQVTGQIGWRREGIKYRRNELFLDVIEYVNLLMNQQGQVLSAHVAGKVAMKSYLSGMPECKFGINDKITIEGKSKPGSDDPNKASRAAVAIDDCQFHQCVKLTKFETEHAISFIPPDGEYELMRYRTTKDIQLPFRVIPLVREVSRNKMEVKVVVKSNFKPSLLAQKIEVRIPTPPNTSGVQLICMKGKAKYKAGENAIVWKIKRMAGMKESQISAEIDLLSTGNVEKKKWNRPPVSMNFEVPFAPSGLKVRYLKVFEPKLNYSDHDVIKWVRYIGRSGLYETRC >CRE00318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3161062:3165107:1 gene:WBGene00051840 transcript:CRE00318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lst-2 description:CRE-LST-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LEH7] MQSFRKIWNKPRPDDWMPLARFYYADSALNDIASELDSFDGRRDPDRCNALVTRLRVAQDRVLHIITEMLIHLYPREQDRACRDFRVKFPDEILHDTLPGQLWFGAECLSAGSNIIDHESESDLIRPLAKEVTKQLDLLRDLLKNQSLRDPSAYNPVIKENLLKFDKLFADFEYQYVSAMVPVKSIKEHDSQLDVAVLFSEVLSLALQKDLITQDLIDYCDPSVMIAIPRLGIVWGLLVYSEGALNVDSPADHLSEMFRPFYSLLVKIRNLLRILTPVELTKLETVLCKGETSVPEDTSSTLTMSDFRTNATDEEKAKNNQRVWMCDMPSDSTSSLDSDIRDSASEATSLASSGLTSPNSGSEENVSQMEKSDEEIDEEAIETASTVETENDSGNENVEMIEASEVENDVETESNSSDNVNEIKTDDDVTAEQFENKNLKDSVLPLDQKDFEKNGKTIIPMQSDPSCQFSRCPVFYANILLYNLLFSRRAQIDPKNLRSRFRSSEDLVHRLFVCIAGVADQLQTNYSSEIRKVLKIILQPSEIIPVYEVVNAQVANSQTEGEETGVEAQETLPLPAFMGVRWVPDEDCEQCTACSMPFNFVRRRHHCRNCGRIFCHKCSCNTISIPEHGYDRKVRVCNLCYVHRLNPFGCNEQNQASENSANATSVAEQSTAQAASASS >CRE00320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3185692:3187091:1 gene:WBGene00051841 transcript:CRE00320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00320 MNVKIKLFVVLLSLAYFCTPAITDQSDEVANIQELIDTTSIGLDKCETDDVVMFALLTVIIVIMLLMLYIYVNSWATYWNIPDNISEEQRNALEQEEERKDIEFLQTFPVITTIVVLSITIRELIYFNFEELPGIGKLPNKNTMRAYGLSILLNSIPVSIYLVYKLLPTFLTAVHSKSFQILMAIVSFLIVLISMYCMLESGAHHTNFNGLVATIVEQLEELFL >CRE00321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3188822:3189102:1 gene:WBGene00051842 transcript:CRE00321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00321 MMTSQTLLFAFLAALLVVALLIVFILLEEYGFCSGTGRHTRLHGTEDSSTKREENPVVEIEMTEFNRISEDDIEQ >CRE00322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3189337:3189738:1 gene:WBGene00051843 transcript:CRE00322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00322 MFDFLNPSVKYALIVIIICAIAICSCVIIERIIKHFFPISDEELQRIMTENRRRLLPAALAALASPAAPATPAPTARQAPIVPQVIITIHPAESDDEISLQETPILRSNYYVEQVTSL >CRE00323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3191486:3192920:1 gene:WBGene00051844 transcript:CRE00323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00323 MDWRSMFATKKHLTLFLFSQFEIESSTAIKYHIPPMIIFVVVVISCLIIGFLVDYIMQKHGCCGRRGGCCRPEQERPDGGGDGLGAIPLASINTQNNQLPRRLPLLPSAPQQDTRV >CRE00328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3202381:3202732:1 gene:WBGene00051845 transcript:CRE00328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00328 MTPVVMVSPNAKPEEKVDVKLLVFGIASFFFSIYFAIVFYRRYVWGLKWCDSLALTQRHVPRIEIANRTHRDEDIESAPRKATVVRFKVDGKVLGDDE >CRE00821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3208603:3209773:-1 gene:WBGene00051846 transcript:CRE00821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-165 description:CRE-COL-165 protein [Source:UniProtKB/TrEMBL;Acc:E3LEJ2] MEGKDTDQHRHMRAVVYSACAVATIAVFSAIIVLPMLFTSIQSLQQEIELETNYCKLRSRDMMMNLYATGGQQRVKRGWLFGQWIPDSGTGGGGAGNGENYGAPATEQPYNAPAPSPSHGGYEQPSNNGYGPVVNAEPAPQCCTCQQGKAGPPGPPGDDGHDGKDGSAGSDAQNGKDGGVAPSDGLQSEPCVICPPGPQGLMGPAGKKGPTGPRGSPGLAGIDGRRGEPGMIGPTGLDGEQGPQGPPGKRGEDGRVIDINGPQGAPGAPGAQGRKGEQGPKGRRGNTIPGPQGPNGDAGRKGRPGHKGEGGQPGGLGSKGPNGDCNHCPTPRTPPGY >CRE00823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3210940:3211531:-1 gene:WBGene00051847 transcript:CRE00823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00823 MSEHDELVALVVDNGSGMCKAGFAGEDAPRAVFPSIVGRPRHQGIMIGIGHKDSYVGDEAKRGILTLKYPIEHGIITNWDDMEKIWHHTFYNELRVAPEDHAVLLTEAPLNPKSNRERMAQIMFEGFDTPAMYVAIQAVSILLDMISPTTS >CRE00824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3212381:3213483:-1 gene:WBGene00051848 transcript:CRE00824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00824 MFSFLLTLSLIQGTLSYAIGTGVQANPSGCNVCAEQGQWSAWTEWSSCSAAFGTPVQTRTRLCPSGNCQGGSTTESRPCVLYDPQPTQPQWGAWGSWSACSASCGGGTMTRSRVCNNGCSTCQCVGAAAESQACNAQPCCTWTAWSSWSACSVTCGSGGSITRSRQCSCGSGCAGGSVEQEPCPQQAACPCTTCNQPPPPCNTCNTQPVVIVTPAPCTTCYQPPACSTCGHAQPFYDPYGNGRKKRMISAAGNSTSTNV >CRE00825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3214288:3217025:-1 gene:WBGene00051849 transcript:CRE00825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cst-1 description:CRE-CST-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEJ6] MPPSTDSSRRNSEEGFSDGFKLDSSALNKPPEEVFDIVGKLGEGSYGSVHKAIHKESGHVLAIKKVPVDTDLQEIIKEISIMQQCKSKYVVKYYGSYFKNSDLWIVMEYCGAGSISDIMRARRKPLSEMEISAVLRDTLKGLQYLHDLKKIHRDIKAGNILLNTDGIAKLADFGVAGQLTDTMAKRNTVIGTPFWMAPEVIEEIGYDTKADIWSLGITAIEMAEGRPPYSDIHPMRAIFMIPTKPPPTFKKPEEWSAEFNDFIRCCLIKKPEERKTALRLCEHTFIENAPGCDILQSMIQDAQEKAALGQVPLTASGPDATLLSEGMSTMIDGGDATLVQHKDNYVTAQSLRSQMESLRIGGEIPKSAYSSSKNGGQPRVQPPGHTASASDPSKNKPFPQDGTGPNFQIRTSDSSYKDASYNMMNTEMEYENRFQRAVVDGDFEFLRNITLDELIRRKESLDSEMEEEIRELQRRYKTKRQPILDVIEIKKRQN >CRE00332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3218713:3219538:1 gene:WBGene00051850 transcript:CRE00332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00332 MNQYDKTRYDVISHLTDIPFLGKQYVPGIVFHSFPFIYLIFLGLWKQFEGENYQSIPQQQAGQELINQRTHTSTTTAQNEQLGRASSSAQIGGGSRVIEKRSLPTSSNNAVTSQFTAPAPKIPRI >CRE00826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3219858:3224607:-1 gene:WBGene00051851 transcript:CRE00826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dop-6 description:CRE-DOP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LEJ8] MNESEIEAAVAAMELEDQLLQPEWSYLVLSVVPLVCILGNLMVVAAVWTTRSLQTPTNHLLVSLAMADLIVGAFVMPFSIYLSVNGLHWHLPLFVCYFYCVLDVAASTSSIIHLVLISIDRFDSSSVKTSNRKCCRLVAATKPAEYKTIKHRKRVYLAIAITWLFSIALSLPLGTGFNTRTSHFLVIEHHCGIYNPIYMLGSSIFAFYLPCLIMIFTYGYIFWTLRKRLRAIQLQEMAGGQFLGFGADVGNITTSAIQSVIGIAPKNRNMITWEKPLLKKIEETAAEHASSLNDSEREQLQTILEAVHPSSSGSQENTTIEEDPDRPPSVLLEAVTLKCEIDTSGPILELPRPTYTKNMSRRFSDAVNSISSRGRMKSVSFGTASRKRRHSHQPQGNREVVTSEESKKLHSLKPPLVEKRKMRRLSEMISDWERPSRSSISNMYLYARRESVYIARKKLAGLKDWALDLLAKLKSKQGMAIRRETRATKLVATVMGINNKLGKHANNLFSVVFLVCWLPFFTLNMIKIYKLIFNVWSADLEIWFHWFTALGYLNSSLNFFIYSTINPKFRHSFRRLLGFRRSSRRQREKSWMLPPRDSSRGPAKCGCGFHKIVKSPLRKTSSAGPMNNRKISMQKPEIVIDEISPNRISISAGGNTTFRRSSDDCIRRSSSEIIGGITLPSQSSNDKRRGSGMSNSSASLHIHETRMTFEAMVNDQADCEIFV >CRE00333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3230478:3245668:1 gene:WBGene00051852 transcript:CRE00333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00333 MHFLQKLDGMLHGQAMAAVQIAEHDTTNWNHFEADSHLHLEMHTRFLPHVSVLLAAIALAWYYETFDFGFFKYTSKMKGDAVDELLKKKHQVIGKECIPNTNTCFLIVDSYYLENGRLTTKRDLLLEENTEYSLTGVEVESTKLILAVSWENTNSRDWPVNKLVINSRYARLLVAAGFIMESLTFDENDHNDVLVAGLGGGVMSNFLSEISYLNIDTTVVEKEDFIINLAENYFDHFETDQMRIVHADIVDFLRKYDKKYDVIIVDACENKKSDVMCPVPEIFKKDSIQLLKKRLTLKGCVAVNVYLTKEAAETEKTIFELFSNEFNSCFFLEYFKDKKLQQAFLRLFRGRVQAEDPNFPIRIRIYRLLIGIRHLEISFELLDMLNQQHNQGGQQLLNVQRPHQIQPPGPHRGPPQGQNMQQNQMQQNQMQQNQMQQGQQINMGQSQMANMQGQRQVGQPGPSSQQMQQPQGHMMNMGQQIRPQQNQQQQPQMMAPNAQQQQPMQQMRGIPQQQQMRNMAPQGQQMQSPQQPQMNQMRMQQNPQTMPQQQRVPVQNMQQNMQQGQSQNLRMVPPQTNPAPRMQPPHQTMTMQQQQQGGPQNMNRPSPQPPHQTLQHATPQGMNRPFPQMSWDTKCEHSSLEKFQGHQQQQGGSQNMNTLTSQQSSMPNLHQIMSRPPLQLPQGPITINLNPNAQPQIRILPIQPINQPNLTNGSPQQHPQMQQVQQNMNGAPQQQQMRMQQQDSQMQQGPIAMNGPPQQQQGRGTQYFQVTPKQRQQGSNQNTPRMNPPQLTQQQPMQQPVQQQMQGQPNQPIRPIPQMPQGRQMAPNQQRQMQQQGLQAIHQPQPIQQPTVLHQLLPAKSDAQQQGGVITFDADKMIHINGEHAIINGQQYKLVQMTDGETQQAHTLLNQQQKSNQHGQSNQHQQQQTPHQQNRNVQQRRSNTPMQNQQGSQQMQNQQSMQNSSNMNSPQHPPVLQQQQPIPAKAARQRNRYKKTATAAALESKVIKEDTPVSEALRMMPNNELLQHIPTSSNSNPPTRGRKILPPSLTPVAPLTSHGPTTTINSSTVSQPHIVQQGRNVVQVGGMPPNRQMNTPMKNGQRNPQPLKPTVLSNQNVNGRPQVKQSLPSTSQPKPLANKENMTSIRDAIALHVSGERKMTPEYHAYVHNQLFRVMEEMELLEMWHDQRYISVQRLLNMVNYRSATEIKTPEKPPRPSQQPTEDELEPEPADETPEAREKRYDGYMKTLVEAYPEKKCDVKFATLDDVTIRKEVEFYRKQDMKNRETHLREIVNTLPEEKQTKAKIEYLGLGLLEFQEKLRKEVMSHTVLVPPTEFLINPWSIRRTKHEYILELKQHPDRAALEKKRKSTNYAFLQSLAKHTREFKEFHKNTLNKHRKVHKSMQQYITNEAKRVAREEMKNEKLRIQKLIQEDEEGYRAMLDSQKDRRLVYLLEQTDDYIKSLCDLLKQQQAATGGVQMKQVVRKEYEGLAEEDKVKSILDKARNEEDEYENKTKLNLEDYYTTAHGIREEITQQHYSMGGGNPTMKLKPYQLKGLEWMVSLYNNNLNGILADEMGLGKTIQYFQTIAFITYLMEIKKTSGPFLVIVPLSTIPNWQNEFDKWAPNVHLIVFKGNKENRKANEPVIKSGKFNVLLTTFEYVIREKALLGKLRWKYMMIDEGHRLKNQHCKLTEMLNTRFQCQRRLLITGTPLQNKLPELWALLNFLLPTIFSSCSTFEQWFNAPFEKTGEKVELTSEETMLIIRRLHKVLRPFLLRRLKKEVNYPFKTGKIVNLYDFQVESELPDKMEFVVKCEMSGLQKVLYKHMQKGLLLDGKTNTGSRSLMNTMVHLRKLCNHPFLFNNVEDSCKSFWNSKFITATDLYRVSGKLELLDRILPKLRATGHRVLMFFQMTAMMTVVEDYLAGGTINYLRLDGSTKPDERGALLDKFNAPNSKYFLFMLSTRAGGLGLNLQTADTVIIFDSDWNPHQDMQAQDRAHRIGQKAEVRVFRLITSNSVEEKILASARFKLNVDEKVIQAGKFDNRSTGAERRQILENIIKAENESGEDEDVPNDEEINDILSRSEDEFELFQKMDQERLERDRKNKAKPRLCGDDEIPRDILRAADETDYIEKAKEEGRVPYLEVMPGSRRTRKEVDYSTDTMSDDRFLEKLFDGDDAAPAKPDAHKPDVAAVPRPEIRHTESTPSTSAPPPKAVSASKAPEDVHFAVPKLPAVTFKVPRLLPKSLDDLKRKHTKSESESIDLTPKKVRKDVENTEKKETEKTLKRKIEQPIEPPIIDLTGEPLQKYVKISEEAGIPSREKPQVKERKEKKHKHRSDDESTPKKKKHRDRDREGSSERRKKHKKHHRQDEESSPSVSHHLPGTSSPTVSSKHEKESPLKILESASKVFDKTDSPSVSTPVLKSESSPLSADTSLQSTSSDSTPKPRLTLKFKMPVMPPPPPILDVDNSKLSDSQGILPLKFKIPTQSPEKTGGLKIKISTKSLDLPKLLKMKEEEASQKILPLKFKIPIPPADVSGSKVEDATKTPEKSEKKEKTPEKVKSIPSSKFKIPIVSPDKPPRKSKTPKKISDARNPEQVTPEKEKVPPLKFEMPFLSPQTTGDNKKTLMESPETPKPDTVKEREQAQKISPLKFKMPVQISEKTNPTVTTPVQVPEEPKVNIKEPEKTQSIPPLKFKIPVLTPEKTNPTVAAPVQVPEDLKVNNKEPEKIHSIPPLKFKMPLLPETSTPKVTAPSGIPEASKLDTKGPEKSLHVSPLEFKVPLPPQSASEPKDNEPAPKIPTIKFKMPKPLPEAVDLKNETPVQPVVQPVFLSIYEPRDPIPSIPIPDVSNLVFKVPALPNKVPEVSNAKVEQVAQAVETPESTIEKPIESTAIPDLKVTAPAVEDLASIVKIPTQALDVPVAMVGPTAPVASEPEPEFKAPTPVPEVSDSNVRQTPLFVICSIFNFQVSTKPLEVTEKPTVPSTDALTVKIKLPTQSPEITPLKVKLPIETLIQSPVEPQLVSPASTISTPTATPEKKKKDPEEKKRHKEEKKKAREGETEEERRARKEAKRLKRESEGKTDDAKREEKLARKAARKEEKRAEKQKRKSAAGSVDGNSTINVSDTLSKEVTQSVTDLISKLMNTPVTASVTTPVDTPAAGSA >CRE00334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3248855:3251512:1 gene:WBGene00051853 transcript:CRE00334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-paf-2 description:CRE-PAF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LEK0] MGSFISSPQVLTRKVSGQFQVGCKDLMIDGTVLGDRGLFMRLYFPTDSEVTDISSFPLWLPKPQYAHGLGEYLGQSPQKMNLLTSTVVGDKREDCIENAQLSTKCDKWPIVVFSHGLGGSRTFYSTYCTSLASHGYVVAAVEHKDHSACWTYQLSEKNGELVEQPIKIKLIEKNEKNEFKIRNQQVGKRVTECVKALNVLEQLNLGTVPEKVLIGNDFNWGQFKNKLIMSSASVIGHSFGGATSLASSAYTTDFQKAIVLDGWMFPLDSTQQEQAKQPTMFLNVGDWQWNENLDVMKKIFPHNDGNLVLTLNGAVHQCFSDFPFIFPMWLAKKFGVQGSTESSLCMQAAIELSLAFLEEGKEGAQKLKDDKFSNFITNEVYGREKFKL >CRE00827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3251815:3255046:-1 gene:WBGene00051854 transcript:CRE00827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cka-2 description:CRE-CKA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LEK1] MSLVAEGHFRGMKELLSTMDLDTDANTIPELKERAHMLCARFLGGAWKTVPLEHLRISRIKGGMSNMLFLCRLSEVYPPIRNEPNKVLLRVYFNPETESHLVAESVIFTLLSERHLGPKLYGIFSGGRLEEYIPSRPLSCHEISLSHMSTKIAKRVAKVHQLEVPIWKEPDYLCEALQRWLKQLTGTVSGEHRFDLPEECGVSSVNCLDLARELEFLRAHISLSKSPVTFCHNDLQEGNILLPKASSGNIRMPSLSDETQALGNSLSAFNPADPRLVLIDFEYASYNYRAFDFANHFIEWTIDYDIDEAPFYKIQPENFPENEQMLEFFVNYLREQGNTRENELYKKSEDLVQETLPFVPVSHFFWGVWGLLQVELSPVGFGFAEYGRDRLSQYFKHKQLLENLAHQ >CRE00828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3256746:3257418:-1 gene:WBGene00051855 transcript:CRE00828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ets-10 MHDRSWFEFYAGKCHLRRNSYEWLWSFLFRLCMDPEACSIITFIGDGLRFRILDLKALAFLYYYPNDRFIFTDQEVINLFKSALGRNQAICNCWATNNDVYDFTSLRDNEMMIYSGMSMQQLQDYAYCVSPQGEYDDGFSDCESLISHYEFGVKRNLIERE >CRE00829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3258072:3260108:-1 gene:WBGene00051856 transcript:CRE00829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ets-9 MQPQKSIEEQESTSTREKESSPPQLSSIFDRMSTTSHAVNRITTSRTEETVTERTTTVFESLQMLTRMAPQPEEHVKRKKARIHFNERGLYSDNYGIPQTPEDTRSRWNPQPQFPLPGMNTLPLFCKLQKSKLIMKRILAYVPSPVFPPAVINEDPMTDDELIKMKGPSRLIGFLVHIAMNERARRALRWTGNGLEFVLVNKELVAKMWGNRKHNTKDMDYYKLSRAIREKYDKKNTSNSKAGKLKKGTRTYSYVFTENAYSDLINQTEKSIEFITNFAMQVGHKYLDNSDLNNSNSPQAPSPLNSD >CRE00335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3261187:3264062:1 gene:WBGene00051857 transcript:CRE00335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-28 description:CRE-TWK-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LEK4] MYTHNAVDTFDMGSADSSISSLNEIPMEMDLTTAGPPISDDETEVETKEKKFFVYAKRILPHVGLVILLFLYLIAGAFLFRYLEAPKELEVRFIISITNQKKVQTRNHELTTILGLRDEFQDHIWNITQDSDNRISREAFNAINQEYFEQLVKNMFQAYRNQFITAKHLLNKTREDEVLWTFPNSMFFAATVITTIGYGNLVPITVTGRVACIIFALFGIPLLLVTIADIGKFLSEFLSYLYKSYRGFKRKLRRQSKKIASQYRSQSQSRSSSVMGSSKAGSMNLHDIDSESEDSAGDELRIPVFMVLLVLLAYTAIGGFLFQSWEHLEYFEAFYFCFITMATVGFGDIVPNEQVYVFFTMAYIIFGLSLATMCIDLAGTEYIRKIHYLGTKMEDAKGAVMTGLQAGEHLLKHTGIEVIKTAGGKLVQVRGAVLSSKEARELGMSYLLQFNYQHKNVLYEPLTGNLAKVVKEANIKILPDDITEKDGYIVQNKSYDRPVLGERGFVRLNGNAIKRIMIPSRNQAICVPYLIQKESEI >CRE00830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3264118:3264507:-1 gene:WBGene00051858 transcript:CRE00830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00830 MFYHAILIHLVNALLFSFFPVFVMSSRARELVERIAQMVIVAKCKCAWQRSKSMTHVNCLRIDTWIVEELIEAVALARIENDSSDNSVMYTESLDSLK >CRE00336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3264648:3267212:1 gene:WBGene00051859 transcript:CRE00336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-18 description:CRE-MEC-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LEK6] MEIQNPFQISWDKTTAQSFHDYFFAKISAHGSNLAIVSFLVRICENLGIQVDVDTGKQWRYSEIRNWCEMCATRLKELQVTSNSRVAVITGTTGQAIFVHLACSIIGCSAVVVNGWSAVGNVFLRQYDTNYTNSDEIWTLVDLSEATHLIVENQFMQKADDVRRKAQMRGGGRIKHVRQIEDVLTAERINVDSARKRPPLVKEISLAKINLGAVLAEDISDLTSPVSEISGQSKEMNGDVDSGGQDGDDVQTPVQPLSGQNPMLILFTSGTTGLAKAAELSHRSLIINIQQISLPLFGPVQAKERFLLPLSIAHIFGVVSAYYALINGASLYLISKQSNKLLMDTLVSNQVTFGYSSDLSILSYFQINVMHITPAFVHWMATEAMVDDYKAPHLRSVLCAGAPIDSNLANTMKCRLNIKDFRQSFGMTELGGICTMSPYQDDKIESVGNPLPGMLFKVVNWETKQLCPPRQPGQIIVLGPQVAPCYYKNPKATSELFDSTGFVKTGDAGFYDEVGRIYVLDRIKDIIKCKGTLICPSEVELVLRAHPGIDDCAVVGRQDHVTGEVPAAFVVKNSAHPLLASAEVRQYVSGKIATFKELRGGVFFISEIPRSVCGKILRRNLRQFWDRERTNSKVDSLTNKEGAKTAGVSKGAPVKKTNGSSTPSKRPPSAGRPPKK >CRE00831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3267784:3271498:-1 gene:WBGene00051860 transcript:CRE00831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-coel-1 description:CRE-COEL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEK7] MEEGCSTLVRSLEQKYLDDDDDIVQDILFTGFTGCSPCKMASQRALELLVLNNMNIGTIGDTDKFATLASHVSEADLGWNQISQWSDVACILKNLPHLRVLNIGHNPLNPVIDHELPVSTLHTIILNGTHLPFKTLQSFLSVLPKVNELHMSDNKFKEDDDCDEPISTTVRTIHLNRCGFQEWSSVMNVVKRFPNVCSVFVCENPLKDVTHCKQFEQLPFWNFLNLAKTSIDSWISLDNLSKMTSITDLRIPNIPLLDPLTNEERLHLIIGRIHHLRVLNGSKITNEQREQSERFFIRYYQEQKEKPVQYKTLIEKHGNLEKLVNIDLTPKKDAVVKLVCEEKDVNQEITISLEPTILEFMKCLEPKVGVKYTRMKLFLFRGDGRSEDFTSSSYNMPLHYFKIEDDDVFMIQVHVVKEKEKIVVTKRRRPPSSTSSSS >CRE00337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3274730:3278757:1 gene:WBGene00051861 transcript:CRE00337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00337 MSDHWNEQKQYLLIEKVPNLLDLCLKPASKRLIQGTYPAGITHENDKKISNLIFRQICINNETINDKRAKTINETLDITAITLDSWMVHKDFMKGVIFHQLKTLRLKLLFQMNCFQSPSPTCTENRMYYVDIIGTLKKLIGKDHSDKLNNLCIEGKHVKFDESWIKNMSNTFPKLESLDLTGCDLNNSQFKELAKMYENMKELSLAKTEITSINEIYRMKNLEILNLSGVEFRNSEQMQGLFELKQLRVLDISCYVEEYVSNVEFYCESRKHLPELEHIVCCGNEVTTEQVLWLDQNHKNLRVIDLVDTELDCDDSIQEMKTNAQLMTVHTLENCIQVMKKCYNPFRSQQVRRSMMRIRELLISEVDEHPRKMYWICFQWIQNIFMHNESCDNLIEVAIECIYQSRRLLEIMSARDFNIIIEIFSVLLKKQEYVDGGYQYPVYCGSIWTTLSELFFQNHATTKNKNRVCDLCIEYILAAEEVNDISLYQCVLTFELWLPSLGHPRSGVFKHLVSLVASFDVDDLEHPYHIIDIVKRCLSNLCKNKFAISDEEETIQLATKLLEIFEELEEEEDRNARTDVVRCLQLLVKVAVPGPLCILFEKQNLEIIMKPFILNDEDEEMKKSFLTVLILAYCRSIRKAVIPRFSSSILKKFLRLTAAFDWTRTDACMSVWSFIKTTGYGSITQMAAWILKQIEDMELIETLETGGIESCEPCFQRFQSPFKPFLLKMSFVKLIYWLPRQPDSEYVRGYIRQYYETILRLLNNNKATIDMIKYAKKIIECTARFKNLFSEQIKVLLVDIGLSALNSNTWKVANKENSNFVKNDVIWTALMDHDITKGASLETTNGLVKICVKSILKLDKPHITFLDLCLRFLTQSLPVLESIPFDEELMTFLVEGVSTEDFKTDEVRYFYALEILKKIYSTHQNIQNKIMDSRLNRTLIQDLNRFRRSNKSMNKLLDYLTFFANYVKWRIYFEKANYNVFEDFLVSTSPKRRLFKSKIFGVFWILYYRSAKTPVDDMDEQQKTNYEEALFEFLELTKTSERSKAKSRNSIWKELQTSGSAPIQKLARWMLKNLK >CRE00832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3279461:3281935:-1 gene:WBGene00051862 transcript:CRE00832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00832 MFPFRQTSRPAGGPQYVVKSGVYTTVTIAALCRVSQTFNVRLLLSALIQSIFVTFSQIMAEKSFISGMKTAIFLLAILGHAAANLLMPGIYTEVVEIQQGKYQLIGVPQASLNGTVVQLEYTCDPDVELEFDTEYVLRSSPCDKEFFNTKENERRQNLVFYFNETNQNHIPDTFDYNEIVYYKSPIQTISCKGSHGRFVFPSPTGPMTVKQVTPVHVDRVKRDANGLGSFHPAQSIPRDGIYYMIIKVVGKSFPSNQNANTNVTISVQWKAPYGFLSAIDWPLLRFYKIMCVFYSALALIWVYYCIKYYRDILRIQYWIGAVIVLGMVEKAFFLSEYATMNDTGSSIDGILEIAEIVSCAKKTMSRVLVIIVTVGYGVVKPRLGQTLNQVAAVGLVYFIFCSIEGIARVSKNTVEATRQKQFAALPLVLTEMIIFYWIFTSLAATIRMLRLKRNDVKLNVYRHFANTLAFSVLASVIFMLWSMIYHIFPTCRVDWKELWVDTAFWHVLFCFILIVIMILWRPSQNNQRYAFTPLLDDSEDENDQGKGVDSYKASNLCVISDEIFNAFAPGYDILTARTSGSGAETRKLREAEKEQERKDEAIKEDLRWIEENIPTTIADTLIGGLDDNEEIESKQLEVSKML >CRE00833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3282292:3283919:-1 gene:WBGene00051863 transcript:CRE00833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-6 description:CRE-SRV-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LEL0] MPFEQTLLFLLGLFSILLYTLIVRTLKKHRKTPLLGGTFFKLVVLQFYAEAFFFLEFSLTMRFRKYTDFYTFFESGTQFTGIVPRIISGVHYYIKVWGPTTIMWISITQWFVPILAILPTHAWPEFDFYLVITSTAMRLTNDGVSTALISYIDGFCCLVTCIFCISCYIITGFLIRKNWKRSSSTVFKKTSTQSSAERGLFISAVLSFCVLMLNLTLQILKIIISWNGMTNIFAAYDFSTLKLDEASMSMGRAGQTNGVSKTSPAS >CRE00834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3284265:3285704:-1 gene:WBGene00051864 transcript:CRE00834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-11.1 description:CRE-TAF-11.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEL1] MNANDLFGGLSDSSGSSDEEDNSRMIPPKHQNVLADLELSDEDEDLDEIVNASQNTNRNTSNVQQPVKTPPAPRPPVVFSLSDDDNSMDMPDIDQMMQKRNAAAAAAAAEAAERAAKAAEAAKAAEVLKAAEEKIAAEKRRIEAMSRPEPSRKQQRLDDQPGPSHSRTREDSLHDSSISLESFDLSKINDSKLQALMHLQKKQDAGPGPSSRPAPSAPTDPASRAEEENEISRLKTQLLLSNFSQEQLERYESYRRSSFQKSTIRRLITQFTGGANIGQSVVIAIAGLAKVFVGEVVEEALDIRDLNEEEAKKPLLPHHVRQAFLRLGEQGKLYPPLGPKASQTE >CRE00835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3286259:3287897:-1 gene:WBGene00051865 transcript:CRE00835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-5 description:CRE-SRV-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LEL2] MPFLQWVLFLFCLVSILIYTLIVITLKRHRSNALLGGSFFQIVEMQYYSEVLFFIEFSITMRFRKYSSLYTFFEPDTELVGIIPRLVSGFHYYIKIVVYIGYLTFALNRLTSAINISSYNSVNVSIWTPQLMKNVRIVKWTVPLLGVIPTHAWPGFTYWFIVTSTSIRLTNDSLSTALVAYVDGICCLGTCIFCMVCYSATCFLIRKNWKRVRNDSVFRKNAAQSAAERSLLVSAILSFVVLILNTAVQVVTILISWGGATTLLFVQDLSYPMIDLMYSHFPWALLVTSGVLRKQMIYDTKIFLRTAFKLDDASVNTIRVGHTVSPATQKTTNKTLA >CRE00836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3289029:3289780:-1 gene:WBGene00051866 transcript:CRE00836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-13 description:CRE-HLH-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LEL3] MYDCNFKAARCVLVQHPASSNVPITNANSSRTTYVNDRFVFWAWQGLTSCLPNALLSITFKASLFMDPSYDSYYCEEPEERQTASVRERKRMCSINVAFIELRNYIPTFPYEKRLSKIDTLNLAIAYINMLDDVLRTPEDSGKYIQKCVHMARTGQYGAPSWSTSDLLARLNWIKWRRLGIEPIA >CRE00338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3291369:3291768:1 gene:WBGene00051867 transcript:CRE00338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00338 MSSAPKLVRVAQSAVFNQFMTREAISSATFRPAEPKMMEQSKMNIRIHDESTHLKFGFPKAEKVSNPNNDDFIQLNCEKLIKEEQDRQCAFLKMANLRI >CRE00339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3299954:3303238:1 gene:WBGene00051868 transcript:CRE00339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-37 description:CRE-RAB-37 protein [Source:UniProtKB/TrEMBL;Acc:E3LEL5] MPNQTDEHSVKSKHRSEMLDLEVSDKITIKVMLLGDSCTGKTCLLIRYKDGAFLNNNFISTVGIDYRNKLVTMGDKKVKLQIWDTAGQERFRSVTTSYYRDADALLLVYDIANRASFENCRNWLSQIKEFGKEAVQVTLVGNKCDLPRAVPTDEGKRLAEAYAIPFMETSAKTGHNVDRAFLGLAERMIKMKYGFVPGGEMADTISINEPKTAELSRCCNFN >CRE00340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3314493:3318361:1 gene:WBGene00051869 transcript:CRE00340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpk-1 description:CRE-HPK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEL6] MRLPNVFDTYRKARILILEPLEEDEQTEDFHKPNPSDSELRALKRRADEEKEVNAAAKSLLTSSGVARAVIPAGAPSIASATSKMAPQSVTATTKTTTRGKVSGEGEYQLIKNEVLCSPYGNQYEVLEFLGKGTFGQVVKAWKKGTSEIVAIKILKKHPSYARQGQIEVSILSRLSNENSEEFNFVRAYECFNHKSHTCLVFEMLEQNLYDFLKQNKFMPLPLNAIRPILFQVLTALHKLKTLGLIHADLKPENIMLVDPQQQPFRVKVIDFGSASHRNKAVTNTYLQSRYYRAPEIILGLPFNESIDMWSLGCVIAELFLGWPLYPGSSEYDQIRFIIQTQGLPPTTMLESASKLHRFFKEVKSTSPNHANVGGSYYRLKTVEEYESSSTSAKSKETRKYIFNVIDDISRVCYGFESDPVEHLCDRIDRQEFVDVLKKMLVLNPDFRISPAEGLESKFVTMTHVNGYTFANYVHEAHKRMEICRKSGATIPTPYRAPNVPTPITPAEKVVVPPKIQQPMIAVLPAQMNTLPTANLSTVGAQPDLTNLMHHYSQMAAATNNAATAAQFFYQPIPPPPLFQYAQLHHPFAARQPHFLSLATPSHMVPQFVPVPIMDPSMLQGQWPPGAAQQFAVLANDMMRAPQQGVQQLNPINQMFAATPQAFTLPQFLTSSIPNTAFNANQPPIPFPEENSSWGLGTTAQQQQQQQQRAQSMINGNNRPKPIVSQQQKKNSPAPSVITLSSDEDSNGPSSSNSGSSTRTGAVNPVRTDMLPFANGIKPEDIVIPPTTFDGLSNLNYFPIPQLFDAQTVAGLLPTPFFDPTHLPRNFN >CRE00837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3321465:3323058:-1 gene:WBGene00051870 transcript:CRE00837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00837 MTEITIRLVGEKDDRLFKGIEVDSEIMYSDLKRRIETETKIPACFQQVEFRGKKLPVVERPVQDINVGEEIVVSHTDLPWWEQYKKYTDIALKPSREQLWYASYAVRIHKRLMKNSFFMVYCGFNCYQMENHHKIASEADEDIQLMREATKNHFLQQHYKLGAEDETDFGCRFEARPIHLGGSRKNTIAYVKLHGQNEETKFNIKYFATRWEDNYIPLSGNTEEAVGVDVLVQILQLGTFLFIDDLHSDNSGYWKDTKQVAIVDFMPKAFVSFTNVKRAFFYNDLSVYRKISHIDTECDEATRIDVAKKWLKKWDLLCKIDLAIEQITPDMED >CRE00838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3327228:3329980:-1 gene:WBGene00051871 transcript:CRE00838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00838 MEERVPLIYPGDCSGKIPPPIAKLACSLEKTRASYQLDWYLNNFSDHMDSEEPKQFCTSFGNGKYKFSFGLVPANEMEEDDGDVLMRLTIDKCPESDKPVTIDVYLKTASGERKVAERVHVMDDEDVIGFKLFDLEKFVVDKVLICSSVTLFFFQKSPSRFTTDDILHVICRITIEGKQLNCCSNLWRPPALNKPLTPESVADGLVSGSLVGPIFGTPYPGGLFEAAAAGSAARSSSTAASSSASEETSDGENSPPRAKRTKRGKQIKQPTQRDGFEELFNSGDFSDFTIIASDGTEFKTHMYILSTFSEYFQNVLHDKNSKPFIEKRIKFSTISAEVLEFILRHIYKEPALRPPILEDQLTPELYSAVQLLKVEVVVQSIAAGLHSNVHVYNVMNRLFAATDYGIWWTKDCLLSYLIENRFRSFEENVSGIYRNISLCTTRTVELFDKCFMVAIPKEAADELARLEAERTKAIFG >CRE00342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3338075:3338791:1 gene:WBGene00051872 transcript:CRE00342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00342 METTTELVDTTDHSIYGLVVFLVILIFFASLTTGLILCLLKIWCTFHEQRRNSTFDQRTRRSIIRSSPNFDDSDDESETQTTLSKRRFSQRLMSYVTKTLDKRETTKETIKTDIEQPQIHGRTGSVSSRRLPAINVEPTSDVMETLMKDLEGIPSLRNNYEDNDPANVIDV >CRE00840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3339009:3341040:-1 gene:WBGene00051873 transcript:CRE00840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-12 description:CRE-VHA-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LEM2] MAAVDVNHTITGHKAAIIRNYNTNPRLIYQTVSGVNGPLVILNDVKFPQFSEIVKITLPDGTMRSGQVLEITKNKAVVQVFEGTSGIDAKNTICEFTGDILRTPVSEDMLGRIFNGSGKPIDKGPPVLAEDFLDINGQPINPWSRIYPEEMIQTGISAIDVMNSIARGQKIPIFSAAGLPHNEIAAQIVRQAGLVQLPGKPHEQTNFAIVFAAMGVNMETARFFKQDFEENGSMENVCLFLNLANDPTIERIITPRIALTAAEFLAYQCEKHVLVVLTDMSSYAEALREVSAAREEVPGRRGFPGYMYTDLATIYERAGRVEGRDGSITQIPILTMPNDDITHPIPDLTGYITEGQIYVDRQLHNRLIHPPLNVLPSLSRLMKSAIGEGMTREDHSDVSNQLYACYAIGKDVQAMKAVVGEEALSSDDLLYLEFLVKFEKTFISQGHYENRTVFESLDIGWQLLRIFPREMLKRIPESTLEKYYPRGGAKE >CRE00343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3345021:3351200:1 gene:WBGene00051875 transcript:CRE00343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrp-6 description:CRE-MRP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LEM3] MSISNPRTSTDRLDTEHKALLIDKTDSQKKSQDIHKNTPIRKRSRLDGVEGFHPSSEDKAGFFSSVIFSYVGVYWWRTRNATTDAELLEKPSKKITAVYAASRLENAWSSNGSPDRHFLSAVWDATKVSVILHAILLCLEELTRVAQPLLLRQLMSYYEIQEKEDFLYWPAMLGKCCFHNSRASLVYITVALLISLLSFVTVVIHHPYFHGLLNVGNHMRVGTGVLLYKKALRLSLASLADTNSGQLIQLLNTDAAKLEQAFLFAHYVWLCPLLMFFYGFILWFYFGFCCLVGFLVMMIIVTFQVYYSYQLGVNRRNIGDRMDARLKIMSEIINGMKVVKMLVWEDNFAAKIKQLRENEIGAVGKSAFYSSMVMGIYFVSAKIGLFVYIFSCLYFDEDLTTKGVFAASALYNSVRLPFALFLPLGLLFGRELISTSRRINFFFRLQEFSRYTVVSELETETEILKNENDKLALSALQTNPSWILDASETDNPNIQLTDVTSVWYDKVEQREKNMKRDEEFEKNDDPALHVVYAIQGFTQSFKSGECYGVIGSVGSGKSSLLLTILSEARMSKGNVEVHGSIAYCAQEPWIFTGTVRENILFGNDYDRERYHNALELCLLRPDFRQLSNGDMTVVGDNGSTLSGGQRARIALARAIYADADIYLLDDPLSAVDAHVGRKLYENLIRGFLSDKLVVLVTHQIHFLSTLSSVILMKNNKMISMGTLDELKTNFSEEFISLQSSGADDKNDDELYNEGSPSSPPPSPSEKKQMMFEKDEEVVNDIKKKSLEREVSIVKPRNESNLESRNSGSISWSVYWKYTRAVIDPLKFGVCLIICVLVTQFMNNFVDWWLNKWLVDLDEWNAKNSTTKGEFSKNVDILGYRYQMSFRTYEVTFVVSTVVMSFLGVIRSVWFRNAQLNASRVLHNLMFNCLLNTQAEFFDRNSEGAILNRFSKDIGLTDDMLAFTYFEFVFGGLTFLGIVGLVSMVRPVVIIACTFITIGFYLCRKIYVVKSRELKRIEAAARSPLNTIITSTIHGLATIRAYRKEMEMIDKFCALHDVYMAAYNMGILSARWFGICIDFLVSVFVSIVAIVVVLQYETLTVGEVGLCLVCAVQLSGFFSWIMRQSAELENGMVSIERILEYTELKSEEEMRRPHDKYLDDVLEKSWPSKGQIEFENVRVKYGDTYVLNNLNFVIFQGQKIGVVGRTGAGKSTLLKVLFGLKEYCGGQVRIDDVDLDGISLKFRRKGMSIIPQEPVIFSGTIRENLDPYNQYDDATLWEALEQCELKNTIVREKGLHVELGQRGVSLSVGQRQLFCLARAMIHRSRILVIDEATANVDGVTDACIQKTIRNFFKDATIITVAHRLHTIMNTDMIMVRGFERVFEKGELVEFDKPITLLDDQSSLFARMAAQSGAENLESLRSAAGAYVLEDRKRYLEEEED >CRE00344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3352173:3353254:1 gene:WBGene00051876 transcript:CRE00344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00344 MTHRFLLLFLIFPLVFASLEEVELDSAEIEKEVPARFRSIKNFGSHQDASNQAAEVAGSNEDNTDDPEVAPNTPYLMADSSLEQEEECVALPNRTRVRPSFFQCKDDTKFELHGGAITNGNGDDAYPVSFNSPIRIFLDITSSSNKRFDNLGVEVSIFKRSTGWFGCGWMFLPSFGLLSNYDMCDDNPSCPVTPGRQVIEFEIDPTKLFTNLFRMIHYDLSAYQLVVRLRDNRDPYRELLCATIQTRITL >CRE00841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3358472:3360051:-1 gene:WBGene00051877 transcript:CRE00841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00841 MNFTNITSFNGSITEIPDTSWNISAPSQSSEKTFSALHNYYNHHDQIDYITFNKFINTSSHFLYPEFLNTSLFDFIRLGVSVLGIICNISVLVANLVNGRNKHGILKIRLLVLFLILVMTITCILMTVIFVFDCLTNVYVDLQKLWSESQNQDEISFLWRNLADFLLQPMFDLQSIMMMYIALDRYASLFVGYWPFVENRKRLAYFLIAPLIFAFILMSPGIQHFVLPFESFIYARLALLLLPSAISFLLLSVCLVIKKERLNYDPGFSISLSKALVRVLYVFVIIDFISRLFLFFRLAEDNIDFVVLTGSENGDFVLHTFLNIGLQVSICVYYLAPVYIPIALSLFVKHFRDSV >CRE00345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3369891:3373550:1 gene:WBGene00051878 transcript:CRE00345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nck-1 description:CRE-NCK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEM6] MSEDYVIVKYDYIAQEDQELTIKKNERLKLLDDSKNWWKVVNDSNSIGFVPSNYVRKESIVDKAKGTIKGLARGRNRSSDPEPEERVNGIERLAFSLNNNCAVTPSTHKVPIMSTRTKAVVKFTYEPRLEDELGLTKGEFVYVVEKSTDGWWKGEAPNGGVGWFPSNYVEEVEASTNGNQGSIENRKPASAVPPPAESYRQSEPQPAAPSGCLETVVALYSFEASSHEELSFSKGERLEIVAHPEHDPDWWLAMNKNNKTGLVPRNYIEVINESSSSNADFAPQYSGRAERPMDQEPWFFGRISRDRAEELLQHARNGEFLVRDSESHPGDLSISVRGIERNKHFKVQSVDGELKIGNRTFSNMHALISHYTTNPCFSSPTEKLYLTNPLPK >CRE00842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3375498:3385284:-1 gene:WBGene00051879 transcript:CRE00842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00842 MNSIGHDGFFHHHDHHHHDDHEHQHPVDLMNPPKVSLRTMSKSDPHLDIPHFLVSQDEAHPSLNKIMSNLQHVHEGLKTPVSSHPNLQALRRENEFQDKNVSSAALLSQESLHQPPLRKSSSAIDWNGAVVEATRKTSRQFDWQTALFDNSRKTSFSNLHPFSQAQQGGLVMTTLDNKQQGMSVATATMPQQQNHFAQTLAQSASTQSAPAATTAPVTEPAAPGSRGAALFGGVFHRGFFSKPVERTEEENYRYLMALDR >CRE00346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3391099:3396748:1 gene:WBGene00051881 transcript:CRE00346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00346 MPVVFKTFPIFLFLLLHPIEPLPLLPSLIKPSTPHANSQAYVETSNVTSQFTIYASNISSCRFKTAVIPCECFLQVSNDYRLEENGKLLYEFAVSPPDIKIFTPSSHEMLNELTVHIIPKLCREDVSDIQLEYRSFQVFPGEEESSWKTVSAVAKTLKDTKTSLIFGCKHFSDPGYYRVSIRLSLVNYTVQADKWIVVNRTNQNALRLREDSIFPHCQSDYTIGWNLAECSMDHLHYRLRILAVPEGAKNHDDGAVYIEEIAIAPEMNSLKISCSQFDIIHEKYCFELVSVNRNSSVSHTWHSVCVSTEPVERKVGGWSAWSEWSACSETCGMGRQRRVRFCNEPVPKRSKYCDGPLIETQECMLAKCPEAMMPQSLSTNCSCGCALSSEASSFFASSRHSRMCEGNQTWTLPKMDGFKISDFTVKKQGEAKGKLFFFLRAPYQELVWSSDSHQEYQFSLPMSAPIFVVLWSKSNDTARLKQIDDGFTVSYSVRDADSSPTVAKINSCQPFCPETMAIAIMAIIFICIIFIPPLVCAAVTASLRRNTSPEVPLIDNKYDSEMIRSGNTESTHVSSRNYVAKRSIGIQLSVQSTPRTARACLSHESPLPPRGQSSLSECDELEYDYYDGTTFPGSFLAPVQDVMYSQIDIDQIIGQSELFINSAEKADVHTQI >CRE00843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3398780:3399862:-1 gene:WBGene00051882 transcript:CRE00843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00843 MDSPKSPRSPKVLSHHNSMKSLRSVRSQKSMRSLKSQKSTQSIRIFNHHHDTYQTCFGFMHVKIATCSIGFFALLAVCLTLVYCVFTSQEQRRPNMKLYAIPMIVVIIALLYMFVGILQQKAHLLFAFIALQISITFGIAVLIVIILISVACNTTLILKFFVDVSLSQSEYTKTALTALVGLSFQLGIHIWALRAVCGCYRYFTDIHKFEVRQAQYV >CRE00844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3401006:3401802:-1 gene:WBGene00051883 transcript:CRE00844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00844 MNFEFMRTLHGFINFIQVLFGFAALFACSFIWRDTDLYFQFIYKYFGWQSVILAILFFTWIFAVMVFLSNLFDKDVVGTIGKYKLLILYVICLVCLIISASLESWYISRSGTNGKYPDPVYHPRFIAVTIFNWLLVVSYIVLILVTFFFV >CRE00347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3404217:3404884:1 gene:WBGene00051884 transcript:CRE00347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00347 MKYFIVLACLLVAAEAYTKSGNPFKTKKYKCVEVSDDEEIQIVPKKKSATSKYPWKAEEEKVNRRNENSRSTESIEMPIARKVKTQKIVSTTPPTISDVQRDAKGRPLILSPEHCKQVEHYSKMYGVKDVLGWVQSNCSFAKMYVPGATCDEINILVASCYKKRS >CRE00845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3405478:3406836:-1 gene:WBGene00051885 transcript:CRE00845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00845 MRCSSVSASLLFIYFSVPNVFTYTSHIEDPSRVELDMFDWTAGVRVAYCVDSPLPDLISPFRRTMAKVVTKYCQNATACNLRSSLIFGPEQIVILEGFPRRESLTIQMKFFVLLPHSSSPHLRQQRPFLPRQVLSDILQKYHQEIANRLGWHIIAYERYPRFDSMTEFMNVAIIPIVIVSLPLMVFLAYWVSTLRPNSGSDTWMVTGSAGGKNAALRRTMEIIAEQNEEYERQERYALTKHVVATTGHEGITTTGELLLNVARLSMASSQAPSSAQSPQIFIQPGSSSSSSAPRTSIVSLHGKELLHVKPR >CRE00348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3409654:3411080:1 gene:WBGene00051886 transcript:CRE00348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00348 MTAEELPRGNRSNENWNMWEKRPFVVLKNDNILYHEQFIPKNSTFQQEIIKNGILSLQAITGWPPGPERLTEKTKSLWEVRVNNKTRLPAILVPATSYEFMTKEREQAIRQKFLRIASNCDIELNQDDYENFALYECINIRKHQPEERRKAQAPVFPANYPFAEEAKRLVFPVRRFPTGIVMLFPHAPREEKDGLIETMVFEVKNVLAPDRTAPTFVGHEENPIDLLFRMGNISTDQLTAQFYYLAGKIMLNATDRRKNHILDDIRCMAMSSKAIEIGAFRHKIPKDILPQQITFEMLKALYECLLTRINTNNPQIVAWFERLNNQLQPILNGQQLNIPTTIEQMRRLAVLTFNWMRTHKELFYAGSDFCDLSDHPVWIRMEKKFREGTVNSIENFPMAIEQRMKVNGQLITEEHDPKKEELQIKKTKT >CRE00349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3412213:3412538:1 gene:WBGene00051887 transcript:CRE00349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00349 MKVQTGVSSKDLSTLKEMAELEKHKESMEASKMNEENSKVEAEAEKAEEEHKTDVVDKIKDSLYSVAKAKWETCFLKPDNCCVVKAVRKLTN >CRE00350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3413346:3417852:1 gene:WBGene00051888 transcript:CRE00350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clh-4 description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:E3LEN5] MNGTPYEQKKTSFVSGASDSEQEYLGPGELQADDEESDDNHVFLKPFRKPSSRSALVRTTSFNHKQYRSLKEMIIDIKQEPVKNGLHVQGNGSNHSDRKVTFTLNENDFEFPKFRRNRSLWIRMELNKIKMKLISLSNLLSDWMFLALLGVAVAFISILVDMMVFSFQELQRKTVSIYNIYGADQSYLLWGCGLLGWCGYMVGLVAASACFVHYVAPQAIGSGIPEMKTIIRGVILVDYLTLRTLVSKIFGVAMALGSGVPIGKMGPFVHIASVVANQMCLLAAKFDSAYREESRRMECLAAACAVGVACTFSSPVGGVLFSIEVTTMYFSVRSYWRGFFAACCGATTIRLLRAYVVETEVTVNAFYQTSFRPDAFSVNELPLFALLGLFCGILGAGYISIYRSVVLFLRNNRYAKMVFQRHWIVYPIVFSCVFSVLSYPHGLGMFSTGRIKFGANLRDFFANCSFMATTPDDLVCGAEIYSHWLNRGNILLLLFLFVIVHFIFSIISFTLPVPSGVFLPVFVLGAAIGRLYGEVPWTFIYGLYFRFQVLGLILEDIHVIRPGIYAVVGAASFSASVTHTVSVSVMIFEITGQLHFILPVMISVMLSNAVCAYLQPSFFDTIIKIKHLPFLPDIPPSSNLVHTTYAEHIMVSPVKFITKITTYNEIREAVQTGLRLYPVVDSKNTQMLIGTVSRRYLTILLNGKIGDQPRKIEAEKRVRQAIETIDNHFKDSEKELSEDRRRICSETDFNTMQRKKSDIVTPLAKVIEPTSPGEQKNIVCFSRFLVVPLPDVSEPHLYFPPISSSPTVPIENSLKRRNSGSRRNALFSLQDMDEKSAKDFLDDHGIEHKTIHGNANEHHTIIKSYMRQAKKYLNHMQFGNHKKGSHDNNPYDLSPEERKAWEEEQLAMKLDLTENDIDPAPIQLVKQTSLYKIHSIFSMLQLSKAYVTDCGRLIGVVALADVSRFLIFHFLISDIQLRKALEHTEEVIKTEKKNSNCNSISSTVLQNNLRHISSNVIDILTPPLEVARGTTLETNTVLPEIISSPKEVIPPPTFEPRSSLRLRQPRATNFGMEPRKRSGSEFSQRPEEFVEAVAYLRRKSHAIVTPRLHEEPGESSSSE >CRE00352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3442863:3445112:1 gene:WBGene00051889 transcript:CRE00352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00352 MGPNMEIEKSKGVMQEILYEVIEKSESYKFIRMADDLHDVASYLNDMRICFIALTVTGYIVLIIYLFVFCFRRNRRNQPRRRYGDYQLESQREFNNTQTTNDDLQTTVRSDVNSSYMGRNHHRSHQNTAPTFMRHGHMNHQQTTRLVADNDGSAVKHQIPHITTEAPTEEKAKVPQLVKDSIDSS >CRE00846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3445902:3448067:-1 gene:WBGene00051891 transcript:CRE00846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-10 description:CRE-ACR-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LEN8] MSCRHYPLNGMLIRLFVLCVFFIAFIDVFECSKAENKLYRDILSGYLKLARPVRYPRNVLKVHMKVFLQQILNLDGQNQIIEVNAWLKYVWMDYRLQWDPLQYDNITSIRFAGGENQIWRPDILLYNSASEDFDSSFKSNEVVYNTGEVNWIPPGIFRASCKMDITYFPFDDQVCYLKFGSWTYHGLALDLSIVAEEDEDEFSIDLSTYTPSGEWHLTKAPAVKDVKFNSCCKEPYSTVTFYMFLRRRTLFYLFNIILPSLLISIMTLMGFCLPAHDMSEKIGYRKLKIMEFGKRLVLETTILLSICFFVTIVSEMTPPTSESVPLLGMFFSSLTLISSVSTAFTITVLNFRYRQVQNIHMHPLFYKVFLIWIPWLLLMKRPGVFYKKRRATVQAREEISFFDNGDDDCCPKFPTPEFDYSLSIDSADTLPLPPRPKPLLKKMHSVPAEHLHLDRKVGDGILKSQRRPLVLTKNVNKSHSLRSRRFTQFEKYIKKCIDDAKLRKNSTYSTYSMMIITYYRQIDEMLKLLNRRLDKQRKYLFKQEDWKFAAMALDRLCLLLITILIIMCLFGMIMSTPHFEP >CRE00847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3448820:3450544:-1 gene:WBGene00051892 transcript:CRE00847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mop-25.1 description:CRE-MOP-25.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEN9] MPLLFGKSHKSPADVVKSLREVLIILDKMQPPRIDKDGNLQTDKKYDKALDEVSKNVAMIKSFIYGNDTTEPSSEHVVQVAQLAQEVYNANILPMLVKMLPKFEFECKKDVGQIFNNLLRRQIGTRSPTVEYLGARPEILIQLVQGYSVPDIALTCGLMLRESIRHDHLAKIILTSDVFYTFFLYVQSEVFDIASDAFSTFKELTTRHKAISAEFLDANYDHFFSQYQNLLNSSNYVTRRQSLKLLGELLLDRHNFNIMTKYISNPDNLKLMMELLRDKSRNIQYEAFHVFKVFVANPNKPKPISDILNRNREKLVEFLGEFHNDRTDDEQFNDEKAYLIKQIQEMRSSPKEPKKQKPKEEEHAGPHLEVTTPEGPSASVPASSDVPSTSKAQ >CRE00848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3455286:3456167:-1 gene:WBGene00051893 transcript:CRE00848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00848 MDLSGLLLAENPTNEKKKVDPNTISDVTSSYILKDNSGAIIKCAEESQMPVKRKDTPYVGRKFEWRNVDMRSSAVIPLSTNRSGAVRRTSDYSIFTDFETWGADQPCSSAQAEARLKDYQKRESLFSRTYGSQCDTGYGSTSPGLFIQMPCLSTVEVTEKKDRNNVEKEPKQIELKKIEAKNLEPKEALPENGQLNFWISTPAVQKVVKPNRFVVKKVVLNVQNADGTASDNESTEVHTGAKEKSNKGIKVKVLRPENQPATAPDNFAGTQYGDMVDRLATLGTAGTLAEYNS >CRE00353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3458097:3458949:1 gene:WBGene00051894 transcript:CRE00353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hrg-1 description:CRE-HRG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEP1] MHQIYSSNHSLVSSKGKAEIITMDEEQPRRENIYQESIIHNICSESCCTWFHSLKVQIWIAWLGVSAGVMAGTVFAIQYQNWIAVTMCFVSSGFATLVLHLHMAYKKTQMAGWSSTKLRCIAAVGATVSFLSFIAMIYCLVVAGIEHQTIDKAGLMGANLWIAAVWFFMTAKWSALTWRFARKYRAYCDETQPLLAAPPPEYSVTI >CRE00849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3459590:3461255:-1 gene:WBGene00051895 transcript:CRE00849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00849 MDVIMDFKPDLSFIEQDFKGIEAMLRAHFLPERTEYDDPIEIVRMARLAVKEANMRATRMQGQTTQQLMQRVKDLKYWSGEIDRELADVKEEHDDLLRCYRRLQLCLDITGRATRCNESCLAVRRKKVQVGDHTSDRVDMELHKEKELMSETVKQMKEVGERVERQLEVNQAARKNLLRDLTLKQEAINLDHKSVAIGADGSKSVVRTPDGDRLDYREGAPLQRMSEYSEWIENTGNNLNYAARARVHSRKIGQKLCQSLREMASQLRTEAIAVEALLKDSVRNWQEWKDNLHSQVNGKDKEIKNADGAIEEISFSLKQKSGPLQVALSRQNQRGLRPGIELCNDKAQHALHQELMMLKGTFLSLENQLDKAKESRKKLENDRDKLQRKLDICDHNLTIDNEILRQIRSSYPHEIQLSGFLLSETKEHR >CRE00850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3461714:3462673:-1 gene:WBGene00051896 transcript:CRE00850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00850 MVSMDKKNIDNLLAHLDLIDVQCHVCFHVNTDPVIFVKCKHSLCGGCAGRWLASTSVCPMVIFTMFLSLKCKTFQCRAQVEEIEPDQELKLRADRFLLRHPENRSPHDIYYEQTVNETVFWSIQQRKKAGDSDRVFYGMKPSVPENMTEEEAEEFLEARRIESVRKRLDELNDRIAIQTPLVQAQYREELFVHLSNKNDATFRYEDYEWQMVNGRGLIRVPRSTNRFYCPLRFRRAHST >CRE00851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3467646:3468232:-1 gene:WBGene00051897 transcript:CRE00851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00851 MGCHFSRTSSTEDIRKSVDKTPEPVAKKSASTNRAPAQELRSANNGNVLQIAGKPIIEVESASQADFFRMLDDKINRGKGGESDCED >CRE00354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3485059:3487145:1 gene:WBGene00051898 transcript:CRE00354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00354 MRLYSIILILGATNLVTTFVVLPYIQIDYAHLIRVAHCKAKCSEKYGYPKTRQNLDGSIDEYFFVDTDEYTKCENGCLQFQHRRDMKRSNLTGETLQGAKFWLESTAHSGKVGSSPVSSVELLCQMPSATSDLDDSFEGLLGLTKIRPSGPVQYVVQWKQRTYALGYYDESQWITASVEADSMIKVDGMIPGVQYKFLVTIVGPAGKLGDTIQSEWTEISNTLVVKSPGSPLIVKNGFNSEQGVVAHLHFPRTAYDSCYFRLQVKNSTNAVVTDLRVDPTYSIVLSHLEFDSSYAVTLSALSSDQNTATSPISVRFNSFQCKQVYGKGSLQCAPEPVSNIRVAIEANSTAMISWTASAEPENILTYAVTYQAYLGPCNKDPTTVYLPAPSTSYEMPLPRDVHCEFMFRITNYDAIGREAFAETRIAVMQEKLISSSFKIPLIAVGVSFTFLILAVLCFAVCRCCNKCPVKVAENKTKLTDYA >CRE00852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3495309:3500003:-1 gene:WBGene00051899 transcript:CRE00852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00852 MAIPCKLAEKENECLDKPVIRVEKGRIGSADVELMLDSGACISVISVKTWGKIVEVNGVEWEKKLTKGKADLRQVVTANNQPLKLLYTVEVETSMLTRTRLIKYYVADIDRDNIILGMDQFNKLGVEVRIEEQPRAIKLCKDIRLVPSSAKVIQVSVEGVMLEGKDQCLVTPMHECIATSVCEVGADGKAYVTVSNFGTKSILLRKGQQIAGGEVDDFEVLQEKVAEENWKETEATVCNLVQKDTDSERWNTLCEHLKKQESNCESEEEIWKVIQSFQHIFAVSDSELGRTNATTCEIELLQGAEPIRQRPRPIPLAIRPQIREILQKMLAQDVIRVSKSPWSSPVVIVKKKDGSVRMCVDYRKVNKVVKNNAHPLPHIEATLQSLTGKKIFTTLDLLAGYWQIPLEERSKEITAFAIGSELFEYNVLPFGLVTSPAVFQATMEAVVGDLLGKNAFVYVDDLLIASETMEKHIQDLKEILIRLEASGMKLRASKCHIAQREVEYLGHRITPEGVKTEETKVNKMKNFTRPENAEQMRSFLGLTGYYRKFMLNYAQVASELTPLTSVKVAWVWQAEQEKAFQELIQLICSAPVLMQPNIEQALDGSRPFMIYCDASKKGVGAVLAQEGDDGLQHPIAFSSKALSPAEKRYHITDLEALAMMSALRKFKTITYGTSVVVFTDHKPLISLLKGSPLSDRLMRWSIEIMQFDVKIVYIAGQANVVADALSRGGCPPIEVEESETAELPNIIGEIRDKGDDDESEMWNMENWLELLKREEGWCEVIRLLEENNTEEMVKLPGVKGEISVENYALVGKSLRNIEDERYNRHVVPESVRLALVKEAHSGKVAGHFGTDKIWRQLSKRYYWPKMRVTIERVVRTCPKCLCTNDHPKLIAPLKPYETSAPLEIVACDLIDVGLSAQGNKYILTIIDLFTKYATAVPIADKKGETVVKAFTERWAIGEGRIPNKLLTDMGKEFNNEHFKQLTKLLKIEHIMTKGYNSRANGAVERFNKTLMHIMNKKSAVPIEWDDQVAFAVYAYNSVAHSTTGESPMYLMTGRDPKGPLEMAGEDAVGINYTDVDEYKHLMTSELLKAHALAKEHAQKEREKYKQLFDQKHNTEKRKYPETGSRVLVEIPSEKLGARCPKLVNKWKGPYRVIACSDNSATVVPAWGKGKESLVIPFDHLRVIPNEMGNDPIETVKSRARQRVEINTMHILDKEKHLMNNFCSDLYACRCPTQCHFGLQEVPNVTTTAPTQLQRMAELVKRIPALKSKEKSAELLLLTQKQIQGLEVTPDAHTLKAMSHCPTLALWVQDVRGWKDAYDQCRNELLLKHVGCNLGSIKTIQAVLAPNVNASEFPVVLRHKCVVKSAENAVAEVTEQLLKVNTDLLLLVVPFSPSEDDQTMWRDLVNAVPSSTTIYLVPAYMKSFDHQKMEQFIALFERVKRDKGELYHVSPNDIVEGKQNRKLYSASELVDPQEYWTIVQTLLKERKLEWPKFQVSKKEEKKPANQATVSGSEEKTRQEGGSSAPSTSDRHHPYMEVYRGRGRGRSGRGGGFRRETYHPYNHISK >CRE00853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3500732:3502618:-1 gene:WBGene00051900 transcript:CRE00853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00853 MGSERSANQTMNGSEENEDLLGNEDLNLLYDIDPNQVMEIDSDALLDEEKVNLDNLKSGRAFIMKKAFEHTEKMLRTYTKGNASTYESIMSCVTEGYEQMMAEANTDREERIVAESEVRKTKTENEKLQEENEVLKAAATSSAEAEGLEATNQRQRQLEMVSFMERNEINSVEQLEKVITEANKLGELTIKQFKQLQGAEQKQRRMRDESQQSQRWMELKVKDLEKKLNEVTKENEELKKLRTEKEEAMRMVQANTMANSFVRTGEQRQESDQNKHEVQITASGSTHTRYREDSSNRQEQSSGRQEEHANNVRPMQRDREMDDIIERARGADSYEAVVDRAEVTLNSGRYFTEWDTGKPKELSQMELMHMMFTQQNLPEPPKFTAEENSVNLERFRKSFSLKFGSFSEEHQITLLETKYLGGRALRIYRGLPEREKETVRDVMQSMANRLRISPEDESRRAKSRWENLRKKPEQTIEDFCLSIDEIAHMAFKRVNASELSSLKTNKVLNAIAENETLSCLIDNRLLGVPERDHYDTCRMLAIRYEQGIKERRERNKVHSGDKKTGLSQQNNSAAPSSNQSQQSMQNVQKNNMQSQNKGNYTNKQGSNTENPENPINQNWRQRTPKQFW >CRE00355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3508129:3508665:1 gene:WBGene00051901 transcript:CRE00355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00355 MYGRSCRRSSSSSSSSSSSSGGSYRARVVPVGVATMPIGYGAGPPPLGAGYAPPPLGAGYAPPPPRPMGSGYAPPPPMGVGIGAGVTPGMPGYVQQAPPLPNQQYSSYGPPQPGLPPQPYGSSMYPNTPGYPQQPYQIGTGATPAAPGMYPPPPPGQYPPTGQYPPPPTGYPGYPRGY >CRE00356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3509645:3513283:1 gene:WBGene00051902 transcript:CRE00356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00356 MRFLILFSTFILVKSAPHSLEEEELPFSTDNFPTLLDEANSSSGEEKDELAISYSDQADVLKKRMKMKSMFNTNGPPAIGTDLFSNAAVEENVKSKLFTQFPILIHVELNFFSELSYEGSGEGVDENEPSTTIDYFNIGNSSAVEDAVVESSSNSSTLYDHNTSDAQVETVSDTLYQKTEAEVNAILHTSESKYGGEKEDEAVEEGTKMTTVLDVQDYSGNDTDSKVECAAPPVCGKNCFVTINDKGCQDCQCLWIAQDCEIDKDCTEKNQYCDLGKCNCQPGYQQNMRKSGVCEVESDSKQGLIPPPGSTNSREVEENEITKKKLKRNKRSSKPRLSERLEWPDEEDESRSVSFDTDQIMEPTTQSTLPIPSEPIIITATEASRRGMARFVSEDFAKQLQRTTAKVKKYDWFPPTTTSTAPTTQFSMTTRPVPTAPPLTVDQFFIIETTVSPSPIPPTPRKIEDDHKMEYMKERKPNFLGVKEEVQELEYPVSSQSHIKKIHLEMPPSLLPHRRKPKKMKTTTATPDDASEVEEMKLFYLIFVISILVLFSSVDEIEFPISSKIKNGFKKPRALSAEPTREELKDHKSLVDLFNSHAARGFEKNTDDVKPSFQILQSFTSSSPEPTPEIQVSLASEGFGKQFSPIPDLPNEGKIITERKPWMIQQGKIYNIPPLSNISEDMASDDPPEVTPEPAPFQQHQMGVWYPMKKGDIPHLGIFSGEFRRSPVNKIRTTDDFRLYNEYTKANRFNRPIRIEMDNAGGKRPSSIISNVYFVDHMRNECRDDRNCGNQTVCCTKRWCDRTNNCGLGKFCLTSCASSKLTFLPSSNHAESVIDIMYD >CRE00854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3513419:3516243:-1 gene:WBGene00051903 transcript:CRE00854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-18 description:CRE-LIN-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LEQ0] MTLRQLIIFVQLWALCLANINMFISKEEMNRTLGVKAELNYIEMGSINTYSTKFHYRVMANIDYVSFTWNAVGQVDYDVRVESDDSSVLPIVRIPLKGRVPASFQDFSVEYRCAGHRSGQFTVSLYFNFYYDGKTVPVKLRQEKICASRDGRRGLNGGYEGAEIDDHESIDKAFFVIICIAAAFLLIVAATLICYFKRSKKEDMIQSRLPTSFRNSLKSTKSAQPFLLSTPRDGPQTLSAVSSAPCSSSTSGNSIIPDKPRNVGTMRDLECFFSQLKTSGTFGEVRYAIWRQVDDVLNGDVDDEEDAFCNQEAVYTKTLKKNASSIQLDRFLSDALLFYNITPHQNLSQVACVASFGRFDRPETVTDFPLVCYRHQGFGNLKKFLANCRLGDKTKGAQTLRTHQLVALATQLSSAVAHLHKYRIVHNDIAARNCLIAEVNGRLQVQLCDSALSRDLFPADYHCLGDNENRPLKWMSPEAIASGLYSSAADVWSLGVLTWELMSLGGSPYAEVDPEDVYTMILKGARLHQPNNCPDQLYKVMLCCWRILSEERPSSEQVVHGLRDFNIQLSQYI >CRE00357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3528391:3529296:1 gene:WBGene00051904 transcript:CRE00357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00357 description:CRE-PRL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEQ1] MSAAVQKRVTNPTAAPVTTYLKPAPSEIAWGKMRFLITDRPSNASIQPYIEELEKHGARAVVRVCEPTYDIKALKEAGIDVLDWQFNDGSPPPPEVIKSWFQLCLTSFKEHPDKSIAVHCVAGLGRAPVLVAIALIEAGMKYEDAVEMIRTQRRGALNQKQLKFLETYKANGELRRLRGNVDGKQKSCCIQ >CRE00855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3529788:3530424:-1 gene:WBGene00051905 transcript:CRE00855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00855 MKWPSSTDQSGQHPDGIVTSSFSRDVESVAFAVLLFLAAGIILFISCFFVTKCLQCYKARQRRLRRKALGEDDDDDDEDDEDDADIDEDEQQTGITNSNPDLVKV >CRE00856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3531762:3536329:-1 gene:WBGene00051906 transcript:CRE00856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00856 MKLAILLIHVVVTSAIHHLMTPLELKHYFGTENKDKVPKYFLDGNKKHRLDVFDDEFKMDFLIDGVMETFVLRNKPHLFSEGFHMMEDDVELMMHPLKNCVYEGESSVRNGTRIGLVGCGHNLNGMVITDSGDYHLIQSHHQSGQHVIHKRSIESIDHECQFDASDDPYPEDREEIAMKSMLMKMMKDIRRAEPHRRDVLTVELAVFADDAMWDHFKKMYGKAAEENMHTFIMAVVNNIDVLYTQRLLQPRINIKIVRYEILKNIPHLMHARKHSNGDVDRLLDAFCQYQNEINPPNDADPRHWDHALLFSGYDLHRNGVKTVAGYAPVKGMCSGVRSCTINEGLDFGSVFVVTHEMGHSLGMYHDGDNECDLRCCIMSPSVGSGKTHWSHCSVNEMATFVGHLGDDFRPPNCLQDASASEQRMVAFKESEPPGQLFTMDEQCEIFHGECWKHELKDGQTMQNVCQMVWCGNGEGVIRTAHPALEGTYCGYGMICRQGQCVGSNQMMRVTVGGWSSWNDRPAPTCGGRCSQCEIKGQIRIMRSIRQCNNPSSNNGGAPCQGDEARGMVCHRDVSKASSVCNGDSIENYATRVCTRLRDENAIPNTILSGEGMQFEQAMCKIWCLIAGSTNIRTVSNFPDGSPCGPNQFCIKGECRPLLCGSTTLAYSEADCPLSVLATTTQAPIPHIHSVDQSASKTNPYKEQKKVPFLNEWSGWSVWSECVTYDCHTQGVKVRIRRCLAGVCAGALREKMPCTRPCTGSEKALTTSAPQQTFRNRFIAPLPNGNRQTNMILRKIDHWGPWSACSVTCGTGQKLRRRDNCIGQECAETGPCVMPVCRETPPASTWTEWSQWSPCSVTCGEGLQFRKRACFAAFCRGKESDVRNCYQQRCPTSTTQRPFINRSGLWTGWSSWSACSTKCGVGQRTRRRRCYQGSCLGDDNEREGCVGAQC >CRE00358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3538884:3539601:1 gene:WBGene00051907 transcript:CRE00358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00358 MIRCILLVCVPLALAQIPVYLRTPALPSSFYAAAPVAQVQCPQQCLPSCLPSCLVAQSPSSIYQPYGSVDESTPYQIVQNVATAPQPIIVPATPVVASGYQPYGPSLQYIPVVPSCLSQCMPICAPTCLESNASTPKPLRDDLPTPPPETVPTPPPEIPTTTLPTDVVPCKCLIKITITQGNKVVSKCGPNSCSCPQGYKQCDSNCCRA >CRE00857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3540901:3543479:-1 gene:WBGene00051908 transcript:CRE00857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sup-12 description:CRE-SUP-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LEQ5] MYGQVQDPLVHAAAALAQSTNAEPVVGSRDTMFTKIFVGGLPYHTSDKTLHEYFEQFGDIEEAVVITDRNTQKSRGYGFVTMKDKASADRACKDPNPIIDGRKANVNLAYLGAKPRNNVQLAALAAGQVQLPLTTQLQALFPQRIGIPQMYYPAVTGFNPLISAQGAAAQQQLIDYSALAAVMGQNPQAAYGLQAQGKFASAGPTTIEQYAAYPAGYGLVPQAAYNIGNSQLQLAAAQQQLEHQRV >CRE00359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3550654:3552099:1 gene:WBGene00051909 transcript:CRE00359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00359 MILIIFVFFLIPNLVYKVECQNETNFLNDYGHYADSRMRAIGEYFSDLMGCGAEGWCAFRCCPTERQHMSRTNQAPRRVYPNPVFGNARNQQRTGSSRGGVNLNRYPYVNRNPAVRTTTAASLLKQCANACMPQCTPRCLQRYNQIVASFMNSLTAIDVMGQPVNEEPRKNIRFSPKKSIECRDECMPYCTPQCLDAYSTAELNLKPKVCKAVCMPDCTEECVTAPPLMVPCIFDNVCHCPAGYVRCSEMTCCMKYKTMAVRYRNRMTSMSFSDDDENEPKSTGNETSVYLSELRNMGGGAPAAIKSTTISMRTLPNNSSIVYYPEQGKAEILKNDGSVVVEVMESAQATELDDK >CRE00858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3552446:3557402:-1 gene:WBGene00051910 transcript:CRE00858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00858 MSSSTSSVESVEDESCSNECSASFTFDTNNNSRGDNQVNELAEETHMKLSITPTRESFSLSQLERIVTIGKGTFGRVELARDKITGAHYALKVLNIRRVVDMRQTQHVHNEKKVLLQLKHPFIVKMYASEKDSNNLYMIMEFVPGGEMFSYLRASRSFSNSMARFYASEIVCALEYIHSLGIVYRDLKPENLMLSKEGHIKMADFGFAKELRDRTYTICGTPDYLAPESLARTGHNKGVDWWALGILIYEMMVGKPPFRGKTTAEIYDAIIEHKLKFPRSFNLAAKDLVKKLLEVDRTQRIGCMKNGTQDVKEHKWFEKVNWDDTLHLRVEPPIVPTLYHPGDTGNFDDYEEDTTGGPLCSQRDRDLFAEW >CRE00859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3565613:3566873:-1 gene:WBGene00051911 transcript:CRE00859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00859 MVDTAKPIIKPKPCEVCVKGISFGYNYGARTCHPCKTFFYRSSVSMEKYKKCKKAKSCYTTEPFNRKCKLCRYQKCEAMGMSRDAPLTKAMRKASQNRKMDKITTMLKDLIVKDSKREKKLKTFYSLDDENLESILKNSRRFRKIKKVPRQVITSDEWAFQTIFSHITFLLSLDFVRNMTIKDKKVIFVNKALEFTYFCELWRTKKEGQRMLVTPGGTTIYPDELISIFRENPKILDSICSDIVETLYELDITREKYCLLNMIFFCNPVNQDISDNSKIILNERFNLYGKQLFLYCQAKYPMDAPARYGKLMSLFGAIIKKTSQLKMLFMVLHMNIPQFPFRKLVKDIFHL >CRE00360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3570907:3573769:1 gene:WBGene00051912 transcript:CRE00360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lim-4 description:CRE-LIM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LEQ9] MEVHHAQAPPTTAPSEHSLTFPFIGDYLSSASLSTSDYGSDCSTLPIDRSGNREFSPSDDSSMYVSSILRLASEVPTDYAFNTDENIAMKHENVIVICTQCQQQIQDKFFLSVDGRHWHENCFQCTTCENPLSSKCFYKDMKFYCKTCYFSCREFGPKCASCERLIQSTDWVRRARNYVYHLACFACNQCKRQLSTGEEYALQEGNLLCKQHFLELVEGDSGVSSQKAKTKRVRTTFADDQLSVLQTYFNRDSNPDGADLEKIATMTGLSKRVTQVWFQNSRARQKKWHQKSEGDNEDSQRSSVGPLSPGQKSDSSSEMMYPTSVTTSVEDAIPESVVILSSLQYE >CRE00361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3582967:3591170:1 gene:WBGene00051914 transcript:CRE00361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-18 description:POU domain protein [Source:UniProtKB/TrEMBL;Acc:E3LER0] MNSFENAFQFLTEVFEANGSCFVTERVSPSLSGASKRKSRPVKRIITEEEVSPGEAEEVLEEEIEQPPEEIKCPAMVDGAEPLMASIKQLISDGLLDQELQEDEGNTSDEVFSNGNGDDMEQVGSTEFLRRVADILQGNPVNGSLNSNFLQQQLAAFKETVESPPASTNGNGLLTSVTTPLSPLFTSSDSFNSPEKLIQAIMTPSLGFLGTNGLGASNTGLLSSPLIAPSPTLLQSLMNTPTTPTASLTPKKAENRPPVVSQTLKASKRRLFDDTSRIEAASMSGDDRIDMNELEAFAQTFKKQRIKFGFTQGDVGVALGKRYGTDFSQTTISRFEALNLSFKNMCKLRPLLKEWLTDVEMAIEGGATVTDLIDKKTLHNGNHHPIHHSDSHESIHSSISSVTASSLLSRDQHVKRRRKRTNLDMNQRNALDSFFTLNPRPDHDKMTDIANSLELDRDVVRVWFCNRRQKMRRVDEPAEGEMVTPSVSPVFPNISSVSVSRKIMSALEQIQEAARLASCQTSNEDSDGTSGSPEAQSNDGCSDL >CRE00362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3592417:3593100:1 gene:WBGene00051915 transcript:CRE00362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-48 description:CRE-TTR-48 protein [Source:UniProtKB/TrEMBL;Acc:E3LER1] MIYLFLLALLLPLSQVEAGADLDVPTNVANRSMAVKGQLFCGKKTFEGAKIRLFRVYQPNSADDIADLLDVKNTFITGMFHVEGDTSRFPRTKTEIQPYVTIHHNCNMDNKETSNYGYKRIGVRLPEDYVTLGTKARKVYDFGKLNLELEFPGETHDLKFQFAD >CRE00363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3604594:3614083:1 gene:WBGene00051916 transcript:CRE00363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkh-9 description:CRE-FKH-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LER2] MELLASTIQMPSASDTINTDTTSSISSQHSPVQTPLATASSDSFERPSLSYKDLIIEAIDRSPEKRLKLNEIYQVIRLLHPYYRHRPDQWGWQNSIRHNLSLHDCFVKLPLKQTSASGVVGHFWTVVPELSDKQTLRRRNRQQPRALVKKSDVGRTISRDERGSSGSGETSPSPSNPSISPPSENPKPQMPVLNVLELLSGMNDYKGTLFQNNYRSNLIQDNSAVSGLQNLLTTTLLQINPQLGALLSLANLNNLTQNQLHIGSPSLSPIKAESQSFLLP >CRE00860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3615950:3621744:-1 gene:WBGene00051917 transcript:CRE00860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00860 MNPNGKHTTPVKNPVKKWKPPWEDDDTPAEEISQPVPEKKTPVLAKKEPAKPVADTKPKETPKLLEKPAVKPSDPSAKKAVPVKEPVKPRDPSPKKAVPAKSEPEVPPTPVKNPVKKWKPPWEDDDTPAEEVPQPGPEKKTPVLAKKEPAKPRDPSPKKVVPVKSEPEVPPTPVKNPVKKWKPPWEDDEAPVDDVKEPPAPEKKTPVLQKKEPTPAAKPADSSPKKAVPAKEPAKEPTKEPAKPAAKPRDSSPKKAVPVKSEPEVPPTPVKNPVKKWKPPWEDDDTPAEEVPQPAPEKKTPVLAKKEPAKPVADTKPKETPKVPEKPAAKPRDPSPKKAVPVKEPVKPRDPSPKKAVPVKSEPEVPPTPVKNPVKKWKPPWEDDDTPAEEVPQPAPEKKTPVLAKKAPAKPRDPSPKKAVPVKSEPEAPPTPVKNPVKKWKPPWEDDDDTPAEPVKIPEPVKKTPTLAKKTPTKPAGKPDSENPAEPVSRPTSKDPKLSTKAPTEKPKPAAAPKEEKPEPAPAAEPPVKPKKWKPPWEDDSEEEPEADFTVPAPSKNPDTEDPADSLGGPKGKDPKLAKKAPTEKPKPTAAPKRAPDTDDPAEPVSAPSSKDPKLAKKAPAKKPDEKPKEPSKPVEPPKPAAPKKWRPPWEDDPDDEPEADFTVPAPSKKPDTEDPADPLGGPKGKDPKLAKKAPTKKPTEPEKEKPKPKEEPKPVEPPKPAAPKKWRPPWEDDPDEEPEADFTMPAPKNKPDTEDPADPLGGPKPKDPKLAKKAPAKNPDEKPKEPSKPAEPPKPAAPKKWKPPWEDDPDEPEEPEADFTMPAPKKPVDSEDPAEPVSAPKPKDPKLAKKAPAKKPDEKPKEPSKPSEPPKPAAPKKWKPPWEKDPEEPEEPEADFTMPAPKKPDSEDPADPLGGPKPKDPKLAKKAPAKKPADKPKPKDAPKDTKPKPEEPPKPAAPKKWKPPWEEDPDEPEEPEADFTMPAPKKPDSEDPAEPLGGPKPKDPKLAKKAPAKKPADKPKPKDAPKDTKPKPEEPPKPAAPKKWKPPWEEDPDEPEEPEADFTMPAPKKPDTEDPSDPLGGPKPKDPKLAKKAPAKKPADKPKPKEASKPEEPPKSTAPKKWKPPWEEDPDEPEEPEADFTMPAPKKPDSEDPADPLGGPKPKDPKLAKKAPAKKPADKSKPKDAPKDTKPKPEEPPKPAAPKKWKPPWEDDPDEPEEPEADFTMPVPKKPDSEDPADPLGGPKPKDPKLAKKAPAKKPADKAKPKEAPKPEEPPKPAAPKKWKPPWEDDPDEPEEPEADFTMPAPKKPDSEDPAEPLGGPKPKNPKLAKKAPAKKPAAKPKPEEPEKPVAPKKWKPPWEIDPDDDEPEADFTVPLKPGEEDEEEPEEPDDEEEPEDEPVDDAPKKKKPRKHKRRPKKKKKPEPEPEKEPTPEPVVPKAAKWIPPIKQEEPIPMPPKEKTIAERNKEERIPPALRYAKKPRELEVFIPFVIPWEQTAALITQEGMGAFGKSRNADPNINFGEKPIVQGAVDSKTVIPLWNDESKCANRHGMSPFGGIRAVDQNVVDHHVFNLMDKGKSNGIIPLLAKGTTYHPHGEYGTIRKQTADVKYKDGWKPGMEKDSHGFISRQFIANSKEKAGSNLLDRRRTIISDALPQSKECEAMIPLMFDGRSVETREGSEFGSFRPLVSNSTGGYIMSFQDEIKCKNIIPFQTAPSLVA >CRE00365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3626883:3629607:1 gene:WBGene00051918 transcript:CRE00365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-erp-1 description:CRE-ERP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LER6] MDFNFKKIASDAGGFFSRAKQLTEETFLKAERTELDSHFENLLQRADKTEEHTRRLLSSIESYLQPNPTVRMEEVFYEKLELKKDGVVRQNNLEQLSTAMTEAGETFGETTPYGSALVKVAQTENRLGQAERELCGQAATNTLLPIRRFLEGDMKTIQKERKVLNSKRLDLDACKSRLKKAKNVDTQTVTNSKTSGGFTVEQAEAEVRVAQAEFDKQSEITKLLLEGIQTAHNNQLKCLRDFVEAQMSFYAQAHQLMADLQRELSGTMSFRGSSAILVNNSGDPPNGRTMAAAIAAAKPTGLLPDDLGTKQAKVILDYEAVLPQEISVTQNDILIVYRLPGMDAEYVMAEKGGKRGKLPVSYIELL >CRE00366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3630325:3631264:1 gene:WBGene00051919 transcript:CRE00366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00366 MPAGLTYPCLKVVIEFLDANKRTHITNRCNSSFKNVEQFIPFRVDYVHLQEKCITLNNIKFEISQDENQIEIKNRLRRDKRSAPPLKLKYSEVRYQNIVPGQTFSRPIPDEWKHFKSLFREIISKLLREERKNTLLNYFQNEYCYHYFGFRGLQFKFKVSNLECKDVQDSLHLVSPSSAPMNYIECFYPSTRKFRHNLIDSAKKLVINYCDYYSESTFELDNFPLHPNADTIFNKGQIEFPVIRRIVLNLKNNEYKVGVTLKMKGLQISVMKRFMDYAKIWLSAKEVKVDASLDE >CRE00862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3632352:3633954:-1 gene:WBGene00051920 transcript:CRE00862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abu-10 description:CRE-ABU-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LER8] MFRSAFSILAIVALALSAPTLREKRQACSCAPVQQQPSCCQQSTINVQVQYTQTQVQQAPAQDPCACKQQQPACDCAPVQQNPCACQQAQPACDCAPVQQQPSCCQQAQPACDCAPVQQQNPCACQQQQPACDCAPVQQQPSCDCQPQQQPACDCAPVQQQPACDCQQNPTCVTCIQIEIRQVQQQQPACDCAPVQQNPCCQQAQPSCDCAPVQQQPACDCAPVQQNPCCQQAQPACDCAPAQQPSCCQQAQPSCDCAPVQQQPSCCQQQPQQPACDCAPVQQQPSCCQQAQPSCDCVQQAPVQQDACACQQAQPSCDCAQQAQPTYQVQVQQTQTNQCVPACQPACQSSCTASYTNQQSQYSSATTSCDANQCVCQRGYVKCAEQTCCLRYRYTNRKAKRSIA >CRE00367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3636047:3637088:1 gene:WBGene00051921 transcript:CRE00367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00367 MFLFFTGILLLLFSVDSLSQSLEQEQSSTTTALANIENSHLRVKRQFGGFGFGGGFGGGFGGGFGFGGGGCCVTTMICCQMPMPPPLPPPIPVPIPQPVPVPQPVPQPVPVPMPMPMPVPVPVPIQIQSNCCSCCMPVCMPVCMRGYKKRKRASLYLLMVFLFRGCGGYGGGYSSGYVSGGCYGGGGGGSSCGCGGGFGYGRRKRDTLLKKNALAIRRKPIDMVIRD >CRE00368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3637605:3640340:1 gene:WBGene00051922 transcript:CRE00368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00368 MKWCLMGASSQKVAAVEHNASVNLYKYMGTNSGELTTVPDIIFIVDSFLTIRFVPIKNIYSSHLAMKYLVAALAVIQLSSADEVSTSLLSSPILRMNGSLITRVRSKRQCCAMCPQGNCGCGSCNGFVTCPDRCQPMCTQACVMAKTSVCVEQCMPKCDSACINLVKSGPSCGQQCMPLCLPACINAIQGPTECAPQCMPSCSSSCIQVRKYVTFGYDSIMILLQSVFPSCPQQCQPVCTPQCIQSIQVAVRPTCAPSCMPSCSSSCLQEYEVSIKQESCVSACMPSCNSQCVQAVTCSTCTNNCPSICTQANCIPQCMPRCLPTCIQQIQISVPAPMPPPRCDSMCMPSCSPSCVQQYSMCPQQCRPMCTNACVTNLQVARPISQCLPACMPSCTSSCVQSMCPQQCYPSCDINCVQQYAVQMAPSPCASQCMPLCESSCIARLSCVQTCMPACSPTCVQTYAPTPIQCSPACMPLCQPTCVQQHAEVVVACGVPCQCQPGYVQCSQNLCCLKYKNMAAKFRKLSGSGNSNNGNNNSNNNGNNNNNNNSGDEDEDHNTGSYAEPMRDSPKSSNNNSTNSEEKSSSSGSKTSSAFSNTQMDGDSYA >CRE00369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3646868:3648777:1 gene:WBGene00051924 transcript:CRE00369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00369 MAPPTTIPNLFMSDFGLNTDDIKYFHMDSHIQGRGPNRLKSLDIEGRWVLSGKSIPFISAAFNHLERLNDAQVPIRDISEEPIDAIGMFGWTKSSAPSEEPVKEEKKVPKKFVLQPPVFVEDEVPPSPREVVPHRSAGYGPAHHKRKLSIPDRSRSVSPMPVEAPGQTVYDKYAKYERHRKDSITRYGTKWGDAGYVSHSPSPTGDEPQNLPHRTQALSPRPASRTRQYDSEYYPEFLKRDSASSANELKVLTNNIKGDYVPYRTTGYGAYHVKRQYDLSPRSMGSDYATSSGSEQSSPVPARRERSADEIVLSAYHASKTTDSSRPRRDNWIRQQ >CRE00863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3649502:3654588:-1 gene:WBGene00051925 transcript:CRE00863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00863 description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:E3LES2] MHEKEPGVAKSLCIAAVITGVLMLFILVIILAFSAGRGNSESLYDKDDPILELDVDTFGPAIYGSKKAHFVEFYSSWCGACIGYAPTFKKFAKQLEKWTPIVQVTVVNCADDKNMPLCREHSVNSYPSLRYFKYNSATKDDGMKYSGDKYDINKLAHDIAGLAQADAQKQNPESWPTFLPLSDASTLEDVFKSLGTTPYLAVIVQDSPSVIAWSNLINYYGNNGLKVAYVGQKHQIASKFFSDEGVHALLFSNGNAEPMWKSSSPIEKWMDVQEKIEELIGDKIAAKSPTIQPMNAAPVIAAPSNPLNNQYEVQLVDLKSAMSYMLYKEIPRREEIRDEPLAALKQWMHTLKKYAPGTTPMRRLFFRLDEWVQLRSVVTANEWLAQVDEIQQSLGNPLPKEITWMACAGSKPNLRGYTCGLWTLAHSITVEAYKQEKHNTAFKPVIDVLEPFRAFIFHFLSCSECAQNFTKEAEKNQLHLVTRAEDVYAWLWRVHNFVNKRLSGSLTDDPSFKKQQFPPKSLCPDCYDLNGEIDEAKALPFVFKYYSNIKTDPSETLPGYKVVEYKEGKTQSAGQRHLNPKFQIHAGKVDQLEANEKVKNVLDASPQRTWQDIDGYDNIPEGSRSHYYFIWLSLIGVALIVVYCKYRKNRSKFWKTFYYQNDFKL >CRE00370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3659050:3660760:1 gene:WBGene00051926 transcript:CRE00370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkh-2 description:CRE-FKH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LES3] MAGFSILDLCPDLVDNAIKIEPNFLSMQSSTVQLSPKDISDPCTSIDNTDTMSNPANDNSDYLLDESVDDGRSESTSSKDSKSPCSNASDDKKPSSPNDKPPFSYNALIMMAIKNSPEKRLTLAGIYEYILTNYPFYRDNKQGWQNSIRHNLSLNKCFVKVPRNFDDPGKGNYWMLDATCEDEVFIGGATGKLRRRPSTLSRARMDAYKQYGAAAANLFPYFNSGLPQLPRTPYMTTPPSAFLPRQMMPMPTLGPVFAQPELIQMYLNQQQSLFAKLQ >CRE00371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3664153:3665735:1 gene:WBGene00051927 transcript:CRE00371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-18 description:CRE-TAG-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LES4] MPSDVAFRARNPVCLPRSRSVERFGTVGTLTRVTSVPNLSTFRVGSNYRPSTLHKYRRDYDSLDDAAIDRYTFYTPFYWQTYRFAARRQLYVDPIPHSLGHEYAPFWSRYKWYTDWLNPTYWRRYRDPNYDRPLWNSWRPWQYDTKNVKTAIDLYRNGCIDFKTLDKKWIEPTALGRRGKDWSDVYLPAARYGAHRYFYSFS >CRE00864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3665820:3667474:-1 gene:WBGene00051928 transcript:CRE00864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aex-4 description:CRE-AEX-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LES5] MARKTIESVPAPVVLPTEETVQKRIKLKMVDLDAEIAKLNVQSLESSIQMIKDLDQMNVDAVQTTAALEDQDEQLDRIEANLSKVIDDLNVVSHNITAMEHYCGCGIFRILCAPFKYFRKRERDIIKEEVLEKMTSPNLRRKEERDTVMFRSSNKRRESTGDFMKRLTCDTIEDELERNLMQIDQGIESVKNLAVDMHVQLKIQEPKLNRIEELTETNDCVVEGVNDKVKKLLH >CRE00865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3668225:3668899:-1 gene:WBGene00051929 transcript:CRE00865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-1 description:CRE-NLP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LES6] MKATLVLACLLAGVIVSHADFLPKRMDANAFRMSFGKRSVSAPEETKRMDPNAFRMSFGKRSAEQKKSQEEVVASDELYDDSKFEEMKRMDANAFRMSFGKRSAFPPADDQVEETDEEYSSPEQKRMDANAFRMSFGKRVNLDPNSFRMSFGKRSTVGYNLDARNYFVGLGRR >CRE00867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3678832:3684139:-1 gene:WBGene00051930 transcript:CRE00867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00867 METLGRVWNRLSIRRPTIATPEPVEEEDDMTPEKTREVFQMFNGTPTRRKSESAHHHRVVLNQLQNHPPRNATQSPQRQPRLSESSADVPASAIRRNSTETHVFGNRAHIHRDIVRFYACNNVPACSNEDERINTARRNSLFVKRGSVTMEPIKKVDLEEVYTVNKQLGTGRFGFIKLAEHKQSKQRIAIKFFPRPQTKQADFVREYNYSFFLSPHQNIIDTYEGMFQSSDDTAFFFVQEFCPRASLREAVEATNQAGIGEVNTKKVFAAVLSAIEFMHDENLVHRNLKAENILIFDANDYSKVKVTDFGLTRKVDTTVKYLEYVNNYHAAELCDTVVNEKLVVNKSTDIWALGIIFFYCMKGKFPWQKASIMCKPYWEWEQWLKRKNPALPKKFNPFSEKALKLFKKSLTPRFKDRWTAKDMRKCLAKEKLLKSVKRPDEDYYVMIDTASKSRPSAASTSGENQEAGAPPAERKQKSTLQQWISTTLTAMAEISEQVVSARDD >CRE00868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3688002:3691331:-1 gene:WBGene00051931 transcript:CRE00868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00868 MRRAAGSHIRTNRQKLALLQRTTLEARNIAPSLRSNHVRKILKREQAQKPAEQTHVPPHFSLVVDRPPTTRHTPRRRSLPKEESPRSGLKPHDTLGVDDRRQSACSIAERRIEEHRKYSSYGVESPIPIGISGGEEVPERSPSISYTRQPVRSLSAGWKESFRRKLNIFRRPSPSSLSSRSTQQFLSPSPEMSCKSNPEAVKMKKSISFSETTRNALRRSFRQKVCYLFAFKVISLSVL >CRE00372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3694393:3696912:1 gene:WBGene00051932 transcript:CRE00372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00372 MLSERSQNGTQIVPNFSPINLLEETILFAYHNLHRLSKRFSMVAHTAFHSKDPLVLWNYENDMILKDSEVDELMNGDCMQYKNYDDQSGGQYQKHYNGSNLVNTLDAPCQPLPFPPDFSLPPPPLGQPMHPGSFIPTPETCTPPQFVCQEMPPPPSFPPPIMGLPMPSECIQPGQQCPIFTESPPNFMEPPPMVPIAPFPLVAPPPPPPQYQAHCYTNPVFNQNDVPCVPSVGILAPRPGYVAAPYMPPNYSQPSPEVIDPNHPDIVYDMNGFAFRRVVVTTTCLVPVAQCSTSTDSGYSGTSEQCDFGGSVSTDCVVEEYDGEPTMKVCCENGQEYIATCYADSEDPTLVTEQNGPETNIKIDSSSTPSKSTLTAAEIVEQDSSEEQVMQIPKIAMDVSDVVDCPETRVVDEKQWYLQIFEKKHLYFKTFSCSSTETIDNVIDQVASTIEEIAPLAEEIAVDENATKTTSATSSSRVKKLTRKEKRLRQEQKKREMETDDVILERAIKQKRDLLAEAEKAEKTEKEAAEAAALLAKSNKNKKSKINNKVIVEKVSVAPPPPPKVNPQILHAVRMAIDVRSKQVMQAGRPIIHDDPLTTEFFIRIKVFERSVNPDSSDSLSLVKKFIQERIDAFKQMPPPQSMSRITIYEQLFVHLPPNLIIELLFLNYLLAEKNELFRKFEEAFINLF >CRE00373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3697922:3702295:1 gene:WBGene00051933 transcript:CRE00373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-278 description:CRE-TAG-278 protein [Source:UniProtKB/TrEMBL;Acc:E3LET1] MSERLRERLGLLSEEFDGSLRSSSPSGSRSPRRMSRSPRPHGSHEPAIGVTRPFVRQSHPEIAAWPAPPSAHQLSCLSGNVRTPVGGPAPPSPVLRRQPSGPISPSPISPISQHSRASSRHDIDNTSLFSVLKLSHVGRESSRNSTRNNSRMSFRFQIFSKSYPFLAQPAGRETELKRKIQTLEETVAEYERQKYNVMGTFSEYRERVAERERKLEAEYSGKIIALSEEVLGAKKDFEARMKSFQALQDKFEREKEQALEKLRKEHQKEIQVLEQRFSDTQLLNLEQKYILEIQRLEEERKSLRSEKERLGETFEMKLRRAQSLYETELTAAKMLYTKELEALRDHEEALKEELLARQDEFHDRLQELQLQSKRSREDLNSCKNEVTALEKKLQNKEKEVQALTKELDQVKTETNENIRRLTAVTSEFTECKQKFQQQEEELRRKARLLTVVEAAKEKLESVISDLQIEVRALKNKVEFLETERRNLQSQSESQTQLQSSQVNALEAVLDSVTKEKENTKEHYESLLLKERQQAEIREHAMKKEFSCKLNELEEQYTSLKEELEESARLDKDELRESSQIEIQALRTEKSILAAEIRVLTQKIEDEEQDDITEQLAKIVEDTSQLTRTLEEYRERITGKDAEIMTLRKQLEKEITHTEDRNRLLHENTQKELDEHKETHSETVRVLNAEIDQFKSAFENEKEYGKEKSLKIKELEALNNSLSKELEKTKEEAAALESSASGNAELQTEFESKNKNIEDLEVQIAELNEKIATQESITTDEFEKTIADLENENSSKTEQIEKLHLRVTDMLEQMGNIKEELVKKNEEIKSISARTAQLVEANSTQVNETETKLATASEEHNEALKKFQETLVEKEKEVSSKSERIDELETCLKEREVELSDMRSKLDELTQQLNEETTVVLFDNSIQEKINEKEVKITEMNEQLESKDSEIAKLHEEMYIQNRKAEKKNEEKDRLLRQLRMEKEQLEAEKAEQSRIEAEVGNVFQAENESKWKERVDDLENALEHKNELIQQLQERLTGESTSEPQIKKRMSITSHGVFQNFVSQMKDKREEASEKKVRKEAEKKAEKEKEKAEKAAKEAAKELAREKSPARAKSPSILNRFRDRSPAKSNTDNLETTPSSSSRNLLSPFDAEKRMERSSPSRPLFSKTKKDGSSEKRPAWKF >CRE00869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3702655:3704578:-1 gene:WBGene00051934 transcript:CRE00869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-17 description:Tetraspanin [Source:UniProtKB/TrEMBL;Acc:E3LET2] MLLDPKRNYILDLVHFSENDPLLKASAYVSLVCGCAQLLVGFLGLCGAVNRSRFLLLAFVMFLICTFLADVAMGTLSLFYKDKFSNNYMEVYLKNLTNNRYSRDRWVMPLMDTIQFYVYTVKENVREIVKSKYGVMTDESENKRVTDFIDKLQFYEQCCGSLGPSDYIGSRWSQSGTMDSEEMESPLFPVTCCTQITGASALNPLAKSYARCQQFGANRQWRHSVHQCCGGEGPLDYKDSFWYITNTLRGTRSFVPPSCCKQSQVGRAWAPAPIDPMCITYRYLSTPYESSVNTEGCSDRLMSWFNEQIWIFVGFGFGSALTMMLGICLSCCLISRIRIYHVIRDDY >CRE00374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3707019:3708408:1 gene:WBGene00051935 transcript:CRE00374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00374 MDLEVDVADVPTNWCHMPPEIKLMCIKTMSLMFRFAIWCTSTVEREFINSEKRDLKEVAIINGSLQVYDEDRELKIKTKFDEVEDIEKNRLLLKYILTSCNIETFYLEKSFLDLPQFLDKLVANESVQITYLMSERAPLFDNGWLRFILKKSWKSVSEIDLHWATHVVAQDVDAMFKIASINHAGSVKLHRTGNKYAHMYIKKLIEHDAKINTMYHTEFPYDYERQRNAALKKVNVLRNRFKDREMYIQHLNSAIIVSIFRSFINNNMLLRTNNPEKEIVLRVQDLNIGFFEVSATVVPANLPAEQYLEYLNLVDVDY >CRE00375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3710251:3712561:1 gene:WBGene00051936 transcript:CRE00375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-52 description:CRE-TAG-52 protein [Source:UniProtKB/TrEMBL;Acc:E3LET4] MNAGKEFKFYANKMDGTERSLPREQDDYDDYLTIKMNTYTSFDMKKKYANEPQEKINILQEIYDTERTYVKALTVVDEIFIQQLNLWCPKSRKCFRSLFGEISAICKTHQILLESLRTKPIADVFTKFVPFLKLYTSYATHYPNALKIHAKLMSRSDFRKALAKIEEDPRVEGKKLQAYLIMPIQRIPRYIMLISNLMKFSTEQQDITNLYKALSGMQGLTDQMQSCMIAYENGQRLLEIQLSFGLDGHVLEPGRVLLKEGMLYKRELNGTANYQETVVFLFNDILLYGIKKISVMPLCKYEPSAILSLRHCIVQLDDIGGSIFIRCGDVGVDFTAYSLGGVTEWYNEICDAIDNAKKLRDTLRKESFKQKSLVFDRGFWQKNRDRIRNIISNDVTPHVNRVLSRKKPLPKLEESDFLRGQWTTSTTASNRKRQVATTERDVAGMGYTSSPTKKQRQVFSLQDEEAGKVEQGKRYLENLALADVTLTQPATDVATMLTPQRSPISNENDESDFVWTDDEEYIPPEKKIPIRRVASYDEEDRRRRSPGGVRRFVASEPKRRHLAVDDIRRLSYQQRTLEPFNMITDDDDEDDIIDMKVKGERPRPWWETESAPPAIKNQKSSIIDTVKNNCNIM >CRE00376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3713416:3714046:1 gene:WBGene00051937 transcript:CRE00376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-63 MGNNKFSHDFFTWNNTNTSMSQSTPNIKPPPKRSNRPTKRTTFTSEQSIYSVKVTLLELEFAKNEYICKDRRGELAQVIDLTECQVKTWFQNRRTKKRRCNSPLRKSMICKPDERSSSPSPTPYVSPPQPMPSLQSFFQGWPTHFSYQLPIDQQNKNT >CRE00377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3714408:3715475:1 gene:WBGene00051938 transcript:CRE00377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00377 MFALVLIALLVSPVFCQSGCTSELTFGKTCSQNKTSTKWYFDSKLSFCYPYQFLGCDEGTNSFESSDLCLEFCKPADQFSCGGNTDADGVCFSPGDHGCKKGTDCVMGGTVGFCCNKEIQDEWNKEHSPKCSQGNVVQFKQWFGMTPLIGRNCNHKFCPTGSTCIQGKWTAHCCQ >CRE00378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3716679:3717132:1 gene:WBGene00051939 transcript:CRE00378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snet-1 MARFTPLLLILLALVPLYYSLDCRKFSFAPACRGIMLKRSGGHPMIDQSQQNLVNAKDWEKEMLELLIRHIEDEALIANSDCVNVSVLRDRLVNARNELSQ >CRE00870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3718184:3724468:-1 gene:WBGene00051940 transcript:CRE00870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00870 MLTVFQYLVVFPIFAFSQFLSYDAIRMRVPGRCMLGDYDCGLGQCVPISQFRDGKPDCMDGSDEWCFIGQVSCGPVYCADYKDALSCIVYPKCDGSSKQLPWCSASKEKLCADKTSFPCKGYGECVLWEWLLDGKKDCIDGSDEDENYVMPLEHAYRCARNQTGLILPKPIPPPPEDHPSLYVEGCSMCSKPPTIPAPVPSISSETAGDHTTPKYPFITSSPFGNTQSPLSNFPIFPSEEPRPGDFFVPPSGVEISRVTSIQKPNEPIGDFFPSHSSTHFPPTEAYTQSHTFRLITIPSISQTQYPQAPLIFPGRPDLPPITAPSPLNNWPIPIVTTTPDSHHQGPLPPITYPPIEEGSEKIPPIRPGIIISRPVTSRKPDVQEEEEFEYGNGGGSPPGINPFDLPTRPSVTTPSSIFQTVPPAGFRPVTRPPDEHSGIPEYIPRKGNVEYPENPGNSVNSNIEPKGTGDTLVPFIPGRVLPNLPTRRPLTVPSFFTTRPSPMYPGGQFITNKPPIIDHGALPTLIPQSEIEKYVQTKVPTSSGFEVPDTDFTTPSSTRKTPSPEGFIEEKTPPALPPSGHETTETEENAHPGTTTSRPTSGSKIIHVGPNGVVEEIGKHGPSEGNIGEPQGTGIETGSGLVTGSDKIIHIGPTMHTTSSPIPTSSVLLTTSSETSSTNDGVSTSTAETTTVKTGDPQVDDCLLKMSDQGSSVVCDCPNGQFKNIGTGQCEETLSLMSVKIHVNSICNTNKASFEQKQRILHQKVIGQSHQSCIRPTEHDSSLIATVKCEDCSLQEINRLLTTRSFGEPGSLNVTAEALGSNLCSDTEFNHCHVYSDCVLDSEELRYACRCKKGTTDTSDGYGRLCEGFPEESDCIMVFGICLIVWLMFLLGAFMLSLLSCIACYSLCRNRCCRHIAIHPVNADGLQTVVIGPKAAKNLDPNGNRERLPHMKAIFAESLKHSAKGSTSVASAFAFADFRRKKVSRPPDMSQVIEEVNETPVPTMKNSESLPKVSSLAKISTNSEPPTPVGIMETPILEKNSSSVSLVSANDNAPQLPSLPLPLPVPITTSTSTPNLEDTTGREKERDTGGSAPHEQQVKINIVFFEKEKDFFKVPEAERPASSHSIGVQPTIWETYRVLGQQYSKSDLTERKASVDSLEQMFEARLAETSRTTKTIPTVRTDKSDNSAIMFTAKPYKEETEKQQPPPAQQPVSEEKKTPPVVIDTTTEKLTESVEDIQKNLEEQKETLIKETDSKLADMIGVTSFHTSKNASPTRPDSGEGPSKEIVPAVEMESRPFSPSLEKSLEAALLIEMAKRGIELPLSPKDPTPIFHELEKVTPVVAATVESTEDSDVKPVKPETPKKIESRKSSAKSSASSRKSKIPSTSQSRQSSAKQRISQIDEQDNEPEVFVPQGARAIQEKKKILRRSGGGSELVPSTDESDPEIAFFKKIEKMKQDPMRYPVHPYRKYVRRRKPERTLSSISEKSAEIAAEAALEYPQDTSISCPPTTRAFLEPRAHIAPRYIFKRKVVEATEETSDVDLPRLSPAKHVHPVVSSPEIPDVTLQRSMENLHKSEMKTKPQKPFHPQTPTKKSSLLKKKTSASGSAAPSSSVHSSRHSSKFTSKATTPRNGDETPASLTSRSTRHSVISRKIAIRRQELKAVTGSARDLSGSRASRVTSANALRGAKSVGDLSKRQRHWDISPYRDTPGPSSFLPPITPSRGVRTALNSPQPRHRRSDSHFSMTSLPLISHSPTSFWSSPYFNPSEDSKKPPKEDLWWNPNNRL >CRE00871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3726418:3726940:-1 gene:WBGene00051941 transcript:CRE00871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00871 MASPKQSQQEDTSNESDAKKMRKKKGFRGETVADRLLASARRMQEMRISENGNSRPGVMHGNQTAQPNESSNQTLKLEKLGKATNEIDDLSALEWETSSEQSGI >CRE00873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3730458:3730950:-1 gene:WBGene00051942 transcript:CRE00873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00873 MLSVISYLLLATVFIKESFSLSISNVYTSYIDRETIDQSDTFGYHIPKPIGYKGDEPIWPRSYGYSAEMFFDENGAAFPVRPRKKLGSIIRPLQQSPQRYEEEEEETPRYDERNALREFYRRFKNRARTS >CRE00874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3732305:3732878:-1 gene:WBGene00051943 transcript:CRE00874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00874 MDDALKDAVKDMFCGITSEFEENRSIMSILHQAVLEGAGLMYPANYDYDDENENAGEEAKHIAEIQRQKKEKEIEIAEEVAEKATVKSIVLLMESDGWFFEEDDDEESNDD >CRE00379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3735058:3737060:1 gene:WBGene00051944 transcript:CRE00379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sax-1 description:CRE-SAX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEU3] MGDIVPEVEISQYTKDKASCTRISIESYYSKRVTQCAERENRLKKLEEDMSARGLSDDEKDEKRKIHHSKETDYLRLKRTRLTVNDFESLKVIGRGAFGEVRLVQKHDTGHIYAMKILRKSEMVEKEQTAHVRAERDILSEADCDWVVKMYYSFQDYSNLYLVMEFLPGGDMMTLLIKKDTLTEDATQFYIAEAALAIQFIHSLGFIHRDIKPDNLLLDARGHVKLSDFGLCTGLKKFHRTDHYRNWPVTLPPDFISKPFESKRKAETWKRNRRAYAYSTVGTPDYIAPEVFQPNGYTKSCDWWSLGVIMYEMLIGYPPFCSELPQETYRKVINWQQTLVFPSDVPISIEAKATIKRFCCEAERRLGNHGGLDEIKQCPFFRRIDWNHIRERPPPIRVTVKSIDDTSNFDDFPDEDLSWPTSTLIRPEEQPGRRGEFVDFTYKRFDGLTQKMRYSDLKKQAKKNKKGGQGQGSSD >CRE00380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3738463:3742320:1 gene:WBGene00051945 transcript:CRE00380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00380 MLSEERLESLLKSVKLRAKKFQQKVTNAYTYAIQVYTDAIESETFATYGQLILPLAVLVSVVLTILICSVIWKILYYTKFLQVLGWLFGEMLWVILSWGLVAVLCYFALNHLGSTGDTANTSSTFGGLNAGKDAKNGTLGSSGEWSNEIVSWLYSNFHKVPAPMDAWIKSLNEAAKKVTSPTKCEVLFEGFGDHSDVLQPPKISNVRVEHGPRDHLTVRSNIHLPCVKLRLVSSQRTPERMIVSNYDVLIVDLRGEVECRMACIANQLYLMGCFSGRPEMDIELRNTDPSAQFQVSMPMVEESIRRCLLSAVTNINLSEELPEERNTFADTFSRTIYNPPTHSPAGTMRNSYNNYENNLHVDSYGTHSSHRSNSPSGDVPEMFKKLNESHLISPSYNTNSIPNKMRIKVIKANRLGKEVSQPFVNVEMDEPAQKYSTTKGINANPYWEETFDFDLTPATEEILFEIYEGNDKFHMSDDDGFLGLAIVNFEEIRRSGETVHSLKLQGRPYRKDAISGDLTVQFDFYYDPNLLTAGKLTDTVKVTNPNGSEFRETLTTHRRPIYDPHDNFDGHEPIIPSKTTTVTVKTVSQTLKERPTIQSVHGSLENAVDPATQKILDQQFKNHNDPKTRELEAKLQSVAQSMSTSSTLDRPSKNGNNGQHYRDHTAPPEFHEELDVRQSRDKTKKAPVEKRDRSFFGELRDRLSGRRGRSQKRSKSVDIENNQMLEEAVSLPPSRDPSRTRYTVSNNDKYRETHSVGGRSGESTKSLYQHSTLILELDHDKQAKYFLIPPAMLNEPAASRLMRKGKKLHIYNDHTFVAVKVKGGATCNVCQQRIRSSFSKQAYQCRDCKMVCHKTCHYKTDAFCTQSTVSKLQIAKDVDWAHFLSHYQLEEFISSEGL >CRE00381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3746725:3747842:1 gene:WBGene00051946 transcript:CRE00381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-11 description:CRE-GST-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LEU5] MAEYKLQYFNMMGRAEPARLIFAYAGVQYKDERVEKSAWPEIKPSTPHGKLPVLYVDGKQLAQSRVIERYLGKVFGIAGENDWETAKMDELVACVEDFLIEIQPWFKEQDNAKKVEIFKQLIESTIIPFITAFETILTTNGTGYFVGDKISYADLAIFHIFWFMNSKILPGALRKYPKLHEFVEKISAIDSIKSWINSRPKTEA >CRE00875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3748296:3749768:-1 gene:WBGene00051947 transcript:CRE00875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00875 MFSSQTNSMLAAKVIILLVVITGVYIILLISRPVIHENSTMLVAPKSRNVREEQIRLFALNEIVKANSHVSPQYKMVNCVVQKSMSTMMTGAMCYLYNETQYEQSGRNFDDEFSGRFCKDTNEFKSVTGVREAFNISYVKTDWSFSMVTRDPIDRFVSGFVDRCVRLQQRNGTTQCNGCGLNMTCFIETEYKHLMEISFKRKTHRTMEDAHFFPQVWHCDLNEEVEFFEFIQYSSNADDNLMPQFDDLLQRQKVPRRSRDFIRNQLVYQKSSHSTTGTPAKRFYYSRQVLKKNQGFDCVFQIDEVTVPIGVYCQDVLLRFPSVSL >CRE00876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3750018:3752220:-1 gene:WBGene00051948 transcript:CRE00876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00876 MTQLLPRILGFVLFVLGAAIFLTEVMHSYQRFVRQAEEYPISNSEDVPKKEKQMKTFFSLYNNMDEIFGQNSDIIPPRVLNSTAHEFCNNTDHCIRPFMRHETRYRVAPDYKMAHCVVHKSMSTVITGIMCYLYNRDHFVKVDKQMNMSEWDKASLCRGDNTFRHLRSVEKRFNASEMDGWSLSMITRDPIDRFVSGYVDRCIRVAEGPSPCNGCDKNMTCFILSEYERFKKQANKGVLTNTFEDRHFYPQNWRCDIKTMRNKYEFIRYSSDPSKQLMEDLFKIARRQGIPESELEYIEDELTKNRKTTHTTAYSPAREFYQRRLRENPLLMEYVVRMFYHDFVILNYPFPEGF >CRE00382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3755947:3758627:1 gene:WBGene00051949 transcript:CRE00382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-del-9 MLEKQARSTIRRTRFNEASCVMYMNGNRPETTVVRSHSLTHSQSVSESESAIRKLKKENHCLKKTLSRRWSGRSRASTRSQEPTDVTSLSDDSDDNDDSLYLLRETSTLHGLRDVMLSSSKNLRLVWVLIVIIALAMTLQGCYQIINEFSMRRIVVSYFIQEAGQFNNRLIINSIYIIIDSIFVPDVVVCPYNRFNRSYFEANNVSFELAQFLELSFPSIELPFENLLRKTEEILKKIDTLDFQLEALLSQKNMTYAKFLRKASLNCEAFFQDASRCANITEMMTSAGKCFRLAGVKQEASGFGNGDRYIIDLPEEYYNPGINQMINSGVIIKLAERGQGIDNDLTFLPAGVHAIMPLLGTQFEFMNDPPRYECEEDPHKNYSRVHCYEDCLTSDAQHFCQCSPAAAHNPGHPDKICTATLLYHCFFPNLFTDDGKIPKAKVDACKKKCKAPCHAWNYNKQVSYSSIPSESSKALISKDEWNKMKRRIILDIYYSQLDYTIIKHVIAMPLSSLVAQIGGQFSLWAGGSLISLCQIIIYLARYVFHSVCGCTFSSKKKKRPRETRDDHEARHRRDGKRRPRDSSHNRSSPKPHNGNGTSKIVNMEMVTTQTTEESSPI >CRE00383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3760484:3763447:1 gene:WBGene00051950 transcript:CRE00383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00383 MSRSTAVSINLDDVFGNVPTLAYSSNEKTSESSRSRKTTASSVSTVREVPIGNGVRSHASTAVGHSAPVVSAPPPVVNATPISHYYDATPTSDPLLDSIFSAVTLPSVNLNGGHDDALRHLDEALYGEDHESIVSEPPFQAPSHVSSSHVTSHVSSQRPQYASGNHPQNASVVSNGSAKRNAAARTASLIANDIFHDLNINSQTYHAYDAYGSEPEPDYGDEKSDHFNLHHQYPVRNVNIHRDRSNTIDSSASFAESRFGQFDVHDDNDRYHGEEINLHHNEVAYERPESGHGLSSPGSVHSHHTQSSFHTGGTQKLRNLQRQVQDAHVKQAQLTHQVDRQRADNDRVAHANLAREASLKVHNIGQGAKPLGYHVRSYSHENDNYRHHLPPQPKSSHSSKSVDSSIYEEEEVRVSPLAAKQKASGEHIANSGKYELIVPEYYVVENFSKNDKINKVIIGGDAPSSDDDKTLLLFGPISAGKTSAITSMMNFLYDVKKENNFRFVLDEHVNATTGLTAYVFNNTVLPYNVTVVDTPGVEDRMGNKTVSRLIKQWFEKELLESGAFRLDAISIVLKHDENQLGWPFIYELADVKRMFGDDLKTNVLPIITNSEVLPQPIAIKSLTQANISFLEYYKVNNSGFAPLPTGISKLQHNLYWTHGTASLENLFRDLQETVHPLVAILRHNKGQKVEQAPVFADKVLY >CRE00384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3764166:3765562:1 gene:WBGene00051951 transcript:CRE00384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00384 MSLNTSHTPDGHGVLIYNGEMILLYTTNVKLKFEKYNVPAFKSTKSGALYLTSHRIIFMNDSKKDELKSFAMPFHSVRDVKLEQPLLTPNYLKGWVTPMPGGNFEGCPEWRLSFPKGGCIEFGEALLRAADMASRARPFAAPPAYAPANMQQQSATTYYAAPPTYYLVQGSYQGFQAPTHTFPERPPAQNVYVYDMPPPYPGIGQTNPYPAGQFQPAGTVQYQNIGAYPGMPGQPGQPQPPQQAGQYNPYPTNQPPQPGFNVGQGAAPSASGLPQYQQLQQQSSAQPPAYNAYQEAPPLPSKNGPL >CRE00877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3765911:3767732:-1 gene:WBGene00051952 transcript:CRE00877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00877 MELLTSPSFHHISPLTRSRSDVRSAAMRRSNSFSGVTRSTSANRFSRPDYGYGGGYMSRSINKCGGTLFGRSLAATALTKTAPFHNVGVQRSTPHYTDKYPYVRYSYGNTDTGLGILTQSESVYSRHSGIRDIGTKRWLEGKLNAYNTSLFTRPDYQKRVEKPIASSRSYVRYMPVDDALDMYKKRCMTVGTLSKYWLSPATWASRREKELNLSSSLSRGNYSYSNRFDRLGGRIY >CRE00385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3768446:3770498:1 gene:WBGene00051953 transcript:CRE00385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cgr-1 description:CRE-CGR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEV2] MSGGSTSTGLFSFFSFSLIFENFFSKIKLKLPMFETTHSMVCVHFFIDKKIGQSHSFTKFISFQFSAPHYWKWVFVFQFFHRFTFTAMVVENHYPHLSELTSHQKEKIAELRSKTKDILATYPEYDTDFSLLRWLMGWDYKIDAIIPKMKYAVETLVNLGMNNHQTTSIQQINKDIKNMSAVAEYFPGGIMGQSKRGDVVYMQAMAKAHPKTLVKAGPTSQLFQLCISETEMSFKIIRQTEEEKQRKMGVIIIMDLDGFNMDLLYTPTLKVYMSLLTMLQNIFPDFARRIFIINCPTMMSAVYAMVSPVLSSQTREKVRFLDKDWKNHLIEEIGEENIFMHWGGNKKHEHPCGDIRMGGKVPESLWYNETHKLEGDRTKISISARSKTEVKMYGETGKHFHWLWRVSSGDIDFSIEKDGRVVWPVFRCLTEFHPEIGSYKIEENGEYVFVFDNSHGKIFGKDVKYKIVLE >CRE00386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3771565:3776686:1 gene:WBGene00051954 transcript:CRE00386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00386 MKHLLFLTLVSTVVSKNVFVSSPFDNFRIECPKRAAATELSVRMVESVGQKRQDVVFDMSCETVEDLYPWINIPVGVSDIEREDCHYSQMIDPILDENTTFTCAPREYLAGITRLSDTRIQTMCCRLRSRDEFNCKDLVFNKPIGLTRSTVIEHDNQLINAIRIEERNYVVRFCDLAPRATALIMSDEKIRSTTAEPTTEETPVSQELESNMDSESAPQQPAQHKQDPLKVVKTQKGSESAPTKLRTPMHPPPRIEAAPIVEFQEPAVPLVEIPSDTVDISEADLEPLPTLRPVTTRRPSTVSLALVTLPPTTSTTEEISVEQEIPVTEPIPDEETTFPQETPVFSQQLVEDIVKKIGSAQSEQKAAQIFQQSLNKLLQNANEKPIEAATQSVGPPAARPPQNLDNVNFENVQFPQSAGKQRFSKELLPLKKSVDGEDFSNLQPVNLEGRRRSPIRTHRPHVDIWDSDEDEDTDKIVAEINGSVEMHFETTLPPKKIVKKIIKKVIRAHRPRTTTEAPTTTEESTTTEEVTTTTERITSPRTRPTTTTTEEPTTKKISNSFFNSMEQDERTSQRQHASATPEPRRFRGRTTTPSARRDLPVTRRIAETRVSQQDNARYIDEETQLSPFDDPVNELEEFKTTTRQPAPSQKKKPVEESPRIEFQEDEELEDVITKLSARRGDIRRAPVRNSFAEAQFADKVSLPQKDGVKTHIPRNGIPIALDMMEPISMTNLEGFNSNDSETSQADNDGQNQKPEESKDESREEESESEMIEIDNQIPVTFPTRATRPTTTVYDPNAFYHTPRPRPAKKESILRFCSKESAIRDQSNMVIACGLDQDIWTPTRCPENADCFPSHDSLYRICCPVHRAG >CRE00878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3779413:3780048:-1 gene:WBGene00051955 transcript:CRE00878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00878 MLSAQPRKSIIELAEDSGLFDINASDLVFEASANGICYYDFVLSCRRCPASEGNGKMLYLAKFDERVNLEVFETAHIPQELFDDGLPQHDLGLMTLGVLVWKHVIAKSKVLCLVRNMDGDNTQPLEALKRATYKFSVNHHVQTMVPRRMSVSSGSSFGSNHSYYSEGMRMRILLCQSRNQVEHIPAQAVRETKALLNPSSNPILCLCFPIV >CRE00879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3785710:3787366:-1 gene:WBGene00051957 transcript:CRE00879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ckc-1 description:CRE-CKC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEV5] MATPFEDFDEDLSLTSQEECENSARSILAKLRPEWTPSEITFEYFSVGITNKIFSAGFGTEHVIFRVFGHNTSKVIDRENEVTAWRQLAEHGFAASLYGKFNNGLICGFLEGKSLKIEEMRDSRFHVNIAKRIAQLHTSVPNDGKARVFDKMRTFLKQLDPKFEKSCQQEFFDKKFPKDLEAEIKKIESLIVKLKEPIAFCHNDLLVHNIVYNSEKKSIEFIDYEYAFPNYALFDIANHFCEYAGKLTEDHAEFFFSILGVEGTPDYSKCLTKDEKWLFINDYLRFGREKEHCDTRIASMFKNLPLFEAAAHLFWAVWALVQAQNSTIDFDYLTYAYARYQQYEKRYQKYISGTQH >CRE00388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3790221:3795310:1 gene:WBGene00051958 transcript:CRE00388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00388 MSISCSCIKGVPERGIITLAMKSIIIASLVALAIATSPAFDRTFSPKSEYVYKFDGLILSGLPTTSSDASQTRISCRTRIQAIDDRYIHLQLTDVIYSASHIPQTEQWPKMESLEQRELSDELKELLELPFRVQMRNGIISEIQFSSEDAEWSKNSKRSIVNLLSLHRSAPVDELNQEEKDMETEKDSRFFNVHEKTMEGDCEVAYTIVQEGEKTIYTKSVNFDKCITRPETAYGLRSGSECKECEKEGQFVRPQTVYTYTFKNEKLEQSEAHSVYTLNVNGQEVIKSETRSKIVFVEEKKWNKEIKKVTGPKEDIVYSTGEEMLIEKFCQEGDKANKNPFEVIPSAQKIEQVQEIFRLIQEHEQNTPETVHLIARAVRVFRMCTIDELKKVHSAIYTKSEKKIQSLIENSLAVAGTKNTIQHLIHHIQKKTISPLRAAELLKSIQETLFSSEHIADLLIELAQSPLAEGYEPLRQSAWLAAGSVVRGFASKTQDLPLTRPATRQLKEKYVRVFMQHFRSAESTYEKVLALKTLGNAGIDMSVNELVQLIQDPRQPLAIRTEAVEALRLLKDVMSRKIQKVLLPVYKNRQNMPQLRMAALWRMMETLPEEPVLAHIVSQMEKESNQHVASFTYNVIRQFARSTNPCTQPLTVRCSKILLFTRYQPQEQILSTYAQLPIFQSEMLSGVQFDFATIFEKNSFLPKDIYASFESVLGGNWNKYFAQVGFSQQNFEQIILKALERLSLYGKQSDELRSRRVQSGIQMLQDIVKKMNIRPRVQRTDEQSAHAVFYLRYKDMDFVVLPLDMQTIDNLLEKYVRNGEFDIKSILALLNNDFTFELHRAIYFYESVRRIPTTIGLPLTISGKMPTVVSLTGKVSVKMQKLGARLTLDIVPSMASTHITEMRFWSPSLDHGVKSLQSARVHTPLRLELNAELKKNAFEITHKFVVPENKKTTVAVHTRPVAFIRVQRDQETEYTEAEEKTISHPQYQLTSEEINREYEVLGLKINAQGNILSQWSLPKVLMTEQDFEYTLENKNRPAEFVARFTIGNFEKTDLSEIKFNKIFEKEFDMENNESENRRQYFNKMIREIQSEQGYKNLISMKFEAPQQMYWNHELRTVCDQYVRICKIEMDCRRSPVAEETKEWTLHTELLAVRPQMPFSLRQLREQPHREVQLALNAKWGSSKKSEFTVNAQLEQSKEQKKYVRNMEREFNGIPEYELLIKAARLNQINVVSEYKLTQEAEHTFSRLFDLIKAYNFWTVSEKRVENEERRVVLQLTVEPLSRQDINMTIQTQQQQVELKNVRIPRVFLPTIARRAMYQQVWEKTGASCKVDQSEVSTFDNVIYRAPLTTCFSLVAKDCSEQPTFAVLSKKMNKNSDELIVKVVRREEELVIKKTDEEFVVKVDGKKIQQSEFEQYKMEVLGDNLIVIRLPQGEVRFDGYTIKTNVPSVASKSQLCGLCGNNDGENDNEFMTADNYETEDVEEFHRSFLLKDERRDKSLNTRATKMTRNPRTVCSNCFLTLILQQFISESVEKTLIKEFSNRVCFSLEPVTECRRGFESEKTTSKKLRFTCMPRHSKNARRFMKEARDQTILDMADFPVSYVEAVKIPTSCVAY >CRE00390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3803604:3805243:1 gene:WBGene00051959 transcript:CRE00390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00390 MVEELGGSGKTPPTPVSHFWLTHSGFRSRDYELLLGDFIKHFYARLFSSTLLEYSAITIGIAELRKALNFGPVRPWTHYKDEEPTKQELESATSLEEYYDLVEPIAPILSLDNSFLFEKNIHIAVDYLDKRLPSIRNIFRRRFEEKSEGTKNDRKLVDIMIDEWRKMVGRIMRAIHEMKKHDEKCWD >CRE00881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3808523:3809092:-1 gene:WBGene00051960 transcript:CRE00881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00881 MFFPESFNTSTTSTSFSLEDEHSKISTTCFSSRDYELLFGDFIKHTYARSYSYTFIKYSVMTIGLAELRKALNFGPVRPWTHYKHGKPTIQELESATSLEDYYDLVEPITHFQSLDNSFLFEKNIHIAVDYLDKRLPSIRNIFRRRFEEKSEGTKNDRKLVDIMIDEWRKMVGRIMRAIHEMKKNDEKC >CRE00392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3819465:3820124:1 gene:WBGene00051962 transcript:CRE00392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00392 MTTTLLIYFCLAIRLHAESFNTSTTSTSFSLEDEYSKISATCFSSRDYELLSGDFLKDTYARSFSSPLLEYSASTIGLAELRKSLNFGPVRPWTHYKYGKPTKQELESASSLEDYYNLIEPTTPFQSLDSHLFFEKNIHIAVDYLDKRLPSIRNIFRRRFEEKSKGTKNDRKLVNIMIDEWREMVERIQEVIDEMRENDEKCRD >CRE00882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3821963:3823468:-1 gene:WBGene00051963 transcript:CRE00882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00882 MLSKTTLLDTSSVCDCNQQRVVHFDDEHPPTCATHREQSAHAVLYLRYKDMDFVVLPIDMQIIDNLHKKNFRNGEFDITSVFAFLNNDYTFQLHRTLFIYESERIIPTTIGVPLSISGKKPTIFSFTGKVATEMQNLGARIVLDVVPSIASTRILESFQSTRLPTPLRFELNAEPQVKCASTVFFSFAIVVKTEIEEKNLEKTDLSEIKFDKIFEKEFDMENNEFENRRQYFNKMIREIQSEQGYKNLISMKFEAPQQMYWNHELRTVCDQYVRMCKIEMDCRRSPVPEEAKEWTLHTELLAVRPQMPSSLRQLREQPHRDVQLALNAKWGSSKKSEITVNAQLEQSKEQKKYVHNMEHEFNGIPDNELLIKAARLNQINVVSEYKLTQEAEHTFSRMIVPYFQALGAT >CRE00393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3827288:3827948:1 gene:WBGene00051964 transcript:CRE00393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00393 MTTTLLIYFCLAIRLHAESFNTSTTSTPFSLEGEYSKISTTCFSSRDYELLSGDFIRHTYARLFSSTLLEYSAVTIGLAELRKALAFGPVRPWTHYKYEEPTKQELESATSLEEYYNLIEPTTPIQSLDSLFFFEKNIHIAVDYLDKRLPSIRNIFRRRFEEKSKGTKNDRKLVNIMIDEWRKIAGKITRVIDQMRENDEKCWD >CRE00475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4499440:4500969:1 gene:WBGene00051965 transcript:CRE00475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00475 MKSIIIAFLVALAISPASDRTFSPKSEYVYKFDGLILSGLPTTSSDASQTRISCRTRIQVIDDRYIHLQLTDVTYSASHIPQTEQWPKMESLEQRELSDELRKSLELPIRVQMRNGLISEIQFSSEDAEWSKNGKRSIVNLLSLHRSAPVDELNQEEKDMETEKDSRFFNVHEKTMEGDCEVAYTIVQEGEKTIFTKSVNFDKCITRPETSYGFRFVSKCKECEKEGQFVRPQTVYTYTFKNEQLEQSEVHSFYALNIESQQLMKSETRAKMVFVEEKEITKEIEKPTGTKEDIVYSTGNEMLIDQFCQQGDQAEVNPFKLPTEQKMEQLQEIFRQVQEHEQNTPETVHLIARAVGFLRMCNINELKKVHTTIYTKSEIKAQSVMETCLAVAGTKNTVQHLIHHIQKKTIPPLRAAELLKSIQETLFSSEHIADLLIELAQSPLAKGYEPLRQSAWLAAGSVVRGFASKTQDLSLARPAT >CRE00883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3837449:3847252:-1 gene:WBGene00051966 transcript:CRE00883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rgs-7 description:CRE-RGS-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LEW8] MSLTVSTKTMGLEALIRRAPVCGVAAHAVRRQLLNQPREQKILGNNKQVFQSYVPSSQSGSDRGMSSRDMYSQIRRGDESQFRPRDKFIPPRRPRMGHGSFGDLSAIEEMSPIRSSNYSSSCEGGPRLSSLRAQTEWKPQLTSTTTSFQALSLTPMTLNHPKGTHDFKVPMSPVSTSTPRSQRLYRKNSKHVRHHSSALHLLDSHMSERSSSQESDRPVTPDPWMTENSENDHPDDVRPNKVIKEKSKRPEDFGLDNAYAKHKDIRGIIFLSMSLCGRRLTLNVQDASYFRSSSQPDSVSSYVTAVLCHRPASQSSSRKYSSRPDECYRTRLVTDCNNPSFDESFQFSFSENCARDLLIVTVYEIDSMHSERKRVLGCMTFPVSRILKKASQMFGDTFQKHHRREPMEDVEINNEGFFLLNKDQGRKQNFPQRKVRRQTFYEDPAFTGVSSAGSSVVSNHHSGQMTTTSPRLSVPNELTMGDYYRSSSIDRHLRSTNNLLDYTSASSSTNGSEIPEKLKFHRATLPSITTTTSENNSDDAKSLSPDRSPTDHNFLCPDDNGGVYGAGPAHSALKKTSVRRAASFTFSPKPSSSKSNLRQLNGEDREKKRFLGPISRTLSYLRTKMDLALSTSSLYPSREDVRQWETSFESILNNKFGCALFRQFLKKEFSDENMDFWLECEEFKKMKDGKKSTTQKAIEIYTEFVAEHAPKEVNLDSDTRAATRAAVEAGCKPDTFALAQNRVEQLMSKDSYRRFLRDRLFLDLLESYDSGDKDDKPSSSKDRN >CRE00884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3850108:3850477:-1 gene:WBGene00051968 transcript:CRE00884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00884 MHNQNQRIQLNLHKYKTAGVQQFSIDKSSDEEFDDQSSLSSISEDDFSDSESGSYQDTTLSTNPSEATTDEMLWGRYVTEYKFPSSFLSNHAPVAGSLCYFKQ >CRE00885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3852242:3854074:-1 gene:WBGene00051969 transcript:CRE00885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gyg-1 description:CRE-GYG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEX0] MSEAWITLATNDSYAQGALVLVHSLRTAGTTRKIHCLISNQVSAPVRKQLEEHFDDVSIVDVFNSNDSDNLKLIERPDLGVTFTKLHCWRLTQYTKCVFLDADTLVLRNADELFTRPDFSAAADIGWPDSFNSGVFVFIPNHETYRQLVDFAVTHGSYDGGDQGLLNDFFSNWRDLPSEHRLPFIYNMTAGAFYTYAAAYKRYGANTKIVHFIGSVKPWHGSAAVHTGEHFQHWQSIYHAHVTHTSRTNEHATVFPSHHHVVEHQSQSVDQKPKIERNDSIVKDIGSFVMHVVQSSVNLFPSFDTDAHSSEHKGNNEHEHHHEEQHRPEPTQHHHQPQPHEEHKDLVGSTDCFGSQLPDHNADSEVDREVEQITNNTPCPAFVPFERHQEHKAPTPSTEERRAAWEAGQPDYLGRDAFVHIQEALNRALNE >CRE00396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3858974:3862090:1 gene:WBGene00051972 transcript:CRE00396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00396 MSEEWNDQFMKQRLGFVKLLVDSEPEEAWCLFCQALPEITDEQLDAWSQSSEKNKIKETINNEPQDVAPTVSGTGNNSNQNFPTLTTIGGDVLKEIVDRVDIKTKKALRFKCKKLLNFIDEHWKQNEVHIHLDYHKVKVRILSIGEKEELKFNDRKRKLQDDDQESKSSQKCFLQETADKFEEIIQNPKTQLGKLFITCTNENDMAKNQEKLLHLIFDKIKKPICVESLKIDLSCSNALESILRKVKVGSLTGLRLYHFSTNGSDDSAVTNIIENRHWVHIKKFIVIGCAPNSRMIAFKDMTTISALLIGQGHLSHTFYGRFNMEEIAEKLMPYEELGEINRTKGMFRREDGTQLEFELKENQITFSG >CRE00886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3862487:3864563:-1 gene:WBGene00051973 transcript:CRE00886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00886 MFKLGDWNSKNKFGFQEENYLKSTTPKIVIYGTHFGELFYIYINTGLGLLVVERVDSRGIVVVLEHPAYAVFWDKIGQKHARRLTATHNQMCRIQMKKSESIEKSLLRVAANETNLFDFMFHDNSKRESYLDDDEHAIQFIYSGWQLVINQFAQLCSGTVGQKLHVKLQECNTEIVLAVAAFGKQFFRLCLRVWDSLFELVGCLVGEHICSFVQMSLHYKMYYETSRKYLVGR >CRE00397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3864789:3865382:1 gene:WBGene00051974 transcript:CRE00397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00397 MTRTLLTVLLFVLISKTYSDCYFAFLQASGACSSDSDCGGSPCVMDVKSGSHVCCKPKAGTTAPKCPGGMTYSGIPVLCDPADGDDGCPAGSTCSASSTEFTKDSASPNSLCCKP >CRE00887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3865655:3867899:-1 gene:WBGene00051975 transcript:CRE00887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-16 description:CRE-NPR-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LEX4] MSDSSQHLRLALTVTHLLLVALGSVNLIVILLIITRPYLRSITNVYMIGLCLADFIYLADLILVAATSLNGKSWPFGPTICHLFHGTEATGKYASVLFVVLLAADRYIAMCKSDLCGRYRTYRTAILLSGLAWIAALICSLPLYVYAEIKVRMRPKNGTHIIESNHTLCLAHWPSPPHAQWYISVCSVMIFILPGLVIFYCYYHVFCKLREAAKGSRRLHRNKRSRSSYQRVTRSVQRVVLFHLLCWSPFWLFNLFSAIFRVRITTQLMRIIVNIIHLFPYVNCALNPVLYAYRAENFRTAFKSLLFWSRRSVSCKLNRPLPMPEDIRSTSASTYYRNSSNLDGLKPSLPIKSPIEAASVYQPEEESPVDSSEKIEMEKKKAALQAWRPYDGTNCEVLEVQYDHHKCSARSILLPAVTMEEGTKL >CRE00398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3881711:3885157:1 gene:WBGene00051977 transcript:CRE00398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sox-4 description:CRE-SOX-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LEX5] MFSLPYASAFQLYVSQMKTTTKPLTSTIAVPIVMSSMDNTVPAMMSSPSETDITNDSDSSPSRTDSPSDTQILSDIQEISDAEMMVSVAASMKSAADKSLSKSDSPIKTTRETRIKRPMNAFMVWSQQRRQQIAATGQKFHNSDISKMLGAEWRKMADDEKIPFVDRAKQLREEHFHTYPDYVYRPRRRKRIGKSTGSVDSVSTDEASGSPTASTNIYAAFFSELLMYMKNNQHINSAAHNDASIV >CRE00888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3885391:3888908:-1 gene:WBGene00051978 transcript:CRE00888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00888 MYHTVLLALLLAFCATANAVDSEGTDFVFGFVRNANSDITNQVLSITVLNQNAQDCSFTLTFRPDYHKTTPPQNMTQTVASMKAQDFPVPSWYGWDYAGQNVQNDVFLTLMGYSTCAVTVLANNFDNVTGQGDTYMVLPTRWGSKSFTFSLPPAVISTQQHYEQLYVLPTTDGATQVRVAEIGNTQSEFTFNVTYGNAPAVYIGTRTPDKRPRTYHITSDKDVLIVAGVTCAGADVNSCDHVAYMPHPPPASDCYAYDYYDDDHMSYLPTTAQYFADIPGSCTVGQNITATLNDGSWQTITIIPKMESPLWTIQTTNAAQLGVAFHNGGSNIHIARYYDGSKFSSMGGYIATSPSVTQYHADSTAFYTKNANDSVEIYCTVLSCASISIDGVQIKITDTQVVQSVDGVSYYMFVITLANSGFHQINLKQQGQYSFFVYGKNKQYSYGYEGGANKPTFVLAPATTTTKGPTTPTVSTLSTLTPPSTVTTQTPPTTASPPATQSTASPTTPGTSKQTTVTVPAQTTPTVPIITISTTQAPPASVTTAAITTSTLPPQSSASTLTPSPTPSHAPGTQSAATTATQSVVTTTNTPPVVTTSSVQPVVTTSTLPPLITTATAKPVVTTTVVTQPLVTTNSVTPAPSTATPTTTVTVPTNPPVTSYSVTTQTVPTVTTATPPQTPGPTTVTTPSTAAPSPTTVTTGTVVTTPTTKIPIVTTQTTPSPAPQTSSPTTPTTVVNPVTTASPSTGKLSLGFQIIAMYAYFVFAFFLAGTTVTTTAPPVTVTTPTTAPTVTTPTTTTPTTTKPTTTVKTTLTTVTTPTTVTTTKPTQAAVTTPTTAPVTTTTKKTTTTPTTTTSTTTTTVATTTKFSSVISLATPVVITVLFSLF >CRE00889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3890443:3892203:-1 gene:WBGene00051979 transcript:CRE00889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glt-1 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3LEX7] MVSWLRKNLLLVMTVGSVVLGALLGFLLRGLQLSPQNIMYISFPGELLMHMLKMMILPLIMSSLISGLAQLDARQSGKLGSLAVTYYMLTTVVAVITGIFLVLVIHPGDPTIKKEIGTGTEGKTVSTVDTLLDLLRNMFPENVVQATFQQVQTKYIKVRPKVVKNNDSATLAALNNGSLDYVKASVEYTSGMNVLGVIVFCIAIGISLSQLGPEAHVMVQFFVIMDKVIMKLVMTVMWYSPFGILCLIMGKILEIHDLADTARMLAMYMVTVLSGLAIHSLISLPLIFFVTTKKNPYTFMRGLFQAWITALGTASRSIFLNSATLPITFNCLEQNLGVDRRVTRFVLPVGATINMDGTALYEAVAAIFIAQINGVHLSFGQVVTVSLTATLASIGAASVPSAGLVTMLLVLTAVGLPVKDVSLIVAVDWLLDRIRTSINVLGDAMGAGIVYHYSKADLDAHDRLAATTRSHSIAMNDEKRPLAVYSSLPTDDDKLTH >CRE00890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3896708:3897448:-1 gene:WBGene00051980 transcript:CRE00890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00890 MGTAQQCSPSDAFFFGEAYTTFNNRMHVTVAARIILAVELIMLFITVVIVPLTIGFFDTISLFQVLVIGSIWIMAVVALNRHRHTLLWPIIIMKVCEIILGVFLIVIAALLAVVRRRVLLRLMQWRITTIDHSNQGIHIIGLLLFMFLQIVYNTIAVQTLWDVFEYLRQRTIFLYNQERSQVHQYFL >CRE00891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3899878:3902695:-1 gene:WBGene00051981 transcript:CRE00891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrt-6 description:CRE-WRT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LEX9] MKLLNLCYLLSSLLALTLAEAYHDGGSCGTNSIPYKMEVDSEGKPVISCEAPSCFGISSSPSSRPRELSVSCDPFKEVVCVDELQWTSGLVEINNGTHRTLKTECCSYEGMTTAKNVKSIFLGPGQSYVGGLVEKDGEESGFDLIKEIRKTVNADNQVQYIVGVYRMVCNAPSDSSEELPVLSRNKRKLRERKYDDYEEDRRYRMGRRRPFAMRRRALLQRLEDYYDDYDYDYKIVRRPFRKSRLPYNENALWPLQYSAPQRHRTFAENTYNEKSGVLTTMQDGTAAFSNYGDSSVESGPLPPPPSSNYIDSQNIAPASSVVQSPAYPGNSQMPQPPPSDSYTGTYQQQNSYTYSGYPTADSSQYAAYPSVQQPAYQPAFQPAYQPSYQPAYSPSSYSGYSPNLNGFFTKMQCFSGDMEVETEDGIKLIKDLKIGDKVLSMDEAFVTYSPVIMFLHKRDEELAEFNLIETSNGHSIKLTDNHLIYVSDCNAKSDLKLVAAKEVKTDDCIHVTNENNIVIKKKVSSISKVIGTGIYSPLTTTGDIIVNRVLASCHSNLALKSLQQTFFSLYKRTSSVFNSLSYFNSVQEEGHLPVGVETLTSVMDLFIPQSFV >CRE00399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3913566:3924470:1 gene:WBGene00051982 transcript:CRE00399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sax-3 description:CRE-SAX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LEY0] MFNRKGQLCSIILVLHTVIFTVCEDSSNLAPVIIEHPLDVVVSRGSPATLNCGAKPSSAKITWYKDGQPVITNKEQVNSHRIVLDTGSLFLLKVNSGKNGKDSDAGAYYCMASNEHGEVKSNEGSLRLAMLREDFRVRPRTVQALGGEMAVLECSPPRGFPEPVVSWRKDDKELRIQDMPRYTLHSDGNLIIDPVDRSDSGTYQCVANNMVGERVSNPARLSVSEKPKFEQEPKDMTVDVGAAVLFDCRVTGDPQPQITWKRKNEPMPVTRAYIAKDNRGLRIERVQASDEGEYVCYARNPAGTLEASAHLRVQAPPSFQTKPSDQSVPAGSTATFECALIGQPSPAYFWSKEGQQDLLFPSYVSADGRTKVSPTGTLTIEEVRQVDEGAYVCAGMNSAGSSLSKATLKVTTKENYHDDPSPYATTTLVLSNQQPAWLNDKMLRAPAMPTNPVPPEPPARYADQTAGRRSRSSRASDGRGTLNGGLHHRTSGSQRSDSPPHTDVSYVQLHSSDGTGSSKERTGERRSPPNKTLMDFIPPPPTAPPPPGGHIYDVSISPSILTTIQTILQTATRRQLNRGSTPREDTYDSVSDGAFARVDVNARPTSRNRNLGGRPLKGKRDDDSQRSSLMMDDDGGSSEADGENSEGDVPRGGVRKAVPRMGISASTLAHSCYGNNGTAQRFRSIPRNNGIPTQEQI >CRE00400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3925397:3927292:1 gene:WBGene00051984 transcript:CRE00400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00400 MAVTVEYVPEEDTLAIVTIRETQSASLRTTNAILIAALLISSYFLNVLFIVAVLITKSFRTTIYLMYCHLSFVNIIDLSFNIFFALIFVANGNWNLSNGWCTFNVAIQEFVHLHTLLVLMLIGAERALGIILGPEYVTHGHKYLSGLRITGVSMILSCVSITLASIVFMNVIPTKPFRNRYVCGIDGGGPIGYVIARLVVYFGCLAVILVAIGAILQRRTAASISPNTQEYAEFIKRNRAMQEHRSRAKLMILITCVFIGIEGPYITLCFFYETYNSREFSDVSIDLPQDADTLITWLKFVFPLLCPIIMLSWCNDVWTKVKEVMCCRSYDPPTIGHMPGLRENADMSPVMTVVGGENGLRIKSETQGYALRQNWNQTPSVSSYESEATSSGTQETNSTITPPQQNSAASTGGTTYPAEVLTPRESDAKPKTQKSAPSKIPRQIPQFKTKRSTSKTKKSTVTSRRPA >CRE00892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3927345:3927850:-1 gene:WBGene00051985 transcript:CRE00892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00892 MKLILVLCALVALAVANYGVNNGGYNQVAYGNQYALESPFYKPPHYKRRHGRHGRHGKRHHRDSSDSDSDSSSGSHSSSSESHSYEHDGHYYPHPMPMPYPVPSYGNMANEPPAANTYGSPSNDVPAPAPYGANTFGRK >CRE00401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3934737:3940477:1 gene:WBGene00051986 transcript:CRE00401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00401 MGCVFGRHCDPGVPDSSVRYRWHVDAFILDDGTNSEIRRGGIVYVADEAIVYRPRRFDCSKRGGSYTAEILNVQKTSKGKTYTPDNHRTIKRNIIWIEVMEGEIAQTLGLVTVGDVHKIYDDICDIINKHRERPKIVQYNSIDIDGVEIDPV >CRE00895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3940637:3943354:-1 gene:WBGene00051987 transcript:CRE00895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00895 MALRITVILCLYAFQIAQTRIAFPYRREPNSTCTCIPEIDPVCVRDGPYQYTYSNMCIFQCAQETKKDLALLYEGSCCSARYCNMFEQPVCAEGQMYQTVCEFEERQCIEFKLFKNHISMDSSQEKCSCTAPCPPEWNPVCDKKGQTHANFCTFLNSKCYHKNQLNATLEVDYSGVCCEDMCSAGQTSLTVCDSEGRTHTDICSFYVAKCRQMRRGTGKKRLQIAGVGPCKPKNPLFRSFDYFVNRSVNYRQSKTNRV >CRE00403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3951666:3953159:1 gene:WBGene00051988 transcript:CRE00403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00403 MSAILWSALQFAVVSYGCVRVLKFLYIICKSILVHFITPKHDLQYLKDTWTVITGGTDGIGRAYIEELCKERGIKKFYLIGRNINKLNNTRKELEERYGCEVKTHVHDFERDDLSALPKDLSTLDIGILINCAGIAPHIIGTLTELPEGLASTILRVNLMSSVKMTEIVLPNMVKKKQGIIVNISSMTGWRPLPYLSSYPASKAALSFFSDSLSDEYRGTGIRVQCLIPMLVATKVASYEAEEANNIFVVTPENFAKQAVRIIGTTWEITTGCVQHDIQVALGTLFSFWFFKVMFVPVVMLGVHKHRVASYQAKNSKKSE >CRE00404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3953676:3955954:1 gene:WBGene00051989 transcript:CRE00404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00404 MGDQKHDHGMLTHLLVDFIRDIGLNFSAFDNLKFHEFVKYLNPKVKLPTSAEMTLFYEKITEWDNRVLVMVRNDGDRNSQEYLLADDANDKVYYQSHEYSDTEAHVLQDSMEMCSNEMSMQMQNMKYTDQNHGLDYGAAFENGNFSSEQLQMSEEPLAINNENHQEEKCSLISWEHPSIGSTYAGLNNIMPEAQSPGSVVSDEYEFNQQESSPDSSPMGQESPSFQDSDFYASTSLVPALHCESLGKIEIKQENQANLPYPKYFLGCKVLKIEKNIVTLKSIPGYLNKPCIVCCERKEGRHMREVKGSYNAYIMIFACIKNGYYLKEKGKQISRLHTFYSCICHNNEMVSFTRVMTMQNNSFQYNSACKHMGIANPSTDIHAKNKKIVDAFSLIKEIKSGRETIKRNNNLGTFIGLVKMFCVTYKRNYDCLIPMLVATKVASYEAEEANNIFVVTPENCAKQAVRIIGTTWEITTGCVQHDIQVALGTLFSFWFFKVMFVPVVMLGVHKHRVASYQAKNSKKSE >CRE00406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3959318:3961413:1 gene:WBGene00051990 transcript:CRE00406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-78 description:CRE-UNC-78 protein [Source:UniProtKB/TrEMBL;Acc:E3LCE1] MSEFSQTALFPSLPRTARGTAVVLGEFSFYHLKLLKLKIIISGNTPVGDKVLYCNGTSVYTAPVNSLTNTEIYTEHSHQTTVAKTSPSGYYCASGDIHGNVRIWDTTQTTHILKTTIPVFSGPVKDIAWDSESKRIAAVGEGRERFGHVFLFDTGTSNGNLTGQARTMNSVDFKPSRPFRIISGSDDNTVAIFEGPPFKFKSTFGDHTKFVHSVRFNPDGSLFASTGGDGTIVLYNGADGAKVGVFEDDSLKGVAHSGSVYGLTWSPDGSKIASASADKTVKIWNVSTLKVEKTIVIGTRIEDQQLGIIWTKQALVSISANGFINFVNPELGSVDEVRYGHNKAITALTASTDGSTLYSADAEGHITSWETATGKSNRIFPEVHATMITGLKSTSNGNLFTVSWDDHLKVVPAGGSGVDSNKAVSNKLSSQPLGLAVSSDGSVAVAACYKHVAIYSQGKLTEVPINFNSSCVALSNDKQFVAVGGQDSKVHVYKLSGTSLSEVKTIVHAAEVTAVAFSNNGAFLVATDQSRKVIPYTVANNFEVAHTHSWTFHTAKVACVSWSPDNVRLATGSLDNSVIVWNMNKPSDHPIIIKGKIFTVRFFLTSLFLGAHAMSSVNSVLWLNETTVVSAGQDSNIKFWNVPL >CRE00896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3961789:3966826:-1 gene:WBGene00051991 transcript:CRE00896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vit-5 description:CRE-VIT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LCE2] MKSIIIASLVALAIAASPAFDRTFSPKSEYVYKFDGLILSGLPTTSSDASQTRISCRTRIQAIDDRYIHLQLTDVTYSAAHLPQTEQWPKMESLEHLELSDELKELIELPFRVQMRNGLISEIQFSTEDAEWSKNGKRSIVNLLSLHRSAPVDELNQEEKDMETEKDSRFFNVHEKTMEGDCEVAYTIVQEGEKTIYTKSVNFDKCITRPETAYGLRSGSECKECEKEGQFVRPQTVYTYTFKNEQLEQSEAHSVYTLNVNGQEVIKSETRSKMVFVEEKKWNKEIKKATGPKEDIVYSGKNEMLIEQFYQQGDKVEVNPFKVITTEQKMEQLQEIFRQVQEHEQNTPETVHLIARAVRVFRMCTIDELKKVHSAIYTKSEEKIQSLIENSLAIAGTKNTIQHLIHHIQKKTISPLRAAELLKSIQETLFSSEHIADLLIELAQSPLAEGYEPLRQSAWLAAGSVVRGFASKTQDLPLARPATRQLKEKYVRVFMQHFRSAESTYEKVLALKTLGNAGIDMSVNELVQLIQDPRQPLAIRTEAVEALRLLKDVMPRKIQKVLLPVYKNRQNKPQLRMAALWRLMETLPEEPVLAHIVSQMEKESNQHVASFTYNVIRQFARSTNPCTQPLTVRCSKILLFTRYQPQEQILSTYAQLPIFQSEMLSGVQFDFATIFVKNSFLPKEIYASFESVLGGNWNKYFAQVGFSQQNFEQIILKALERLSLYGKQSDELRSRRVQSGIQMLQEIVKKMNIRPRVQRTDEQSAHAVFYLRYKDMDFVVLPLDMETIDNLLEKYVRNGEFDIKSVLTLLNNDSKFELHRALFFYEAERRIPTTIGVPLTISGKMPTVVSLTGKASVEMQRLGVRLTFDVVPSVASTHITEMRFWTPIFEIGAKSLQSARVHTPLRLELNAELKKNTFEITHKFVVPENKKTTVAVHTRPVAFIRVQKNQDTEYTEAEERTISHPQYQLASEEINREYEVLGLKINAQGNVLSQWSLPKVLMTEQDFEYTLENKNRPAEFVARFTIGNFEKTDLSEIKFDKIFEKEFDMENNESENRRQYFNKMIREIQSEQGYKNLISMKFEAPQQMYWNHELRTVCDQYVRMCKIEMDCRRSPVAEETKEWTLHTELLAVRPQMPSSLRQLREQPHREVQLALNAKWGSSKKSEITVNAQLEQSKEQKKYVRNMEREFNGIPEYELLIKAARLNQINVVSEYKLTQEAEHTFSRLFDLIKAYNFWTVSEKRVENEERRAVLQLTVEPLSRQYINMTIQTPQQQVELKNVRIPRVFLPTIARRAMYQQVWEKTGASCKVDQSEVSTFDNVIYRAPLTTCFSLIVKDCSEQPTFAVLSKKMNKNSDELIVKVVRREEELVIKKTDEEFVVKVDGKKIQQSEFEQYEMEVLGDNLIVIRLPQGEVRFDGYTIKTNVPSVSSKSQLCGLCGNNDGENDNEFMTADNYETEDVEEFHRSYLLKDEECEVENDRLSEKMNYRNKWNREEKRQDSQYEINEDNEESENKSVEKTLIKEFSNRVCFSLEPVTECRRGLESEKTTSKKLRFTCMPRHSKNARRFMKEARDQTILDLADFPVSYVEAVKIPTACVAY >CRE00407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3969184:3971370:1 gene:WBGene00051992 transcript:CRE00407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cht-1 description:CRE-CHT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCE3] MMLAKLLLAACVILPIAYSWTGEAIRNHPADVVAARNKLSSRHFSKVVFYRQGRAKFVPEDYTPGLCTHILFAFGWMNADYTVRAYDPADLPNDWAGEGMYRRVNKLKTTDTQLKTLLSFGGWSFGTALFQGMAASSASRKVFIDSAITFVRTWGFDGIDIDWEYPSGATDMSNYVALIKELKAACESEAGSTNQDRLLVTAAVAAGPATIDAGYDIPNLAPNFDFILLMSYDFFGAWASLVGFNSPLYATTELPAEWNGWNVDSSARYWNQKGMPKEKIIIGMPTYGRGWTLNNASAINPGTSGSPAKITQYVQEAGVGAYFEFCEMLASGATRYWDSQSQVPYLVQGNQWWSYDDEESFANKMAYVKREGYGGAFVWTLDFDDFNAGCSNSNGQLYPLISVIARELSGVVIPKKGGVTPAPTTVAITVTTGRPPMTSSVTTTVATTTTTRASSTTTATTNVCAGRSNGYYPNPSNCGLFVLCLNSNSYSMSCPSGLQYSASLKYCTTAGLSGCTVSTTRAPTTTTKSAPTVTTTTARPTTTSAAFKCTKDGFFGVPSDCLKFIRCVNGLSYNFECPNGLSFHADTMMCDRPDPSKCAK >CRE00897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3971732:3972043:-1 gene:WBGene00051993 transcript:CRE00897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00897 MNTVYAVVNYKWLPETAGKTPQEISRHFSAEFPGTNVFLYLKHKSSTFLVYLRAKPVLVNVISFIVQLVCCMFLLDFGVNLYKSIGK >CRE00898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3972609:3974121:-1 gene:WBGene00051994 transcript:CRE00898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slcf-2 description:CRE-SLCF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCE5] MFISIFFQLEDSNSEDGKPKPPDVHIRMPRIVNACPPGSPSLRLALVVLSIGAASHFLLFLDSVVDNLLPAAMPFFLSVYETKENANHAWEMLVSSRIYGLAIGCFIAVLLSHQHGRKLPVVVGTILDVIGVILTLLITYVPHGVTVATMGRLINGIGQGMVQTAGSVMLSELPPLKKRGTVLATLTMWACMGELGGMTISLDEFFGTPELWQWAMGFPLLVLLPALYIICHAPESPRYLFLENRENEARKAMSYYQSPVDCKQSIEEILMEKQFTLQMVKEKVDENGNSSKKERDGLVTSIIERLKDGKFTRALLIALFVQTFVHLDDWVDIKRKVQNHHKVNFSCGFPTRHRFLKTLD >CRE00408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3981360:3983148:1 gene:WBGene00051995 transcript:CRE00408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00408 MRVLFYVFILVIIAAIQAQLISVHVIFRHGARAPVLNVTSEEAKSYFYRGLGQLTDEGIEQSKLIGKVLKDRYVNSFVDSRMLPTQLLFRSSPVERCLMTIQTVGSTMFPNATPPVQTMPRPDDFLLVPKLDCSFQIDEWALFFNLTEEERDKARKNPWFISDKALRKATSKSVTLQEKSEENLPALILEKEAGLAVPAWFNEEAYKESLHVFYSALSVMASVGEYKSSKGIRIKAGLLLDKIFNDIQEKIRCHEKKSVNRISCDQKKLQIFSSHDLLILPLLEALGIREDVLGKELPPEFLSAIVIETMLVDNVPFVKIFYRGNPREITLRDVTGLVRNCPPKQPFCPADLFTSCCGEFITADPKSECYEEPSTQSPNEWAMTPISWILFGISIVLILILIVMSYFVIRYKNRSVVTIKKVCLEN >CRE00899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3983244:3984529:-1 gene:WBGene00051996 transcript:CRE00899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00899 MWLLFVFLLGTVSIISAAETKKPICEVIEVDPENNSDMLLPLAIITDMDSKSKLVDNWYSTIRYGALAFSQNRTSSFIHWLGGINITSNFNFNQKSMEMSDLKIFRNRLLSVDDKLGVVYWLRNGTAIPWVIASTGNGSSSTPFKGEWMTIRNGDLYLGSSGHEVVTAKGEYISDDEMYIRVISGNGAMVTEDWTSRFVKLRRAVGIHFPGFMIHEAVHWSDIHKKWFFLPRYASKLPFDAANFHETGANMLLSTSDCFCDTKVVYIGKNEIHRGFSAFQFIPGTNDEIIVALKTSEVPADPTKPFENKIFSTWITIFKIDGTVILEDLALEDGIKYEGIEFANVFQCL >CRE00409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3986248:3987439:1 gene:WBGene00051997 transcript:CRE00409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00409 MSHVPNSTEEIVKNYLAARSMVTSLKAFDQECSSAKDANYQVDRCIDEMTEAIDKHDVDTLCAMWESWNARVFHSLDAEGIKQAQGYEASAYRLFLVRCVQKKNITKCNEFFRKMSSLTLNNPQWTDWFAFPYNHHAKDTEPFRKYFDKTWIEIYYVSLHNFLSTSLATVSPSVIGTIVEGIARDPLGSDHVDFDEELIDDFAVIAQCSAPVKRGHSKPSLRTLLKSLTSSKKSSPSTD >CRE00410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3989872:3990807:1 gene:WBGene00051998 transcript:CRE00410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clc-3 description:CRE-CLC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LCE9] MAMQFTGLQVVSWILLLVGTGMLVIAFVSDYWSIHQPRNQMDNLQMHRGVLRQCITTRHYGSCNFRLSSMFKQLRNFMDGYDMYSERSMYRHLPTQSTYEVFVALFLAISCMVAATVLLFGPFCCQRCKPSTTLLIFITGAFSGSGCLIYWNANREGKTFTLQQLQFHDVYHYDYGSDMNTLSWSFWMAAVSTGILLCSAFLLCVSSRVDPDVEFENPPVTEV >CRE00900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3991108:3993723:-1 gene:WBGene00051999 transcript:CRE00900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00900 MYNHHIQVIPDHYYRYYMPYRGHHFMAGARIGDETEPPAHKKSIISWTSESEEGLHFDKTSFPGTPTQQKVKYYITCSFLIFLILIVLFIYVCSLANMSTAFGLLGSRGLGKAIQESPLINDPISAVIVGMLATVVLQSATTTTNILVTMVAANSRRNVKKLGIIIIHSVITVHDAIPVMIGSELGSSLVNAMVSLAYSGKPEQFRRAFSAAILGDVFNICGLFVIFPMEMITGLIEKVSWWIVDPLISEQGLSFKTLDLLTDPINQIILQAELLNATIRPEMFAPNHSFVQRCSFNNGTRIYNCPYNHLFANTSLSDKNIGWIVLFISIFCLVMCLVGIVYLIQKLLDGHAANYVRNLLSKQCPGMWKPCTGYLVMLVGLVVTILIQSNSIFSSSLTPLVGSGVVTLEQMYPLVLGSNIGTTFSGVLAAFSTDPSRFEKALHMAMCQVIYNIIGTCLFYIVPCTRKFPVYLSIKLGDITDKYRWFIVVFIITFFLIIPFTIIGLTLLPDNVIVIVFIILLVIAIICCFICVLQVPIYLFSVPVIMINFQNSCIEFLPKFLHNWDFLPIWMRSLKYYDPFMSKIFTSLPWIGGFFRNGGAYKKRTENKEITEEDIEKKVQKLVQLSGQTQV >CRE00411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3995807:3997107:1 gene:WBGene00052000 transcript:CRE00411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-26 description:CRE-DHS-26 protein [Source:UniProtKB/TrEMBL;Acc:E3LCF1] MSLNGKVAIVTGASRGCGRGIALQLAEAGCTSFITARSPEKSLSSQFTYLPTLESTAEECRKRGGICYPHYIDHSNMTEVEEFFEEVARFTNNQLDILVNNAFSAVTKCGSGDTRKFFEKDPEIWDDINNVGLRNQYYCSVFGTRIMNKNGMKGLIVNISSLGGIMYLFNVAYGAGKMAIDRMSSDIGHELRDTDVTVISLWPSAVRTELIINMLETSAGSWGKTENQMFLNGESIEYCGKAVVALASDPDKRVWNGSTLITTDMGNYYSYTDIDGRVPTNMRSLRGLLMLAGYQTMASWCPVWVNLPGWALTLWQNKINH >CRE00901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3997484:3998192:-1 gene:WBGene00052001 transcript:CRE00901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00901 MWLVILCFVLPAIIFSIFALIAWLRVLQLNYRRRLAAEAADREAKKKASQLESLITKKDGKVICHIPIQVEDIETGAMFLYNSDENLATIGEDAKVPLVEQQAV >CRE00412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4005499:4006544:1 gene:WBGene00052002 transcript:CRE00412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00412 MLLRISIFALVTINVCSGQFKFPPSTNQHKPQEYVNHGNAFYDYNVSYLYFSNEIFRLQSEEHFERPVNVSMYQPTSCGEIEQEKVKECAEPLYKMGAISENSHYLGWEGFIFRTKAYFSEVCDNFFLFDVCIEPYKDVCFAADRARFNYDAAIKILDFLCRDGYGEMLRNIECFTKTLTRSEMMQCQAELVSDTRKISESHSEVSGANDAAVCGAMRNYIDCVKYPIRYECGYRAWQLVREMIVRPTKAMLPQCKLNAAEKSSSVLTILVVSLIVLLF >CRE00902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4006804:4008032:-1 gene:WBGene00052003 transcript:CRE00902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00902 MPPDINRNEPEPCPERIFPRMPRLMEPIIHPPPRAQQAQNRNRNQAAGPNNNNEERDEAQRRRLPFIFRLIIGPQIARYLRMRRAMNDARDQNVNGNALPPTNGSGPNPNGQSTFGSGAATSGAGPSTSGSGLSRQN >CRE00903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4009313:4010835:-1 gene:WBGene00052004 transcript:CRE00903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00903 MLYSRYTNPSSNSTGCSTFQMRWVSSALRTYDKTEETTPESMRSSAPLKPPITYSPSYTSTSLNSVSLSSKYVEEKSKTSQQQHQPINSIAPSNSLSGLLSPREKPYGDDVTILKSEPTNESQKLAEPSATNIVAQQDDSDDNSDGDSHDGNGSYDITPMRPNSLVFTRLANEEEMGGDGNVISRSLLSLVAEEDLSDFLVALNEKPIRYVVVEESEKPSTSSILRSRDIKKEEKAPKGTTRIRFCTENPMAYAYLDEYTATRSLQWDDGTVIEYSYFKDLEAAEEAAALSHGDPTLALIEQWEQQIANDNIRPLTHDLTFSDSRIETTAV >CRE00904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4012841:4015109:-1 gene:WBGene00052006 transcript:CRE00904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00904 MSGAQKQQEKNSTAQTKKYSSCDDLLSESDAVHNILRSTQAPVELQRRTSRSISDMLENEEIGKPFVSRNVVLNEEEETKSISQLRRRFEKNGEQATKMRFARDPAQLISKFQPDHLDQWRSNTELNRTPITLTNSTFISHSNKPTPVQKPIEVQSQVQHKTANVLKVLNDADSSKGEPEFVQIARRLRRFQPEIHDTFASANASTNTNTTTSVHNNIVNNNNNIHINSSTKSSIATSLPPTPTSAAPVRPATLSMWQRRQPEVPQYSDAAKIIRENCDIAVSPPDPHSARVSKQPIPSIGSENGRSNTPVETSPPPEEPKANVLESYAQFRKTIVDISSDRTSAVNSVFKYDNPPPPPPSQAIKSPITNNLWKPTINSPSMSSESTVSSPTASKLPFLSRKVDDVGAKSMITSSTANVVSISVKAPVSNRIYSNYKTESCHFKRFTVYILTNKCPKAQPTTKL >CRE00905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4015221:4015745:-1 gene:WBGene00052007 transcript:CRE00905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00905 MNLRRHSLYLPDFWKPTDFAPSEYQNLDQGFSREPLPVSRRLGSAYVRSYSQKPESSLKRRIARTQSERKPGNDNGYRPQKTGFEIPRIPEPFEKEVIYTNLPGSDHQKKWSMSLERKRSLNYHLPSEYENLSQFAYRPEHKSCSDLTQPFEMYKPTTISISYHNLSQGESVVE >CRE00413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4022886:4024100:1 gene:WBGene00052008 transcript:CRE00413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00413 MNPEDGCAWVAAEQAARQTFRSLGYISRKTTQAVGRLWLENNRIGNQRQWYYVKILASFLLVNDFVIVTVANKFYEDWMDYKNRERRASSATTETIQSLKNGKYQLYDEYKARLSKTPASFGKRDTKGIGLKIIMIVVLQSSTPTPTPPQPVVSTSAKAKRQSLPIIQPIRERKISPKPAPIDWDNLSGEMKSKIEADFGPFYKWVINGRTDDLKKEIIKKLLFKTTK >CRE00906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4024412:4029360:-1 gene:WBGene00052009 transcript:CRE00906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddr-2 description:CRE-DDR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCF9] MSNRKIRDEQISASSSFDLQSTGPQHARAHQESGSGAWCPKNQINLLSKEWLQISFSVDTVITSVETQGRYDDGRGQEYATAFKIQYWRPSLNAWASYKDDFELETIPANNNTEHAVRRTLDRAIIARRIRIVPVSNSTRTVCMRVELFGCPFDDTLISYDVEQGDLQSGISYHDFSYDGNLADAPHLTGGIGKLYDNEVGKKDVFVNHHKWVGWRRKRTGGVKLSFEFGELRNISGILIHTANEFKKSAKAFSSVSVLFSTNGRDFSDNIIHFNNPEDTESEAPRWIRIPVNNRIAKVAKLRLNFGSGSDWLFVSEVNFESNHTNIELLHDDVVIPDSVSYFSVTEHDDGTSMFAFIIFFFMFLIVAVIIIAVIYRKREYRVKASSPSPNAKREILLTIDGNTIKHHVSPSTYQMARDNLQNALIEKMPMSPIISDYAEPDISICSDVTANTPLLYGIDGPYDTQKRSNPLSSMVKYSDYGEVYCTTLPEIAREKLIYVSRIGQGEFGEVDLCQLENRNVAVKRLHGISQADEFSFHREIRVLGSLKHPNVVEVVGVCTIQKPILCIMEYMQNGDLKSHILKNPTISTSHCISICTQLAAGLAYLESCNFVHRDIAARNCLVDGEGNVKIADFGMARSLYSQEYYKVEGKFVLPIRWMAWEALLLGKFSTASDVWAFGVTMWEIFSLCSEKPFSDMSDDEVVENLQSMSSTGSLKQVLSRPRMCPAKLYNEQLLPCWNYESSRRPTFENVHLHLQSLVHTSPHIHF >CRE00907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4038073:4040270:-1 gene:WBGene00052010 transcript:CRE00907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00907 MQVLMFSSLSEVITAMNNHTLVSHFGLEPTGTRLALMNSVMTTTTEIGNAFSILLLLPVSDLKGRKYAAVYLRFGITFASALCQLLAAWFEASELYILGQLILGSHYSLRTFVTLIFVLECVPDMFRGFASTIFMFSFVFAKMIMFSAASPSLLGTSSLWFIFPIIVMISSVAVLCMLTRFPESPKWLIQQNRVMEARDSVRFYHGADCHLNEVVTSMIKEKNLTHENKLSLRQVWENDTLREAFKILLAVLFFLEFDTTYILSIYTITFHNTAGFSTQMAMNINLIITAVSLPKNFIGTYILDALGRRPTLFIGGLMLYSKSVLLLATEIIIYISGASFLTESLYVVVELLSSLAPATGMNSIRILFVSELFPPSARTAVGQAMMFISMAINTPITTFFPIVNSIFPPIFFVPFVITPLILGTYLYRHMPETRGRAVYDIIESMDRDVGSRAASIYEEKLPLIRDRARTLAVKRNSILNTPRTRALTFDHKFNSKN >CRE00910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4047616:4050031:-1 gene:WBGene00052012 transcript:CRE00910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00910 MKFSIPPNLLYMLFCFLLMDLINQITQLTFPTLAETINVMNNHTLISHYGIDPTPANIAFLNSIITSSTTVGMIFSLFVFVPMAETKGRKYTVIYFRFIITLGTSLCHLMSALFQSTELFVLGQILNGLQLPLRMFVTMLYITECAPDKYRGTYVEIVTTTTSSTFSVATPNLLGKENTWFIFPLTVLICSIGNFAMAARLPESPKWLVRRNRMDEAARSIKFYHGENCSLNDVMTSFIKEKNLTKEDRISIRQVWENDTMREALKVLCAVSLFLILDSGAVQGTYTVLLHKTAGFTVQETMNIKLILAVAFFPTRFVGTYIIEALGRRPVMFIAGVIVYSKTWLMLATQFVIFFTGPSLLTKIMYVSVECLADSTLATGVASLGVLFIAELFPPSARTSVAQALILVTMVINLPIIAAFPIFYSLFEPGYFFIHVFSQIFFGSYLYKHMPETKGRAVYDIIESMDQEVASRSVSFIEEKTPLIRKRSSTLAFKRNSILNTSRTRALTFDHGLIPRKVDC >CRE00911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4058109:4062948:-1 gene:WBGene00052015 transcript:CRE00911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00911 MHTNNRRGNRRFQQGPPNAMMRQVHYGFYFNPPVYQQVPGRPLRHPQGTPRYNQGSFENRRRSRRSKPKTSGKRTKRKQRNIQRSPRSQQRTSGKRANRKRRNKQRPPGPGVGRLQNFQQGFSTYRRGEQFDRSRYQINGQLEYPDARQESLQYRQQMNPQQGQGHENKALRSDPNTFNQPVPPQPLTNSTIQERLTSNPSEASDIETHQPKPNLEPDLIENQIVYPNNDGSSERSRSVLVFLENVETQHLAKTRIENGLQIKSINYVEEGDSQKSIGFVVANGNKIEKKNCVEEHGEKAPEGNEINEPALRSTVGMTMSDSISAEDFGKEREQKKPVSSVNMRDDSHKPNELIVPNNPTSENEDLIAGNEKHTSEVEDSEQIDYRAGNMLNDFVPETDRKEEKPKELVSLGTCSCHEEHETPSILTVLAAAPKSDPQSVIQNETGILFDQSKPINKSACPDISINNNNMNTPIIITVVPLISNMNENAVAPIVFGDGEAPCNPPSLPNNNKHEKDEEIPSETSGIQNARPVSLPSMDLGPLSASEVVDETMRNSSVTPDIKSTLIISNSTSCNGDKVNYRNSHLNGNKQETSLAEQEIPGFSEVSAKKRNLITQIEPTQLLLLGEEENIVGETHSSRLLNGSQLKEKTNTTTSNIDSQQEVSEDEPKSKKKKVADFDEEHRDHGNIVAEMIASVLPVDYHNGFNETKPNDEASNENTSQETSTPQFQQTKQEKYRELIIEAQSQPPQRGPSPVSEPAPAAASNAMEVIDLRTPPPQSPVHIQCPVEIPIVNLKLLKQAQVAVPTKSASVQRTFQLAIRNNVTFKNEDILRRAMTSLPGISCFIGFNSICQFSASHTDFASLQSFYASWLPPRAAWLNLYPTSGVLGPPRVTAQIVTTREPTPMTFAQGTMKAFEK >CRE00415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4065865:4067967:1 gene:WBGene00052016 transcript:CRE00415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00415 MANVDETTTRYLSDTKKKKRSLKYFKSQEVLIPSYKKPSSRSLSPDRDQFIWSEKETRTIEEDVDKFQKACPHYDLYTTLCFLGRNDLETAIKKGKRGVTESLVPKQVKNWTSRLLESKKETFVVEDIPPPENNKTSMKTCPVVKMFKTIVDPSRLLGVGTTLQNFVSPFIKIDILPRKYESSLKILLDPSEQSVHSVVHGTARSSRYNARNNSSTCNTRSVAIKEEINAEQNHSRRSVQRHRTIKRELADTSGEGEPENRRIKLETANGEQFSARSMRLMLRNMKLELKTEAVEEGAPLGQYDPVPQEPNLSGSHEASTAGSQGPSSSRLHGPYSLRKTQKDIKTDIKIKTEIKTEAVEEAAPVNQRVQAPQEPNSSESQEASNSGSQGPSSSRSHGPYSLQKIKTEIKTEVVEEVAHFNGFVYVPQEPTSSGYQGPSMSRSNGPYSLRDIKQEINIKTEKPDY >CRE00417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4072023:4074619:1 gene:WBGene00052017 transcript:CRE00417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sul-1 description:CRE-SUL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCG8] MNLNLLVVVIFCSLIYSCIAVINFVDSQHNVILILTDDQDIELGSMNFMPKTTQIMKERGTEFTSGYVTTPICCPSRSTILTGLYVHNHHVHTNNQNCTGIEWRKVHEKKSIGVYMQEAGYRTAYLGKYLNEYDGSYIPPGWDEWHAIVKNSKFYNYTMNSNGERERFGSDYEKVRFRFWKNILILRFQDYFTDLVTNRSLKFIEKHIKTRAWQPFALIISYPAPHGPEDPAPQFAHMFENELSHRTGSWNFAPNPDKQWLLQRTGKMNDVHITFTDLLHRRRLQTLQSVDDGIARLFNLLRELNQLWNTFAIYTSDHGYHLGQFGLLKGKNMPYEFDIRVPFFMRGPGIPRNVSFKEMVTNVDIAPTMLNIAGVAKPARMNGRSLLELVSLKKKKKKHALQLKPWRDTILIERGKMPKLKKIRDRYMKQKKKFNKENRLSKECRRRKWQRDCVEGQLWKCYYTVEDRWRIYKCRDNWIDQCPCRKKRDQSEILNSENEEDEFLAYVDEEHFAEENQWYQGDVFNFDEDVESDFEPAEEHHRQKRGILSKCSCSKNVSHPIKLLERKMSKKHYLKYKKKLPNGSLKPKDCSLPQMNCFTHTASHWKTPPLWPDELGEFCFCQNCNNNTYWCLRTKNETHNFLYCEFVTEFISFYDFNTDPDQVKEAIIHGRSGRRQQLPS >CRE00912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4075096:4076414:-1 gene:WBGene00052018 transcript:CRE00912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00912 MDFKEEWKNLLEIASQLDWVHLTFSNIPAFILIIYCTGGFVASYLFKGTPMPRDSIGEAAKMTRFNFGLLIITAWILIARNSALETNYDDLASCFTNLLNFNLFFICIVDLISLFVQSFLAIRVAVGTTKKEKTIWCFFLSGALITALAVTCFTFQEFRQTYYDEQMDFPFQNLIYGAFSLLMVLGAIGAHYLEVYLKKSADTRYSNGFKHYYKIYKQFKIMYDISKYTGINAAFKSIAMLSMMFFSQSQRIYHATVLFYFTFTTTLCFFALKESRIRLFELFGLQETYFGRMFMGLRKSGTLEEEPTVTPEAFMEDLERQWEEEYEKKMREQNQTVFPAQQKMTLRQIFRRAKTLFETLAVLMERKRVGPVTHSSLFMVTGSSNPSLTTIC >CRE00913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4077832:4079437:-1 gene:WBGene00052019 transcript:CRE00913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00913 MSICFKRTKENSFDFTITMKDREQTVANINLKNRTELQLQKKIAVRNGAFYVGRQSFHIDQEDFLIVGVNSSKISNPPVLVFKRSIAVNPAGAASSAAVVAAAAATASNTATHNAVSPATRQRNTRGTSDVLPDKFYGNFELDRSENFDEYLTAKGYGWFTRKLVTFATFKKIFSRTANKHLFDYSNLTSKKDVFYKNVGLGKKFEGEGLDSTKHEILFTLKDGKLFEHHKPLEEGEAKEETYEYSFDGDILLQKMSFNGVEGRRYYKKTA >CRE00914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4080131:4080749:-1 gene:WBGene00052020 transcript:CRE00914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lbp-1 description:CRE-LBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCH1] MCAKIALAVFLIVVATASAANLPDKYYGTFDLSHSENFDEYMTAKGYGWFTRKLVTFATFKKVFTRTGSKNLFDYSNLTSKKDVHYKNIELGKAFQGEGLDSTKHEVCFSIITFTLKDGHLFEHHKPLEGGDAKEETYEYLFDGDLLLVRMSFNGVEGRRFYKRIE >CRE00915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4081532:4082345:-1 gene:WBGene00052021 transcript:CRE00915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lbp-3 description:CRE-LBP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LCH2] MVEAASEIPEKFFGKYDLDKSENFDEFLAAKGVSWFVRQMIKLAKVSKVLAKNETPGKYNMENLTSKKDTIYHGWELGKTFEAEGLDGVPHKITFSFKDGVLSEHHIRLNDPEHSAETYYYTIENDQLVMKMVNNGITCRRWFKRSTGKK >CRE00916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4083214:4085572:-1 gene:WBGene00052022 transcript:CRE00916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tba-9 description:CRE-TBA-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LCH3] MVNNRSREVISIHVGQAGVQMGNACWELYCLEHGIQPDGMMNEEDSLGVDDDSFNTFFSETMAGKHVPRAIMVDLEPTPIDEIRTGTYKTLFHPEQLLTGKEDAANNYARGHYTIGKEIIDVVMDRVRRLVENCRGLQGFLVFHSFGGGTGSGFTALLMERLSVDYGKKSKLEFCVYPAPQVSTSMVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLDLARPTYTNLNRLIAQVISSVTASLRFDGALNVDLTEFQTNLVPYPRIHFPLTTYAPIISAEKAYHEQMTVAEITQQCFEPGAQMVKCDPRRGKYMACCLLFRGDVVPKDVNAAIASVKTKRSIQFVDWCPTGFKVGINYQPPTVVPGADLAKLQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLDAGEPDEEDDYSHSHY >CRE00418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4087421:4089768:1 gene:WBGene00052023 transcript:CRE00418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-37 description:CRE-PQN-37 protein [Source:UniProtKB/TrEMBL;Acc:E3LCH4] MRLVVLIFLIFVVLSNGHIEKRQASRTVFQDVVRQKTRGNKIQQCPCVIHPESQQCISYDSRYIAVNYEEAILSFLDLSKHSTNTAPLINSNTFSCRTQECQQCFSLLYHKLGDLGFIPSDYRSSISPLPQTQLNPALCPRYNFPKPVIAPVAPAVVPPYLQSVINAGKQFRSTISSQSTRRSSQGSPPSASPPVPQNNRNNNNQNNQNGNGNSTPNRNQNQNQNQNSRNQQNMQGQRNFNQVWQKRVCNYWHHLQNNQLQNQRTQFRNNQAMRNRFNSQQRANNIQNNLQQRPFQQNQNFQQRPWQGQQQQFQQPRFQQSQFQNPRPFQNFNGGQQHWNGRFWEPAGRAIGEAIRNPIQNAVGNGWQNGWGQGNGNGQGNGNGGGGGSPQPPFQPAPAPAPAVPQPLPWTGGNGGFGGNGGFNGGWGGGGSGENNNNHGFSLFGIGFKNRQKRAEPPTIIGKRFTINCMQRGDSEDDMLALCVKGPAGHGDNYQKTIFQELLTSSAANRMTIVCLVGLKISGEQIRKKNNYSGWGECVQQYRNVDVLRRDNGRWVPTVITTSTCCDCRVRAGTEIHSLVIGDKH >CRE00419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4091460:4092542:1 gene:WBGene00052024 transcript:CRE00419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00419 MSDQPVEPVNNINDEQANVETEPTLSKSAKKRAKKQQKKASESADDQPSTEKIDGETSDGPKETTDEKVVEKVVPKKKDPVEMLVPMIKKFDVNGKPVRTIAGHGSVYLGEITPHNILQLKKLNEAVFPIAYNDKFYVEARTCGDLGRLAYYNDVVVGAVCCRIDDISDEKSLYLMTLGTLAAYRQCGIGTHLIYYALKLCKKMEEIKTMYLHVQVNNQTAVQFYERHGFTNDGIIEDYYRISPRDAYLLIKRIRM >CRE00420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4093197:4100783:1 gene:WBGene00052025 transcript:CRE00420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drd-2 MRTWVLIAALAVVCLAAEQELSHKERVRAVLKSWDPKADTKFFEPIRQQKYRTTDESDLFLDTHHISKRSIAQPHVFAGQATRGCNLPGYTGETCQYRKFQCAFLVLTSFFCSALCSARNPYVPTNQASDDVQIDAVNIANCSVPYIIVVDETMWDIKIELESEVPLNPTFFLQYENGDIISPDSDRQLPTSYTATYAFLAPGQYQLGSMLPGSTGQEYCTMQMSARTPMKISGGFISGNQPERNDYPNLKVAYFDTESVVAVHVQALEFPGQIQGIGFTGAENHISRYIPIGTRYNCTYPYILERYTCKRTSNNDVGRNFLQVEGISNGGYLFRRILSYQCVLPPVSTTIAPSPTTPTPPLTTCQNGGQLLTDASGAPYCYCFGLWSGNDCSQMICANGGFLPTPTSDRCQCPEGFMGFHCQNKKRVCVIISISLVICTDTSGFDFNAENPTLTFVIRSRYQLSDVIEQVTNATDEIVQELASEPGYLSNFIVVLFDNGKLLLNKRYDSWDGAKVDLLKAIHSAPTDGGCDDVVFSAVASALSLYPTNKSPIYVITDANPNDNAEKETVFHLESYWRAPVYFIYVQPGPADGCNTSPDSSAYRDMVDIASRTGGNTFYFSNRTNISPFFYQHMLNTLFRSQLVLSGDYSHCVNQNIYKTAAIDLTADLIVVVATGTDLKLVATSPLGSYPDFNIAFTDGVNYIWTYNNAVNGQWFFTLLSGSPNAACTLKVYQKKFNFGGMTQYSPDYDIFWSFATTLTSAAGILRQPVLGFDASPVFHVSNYPAFISMDRVHANLQIYAIRDGLQTEVYGASGMWRDACEFNFYFPPFTCRVPDEVLYFNFFARDNNDMSLQRAGTMYCASIHPTPPPDHQCQNGGVMNPSNTTCFCTPEFSGTYCQDLVCYNGGTNKGDHCVCPPGYGGESCELARCIETGPTPEFIRYGVDMIFAVEITQNSQTTLMMLDTQFGNILRDIQMQDRRWIRNFVLVGFNSTWGGPIAEAPADNLTAITDAMDKIRSSGSTDTGCTVKLWDALNHAIFSRELIPGSFVEIFQTTPEDDTDTRSLGLFYDMSRRMDLVLYGFLVTNPLLKPAGFVCNAQIENYYTLLGIVGGSTGTTYVIQAFEILNAVKTIPIQFSSGQVTFNYDNDCRHDDGLITYFPIDAYSQTIQIDTFGYGTNIAIYTGDGVLYSAYQIMYDSFTGQGIYEVRKGCDKDWEEYGQYCLRFILGNDNVLPVPQAMKFCASAGGYLVDDMDAGQNEFLNGAAAYTQFWIGLYRNNDGQFVWDRGTGVTPAPLSQTETYWADNEPSNDPMNQCVYYDGRADPKKAWVTDSCSTPRPFACHKNRYDPEHRPNTIGEDDLPAGQWYVMVKSNPGDGYPSNCSVSVRVQSSLQIVTGYSTSIGDDIPQPDPIQDSPNNRLISYVHSLDNENRVPILTDAILWDAYTGNFYNGLKYQPRFACQYQWVSQDFPCPNGDNPANEFGVLHVGEDEFGNTFQRLTYGHCSKAQITCGNGGIRQNGVCVCSEYYVGSRCTVPICVNGGTRNSDEATCSCPDGYAGPNCQFELCQPTLPQLFSNDRKSLLFVVETTRQNSDTVNQLIANIKSIVSSATSSTPLWFTNFGLVTFDTTGRTFEEFDYTNIDDLIVDLKAQSNGINTDGACSMPYLGVLAHLLEHDDVIAMPNSEIFLVTAAGPADLDKYVEAMTTLFNAQAHLHYVVSKSAGCPTFNGVNNVEDMTWLGYGSSGNILFTNPTNLVNLLNNYLPTLYGASVLQDPTGNTNYTCSNSKWLVPVDMNTTFIYVTVSSEFGSLSANSPLSTGVTPEIIYNVDDQKVYRIEVDRLGGIWQLGVNSPGLCLAHIYSTGGAKVYTKFAQPNSAGGKPDPLGAHKDGSSVQPAAGFDNTAVFHIAGDPFHNGQLQYVEIFDIGSNTITNILRSELYRRAECSYEYYSDLFTCNGDMIAVFVHGVDEYNQKFRRQEIVICNGRAPVTNAPVTGTIVPVTQLTQGPVTQVTQGPVTSTTPVPHTALQFDIVFLIDGSQTAQDSFDWLTKFVQTFMVSFNVGLNGARVGLIVVAPDLDDQPPPAAQFNSITSQASLISNLNLLKENYADFDHAGQVLTYNLQIVTSNDYKSAGYRSNIDNHVLVYITTNTAFYTDPTPTAQTIIAQQQYGIITIGYGTGFDNNKLQTISGGAACSFTAPDFASLNNQIKTIQQLIINANTNGGNYCKSN >CRE00421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4101302:4109003:1 gene:WBGene00052027 transcript:CRE00421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00421 MRTWVLIAALAVVCLAVEQELSHKDRARAVLKSWNPKNDLKYFEPIRERTYSISDDFICQILIQVETHRSKRSIAQPHVFAGLATRGCNKPGYTGDTCQYPLCAVRNPYIPNSQNSDDISIDATNLANCTQPYVIVVDETMWDIKIELETESPLKPIFFLQAENGDLIYPDSASERPTSYTAKYEMLPPGQYMLGPMAATGEEYCTMMMTARTNIQVTGGFISGDQAERSDYPTLKYTFFDTESVVALHAQGLDFPGQIQGIGFTGAENHISRYIPIGTRYNCTYPYILERYTCRRTSNNDVGHNFLQVEGTSNAGYRFRRILSYQCILPPVSTTTVPAPTTTAAPLTSCQNGGQLLKDASGSPYCYCFGLFTGNDCSQMLCANGGFLPTPTSERCQCPEGFTGYHCQNIVCTDTSGFDFNAENPTLTLVIRSRSELSSVIESAAGSVQSIVDLLSSEPGYLTNFIVVLFDSGKLLINKRYDSWDAAMVDLLKAINSAPSDGGCDDVVFSAVAAALSLYPTNKSPIYVITDGTPNDNGEKETVFHLESYWRAPIYFIYVQPTTAENCNSSPDNSAYRDMVDVASRTGGNTFYFSDRTTISTFFYQHMFNTLFRSQLVLSGDYSHCVNQNVYKSVAIDLTADMVVVVATGTNLTLQVTSPTSDRPTFNTAFTDGVNYIWTYNQQVAGQWFFNLVSGSPNAACTLKIYQKKFNFGGVSQYSPDYDIFWSFATTLTSAAGVLRQPVAGFDAAPVFHVSNYPAFVSMDRVHANLQIYAIRDGVQTEVYGSSGMWRDACEFNFYFPPFTCRVPDEVLYFNFFARDNNDMSLQRAGTMYCASVHPTPAPANQCQNGGVMNPTNTTCFCTPEFTGTYCQNLVCYNGGTNKGDHCVCPPGYAGESCELARCLETGPNPEFIRYGVDMIFAVEITQQSLASLVMLDSNFQEILRDVQMQNRGWIRNFVLVGFNSTWGGPIATSPANNLTAISAALHSLATTIPSDTGCRVQLWDALNHAVFARDVVPGSFIEIFQTTPEDVLDQRSLGIFYTMSRSMDLSIYGFLSARPQSQPVGFVCNATLPDYYVLFGIVTGSTGTTYILQSAEISNAVRLIPLQFSNGQVTINELDDCRHDNGMTTFFPVDAYTQTIQLTVFGYGTSIQVYNGNGVLAEALELFSDDFTGQSVYEVRKNCDNGFEPFGQYCIKFLAKSEDTMSMPQARNFCATAGGYLADDLGDDKNNFFKTGSANTQFWIGLFKGSDGQFYWDRGQGVAPDLLNPANTYWADNEPSNDPTRQCVFFNGQAGDVHKTWVTDSCATVRPFICQKHRYDADHRPNTIGDADLPAGNWYVNIITTPPANMPNYCTLSVRVQSSLQIVTGYTTSVSDDNPQIDPVQDSSANRLISYVHSTDNENRVPILTDAILWDAGNGTFYNGLKYQNRFGCEYSWVSQNFPCPNSDNANNEFGVLHVGEDEFGNTFQRITWGHCSPAEITCGNGGIRQGGQCICTDYWVGAQCTVPICVNGGTKNDDERSCSCPDGYTGLNCQYEVCTPALPQLFSDDRKTLLLVVETTRQNSATVTQLIANLKSIVTSATSFAPLWFANYGLVTFDSTGRTFENFNYTNIDDLITDLTAQSAAISTDGTCSLPYLGVLAHLLEHDNVIAMPNSEIFLFTAAGPSDLNKYGETMDTLFNTQAHLHYVVSQSANCPTFDGVNNVRDMTWLGYGSSGNILFTDSNNIVSLLNNYLPSLYGASVLQDPTGPTNYTCSDGSLPWFVPVDANTTFIYVTVSSEFGSLSVKDPLGLAHNVNPAYSVNNQKMYKIEVDRLGGIWTLQLVNPPGLCLAHVYSTGGAKVYTKFSLPMLVGKNTDPTGSHQDGRNPVPIAGFENVATFHLSGNTFHAGQLQYVEIFDIGNNGGATNILRSELYRREKCSFEYYSDLFTCNGDMIIVFIHGVDENNQKFRRQQVVICNGISQRMNPSTGQPVTGTMAPITQATQQTQGPITQQTQGPNTQPTPQPGQSTTQPPVTASPSPSPSSALQFDIVFLIDGSQSAQSSFDSFTKFIQTMMVTFDVGLNGARVGLVVVAPDLEDQAPPAAQLNSISSQSSLNSNLALLKDNYADFDHPGQVLTYNLQVVTSNDYMSATAGYRSNINNHVLVYITTTTALVLRTNYIILILYFRFYTDPTPSAQTIIAQKQYGIITVGYGASFDNNKLQTISGGAACSFTATDFATLNNQIKPIQQLIINANTNGGNYCKSN >CRE00425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4119972:4123354:1 gene:WBGene00052028 transcript:CRE00425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rgl-1 description:CRE-RGL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCI1] MQYFTFDKLTRNFSRLPRYRAIDPDRPKTESTEMSGADHLEWESVKEKLIKAGTVERLVECLVGSDDMMDSRHFNVFFATYRAFTDSAIVLDCLLRRYEALENEVNGSTSALLVQNSIRQIVMCWLETYPEDFYDADKEFAMLSSLLDFGGRNKLTELRAKARKQREVFKRIYDEGGMQAALPSLGQYVADMGFDPSDYPNNIKERVKMFDVGKENCVQIAEQLTFWDAALFKELLIHQCQGCVWSKRRTAGERVYTVKATIEQFNSVSQRVMTSIVLPDCRPEYRAKIISKWIDIARELRALKNFSSLKAVLSSLQSEPVHRLKSAWSFVPNRSISQFRELSSIYETDEDGDQGNARKILEQEGTAKSSPLRRPQLIQNCRRTKSDVNLAECQGTVPYLGNFLTDLAMVDESTPDYTPENLINFEKRRKEFEVLAKLRLFQSAARAYNIPMDRMFCAWFFFLPCLDENECFNRSLEIEKPPIHSTPDLSSSRINSSLLSNGSQSTPVKNSTLSRLFNSSRTSEDSGSHNGTNGTWHQHSHSYSSSTNSQIPSINTGSSLNISDNGGAQHSRDSGLQCEDWIDGRLDGGPPGPVPLSGTSTIPRGTNTNTPSSANVSWTGGSEFTPSSLFPYSHNRSKSGESSLQEVNTSISTRVSVDGTSGTQSLSRSATPIRTRLAEVFDSKVFSHQKKGSDASSCSSSSSLSANGNSQAQNSFYLARVGLDDDLQNTDGANYKCIKIENGDRMPQLIARALEKHLIEDEKNKYLLVQLLPRGGEFVLPENCNPFYAMAPDPTSPMLNLLLRYLIEICFMNCTKAICRKRDSNGTIEGGVSPQLGPSAKKLNRMKRTNLLRWSSGYL >CRE00426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4124107:4125358:1 gene:WBGene00052029 transcript:CRE00426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00426 MSSDLMRVLQKRDINWCEGGHGYQASCPGPSDPPHYKYCCSFPYLGGSYKPSCCMFPMNEGVLFFCCIACIVLFTLFIGLYCWCWPTSRLNQKRTAPPPIISQDEMFPRRNSYKSSIPFD >CRE00427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4127892:4130567:1 gene:WBGene00052030 transcript:CRE00427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fax-1 description:CRE-FAX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCI3] MSDEDEPLNFSTSKTTEDVKEGILGVRSIFNTPLLFPPPMFNAGVISPHIAAALAMSFNQQRMNASVSPPLDHTTISVNSFPSMGSVKTDSPPTSSSPTLCCAVCGDVSSGKHYGILACNGCSGFFKRSVRRRLIYRCQAGTGNCIVDKAHRNQCQACRLKKCLNKGMNKDGIRHSTDYDSFLNFSAVQNERQPRNTATIRPALDMDPQNFFREYAGAVSAIMGHSTMIKREDSPSSASDGKTEDEKKDSLQESAMSHLESVLKWAQQFRLFAVLSDAEKRQIILTQWPRLLCIALCEQLDDTTLDEHLTSIMLKFRRLDVSPAEFNCMKAITIFMKRELSGEERDGATGQASLPYTPIFSQRGARLVAVVASVGRSVMGFGNCVILSPGLFYQKQICDTTASNEESHYQFEDQLDQCICLLQQCCQKLDSSPSRFGKLLLLLSEIHFYQTGYLESLLDTKISYLVTRFL >CRE00428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4143433:4150090:1 gene:WBGene00052031 transcript:CRE00428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-4 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3LCN3] MIGPDEESAVKVAIRVRPFNKRELDLKTKSVVKIHKEQCILNHPVEEKNSKTFTFDHSFCSTDPRSYDFASQETVCYHLGSGVVENAFSGYNACIFAYGQTGSGKSYTMMGTPDQPGIIPRVCNDIFTRIHETSNATLSFKIEVSYMEIYNERVRDLLDPKKSSKALKVREHKILGPMVDGLSILAVNSFEQISNLLEEGNKSRTVAATNMNAESSRSHAVFSLIVTQTLHDLENGFSGEKVAKISLVDLAGSERAGKTGAVGKRLEEGGNINKSLTTLGMVISALAERNAKKDKFIPYRDSVLTWLLKDSLGGNSRTVMIATLSPAADNYEETLSTLRYADRAKKIVNHAIINEDPNARVIRELREEVETLRMQITQTKKEHAETEELRERLAESERLVAQMNKSWEERLKETDTLNKERQKDLAEIGISIESSGIKVEKDRFYLVNMNADPSLNELLVYYINGSAIIGNSEELETSRDSGLSMSSNDSSKKDDEKGKQSVHFCFEVRNRVTERTSIVLRGLGIMRRHAKLTIDEYGGRMRLFVAPMSSECRICVNGKQITDRTLLRNGNRMLVGMNHFFKVNCPKVMDMEQSIMEDSTMFDYNDAWHEVNDANPISSAVDQYMESVTLKHQEDKKAALEQQYEAFEKYIQSLTAGGFTPSTPMTPGFGLPTPITTPTGLPPFPFPTNPKQSVKSKFFYWAQRKEEMFAESLKRLKADVIHANALVREANMISKELNKKPKRQTTYDVTLQIPASNLRPIKIKAGQFVCEPVIVVRREGMSGSQFWTVSQLESRLVDMRDTYNDMLNGYSRASESLNGTPNASPMKTAGIPMNECSSLVIDPFFESQEHHNLVGVANVFLEVLFHDLRLDYQRKLIEVIFLKVPIISQQGEVAGRLHVQIFRVVTQEEIDNQTSSGPESLLGKTITCRVRIKRASGLPEKLSNFVFCQYSFFNISELLVVAPANEAANHSSCATTVIFEHQKDFNVMVTEEFMEYVRDDALSIEVWGHRICGHPEERVLDTDEKCKSLQNRWMEVTRRLEMWTEVRELNDNGEWTSVEVRSADDVATGGIYQLKQGQQRRLVVGVNVAAPDGLPISIDSITSVSIGAIMAVKPNNSKSIDSYQEEDLDKIRKQWSHALKSRQFYLQHQLDTLSAKSGKSEAELDREHSLMGQWVALTEERTAVECPAPNSCIPGAPCDWIAPEGVERHIPVLFLDLNSDDMTGEMTSDENVPRVAGLHSMLPLEPEGNLLLLPIHKYDDKDHVATCSWDSSVHDTPALNVPTNSNDRIYAIVKIMVRLSHPCPMHIVLRKRICLQIYKKPSLTEKFFKKMLGTETIHRTSLYYDVVAHIPKSSQDMEDRSSLAMMAAKDTSQDEQGGGSSRSSTSSTESQHQQQTLNYIEAYTKSIQAVESMLKLDRLRQEVAITNMLTKKERLQRIQNFGLPMHSLRMNRAVSLPNAISSAGQISGMEKRSDTPMSISSSNSMVTSIISPYNDKLTGIIEETNSLKIETAPTRSSTVPETMCFSAAKRMSPTEDVKNGNEESTPSRSANAMSMGVKLCLPLENNNQFASKKLQNGDLVDLKIN >CRE00429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4152847:4154449:1 gene:WBGene00052032 transcript:CRE00429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00429 MNTWVLLSLLIAFVGCQANSTTRQPFIIGDNNPASVEYTEDTVYSCAHFLIHPGPNQNYDTSKMISTTCQSLKTRSKRFLNQFPVLKWIFGQHPGDIKEVPTMLKKSNASSTRRRNNKFLEDSTELRLTLLNGLPAKPHSFLRLLKWSGSEMTKFFGYESITQAWPAMAVKKLQCTSRNNAEIYACGRRNYGRKFGTRKSILSVGNFTELGTIYKYTNISEWAVAVGNEIISVDSCLDYGTFQECTVSRNNTCSVSNYEKCDQVAALTPNQLFVRNFDNGFVVVASNLKEHEFTIYGHNSTLHMVDSKHLFVKIPMNPKATELPKMRTINQDSELIEINNEDLNYLEALHGPHPEIIKHRILLKSKAPNGLQECFFWISVGVLVLAHVAVVLVWWITRRNENKQKESVVVFSEEQVCIE >CRE00918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4154552:4156013:-1 gene:WBGene00052033 transcript:CRE00918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00918 MRVVRVLAVYIFSTFYSVATKDETDPKGYVLFCPCMGRFGNQVDQFLGVLAFAKSLDRTLVLPNFIEFKHPETNMVPFEVLFQVGSIAKYTRVVTMQEFTKKIMPKVWPPEKRKGISLISVHLSIFKLFSAFCWTPRRAIYDKEAEPGCHAKEGNPFGPYWDHLGVTFVGDEYFGDIPGGFDLNQLGSRKKWDEKFSSEEFPVLAFSSAPAAFPSKGKVWNIQKYLRWSSRITEQAKKYIANHLQRPFVAVHLRNDADWVRVCEHIDVEKNRPLFASEQCLGEGHHLGKLTKEMCIPNKQQIVDQIVEKVGSIGAKSVFVASDKDHMIDEINEALKPYEIEAHRQELDDMYTSLAIMGRADLFIGNCVSTFSHIVKRERDHTGQTPRPSAFFGVHAAKRNIEL >CRE00430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4168280:4169277:1 gene:WBGene00052035 transcript:CRE00430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00430 MVVEQKEQEPIVKMRERNVNAAAHSALARGIEALNEGEVTEETEEIRKLDTQLDHLNDYMSKMEERLKAHNDRMMETLKQQKEEREKRRRSFHERMSQNQSEDEEFKKKMSSILERVQSVKRTKE >CRE00919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4172731:4173509:-1 gene:WBGene00052036 transcript:CRE00919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00919 MIGFPNQLLAIVIVFSFIYIAVSQYSNMDEYNNFLNMVDQQEINEQSERNRKYYYAGGSNLGKYGTNRHGAEFIKCRDFNSSRSDKPVNLRCPVRPGNYHAVCQLVYDYERHEVFQGCFDVPFRNEDLCRRGCNLKFQVREFEGHPHMNKRVGFCCCKANMCNTDLEYIIATGIRKSRENIPEFKLPPHVKYLHTYLDDEDKALAAKSRLQSYFESAQDFFFK >CRE00920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4181794:4182445:-1 gene:WBGene00052037 transcript:CRE00920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00920 MARGHQKALSQQRNAEKTAKAKKAVGSDQKNAAMKSLHHKCTVCMSMMPDPKTYKQHFESKHPKSTMPPELEGVQA >CRE00921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4187263:4189886:-1 gene:WBGene00052038 transcript:CRE00921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00921 MEAHPKPEFYQKKIKDARNSLTQIEQLFERTISQQRNRCFAQRTLLSDSPDFDYLLNLPNNHDTLQSESFTERGYQSFEIEIRTLVLNLVKSIGFEKGFPFIRDIKTLKEYIKATIDKMENIEVPRLQSCRTQHRAEQERVEQSWREFSYLREGVVQSMEQTQSEGQHYLSIVYIWCRARVQNFLPSDDLQDKFIEICDELYPISFVPLRIPRLPSFLEFQPIPMEKTERSQLSQIIRKFKTDFNEGINEIVANVNHVLATDYINKVKRFREDVNEMIEEYSTYARQLAEVQEKVEVLNHRVLNAQIKCLFISETLTSRYNENLASCTLMENYQTAVWNAQISIRNKAMTDVEELTEQFGYLII >CRE00431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4195626:4204804:1 gene:WBGene00052041 transcript:CRE00431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spc-1 description:CRE-SPC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCP1] MADSNDTHGPPEPVLEVPPPQEIRILESADDIQQRRQEVLGHYSLFKDHSQTKRERLEEARQLQYFKRDADELNVWILEKLQTAQEENFRDPSNLQAKIQKHEAFEAEVQAHAKTIANLDKTGNAMIQHQHFAHEFIKKRLEELHALWDKLFFKLKDKGIKLQQALKLLHFIRQCDEVLYWIREKETYVTAEDMGMDLEHVEILQRKFDDFLKELGNHQYRINEINQAADKLVEEGHSEHDQIYKKRDDVNDAWHRLNTLAATRKEGLFGAHQVQRFNRDADETLAWIGEKDLALSSDDYGRDLNNVQALQRKHEGTERDLAALEGKMLQLEKEALKLAETHPDRAEAIHQKNEDTKGAWNDLKDKAQRRKDGLERSFQLHRFLADYRDLISWIGDMKAVIGADELAKDVAGAEALLESHQEHKGEIDARADSFNQIAAAGQKLVEMGIPESPEVNEKLEKLEAEKSSLLGLWEERRILYEQCMDLQLFYRDTEQAETWMNKQEAFLANTDLGDSLDSVEHLIKKHEDFEKSLAAQEEKINALDEFATKLIQGQHYAADDVAKRRQALLDRRRRLLDRARQRGNALKESYKRQTFDRDCDEMVSWITEKLSTARDDSYLDPTNIRGKLQKHINFEQELRANENRLDDIRSTGEQIIDSGHFAADHIGDRLRQVNNLWNDLVDATNKKGAKLREAGNEQQFNRNIEDVELWLSELEGQVASEDYGKDLVSVQNLQKKIGLLESDFNAHNDRVDGIKNLAQQFQNEEHFNAPVIIRKQEALHQRYNALRDPLEKRKKKLGESLQGNQLFRDIEDELAWIREKEQVAGSTNRGRDLIGVQNLIKKQQALIAEIANHDSQIESVSSAANDMIQQGHFLAPEIRDKLAQLRDNWRILKSKAEKRRGELDDSLQAHQYLSDANEADAWMSEKEPIVGSTDYGKDEDSAEALLKKHRALLSDLEAFKGTIEDLRKQASQCKYQEQPMGQLGRDCVLALYDYQEKSPREVSMKKGDVLTLLNASNKDWWKVEVNDRQGFVPAAYVKRIEPGTAQQHAQQQVNSIGGKQSEIEDKYQRLMMLGETRKRKLEEACKGYQLLREANDLAEWIKSREARHHKQHLYFRWRALEEQTEQREQQLGSAHEVQRFHRDVDETRDWIQEKDDALDSEDFGRDLRSVQALQRKHEGVERDLAALGDKIKSLDEKANRLRQSHPEAAEQIYDLQRELNEQWNRLTSKANNRKEKLLDSYDYQRFLSDYRDLMQWIASMNQLVSSQELANDVTGAEALLERHQEYRTEIDSRAATFQAFDQFGNQLLNSHHYAAGDIENRLQGVNEARKGLEDAWVARRNILDQCLELQLFYRDCEQADTWMSAREAFLAQEDPSGDNVESLIKKHEDFDKAINTQEEKIKGLRLFAESLIKNNHYDSPAVTRKRNQILERWNGLKDALIQKRSKLGESQTLQQFSRDADEIENWMTEKFQIAQEENYRDPTNIQQKHQKQQAFEAELHANSDRIAAIIQAGNNLIENAKCGGGEAAVSARLKALNDQWDLLVKTTTEKSYRLKEANKQKSFMAAVKDLEFWLGEVEILLNSEDYGKDLASVENLLKKHSLLEADIVAHQDRVGEMNEQADSLLENDQFQGQQIAERRKLIADRYDGVKKLATDRRDKLSKALNVHQFFRDIDDEESWIKEKKLLVSSDDYGRDLPGVQNLRRKHRRIDTELASHEPQVSLVKLKGEELLRSAAEAGVGEDQIKKRMEDLEQSWGQIRDLTGNRHQRLDESEAFQAFLGDVEEEEAWMNEKQQILGSDNFGDNMAGVQGLLKKHDTFQVDLELHKQRVADLISKGDALIDNGNHHAPHIRQRCDQLRARLVEIESMAEKRLAKLRDNSAYLQFMWKCDVVESWIAEKEQQVRSEDFGRDLSSVQILLTKQEAFDAGLNAFEHEGIQRITELKDQLVSSIHQQSPAIEKRHTNVIQRWQNLLAHSEARRQKLLKMQQQFKQIEELYLAFAKKASTFNSWFENAEEDLTDPVRCNSLEEIRALRDAHAEFQRSLSSAEEDFRQLQDLDRRIKSFNVGPNPYTWFTMDALEDTWRNLQRIIKEREQELAKEHQRQEENDKLRREFAKLANAFHTWLTNTRQEMMEAGGTLEEQLDSVEKKAKEIKANKVQLRQIEEKGAMLERNLILDNRYTEHSTVGIAQAWDQLDQLAMRMQHNLEQQIQARNQSGVTEEALREFSMMFKHFDKEKTGRLDHQQFKSCLRALGYDLPMVDEGQPEPEFQRILDIVDPNRDGYVTLQEYMAFMISKETENIQSSEEIEMAFRALSKEFRPYVTAEELYTNLTPEQAEFCIKRMKPYTDTISGRAIQGGLDYEQFVHVLFQS >CRE00432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4207202:4207997:1 gene:WBGene00052042 transcript:CRE00432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mai-1 description:CRE-MAI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCP2] MSGSGSGIGVGHGGGSGGSVREAGGSLGALGATREEEYFRRQQKEQLDNLRSKLESDMTQRQKEIQDHEKVLEQHQQRLKEIENGHNSEK >CRE00433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4208106:4209350:1 gene:WBGene00052043 transcript:CRE00433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpd-3 description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3LCP3] MSKPSVGINGFGRIGRLVLRAAVEKDSVNVVAVNDPFISIDYMVYLFQYDSTHGRFKGTVAHEGDHLLVTKDGKSQHKIKVFNARDPAEIQWGSAGADYVVESTGVFTTIEKANAHLKGGAKKVIISAPSADAPMFVVGVNHEKYDHANDHIISNASCTTNCLAPLAKVINDNFGIIEGLMTTVHAVTATQKTVDGPSGKLWRDGRGAGQNIIPASTGAAKAVGKVIPELNGKLTGMAFRVPTPDVSVVDLTARLEKPASLDDIKRVIKAAAEGPLKGILGYTEDQVVSTDFVSDTHSSIFDAGASIILNPNFVKLISWYDNEFGYSNRVVDLISYIATKA >CRE00435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4212081:4215089:1 gene:WBGene00052044 transcript:CRE00435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpcp-1 MFQQVYMDQDYRAHFKVHCAEIKEWERIHVVGSLPVLGEWNPRKSFPLTKSKDEENTFHAVVSVPFFVKEFQFRYLRVMYLDPSENSLSEPIMVLSKWETLNNPRTCLIGVESLDGVARQNVVDQFGSYGGRKQISDGWILNDKESVVYFRIHGEALKFFAKSYANREYRLKVEPFDVRYSEIDGEDVVNLPTPDLPCFSNTDLSVLTREDPIFGDQYFNGSVFRNDTDYLVFRTRTVSLQNLAFRIEFYHKEKRCALSYVLPSSMSGTHGATVSPVIGLSSAPVGHINVNYMIVKRSQYAERNPEVDSMKETFGRYWRKRNRMLQIGHRGMGSSYTKNIDQRENTVFSLNEAARRGADYVELDVQLTKDLKTVVYHDFHLLVTVAGRDSPNNTPTASGENKSLHEIAIKDLTLAQLKLLHFEHISRANGTADSPPVSLSVTPSKNETDELHVPFPSLAQVLRLVDENVGLNIEIKYPMYMKDGSHECQGYFEQNKFVDIILAEVAEHAGKRRIVFSCFEPDICTMITKKQHKYPVSFLVVGATNRYMPFQDIRSDCSKIAANFAAGCELLGINFHSEELLNDRNPIEIAEKYGLVKFVWGDDLNSKDIQKQFKEEMNVDGLIFDRIGEEDGVTQNVFVVENHNRSSLFARSQHNSRSPSMSRRCMSTVE >CRE00436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4216018:4218233:1 gene:WBGene00052045 transcript:CRE00436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00436 MMSSNESLGNDLLFPDDPFISGVAFIHDNDNMFEDLFKDTLLFDQEMNNAMPIVNEEPTVELMEEDSLFNTMNLHDTVGIYGFNPLDSFDPFSTTDVTHDLLFSEERMNSQMDTVFDSQPGISTNVTPENVASVEVPEKIVDTVETLHQEDQKVAENNKYEFEQDHTAPTPAVTVAKTTTTISKSAVKNNIATKISRISSSQPSKLAAAFRNVKKIPYSTPTYVAYQQPAYKPTIPANGQTKTLYPVKVLPVMSKKQLPVRPVLSLDQMRKKIANRNPLYFPESQNQGTQAQPLENTDSNVPEPENKPICEENAKTGFDNDQVEVPVSTVGSVLKQANTFWKMSTFVPRDIASNFIKCNYCKQAFTTKLALEFHEVERHPYVFAFRCTLCDEIYSTIQVASKHLVGHHKTDAAPLMNNAIFGNDQNNESHLRNANRRISGMVWSFLSSYLQKTGKITGTAVEDRETIKLHARALFHSSAAKAINDNCNQTSPGHQSPADTATINDLLKITYIYGPFISRLRVDCMPADKVLHFSEPEMFEPEEDKPPKPTVPMVVFPPKKTIVFHPPPKAAAYKKTDYTLPPLQSQNLALIKEKKIPVFMPTTIVRPLTGNKKIKYQGTVKGQQVFKVKKIVKSQMPALVRRIDYSPSSLS >CRE00437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4219521:4220826:1 gene:WBGene00052046 transcript:CRE00437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-13 description:CRE-ZTF-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LCP7] MFNEEVRTEYDPLISGLEPESFDTVFQEIFSGIKNYLNDDEPPVSEKPSDFLKLRLDENIPYLDPNFMPVPPYPDLSPAVLQPPLPVIEKPTEPRTRTRQYAMLRCSCSQDFVSQFALEYHETKCHQHYFNWFCSECNIRYDTLQQASDHSMMTHSDGQMPLLGDDFTRRPPGPYMMQALARRSTDFVKEFLYSRGKKTVMTASYYMLAKCELQAISPMNVGLVIYNQAKTDLLTTIDSAEHEDFISYLKSMFIYGKFIKRVPLDHYYGPTTVYKTLTFTSIPSKSKQQSEQPPAGRYVGAGTMRIAGTRPQHTVNPKYRVTQYSNNQYRTMFHLPTTPRSNNYALIPTRPASTAVRRLTAPPSYTLYPVRSNAK >CRE00438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4221400:4224688:1 gene:WBGene00052047 transcript:CRE00438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-3 description:CRE-SEC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LCP8] MSAIRKIIQRQLFQKDDERIQAIVNVSKLDGKKKKNQTLLCLAVTIEHPIAVRLYFVKGEKDDTFKKKDRFNLREVREIDGINPKKPSPEFHITIGDRQYVILAGSAEEKDDFIRELYKLSCQYLPVQMPDFCNFSLPQLEAEASIIPIELPEHDIAMQSDYQPVSNKEDADFRKLIERAELTIGDAHVFAEMLTEQLQSLDGANINSMMDSENSVNQLLSSIDAALTGVESVEKELDRCDDILAFVRNSIELIEEKDSLSVVERKNKQRLNEEVISFVKSLEAVTDAHIDTLKQANFSNPESVQRCTDAARAVAHFWHGRFSKPMLQMKAYQDRNDELTAIDVFVDRLMSHLSALFSNLNDLSLDHEWHELCIPKQSQRFRALSPLSDLINWLKTNRPKACSLVLQKYIDSTNLLYKRLFDNFFDTLISKVPKAIGSDKKSKTSDSANTSLRSDNQSFLSTSSEIDTEVLPQLIETVLAELSAVIDAEQKFVVRFFHINSELLAQFDTTSTGSGDSSSLGGGRSMEKHMNEQVRHVMGNLFDSLNIHLDSFCRAVCRHNPSNVLLLFVIMSKKVLLPQDPSSYFSITFGSLVVLIKRQFDAFIQMECGQYSEVRIAKKTRIGILPSISRFANFVRRAEIIFENAERRTDLEKAYFNLCRAVCDGIQKAAANPYSKSPSSVVKFENYQELWSTLSELKISCLEQQRKDAKALKEEHIDAYVKEFMGRPLKEIQTFFDNVNNFIEKKGIRPEEISYQQQFSRIELKKVISQHPGKEVKKGLEQLYKKIEKNLVANSSLLQVVWRDMQEQFIKQIAEYNKLILTCYPASKIELEVSTDNVLQFFSEIAQQH >CRE00439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4225464:4227225:1 gene:WBGene00052048 transcript:CRE00439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrt-2 description:CRE-WRT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCP9] MYTPILYLAALLPVALASYCGESAIPYTFQVLRSGFPVLGCARPKCFGWTANGTRAGETAQFYRVSGKEDGYLRRSDQFIKPPSKNPNFVPQLAICADDYKSNSCEAGEWVGGLSPQSDPFTDNLEMKCCSYQVLINSQDRGNAVVKQGQLVVGGEVLDDGKLVAFDYISNLSKTVSKNGTVVYVATIKRMPCFDEDQPAEVKKQENTIVEAAAAVEQPATTQVTTTASNQPSAPVPKINQQAQVHGVPALNIRPYAQQPQPTQGAYPANANPTGTTNYGQFSQQAYGVAQNGQYSGYPQYVQQQPQVQYYQDPFAAMIQQQQQQLLQQQAIANQQLQQQQQQQQQQQQQQQQQMAFAPLPPFPQQMMFPQPQVQQVAAQPAAATAAGTETAATQQVVAGVPQLPAAQMPLNVLPVSTLPPMKLPKLEDLPKLQIPSVEDVEQVIPPVQRAILTSVAKFFGVL >CRE00922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4227295:4229307:-1 gene:WBGene00052049 transcript:CRE00922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pccb-1 description:CRE-PCCB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCQ0] MLSRFARQLALPVFNGVLHPTRATSSIAHTIKVAKKIDDTREKALLGGGKKRVDAQHARGKLTARERIDLLLDRNTFREYDMFAEHTCNDFGMQKEKYPGDSVVTGRGEINGRTVFVFSQDFTVFGGSLSSIHAKKIVKIMREAMLVGAPVIGLNDSGGARIQEGVESLAGYADIFQENVLASGVVPQISMIMGPCAGGAVYSPALTDFTFMVRDTSYLFITGPDVVKAVTNEEVTQEELGGAKTHTVTSGVAHGAFDNDVDALMSLRELFNYLPLSNTDAAPIRAAEDPWDRTVPSLDTVVPLESTAAYNMKDVVHALVDEGDFFEIMPDYAKNLVIGFARMNGRTVGIVGNNPKFAAGCLDINSSVKGARFVRFCDAFNIPLITLVDVPGFLPGTSQEYGGIIRHGAKLLYAFAEATVPKITIITRKAFGGAYDVMSSKHLRGDINYAWPTAEVAVMGAKGAVSILFRNDKEHAVQHEEEYTELFSNPFPAAVRGFVDDIIVPSETRKKVCEDLNMLESKKLKNPWKKHGNIPL >CRE00440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4231436:4232604:1 gene:WBGene00052050 transcript:CRE00440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00440 MSEKIADGFGSLGELCEYHYSFVQDGKLTLVGKKFVNQPTHNWGHRVAFAGTYVLTLDKETNQWERHDFPELVGDENAEESLFVRDDKLYLLTFVNFGSIEFKKLFKWENNSFNEITLKAPEPKSITAEQKTDIITASGTTSNGASIIVARDDNCDILLYSLIVDGGSAIIDSTSHISIDSNPLRGMPVLAEVVGDKVLISYGVHGCGFRWENNRFFVFDLKSNSVRHVDIEGDYDKLPQFCFSGPRCSFVNKDTNSWIVAAGSVQQGMTGSAFYGSVWALRGDVFDENSKATWVQLPQTIEEGDHILDGFNLYTVSKEAVSKIKLDEI >CRE00923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4232762:4235212:-1 gene:WBGene00052051 transcript:CRE00923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-16 description:CRE-TWK-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LCQ2] MPENNEMHITYPPLIKLPVPSFQTFISVFVRSHQMHNLQSKKQAFEVEHAKPFTLHCSLLMLVLLYSFLGGFVFDRIETDAHAEMKRNERTNRTACVSQILHSVHRWNRNHTHQEHISEKIADCFEPEKDERSEWNFVTATLYGFGIVTTLGYNRIAPITYTGRMFCIVYGICGIPVTMIIIANVGQYLNNFAGDSRRKIEAYRQQRRMSKASLAGKVYKLLGKVCIQESSIQVTSLALLCVFLVYVAVGALLLPLLNGELDFFNGLYFNFLCLTAIDFGQLVPIRVELLPITFLYVCIGLAITTIAINIGSEYMKKLHYWGKKMKNAAQTRIWFGGKTLKVRDLLHAVGKKCGVEPGMIDALDLENVVERTIAIQEGREPPEDLNDEPRDEPSPRSIIHSPCSTRPSNPPMSPPSPREDHPFIFKMDTPAPRSPLPLPTYELDIKKPIFQALSNEFMNQSAQEKLFDDLDTFQCELNTELVEDNKCESVIIIEPPATFEDMTVQSSLNVEDYEKEEKVPKRFREKKEMYGRDPRKLYETYQEEWDRLERLSDRKHGPRRKSVLNLSSCSPERSVSPSPIRHSLTGEDNRRNS >CRE00441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4238025:4239237:1 gene:WBGene00052052 transcript:CRE00441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00441 MSFFLSLPYTFHREVMPLLDPHQVVHWAIDEPYFRYILSLVKIPAEKLTWNFKERFYISIEFDGLDPIKFYIPDWLPRYRKTKKTEAIDTWSLKFEIVKHKPVTRRNPFYENVAMPTRESIPPGTTKMELMEAVTNYLREMLRIKKYSVSSYHSKSINFFNCFIWKVAGKFASVEFTSPKSLELGRASEELKMLQEKQEIEKLVVHFRNTKNQHGYTSPLKRKFVTIEDSEFIQLDSLLYSESSQVTVLRSEFNGIDVNAIIKQWMNGGLNNLEMLRLKLNKMTFADEVFNDIEVVPTSTKPHPLASGLTRIHWTHSVDICRSCDGRLATIFYLGSKIFDFFIWKN >CRE00442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4243247:4244696:1 gene:WBGene00052053 transcript:CRE00442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-31 description:CRE-CEH-31 protein [Source:UniProtKB/TrEMBL;Acc:E3LCQ4] MSLLDPRQFLIPAFYLDPTTQALLAQVSAQNSSGGGKINLANSFRISDLLDPSSHSTNEPDPSPTSNNSSESPSSPRIGSPNTERSVNESPTCVGSKKARKARTIFTDKQLQELENTFEKQKYLSVQDRMELAHRMGLTDTQVKTWYQNRRTKWKRQASVGMDLLHDAGNMAAVQQLLRTNPYWANYLSQNTAMFGGANRPMFNPLVVPSSMVSNSNSQISLLQFLAAQNMKDDMNSPKDSPSLSPDSVQKSSDCKNDKDEENENE >CRE00924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4247837:4249606:-1 gene:WBGene00052054 transcript:CRE00924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-30 description:CRE-CEH-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LCQ5] MSLLDPRQFLLPAFYLDPTTQAFLAQASANHKLGSSSSFRISDILEPSPNNSTYSNDLDPSPQSVKSELSVSPRASSPGTDRMGSPMSNSKKSRKARTIFTDKQLQELENTFEKQKYLSVQDRMDLAHRMGLTDTQVKTWYQNRRTKWKRQATSGMDLLSEPGNLSAVQNLIRSSPYWANYITALPTGVQMPMMGLPIQMIVPPAQTFQPSSLSSSNSPSTHKSSESPQLDVSSHSE >CRE00443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4252629:4255212:1 gene:WBGene00052055 transcript:CRE00443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-312 description:CRE-TAG-312 protein [Source:UniProtKB/TrEMBL;Acc:E3LCQ6] MANPDVENLFSLCDSESKGFLTMEDLKKVCPQLDDTDLRFIFKELDQDGSGRIEKKEFLQGFQDTVQQGESRGLNGMQRRASVAIDDPPMFRRDELVFDSESDSTSSRPAIRVFDEEHYHSESDSNINIDFSVPCQEEVLVLYEQLQSSGVPALLRKFERVVGSFHKELSEKKHENERLQRIYASEREMYNRRMEEMESEVDQQLELTEMKARQEERDRLTKEKEDMRQRMTEEMSEMRSNIERLTRMEKVLERENERLNHQKDLSDKLKVVNEENNDLRQNLAENHLELAMIKSELAQVRADFDQKQDELSARRDQASHATEESESVRKQLQLLFDANRKLHETNESLRDALDSRASVLRQFNLRTPSPGLLSSNRNSVENFQTSTNVFRSVPLHAIPSEEPMPETSLILDDAHSLHGMEIAEGLVVYHTHSIQKRIRFYFQGLNDANGPAERTFRIVMCGDAAVGKSSFVMRVIRRQFTNQLPSTLGVDFHVKTVNVDGRNVALQLWDTAGQERFRSLCKSYFRRADGAILVYDVCAEHSFLRVRDWIETIKESTERSIPIILVGNKVDMRFQTPGAVAKTDGASMAAAMGVLFMETSALDGSNIDNAMLALTRELMAVEDVEIRSTGVVLNPAAAKKGGCFSKCRGS >CRE00444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4256262:4258508:1 gene:WBGene00052056 transcript:CRE00444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-26 description:CRE-TWK-26 protein [Source:UniProtKB/TrEMBL;Acc:E3LCQ7] MDVDIKESEIQARSRIMKNHLTERNNCIVLKIDTHMSKVEDMSPFGFHFEFDAKKYKERKKQIRKQKITRFFAWLAYYHHKFGIRHITLVSLLIGYVFLGGIMFQNLESPRELEELKETIVLMQGIITEETSDIINVTLSTNGTDRNNKLGKLIKRYYKTMLEAEGRFHGSVWHKAENLDMHLMWYFSSATFYSMTLFSTIGYGTISCQTFWGRLLSIIYASLGLPIMLVVLGDIGEWFQKILTGGYVYLLFKYKQLRKQPIKRKKNDILLPMWLALLLVLGYILICTLTIKIFDHNEGNKPGIGFFDAFYFTFISLTTIGLGDVMPYNIQYSPFLAAAFLLGLALISIVNTSIYAQLYRMFFSLINNVEDKLDRIHSSSHKGPGYRVFQDMEPVIRMLVCTFPPSHPQTRIKFATVVRDSFRREKDKKRESNTSDQIKLRTRTESDIPAHDGFHLWMAKRAKKREQDAHHEDEDAAAAARRRAPTLGAFGGFDLNLFRPRGRANTSPFRRNESEETEESDVE >CRE00445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4262172:4267870:1 gene:WBGene00052058 transcript:CRE00445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00445 MSNRVWAASVSLLIFFGTLSMPVQSRPVFSTSEPSVSEIEPSDAPLPQPAANAPAKPEDVHLSSVKVSGMRLESNVGVKENIVLGHNRKHNVVIVPNKEVRVVLFGMNFQDIGALTFTADGTCKDMEHFFEADFSSMTTTRLVVEMIFPKTSAETTTFKLCVSEKFYSAPQFAIVEDPFTTVTTEIPPTENAMPMWLAIICLMILLGFSGLFSGLNLGLMTLSPYELQLYIASGTEDEKRYAAKILPIRKKGNQLLCTLLIGNVIVNVGVSMLMDIIVGTGLFVLIGATAAIVVFGEIIPQAVCVKLGLPIGATTIPITQVLLFLMWPLTWPISKILDMFLKEELTRSLERNKLVEMLKLSEKSVIGGQSDEFKIVLGALELYDKTVAHAMTRYEDIFMLPDTLNLSADMVTQILDMGYTRIPIFENKGLGSNDDDIKNVIALLFVKDLALLDPADSHNVMKIASIYNHEVRRVLEDMPLRTMLEEFKRGEYHMALVERLVEQEDKDPIYELCGLITLEDIIEEIIQCEIIDETDAVCDNVHRKKRQRKKNHDMSQIVNTAHAKCAINIQMLAVTIQVMSTCHRIFGPNFILPTILEKLIRKNCKKVETTQFSCLKELGLVQPKPAVLYKKGEFSNKFIMILSGRAVVTIGKEEMRLEAGAWHSFGTEVLDAMAEAIERSLTQSTTSRSTMSLNTEITNNTIGFVPDFDTVILYECIFCEFSASDLLLAFNSSQIMQNNSKVQVVRSNSRTSLIEEIPKDPATPIRNGSVKHRTVSEGETVKLIPKNMKCRFNKEDHEEEEDEQEEEEEE >CRE00925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4268597:4269925:-1 gene:WBGene00052059 transcript:CRE00925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-265 description:CRE-CLEC-265 protein [Source:UniProtKB/TrEMBL;Acc:E3LCQ9] MSPTLISVFLLVLIGLHSSVSAASCPAGTKIYNSKCYAALGMDMTRDNALAYCKKTYGSYARLTTPLTYAENNFVTEQVQLHANWHTWLEFVADGTYIVGDDGRPPVYTNFAVGEPFSVSLGYCITIGMNGYWYAQPCTDSHSALCEFDLVPPTVKPTIAPTLAPSGYPSCVQKYLNFVPCLSGWDYYPPTCSCFKIITNTTYYNAMNVCRSIGGTLASVHNAGEAAFITRLGAQANSYWTLTATARDNIIVGLTYNSDRKIFQWDDSTVFDYAQGFAPAEPADYTSQGQIVLSNPMGYATYMRMGNCAFQTCRYAACKRYVY >CRE00926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4271382:4272385:-1 gene:WBGene00052060 transcript:CRE00926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-7 description:CRE-AQP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LCR0] MAAELERTEQVRAKIQIKNPLLRNALSEFFGTFMLLFIGIGIVMQFILSNEKLNTWININLGWGLAIAFCVYLCSKTSGGHFNPAVSIAFVTLGKLPVKDFLVYCVVQTIGAALGSLAAFGIYYDQFNKFAGGYRTIIGAKATAGCFCSYPALHVSNTTAFFDQFAGTAILVLFVCVVIDKRNGIPAAAHPLLFGLVVMMIGTSLGMNLGYPINPARDLGPRLISYFIYGSGVFSYHSYYFWVPVIAPLVGAVVGAWSYTFFVGAHIPDQRETTYVLVDEANQPLKLA >CRE00446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4280902:4282646:1 gene:WBGene00052061 transcript:CRE00446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-18 description:CRE-FLP-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LCR1] MQRWSGVLLITLCCLLRGALAYTEPIYEIVEDDIPTEDMEISRSNEKQDGRVFSKRDFDGAMPGVLRFGKRGGAWEKRESSVQKKEMPGVLRFGKRAYFDEKKSVPGVLRFGKRSYFDEKKSVPGVLRFGKRDVPMDKREIPGVLRFGKRDYMNELFDKRSEVPGVLRFGKRDVPGVLRFGKRSIEEHYAGVLLKKSVPGVLRFGRK >CRE00447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4283601:4286235:1 gene:WBGene00052062 transcript:CRE00447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00447 MSTPPLDCPKDESSPTQEKIIESDTQNFLESPSMSQNLKYSASATIEDLLKQGDSRDDQSFSVRHDGTVNVCPGSATSPKQIPTGNLHNQHRDIQNLENPKRLAGGSQHPNTIRPNGYINSTPEAPRAKLRSQQITNSVHEKAKKETFEGRYQKLLEAKSQKQETKIFLFPLEITAGFKGDYKARQPTGVLSLPGKSRLSIRHKSVKDGEIFYQSSDLPHVVEYVPQLSPLVLEEQRRSTKCEVNEKYLSADDAEQAETEKKADEASAPSIDEQKSVPSEDLSNQ >CRE00449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4293526:4295245:1 gene:WBGene00052063 transcript:CRE00449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00449 MNKDKLSIRAPRRCVAKKTIKYKESDEEEEKKELMKKNVWLSVNGAFPGLTAENSWNLMQGEIEFLKKNVITNNEIAQTKLMSALKKITKKFEKKKQMVSFLLEFKMICGKLVRRCADIQNNGEILKLMIAELPTRINSVYKMTQRPVESWKKPRKIACILLVRLFLIYSLIRMIVVQEIRSVDTDARPAKKKNHDDSLPVENQLHEIQSFNHSMMEDLSVDGTPEKEMETNVIAQPEIDMDYTEPAPKKQKMSTCSKDSCNEKRKNSFGIGQPLLFAIPSIEQFPSNSDQEGLPSPIVDHGSSSMQHKNSQIFPEASLAEVHLPLLQQESLADQFEIEVQQMAPHHADNGNNMEHREEEVVEEENLQNAGEVFLDVENGDGFENDEELVDDNEEELETENEEDLEDESDEEMDPEMEAALGLLALRDPQYLKRRN >CRE00450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4296507:4300532:1 gene:WBGene00052064 transcript:CRE00450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00450 MNLMEMDEPTKIAMEYIETFRGLHKNKRQKLNSGTPALRPSNFPGISTQQLCDILVRSAYDRSCVDETYRDHILELVVDESLTWDQVLHSIVNTTCDRLYMKGQMCELIQDMIGFVQIKSFESKEHADELSPVIMSTVTFVTDLILTFLDDEDELDTMQIDYDDENRAYQKPLEALSALMHDGLCAALFTMGENSDEVLKQLLDCHDAFLKLEKHDEEGTSLIELLVEKQDENRQPKEYEYEPEGLAVYDLKNPSIRMLVPVFSCFINHKSSKHMANVIQTFIELMRLPGESVVFDLIHASVLLMYEETIDLLHLPKKNRPDYRWHATTFFYKTLPLIINHLIDEDIIAVEDLEEGLEEALNRLTMLMDSVDVNWQNASYLTLLNSLVSIIGEETANPLRQRRREHMKTTANLVMFSDTDYKPIDSSDVAKLQIAIKEVKIWQSGRRDDFLNLFLSKVTRGEFDEFDAVTSVLTSEGKLLEIGKAFALKNKFAQSSSMLISAEERIRVFDDTFILLSRIIIRNPGLSIGLFVNGGRGKTDAELAMFFKWSMWYVKRVPKTRKDQEKTEEELVLLRKEVEMLVQLLNAELGIDEEEEEEVEKMSEVKESSVKQDTKKLTAKKTEQPATGTSEDVDDEEMSDEEDKEEEGEHRQPQENYDEEKMDTSEIFSAPVNKLAFPTIPKVPIVVGETTESTKTDSNEPMVTWRQIHCPFPRITKKRARVYLAQLKGGRPFWRSDDRNLNLGSILAAIPKIGELLVEEHQEKKSHRVDRKTVEDHMTNIIYGIDSMPCFFLCLVQWVDCEPDSAARTALAITIKNALEKRINSSTASIADDRNLSKWRFVKSTVLEMINEIVDKSIVFPEVTCNAFSTARRFCPVVYRDEIPDQVKTKHAWIYMRQQQWTSPHALRILEHYNHAREFKSWCHMFISKTIQIQCGEIMQNSVDMILAVCMTDDVNIIIRMQESLMDHWFSEDATLTQIDGRFDSLALTAVIRLIANVMMYAELILDRLLNNGPPIRDPLPYGEIEAPGSEDPENREKWIYLYRSLLDRTVNRLFKILRKGVLCTVINAIIRLIKAIAGAADCKGKSLLIKRIPPEMIFQLAYIEPESVDYTLMNAYCDPDNEEHDRVKIMFLCAQRRKNVI >CRE00452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4307071:4309789:1 gene:WBGene00052065 transcript:CRE00452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00452 MNAARPQVNQPNYRHLAQFPETHARTYIYHMHWKSFKNRESGQPDASLKNLEKAERKINATNYLTEEETDDLNRLANAIRGEWGDVRQPGGLWDQIQTQRDHYEKCWLQFMSKTNREDMKFNPNKTFSEYLEKVEKYLEREHEIRQVQSRSPPSLHIPGMVNHHGSFFPSVGQTFGRVPYHAPYHVPGQMFYTPNNFPPGYHQYYSERPVFPNGNSYVHPNYVGNQMHYHNHVVNVSAPPTQVVQPSFSTGINVHDKETDVQNTMGNLSIVDEANTSLEECKPRSSNGGQHIALHCPVTVNVSAPPSQDVQMSETCDDSVCEQEKEDCHIGQSETLSAPTAQNVQKSTGNLLETFTDSVHEEEKKDSHIGQSQETRAPIPENLFQTDSPLEEQMPSTPIRAIVQSSNRTRSRASASKRRSAGLGGYKNYINRPKIQLSKISTVSENERKNESGSDTAQNAALCLLTDWRKSPEMTSPENRGPDIAALLQEYLKFAVGSVGFEETETEDILRFEESMVQILQIYKDSGVDLLADFAKRNKPV >CRE00928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4320351:4323346:-1 gene:WBGene00052066 transcript:CRE00928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-4 description:CRE-ACL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LCS0] MLGIEHLLFVLTTFAFPPVALTVLLCIILATFGKSLGLRQMYVDTLINIFEWGARQIKTTEKIRRKESAMNTPFFYNKIAELEDDEDDELKSLETPRFEATDGITDDDMEIEMRSDTRSETESRDSGEDFPSVDSDYGDESCLKRKFGSTTSLASVGSCCSLRNRKMSESSTLGIIKRETQIYLDDNFSEDIPDEVFVRSRGWAAIRDSVDFVKAGMEAIIEDEVTSRFEAEQLVSWNMLTRTSIKFYQFVNWKLSALWIIGFLFRYCVMLPLRFTIFCIGLIFLISSTALIGLVPVLSWKKKLNHKCMLICYRILSRSLTAVVYFHDEHYKAEKQGICVANHTSPIDALILSIDNVYALIGQKHDGLLGIVQRALSRASSHIWFERSEAKDRHVVAQKLREHCTDPDKLPILIFPEGTCINNTSVMMFKKGSFEIETTIYPIAMKYDSRFGDAFWNSSEQSWCGYIMRMMTSWAIICNVWYLPPMTKRVGEDAVDFANRVKKEIANKGGLVDLEWDGGLKRAKVPPKMVAKQQERYANRLSRYTSVSEVVKHEDVQVTLEMDKTVSGYASLEDLPEDVFVDEEDETFEVEEDIDENDENDENEPDSTIRKRRLVDTIPLVKI >CRE00454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4326732:4328100:1 gene:WBGene00052067 transcript:CRE00454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00454 MKLLLLALLAVAVLAKKGDDEIKDLSHGYPSAIEWVEFDKAVGIAKDLNKPIFFLIHKTWCGACKNLKRELKSSPKTDELIILSRKFVMVNVEDDEEPEDPKYSPDGGYIPRILFLDTDGNPLKTNNEQKYKNNKYFYPLPAQIIDGMERALLEFGGEEEPAKGEVKKEEAKKEETKKEEKVEKKKEEKKDKKKEEKAEKKDKKEEKKKDDKKKDKKKEDKKDKKDKKDKKDKKDKKDKKDDKKSKKNKKEEL >CRE00455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4331269:4331712:1 gene:WBGene00052068 transcript:CRE00455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00455 MISFIPLEDLRNNCRLFLFFVFCPIFFLKMTAVADESSDVFFLVSVVAPLLFCCFAIFVCALNSIWKTRSKLEALTQVAKQREPTEYTEFTIDLTTKMDSCVELSSPQISSKEVTEISNFPFLSFNHAL >CRE00456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4333411:4334033:1 gene:WBGene00052069 transcript:CRE00456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00456 MTDTLIIRFNVGGTPMATLKTTFPVDSIFHKWFVSRTKASPFTSDRDGAYFVDRDPFSFGIVLNYFRLRKAGQLWEACLPKDPDRLAMLTQEADFFLLPQLRDQAICMLQLCSNKNDSNYINEMLAKSTSCPQGFEKKEEEDEEDF >CRE00458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4339241:4342514:1 gene:WBGene00052070 transcript:CRE00458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsy-2 description:CRE-LSY-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCS5] MNSQRNSVEQSVTDFNDSRMTHGSNQNVYHHNPHMDESDLMMDEQDYTQYQMAYPEEDEMVEGMMTPRAVHQCNVCNKIFVSYKGLQQHAVIHTDQKPFRCDICSKSFRFKSNLFEHRSVHTGFTPHACPYCGKTCRLKGNLKKHLRTHVTTKEELEAAWRPFASNRRPPADIPDDAIIVRGAGGPYYTPPARPKKKKLGLGEPEQWVDKLRRGDILPQVELEDKIRRLEDTIFNNLALERWANLFEIAKSIAFETHDCPICKVQFMTRMDCISHHSIEHENSRDGLDYFCEKCFRPFADEGSFNQHMSYHNRVTNLIETGVITPQPADPDILVPTNDEFQMLFDGTLTQHMMDQQLI >CRE00459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4343144:4344649:1 gene:WBGene00052071 transcript:CRE00459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-164 description:CRE-COL-164 protein [Source:UniProtKB/TrEMBL;Acc:E3LCS6] MSYKSMESSSSTVSFAVILSGCAFLIMVTATPVLFYTMSDMTSQLESAKTGFEETSNVLWKELVTNESHRRVARQTYKEAGGGAPTDTAPAAGTAPEGAPTVVVDNTCPPGPKGEAGAPGEPGTDGTDGTPGKDGSDGSNEQAASSAGDLCTACPAGPPGVTGYKGKRGSRGEKGDKGGPGNAGRDGAPGDDGPEGDHGHPGPMGPPGERGSPGADGKGFAKGAPGPKGPTGMDGLPGDEGLPGERGEDGTGGAQGETGPQGSPGEKGPDGFPGLPGAAGTAGEDAAYCPCPSRKGDVAGGGGGGYAAPTGTEFEGSPVGAETAGSSAEIPAPAPVGESPAPESAPSGEASSNGEALSTEEKPTSEGAEKTPATEGTPSEGEGAPAGGTESGKYAGKEGEGAPTGAGGGETAPAEAAATGESSPTKAEEAPAGAGGGSTGSGDTTYPTKNRARFTARQRAASRIRRTF >CRE00460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4345656:4349884:1 gene:WBGene00052072 transcript:CRE00460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00460 MMEEQQDLPAGLPSKRPRLGDSEDFVAPNFLVEHDQEILAKSNDDGQMDTSELSNSKLALNLDLVDNEQINSFDLLDASKMDISETWKNGEKVMVAGRIAKVELENFMCHKHLLIEFNVRDNNCFYIGGANGSGKSALFAAIHLGLGGKASDNNRGDNVKQYIKDDEGSARINVTLTNEGFNNFPQFGKCITISRTIHKTTSAYKVTSVINGVEKTIGSTKGSIDKILKRFNIHCENPVFWMTQDRTRTYLSNLKPPVLYKLYIESTNLSKIEESYSSATSAIDDCNKVAEDKTESFKRDKMKLLRMEEQHKQQERMLKLREQINVYRWKLSFCEIQELEDSLEINQKRETVCKKFFEETQDAYTKNREDRAEKAKDKQDMFDELQVKAEDFQRKIRRNRKNRADAEKVIEEQDEKYAKLLVEEGKTNYTQSQIERMGNQNIGSQKNSINRFGPKLKEIGNEIEKNRHLFTTMPKGPIGKYITLSDTKWAFTMEEFLRCHYDNYICSTHSDAKKLRDLFIAMNLDNMEKPNIVVSKFAGKRFSNLMEPPSQYKTMYQLLKISDDDVHNVLIDKTVCEQTILIEDHVQAMKDMNSDTIPTNIKKACTLKGDVVYPGRSQFGRKHQIQSKRDEKNTGSSSKKRERDLKKELCAIELKLMNIGKKERKLKSELSDSAPDIESITTKNKLDEIKIGIEDLQKRLVTNDNDYETFQKELAEIINEKTVLVEFHEEKVQIVEDLKKEASDVQERMKQMKVVLDEEDSKAEILQQRLLIIKNDEQKFLNKEAELKTEKDKAEEKWKEYKSKMEKPKGETDPPCLTGFPVIKKAGEILLEMENTLKEISVGCDTSVTLASYEAFKETTKKNKKHYILAIKGNMVGNLIFDHESKMLIIDVKSCKERDLDFNKMDDDNTDEELETSDRDGHGRSLQKRAKLQGKKNKISDLKSLSGGERSYVTAALVMSLWEVMDQPFRMMDEFDVFMDALNRKIVMDLLVEMATELFPQNQFIFFTPQGIKELKKVDGLQIFEMEKVHD >CRE00930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4350859:4351259:-1 gene:WBGene00052073 transcript:CRE00930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00930 MRTESLIFKEANILPDLTFSKLADLENRMVIELDALSMEEYDKIKNKSIKSESVQEYGLIQIIVFISCSISGIILVLCIARRFYIQNDDEFDAERVLSTSVISSQEKLFRSYQTNQN >CRE00934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4373063:4377643:-1 gene:WBGene00052074 transcript:CRE00934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00934 description:Solute carrier organic anion transporter family member [Source:UniProtKB/TrEMBL;Acc:E3LCY7] MGDRSVDEATFGCSDDAETRSCPGDLRSDHSSKPTRSKWSHMRQASQGVPPRHMEKALRRKEFQLPPQQHEIIEREMTVLTEESQCGIGKYRPRWLQWLGKQFPMIVLLCVYCSIQYILFQGLIVNGLVPSAISSIERRFKFSTSHMGRIVQFYDFGYVLFCIPVSYFGGRHSKPAVLAAGLACMALGSLIFSLPHVMSDSYSASQSNMSYGTCSIESFLQNDMTSPKALAQTACQSEREIQHAATNFYFYLFCFAHFLHGIGATPLFTIGVSYIDENVGTAKSSLFVGIFYSFAVFGPAIGFLGASVSLQYHTDFWHLPPEQILKVSSGETDPTWVGAWWLSFIVASFVGFVAVVPLASLPKVLPASLKFHRTRLHDAAVANRRRTPECCGMPGSNKTAAINSDAPLPEQASLLYSSIPARGSGPLWYKIWLDVRHIPIAIYRILTNWLFMIITLAMAIDSLVVTGASSFMSKYLERQFSVRPSKANLLIGCVMVPMAGLGCMVTGGIVNHFRLNSSKMLKFAIGLIFLSLLFSPMYLIYCPHAPLVGVDSEYPEFDGSIPDYHNETYSKDPELVNTCNKQCTCDPSEYRPVCAELDDGRQFTYYSPCYAGCTESYSASLKEYTQCTCVPNNTKNHPRIVKKGVCEPQCTELLWFLLLFAPLSFCTFAVAVPIISVILRTVDYNERSFALGIQWIMIRVIGTIPAPVVFGWMFDVSCIKYQSDSCGSSSGGCLMYSNQYLANLFLTFTISGQIITLAILIVVLMIFGATLNDDAHLGAPPLEHQLEEASLVERKELEPEEKTNLTQ >CRE00461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4357709:4358511:1 gene:WBGene00052078 transcript:CRE00461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00461 MEFVQLLALMLVLAWNSDVVSTRNAVHPVRHDLAFVHTFDTKEMCMSRCRIGCTQQHSEDLMMPRWHCPLQEEDENDVEEEEKTSLGGKMLIIVPCVIFGSLLFLFFCVSAMQRFCRNDY >CRE00462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4360418:4362519:1 gene:WBGene00052079 transcript:CRE00462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00462 MLDAKPLSADPSDWRCEDVAVWLRKIGMAKYADLIAIKHKVDGKCLLALTDTDLKDPPVSINCLGDIKKILFGIEKLSQKSVEISSSPVSRPHHRPSPSNGHTQALKGSKDGLLVEYNEQNHLSISGEDVVTTTRRAEIVQDEETLLDTLAKSSDGTSTVQLISREEIIRQVERPDTYFKSVAKLLIAFAYSSLSFLATSFVMVLVHDRVPGRKNITLTNSNVWFTDTKTYPPLPDIVLDNVPHIPWAFDMCETIGLVLAVVWFTVLFFHKERVIIARRMFSLLGTVFLLRCFTMLITSLSVPGIHLQCEARPNTTMQEKLHKAFHIWSNLGMSLHGVRSCGDYMFSGHTTVITIISHFITEYTPADWTGLHTFTWVLNCFAIFLILAAHEHYSIDVFIAFYISSRMFLYYHAYAYNHAGITATDYRMRTWFPLGWFFEYGSQGKVENEFSLPINIRIPRRIFFAKTEEPKLSPKSEPSRKKSVVSVKQNGNGNCKHHSKKHN >CRE00935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4388457:4389414:-1 gene:WBGene00052080 transcript:CRE00935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-3 description:CRE-DPY-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LCY8] MEQKCAPRRSLRLLAIASATLAIVSMLATVIIVPLVYNHVQHLQSVMNSEVDFCKTRSRDMWREMVTVQSVSGGIPARTARRTRRDNYGAQPIAANPPSSAAGSCCTCQVGPPGPPGPPGRDGRPGAPGRPGNPGPPGRDGALLPGPPPKPPCQKCPPGPPGPAGPPGPKGLPGPQGDAGTPGQDGVPGLPGPPGPSGPQGAPGVPGEKGPTGEPGKVINGAPPGPPGPPGPPGPQGPPGPPGKDGQPGKAGPPGLPGDPGEKGSDGLPGPHGGTGPRGPPGQPGSCDHCPPPRTGPGYARR >CRE00936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4391691:4393366:-1 gene:WBGene00052082 transcript:CRE00936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npax-2 description:CRE-NPAX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LCY9] MDEPVIDTSTPETLERDFMAWLNSDPTNTPIRKAVFHGLDSIAPALINQFTDAFEVGMYNPYYYPDTSDSGSPSSLSCSSLDYDVPPPPQYEKDVARSSGRNQLGRTYSPGLPLSMCEREEIVKLFQGGWKICDISKRLCVTHSCVSKILNRYRQTGSVKPKDAKEGRTESPLVLAVRDYRSRLGMCRQSEIREQLIRDGICTRDNAPSRSSINHILRTKLDIKRRKKSLADD >CRE00463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4405540:4405914:1 gene:WBGene00052083 transcript:CRE00463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-37 description:CRE-NLP-37 protein [Source:UniProtKB/TrEMBL;Acc:E3LCZ0] MNSRISVTLLLLVAVATMFIASNVVEASPRPQGNMLRYGNSLPAYAPHVLYRFYNSRQFAPLNKRNNAEVVNHILKNFGALDRLGDVGK >CRE00464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4406560:4408336:1 gene:WBGene00052084 transcript:CRE00464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00464 MNPPIGGLFLVTIVFFVSFSESFSNYKPTVGKLTIAENEERMDVCGVHNLRDLENGETVVKEKVNYPSSKYLTALSTRHIILSPLSNLLGFIYNKDQCKNNIQVFKINSTPNVPGKLNEELKAHYIGSCEHDVPRGFVILEQSLPNLRNLVFNCLPGTKIKAGNKVEVITKPNPTVPVEQYITTNVNFCKNMSEEMCTEQLFDGSGGPVFDITYQRRIYVGHTTFNDNESTRVEQIYPYLQEICDVAGICDKATSKFSHGSTSFLSSFDESKLSFVDPDEPVIHVNFPPHAESSEDTIVTSLNTALNSVIDVEDVDVGDMKVEIEEIESSGTTSEETKDTNIEQRKKFQRPLKPGSDIHETELQSNFSSNREKVLILFDSDGNESEPVNYEPLVMSVVPEQKIDEPAPQDSTIVRAEPRSAVIIPLSKTDSKVSITSVTPSKRAFLIVALIAISLIFLIQCCLYGFFGYKGAVYYWRPLRRNASA >CRE00937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4408533:4409885:-1 gene:WBGene00052085 transcript:CRE00937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00937 MNTDATRFRRNRARFIEEYGLTTIETDYGSQQNFADAHRMYLEKVRRRDAARAQPQEHSGVIQWVEVVRTSIVSEGGPPEIIPYPRPQQQLPPELDTLQFELVFFVILMSLKPPVFYRAASFMRRFLAEVLDFLFVMMFKLFVLAMLVEVDLVDLSSWGGTLDDEQDVMQVINLAQELLPVEIACKFICCILEAVFMSYRIGVIGIGQTPGKFMLGIRVISCHDVLAADIPGHIIVQGPNLISLQQSLKRSFMKNVVVNSLFPLSTAAFQVHNGRVFYDVVVRTVVVMEL >CRE00465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4413451:4417353:1 gene:WBGene00052086 transcript:CRE00465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00465 MSRSNENNEKTDEVEKAIEELKNLETFDDEKSREGTSGAVPQPVIEVNEIAPDEKQPNEPTPDVGLSASPGGSEKSKEEVNCLSVTQPTTSERKPSRNLEDIDRTLDELQHLADDAYMTAQLAQGNQAKLFDKLFETSSVYLKKCETVPEDLTQQQFSDEDGILEEIEEAVRDAEEKQYEPNHVSVVLDTECSSDDDVVNERYLGLGKVGQLRLDEGGESSRSTGGHRVRQRVSSNATLHDRRSIISGHERARSNDSRSTSLFSSSIASFRRPISHFSIDNSCFDITDPPTQRSLMCTPVEKMFVKNSRSAPEMRVHETKIHPFLPSPSPSNDATTTTSDVPSVSSELSMEQPPQPAVANLKLRLSEGLAETASPVASPIVKPKSRKEKKTNQQMLKGSASSPNMNSQNLVVNIMKPVDVEIEGDKPKLNTNRTFEVVTVNIANVEFVRKPKKSLYVMAKFDGKDVHRSSKLRNENLAHDFSVETTDSFSNLHLVFLEGSKAKVARPVGKVSIARKDLEVGAPLEQTLKLCAVSKYPDFCGQICIDIRRRTGSFSLR >CRE00466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4421709:4424581:1 gene:WBGene00052087 transcript:CRE00466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gap-1 description:CRE-GAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCZ4] MSQVVDYGGLKLKENQSLLLLVSIINNSSEVGKLSIDADEKRSKEWLEMPCAEGMLSLKLTLWQDLLKGINSVFHGQVRVDVDENWKSGPAKWFYLRAKTNEEGDSGEEGGDIGEVTLRTTYQIDHILRMQVYKPLLDLLFSAGDVQPLTASLVAVIEALPKVELGPVSRSLVELMAQTDRIRPVLSSLYVNSILKCQDENTLFRGQSLSGKMLFEILTTFGKMYLITTLKPVVDKVYKERKNCEVDPARVVVGASLEKNRNNLLVYFQMLFERVTTSSSDCPHLIKQLLYDLRNVVGTHSVRPGVQRLAVSSFVIMRFFAAAILNPKAFEIRKDQPDLRVSRTLLLLSKLLQRLSNCSVSEGPLSSKEIWLNGVFETVTSEQHKLLMATFLDNISLVGDRTEPPKCTVFKFGNLQQVDRSRLAWKKVLHYKKRYVQLTDSNLIWQKDVQCAPKGTILLSDIKSVTVDNKNIITIACETSQVQFEAPGGVEANDWLNAIERQRNRATHETAEEPGEHFFVDAERHVDKIHNLLYKYRDTMIEWRDQLQSNAELDEKTAPELLKASYVAEGERQMHKESLIATLCSTIDVTDAIQLAHEEYEKENKVSCE >CRE00938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4425285:4427139:-1 gene:WBGene00052088 transcript:CRE00938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00938 MMVLSSYLIEKWRGEEKNRWELCGSFRHCQSGGQLSDALIFVQSIKVASCHTYEKSHQPKNVEDGASYNGQVVQRMGGVARNHADALGRLGCDSIFISAIGDDQNGQFFRQHSDRFDTNRVKVVPHKPTCTYLAVNVRGNVKYGIVTCEPLLSCLTPSLIESNEDALESADFILLDANLPVPVMTRTLEIAKKHEKQGIYCLIGRSDKINFTVWFEPTDIEKTKKVFDTGMVGAVTAASPNANEFLKWAKLCHVSVDPSVIDSADSVLELIEKEKTKLLLNTSVFVVTLSNKGSAVAYRNKLGQLEYQSLPPPLHMDKIISVSGAGDSFNSGVIAGLVHNKTVVESLQIGQECARLTLQSTLAASEAISSHLLA >CRE00467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4427305:4428502:1 gene:WBGene00052089 transcript:CRE00467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00467 MRCGKAAWLYGCNLVLLISVILFLVATTFMLTNPLFVLIPIHKYDPYVIGYCLINVCLVRVNFLMFNSFEFLQAFLCVSSIVAIRQRQIAIVVFCHWLTCCTLVIDAVMVFVFANLMSTAHTSLHSHIQVLHQSSKTLCPVWDNAYQALTCCPPTEVLKTCSDFLNITKLFCPIDNSNDCHVHIKKWLHSNTEIVGCLAFCIMAPVKLFMTAALRKDIEKVETEMAELEYYSQMINDYDRHGDGYIESFKSNANLAESTSTQSSRVSRVRSFPGYSPFLKHSVIEEVENEFTVRGDEIREELQQAIAAHNARQLEAATLQD >CRE00939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4428651:4430409:-1 gene:WBGene00052090 transcript:CRE00939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00939 MCTRFFGQKRTFSKFLQISSQVKEALANGEGVVALESTVITHGLPYPHNLSTAKSLEQKVRSSGSHPATIALFDGKIHVGLDDEKLEKLASSKTAVKVSTRDIAKTLIRKEVGGTTVASTMKIAHAAGISVFATGGIGGVHRGADQTFDISADLQELSKTPVCVVCSGVKSILDIPKTVEYLETHSVNCVVYGIQNDFPSFFTQKSGSRAQFSTEKLEEVVQLLKTSKSLGLPYGTILACPIPEKYAADGDVIQKAIDQAVREAIEQKIASQSVTPFILSRVNELTQGASMKTNIALLENNASIAGRLATELVHRRPITVTHSQPSTSSPRKPKVVSIGAAIVDFEAITTEYLK >CRE00940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4430836:4431667:-1 gene:WBGene00052091 transcript:CRE00940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00940 MREAQNRNDWHCIIKVRAMFRRLGTFSITHQLSIMWKCVIILSAVFVIQNVDAGFQISKLKPMYKEDNITISELSSALGAAFPPCCSDIISSIACRRLQQHNPSKFLDRCATDADFSLIQCCNTCGLESAADRYELIFQAGHKSNQCFDRHGPEFCKRFLKKEDVWGKSQWSCDGSTAHLAFRICRKTCNFCRRDIYRTPLGRFEPVTCGKPPVLIPI >CRE00468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4441156:4443567:1 gene:WBGene00052092 transcript:CRE00468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-peb-1 description:CRE-PEB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LCZ9] MPLSPDKEKKKITFVRYNPDIPQIVTSFKGYQKLMFQGYRYNIYQVVPERNFKSWRCVCAKKMPDDGQWCKCRAETTMDNGKACTKGTHNHPPKHRVAEIEFIKSQLINAAFENPDHDAGDLVNQACMYLSEGVVFDNKESLKKSLVSARSKEGKPRKQKSTKGSLNPLKRMKIEPDEEDENVFKMQRMENDMSSFFPLFNNGLSMVKVENNFNQSPTIQIPQSNPPPAPQPQEQSNLLQPAALNSFNNSWVGFEDPVAMFWANAMLNPGIGGLDVLSTFAALSKHQQHSQGPTPAATAPTTAPLSSNLSVSSLVTPQMPKEASTAIQTPTFLNLKDLKPLPPLTAIQTSPVIQAASLLRPIPLMKDSSTQTTEVIQEIKVSKCLTSGCGCRVIRICCCDEGICRRTATC >CRE00469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4446592:4448825:1 gene:WBGene00052093 transcript:CRE00469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hex-1 description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:E3LD00] MRLLILSLLFVSTFAWFYGRDEPDRWSVGGVWPLPQKIIYGSKNRTLTYDKIGIDLGDRKDCDVLLSMADNYMNKWLFPYPVEMKTGGTEDFIITVTVKEECPSGPPVHGASEEYLLRVSLSEAVINAQTVWGALRAMESLSHLVFYDQKSQEYKIRTVEIFDKPRFPVRGIMIDTSRHFLSLNVIKRQLEIMSMNKMNVLHWHLVDSESFPYTSEKFPELHGVGAYSPRHVYSREDIAEVIAFARLRGIRVIPEFDLPGHTSSWKGRKGFLTECFDEKGEETFLPNLVDPMNEANFDFISVSENVNRKTFNLLVQEFLEEVTETFPDQFLHLGGDEVNDFIVECWVRNKKIRKFMEEKGFGNDTILLENYFFEKLFAIVEKLKLKRKPIFWQEVFDNNIPDPNSIIHIWKGNTHEEIYEQVKNITSKNFPVIISACWYLNYIKYGADWRDEISGTAPSNSRYYYCDPTNFNGTDAQKNLVLGGIAAIWGELVDNTNIEARLWPRASAAAERLWSPAEKTQRAEDAWPRMHELRCRLVSRGYRIQPNNNPDFCPFEFDEPPATKSEL >CRE00941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4450865:4451748:-1 gene:WBGene00052094 transcript:CRE00941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-32 description:CRE-LIN-32 protein [Source:UniProtKB/TrEMBL;Acc:E3LD01] MSWEQYQMYVPQCHPALMYQSVGSIQSTMTTPLQSPNFSLDSPHYPESLSYYSNGGGKDDKKKCRRYKTPSPQLLRMRRSAANERERRRMNTLNVAYDELREVLPEIDSGKKLSKFETLQMAQKYIECLAQILKKDAKHENNKNKSG >CRE00942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4454063:4455408:-1 gene:WBGene00052095 transcript:CRE00942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00942 MSYQLTFEPDYQKTVLYHRQLKAEHPEAASELVTSCDRQFILTGDKVTLPPASHPNSNKALVNFFNTVLMQKFVKEPVFVYAAELFDELYENKFAMETVTKNDYTKLFYKLFKVNDLVLLQKKIILFPINYGYTWHLVAINQPLKSTVEGENCDVYLVSAHRVVPQFSLAKVITKYLEMAFSVMNETVIKENFKFHEMVLEDCANQPQVLCCVYTELAFKIYECKKEMSLYFSGIKPDVQQMKFNHYIFARYMQTMLNCFAVEHNEFGQIPHFNVITLEDEDLVWVEKTDLDRILNPNRQLRTVRPLRQFAPKREPISEWVANREAARKAEEPTSTSDL >CRE00470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4457259:4458966:1 gene:WBGene00052096 transcript:CRE00470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-15 description:CRE-VHA-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LD03] MAEVAHHNIPAVDMINATSRLQLEASEVRSNKPNWGSYYRSKMIQEDDYTLVTNYENAKSKEERDTVIAANDANGQLAKTMANLITQVAKDQNVRYVLTLFDDMLQEDKARVEIFHRAAARQKRTAFSQYLGILQRQDNFIVNQMSSIIAKLACFGVSRMEGQDLQYYFSFLKEQLKNSTTNDYMNTTARCLQMMLRHDEYRHEFVDSDGVQTLVTALNGKTNFQLQYQLIFSVWCLTFNAEIAKKAPSLGIITALGDILSESTKEKVIRIILASFVNILNKVEEREIKREAALQMVQCKTLKTLELMDAKKYDDPDLEDDVKFLTEELTVSVHDLSSYDEYYSEVRSGRLQWSPVHKSEKFWRENASKFNDKQFEVVKILIKLLESSHDPLILCVAAHDIGEYVRHYPRGKTVVEQYQGKSAVMRLLTAEDPNVRYHALLAVQKLMVHNWEYLGKQLDSDAQKDSVTSK >CRE00943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4463175:4463921:-1 gene:WBGene00052097 transcript:CRE00943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-11.2 description:CRE-RPL-11.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LD05] MGDIEKQTEIREKKARNVMRELKIQKLCLNICVGESGDRLTRAAKVLEQLTGQTPVFSKARYTVRTFGIRRNEKIAVHCTVRGPKAEEILEKGLKVKEYELYKENFSDTGNFGFGVQEHIDLGIKYDPSIGIYGMDFYVVLDRAGRRIAKRRRAPRTCRTISQSRYDGIILPPKPKVKRTFNRRR >CRE00944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4465077:4467134:-1 gene:WBGene00052099 transcript:CRE00944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00944 MFLILLRKIINCKHKYKYIIKAFVLFLTIVYNVGLVHFLFRTTSLDDSPEMNHVDYVAHVIVMPIVLSIGMINQCLNVCTLLHIKTSIFLYLKASAIADILSIIAFIPFLLRHAKLIDPSWQLGMFYHAHLELPLINGLISASALNIVAMTVDRYVSVCHPIKFFQNNETKPSRRRTMLIIIMIYFIALLIYFPSVFQKKLGVVSDALTNITTYTIVRNEEVEALRVFRCYIIFRETVCRWGPVLLLVILNMCVVRGLRKIDKRNWFWRQPSQNSRNESSAQRQLRSPRDDRSRISVLLFVTSATFIFCNIPASVISFFVGRVNGSLFWQIFRAIANLLQVTSYLYNFYLYALCSSEYRHAFLRLFGCRSSLSPTSTGDSPTVRVSVHGKRCQAVVLLDKEPNGYPVDEV >CRE00472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4474145:4476127:1 gene:WBGene00052100 transcript:CRE00472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00472 MDEARFCRCSRADYEAVGKTPIEVYSVEIIDNEKSHYSLSEEPFVSIPSTLLDKKYLSTFQDNVVVRVQFHHDYNSGKRFELIFSQLGDDTEEIMKYLNIEMTNYSFEISQIKFLPRCKSIYIAANGVEMIRWWFQRIPDQHENLHLNTNFDKKRETWTIPTEFLNAPQIMLTPNLYFFSRAAFTDEQFLNLKANDISFDCVNITEDGINQYIKKWVSGKGVENFKRALLWSNGNYDESAITHGLELRPCDSDFEKEAAGFCWGFNTVCRGTCYQIYSKIDPYESLTLCRSDDCVSIYKTGRRAERNGKTYSYYSMP >CRE00945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4476814:4477979:-1 gene:WBGene00052101 transcript:CRE00945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crn-4 description:CRE-CRN-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LD08] MSSRQCPYDNLLMLDFETTSDGVYHDYPFEVIQFSVAVLDVKSNTISDDVSFNEYVRPVINPKLSSYCADLTGIKQETLDKADTFLNVYKKFLSWLDQNNFEEKKFALVSDSRQDMWRIAQYQFRLCREPLPSMFRQYINLWRTFGENMTMEERDKLEGNTYMEKMAIFHGVKSPGGAHNAMIDCLTLARITQKILESGASVYINEALVCCAPWRKKPLELEKGKDWRTDFHSATKVFERVMPLVVKVCRRGEYNLSMYNFCWYCKAEHKKCESKPKQKPFAFYSEQEKPIAYALAAGYC >CRE00946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4479204:4480532:-1 gene:WBGene00052102 transcript:CRE00946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00946 MEYFPDEPESYEDMSYTLLKRKSGFSQTRFGFFLWSGEEKRKDLDTFSEGEHKFLKTEQILCEALLADMSAQEQKLLEMLENKNDDDSTHLFDIKRGIRKLNELFADFADDGEPEIDHTKIWVECIDVIKRLESFPLRRFQVVVVQNVIGLIKNKKFQFKPIVLPEDRPLLPGFKNAESKPLSEDSLRDIGNSEEVQISTNSTEMAPIPSLVVMPQPQMLPTLVNTVPMNMIPHVIFPYQNLNVQHILLHPPILNYQPIHFFYPVFPGQQPGPHHSSQDTDYGPKQ >CRE00947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4481279:4482425:-1 gene:WBGene00052103 transcript:CRE00947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00947 MTNGGRPDYSSMSYNQLRHFLDFSKKEIDNFCLETINYLDNFDEDGQHGEDLNDRIKLENQLTDMTAKNARIRILLLEYYQRDKEENLEEAFKAAILGLKTIYDANVAPEIKKFCFVKTELFQNYIKIFRKEMAAKKKEIYKIRKMSYKSKRVYLENLLKRNGNCANLESYNENQLRIIQQQIKYAEIKTKIYEEWISIDACKEKMDGLKRTKSATLAVLWKQVFSGPVETLRQTASLTNLEIMKICEYCELTAPGYRFIHMYKPAVSQVFYDCVINKNINFHENPFAVESGLNNGCGISLSAAHSLTYNSPLEPNIVEPGPSISTAIDSRR >CRE00948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4484352:4485940:-1 gene:WBGene00052104 transcript:CRE00948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00948 MSSPTPPRDTSLEKPTSEDITPKTDCPEDLTKASTNESEETPTQQSEQITENVVAGQNDNEEALDMSIGKKDQVEPMEISEEGNDNQKEESKMNEPTKDVDTKEIKSDEKTSGEEETTTEKDIQKADGEISENIQNAGRNTEPEKTGEVENKVETEKRTEGEAVSEKSKEEVVKTAEETEKEENQEKDTVSAEVAEETKCEVDMSSDKAKTEEQTEDEDEKISKELKEDKTEEQSKTEKATKTKDEKTSDETKADKNSNKEKSSEEQKSTEKDNAEGDKTAEDTKMEVGTATEDKADKSDNTKTETGESAEEPMEVDGEEPAAKKTKVEGEDVKTEEPLALDHAATLVKKEVSAAIKVYQKYFDDMKLDQKVTQPNISNYIRGVILKRLNSNTVHIDGYLARIEKARTREEFQTLYDEITLLIKKARQSQEINDLLGPLY >CRE00949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4486676:4488721:-1 gene:WBGene00052105 transcript:CRE00949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00949 MHRTVLTRFTPLSLTCASDAVTLNMLMGAETEEEFAVLYLGWNSEHMYDVDVEWFRKHYKRVYDIAEAKNKFVAVIKEKVSDKEKKALIELEQCMTLDLQYDCSNRYWFLQDLTYFHSKIQRDSGLGNVHYMCITGPTTPLPIYTFVSQHVMQERVLSKCIEETRRLDKTTQKKALRNGPSDKPSPQLRTTSCKNKEWCKCNLNYASMVRRNSFGKRTKLCIPDDMGRLQNLDEHRFGDEYVSVECSSACKCSKNCPRRQLQKGGKKMLVVLCEDERNEYELVAGEPIVAGELIGELVGELFLAPQQEEDSNGSPVAKRSKPDASSPMNRTTQLKLKDGPFYKTFSIFNAEMAIISRHIGNAIRFIRHSESPNSLFIETLSRVNHKDPIIPRMGVFASKDIAIGDKITAFFY >CRE00474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4489906:4491064:1 gene:WBGene00052106 transcript:CRE00474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00474 MLTAPIRLIQVKFSSHTPKHGNNPGSFGSHPVDTPASQPLPSTMFSSHSSSKPAEVVAPDFYVEVQKAVEETARRSEAMRQHLLDEQRAGQRRMEKRLEELRSQLERAPQPKISQEALKQLEGEARKLVEETEEEAKRKLNCLAILSEELRKRREKTQQKKEKLRVQMRGAEARSEKIGTSKEAIKKAVSKQLEKNKDSIEARCPYPHQATGDVSNTVNSLQVTEEQQQPALHGEDCRRTDKEEPLRTDEEKTENTITRRHQDSNCESTVTEEREAKLDTNARRHRVSP >CRE00395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3831318:3836338:1 gene:WBGene00052107 transcript:CRE00395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00395 MKSIIIASLVALAIATSPAFDRTFSPKSEHVYKFDGLILSGLPTTSSDASQTRISCRTRIQAIDDRYIHLQLTDVTYSASDIPQTEQWPKMESLEQRELSDELKELLELPFRVQMRNGIISEIQFSSEDAEWSKNSKRSIVNLLSLHRSAPVDELNQEEKYMETEKDSRFFNVHEKTMEGDCEVAYTIVQEGEKTIYTKSVNFDKCITRPETSYGFRFGSECKECEIEGQFVRPQTVYTYTFKNEQLEQSEVHSLYALNIESQQLIKSETRAKMVFVEENKITKEIEKPTGAKEDLVYSTGNEMLIDQFCQQGDQVEVNPFKLPTEQKIEQLQEIFRQIQEHEQNTPETIHLISRAVGLLRMCTINELKKVHTTIYTKSEIKAQSVMETCLAIAGTKNTIQHLIHHIQKKTISPLRAAELLKSIQETLFSSEHIADLLIELAQSPLAEGYEPLRQSSWLAAGSVVRGFASKTQDLPLARPATRQLKEKYVRVFMQHFRSAESTYEKVLALKTLGNAGIDMSVNELVQLIQDPRQSLAIRTEAVDALRLLKDVMPRKIQKVLLPIYKNRQNNPQLRIGALWRMMETLPEEPVLAHIVSQMEKESNQHVAAFTYNVIRQFARSTNPCTQPLAIRCSKILLFSRYQPQEQILSTYAQLPIFRSDMLSGVQFDFGTIFEKNSFLPKEIYASFESLFGDNWHRNFAQVGFSQQNFEQIILKALERLSLYGKQSDELRSRRVQSGIQMLQDIVKKMNIRPRVQRTDEQSAHAVFYLRYKDIDFVVLPLDMETIDNLLEKYVRNGEFDIKSVLALLNNDSTFELHRTLFIYESERIIPTTIGVPLSISGKKPTIISLTGKVSVEMQKLGARISLDAVPSIASTHVTDVRFWSPMFEQGVKSLQSTRLHAPLRLESIVEFKKNTLEITHKFVVPEHSKTTVSYNTRPVAFIHVPKDQEITEIEEKTISHSEYQLACEEIDRHHEVLGLKINAQGNVLSKWSLSKAHMTEQDFEYTLENMNRPAEFVARFTIGNLEKTDLSEIKFDKIFEKEFDMKNNESENRRQYFNKVIRDIQSEQGYKNLISMKFEAPQQMYWNHELRTVCDQYVRICKIEMDCRRSPVPEETKEWTLHTELLAVRPQMTSSLRQLREQPHREVQLALNAKWGSYKKSEITVNAQLEQSKEQKKYVRNMEREFNGIPEYELLIKAARLNQINVVSEYKLTQEAEHTFSRLFDLIKVYNFWTVSEKRVENEERRAVLQLTVEPLSRQYINMTIQTPQQQVELKNVRIPRVFLPTIAHRAMYQQVWEKTGASCKVDQSEVSTFDNVIYRAPLTTCFSLVAKDCSEQPTFAVLSKKMNKNSDELIVKVVRREEELVIKKTDEEFVVKVDGKTIQQSEFEQYEMEVLGDNLIVIRLPQGEVRFDGYTIKTNVPSVASKSQLCGLCGNNDGENDNEFMTADNYETEDVEEFHRSYLLKDEECEVENDRLSEKKNYRNKWNREEKRQESQYESNEDEEESENKSVEKTLIKEFSNRVCFSLEPVTECRRGFESEKTTSKKLRFTCMPRHSKNARRFMKEARDQTILDLTDFPVSYVEAVKIPTACVAY >CRE00950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4493974:4498474:-1 gene:WBGene00052108 transcript:CRE00950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00950 MKSIIIAFLVALAISPASDRTFSPKSEYVYKFDGLILSGVPTTSSDTSQTRISCRTRIQVIDDRYIHLQLTDVTYSASHIPQTEQWPKMESLEQRELSDELRKFLELPIRVQMRNGLISEIQSSSEDAEWSKNEKRSIVNLLSLHRSAPVDELNQEEKDMETEKDSRFFNVYEKTMESCKVDQSEVSAFENITYSAPPLTTGFSLVSKDFSEQPTFAVSSKKINKNNDDYWPRCLQCRSSLGDVAAVSSELKLHIHSFYVQILTPTISNVAALWHFGCKLNSINWRLCTTTADIVAKTINQIASKLFPKFSDKTASRHVGCSQILKICPDKVGIDREIGDSQRVGSRTLDLNLDIECTQGSRRCLDATCV >CRE31105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1484252:1495706:-1 gene:WBGene00052111 transcript:CRE31105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31105 MEGNEKKGLPPTQQQRHLNIDTTVGGSISQPVSPSMSYSTDRETVMRSASGHATVAETHLIRSIGSQSQSYTEEHWSSEITSFVALAPPKFIQVIKAYRVHSTDTISLVVEVASDPPAIFEWFCNEKSVLQDRNRFQVGHGINISRLKVSQPEQGVYKCVTRNPAGVSTSYGYITVNADREHLSSSKEDMRLQRQHSVTYHQAPRFLTQVPNLFVTAGSNVIIDVEVDANPPARFSWFVNGKEYRDTTHGVEMFSPDVNRSVVRFSIPVAGEYKVVASNVHGSAMSCGHVDIQKGECILFFKDRPVKSEQVNSFFVPVIELEESSVTTSTTVFDPMTTSMRALGNAGRNSRQAVNMFELNYTQRSSSVPRGVRHIESHIEVSNMATEEKRLLQQQSRSDAASIVESRFQQKPQPPKPPRAGTSRRFLPEPPKFVTTLPAVITVNAEDKLVLSVDVQAIPAAEFAWHVNGFEVKKSQNIVLLNEHNKSTLVLHPPVKQGKYKVTARNDVGSESVTTQVQRIGELEEGARGSEPPDIVESAVTVTCSHDDDVGSHSSLQTVRRIQEMQDEDETDPIKPFIEATSPKVKESVEHPFANVLNPKKREERLLSPSGKGKHLPFAPRITAHPSESVFKILDGSPLKLRVMASSLPPATFLWMLNNFELRANQNITIRSEEENVSEIEFQKAPSGNITVTAKNHLGEDRWTGKVIVQYESPPPGQKITTIEKLTESWTLEEAVITQVVPTAADPGDRIVIIVRFDENKTSNCEFVWTINGVNVEKLEENLVAVESTEYESSLIVEKLEERLCGEVVCLVKNQHGEVFSSSAHLRIRDDDSSFEIVPPNLPEECAPKIVEPLHSASFLDGQPMSLRCKITANPSAAIVWSKDDVNVEEWVINKDVTTAVLDGGVCELLNPECFAEDAGLYKCTATNPHGTAETAAFINVEGAEYIKDHEEAEISESVLTEDVHIILPPKFIELLTAETDNFQQLGYVRLVATVQSVAPITVSWQKDGVDLYENEKYEVMQFPDGAQILTIREPTNLDSGVYTCTAESEHGVSNSSCQVELDFSTTKEESSPESFEKIEASPVEEVKETGIDDDIEVILKEEVSGTAQIEKREEEFKLLVKVADQVASTLVAKVFLEAVHEAVKKIVESEDEEEDNQFGSTQEPRFETSTDEYHVKENGTIKMGATISGHPTPFLEWFFGDEKLQVSQNVAMSYEAGTSAIILKNVHKRQAGTYFLHAKNCHGQAILPMKLTVDPVEAVTHVLETSVPRVIIEQDIQSAKQDEELRRAAEKVSEQFAQLWVQDAQTEAVSQTKQDAPIVTEEVTQQEVTATETVTVDQEKPSYEEPTTEVSKEVAPVQEETPNQETQIQDEVKTAVQEEIQKMVPVEEKKTTPPRTSSPMNYEEKVKAIESNLFRVSSQETLEPIEATNMLLNTAMQLKNEHVCDETTTVIVTQQPQKFDQLVTVVESNIEHHALRLSTTSSSPLKFIDLETIIQKPSTSCESIDRMFVEKSRRTANAQHRIVVLQGMSNTFHNAITWSLKKVKKLVGDAEAKAYADVEVVKQDETNEQVMTIIDNDTIVPQLLQVAAAANKLKLENVSVALVRDGDRAHQELVIEYESSIDEPMNQEPLHNTSHLTFHQQQPTGPDQHVWSRRSKYDEDEAHVVAVFVEVDANCPDQSVEIVATVNAAYEGDSRQGNAEDEPFTEVSQSLATESSAAPQAPKFLRKLVNCFGRIGEPVQLKCLIAGMPQPEIEWSVDGDPIIPNDEYSIVYEDGVCILRIESTLIEDEGEYCCTASNAAGTAFSKCYLKLSEADDDAVDLLRQLSEIRIADPTASTGYPLSLISDEENTSHQLLSNLLLTDKEVPVTATYNDDLSRAESFRRFYESAETTVKVTELFQGETVEAMFQQPEQPKPEQVSTSNTDLMFVNQKVDVMESTVSTVGNAIRQSVSSSTNSWDYMFNDPFPESQDVNINVNELYEPRVPLLPQKLSYKGQEIFANTNTVERNRNGSNGRNGKNEGEVENLKKCVETLLLFDAEMDMNDIKEKSPKKEKDIPSSKRDKLLEEQISATEKILKEVERDLDEMEVMEKSSLSPNKSLSPSKRTYESKDVEDIEAAIFSISDQLAEKQSSEEALREALQEMILSKSSPSKEIISKPAPEPEKETLEVEKMPKTEIAEMHPIVKLKQAISAIENSLLEDTEVTEIMKRKGNHKDKRKATRIKRVPSAHSARITPITTNLRDRLNQLHQLTVSEDSGSSKQNEEAKEIQELFVKIEKEINTIAELCKEKMTKQGAETVTHVLNSVLQHVASIINVILVAQDLQPIEAHTQSNNTEEVTVWYSFDVHPESEDIIGIVDEEPTNRRPSSTPRGSTRSSKLTASQDSQETTKMTISSEDTIEAPVPVAPPRKGRSLSRDRILEEQEPPQAPPRKSRKSEDNLSPEPPARPPRSRSRASGEEISPEPAPVRPPRSRSRQSGDELKETVPESPPVRPPRSKSKTSEIPRLQVDSMDESQSSTSCIHIQKTNFAFTNSTHETFNASVVVDLRNMAQLDCNVQTLDDLASIQMLCEESDYPSDTDRSLLLAGTVRYFNRASPSLHEVSPFPNLESVSMDLKNENEEENIQDVYVNVELHSVPSLTSLVEVNPNTLLQTVTSEKLDKSSLNAAEEDEEVTMELSFIGRSVMSTETLDVVLEEKDMSQMNSTLPLDYERAFSSNTIRETDILSDESITVDSSYHKSPEPPIRDIPKIEILPEEVTENFSLTHKPARRRVTGIVVNSLIYTISASIAEDNTFDVDVVQEPQRYNISIKVIEDIVDFTSLTIMSDCEDDPPAEVLMIPQDSSKLQALSYDDISIATTRTGITVSIVARSLNDGIYASLEEIAWGEVEMTVPDIMQMSAEEKSSVQFNVTVSESNLEEAKSLKSQMSFRSSQNSVSEIENTLSSTGTISIPSYVVKLESTATITCELNNYLPKNCTIDWFCGKTKVIIDNEQFDRISHDLLEVLIIRSVEPINGNLYSLKINEDLFPVAYLIVENTNLTTSANILTRPETQFVMEGQPTIITVEVDEPSVVVNWLKDRRPLHENERIKIETDGQGNHRVVIPVTSVGDQGTYLALTSSQSVAITLVVEERIDEKEVTVIASGTESEEDDVQEYLVPPGSTATIACELEECELKRSIRWLRDGKDIRFEPGKVEHVQNGLKHYLVVHDATSVDSGLYSVCISNVEFRVAHLCVNSLTSTLHALKRKRISNNSLHN >CRE31106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1499290:1500550:-1 gene:WBGene00052112 transcript:CRE31106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31106 MNIDASERSYSPGSMWLFYIFFIILIAITISTETKAPPKLTKTPQIPNKTQVSSTITTPKTSKKPVTPTELEFTNKTKFDVKVCPFGYSSSNQKTCEQQQDCQTDMKLNSYCSETGICCQENEDEDDSLCYDKRLPLFGEPKCTIDSECRDYGAVCKSGHCCPNFHYTANETFRPPSHFYISNFSCSPGKPIPPGFQFAYCSKASETIAYLGVVDKCGRIQHIVNDSKCVFDFDCPPGNICVRTTADGVCFKDPEDCATSIYYKITVTCCYTSFVSMILYFMTRACYEHPLKISEMNPFVDLQLYSVTEEAEDEAMERYGTENMSEEEITAIRMNAARKAWDEDVKSMNRPALEYVLNWCGYVVEHSEEENES >CRE30855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1500973:1502441:1 gene:WBGene00052113 transcript:CRE30855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30855 MVRRFPTVASESAKAILGETLKFPSGKIAQNRFLKAALTEMLSVFEPTNPKVNGVPTQEIINVYDKWGNGQFGVILTGNVQVSPVHLEAPGNAMIFKEGETPARREAYKQWAKVIKQDGALAVVQLSHGGRQTPITVNSSPFSASDVQLDKEVRFVGFGKPIPLTVEQITTEVIDRFVYAAKYAYETGFDGVQLHGAHGYLLAQFTSPTTNKRTDQYGGSVANRQRIVLEIYEAIRKEIPASTGFLIGLKTNSVEFQSEGTTVEDAVEMCKAYEKIGFDFVELSGGTYEQLAFQHIRDSTRQREAFFLEFAEKVSSWNIQATFSMLYFQIRPVFKNTVVYLTGGFRTVNAMVDAVKSGATQGIGLGRPITAEPDLPKKILQGAVASAVHDALDQNNFAISLLASNTQIEQMGRNSLKEANEDVTFGLSDFSDEDTVKRFGKAIEDFIELTKVQASQGVAIPAFITFEGVEV >CRE30858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1508105:1510926:1 gene:WBGene00052114 transcript:CRE30858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rme-1 description:CRE-RME-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUN5] MFSWLGGDSSKKKNKEVLETVSEGLRKIYKQKLLPLEEYHKYHDFHSPALDDPDFDAKPMILLVGQYSTGKTTFIRYLLESDFPGIRIGPEPTTDRFIAVMHGDEEGSIPGNALVVDAKKQFRALSGFGNAFLNRFQCSTLPNQVLESVTIVDTPGILSGEKQRIDRGYDFTGVLEWFAERVDRIILLFDAHKLDISDEFKRCIEALAGNEDKIRIVLNKSDMVDHQQLMRVYGALMWSLGKVFKTPEVSRVYLGSFWDHPLHYDINRRLFQDEQHDLFQDLQALPRNAALRKLNDLIKRARLAKVHAYIIAELRKQMPSMIGKEKKKKELIQNLDKIYEQLQREHNISPGDFPDVNKMREKLAAQDFSKFNPLKPKLLEVVDGMLATDIARLMAQIPKEEASAPVGANGPVDPTVKGGAFSQTTEAETPFGFGRGEGFDKGADESEWIVNRERTSADSTFESLGPVNGYLSGRAAKEHMVKSKLPNSVLGKVWKLADIDKDGMLDADEFALANYLINLKLEGKDSCTISIFIIYFPGHEIPSDLPKHLIPPSKRGVQDPVYPTLNDNDE >CRE31107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1511620:1513193:-1 gene:WBGene00052115 transcript:CRE31107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31107 MWYKTKSLYETTHHSNHTVVKMIGKSWTAIQHNIQIGFAIISFTLNSTLILLILRHSPKSLGLYKYLMIYISAFEFLYSLMDIIVKPSSLLNFLVLTPYFCKIFLLTHVPQIFHSYGSTFLVMVNVKESPFSLNVALFLAAVYCGFFGASMAIFGLHFIYRYLVASGSKHLEKFNISKTSFFLLAPVVYGILWGWVAYCPVGQNPATGEHIRSNILETFDLQVEDTVYIGPYFYQKQPNGTYEIDMQSIIGMSVVYLIVSSSFFTIFYFGIKCYRCISKLVPSNDSYRTKQLQSHLFWALVTQTMIPVILMHTPVTLVYAFALLDQDIGMLSGFVSMTIAAYPALDPLPSLFIIPCFRRALKNYLTCCPKPNERAQDSTVTAPRTGSIMSIANA >CRE30859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1513442:1514680:1 gene:WBGene00052116 transcript:CRE30859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-206 description:CRE-STR-206 protein [Source:UniProtKB/TrEMBL;Acc:E3LUN7] MSGSEWLEFQYRLITVLVFLSLFCNAVLILLIQLKSPKKLGPYKYLMNFISIFEVFYSIIDYLVIPVMHSHGPSFFIMTPLAHSIFNDSVALKMLAVWCGFFGSSMAIFGIHFIYRYLAVSGSEKISTFKGNKIIFWMLIPVIFGMIWGTVSFFCCGPKEVMTNFIRNNLMEDFNLSVDEVVYIGPYFYNEDGNFLLDIDVVVTLTLATAIMVFFSVYSTYQQLTFQDSSLMTIFYFGWKCYKSLAGVHAGGFSKQFRTLQHQFFIALVLQTVIPITLMHLPLLITYSGALLNISLGNFSNLTSITIALYPVIDPLPTIFIIKNYREGLKKFCLTPIKFFLKIKNKDLTKIGHADDASSTRTSKVPEDYNVSAWGHI >CRE31108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1515427:1516670:-1 gene:WBGene00052117 transcript:CRE31108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-204 description:CRE-STR-204 protein [Source:UniProtKB/TrEMBL;Acc:E3LUN8] MSWEDIQNSAQLYSALLAIPLNLLLIFLIIKYSPKEIGAYKYLMIYISIFEIIYAIIDVIVSPICHSYGSTFLVMVNLKKTKISGWIIRILLSTYAGLYGCSMAIFGIHFVYRYFVAKGSSLLKTFQSWKIVIWFSIPVIIGTTWSLLTYWPLARREATDRYVRDNIKETFNLELDDTEYIAPYFYELGKNDTMNIYWPSFIGIGVNFCIINASIFTIVYFGYKCYKILNSIIPKSSMSEKNRRLQNQLYVALVTQTLIPAILMHIPVSVIYISSFVSLNLGSTSGIAPLTIALYPCLDPLPTMFIIGQYRFVLYNWLMWIPRIFGCKEPLPAAEIQMSERNNTFDDLTTGIITTTLTQT >CRE31109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1520279:1522997:-1 gene:WBGene00052118 transcript:CRE31109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31109 MVLFFTSCPTSSLVSQSPTPSSTISTTTTSDVFLEHQKSQPIPVRNNGQWDNSDHLSCSPLERFPSPLATSAESVNSIKSSCSSRSSAERETERAQRRQLLNTSLSKLREESNMPLRKHLLIFNTVKTIQKDLDQLDDEELYCSLVGMSNETTTAMLMEVDGTGDECCWGNEKDCYNNEMMMMITNANDVVDSAVNCGAPLKQEERGASSDICGMMFGDDLEMEETETKTWSWSTGTSIFDSVQESKSGSMDALFKWSSSCSDAAYSSPISNNSFGGWANSSPVSGESLYGLSDDSTSSWSHGATGFDMWGNSDPLGSARFDMHNLLLLQA >CRE30860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1530137:1531225:1 gene:WBGene00052119 transcript:CRE30860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30860 MNEETTHEFQWEEENVDSYDAPLHNLVIDFFEDVGLPFATVENPKFQELMKYLNPVVTPPTINSIMYTATSSQELEAIPVSDNEVVVVPPLHLAEFPSTVDIVKYLNGITISGGTSYVCIVCLKKNDWSKVRRLDRNENPIFLYVCVAVGLYSLDIAQKIFTMPKPLRCCSDHYSHVVEALMKKLNVTTVDDIVTCNEKQVTDSYLIWKKIKNPDFMENQQSQKEILSGLGSFRYTLRCFSKKHFLPTVDMQGFHMPRESNETVSEFLSDQNSPPKVRRVEQSGIYGNPRPGEETFPDDFLVTD >CRE30861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1531415:1532376:1 gene:WBGene00052120 transcript:CRE30861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30861 MSKISAVLIDLSGTLHIEELAIPGAQAALELLRQHVQVKFVTNTTKESQNLLHQRLKSCGFQIEKHEIFTSLSAARDLILKNQYRPYFILDDKAMEDFEGISTEDPNAVVIGLAPEKFNDGIMTEAFRLIKEKNASLIAIHKGRYYQKKDGLHLGPGAYVAGLEYATGVEAKVVGKPEKLFFESALKTLGENIDFSNAVMIGDDVNDDALGAIKVGMRAILVKTGKFRSGDELKVKNVANSFVDAVDMIIENKVEND >CRE30862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1543277:1545849:1 gene:WBGene00052121 transcript:CRE30862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmd-7 description:CRE-DMD-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LUP2] MSEIVATLPQGTQVVLEAQLLTSDVDHTIEEVRQEVFQRQIGGLTCGGASRSSNRTLFCRKCEGHGQQVVLKGHASRCPFNNCSCKTCTNVMSMRANAIIRRYRTRTLEGGLVLKPVHFKNGNTRLRVFPKNIDGNIHSCFLHFVLIISFSEKDAVTIHFNNKNGSNGQNQGDMQMDVGAYQQNSPPATAIPDSGNSQNPFAVKRSQSDQELDRKNGGTPTIVEQARQVQQALGQPTFGLETSPPPPVSNGAQQPPPLNLLADLSLLTPEAITAIKNLFSGQLQSNETVPTTAPTPTIFDNSSLFSSFTMPNGCTYTSASLNGNSMMMTPEVHTSSSNGMFSFDDIERKVSDTSSIINPAVSQLDNLNIAVTVNSPTFVTETAPNLTPQMFNASCSLNSNGDQSPPEIKLKDMIHESLGQQNLLISSDAPSRNHPNFQMFLDCVCSLEKTMLFAGGAIMSA >CRE31111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1548683:1550498:-1 gene:WBGene00052122 transcript:CRE31111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31111 MRKLSVPYISAFILTPLIIISLLWLLLIHSQQTDGIQVSLKAKKYYQEVMRSRAEEKNVALLSAFDFEDSFITAATSKVLLKGSSVYCHYFDKNRRSIPDSSRQISMFTETIARCPKPENSDSRFISLSYSFRKSPQLVPIPVQKRQFFETKHDLTVCVELELEPNWLEITAFIEYHQNLNIKFFHFTTIDLDAYTRRILDDYIRLGVVKLTSLRSFRNLTTVTDDYKTIQRTNCLLQARSDSKLVTFLRINERVVDIPFFLQNLRGDGVTETRVVTKTLEMDSTLKRYKNETETRDILGSFSYKRHVQFRKVTDPKNIQSMDQISEDFVYKKASIFTPSIPESVTSSVLSRVKHNYDTRPIYCDEFDIEVLSWCPVNVYHCQFRDEKSKQIILEKREEARKVRYKQETIRW >CRE31113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1553179:1558692:-1 gene:WBGene00052123 transcript:CRE31113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrck-1 description:CRE-MRCK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUP7] MAEPPPDDSAPVRLKDLEGIYMDGPSKRPEALSFETLIDSLICLYDECCNSTLRKEKCIAEFVESVKSVIGKAKKLRLNREDFEVLKVIGKGAFGEVAVVRMRGVGEIYAMKILNKWEMVKRAETACFREERDVLVYGDRRWITNLHYAFQDEKNLYFVMDYYIGGDMLTLLSKFVDHIPESMAKFYIAEMVLAIDSLHRLGYVHRDVKPDNVLLDMQGHIRLADFGSCLRILPDGSVASNVAVGTPDYISPEILRAMEDGRGRYGKECDWWSLGICMYEMLYGTTPFYSERLVDTYGKIMSHQDMLDFPDDEIDWIVSEEAKDLIRQLICSSDVRFGRNGLSDFQSHPFFEGIDWNTIRDSSPPYKPEVSSPEDTSNFDVDVCEDDFTPCVKKFQETQPPRVLAAFTGNHLPFVGFSYTHGSLLSDARSLTDEIRAIAQRCQGDAELMEKSVDGFMVELENEKAELVQKLKEAQIIIAQHVAENPRTDEDRNYEATIAQLKDEIQILNKRLEDEAVAQQQQKPKDEIVVESEKKLKEMKERNKQLVMEKSEIQRELDNINDHLDQVLVEKATVVQQRDDMQAELTDVGDALLSEKDTVKRLHEESEKAKKQAAELEEKLKTVEAEKIVLIKKQEEVTSEARKSAQPDDQLSEEVVAAKKNIATLQSANEEKEAEIKKLKQRMEEERASHTAQSEQEMKQLEAHYERAQKMLQDNVEQMNVENRGLRDEIEKLSQQMAALPRGALTEQQLLELFNWVNEEKATREEMENLTRKITGEVESLKNNSPLTTSNYIQNTPSGWGSRRMNNVARKDGLDLQRQLQAEIDAKLKLKSELKNTQEQYLTATARLDDTEKRMASLMREVSMLKQQKHIDNSTDSAFSSTVGRGDLMISMTNDYEMSNSSLMRQEMISRQSTPSYENAILLHDHQAPKRVDDLRYKQKPIKTGSGIFSPVSVSAMERGHNFERMKIKTPTKCGHCTSILIGLDRQGLFCQSCHYACHVSCAERVSQSCPVPEEERRPLGIDPTRGVGTAYEGLVKTPRTGGVRKGWQTAYVVVCDFKLYLYDCTVDKQNKMQDVKNEIRLVLDMRDPDFTVCGVSEADVIHAQKGDVPKIFRVTTTQIMNSSSEYSSSSKFYTLFMAETEEEKRKWVVALSELKTLLRRSKLADRKAFLVKEVFDVTTLPSIRVAQCCAIIDRSKIVIGFSDHGLYCVEINRQVLIPVGGEKENKQRCVETVEYDEGEQLLMMIVGPAKDRHVRLVPSAALDGRDLKWIKVNDTKGCHLMAVGTNTPGRSGLFAVAFKKSVTIFQIDRSEKRHKKWKDLAMPGTPQSIAIFNGRLYVGFPHSFRSWSLVGVDASPIGGGDASGVVLQHISLVNMEDTSLQFLNQQTQYEAKLIVNVPGSPDEYLLVFNMIGLYVNEMGRRSRLPEVMFPTQAKYFAYHEPYLCVFSENEVDVFNVTLAEWVQTINLR >CRE30863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1551915:1553132:1 gene:WBGene00052124 transcript:CRE30863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30863 MKHREALALVTFGLLAVSISEAGNVLVCRDIKDCNTCADSYIHILGFKEDCRWCLETNTCGGPISCPMGKPVIQKDPFKCPTPVSFCLNQYDSTFLNFQYKPTAGKRYTDALGRSLFAISTAVRDRNVSDCLRSVRPDITFSRTYEVECDGSGNLCKGIIAKSEEAKALYVAYKGSTAGKQVFAEMLHGLTAQLGAWEKFESQDAGVINYFHTAFYRLFIDSGMEDDLMDLMKKHKNYRIWLTGHSLGGSLASMTALHLVKKKAVDKNRVRLITFGEPRTGNIAYAKEIEENVPFRYRVIKRGDPVPNMPAPLNPAVLTAAQYNRQAMHYRYLVHYDNNMAKGDEFNVCSVADDLGCRNTALSNLADHTSYFQIDQDDFIRNHCPRHELI >CRE30864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1561746:1564527:1 gene:WBGene00052125 transcript:CRE30864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30864 MLTKFDLFYLFLLFCINGAIVSGCIWPEVDALFILDSTPQVTSFQHRAEVDFLRQVMSTMKMSSSKVAMAQFTPWSRVEWSLANASYPESRVQEAPYCPCEQDNCPSTSINSLTRSTMNSANRKSVPDVILVISNSYQPLVEVLPNEPLISPTSPLHVFYIVIGSNMHVSRFEPSAHTVGIKVTDFEHLPHLVGPLCQSVNGYIKGTSTTRFGPLCWIIIGAILFFAIILVSLLAYAIYTYNEESELTFSDFQKELYLNLDRRLRHRIAESERLLRKQNEYFTSQLHTEMQKQIANGEKHAEEMKKQQDMMQNQFDRQLDMAYQQGSKVQILPPFLQPPHHHENHSENTGKESNLKILNSMSFQFSGRGKNDRRDSGIASPRSPRDAGVQVTPIPTPIDDKKDGSEEDNESSGTSESVFGSSGSDVTDSTEEDLEELNRKTHLPRDHPARKLPPIDLMFLVDTSSSIGINNFDIQKNFICEILKDVDIAPGRSRISMVQYAQDPSVVFGFDQYYSYESVRRGVMRLSYTGGATMLSKALAFAGGIMYHEQNLKKTTKRHQFLPTPKHDRLQVLCLVSDGYSDDSADKESVNLHDRLHVKIFAVVTRSFNKDKLVPITRFDGSVFTVHQRESVAIWLWRQQRIWAEHYSAFIEKEKKRSVRR >CRE30865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1567042:1568282:1 gene:WBGene00052129 transcript:CRE30865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30865 MVYARTICADARSRMESWNSIQFPYLSKLINSIFRYPISPTNQRETKTMGVTADLNMRKGIRVVLNPTQEYFDQFQEWISETERWNYRRGEYKLWCTAFEKFWLYMAIDEENDEVVSVVSLALQRNSEGKMLYSIGNYYCVPEWRGKGISNKLFVQVMKHVGKENCTLFGAVEMSPLYASRFNFSIMNEFWHNFADMDAADLVIPAMPNGFTCKKIEENDWEKLHEYDETICDLDRRKYMKTTMTLAATVSRLVLNESGKIVGFGSIKMAFGNELILAPLYAESLDAATALVASMMKGIPNLLSFTSLITIYPAANNDVPRLLSLISNNNFDTHQAYRHQFTKEMMHFPQHKIYGTDEVSHSPC >CRE30866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1569960:1571020:1 gene:WBGene00052130 transcript:CRE30866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fil-2 description:CRE-FIL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUQ0] MLFSTILLLTILGLTSSAVTGPLSTDFQTWLKSNGYGSYDFVRSDYGTKGSYGGFTTKFPKSTKTPVIFLHGNSDSALKTSSMATGWDNSITYFTSKGYTQAELYATSWQDNSAAKASTRTHNCKDLTRLRKFFEAVLAYTGAAKVSVVSHSMGVTLGRKVVNGGTITASDGNCNLGDSIRDKIDVFVGIAGANYGLCSCAGTIGLFAATCNKKNGFWPGDSCGSHTSAYCGLSAIPCNGVSTYSNLLDSMNNSNQKDGAYVFSMWSKADDLIGNSDIVWGRPTSLIPLSDGKIIYDSFSHMQSKENTAPDQYKLVVSKAV >CRE31114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1571259:1572015:-1 gene:WBGene00052131 transcript:CRE31114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31114 MTTDKVCGCNVVTSAGVVTSLQLIVLLLTLSSTGLILSRYRTWQTAYPQTQYNATAMAASNNTLKFNATIWSESWVELGMAWYVGFGAFWLFSILILVLSFKYYRPVFVVPNFTALVVGIFMNLLAFGVLLARILVVSKDYRTDEYQEAIIIYVMGLCLFAALCCLLFICFISKYHTFLRNRYGHQVPKFIQSRARTGYHDEAY >CRE30867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1572282:1575475:1 gene:WBGene00052132 transcript:CRE30867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30867 MGFFDDKKREEIRRRQNTGFLTDYFVRRCGENSLFCFSEITDYYRLRLLVNFIFGLLISSSLYHAGWKNLNFGDFDYTYGLIVKWTIISFSTYAFTVSPTFRCALFCVLIGAFGKQGQYPFTMLVMSNLQEGPITNMMTNYETTSEIVMCHIELQSKIVANRVALLTGPLEELIEKLMAKGIRAMKAVSRETRALITPFMELLKKEKTKTDKKIEIERSQLADIEKRKERILKMWEKSMNRKLTEDDEIADELLPAEDMINNVTVGEPPIWKKFKTPLVQKMADKMAKNCEEMFNKGIDKCRNVAGELVTSCKDAIIWPIEAFICPKLNVEGLCDVSHFYQTDRNVIFQAVERKVQSMNICKNQLEDSHVDPTIEKDITDVMELTDQLEDNSNIELHSVRVETPRVAIEYRLSDLKIKIRAANIYFKSLVGVSKQIFQAFFIYFVYTIFRDSVGMIRKYQDDVAFSNSFVTKEFWMIDRFREGRGQTHLSHFSKQEKVEWKIMEVFSFPTKAERSKAIRPFFKWFVLALTVAIISILDYYLFVFLDSVVESARQQIKQKASAPAGLNITGDGVIADFLKTMTSTNETLEIDQTLSNEHCLTKPLSPNTDILIYWLGIPLLLSFLFQVVFSFAIRRIVLNYFLPFMFPRRSRVRLIQFYNKCLVNREKHRKEARARIRFMVDRRRIETLHKGEFSAGGSFLKRHIFERIFKTSKCFLCQEKTHAAKLLYCSEVKCQSCFCKDCIEDNFGKCYACMVIKKEITDQKSKLMVRRLVDNSLTFCFFQAPDEVDKRDNFLSYDEFLERVEHDKKA >CRE31115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1575614:1578373:-1 gene:WBGene00052133 transcript:CRE31115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srn-1 description:CRE-SRN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUQ3] MSRVEEIGSDGMLEEPAYAVFYTILILISDICDLLFNLSTAILVTPDLLCPLPAVAVRSPIGGISETHAFLTISVTIAIAITVFTSINDCCFIRVCIFYQWNHFLKRIYSWRGALFLVLANGTTVGVIVIALWLARSTNEEFLHVVAAENMSHALGVYAFEPDTVYFYVDIWKPQVLPLISSVFAGLAIIYVMNLFASRLVWRAIATRKKNMSFKSYQNHKQLTLLVLVQNLVPAAVLSVPLLLVIFSFAMSYESDTFAELIKHFVTFVVAIYPALSVLLSFIFIKPYKKFLMTILCTVSIRRFKQSRVSDVRIHKKCSFGNEESFDSVGYI >CRE30869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1580915:1581634:1 gene:WBGene00052134 transcript:CRE30869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30869 MISKVTAVLFLFSSLVFVNADDGLKRVKRMKLLTDTDYRTKHTDDFYSSIFAPDNVEKLFIREANGKLIIREQDEAIYFEGQKYYWYGLMYSFWKRERTCQYPTGQDAEMKNVRFRDGSSVKSIMFGCWTWQRCCGTECCFETVLFSVIGLIFLIAGIYAALYAAIKYVQKAPVTEVGIPLNERRNLEANDD >CRE31116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1581983:1582738:-1 gene:WBGene00052135 transcript:CRE31116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31116 MTTISISLFLLSIVVFSSSNPLQNSTDVIEKPRQRLYNPLVCYVETEGQLYGEEYHESQRHEPCGPDVKYCQKITGHFIAAGGEVTKITMKGCDTVSLMPHFAGLECRGNGCAERSEGDEIYNVCCCNTETCNSSFQLSLFIPSLVFLITTFVFF >CRE30870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1586221:1589920:1 gene:WBGene00052136 transcript:CRE30870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sago-1 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3LUQ7] MSNISVVDRSMAELNLSNSTAKELPIGRKPLSQKLAKGDRKGPFVQLETNMSKIELKPNVTIFKYAVEVLMFFKKENGEEFSIELSKSRKRGFDHEHDKKTCAAVYEKACKKSKELNSGGNFVYDRQALLYSTTKLKTDPLSIIVDDKEVCSRLNFIRAELKISKVADSFQTTTNDVSKTVHNCPALADTTILEVLNLMASGEALKDSKVLTIGNCVHYLYDDAGIQTHPMFYDQGLKSSAVGASKSIKTLEGIEKQPSLYMATELKTTLFHPDDTRLIDLLKSYPGFDSNKTANSFWATNVQHSLQDLFCFLDYGKNKNLNDDRITIQIARFGDSAVNQKFEYENRETGISKPVSVFEYYKMKYGITLSYPNLFTIVAKGRGGKNSYFPVECLQLCNGQPVRTSQMIGTEQADLIKYSAALPAQRKEKTDKVVKALKLGTDEIGLMRVNKPETVTGRVLEKPKIKFGPGGRMVVNWEDPRQRGAATDFNITKFIDPKSLTNWDVVFDEGEPNPVAIENLMETMKMMGMQVAPPRQLFIQKSRLRPIFESAAAKKVQLLLFITKQRNNYHQEIKALEQEFDILTQDMKFETAVKLPRQQNTKKNIVNKINVKLGGLNYEIESPELQKRNSLIIGLETSQKGGLGDAPISIGFSANMMDHPQKFSGGFVFVKRSSDVYGPVLQKLIHQIIKQAQSRRTGELNQILIYISGITEGQYGIINEKYSMFIMEACRAIHPNAFRPEIIIVAVSKTHSTRLYKNHSGQLSNVDPGTVVDEVIVSPVLTEWYLTSAVARQGTAKPIKYSLIFAVLKNNPLTATQLQKMTYDLAFGHQIVYSPVSLPVPLYLAGECSTRGAALMATRKATFTNGEFDMAATNNKLGYIGKNLFNTRFNA >CRE30871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1598120:1600943:1 gene:WBGene00052137 transcript:CRE30871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30871 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LUQ8] MYFSTSSSSNLPAWYYLKGPRIGGESPLPFYSVRAAEKPISNFPKLKAKQRKKYYHCKFKMPQLLFPIRKARVRTSSNQSNMGTCFSNLKSGKDDNSIVRVQQGLLEGFRVKTPKGDLCDVFHGIPYAEPPVGNLRFKKPQPPKPWDGIRKCNKYPNRSIHKEMPWDKALPRANQSEDCLYLNVFAPKIREDKKYPVLFYIHGGGYVMDSAERYTAKNICRLLVSREIIVVTCHYRLGFLGFLSTGDDVCPGNFGLFDMLEAMRWTHANIASFGGDPDNITLSGQSAGAAAADLLSFSPLAKGLFNKKIVMGGNSYCHWATTSKAEIREYCKKWAKRLGWKPKVNYATKREESVDIFNFFNELPTSKLGMTMFFSNTIFKECQLPLAPIVDGDILPYNLKILRETQEHVPSLVGGGEYEALLFCAIGLLRGTEKEINSAIDVLSKKSGLARSKIEAMTEKVYGDSPALRADPKARKLFYVNLISDVFANYGNYRFMRDCQKRGTECYGYSFDHQSKQMWGWLQHVVPFTGGTHTSELSYLFDCNYMSAPLGMNKTDKVVSGMTADYFTNFVKFGTPNGPNSQLPKWERISSDDEHMKLFSIKPEPEMKTTVYGARMEVYEEHVGIIYEEKATAPISPRTDFAPSIVSSGSDNSLHILVS >CRE30872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1601443:1603245:1 gene:WBGene00052138 transcript:CRE30872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30872 MTASIGSTVDKKTEAGLSAGRILNEKQTDWRSMWICIFLQFIVGVQISVYYMSMWPYLSGLDKTADVDFLGWIIAACSIGCSISNPIYGFWNQKTMSVKWPVITGFLIAAVGQFWYGLLTAATNVKWWMLLARFLTGLGVGNIAALRVYAATASTPKDRMRAISFGTGGFVLGISFGPVISAVFTPLGAHGLRIGFFVFNMYTGVAYLMALICFLSCFVIHFMFKESYAGIVTKEEKENDELIVPKFDVPGAIICIYLFMIVNIIATNVEVLSTPLTTVLYDWKDSDSILYNGITLALSCIVSVTFHVILGTSRIGKIDRRNQILIGIVLFLLYHVFMYPWGFYSGPLNFLPEGMETTEVGGCYADYLWCNETTRVPLAIYLFCFIVFFGTSFPFVETPASALYSEILGPRKQGTMQGFFSLGGSIAPVISSISSTAIFKYTGYRYVIVLQTVLLLVGGALILIFYKRLVPLKVIKKSEDEKVEN >CRE30873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1604274:1605969:1 gene:WBGene00052139 transcript:CRE30873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30873 MTVSNEKQTDWRSMWICIFLQFVVGVQISVYYMSMWPYLSGLDKSADFDFLGWVVAACSIGCTISNPLYGYWNQKTMSVKWPVITGFLIAAVGQGWYGLLGLFDNVKWMMLLARFLTGLGVGNIAALRVYAAMASTPKDRMRAISFGTGGFVLGFSFGPVISAVFTPLGEEGIRLGGFVLNMYTVVAYLMVLLCIFGCFIIYFFFNESYVGIVTKEEQEKDDVTVPMFDVPAALICIYLFMIVNMTATNIEVMSSPLTTVLYDWKDSDSIFYNGIALAISSAISVSFNIAQGATRIGKIDKRKQMLFGLTFFLLYQAFMFPWGFYSGPLNFLPEGMETTEAGGCYAEYLWCNDTTRVPMPIYFFCFIVFFGVAFPFVETPSPALFSEILGPRKQGTMQGFFSLGGSLAPVIASITSTAIFKYTGYRYVIVFQAITLLVAVLLILVFYKRLVPLKVVKKGSESTISEEN >CRE31117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1606235:1608295:-1 gene:WBGene00052140 transcript:CRE31117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31117 MNMHVSVNPLNQSLVFSITPNSRTARSSISSYPLSIILLNRTLAFQYSTNNNTTGGARCAVNTRGSTRCPYSGSSFMLFPVCLSPPGDSVTTRQSNDQRVRECRMIQLLLVGITVVGSQHMTLCDYYEKLGVAKSECGHIIKPQRDFGFVNPSTYQASNNFRFSSYNPSGNNVNPQFNVFNSFQNNLVGTLPLCRNYFHSCMTSTACESGTICTNGIEQGSCCTNPHQASCPSVTSMNINCRKVRGVNWCNSDMDCRGASTTASMCCPTGCNYNMCVHVGAQVFHPRRSIVFNSLSPTAASGDQCPDPFQLGVKCVATRGSNWCHTDNDCRSGLYIRKCCATNCGYNTCVMKFNDKWIIA >CRE30875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1630311:1634681:1 gene:WBGene00052141 transcript:CRE30875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bcl-11 MFNLPTIETSSAGRRRKKANSEVDSGAEEPTATSSHDDKSEYRLDLKKRLTTFLTSNSQMASSDSGVSGVESTVEHDLSELKASDSPMSHDGADSPRQELDRTDIVVCGECHTSFSLSCFSNFIEHKVSNCGGKLTPSDDCEVTPRSFDRSRRRTFNPAALNRCGRSTSANPLLLNYNMDVTTDTNDLEESMNRKVWGRQRPLLFSANSTKSLTCCACKERFGDVWTLLKHSYNVHGLRVCQETLPESDVSVTSTSSPTSNDSMLMSSRYNHTESTSKIINPTSMKSDSTMLNSYCSDRLKELATRAGEQDQKPWMALRKLIPSEETEEEQVSAFTSTSLLNTRASQPQLPTSSNPQGFQSSGSVPNVWMQPSMLAAMQDYYASIQQMPYPMTNSTAVALLNLSNNLQQQQQQQQQQQQQQQQQQQQQQAMAASQTTVATPQPQLAPTPLFQSLNRLSTSDEPSAFTPRPASAAIRRRASPEEEMTPPRKSMKIEEDDPLIVVDDCELAEPAARRQNNIKKERCNFCNKVFTNRSNLIVHLRSHTGEKPYKCQLCPYACAQSSKLTRHMRTHGQQGKETYHCYICRMPFSVHSTLEKHMRKCVVSTQGGRREGPSPPERSIRPSPSALADATSLLALSTPSLPAPPQPPTSAVSQSNQIVLNWLQALNASNTTPTATSKDDMIEADEDMEETEASEMKPQSLLPESNQVATVAN >CRE31118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1637252:1641370:-1 gene:WBGene00052142 transcript:CRE31118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31118 MDNEKNICEMLSSKRMDILPNTYSRGNKRLLRNLQFEHNFFCDSLSDFHKCKRKEELKSTQRQHYTRVVDNFEKVLNDLSNFHDAWWIDKAYYPIAVAAFADISSFSPMSLPAIFTLVISAFPVMFLLTFRLAFFAVGLIEVKFVYTDLKPLMTNELVIPDAVGMEVLKDRIVKVIKQICDLVSFLNRYGQWIRLAFWAPSPFQYVTLFITLFIGYECSLVVRTWRTEMLLTALMTTIFKLPKLKLKLITTTTLVTLSYKPGIAAPADGIYQNLKLGENMDNEKNICEMLSSKRMDILPNTYFGENERLLRNLQFEHNFFCRSLSNFHKCKWKEQLKSTQRKHYTKLVDRFENDVNDLSTFHDAWWIDKVYFPIAVAAFADISSFSPMSLPAIFTLAISAFPVVFLLTFRLAFFAVGLIEVKLMYTDLKPLMTNELVIPDAVGMEVLKDRIVKIIKQINDLVSFLNGYGQWIRLAFWAPSPFWYVTLFITLFIGYECSLG >CRE31119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1642305:1652474:-1 gene:WBGene00052143 transcript:CRE31119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31119 MSATSEDKEGEQALPARNETLTHVLYAQEAVGDEKKDSSIIDLIIDQFKQENIGNVLNNPAIQSLGPSRIKQVVLGPKHIGIMLDDDNVCRIPYHLLQIESGEVKEAEPVRGESSSRLHEVYARAGGSGEVEVIPLSGGLSSLRAATGQAKYRRVMLSNRAYYRLRGGLSDARSYILGSPRVPATNVPEELINNVQQVLQGKSRDVIIRELQRTGLNVNEAVNNLLSRDDGDDQDSMEIGGEHLIPEELLQILEGGVPPGASGGVSSIAESFLEREERLLDSLSSRGAELRYHFGSTTSKKSKSTDKDKKKKETESNWKNCMQLSDMVEWWCGKEGEGIPEHSKMDTQIGDQPGKSSPIVCIAATTYQMYVLHKNGKIYSWGWDEQVGTDEPLTVVKNQQYPNHTNIGSTADPVVQISASNLRVAVVTRCGHMITWLDENGAGTRLSLATETIVKVPEESVIKVQDLVTSDHLAVFRIDSIIHWCGIVPLLESTRYDKEKTEKDKKKHVSFGEPTATTVPSTSNVTEFVVGQHVQMKKAPLYPAGSVAALIDSSNPMIGILLEDCWSATDHCRFRTMTPREYDFELEIEGFVSSLKPSVPSQPSASSIAEHNIVPPGGLSRKRAHEGSDESRPAPPPEFGRRRVEVWPVEDLLWIHEHRKRDLMQVHLVDEDLVAVKFLQSSIEPPMFQSIYSDRPEMEGMPHRVDPVQSEGDSEKPRLMRMENLQVVDKCPPRAPIILQKELLKFDVDSNRTIVSMVADMNELRVLARSKHIERGMHMYRVSTTGKVISRRRVPVYLPSVERSIPGALPPKLISFGDPRTLFLRDTAGQLIPLQRDALNGFREPPAMNSQPILHIATAWRPPSSTSLGACEVDLRRHAICFHIPTLQKNSQWQGSMLIIPGVNRPWHNHFIKDGSSLMQMVLYCDADGVSFFLDRLLEMRMTNAMTNDEFRKTMNEQLFTISSDLCANVLHAAIRLTTATKNSEDDDRAMPDTSTPELFPTKNVLPPPQDIVMDEDSMPAPSSEEAVLFNPQGDAGDSDEGRWSRVLRSRPRRDQATPVKKRKERRAESEEFEEFTRNNSFTTVRSETTGMPFGSPRPPEARQKSALQIVETLLKHPAMFYDKDAGEHIDYLDEADRLSAIKTLLLSRDLNGMTPFQSAINQRAYGAAYLIWKTLLKLNFKRMTEEQKLKFIFPGFSTDETHNADDSPLFILCYNDVCSFTWTGEDHINQDIYECKTCGLTGSLCCCSECALTCHRNHDCRLKRTSPTAYCDCWEKSSCKALVTFLVNRIEELYSIFRLMATIPSETFYLLNCSTIPVCMNIRTLEMSTLCFSWLGLLFVRPVSRLTHRSVEADIVCFLHRKVQVLLTNFSGHVMPTSQTSGTPEHNLQPPKFARNALEHCCKNPNVVINSIKCGMNKQTELSTPSQLSFVLQSTAAHLDKLTFALSNKNIKDFANMYILTLRNLLSGTSQQPHWDKDIENWVGRFVRSLVRVLTLAINVSPMAPSTILAADDAHDTSHLRDPRDDRNRFNQSSYHQVFHSIVIGKKENSKLSEKAASFVAMVGRIMYVLQQLPTFAVVQLAIAADAVFEPVRDGMLKPMVNPALAGNSHDPMDILEKYFASDLSFSEVLKKSKKEEKSVTTKSESKRVRRPSRRDTDRENGSENRESMEDERSAGDRASESQETVRVRHDSAATVATPRRRRLLSGNTTNDTNEDNEERTGQQRDAEAESSSSDDENDDDDDDDNDDDDDDDDDDDDDDEDSDRPPDPDEQDDPDVNDGEDDNEEIDMSIVRRPPVAVVADEEVPRVDDRDEDAAWNMEAQYEPASPSPRRVDYEDNSSDSQEAAPREPVFAIENAAQQMEEAEIQAQVQEPSVARPVAEPSDPASGSFAALNAARDRSLAAFEAARRQTARAAGQSRMERYLPYRFGSRGSFLSGRRDETSNPQGSASNTGAGASGAPSTASSGAASSGNSQSNPSAQSSSATNSNAAATGATGSATSGNQDSSPNSNSSKKSENTELPSLETAREQLALTFSVLVRAGCDILSRMPRPVMSVYTKADDNAKRSQLKAQRIFGDILQETFRWMGRVFESTEAKIKFNETYEKSLIRPVSPGNEDKRGRKDALNYVHSLLRLATDEAKDALAHVEIEMLYPVALVADAYFHFLNCNVEKPIELKRIVDSYEDSQRRHFREKCEQTDNDLMVGFFMRPASLSWPGHLHDGAWDTLSGRYHIMKSLKFEEECPMVGGPKILTMHSRPEELFREPNVDDIKRKTSSYLNDPVEEYTYNIVDRPFEYRCPGVSLAIVPHRRKLEQEFISITRDFYHHDRTPDDEVRNYSTEAEKFPGYVGRVEDSVSKFKEKLLAHSCEREQLFFSKWYKTLSTIGNRFYDRLTEALGSEVAFESSIMLRRLATFDTKYKLFNKATEKYRTQHSAVKEIVLDVHREQGSLFRDTMVQLHSLYVKRMLSIRTDGAHTPLAGTRLRVHFIDEPGEGTGVTRSFYTAFAESCMSEMAPFHTGAANEKMSLIQSVLHLKNDYEHGNSFVFTNNLSYVFFSDMEVSKTLRKARREQAIRLRAHLRRLKTFPINLAAPRFDIKNFTGEGNWTKRVLQDSSAESQPVPPLNWGEHEVTLRKIHTMTAGFLSDEKTIGRVMGIMCQLPTEVFVNAVINDDTMRLHIQQILAELRAEGELDDYPKSFRSMQSEQPRSEEDQWNALVGTENPLGKLFEKAPYGECYVPCMGNGAPMRIAAFRTVGRIMGICLSQGDIFPMRFARHVFSFILKEPICWLDLGFYDPALFNNLRMLLRSDFKESDALDNDFTYMEETPSGKTALINLKPNGDNIVVNKDNVIEFVYKYAERVLIGKRITALEAIREGVLDVIPESMLDGLTPEDLRLIICGIESVSISVLQANTSFLDESRASQETLNRFKQWFWQVIESFTPQEKQDLVFFWTGSPSLPATGKWQSSASVMLRPQEDVFLPTANTCISRIYVPVYSSKRMLRSKLLLAIKARNFGFV >CRE31120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1653518:1655604:-1 gene:WBGene00052144 transcript:CRE31120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31120 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LUR6] MGGFLSHLTPEENVEVVKATCGPVRGNVYKHNDVIVDGYLGIPYAKPPVGELRFKKPVPVDTWTEPRDCYKYGPVAIQTGGFEEMLGPRKDEPDEANCLTLNVFAPRTPSVEFKSGRPVMVFIHGGGYELCGSSDFCAYSLSGTLPLKDVVVVTLNYRLGVLGFLTTGDDVCRGNFGLWDQTLALKWVQNHISSFGGDPNCVTVLGQSAGGASTDLLSLSPHSRDLFQRFIPISGSAHCDFAMRTSENQAKVFREFATFHGFKGGDSRALLSWYESMPSDTLRNVKKFKKSVSGFLTFIPNLDGDFFPKPLDELRREAPKKQMMTGVDEYEGLMLAMMNPAFNPADQGLRLTSEELYGGDVVSNPKEAQTIFYETYTRGVNQTDEVAMKKKLCEAVGDEFFNVGVLQAAKAAAKYGNDVYLYTFAYTNPEGFGMWDGMLPFKAAVHCTELRYLLGEGIYSKFDATEDDLKMMEKTTTLFTNFAKYGNPNGKDKSSYEWEKYSLDRPERHFHISYPTCEMRDVYHEGRIQFLEKLDGESDKYQELVYGKKKSAKI >CRE31121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1656925:1659099:-1 gene:WBGene00052145 transcript:CRE31121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31121 MDPKPAEIIQMCVEQFDKVLEPYNCVQVMATIQSLEEKGIAKCSMPSALPEYRHIMNFTSGCDWAELTYLQYAVPPLMTLCFFGNMLNVLIYGLPYFEGSSSVHFLRAKAIANMVFMFSRILEVLHASNAQPLSYLEPLFWKSRPYLMMVSNISGTMSTWLTLMVTMETVMCIMTPFIFRKYCTKKMTWIVLILSAFAATLLHLTIVIVTDVEEFTQVKQYIQNFKKENAACWFLQSVFRARNNPHYEIYRRFYATTTMAVSIVIPTIAMLVCTLLIIKKFTFKNLGETFSQRRKCVIRMTVATTLTHLVFEGPATLTHSASAIQGDNYSYLMCLLNHGNNLASLVNATIPFFVFLFCNQQFRHMTVMYIKAVIQTDQVKRKSYFSQAGMRCGRMSRIETDRSMAETRLVSRPSNV >CRE31122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1671104:1671823:-1 gene:WBGene00052146 transcript:CRE31122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31122 MKIILFAFFCALIAISLARPQVSYEKNGPAKLYKGDGDDDGGYGTGGDGKLPVKLYPITDVPKGGKHKNGPAKWYKRAGDGDGDGGYGTGDGEGEPPKIRLDEDSEDHGKNGNPVSITGAPQVLAGDEGEATYGIGDPVAVTGSVIYKGDGDGKSDNGDPVAVTGDPEDYKLAGDDGDGSYNNGDPVAVTGEPKVVYLRTSHVAANVYKVSSAIAQKYAHGKPVARVSRNGPHRLISTK >CRE30876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1672539:1674214:1 gene:WBGene00052147 transcript:CRE30876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30876 MLARRICTRLLQARGLSGIKWSTTATPSILQKCNTPMAKKDSRQVHAIPGTSTVVPEQEISIATMVSSIYDHVKRCRPEDFTEEDKKKKPLEPRTITNSYRKFVIPLSTDIEKQKEYLSAMGNVRIGKILEDLDHMAVHVAYIHNSENGSLEGPMTLPRTIVTASVKRIDFHKEDIHSSRDIIIDGQVTYAGTSSMQISIRLFQNDENGNMVHILKADFIMVSRDPLDGTKKVRVHGLVAKTPDEAETINQAKEHFKTMSNKNEEPTNDEFRLIHRMYNKLIGRNMVNDIPVLTNSEIWMHKTKLSVTEICFPEYQNMYGKIFGGFLMRKALELAYTNAKMYCKGRVAIRSMDHIEFAKAVEIGHVLHFDSFVTYTDGKYIQVKVAASISDQNKLPELAKMNNPTLGQEARVNTNVFNFTMESMENPDVLTVVPKHYVHATTYLEGRRLLNNTLKRIIN >CRE31123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1674483:1681739:-1 gene:WBGene00052148 transcript:CRE31123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31123 MRLGIFLLALAGCCSFVSATHNCLVGNLVVSENVITSKSVELRECEGLCRKQTISSPNHGGLVLLTCEENKVMTFINQGQECSSSELETSCFCEGDECNKVDLKENIPAVTAVTAPNNILCYVGFWANNTSPAFGTGDTVTCSPGNVCTSVTGVYQGYDAGAFACMPQALCDSIFPNATPDFKQCTNLPGTNIQGCCCYKQDCRELAPMPNPPTPSPWNKNNQTCYQGIAINGVVMYGGAFSQCAGECGSARIRTTYNGATVWGEVFVCDPVNVFTGLNLDNECKNIDTSNINGVPTAFITGCACNSVDKCIDPTVVPPVYPIKQLRCAVGLYNGSTWLGAEMNCQGRCGRLKFNANGQQVSYFTCMPYDVCAGFGLASTEGQTYNPPQDDELEVYCCSAYNNCNVNNPDILGRVNNTAVSTAKYPVLCYGGIWVNGVPITNSAYGLCQGECASASFTTTFANQVHNATIYTCDPVTMCQQAGISNSCGSVLGGVNACCCDNDLCIDPNRGGQRPQLRCYSGISIPQDSYNAGGDQLCDGWCGSLNSFVNGKNATVYYCAPVGMCRYFGLGFGGTYGNCAQIPGADPPVNGCCCSDSDNCLAPANVNVTAIPARRDPRIVCYEGIHLNGQNISQPYYRMCDGECVSVSLGGTVNNTSHYATLYTCDPSSACASMGLRNNCTTIDNSLTACCCDSNECIDPTYNRVPGKQLKCYVGINTQMGGLNIGAEVICDGYCASVASIVGSDYVTAFHCAPRSMCRSLGLDNSNNTIYLDRAITASCCDVFDNCNLVGSGANVTNIPPVAPNESPRACYSGIFVGTTQISDGGWTACKGDCVAVNLNTTYNGALTTASLYTCDPSYLCRALNSTNRCHKQEQGVETCCCDSDACLDPTVNPPRKPYGDGNLCYVGAYSYNTTGGTIFNAGGEQYCQGNCAAVTSNLGSANVTVYACVPTYVCNSLYVYDSCQSIWYDRTITGCCCTNGPNCNLNMITPRPPPITTPGRRRPRMEYPITCPAGLIVDGYNQTPMEFTVCDGECASVSINATINGQLHTASFYTCDPSSVCYQLGVHNNCASPEAGVTACCCDSDACLDPNRGKVVPTPPLKCYVGLYSTSVPSLQTGAEQLCDGKCASVNARVANDNVTLFACVPHTLCRSLELYDTCARMEPYYPEFRACCCDNADNCNVALTHLNGVINTTIPLTPLNDAPISCYSGLFINGSAYSSAGWQTCQGECVSVSLTSQFNGMVGTAALYTCDPSRVCRNLKMNNKCTTLENGVTGCCCNTNACIDPTVYPARTPGNQLMCYAGLSSTFQVNGSAIQVGAQVACNGQCSSLSGIVNGYTVNTYHCVPNSICNSLELYDNCKTVWNDNQVNACCCNNANNCNIANTTITPPPPPTTVDYAIACYTGLYVNGVASTPITLGACQGQCASISLNTTIAGVPNTAVLYGCDPTAVCQQLNMNNACATPEPGVTGCCCNTDLCLDPTKNKTVPAGFRKCFAGIYAQGKATGSEISCPGKCASVGATVNGDAVNVFGCVPTQFCRQLELYDACNTITVDRDVTGCCCDNYDNCNVDLAKLTGKIDTSQNATNMRDYPITCFSGLFVNDQPVSVAGWQACQGECASATLTTMYNGAMTNATIYTCDPVSTCWQLGLNNNCTTVEPGLSGCCCATDACLDPTVSPPRTPHPLKCYVGLQSTFNSLSLGAETYCTGQCASLSGIVGGYNVTTYHCVADNICKALEMKDGCRRLWNDRELTACCCNNADNCNLKDPSVTPGPPVLPDFPTACYQGLIVNGNPYTPLTLQGCYGDCATITISTNISQQSHTATLYTCDPTSVCQQLNMSNACHTIEPGVSGCCCDTDGCLNPYTNTWPGPLNCYVGVYTSDGKVNAGATVPCDGYCGSLETTVNKVLYKSYHCVPKPICKSLGLNNQRKSISTDKDITGYCCTTGSNCHVTESAVNVTNVVAPPTGNPNPIACRSSIYLNGAAVTADTFSACYGQCATVSYSAQYNGTGNVLTLYTCDPTAVCSALSLSDSCASIDGGLSGCCCNTDNCVGPNVSPTPPGGSGSSITFSILALFAAVYIALTRH >CRE30877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1687003:1688746:1 gene:WBGene00052149 transcript:CRE30877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30877 MKLLFLLLFTPYAILGESPDFCPEHPVYGKEPEEDCAQPSDPNELPKSPLERWFTKQIFDDLFPKSNIGVGPHPCLPYSYESFVIAARYFPEFGAAHPNKQFKADEHHKRDVAAFFAHALQETGENDASVYNNTDLTLEQAHECFYRGGFYNWFERGPNSSFLLPTAPGFSPADGKRCTEEGRYCKSDPILDFWYLCNSDTETHSDTDYHKGCYFGRGALQLSWNYNYGLFQQFLLTKGVKVDLIENPNLVMTKMDPPLAMMASLWFYMTPQPPKPSMHQIVTGDWKPSSKNRRAGYQGAIFGPTSLIINNECGGEDPDEPGGPGESRRIKAFKWFCKYFKVPVGSDRTLSCKGKHFKLDAEISTILFPGMLDGFDAVQHMYSWHPDWGNMWKSQSCDCAPAPYGGPLPYYDPKIYPHEFTKQNDRNRLRCVYSMYESPETFRLDDGNSPCLKHKPKIRLTKTGIRG >CRE31125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1689054:1690308:-1 gene:WBGene00052150 transcript:CRE31125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31125 MRFFRGLPYLAIRKLFHFVDYETRLSLQRCSKRTKKLVDAMPFYFELVDIATQPLRIRICEDKGETMTYSNIAGLIYNYVWELCSTAIFNQINEKTVEKQLNHLWEIFRNKNIRIKTLFVSLTLLCPYDSEFAWVSFERIRLVCCLMKIMLFSLDHQLLVENFIIEYNENHDQVMCFLPFLHPEYLKFIKFINCKSSHAYIGPIVNLPQVIQCRRVVFDGFTWVPMKNFQHLPGVFLMNTKFDFNDTNRLIQVCEHDLNLYDYHFQHYFQHDTFEYFGMKGVKDNWFPEDSNTFIDDKNRKSMIVEGPKFAIKIVHKLEKKTIVLQRISLTPRNV >CRE30878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1690408:1691916:1 gene:WBGene00052151 transcript:CRE30878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30878 MWEIAQLTPFFYAVNCDTFISIRCTGKDTDLNKFQSNRNQIRHCYSYFKSYETTDPNRIHFTIFLLIFAVLTQQTILLNEGPVSIFCSTPSCHFSESVMKLLHFPTLILRNIFSNLSLSELVLLSYCSERVRNVIVSIQKNRLKKVLSILYYFDSDDDLFIGAVAETNSSHVHFKPTRKIKVENESRGSADMFEMDFETPGCLNNPYSFCEARFKYDKCKRDRIVQGIHTHLYKFFGPSVDYQVALYCYDLPPRLENINFSVITRGNFSVPTYQLEAYFNASPNQEYMHINRNFLWKLSENSVVYGTKHLEIDCYGRFEYETLLRFAGRSLILKSAILSHSTIIRFLNDWKSSRGFQNLISLSITCPDSKPLKPEKIEKHVDINVLERSLKLEWKERACIYVTQPKKWIDRRLNSDQYLIRDSDAAGASIKINTNDFTFIVWSATETLR >CRE31126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1692130:1693941:-1 gene:WBGene00052152 transcript:CRE31126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31126 MGLKKKITSKLARIAEDDWIPTEEYLSELVALLNDAKDDTEAQEKVRNVDMKVLTSLLTAYRATCCDLDVGIFQVLQTLEKFGTDLSDFQPLVFGTEATKNYENLRKMGLDLHVRISPDDAIKTYFDAATLWNTTKYHVRPLTEENAEKIYDVRFVLRFFNSILHPASSLTSKLFVEHNCLALLFSCTSSTDASVRTLAFACLQKFVNHLQELNTEIFTEKALILYLIRIFKHSFDLAVPRISSSKFSVGFRLSIHRFSVITHFFARVSKLMLNPSSDVYPQIMAFLCMKPIFDIQNVPEFYKLLFSSSPEHHTEEREWVLTLISEAMLEPIDYQVLQNRAGIKLLLSSFASVWLDRKSRALILRTLQNAVQMPSVAHDLFTREGLHIWITSIIQSARFNRWEKNFLAQVFCSLLENERKYQRGERGKEQACKAATAAARICSKKIMTVLDTISKDPQFTGEQKKAVASIERIEKSIGKKWKKKKKFNTTE >CRE31128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1696094:1696748:-1 gene:WBGene00052153 transcript:CRE31128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atp-4 description:CRE-ATP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LUS7] MFRAVQSVRSLSTTAACRQDLIQQTFVTKIREIAKNAGNLANSDPAVKKALQEELNRLATKFQLASADVVSKLPTNFETAKVVDSAVQSALEGQTLAQLIEGVKKEHSEYIASRDAKKAEQAARNAAIKQ >CRE31129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1697674:1699548:-1 gene:WBGene00052154 transcript:CRE31129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alh-4 description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3LUS8] MAFTELVETQRKYFRTGETKPIPFRKQQLLRLKKFIEENREALSEAVWKDLRRRHESTEILEIGMTIGEIDYFLKNIDEWAKPTHVEKTFTTALDKPVIEKDPKGVVLIVSPWNYPVSMILLPMVPALAAGNTVVIKPSELSENVAATFEKLIPKYFDSKYVAVVNGGIPETTDLLKERFDHILYTGCPPVAKIIMTAAAKHLTPVTLELGGKCPVVVEDDADIDISAKRIAWGKWLNCGQTCLAPDYILVNSTVKPKLVAAIRKYVNEFYGEDIKASKDYARIINQRHFDRITGLLDKTQGAVLLGGESDRADLYIPPTVLDVEKTDPFMHDEIFGPVLPIITVKSFSESLEYIADGEKPLAAYIFTRNEAKVKRLLNETSSGGVTVNDVLMHITVDTLPFGGVGVSGMGRYRGKFGFDTFTHEKSVLHRGFFGESLQASRYPPLNQQKLDQMRRLTGKRISLNLINVATLPIVMASFVFGMLFQVCRRSLSD >CRE31130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1701055:1703211:-1 gene:WBGene00052155 transcript:CRE31130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gad-1 description:CRE-GAD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUS9] MEDSTGSKKNSEKKARVFDLQAMMAQALSNAPKTEEPTHIPQSVPVSSTSESGVSSSAVSDDDDGMSKICFCSTNIKFADFMPALPPGFQKTNDEAGPSSSSRNNEEDSDDSDFDETEAISIIKLIPAACEAKISHGTQAISALRVEPPGVRFASGGLDYYVKLFDFQKMDMSLRHDKELLPAESHVINSLAFSPNGETLVVASGEAIIRLLDRAGKQWSETVRGDQYIVDLNITKGHTATVNCVEFNPLNKNEFLSCSDDGSLRLWSLEDHKVITKCINKHRKVIKTKGAHGKRVSPQVCTYSPDGKWIAAGCDDGSVQAWKYGSQYVNVNYLVRKAHNGSITSIAFSPDSKRLLSRGFDDTLKMWSLDNPKEPLLVKTGLENAFKSTDCGFSPRAEVVFTGTSSPNKDTPGTLQFFNPMTFELVYKIDFPGISCHRIQWHPRLNQIIVGLSDGTIHVYYDQTISQRGVMSCVTKPLKRNRASEVVREDMVLSPLSLEMFQPRGEEGEEKEVTGWRIKKYLRMQDNKLRPEFRKPADMPINGKSANGRVAASGGSLHSYLAKQIGTARNAEFLRDTDVRASILKHAKDAEENPLYIDKAYRKTQPKKIFQDTTVEPEDQDDEELQPVFKMPRTK >CRE30879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1703755:1705209:1 gene:WBGene00052156 transcript:CRE30879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-erfa-1 MSAPAAGANAGGDDAADRNVEMWKIKRLIKSLELARGNGTSMISLIIPPKDQVARINRMLAEEYGTASNIKSRVNRLSVLGAITSVQQRLKLYNKVPPNGLVVYCGTIMTDEGKEKKVNIDFEPFKAINTSLYLCDNKFHTEALQGLLADDNKFGFIIMDGNGCLFGTLQGNTREVLHKFTVDLPKKHGRGGQSAVRFARLRNEKRHNYVRKVAENAVEMFIKNDKVTVAGLILAGSADFKTELGQSDMFDQRLQAKMIKTVDIAYGGENGFNQAIELAADTLASVKFIQEKKLIGGYFDEISQDTGKYVFGVKDTLAALEMGAVEILICWENLDIIRYKMKNSNNEDILLNLRPDEEKDKTNFTDKESGQDMEIIETMPLLEWFANNYKTFGAALEIVTDKSQEGAQFVRGFGGIGGLLRYRVDLAHVDIEDELDNIDLDDY >CRE30880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1705989:1707324:1 gene:WBGene00052157 transcript:CRE30880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-19 description:NADH-cytochrome b5 reductase [Source:UniProtKB/TrEMBL;Acc:E3LUT1] MVENNTLIVTGGVVVVSSIAIYLYLKATKTCPFSSCKKTSKVTLVDDSVKYALPLIEKFEVSHDTRKFRFGLPSKDHILGLPIGQHVYLSATIDGKLIVRPYTPVSSDEDKGFVDLMIKVYFKNTNEKFPDGGKMSQYLESLKIGDTINFRGPQGNIVYKGHGVFSLRADKKSEPKTRTFTKLSMIAGGTGITPMLQVIAAILRDPTDSTQIRLLFANQTEDDILCRKELDDLEEKHPARFRVWYTVDRPPAVWKYSSGFINDNLIKENLFGPGSNSAVLMCGPPPMINFACTPNLDKLEYDPSNRLLF >CRE30881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1707579:1712335:1 gene:WBGene00052158 transcript:CRE30881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30881 MPNLLATASQVANSLSNLKAKYIKYLETLCSANGIDISTLKTEGDSHKILEMYFGGLPVLVGLKYFSHLSTLRLFGQQITCLKPLGEVAPTLEELWVCEGAVKDLAGIDHCVRLKKLFLYDNSIEDATCLSALTNLQYLSLASNKLKSLTFIRDLKDLTNLSLADNKMKDSVMATAIWPENIQHLDLSANCFSSNRALFPLTMLSSLRSLNIDPVTSQSQVAPNLFFAWIAHSFRFIESIDDDPITESFVSTFRPMIDTIFAEKLAKLAKADEKYHKDLRMVEKHSGKIENRLACLAEALTVYKDSMQTKDAKKEIVKRVHEICVNNSKAVKNIKKNYTLLSEYIRCLSKYRISCDMESCQTFQLREGTPEEISFITQTLNYGCSMKNSYEIIVKSCSLLGNVTKNETERLFILEKDSTEKLFDLRTIFNLLDRFTRKDYTKHPVRLARDFEVIKKQAKEKTTIFFIPLVLSKTATSRGASKFIDEDGMSSSNDNLSWADISLVAVLAVEIQPIGQLTTDQLRHMDLFEESYNEQLKKLNEMNIKLDVKEERHRRIDEPAETSIEAVSNQQSKIRKVSDVLANISNLTGRACDEKLPFDWFTIRNPLEIALAYFDFKPIKEISDMMMRLTALELCDQKLTKLAGIQELVSLQYLSLRKNKLSSLKKLNRLPCLKLLDASFNNIAKLEQLPSSLLHLDLSHNRLQTLTFCQSLGVSYLETENSNFKTRFIQNVRHLRVHRNQIKSMKGVESCVQLETFFINDNLLKDKNELELLKTMPKLTHLDMSSNPLSAAEGYRPRVMQAAHYLISLDRQIIPLEERHVNTMKQTTRGLSLELIERICPEWKNKRELMICDQKIEQIILEKAQMEELSHIRLIDLSKNRLSSVREISSFNITHLILNNNCLKTIATDGQSIPQFPCLENLDISNNSINNTTILRLGIPLLLKLKFINLSSNSLSRLEDFLVTVVATESHFRFDCTLFDTPNLESIDLSNNSIKTIIRRPLRALTSLNLQNNKLTTLTPLSCSNLISLDISNNKLASCASLKPLCEFKSLETLDCRNNSVTERRVYVDFIKANVPSVKRLDDEQMSNEIEITKRRLSRANDLISMSRRSSMVTSSMLSWFEKEEGETLDNVKRASSFLEPSAAEPPLRPRRLEPLPGRRKANSESNGLMLLGTKRPSYLQQDAIYCILPQQTREYTKRRDTDNYASESRCPNTESLLTFLLLFRALFRGARVESFHISL >CRE30882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1712578:1714202:1 gene:WBGene00052159 transcript:CRE30882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-8 description:CRE-ACL-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LUT3] MKVLKGVAFIVLVFFSSLLGTVFLLFPFIPLAWIQPKTWRKIADRLVGFWLTFPCALIEWVFGVKFRVTGDLIERNEPAILIMNHRTRLDWLFSWNALYKMDPWLLTSEKISLKAPLKMIPGAGWAMSSGSYIFLDRNFEKDKPILERIVKYYAESDNNYQILLFAEGTDKGERATQLSHAFADKNGLPRYEYLLHPRTTGFRFLLDMMKKENYIKNVYDLTIAYSGTIVDTEKKLLGGDFPDKVHLDVKKYKLDEIPEGDGCEKWLTDLWSTKEKRLKKFYEEEERLEASGDRFEWPETTSGIGYSVAFSFWVIATLFWIGAIYSLLWVKIYVTCAVIFYVGSLRFYNGAEFVFLRWFEARRDNVDKTK >CRE30883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1714518:1715857:1 gene:WBGene00052160 transcript:CRE30883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-9 description:CRE-ACL-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LUT4] MSSLNEPPVLGAGQPLGTRLKGWFFAAAMLLSAFFGVLYIVTPLVVLLFFKPRLWRQMLDRLVGIWVIMPGALCNYIFGANIRIKGDFIKHDEPALIIMNHRTRLDWLFFWNALYKMDPWLCTSEKISLKGMLKYVPGAGWAMQAASYIFLDRSFDTDKTKLDNILNYYAETEYKYQLLLFPEGTDKCPKATERSRVFAEKKGHVHYQYVLHPRVTGFVHIVQEMRKANNINYIYDVSIGFGDAIVQSEVDIAAHGACPKEIYYQVIKYPIDRIPKSDEALGQWLINLWREKEEKLRKFYEMPRNIRQFPDTPDGMEYELDNNTDMAQKWLIGFWCFTTIFWMFMFFESAFMFYWAVIACIFYAAVHKIYGGLEFLAMDRFNASKQYGVVGQDAEVLNT >CRE30884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1723541:1742959:1 gene:WBGene00052161 transcript:CRE30884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-83 description:CRE-UNC-83 protein [Source:UniProtKB/TrEMBL;Acc:E3LUT5] MDVIDSFSEVEMPQSTNEDLFKVIESSGEEVDIFLENCSSLYNLILDSLHNLTSKTISCECLDEMTSTLEKSAKKILAERPEAENSVLLRLNTICCAMEQLRVQHNAKLMSGAESDTSSSARSSTSSSTGEMRSWLHEVERRLEINEKRIRVEPNLTVLLADQQALQLEIQHEGQLLVNRLNKQLKDDNDSDSLEEEKRKTCVDAIRKRWHTIYLNSLSLVCRIEELLNHQQSSEDSESDPDLVGPPVKRARTRISGHLTASDTEESEDEDLHSQTETVVTDEDNVLPFAESEYESIMDGRVTVDSCTSSSEDQMVEQSSNKKWESVQQDVGYSSGENSIHEALNTCADHLLPETSDMRRKRIECSPVKAFYRTVQLEDMSDLEVTKAINHDIDEEPNLSDSMYVNHDSTFLTTQNLPEYDEVMALMDNEDLPMDISMTESFNTKWREIHGQKKPLRRASRPSREQMDLIAKSSCDASSEDSSEGEHQTQLEDEPEMMSVSFNSASFDTSSPLKRQRSARGLKNASFLYDSLEMDGSFCSTRSEMLPPCKTRSLARRKLRVRRMPRSMSDGEQLGVVSCKPEGLMTPMIRVSPPSTPVRRLLRKLDEQIRNRDSDTAPEHSDAAQAYEWDEYHPPQKDDSTADRNHSSMADISSQLMNIDDDFAEHFGTSTSSAYRLIEESKSHLRVVQKALEESNADIPHLSNFELIARSNLRQVDEALKIQSGYQPSFLETSTLQELRAEWAQLYESIRSPFVRIMHQVKKFAATLHEVSSMASLGDVDIRSKEDVMKALDAVTAIERRLSCERQELRDLLASPTFRDVAKELSCEFETVSEGYDDAVDRIGKMAHSLSQVKGEWDAWNSRQNDIRSAMVRIESHLKEGQMDNKMIAEEMELCQERMNSLETMCNYLTSSLGSIQNESNTKNLPDFKAELSIYSNALARLKDRFNDMVRVPTPPTIQFHPPEPRPSKGRSMTTQTAEMETETDEETPLSVSEAISSSRLIKFTFALSLLSALAAIFYYHVFGKPFGPHLTYVNGPPPT >CRE31131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1743487:1744271:-1 gene:WBGene00052162 transcript:CRE31131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-10 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3LUT6] MHSYHNPGVPTALSIFEPLQDGSTVSVHGHVRHGHHKNFAVELLSGPNIVLHVNFRFHHEHIVAMNSQFNGMWGPEIRHKNPLHHSEHFHLTIKVHAGYYHIAVNGHHLADYPHRYPYQSVQAIGLKGDVHVDKVHFEGFHFQRRWDGHIDHGHSGYNAYGTDSYVAPVFIQPNFSPYGAPPPPVNYNPYR >CRE31132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1745474:1748596:-1 gene:WBGene00052163 transcript:CRE31132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpt-6 description:CRE-CPT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LUT7] MSSKKTVRPPYPLPTKFPTPLEQASYKTYNWFENRLWPVKPLPFVATTVALAATLHYKYPENAILDVLPKFSSEAAEIAKTALVSAIGTYAGVFTLRKLLKYFYFSYKGFLFDNPKKPSLKTKIWGIVRHILSISPPILESCDTLLPNLPVPALKDTISEYLDSMRHILSDQELETITKQAQDFLENEGKTLQRYTNLYSLFTDNYVTSFWQKYAYLHGRYPLLINSSVAHCDLLKDKEATRAHRAARVTWIEVMSHLAVNRQQYKPLGDGLVCTRHYKNMYAVTRVPGEEIDQLKLHGVQKHIVVVLRGKYYKIHVVDDKNNIYNIEQLTKIFHEMISRDEKEDGVIGKVAALTHDSRDSWCKNRKKYFLENETNFKILQEIESAVFFLTLDEDDDYGYDPERPEVLNNFLSNTLSGDGANRWVDKSLNYICSKNARCGGTTEHSIADGAEFDHIMENFVYVDLEILKYQSVEEQEKMAIIKNDEGKTLKLATPLNFEVPDEEMLTEITRCYEAHQVSKNDLDLFALAFRDFGKGRIKKCGVSPDAFIQMAIQLANYRDQGKFVLTYEPASARFFANSRTETLRTVNDHSCAFVEAMLNENESKDAKIALLKKACENHVLRNKKCMVGQGVDRHLFVLYILSQGTGTPSPFLDNYISQKWKLSTSHVPNVTNQCDEDTDPNNTWLGACFGAVAADGYGICYRFGGNHGIFANITSYRSAANTDSTRFAKLLAESFHELSNLFV >CRE30885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1750919:1752271:1 gene:WBGene00052164 transcript:CRE30885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30885 MSFVQRRFSEMRLRLNSISGKTKLPDKKVFSLVSTILSPLLVPWQRRLETLAVMGFIFMWVILPIMDLWVPFHILFNTRWWFLVPLYAIWFYYDFDTPRRASRRWNWARRHVFWKYFASYFPLRLIKTAELSPDRNYIIGSHPHGMFSIGGFMAMSTNATGFEDKFPGIKSHIMTLNGQFYFPLRREFGIMLGGIEVSKESLEYTLTKCGKGRACAIVVGGATEALDAHPNKNTLTLKHRRGFCRYALKFGADLVPMYNFGENDLYEQYDNPKGSNLRAVQEKIKTLFGLCPPLLRGRSIFNQYIIGLLPFRKPVTTVVGRPIRVTQTDEPTNEQVDELHAKYCESLYDLFEEYKHLHSIPPDTHLIFQ >CRE30886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1753129:1755054:1 gene:WBGene00052165 transcript:CRE30886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30886 MGILKLILLPVAVGVLIATVWNLVPEKEVIVEENNFYGSGKIRADNTEIKPFKVNVDQKVIDDLKHRLENARISHSVLEDSDDFFYGFNAKQLLKLRDYWLNKYDWRKQEAIINQFPQFTTEIEGLQVHFLRVQPSKSYKIVKPILVAHGWPGNVFEFYKLIPILTDPKKHGINSEFAFEVIAPSIPGYGWSEQPKKSGFSQLACARVFKKLMVRLGFDKFYLQGGDWGAIITSLLTRVYPEHVIALHLNMMPAMPGTSFMGTLYDILGWLVPSTLSSKALQKNHNPFSKFGVMIVETGYMHLQATKPDTAGTSLNDSPIGLAAYIIEKFSTWTNTDYRALPDGGLNKRFTNDELLTIVMIYWTNGNIVSSQRFYREFFLDKRCEDLGKKYVSTPTAHASGLNELYDRTPIEVSRYLFNITHYTEIDMGHFAAFEAPKPVAASVFQFIKDLE >CRE31133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1755123:1756145:-1 gene:WBGene00052166 transcript:CRE31133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-moc-2 description:CRE-MOC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUU0] MRVSVITVSDSSHAGTRADESGPKLVELVDKSLKVNAAINAGSPTVVPDEVAAIRDALLENCKNSDVIITTGGTGFSKRDVTPEATLEVIERRCSGLEIAMHIGSLQKTPMAALSRAIVGIRGSTLIVNMPGSVKAVKECWEILEPVLNHAINLLKDTDDGSEHQMMKE >CRE31134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1756531:1757239:-1 gene:WBGene00052167 transcript:CRE31134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31134 MRRNSLTNMLGEFVGFNRSPGARLSSLSNQELNHAVKEEKRNFMEQEAVVRPEKRKPRQRYLSEGDIRVVSAHPVSVSELKRGLNVGDRTTVKVSANPAGEEAPVDVVMRVKSPKRKDRPTSLIERIRRKISYTKTGAIIESDEEKDHVEDVIPGFPKRRDSTRSERLFIPITPVEAVPIRIVQVSN >CRE31135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1758290:1759135:-1 gene:WBGene00052168 transcript:CRE31135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31135 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LUU2] MATKSKGGWQALESNPETINPFLKKIGVTDLECVDVYSFDQEMLQFIPTPQLALILCFPSAEARAFLSKQYEEVEKNGKRPEGVFFMNQSEEIGNACGTFALFHSLANLENRVNLGKGKFAKWFAKAKLVKEDERSDLLSEDTDLAEAHEETAEEGDTEQPDNVDYHFITYVNKDGQLYEIDSCAPFPRPLGATTDATMIQDASVAVKELMNNVQNLSFSAMALIGK >CRE31137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1760862:1762051:-1 gene:WBGene00052169 transcript:CRE31137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubh-1 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LUU4] MAASWTPLESNPSVINPMIEKMGVSGVKTVDVLFFEDESIGKPQYAVLLCFPEYKKVDEIMKPIYEQAKPADDSVFFMKQKISNACGTFALFHSLANLEGRINLGDGSFAKWLVEAKKVGVEERSDLLANNTELAGIHDAAATGGQTAPTGKVEHHFICYVGKNGFLYEIDSRRPFAREIGTTSDETLVKDAGAACQHLIEKLDNVSFSAIALVDQ >CRE31138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1762729:1763935:-1 gene:WBGene00052170 transcript:CRE31138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-11 description:CRE-DPY-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LUU5] MLLRLLAVLGLVVASASAGPTRSSKLIFLNEENWEDLLKGEWMIEFHAPWCPACKDLQKAWNAFADWSDDLGIKVGEVDVTVNPGLSGRFLVTALPTIYHVKDGVFRQYSGTRDKSDFISFVEDKKYRVIDPVPDYKHPNSKQMAVVAVFFKLSMAVRDLHNHLVEDKGIPSWASYGLFAGVTLALGCVLGFFIVIIIDQVFPTGPRKSQQAKKTEKKDTKKDSGTESPTKKNGNNNNTKETKKTK >CRE31139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1765743:1766940:-1 gene:WBGene00052171 transcript:CRE31139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdh-1 description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3LUU6] MTAPLRVLVTGAAGQIGYSIVIRIADGTVFGKEQPVQLVLLDVPQCANVLEGVVFELQDCALPTLHSVEAVTEEKAAFTGIDYAFLVGAMPRREGMERKDLLAANVKIFKSQGKALAEYAKPTTKVAIIVVGNPANTNAFIAAKYAAGKIPAKNFSAMTRLDHNRALAQLALKTGTTIGDVKNVIIWGNHSGTQFPDVTHATVNKNGTETDAYSAVGDNAFLQGPFIATVQKRGGVIIEKRKLSSAMSAAKAACDHIHDWHFGTKAGQYVSMAVPSDGSYGIPQGLIFSFPVTIDGATREWKIVQGLNFDDFAKGKIAATTKELEEERDDALKACDDANI >CRE30887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1768808:1769340:1 gene:WBGene00052172 transcript:CRE30887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30887 MSRLIVIALLGLFASQVLAITSWSAVSTNGVNTVGTYGTSVGGAGLGGPGVGGPGVGGPGYGGPGYGGPGYGPYGRYKKFCVSYFVKSIIPRYGGGGYGGYGRYGRYGYGGYGPRPFGPHHHHHGPPPPPPPPYYGRPF >CRE30888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1772463:1777746:1 gene:WBGene00052173 transcript:CRE30888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acs-1 description:CRE-ACS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUU8] MSLMNAMDLRILTQVLENCNAAVPPSYTHSKQMFSEEPISLEEAALNANDVMVAPSRKSYVHGCSTVPLLFETVGDRLRSAVDQVPDKEFLIFKREGIRKTYSQVATDAENLACGLLHLGLNKGDRIGIWGPNTYEWTTTQFATALAGMVLVNINPSYQSEELRYAIEKVGIRALITPPGFKKSNYYQSIKDILPEVTLKEPGKSGISSRNFSCFQHLIMFDEEDKIYPGAWKYTDVMKMGTEEDRHHLSKIERETQPDDALNIQYTSGTTGQPKGATLTHHNVLNNAFFVGLRAGYSEKKTIICIPNPLYHCFGCVMGVLAALTHLQTCVFPAPSFDALAALQAIHEEKCTALYGTPTMFIDMINHPEYNNYNYDSIRSGFIAGAPCPITLCRRLVQDMHMTDMQVCYGTTETSPVSFMSTRDDPPEQRIKSVGHIMDHLEAAIIDKRNCIVPRGVKGEVIVRGYSVMRCYWNSEEQTKKEITQDRWYHTGDIAVMHDNGTISIVGRSKDMIVRGGENIYPTEVEQFLFKHQSVEDVHVSLMDIMFVINEFMFQIVGVPDERFGEVVCAWVRLHESAEGKTTEEDIKAWCKGKIAHFKIPRYILFKKEHEFPLTVTGKVKKFEIREMSKIELGLQQVVSHFSEL >CRE31140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1778185:1779027:-1 gene:WBGene00052174 transcript:CRE31140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-26 MKVAILLVIFPLVSANVRPESISEESTEVALSRPKRQIYYLCGSFPNQYLSLTQCNSGCSTCNQCNTATCSTSSYCQQIRSNWRCVNGCCRVPNYEPTLPPTTRPPVNQNPVCGGRETSGGYCRSGNLCGSGYMCTDNNVCCRCSYGTSIGPCVNGQCPDNTYCSPTNNCCPYLVQ >CRE31141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1780274:1783815:-1 gene:WBGene00052175 transcript:CRE31141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dre-1 description:CRE-DRE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUV0] MSSSIGPEEMSSPSSPYYHLPTQVLDDSSEQSPSYSQDSNSPPQHTFESLEPAGGSSISSIRYSPSGRNEGDNPTLGLYSAQATYPYSLRKRRPCCTKDEDICFPITAATADETPQPTMKKFKLECLSPGAAREDFSIEDDETEVKPEVKVENMELDEQDHINKLPDELLLKVFSLLTDQALLACCSVSYRFNKIANSNEIWKELYISLYDYRIPLFHPTHAKFEFRELNRWRDCNPWKESHRQLHHGVHVMKEPRHNYRATNYRCFDQIEKALAFLEEDEYREKLIFLHAGVHEPIETILICTDVQIIGASDARDITSSVILEGSKNTTLTFTDGSANAYFGFITVRFRADPSSRQPIQQPQIAQQPVPHFYCILVVDKEASPYIERCDITSKTANGAAVCVKKYAAPRFKYCTVLDCENVGIYITDHATGHYEHCEIARNMLAGIWVKNHANPYFRKCTIHSGKDVGVFTFEYGQGYFEKCNIHSNRISGIEVKNAANPVVIRCEVHHGYTGGIYVHEKGRGQFMENRIYANAYAGIWITSHSDPTIRKNEIFTGQQGGVYIFGEGRGLIEQNNIYGNALAGIQIRSQSDPIVRLNKIHDGLHGGIYVHEKGKGLIEENEVYGNTLAGIWVTTGSCPILRKNRIHSGKQVGVYFYDQGHGLLEENDIFNHLYSGVQIRTGSNPKITRNKIWGGQNGGVLVYNGGKGCLEDNEIFDNAMAGVWIKTDSEPTLRRNKIYDGRDGGVCIFNRGKGLLEDNEIFRNAQAGVLISTESNPILRRNRVFDGKSAGIEITNGATATLEENQLFRNKYGGLCVATGVHPISKNNHIYDNHDTIARAIKSGQCLYKVSSNNSFPMHNFFRCTTCNTTERNAICYNCIKHCHRGHTVELVRFDRYSSILCSSTSHFYSYRFFCDCGAGTLERHCHLQNVPRDNDTVYDSATPISTETGTDL >CRE31142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1788392:1789874:-1 gene:WBGene00052176 transcript:CRE31142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31142 MSEEATTDDVLLGSRPSLVSTRAAHLSPKLQRRGSSSARKFGYLCLNTCDQSVARESMSLHYHSLNSVWIPRPRVSSE >CRE30889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1792704:1794750:1 gene:WBGene00052177 transcript:CRE30889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lipl-4 description:Lipase [Source:UniProtKB/TrEMBL;Acc:E3LUV2] MRETINELITVMLPLLLVLLLSKYTKSVDLEFYLDTPEVIKSWGYPVEVYNTTTEDGYILQLHRIPYGRDDPIPSANQPPRPVIFLQHGFLCSSFDWVANLPHQSAGFVFADAGFDVWLGNFRGNTYSRKHVSLNPDRDQAFWDWSWDQISQYDLPAMIGKALEVSGQESLYYTGFSMGTLTMFAKLSVDPSFGRYLKKYFALAPVGTIKHARGVFSFLGRHFGANYNDYVSKYGSDELFGSSWLFKKVVKYTCGLFETLEELCSDITLLFVGTASENWNQTRVPIYMAHTPAGSSSSTMAHLDQMFSYGGTPAYDMGEEKNLKIYGQKLPPQYNFTSITDVAIYLFWSDDDWLSTKQDLKETLFAQLNPQIVQGNYRISNYNHLHFIWGTNAAEKVYKRIVNIVSDDLN >CRE30890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1795736:1797589:1 gene:WBGene00052178 transcript:CRE30890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-10 description:CRE-TWK-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LUV3] MNSAFQLTRQGGLHVGLILLCILYVHVGALFFMYYESPEEERIHRKNTKKFASLRENFLSSVERVKLEDAYDERRVNESVSRLIDEYSKHMFQLFKNPVAANMFDCLFYAKSNYTPLWTTDSSLLFTATTIIPVGYGYIAPLTSTGRIVLCIYAAFGIPLALVMMSDVGKFFADAFVKFFHENITAFMVVLIFLLVAYSLIGGIAYSKVTGVSMIEGIYFSTITIFTIGYGDISFYADLVALFSPFVQFVELLIIFCYQSFQLEGGMYLMKSLLVFNFKVLFPLQFVCFEVRSECGTFKAL >CRE31143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1797930:1798314:-1 gene:WBGene00052179 transcript:CRE31143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-20 description:CRE-SPP-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LUV4] MKLIFSFLLLASLLVICSAQTAQPPSNCKTFCNLCQEGFKLIQKNIALVESITNDQLVKMLDYVCNKAPQLDIIKLVCDVAKNDVIDGINKFLEFIKNNTDPSTTCHQLSIC >CRE31144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1798864:1799269:-1 gene:WBGene00052180 transcript:CRE31144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31144 MFKYMFILLLTTVVECQVSPSVSSPNAPTILCELCNTGLSLVQTQLTVLESITQNNLGSLINKVCQAAPTDIPIVKALCTVLQENLVNALVTLIQGFKDQTSPRLLCGYMNACDKNVQF >CRE30891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1799466:1800153:1 gene:WBGene00052181 transcript:CRE30891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30891 MAQKININRGLGLGMAQLGAFARMGMMINVSAIGTTGGGDGQANGSVGGEGGIEMSRKSTWKSKDDEGKTRKSTAFEPDLDFDMIDNRHVSGSSQYLYGFGFDNNAFGSIDAQSDDDSVFM >CRE31145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1800186:1800513:-1 gene:WBGene00052182 transcript:CRE31145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-19 description:CRE-SPP-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LUV7] MMKGCLEKAMEDSYQNVPLCSSIDFSNITSDDAIPGEIKCTCSRDFCNGSFEDDPNLSTGVSNHLIAFFILSVYFLF >CRE30892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1801150:1803186:1 gene:WBGene00052183 transcript:CRE30892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30892 MIANRANTPPLFPRIFPLLVVFGALVIVFTVYTNSGSSKYLDRVSSRIKYPINGTGFSKQLIDYDLHDYNVKTYKGYVNMYELNEKVFKLYGYEIEKPTLPVPTLRMINEPTCELVFSEWLRVSQEPQPNNPPKYIPSGESDAFLLFGYAAVESWYMNDKNSYFGEKPKNWDKLSEMITWPKEKLAEIAYVTESVSVYNAMASHRLDGMSGVVIGSMQPWVEVMALRNGAEKILTVEYNRLTIQEEFRDRMSSILPVEFVRNWHTYADKFDFAASFSSIEHSGLGRYGDPMDPIGDLREMLKIKCILKKGGLLFLGFPLGTDAIQYNVHRIYGPIRLAMMFYGFEWLSTFSGGLENAFDLNSQRLHSNVKFGMHQYTMVLKKL >CRE30893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1803993:1805706:1 gene:WBGene00052184 transcript:CRE30893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30893 MINRANPILCPSRFYPLLLIIGLFLMLATLLIQDRDAARRLPTAAPMGKLSEALNLNLPKTELSLKEVRVKTHRGLVSLDELNENVFKKYGYEIISPTVPVPTLKMIKEPTCGEIFSEWQKISEQPQPEYPPKEIPAERKDEFLLYNYAAVNEWYFNDKNSNEGERPRYWDKLSEMITWPKEKLGGQAYGTDGVSMYNAMKFHRLDGKSGVVIGSMQPWVEVSALVHGAAKVLTVEYNNLTIQAEFKDRMSSILPINFVKNWETYAGTFDFAASFSSIEHSGLGRYGDPMDPIGDLREMLKIKCILKPGGLLFLGFPLGTDAIQYNAHRIYGSVRLAMMFYGFEWLGTFSGDTEQPNDLTSERLHSKPIFGHSQNTIVLRKL >CRE30896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1811014:1813040:1 gene:WBGene00052185 transcript:CRE30896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30896 MQYVEECYSKFRMCLNLCKLQNILRLLVMVSLFGFIFIIDYQLKTKQRKDELKVLRQQHLAEDPEEGKTVGYEIIGDEIKYNVVEKPKIAIVSVLRSLEQTKFYDIAISTVKCYAKIQGYHYILAVEKDFDCYQKDQFFRRHCIIAKILPNFDAVLFLDADIGVVYPKRRIEEFMFQNFDVIFYDRFYNWEIMAGSYLVMNTPYAIKFLNDFANYETTLPDSFHGTDNGALHFFIAERFFPEEMYTIDLCRQPYKYSRDFDDVFTYEACLRGILGARTEFDRVKILKKGTGWARDSWITDGVWNKEIGDFMLHSWKTSQIQTIPNRRIKPVKTSMYEWFNPLIGAIHLDKCHPKNMSWNYDERLLGDSEEMMESLTEYRNRVTKQQFHFYYRMKSFV >CRE30897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1814395:1815926:1 gene:WBGene00052187 transcript:CRE30897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30897 MSSWKIRYSLVPGVENRYNYTFLLVAFICFIYISIIFVFDTIPSTKIVWNADETEPVHLKIAIVMIVTKETKPEYYEHAIQSVRCYCKINGYEFVLAVDSDFSCSHKDKFFRRHCAAAKILPLFDAILFLDADIGIVNPNRRIEEYMENDIDVIFYDRFYNWEVMAGSYIARNTQYAVDLLNEFADYEFKLPKSFHGTDNGALHIFLAEKLFPHASIETDICKKVYAKSNGYEDLFTYEACVRAIFGAGTDFGRVRIKRKGTGWARDGWLTSMLWHPDIDFMFHGWKLNQLKETPSHGLTPNQMGRSQWFNPLSGPIDLSTCSPQNKTWSYDPRLRGNKEDILKSLRKFEEEIAVQQVNSYCRMTEMMLRK >CRE30898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1816195:1817638:1 gene:WBGene00052188 transcript:CRE30898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30898 MLDHKTHYQNDRKSIQISHKAYLGILIVFTIIFCFFTYSPIPRSSRNTTQKFDSTNYEIPSERIGIVAVITNDTNIQAYEIALKSVECYAKVQGYSFVLARDSEYECQNKDKFFRRHCVVSKILSNYSTLLFLDADIGVVNPKKRIEEYLDDNIDITFYDRHFNFEVAMGSYILKNTPYAKQFFEEFAAYESKLPNSVHGTDNGALHVFLAEKLFPHKKIEIDWCRKAYNHSKNFDDLATYEVCIRAILGAHTDFGKVRIMSKVRFILFFSNYTDRFKGTGWVRDAWLTSGVWSPDRDFMLHGWKTKELTVAPTGAIKATEMSWSKWYNPFSGQIELAKCFIGNTTWSYNEKLIQDRKSVDAGLLEYEKKVSYNRIRQMGKIFELVNRET >CRE31146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1817703:1819644:-1 gene:WBGene00052189 transcript:CRE31146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31146 MATIHEFDIRVQEISNVTILSDRAEVKKVVPVSVKAGINEVQLKNFSPCLERDSVRVDGHGKATICDVSVKESVHTDTTKVVDLRKELEKYVEIRKMHEDQRDILKKTIEGLDRTIGEIGRRVMNPSKESGSVVIDEQLLNGLQKLFDFHQAEAEALKLKFREKEQEIAKTNEMTTKINEELLNLQVARVTISHCVIITLEAFEDGEVELEVIYQVFQTGWQPSYDIRVDTQTPSMTITYYGKLHNHSGEDWNELPAVLSTAQPCLGGHIPELGTLDAQFYRPVYLSRPRGRGSMKKSMMMRRAVPEARGMENHDDYMEEENEEMETMSPPEMEVTQNTLSTEFKIPRKSNLPTGTSDHKVTIGTIVLTPSLVHESVPSKNAAAFLTASALNTSVFPFLEGETSIFLDNAFVAKSYMKNVSPGERFTCSLGVDTAIRVEYKPAKKFHEEGGYITKHSALVTEQTVTVKNTRSGQPLLLTVKHHVPRSTEEKIRVKLASPVAIPYDPATANENEVLEPKEGIKMNKDHNLEWTVKLPPTSSKDLVIKWIVEHAKGQNIILQEKL >CRE31147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1819816:1821788:-1 gene:WBGene00052190 transcript:CRE31147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31147 MTATPTIHELETRDQHISQVKVFTDRAEIRRFVKVALKSGVNEVVLKNLTNNLTHGSMRVEGRGKATIHDVVVKNVSSLPQESDSPKMAALRATLQEEKARLQTIDDRGTVVQKSIENLDKVFGAVGGGLVNPPKEGGVSLNEGTLTSLKNFFDFYGNNSESYREKLRVIEKEHREQQEKVRKVEQELNLIQVKFHNNVVTQAATITLECTEETEAELDVIYQVQNAGWSPSYDVRVDTEKPSMNITYFGKIRQHTGEDWTGAQLVLSTAQPCLGGKIPELGTLEATFRVRHYPSPPQVMHMQTRSASLFGAASAPQPQCMTLGPPMEKMNVAVASEVTQNTLSTEFKILREATIPHGTNDHKVTVGIVTLSPKLVHEAVPSKNAAAFLTASAINTSELAFLAGDSSVYLNNAFVAKSHLKNVSPGERFTCSLGVDTAIRVEYKPAKKYHEEGGYITKHSANVTEQTIAIKNTRSEQPVLLTIKHHVPRSTDEKIRVKLVAPAAVPYDAEKATNESENAEPSEGAKFNSSNNLEWTVKLAPNSSQDLIVKYVVEHPKDETVQYHERF >CRE31148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1822917:1826731:-1 gene:WBGene00052191 transcript:CRE31148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gon-14 description:CRE-GON-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LTE4] MKFQCCQCGILPDKAELIQIPNNASTLNKWIRKFNLKLINVQKNKVQYICRKHVQDEKVVEKLPYEPTTPSSSEFEVKQEEQEIVHQEDRNSNLWVDPKEEYAEEVNYDDLELEMQQESYSTYEEDEQQKNYEMDCQPTTSTASYSSSSQCENSQNGTDLSNLRLCFPELSDEQIMIFCVKTSSNISGETSLECGMCAEQYFNNTDTRPIRIHLEAQHLMLVQNFIKTGRASLAPKCYSPLTELTRMNADQELCKFLIANGLPLSSVKDRNLEMLVFNMNSSYTLPPVDTLYEHLRIFASIRTKAKIRTDPGPITVSFDTTMYNNKNYLAFTVHYYQKNKKQQIIYLREFEITQYAYFIFSANRENSFRPMVSSIISAIKNSLDESNIRAPITTVVSGKAEYEESLEAINSFKQVMVCFSQNINKFAEALIELPVFSDMLAKIRSFITKLPSNRNTWGRFKAFLVRKRSHGEYPEIDNGHWFTTVDFLTKCLHMHKLFSDFLHSQTHSQSQGNYIAVEDNVNMVYLHSLLNYCKTCLSTMVDSNATIADVIPSIMDMHATLDITTSNQKVIDAVHMLFNRLLLPFVEQSDIHRFALFLHPLRHGNSVLPTNEWNRVRSAISRHLSLREATEGTPINELGQMSGLPSYQDALTVDKEVAQYSAYVARTSGVESEVMDWWTRHATRFPRLYKYARELFQIPAFSIDAAFYLGDYGLITNSLSQTDPSKQKMLLQASSELVDFRSKGDVMSKTICVKRKREVSNADDMWYTPIDMKALLACDANDIHDGTRRLLQKPQTSMFSQAALSKNRIFTPPSSVQNRQYTLPPAPGRSWAEEIAKVATRPVPQKTYHLANNSPYSRPVVIRKAPALLEPKQESTSLVEPKMEPPVMHIPISTRKYYAVRTVPAPGQKIVRSVNGKVLQVVRARAPMTATANKSGITTAPQSKKVYFVRTKTGVTQLQAPP >CRE30899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1830026:1831126:1 gene:WBGene00052192 transcript:CRE30899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpr-4 description:CRE-CPR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LTE5] MKFVIFAALVAVATGLVIPVAPKTPEAITEYVNSKQSLWKAEIPKGLSIEQVKKRLMRTEFVAPHTPDVEVVEHDIQEDTIPATFDARTQWPNCVSINNIRDQSDCGSCWAFAAAEAASDRFCIASNGAVNTLLSAEDVLSCCSNCGYGCDGGYPINAWKYLVKSGFCTGGSYEAQFGCKPYSLAPCGETVGNVTWPDCPDDGYNTPACVNKCTNTKYNTAYKDDKHFGSTAYAVGKKVAQIQAEIIAHGPVEAAFTVYEDFYQYKSGVYVHTTGQELGGHAIRILGWGTDNGTPYWLVANSWNVNWGENGYFRIIRGTNECGIEHAVVGGVPKV >CRE30900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1836842:1838530:1 gene:WBGene00052193 transcript:CRE30900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-37 description:CRE-NHR-37 protein [Source:UniProtKB/TrEMBL;Acc:E3LTE6] MVPDKSCLVCKKASNGMHFGALTCRACAAFFRRATVLKLQYKCKQGNSQCNIDGRGRYVCRQCRLKKCKAIGMNEEKVQLDYDPTYSFRGLLEDSGSEEGSTPTGSPAQSEYSPTNLSRKNSVESPGIDPSFLFTFSPKIQDKPNMIIDFSSLVKKVETCFSVKTLNDECELEVLTASLEKFRQGQKSSSDVSFLEKVDVTTTVEWLNERIYKYSCWFASSKSLMSLPMDQKMQVFRSSWNVVRTFERLELSIKVFEEDVIKSGCMVLTDDCAMKWDKTYVDLASITELSNQYFNKLFEPFMCRYIDEVARPLLELKPTTEEVVFCMVHLIGLDDAEFTPETREACEQMRAEIADQMHTYYTNRTDIKMYSHRLLILMKLVKSMKKISRDKSKIKELIWLFDVYHADISEPYFFEMF >CRE31149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1838651:1839815:-1 gene:WBGene00052194 transcript:CRE31149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppt-1 description:CRE-PPT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTE7] MTALLPYLLIFPLLFATSVAIGNGTKQVPVVIWHGMGMLKKNNFMDIKIFFLFPGDCCCNPLSMGSVKKMIQEEVPGVYVHSLELGGSITKDIEHGFFANVNELVYMACIKIQNDPELKNGYNAMGFSQGAQFLRAVAERCPNPPMKNFVSIGGQHQGVFGAPYCIGDNVMCNSMRRLIDMGAYLPFVQKRVVQAQYWHDPNQPEEYKKRSIFLADINNENKNNPTYKRNLLNLKNMLLVKFNQDHMVVPKESSWFGFYKDGDIDTILPMNETDLYLEDRIGLKKLHESGRIQLLAVDGDHLQIPRSVLVNDIIKKYFM >CRE30901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1848511:1854156:1 gene:WBGene00052195 transcript:CRE30901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scd-2 description:CRE-SCD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LTE8] MRKKGLWGLCLVLFQFALVNAFLPNETFDETQYYAHRFDIREAGNGTETTYLIAAESRDDAVEKFSMLSSNCSLLSEVEEYSCKLDDKFCKYHNYPNYKTYKFQQNACECNVDSCDSETNSTKMILYPGCFCEHREEQCDFRTDNCPQWTEQSDHKELKFKIYPAKTLSYFQKFIQAGSATALLNRNKISMISSFPFRQSGFNCSLNFMHHFTYQSSSSRLVVRVLLKNGQNRTLYEYTHKPIKPLWVHTTVGIGSFSEPFRVSIDCETGSTKKKKTKQKDKVFACGLANIHFEDCMDIRDPIEKCSRGDQFLCSTPVNTKCLTDAQCDLKSDCVDGSDEMECGSVTGTMCNFDSSDGFCDGWLQTTRIIGPQQINDPNSATPLNKFDETLSYLLRKRMPSPSTLREARRGSGQMLVYDYDSVDMLSRRISVLQSPVFPRTNPLAYDKNSHLFETCTLRFFVCSRTYHKTWEIAIISKAGNPFDSGTTTLYEGTYDPTHPEDSGCRWERVLIRVPRQNAGFRLGIFVNNFPRNDFFAIDDLSFSPTCFEKSINQSTWEIPDLTVSTCGTSGSDKPLSCGRDRELDGQTGHFLKEDGSQEWTVPVEGFYRIDACGAGGGSTNIGNGDPGDCVTLQVVHLMENIALRMIVGQVGESACLTEQEEEFRPASCSKTTHKERRNNDGGAGGGGATLIKFESNQWNVVVGGGAGASWTNYDDDEDDFDSVGYGTSVVSNDFDEKCNVTCKSISHIEFSEVNLERCENSKDQQTIFGGFGGGGSSCGMLGGSGAGYRAGNPFGKGRERSGTSNVTDNFSKDVLYFQSNKVEEGYIKIRFCRKQCPKPSICRFRKDYYDEEYCGCPDGSNFTDSADSCACPLACPESSTCQYRNFTFEPFCLCNNGKLLEDMSIDLCEIAESRHWSWYLMSIVVFVAAFLSPFFAYNWKKSRQTLKKQEVAMRDFIPPEPTYDDIYFGQTTRKEALDSLETIPRDAITRGRVLGRGNFGEVYYGEYNGSKVAVKMISRTFSASQSSQADFYNEALCMGTFQNNNIVRLIGIDFDSQPFMIAIEYMEGGDLLSFVKDSRPNQHSLNPLHLAMSDLVKLCYDVGAGCRFLESVGYVHRDIAARNILLSTRGMNRVAKIADFGMAKAISNGSEYYRVHGRAMLPIKWTPPESFIDGVFTTKSDVWSFGILCWEVFSLGVVPYPNRRNEEVMLMLTEGGRLEYPYGIPTRVYQMMRECWRTSADSRPTFSSIVETIEDILKDPASVGMSFPIHPTVRASFAHSQSTPVSVETPMTAMTDVSLNSTFTDASTVKMATAQQDMQDRINLHELMLTREQPYDSEMTPCIINTIRKDLARVQYETTLTSVPQPEYLSPNSIDESVQLIPSSNTLTEQTPPTSLIDLNRLAVQNTGPALHRPDSLNFNDPYSSVPLLEIQTR >CRE30902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1863072:1866203:1 gene:WBGene00052196 transcript:CRE30902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30902 MVLDTSWNRTTIEFGHRGVTPVHVAALVMGTINCLLNGLIWTAFRRSPQLLTKHHLYLFYAFAITNFFTGFFTIPTYLNLFYRNNLNCPRWSILIGSSFEIGLDKVRHIITLSIAGERIHALFRPSEYFFLDHKMISLKICAFAVVWGVLDMIFLVFEDDIHMIRIHCVTTSSSGPTFHLYFLLSTIFFGVLLSLAYFLFICKLFVLRETRVVNVKKRSRENFQQVNSLTVMVILLVVIFNVLPSLLYLYDMIIGEVHFMKWGPIVTIGYHCYGSLSFFFYNCRHREIRAALNKIEFIRDFVNCDKTPKSNSKSIDASGQFSNIVKGVPNMVVSKFGNSKPSIVIVTDSNDNESEIFL >CRE30903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1866551:1867045:1 gene:WBGene00052197 transcript:CRE30903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30903 MRRETVLLVLFGIVICQKKKPWNAETADQRLDDVITNEFKARDADGNGKVSPKEIRTYYENHPDKMSKEGLDFIDRNFNKEGAAVDLEGYRKLIKDWLTEKGSVEKILVGVFGNNTKQLQSHHVWRKTTIAP >CRE31150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1867175:1868636:-1 gene:WBGene00052198 transcript:CRE31150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syx-18 description:CRE-SYX-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LTF1] MAVENPPFSDRSEQFRSLATLIEQKHIRRHNEKPGVSRRDENRPSPAFTNISNVVYPLATEMYLFRKKLEERSQDYIFATPAYSKMSSEERESFDADVKEVRSALEHCIASYFSFQKLSQFESFINQLGQRVNSSDGLRNATERGHLTRVQEGLRTYLKEIVDFVSLLKKEHLRRLQAKNRSLADDVKEAKKDGHVFKYLSGLDELLYNSDLEFSPKLIDKLQKLSTKHPCLKYKPQKETSLDRDYDLVEDITSLTGKREEIQTETDDGWDGIDELEFDDAPKPQRQEPSNENRASPILFNETVRNRRRAGFEPEQDQLRDSYERWKSEQGDQFAEQFQQQTAIIKEEFVKADRDDEIEKLEQQISEIQSLSSVFSEKVIEIVSRSTFKQYFQIMDQERDIDLINDLALHTSENLIDGNEWIRKAITNSAFQRVWFLFCIVVLTFTLLFLDWYNP >CRE31151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1868740:1869783:-1 gene:WBGene00052199 transcript:CRE31151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snb-1 description:CRE-SNB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTF2] MRAVAVFVVFFFPVFQSSISKRNFHSFPLAQLLFFQGCACKTGSEHLNEMDAQGDAGAQGGSQGPRPSNKRLQQTQAQVDEVVGIMKVNVEKVLERDQKLSQLDDRADALQEGASQFEKSAATLKRKYWWKNIKMMIIMCAIVVILIIIIVLWAGK >CRE31152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1874372:1877073:-1 gene:WBGene00052200 transcript:CRE31152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmp-5 description:CRE-PMP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LTF3] MGKLQVIEKKFEFGFRVFYNLFRLLPLIYSNTWLTLGLTAVTIAASVGNEIVNYKTGVIPGKFYVALIEKDEPKFWHIFWVASLCYIGLCLLMALMNFFSWCLYIQQRKNLVQCLHKLYYRNNFYYQLNGVDDQGIDNPLVIKQLLFHPKLCIFSDQRITQDADKLTKLLGTSIIPTVLLSPFIISYYGVKVWNTAGGWGFGMIFAYFAIGVIINRILIGPITPWAARVEKAEGDFRYKHVSVRVNAEESAFFKSAEFEHMFSNLSFDVLFRRLFAFMCWRFPSQFFQSFFDYYGGCMSYILQLFPLFVFHMYDNLKPADLSGQIKCILFHLPYQLFHKTHRFGNEYRRTWRIYHEVQYTHKNFLTSFFRVSEFVDNGKLYQTGIENDAFRSNSDVSDTKTSGDENLIEAEHLSFGPPTNSNIRIIENLTFNLPRNRTMLITGDSGIGKTSLMRVIADMWPHEGTLNKHYEKSDAYFLPQRPYFPVGRLSLKQQLVFPAAKNGQDFEKDGEIVQILNELKLGHLIQVVGSIYDNVDFEWQETLSPGEQQRLCFARMLLAEPTIAFLDESTCNVDEGIENAMYEMLRKRSITYITVGHRKSLRSHHDFELRIPSSSSFLFHEIHSQKL >CRE30904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1878645:1880732:1 gene:WBGene00052201 transcript:CRE30904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30904 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LTF4] MGGFLSHLTPERNSEILDATCGPIRGNVYKHGEKLVDGYLGIPFAEPPGRFEKPIEAKVWREVKECTVYGPGCPQSGVFDQMKDQLGLKFNEQNCLSLNIFAPRRKSNEFPRGLPVMMNIFGGAFEMGTSAAYDDYSISGTLPLRDVIIVTVNYRVGALGFFTTGDEVCRGNFALWDQTLALKWIQKHIKSFGGDPSNVTVFGCSAGGVCADLLTLSTHSQGLFQKCVAMSGTADCEMACRSSKNQALIFKEFAVANGCKETDSSSILKWYTEQSMEVLNKLSSFKFSRSGFMSCAPNLDGDFFTKPLEKLREEAPKKSFIFGRTEFEGLIMAIPDPVYSRIGDSIPSALKKLYKSDVVEKPEDVAKFLIESYLGGIDESNDSVAKKMFIEFLGDAVYNAGILNSVESAAESGNNVFLYSFDFCNPDGYGPLGDLLDFKASTHGNDFRYVLGDGGYEKFIPSEQEVEVMKFMGRIFSNFAKYGDPNNAEMSLKWEKYSLKSPGSYFKIDHPACQMKDDFLKERLGKLRQIKRNKIVIDFEFSE >CRE30905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1881354:1883236:1 gene:WBGene00052202 transcript:CRE30905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30905 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LTF5] MGGHLSHSEREQNSEILEATCGPIRGNIYKHGDKIVYGYLGIPFAKPPIDDLRFKKPEPFGVWTEPRDCTEYGPRCPQSGPFAEAIRFEKEDVADEGNCLTANVFAPRWESDEFVSFILVAFTPIFMVFQKKGRPVMVYIHGGGFECSASRDYCDYSISGTLPLKDVIVVTLNYRIGILGFFSTGDEVCPGNFALWDLTLGLKWIRDHIESFGGDPNNVTIFGQSAGAALVDLLALSPHSRDLFQRVIPMSGGALCEYAVRTAESQAEVCKQFARHLGYSGNDSESLFNWIRAKSIKNIEKMNGFNVPASGILAYTPNLDGDFFPKPLDELRKESPKKSIMMGFTEHEGLFFEFLVRDPTPPFDTLRRNVDTYYKEDTGSDFKEVREKIFSFYTRNVDSENEKKLREVVIDFVGDALFTAGIFENAKSCLKHENDVWLYIFDYCEPSGFGPQEEFMTFVAPTHCTDLRYILGEGLYSDFKPTAEELKMIKKMTEMFSNFAKYGNPNEKGSNEWERYSSEKPRRHFRISYPRGEMRSDYHAERMQFLESIRKNNKNLEAVVYGRF >CRE30906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1883537:1885577:1 gene:WBGene00052203 transcript:CRE30906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30906 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LTF6] MGGHLSHLKPEHHTNIFLASCGPVRGNLYRHGEKTVDGFLGIPYAKPPVGELRFKKPILADVWTEPRDCTQYGPRCPSSGMFYEKIDLKNQDIPDEANCLTLNVFRPNWQSREYIKRPVMVFIHGGGFELCASKDYCDYSLTGTLPLKDVVVVSINYRLGALGFFSTGDKVCPGNFALWDQTLALEWVQKHIKSFGGDPNNVTVFGQSAGGVSVDLLSLSPHSRGFHISNRKKHDFHSDLFHKIIPMSGSAHVPFAIRTSSNQAEVGLEYARNKGFTGSGTFVGSHPLLSEFFLGSSELFEFMRNLPTEKLLERTGFEHSASGMVSFGPNLDGDFFPKPLDELREEASKKSALIGMTEHEGLLFGKLLLNFLFKITSVFASAFTDPSFTTADVVLKKKIKMEYKEDVVDNPEEICKEILDYYMNFNNESNEKKLVAYTGDSIFNAGVLLAAESLAAHGNSVYLYVFDYCNPDGFGPLGGILPFKVPTHCTDLRYILGEGVYSKFDPNEEELKMMDYMTTVFTNFAKYGNPNVSDSTKWEKYEVDQISRHFHITSPENEMRNEFHRGRCQFSMETNKRNKSYQKIFFDKNI >CRE30907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1885854:1887787:1 gene:WBGene00052204 transcript:CRE30907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30907 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LTF7] MGGYLSHLKAEPLPGVLNASCGPVKGNCYRHGDKTVNGYLGIPYAQPPIGKLRFEKPVPADDWTEPRDCTQYGPRCPPSGAGHERGFIAERDVPDEEKCLTVNVFVPGWESEEFKNKRPVMVYVHGGGFEVSSSREFCDYSMSSTLPMKDVILVTLNYRLGILGFFTTGDEVCRGNFGLWDQTLALKWVQKHVVSFGGDPNNVTLFGQSAGGACVDLLTLSPHSRDLFQKIVPMSGSALCEFAIRTAKNEAEVFDDMVAKLGFTGSGSKERLEFMRNLPCKKLVSKTGFQYNKSGFMSMCPNYDGDFFPKPLDELRKDASKRIMMTGIAGNEGILFAFNHSTYSNYTELLQQKIAEDYKEDVVDDVEGVRKEIFDYYTKDIPNDDDTMMRRAAEFVGDSVFHTGQLATAESAARHGDEVWFYKFDYCNPDGFGPVRDALPYVGEFIAVYFIKYEKISAPTHGIELRYLFGDGIFSKFEPTEEELKMLDKLTTIFSNFAKYGNPNETGSTKWEKYDLNRPGRHYRISYPDSGMEDDYCGGRWKFLEEMRKKNRCFQANVYGKKI >CRE31153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1888414:1890252:-1 gene:WBGene00052205 transcript:CRE31153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-46 description:CRE-EGL-46 protein [Source:UniProtKB/TrEMBL;Acc:E3LTF8] MVPMGDFWVKAILSSTNPSPVPSTTSTISNDENLDKGFEYDCSTQTNFSTIPMFWNPSLVQQMLALYQIQQQQLQFSSKFTPQTLFPENIDLKDPSLVTPSRKRQLASEPKKTKLRKLHEDTVTNSPVSGMFIKKETDVKCVEELQKEADMLDETAAYVEVTEESRQKIDEIPNVIGDCICRLCKVKYDDVFKLAQHKCPRIAHEEYKCPDCDKVFSCPANLASHRRWHKPRNEFGASSPPTQSVVSCPTCFNSFPSKKMLKLHSTTCQRSPLQDLLSRVIPTM >CRE31154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1893897:1895752:-1 gene:WBGene00052206 transcript:CRE31154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31154 MSLLSNKYRVLVVFVGFLCLVSVCSNYVVMNFTFICMKNDMSFTRDDRGNETSPVSLFDYTPNEKKYIMWAVAAGTIMGTFPVNYVFVRFGGRWTFFIAGIVSVIATTLIPLAAQTSFHALLVARFCQGLAFAADFAAIGLLTVRWAPLSETAIFLGALTSFTNFSSVLTNAISGVICEGFGWRTAFYAHAAMGFVFFILWAIVYTDDPQKSSRVSSVELRKIQKNKSEQHLNTKNVDVPYKKLLTSPIVLCVWLNAFAEMSIIVFLHTYAPIFFNRILKFSVAETGFLLALSVFFPLPLKLVGGIISDKAKCFSERGKMLFFNTVSVGLVGFLIGLLGFIPQSYHYVSVVLFSFIFCCLSLNVAGFYKCATLHTRQFAHVVISTIQWMKSVALITGPALVAAIVKNEDSPVQWRIVLCILGGIMVTANTLALCVFTDKPAEYTMAEANEKTIKYEVNKEEA >CRE31155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1897410:1897883:-1 gene:WBGene00052207 transcript:CRE31155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtl-1 description:CRE-MTL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTG0] MGYCKNKHCKCGDKCECSGDKCCEKFCCEEASEKKCCPAGCKGDCKCANCHCADQKQCGDKTHSHQGTAAAH >CRE31156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1899837:1901228:-1 gene:WBGene00052208 transcript:CRE31156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31156 MESSSISRWFEYESGHAWCESAYKYQTLPYVAEFANTCTNLPIIVLPLVNIMLLRRYLHDVNGGLIFPQLLLTFNGLASTYYHATLNLFGQLVDELSLVWIITVFLVVYIPVMKWFPERFSKRLTLVRWVVLIVTAAVSALCFLEPNLNAIALMLFSIPAAVVIRYEGKQSGIPDIESFPSRILALWGVAFSFWFADRLLCDFWLYLGTPYLHALFHLLAGLAGYTIFIMFSMIDIESRSKTHRYTAAVRYFPDKNGSIFSFPYICLKERSQ >CRE31157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1905483:1906885:-1 gene:WBGene00052209 transcript:CRE31157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31157 MEDKMKLKTIGDLKFPSIPFSPIATSSPKLTKMRAPDIDQSWASSSDDSTGNIASCILTGIDEMHIHESKSGDDSTTSSCSVHTALEFVDLLAFKNKTTSERITREELRTAIVANKMRYTDSSKEEAEIYAKVVTERILWAACRTWNGTIPVERKEDVIKYAQYANDDFYMEGTAQLCTEELQQLSEDWKYDRKTVGTFCAEKGMPPSIIDRLFTKNLVRRTDGDYLDDIEMMWLLFAIIGTDELQTSEYWFRVLDVQSTGVLSFTELENFYADITILLDKYDVIAQPYSTVITHFIDILGTDEWTLGSFKRNIKIVHKVLNGFISALRFLEQELDEKTNGERLEDQKFGEGERTRWQRLIDRAYAVVYHRNDSSSSLESSSTDE >CRE31158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1907253:1908765:-1 gene:WBGene00052210 transcript:CRE31158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31158 MLKRPGEFTPNTTPSKIAPWAVNETTPSEKKRGPSLLLKASPTKQIQKRSSHSFIKTYIFFDLECTGLIKNDDTRNLPSRPFEKPEEHYKILDQLCLETRKDELPHITEMSFMAISSETFDDLKAERLKTLKWNEDNPENEISVAKYVPMNTHTRQINPILMTEGEWGIYERFRMSDRKGVLVHSKKNCQRNNSFKEEWPGVIQFFNTLQKPAVLIGHNAIKYDLRVIYAELQRNGLLEDYGIPSDVYFIDSYWMAKKVEDTIVKELVTVCKYVKFPKSELDLELSVTKYSQDSVPSKSEEEDEDDRIVIADSNDPTTIEDSTKPTFSRNTLESDHPAQILDWDKFSVAIKKRIRKDGFVRTGNGGWTYKHGNNKFNLPVLYEQLVGGEYSAHYAQQDAEALMHVCLSYGNEFTQYVNESASPIPY >CRE31159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1909082:1910893:-1 gene:WBGene00052211 transcript:CRE31159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dlst-1 MLGRRAVSVQRFLSRTARQGVTAASSIQPTLQAKTNLLEPLVQNVRINSTANFHMSVIRMSDVITVDGPAFAESISEGDIRWLKQKGDHVNEDELVAEIETDKTSVEVPAPQAGTIVELLVEDGAKVTAKQKLYKLQPGEGGGAAPAKEESKPAAAASPAAPAPTPSQSSSPSPPPQSSPSPPKPAAGEIPKSAPPVARPPSTPSSSTPVGAVPVTRVVVPKGVDPSHAITGARDEVRVKANRMRMRIAQRLKDAQNTYAMLTTFNEIDMSSLIEMRKTYQKEFVAKHGVKLGMMSPFVRAAAYALQESPVVNAVLDENEIVYRHFVDISVAVATPKGLVVPVLRNVESMNYAQIELELANLGVKARDGKLAVEDMEGGTFTISNGGVFGSMFGTPIINPPQSAILGMHGVFDRVVPVNGKPEIRPIMQIALTYDHRLIDGREAVTFLKKIKTAVEDPRVMFMNL >CRE31160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1911221:1912199:-1 gene:WBGene00052212 transcript:CRE31160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sna-1 description:CRE-SNA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTG5] MADKKDYVAIAELEKQIADNATNFQKWKNANALQRGSIEYNEGVTRFTDWDRDLRARLAAHQGINVESGPKSIDAVLDELLDKVDISGFAQAIHIANSADPTFYQSLLQGFQNHKLNPPKPVFQMARTQFYPGFASSPYSYAAPQSTVSVPAYRPSVSSVVNVQRPVSPIRDYQKKSGAPFRDFSV >CRE31162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1918060:1919346:-1 gene:WBGene00052213 transcript:CRE31162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-30 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LTG7] MWWFRQQIPSNEKRIQICFSPLCMCFSIDFSVFSDVIMLRCHPGFYTNLEILKYGIQVVYFLLGLFFHVSVLKILHRKWNVYSKFPFLQLYYVDSILSIFIILMNVGLIRIFNYIPPLCPWALKQYPEPTQFISLLFIEQYFKFVKCLIFCFMIVNRANCVICPMSVGTIQKCVIPHVIMFSFLSPFIGVWTAFLSESQFIPFQGGFIHESKMTFHWITVPNFSVIISFITIVTVCICSLICMICVSRTRSENRHTEQSLTASAICMSIFYVFALSMEIYFHQSHASSLEMFEFWRALSAFSFDILVVCPPVIMLCLNVRLRVDAFPQNNTIENTLSSPK >CRE31163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1920061:1921500:-1 gene:WBGene00052214 transcript:CRE31163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31163 MSYSAPSLFILPDQASVCFANSSKKMEQTNRIIIGSTILTFSLISIFLNITVTVALLHNWSSFRRQPFIQFVLSMILAGTIYTSVNFFVSIPCSFNYCQYLKDDNLLIILSLPNTLSFFAYLLANFGFSIYRSCIVFDILRNHLRAVQIVTLYLPWILSIYTVVDTTSRGCIKRFNRWSIGYTYNCSSCNVWFGISFIDVNFYAGQVLPILMCIMYGLMILNIYWKKTHNDGRSKKFTAFDVKLAIQYLIVCSVQYLASFLFYIVPKVGNGSVLAVIAMNIIGVIDMGLNPLILLIFNSKVRFSTAVLFRFLPCVRVNQPKVANVTIFQRKSTY >CRE30908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1925621:1927324:1 gene:WBGene00052215 transcript:CRE30908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30908 MTSVVSSSEHVIIIVLWKYRLSLYRWKRRDDVKIIVVAPPRMLVDETNVDVYYQVEPPIRDHDHCLLMHKVRELCSIFSSKNPKLVVFERSLQRPIAQIRRDMEVDGFKPEQLLHFMHAEEAITMRNGLAAISLRQCSLSGTPQPDTWAEAVRNQVTSLIGGFPAVVRPLRHHCAHSVGFIRNENEFKNWFRFQRNSSVHRNEHYVVQEYVDDGHEFNAVCSSTEGILGCISAIETHRSVLECIQNQQSYALEYLSANQTRDIFPGLESFCIQVIKSVFPSSYLGLIFIRGYYKDHNEIFFLGFGLEPDSETARHLYALPQSCPWEVLALTAQLGPQPEDSTNVDNNYHLVINFPSAEGVLIHQTNILRRESNMRVSWKSAESAEMKDSDHLDDNVLQVFLWNSNRTKLLADCNDIMRSTDITIDRNALNERHSLCRKNVAKLTAKELVRSCTTTD >CRE31164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1927520:1928694:-1 gene:WBGene00052216 transcript:CRE31164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-9 description:CRE-GRL-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LTH0] MTRSWLFVVVLLTSVQASPPMCHCPNGQVGMQCPSPINFNCPPQAPCPAAQPCNMFGGLPTLPTLAPQQFQTLAPFTLAPLPGSPPGVTGAPLLPPAVAPPTNGQETLVGINNPVQPPPPVQYNQGPQYVEAPPPPPPPPPPPPPPPPPPQQQIPVQDATTLRPATLPSETYVELENPEAIVNENPAPVQKNPEEARNDQEKVTDEPPPPILQNKVEKVFESEPNNGYRQPVRRQAPPLNDKCNDDRLRRIIESNVDDNPSTSKRKIQKAAAEEIGGLFDVICSAHDFSYLANTQLFCESGNDDVTCFAFLHSLIQ >CRE31165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1928945:1929669:-1 gene:WBGene00052217 transcript:CRE31165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-8 description:CRE-GRL-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LTH1] MLSKNFSILFLFPIALAAIIPLPSPPMPIPEPPTVSDHSKISLTFLFHQVNDSPSLTSEKQTPTALDITSSAHHLPRQENEQKISRNRVRLHSHNSKVSKVSRTREVEILSEKCNDDGLQQIMQDAMTPSLSTSKMVISERATREFGANFDVICARGHFSYYVEATSYCEVTLNDITCLAYKPTSQSEKDSNENDSSSDIIDIREQLVQNRNLKEREEDEAKLNR >CRE30909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1937901:1940752:1 gene:WBGene00052218 transcript:CRE30909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30909 MINSLLVYSLIPITLIVAAETENATSAEFLLPTRRTPPSKPQHGVDFLGPLWLSRTYKITCCRRGTPVAENPHLVSNWTETASDYAVDEKLIGTEQDDHCRVFQGTFLLSAAALYNSTFISYGVQCKCPDAEEQQLDQHCRQLPACQNGGYRSQSMGRRCSCPQPYFGEYCEKLCDQGQVLVGIDGHNYCSCLPFYQGETCSDLVCLNGGHEFRGRCSCPHNFVGYHCEIDTNKTKSNSRYTKYGPQSSSENGELFSRDISGTVFSLVMIVVLVVSMYLLMKHRMQVQSQYTSSRREEMNRAAQIYGIERGGGGATTISRDDIRILPFVAAGIQPPPPYAVSRATRRETLPPLPSYEDATKDTVIGPAATVIEMQPTSTTDTSTPQSISSSTQETPRLPESGPPDIPSSSSPPPPFESEPPTIQRNVSTRRSL >CRE30910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1948722:1950224:1 gene:WBGene00052219 transcript:CRE30910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dbl-1 description:CRE-DBL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTH3] MNDSVRTTTTISSTKSLVLRFQLSAILHLLLLSFTPIIMSVTAENHNQQMNRAESHSLRRGMLRKLGLEHVPVQTGPSIDVPQHMWDIYDDENDVDWVRHYYPKEIIEDNDGFILSYNLSLAARNAHNEEVHKAILKLRLRRNNKARRSGNFSIYFFEDDMNNDRFQIESRSVDNLTDWIDFDVTAAFSRRTDRISFFVELPEDVEIEETQSSSLSSLPYARARSAPLIVFSDLSEPSSVRRKRSAQTGNSERKNRKKGRKHHNNEAESNLCRRTDLYVDFDDLGWQDWIMAPKGYDAYQCQGSCPNPMPAHLNATNHAIIQSLLHSLKPDEVPPPCCVPTETSPLSILYMDVDKVIVIREYADMRVDSCGCR >CRE31166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1950723:1952291:-1 gene:WBGene00052220 transcript:CRE31166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31166 MWWSLFFLTFNFVQTENTTGRVDILLILERQKLINVNEEQSLIEISFELCFEWETKRGKVPRSRELTSWNELDAFGIITENLASTQISKSIITRENQIQNVRSSRSASVQSEKLLDFSAFPNDAHLFQLRFTSITRNSSQLTLNPHFVSSAQEKSNSHWHFEISKAFVQWVVHKNESFEQAIFEFRVTRRPSTSLRVSMFLMFLINVAMIFEYFPVSRIVQKPSVSGFFLCCGLLISVVSASQGPKSGSLTSFNYQLLSLLLLGVLIEILHQLLPFLIFHLRCCPLESDPTPPMFPSPSDQFSLDTERSKCNAALDSARAESMRSPRIANFDMCLAEVKYTMSTFNEVLNLHSSAEWRRKLWHNAYRRFEILAFIILQIINFVLFSSFCQ >CRE31167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1952519:1954595:-1 gene:WBGene00052221 transcript:CRE31167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bbs-8 description:CRE-BBS-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LTH5] MSDDPVIEFTGFIKACRLFRENRLPEAEVVCTNLLRKNPLDQATWALKLQCLSDSTYVDELENEDMGLAETFLDQNVIAPSARPGTSFQRPKTTAKGINPILRPSTNAGRPLSGVVRPQSAFKSGSMDQAVRTARTAKTARAVSSTSARNMRLGTASMAAGADGEFVNLARIKLPKYAADPQVNRQLFEYVFYFVNDIKVAHEIAGIASKAAEFEDYYWKNQLAKCYLRLGMLQDATKQLQSSLQQRKLIETFALLAKTYNRVDQPMAALRTYAEGLDAFSEDVTMLTGMARVQEALGEYELSINFYKRVLDAQSNNIEAIACVATTYYYGGKPEIALRYYRRILQMGVSSPELFMNIGLCCLAAQQFDFALSSIIRAQATMTEDVAADVWYNIGQVMVDVGDLPSAARAYRLALTHDPDHSESLVNLGILRHREGRTDEARSLFGSAISKNPLMFEGNYNLALVCAAQGKYHECRRLIERALEAFPDHDHCKRMLNSLKPLYEAI >CRE31168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1955367:1957455:-1 gene:WBGene00052222 transcript:CRE31168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clik-1 MSDVEDEYEDEEEVEEDEPVADENQEPEAEEPEAEEAPAPAAASPRAAAPPASLKSPTPGRQVNFTPSIPQSVLRKQQNYSSGKPSTIHTKEKLMRSEGIIPIQAGSNKYASQKGMTGFGVPRDVIDKVKSDNLAEITDEKKIANLKGSTWLQSGTNKFASQKGQTGFGAVRDVNYKTKGTGGASEVPEEKARASDGIVPLQSGTNKLASQAGMTGIGMPRIVDVRRTNDQDRDSQGFIHLQMGTNKFANQAGMTGFGMPRHNITKYKDEVRGDMPHDEGTLSRQTSGWKEGASQAGMTGFGAFRNNTVAFMQAQDQRSQGMIPYQMGVNFLESQAGKTGFGQPRQVYTPFTDDSHEDLPADIARRPDVPFWTGQKEAEHANQTGMTAFGTPRDVRGEYVRRMW >CRE31169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1958729:1960139:-1 gene:WBGene00052223 transcript:CRE31169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-30 description:CRE-SRH-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LTH7] MESVDVNMKDQDHIYSVYMIVMKCIPIVTIPIYAEAIYCIIKRSPNFQRHYTIILTAHILGCLCEEFYGFQLFRPMVFLPIVGIYADGILSMLGVHPFIQLYGMFLAVQVNAAILTHITFYRMKIIIPMSFRYYTHVIRFGIFSTVFMYVGAVCSVNFILLTMEDQMEAKNFIYVNIRPIPSVFWSEKYMVSSPKNPNIPTFLMAGTFCIGFYILLCILLPSICFIILHKTRHSLSEKVIKAQRAYLRTILYQVAVVVICIIMPFIVFFAGVANTITNPVIIPASLIFAICHGSASTLIHLLSNKPFRDTMKAQLSWVVCFLTCSRPERGNKVHTLSSIVG >CRE31170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1960348:1961639:-1 gene:WBGene00052224 transcript:CRE31170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-28 description:CRE-SRH-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LTH8] MEKSFNLSFYQYQDSVIEKYLITTLILPILTLPVYVEGIYCLYTSNDKLERHYLSVLKSHVFLSIIGEIFGFFFMRPVVILPVVGLYSSGLLGYYNFSTFIQLIILFFLYQINACTMIHLLVLRLKSILPLTFRFYRESTKLGTVFVYATYFLSVASLSNFILLVENQDVAKHHWSRWLDGNVPNKFWSDNYIVTSGSNLRFALFLKLCGISLVSYIAACIAIPTAAFQILNRMKGLLSMHVVQAQKQYIKALVFQVFIIVAFLLLPFATFIVGLEMRINSPVLVSVSIILAMIHGAAATFVMILANKPHRVVFFSHLNSCYSSVTCTNNLRDQKISDSQSVSVPNFVVSI >CRE31171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1966481:1967794:-1 gene:WBGene00052225 transcript:CRE31171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31171 MQNTQSSHTNFGPGASDLSQLMNTMCSANPKEPVFTMPISVKPKTREPDSFTDNRIYVSNIPFSFREQDLAAMFFTYGRVLSVEIVTNDRGSKGFGFVTLDSIESCERARAALHESHVQGRIIEVRRATPTRRKLINNPQNELLPPPKLCVDLRAPHNQWRTEPNNHLPFFHNDENSSRFPAAGFMMAPFQDATRFTQSSSRSPFAELNFKQAPLRCMKHSELKLSSAGDYFCKNGEPTTENSLLMCMHGQNTSCRSKDSTNHELSDVEQNSMFPNHLHDQITALLDTSNHYGSANNSASQKRPPSVTSSGSGMRSSESEPASDEELQWTPNCSPDLLASLYEGSTSFHGKLASPSRGPSAH >CRE30912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1968354:1970493:1 gene:WBGene00052226 transcript:CRE30912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-39 description:CRE-SPE-39 protein [Source:UniProtKB/TrEMBL;Acc:E3LTI1] MHFFFYKLVFSSLLLLLVLIFYFQTLCKNVVKMALRRKFTFELPEDSYWNESDSNSSGLFDDLQSKQLQARAAVDNLFGGDETPKPYVPSQNPTPVPGSVAPPQIDVRAAAASDKTNIRLTDTSPKASGKIVDDFLNMKFADNVKHAPILQSAPSVVSEASASSLPSEAQRLDLDYNRLRQEHRKLKDQHEVLRHERFQPLTIESSIKRMLQGHIVPLDCYRSLRDKTLLLKQAVSTCDSNTIFKIVIFLERTLKENIFFKIMDDQRSACRVYTRHLQITSNWEKMNKFLRGVGQYQHASVIEFESTRKYKKNPDKRVPLLRTMLHGSFSIPEMKFEARQVETLMRNYEIQLQMEKMDAGGKGEHFRKFPKTTSLIGLPALSTLYYSAMYHFDDSSTSSASLPSVQTLIRFNDRLALQTIVSALTRQSRWPDIDKLLQPKTITMTFSAAKSVFKGKKSTSKWGVSINNHNLLTIIRRSHPSPPTDFIYRILKGENDAQERLRLALLFDAPEMVIECMTQKGDRISLASYTKSLKPNSVESFKAVAALNNPSIKWK >CRE31172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1972304:1975096:-1 gene:WBGene00052227 transcript:CRE31172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gck-2 description:CRE-GCK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LTI2] MSADVIKRSNPADDYELLQRVGSGTYGEVYKARDIRSDTLAAVKVVKLEAGDNFAVIQQEIMVIRECSHPNIIAYFGSYIRRDRLWIVMEYCGGGSLQDIYHLTGPLSELQIAFVCRETLRGLNYLHNMGKIHRDIKGANILLSSSGDVKLADFGVAAQITATIGKRKSFIGTPYWMAPEVACVEKRGGYGMQCDVWATGITAIELGECQPPLFDLHPMQVLYLMTKSGYKPPHLKDKHRWSPLFHDFVRQCLTKNPKKRPSPEKLLTTHPFVLGSLSARMTRDLLDKVNGAPTDVYDRMSKTVDDLTEEESDMDDAPPLLTPDAAPIRIGLPAHLNGLRLDARCLENLTSSPNSTSSETDRKTPTATPRGLNRGYHSERTLPAKEMPLLPDVVGGDALLHCGSGNGVIHDEDETIRAPRAPPRTLRAAQKAAASGAENRFSSTSIASTPSEDSMSTFFGMPIIPKVPMGACFSKIMDGTDLKVNCAASWVHPLTGAHLLLFGTEQGIYSFDTNCLPDGNLTKIHHRRCSWMFVYSDRLTAIQGNTPYLYRHDLIALTQKNLTLKMSKNLNKIPEKYVPKRLAITVRMPETRGALQCTVKQGEGAHSNALFLCCAVPKTVHLFQWYKPMNQFVLVRSEPLREDIRFPIRPFSLVNSRASDFPELCIGVGRMSGSSEYQFNMINFCSSTRNSELSDGFNSSFESLADDEMLEVGNMHQIDRNTLCFSYRNKVVLTDLGGFERPKPSIFTFNFHIEYLHVVDGTILAFHANGVQGRDLKTNLNTQDLCDVSRLYRVIGDDKVIILRSQQMTARNAGDTCDISLLMGHSDTPIL >CRE31173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1977241:1984696:-1 gene:WBGene00052228 transcript:CRE31173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31173 MTEKSTGIPQNTATTGTSLGRTVTGPAKRNIDNTVYAANKHATTCKRFLTNLNSSNITPSTDQLKKLDSMLMETHKQVANLKALPTYVAGKKAHQLVSESTNKEKFHKELDDYLSISQYLTSIATGEELIEQLEKVLSEHGQTCSQFDESIHDANSAVECMGQLVIDPVTGELVEFDPEHPTDDEGVIEQLTRELEMKEKLLEKQMEDARENETDYAEHPTPLARTEIEQQYKPNEESVDLPVGSNSKRELIDLETDTEKVELERQAKAAEEQRLRDKIAAVDGQLANRGVAAPTPNGSIDENQSIETTPRSTTHTHPTIPETNISSQPSQNNNNREKSTHPDVSSASDQTQLLILQHIERLERQREIDQKYHNDQVASLASSVEKMFTVMHNANQRAKDTRQHDGEIMPSEDEDEYARRYMAMGDSQNDDSHTNHRIPHSTPRPKTVPAHLKNVTFDNIKSNLITFNGTASFYLFRTTFYDYVINNPHFSKEHKLVLLESQLKGPALQCLYHLEDPEESVRLTFKELEKKYGQKCDKMTLHYRIARLPFHATDFNRMADDLRAHRKLLSEMKERGMNVDDEMIIKSFCTKLPRHIKAKLVPLVSAHKDNLTFEMVHDAVEDEIAQLQIRETFFEEVEPEQRRANEIPTAIHAADAHQSHPTGNSYRNNNTQQFNRNTQRGGHQGGSAQRGYSRQPTNGSYQNNYSQSNNYSRNPEPRPSPNNFTDTSGETLEEYYKPGYSGPNLSIIRYSFPFDKPEKQSQCNVCNMPHHPIRCPLPSTEFRKMLKTKGLYSCAATAEDATTWEHAHRKNSTEIWPTSHPGLDQSSERRLRERNFFVSTHEPHLNNSLSRAGAEAKSLLRVPQSALPPDSCYTNSHHCSTNTNQLSDSTLNPVSTVTVSTHPTVVSSKTSISTEPTPSENQEFLDFLSQTTHHVNHVATAKYDESDRDYKLPFLCLHSHEGKPIRALVDTGATLSMIDHTAADRLGFEILGTTNITVTGFDSTVTIPSNAYKVPLKSKYSSTPVTIRIAGSPQLPPTRFRAPILSKKDLQYIQELNIPKSELNAARNQTGKRIDLILGNDVIAWFNSLATTTRHILPSGRLMETSPFGFIVHPTPDLGLLIQATHKLNNTYIDQDDENYIMTLMDYSDPEDPLSRLIAEVCQMWNIQNLGAKSPELDETFKKEQRDLMDEFNRNAKYNEKGEFEVALPLNGNEARLANNYEIAIKRLIHLIVTLKKGTNLLKQYNDIIQEQLAKGIISKVTPQMMEEERKRGQVVYNIPHRGVVKLSSMTTKLRIVYDASSHKRDQLSLNDCVFPGPSILQSIFGILIRARMYKYLVIADIEKAFHQVQMQPEFRSLTRFLWLKNIEHPATPDNIETYHFNKIPFGLACSPFLLAAVIHHFLNKNPNKLNEMTKENLYVDNCLYYTNDKGEIPEIVKAAKQIFNDMDMNLREFIVNEAMEMMKIPEKDRAASLSVKVLGYLWSSKEDTWTIKIAKLEESHPTKRQVASRLAETFDPLGLVTPILVAFKRLMQNCWLDGVKWNEPLPPQLLSEWRKVQEQFKDEEIVVPRELTSAYNYSSVRLMVFSDASKDMMAASVYALYTFEDKHPTVSLICSKNKIRPSKNEKWTIPKLELMAIEIATNLAVATVNEIRLPITEVCFFTDSACALFWILTKKLTRPFVANRVEAIHTNKDILTKQNIEEVNIRHCPTKDNPADLATRGMSTSELQSSPLWFHGPAFLKTDRSEWPTKIEGVSQNIKEFQDLVFSEVVDPTTKRKKKSMLPIPTPTIPSETETIMTTSHDDKFQSIVPYTKTNSMRKLVSSMHSVMKFLVRINPHREWQSHIMKEYVRCTGVPSTARRNLARTFVIQQHYLECKSLGYSFPRDLNEYHDQDGLYRYKRQVRSNVLPLEAREPILIHSKHPIAELIIRETHEINGHLPESYTISAARTKYWVLHDRQVAGKVIANCVQCKKVNGLPFAYPHSQALPQFRTEPSTPFEHSGIDYLGPVMYTRDDGSLSKAFILIYTCLVTRGARLEIVPDGTVERYLEAMSIVFSRSGVPKTIYSDNAKTFQLGEKIINEDIAGDEASESLTSFLANQEIDFNYITPLAPWQGGVYERIVKLVKHQLMKEIGDLNLDFQGLRRVLAGVEAMINSRPLTPHPKRPNDMVALRPIDFQLPAALIDLPFNTKPFDPTQSKTEQRTRAHLDQYENVLERLWKGWSLGYLLHLRESKHKNKRCSSIQPRVGQVVIINTNLVRRQKWPLGLIVKICESKSGEIRSVIVKCKGKLYKRAVCQLIPLEIETLDKENCPKDQADTEADPRYKSKPIPPSPAIFDIPNAQYSPSYFTNSNDASHPDAVPHTAELPIIGEKVVDEEEIDYDLHDLEQEDDDTVISYQDPNAPAAHRLDIIQQPILHPHRTREYLPRKAKAPYVNYVHHVAIRHLSFSGPPECCQFDTSGIQTANLTAP >CRE30913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1991372:1992213:1 gene:WBGene00052229 transcript:CRE30913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30913 MDARVPRNNAERRVRPKLAYRPRKPYLNPPPPSQMDIIRQHVLNTMHEQAEAHEREIAKRQLIESIQGETRPIKPKKVRRKYQMPVWRSIDDDGKPIENGDFTPATARDLAKLVPVDQSAIVICNPEKAICRIKLKKPEEKIETVAKPRYSTPSHTILFTKKYDKASDLDKVLEELYP >CRE31174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:2000568:2003455:-1 gene:WBGene00052230 transcript:CRE31174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31174 MTAQQAKILCCGDVNGNFVKLIEKLTVTEKKNGPFDSLFCVGEFFGDDDEANQKVIKGKMKFPIPTYILGPSNPRYSHLYPEESIEFSSNLTYLGKKGLVNTASGLQIAYLSGVEGTSKEMSCFDKSDVEELLNPLGTQVGFSGTDILLTSMWPTDVARHSIDQPSVPIPGSILLSKLAAQLKPRYHFAGLGGHYERQPYRNHQVHLEPASHTTRFIGLAPVGNKEKQKWLYACNVKPMRKMEKLELTAQPPNASESPYRELLDLLLAREALYQAIGEDNRPQGSQNRFKIDGGDSGNGPSRHTDGSERSAGSPSSNFEHRLETKMDTVFLDVKDCLFKLGLTQSGIVTLLGRRDRKSWHDFLIPVIGCVINLMSAIFFLLSTVVTTLNIAVATVNIVFALILLVILIHQLIQVTF >CRE13804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig581:5131:7670:-1 gene:WBGene00052231 transcript:CRE13804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13804 MNQENFDDLMKICIDKKLVTVIDLLEWYNILDVKPKLQACLKQKEFFYSYKLDMYKDACSLPGHSENILYRFQMSGFKEYLQEKPKNIKHCISISNSDINKRIDGYIQQDEKAKRTPCDLNVSCVREILENGNYTCIYCWLELASNTWSLDRVDNALPHTKSNCVLSCISCNKARSDKMFKEFYRHKAMLRFEKDHPMIWLFLEENKDAFYKLKNNITGGASIVFHRYHEVNKTEITRPHYNDGEWTYDEKGKTVKKTVGFDANALYLYSLGEEMPCGKLYFKENDDWEKIKKQLLENSFFGFLEVDVSVPKDKWNYFSEMSPIFVNKEYDETICGDYTQKLLKSLERTPTRSRKLVVSLQAKQILIMSTRLRWMLEHGSKRRRIFKGFMDWVSDEKRKGDVDSKYAILSEGAKLVGNSAFGRTGMDKNKHKKVTFCDEVQFNRAKNDFFYYDAEEYNGAYEDLIKPEMREEFEKYKNNWFPRTDREEHCRIDKRKIEKEGDGMVALCSKTYCIWTNDNQSKVSSKGVQQKRNSSILTKEKYLECLVNKQTIDGLNKGFRYQNQEMKTYEQKKIGLSPLYTKGVVMDDGIHIRLIIFE >CRE27272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1812:5487:-1 gene:WBGene00052232 transcript:CRE27272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27272 MFLTLNMDGFRKRGLARGEFWPLYVAANDLNEERSKFSEYRPEVVMISSLIQTSKQIESGDFNAAFERMRCEVEETQRYPIEVTIDGVPHKIRLEIFQTVLDMDASRKIHGLPVWQSYNSCSRCSIKGQRIETKKGTKIVWLPEENDEQYSCLNIPTKLKTSCLPFPWKEGFDSLHLVNEGTSRDIFKDLLKGGEKYNVKIQSENNLKWVNCLSKAKNPKGVSSTILLNPIQLTTRTGSEVQQLFNVAVPMLVVVHNKCDIWLIFLYLQWITTRLVVDPNLSSKHCDVLLEIVPVLRYILKNHFPQFYSMKQHENIQQLLIVIYFCFQFVLDHKVPQLKYDGSPFLSSAAPFERLNQVLGRGTGAHTTRTLLNMCRRFIALQKAVSHCNVAIAKPDSPITFPKSMKSVDDEDLIHNTKLDGVPLTTHEKMYLRSHSIDITECRLRNSQVFTNNLETFRISTISNQKIYSTRRNCDDNVQHNCYIYFLDQQNSIQFGSIERIFTLDGRCVVLIHKFQLGDPFPFVRKYVEDMPELKRVFQLSERSNTYFKQIVGIDLVVIFLKISIMMRRTRYGAIGKQENPEKNKPKYTPKIPLAQISKNSTLEKPFRSTHVLKATPKREKNENGLKCETERSYPFSVQTEICHDRVCTEKRGNGQSFENSDRGHSIRNNSFQRETHGLRTPSQPVATGGLSSVQIEELAKSLERPKVPLFAALIANERADFEDTKSIIAAMLFIEGLPAPHEKPLSRLQCSVNSLSHTVKAAFQMSSLLVQILKKSTYPGFQIWKYTFYPKSSKSADVHFHKTPEHIVESLKDFCFDVTGCFLPDDFLGDPIDESHWFMEKLPFNDARTEIIRRRKMRQPVLETFTMALWQALRTLRNYHYDLSSRQLLNCTSRGDCTAHLKWEHLKNLALF >CRE26940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:7002:11955:1 gene:WBGene00052233 transcript:CRE26940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26940 MNSVARSNLSKTDLQKFRQSWKEAIALNNERKSRLYTVFNLKDTPTAILFPTSYPNSTYYICEYYNFDVFCLSETKLDDSFTDSFFSINDNFSILRKDRNSHGGGVGGTTIRIITAYHPNHGHSKSTLFDTLEYLLIPGKHTVMLGDFNMPCIDWNLLSAPDKACSDFIDFVVKHGLTQSVKSPTRFNPEHILDLCLSNTGIINDVSVGDLFSDHCLIKVVLSCDRKSLKSLRKMINYRKGDYDSMNGILSRIDWPLLLANLPTNDMYSLFIGYLKELIYNYVPILEIDDSKVRHSPAIIYYRSGDENLEKRSDSIHYKSICASIKELLLEEHKKKFEDKLVEGNPKNFFKLINRKLKPSNFVGPIKSGNGILCDDHEKAECILNTFFEVFVSDDGLTPSIEPRTTTLIDEVSYEPYVIEYALSKLEPKCNNSPDGIPYIILKKLGELLRWINDFLSGRSQTVLLNGVCSSTSSIGSGVPQGSVLGPLLFILFINDIGDKLESESLLYADDLKIISPNAATNQKDLMKLSERGQTWQMKVAPSKCEYITFVKSKRTTVRVISIRCEHRLIAEQMMTVWNRKESGSLHLKRPGAISSQYQIVAHNKPCLHKNMRYEQLLDDVKIFKMRLSNKIKCFPSLEKMFLDYSRNAFV >CRE26944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:31595:34283:1 gene:WBGene00052235 transcript:CRE26944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26944 MDIIRQHVLNTMHEQAEAHEREIAKRQLIEAMQGEIRPIKPKKVRRKYQMPAWRSIDDDGKPIENGEFTPATARDLAKLVPVDQSAIVICNPEKAICRIKLKKPEEKIETVAKPRYSTPSHTILFTKKYDKASDLDKVLEELYPAHNQSDFWTTSTSLMLSSPSSSPTSDPKE >CRE27274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:37602:39056:-1 gene:WBGene00052236 transcript:CRE27274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmsr-11 MASEYKCTQFTEALPEPGTNEAENSAVSIFITTIVQSYRPYYYYSLTSIVVFAIFANILIVVVLSHKEMRNSGVNITMMLIALCDFGCSLTGVTQLFLGTSINYSSFITACTRITVDYLAICFHASSLYLGVGLAFCRVMSLNYSDKNRNEKNGMYIEISTFSVANGCAFMKFSLFLNGFCFKIAPCILMLLMILLLLRRIREGKKSTLHNRNYNQEKIDRSSRFIQFVLVVFLVIEFPQGVFNFIGALFIIDYINYFQNLTIFFNILSVLNTTTSFLIYSTLSAKFRKLFARLLLPRYITDKIYMKSSTVAVQQVVLSSPIT >CRE27275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:39639:41080:-1 gene:WBGene00052237 transcript:CRE27275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27275 MASEYNCTQFTEPFPEPGTDEASNSTIPWFITTVVKLYRPYHYYLLTSIVVFAFFANIFIVIVLSHKEMRRSGVNVTMMLIALCDFGCSVTGLAQLFLRNYSDNYSSYLTAYSQITVDYLSVAFHASSLYLAVGMAFCRVMSLNFSNKNRDRWQSPKYALRVACVLCSPVFIIATFVLFINAVKETEEDGIYLDISDLSVLNECLYMKLSLVASGFCFKILPCLSMLVFSVFLLRQIDKGKNSSTQNRSDRKEKIDRSSRFIQFVLVVFLVTESPQGVFSILGGFAIIDYINYLQTSSIFMNILAFFNTTTSFIIYSALSAKFRKLFTQLFLPRSVSERIYKNRTTVAVQSIPHSSRTV >CRE27276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:50346:52727:-1 gene:WBGene00052238 transcript:CRE27276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27276 MASEYNCTQFTEPFPEPGTDEASNSTIPWFITTVVKLYRPYHYYLLTSIVVFAFFANIFIVIVLSHKEMRRSGVNVTMMLIALCDFGCSVTGLAQLFLRNYSDNYSSYLTAYSQITVDYLSVAFHASSLYLAVGMAFCRVMSLNFSNKNRDRWQSPKYALRVACVLCSPVFIIATFVLFINAVKETEEDGIYLDISDLSVLNECLYIKLTLMASGFCFKILPCVSMLVFSVFLLRQIDKGKQSSTHNRSDRKEKIDRSSRFIQFVLVVFLVTESPQGVFSIIGGFAIIDYINYLQTLSIFMNILAFFNTTTSFIIYSAFKFSIESIFSETYLTRSYRPYHYYLLTSIVVFAIFANILIVVVLSHKEMRNSGVNITMMLIALCDFGCSLTGVTQLFLGTSL >CRE26947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:54224:55303:1 gene:WBGene00052239 transcript:CRE26947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26947 MRVSYSDVATDTSCLLNMVDAEQSRRNIHVPLSFKQETDYTSLVIRLDDVVNNLKEFHGFWIFDKVVLTMAMANSAYISFFSPSGSPQATVAIAIYSFLVMMFYTNFKFTKWTVSNFHVWLLLKETEQLITGDEGLLDNSRMKELREQILAITKRYKALSFKSFYSGMIFYVCLNFFALYMREYTRKGNVSEQYDLNMELAVSSTYLSLGIPVQISYLSTLIWLAKKQKKYSQRHDSTELQQV >CRE27278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:66592:69898:-1 gene:WBGene00052240 transcript:CRE27278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27278 MSDKPSIYDCQGDSLFTGNPLSTFPLNIVFHIFKFYSGFHIYFLVFFSFFDLFSNILFFIVLSQKELRNSGFNLTMMMIAFCNFNNVVMKLIEEMFDRITGSEKPYFKAFYKRIYAYLKLYLSSMAICLVVEMAFCRVMALYTTHSDKWNGRKYSLVISSVLWITVGLLCSAIIPMYSVTKYNPEEYSFTISNSYLVDECITFRSTLLFFGIIFLFVPCILNFIFFLLIIYKLKSLEESRRKTMRSTKSSNIDNSSRMLKAILIMFLIVNIPQVLILVFHSIYMLDYYLIIAPIISELIQGADVAYASTSFIIYCAISSQFRTVFVRLFVPEVLLRRFNFSKFLQSVLLSLNELFYSGFHVYFLIFFTVLDFFTNTFFIIVLSQKELRNSGINLAMIMIAFCNSSNVIVRLIKAIFERVNGYEKTYVEAVYNRIENYVEVYLTAMSDFLVVEMAFCRVMALYTRDCDKWRGRKYTLVISTVLWIFVGITSGPIIPMTVVKKSEYSERYLMTISDNYIENKCIIFRSTVLFFGVGFGLIPCCLNLIFFLMILWQMKKFEAHRRKTINSMKSSIDNSSRMLQAILIMFLFVKTPQALLLIFNSLFMIDYYILIAPITTQFVEVLDVANSSTSFIFYCIMSSHFREVFVRLFVPEIVQRRMKSVSKRMRFFE >CRE27279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:70452:71709:-1 gene:WBGene00052241 transcript:CRE27279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27279 MMMVAFCNSLSVVLKLIEEVFDETNSFKQSYILVIFLRVQSYLELYLTAMADFLVVEVALCRVMAFYITNSDKWTGRKCSLVIAAILWISVGIISSAVIPMMTIEKSNIENSFRMLKVVLIMFLLVKTPQAMLVIFNSLFLLDYYLLIAPLSTEILKALDSANASASFIIYCVISSQFRNVFVRIFVPGGIQRRIHSARTIQVTAVKSTGKATWS >CRE26952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:76792:77810:1 gene:WBGene00052242 transcript:CRE26952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26952 MSASTLVTVASAASGIAIVVCVFTVGMIFNDINSFYDEKIGELQEFKGYEQVAWKAMIPTTRPSSGSSFLMGRNKRQAECNCGAQSRGCPAGPPGPPGQPGARGEPGLPGIAGQPGSGARINPATGKPGFCITCPAGAPGPAGPPGAPGPKGNNGQPGAPAQSGGRGPPGPRGPAGDAGSPGQPGHPGSPGNPGRGGQRSRGTPGAAGRPGPQGPAGGPGQPGRSGGAGTPGPQGPPGPNGQPGRPGNDGTPGAPGNNGAPGGDAAYCPCPARSAVMASRVAVNRHVAVNRNVARNRVVARHRIAARRRVAVQRRARVHAQAI >CRE26951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:74521:75582:1 gene:WBGene00052243 transcript:CRE26951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26951 MGKDTHHRVKTMSASTLVTVASAASGIAIVVCVFTVGMIFNDINSFYDEKIGELQEFKGYEQVAWQAMIPTTRPSSGSSFLMGRNKRQAECNCGAQSRGCPAGPPGPPGQPGARGEPGLPGIAGQPGSGARINPATGKPGFCITCPAGAPGPAGPPGAPGPKGNNGQPGAPAQSGGRGPPGPRGPAGDAGSPGQPGHPGSPGNPGRGGQRSRGTPGAAGRPGPQGPAGGPGQPGRSGGAGTPGPQGPPGPNGQPGRPGNDGTPGAPGNNGTPGGDAAYCPCPARSAAVVARSRVAARSKVAARSRVVARSRVVARSRAAAKRRVAVRRHRVQKAVAA >CRE27280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:77859:79318:-1 gene:WBGene00052244 transcript:CRE27280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27280 MIPKQRQESEEKPLDEQLELLQEKIAELIVTNEATENQLANLTFESNDVISYLKKLVEEKDEETARLEEMLDEQMKESDRKFKIHRENYELEEKKLKEEIEKLKSEMSIMDTQLGNQHRIELEIIEMGAKLNSLQSVVDQQEETIRRKEAEERSVKIAAIEQARADLSAEFEQAVAEIRVEASLESKGHTLMNNQVIEHLELELTRKKQEVLRMEQLNEEKQTELEREMEKTQEREEENLKLRSLLEKSGQTTEKALKDSKRRLEESENKWKSTLEKYVQIENDLNSKVNRHSRISLNSTTGFQLDDALQNAEKCQKMMSQLENQLLKEQQTRKSTIDAHQAQNKKIEELKVFFKKTLFFQDVLSSEEGLLDEVIKENRNAVFAHLALIISRIPIVK >CRE26953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:80060:81038:1 gene:WBGene00052245 transcript:CRE26953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-8 MSVQLYLVTYNVLQILGWSVVLVKTVLGLLNGLTWPQLYESVEIELKIFQTAAILEVIHAVIGLVRSPVGTTAMQVTSRVVLVWPILHLCSTARYSVGVPLLLVAWSVTEVIRYSFYALSVLKQPIPYFLLWLRYTLFYVLYPMGVSGELLTLFASLKEVDEKKILTLEMPNRLNMGISFWWVLIIAALTYIPGFPQLYFYMIGQRKKVLGGGNKKKQ >CRE27281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:81090:82539:-1 gene:WBGene00052246 transcript:CRE27281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-54 description:CRE-LGC-54 protein [Source:UniProtKB/TrEMBL;Acc:E3LPG3] MVEKLWTPNVCFVNSKKTEIHSSPTPNIFLMIYPNGTVWVNYRLQVQSPCMVDLVLFPMDVMNCELIIESYAYNAAKVKLNWREWQPVFSIAKSKLSDFTLYGLQWTKNSFEYAAGQWDQVWTLPGIFNYLDFAFQLTVSLTFSRAYGFYILQMYIPTYSSVFLSFVSFWIDLKALPARITLGVSSLMALTFQYGNVAKNLPRVGYVKSIDVYMVLTTAFIFLTMIEVAFVCYLESENNMRRKERQAEKKKERVAALQRKKDKKKNNYGATTMTNTNAADSDLRSNYDEPFSNGTASHKISISRQANNMFESLHALAQFGLLADDDDENTKWTAQNVDKFCRKAFPLSFCLLNLIYWCYYLYQNYLAKAEALAQMTPPS >CRE26954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:82984:84566:1 gene:WBGene00052247 transcript:CRE26954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26954 MIFFSIVCYFLATFGVVDGDCAPGDVKNTQENCVHVENLASTWQEAENFCVAHNGHLASVHNAFDMTSLRKVAGICTNFWLGGQCQAGSNCKWVDGTDFDYKNFRNGNQGTDNCVVADTKSGITFAIKTDLTIRTSGTWSTQPCTATSCIACEIKGAMQDCQDWMKAGYTDSGKYTILVNGKETEVWCDMQTYGGGWVLFQNRLDDSESYWDRKWDEYKNGFGDTDENVNFWLGNEALYTLTNDKHVTLRVEMYGDRTPNSKNATDFWFGHYFEFKVGPETQNYPLVNLEMDWAHPIGNASTAWYDLTCSIGSPFSTVDNIHDPVKECVTKFQMGGWWLKNCALSTLNGAYTPKDWNNGYGMFWIWDGSDTILHPRKTRMLLRNTVV >CRE27283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:101557:103424:-1 gene:WBGene00052248 transcript:CRE27283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-10 description:CRE-GPA-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LPG8] MGMCESLMVSDELKDQIKVNRDIEKTLEKKKTTMLEQSVLLIGPGESGKSTVMKQIRAMSGTYTKSELQERKILILRNLFEFSEMLLDYSREHYFEITEEEIKKYIVMCEELKLSVIQDGQMKPELADTLKTFWNHKAIQEAYGNRNKFHLTDSAGYFFENIDRIKMPGFEPNNQDIVHIRVPTSGVVTADVILKNIKLCVADCGGQRSERKKWYHYFDDSHAVLFVAAISEFDQRLVEDPDVNRMKEAITLFWSVFNGKFFQKSAVILFLNKIDIFREKVKTVQIKDFFPKFEGPNTVEEGSKFFRRQFREGIHASFRKRMYCHETCAISDQVQIIINTVIDTVVQENLKDTGMI >CRE26957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:115093:117399:1 gene:WBGene00052249 transcript:CRE26957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-18 MESQQLMACAILVIVLVGIFGNTLSFILFSRPHMRSSSVNVLLCALSLFDFSLLTLSIPIFVIPNLDLWADDRSLSTYMAYILKMIYPINLMMQTCSVYIMVMITLERWVAVCRPLQVRVWCTPRKSRNAILVIIVSAFLYNFVRFFEYRFVSTETGAIYEKWLRDPGTHRWYYVGYYTIMYIVTHFLVPFSVMAFANGHVIVAMCKLSKTRQMLTRQQQREQSTTVMLLIVTFVFAICNTLPFLLNVSESIFPTLFQDESTRGLAYWLNDLSNLLVVLNSGTTFIIYFTFSEKYRQTLIFILKNGCCATVSDYNNYTAMSRTASMRISSETGGQIQRQGSRMSNSSRSSDVLLKPIYMQKRSERFSSEYNERTCKHLAPFEDYKLPRLPNEKRKKKLHKMSAVEHRGMPEITITFSEDTPDGEPDSPCQPC >CRE26958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:124045:132511:1 gene:WBGene00052250 transcript:CRE26958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-70 description:CRE-UNC-70 protein [Source:UniProtKB/TrEMBL;Acc:E3LPH0] MSSVDDENHPAVEIDLPDEYESASDCEQAHECNYLGDILKAKKSLKKIRESVSPGVYPGSAGALSSLSERHVDENKAPVSAEVFDSLCGRKTVNSIDLRFRGLRDEREFVQKKTFTKWVNSHLVRVSCKVQDLYMDMRDGKMLLRLLAVLSGERLPKPTPGKMRIHCLENVEKGLQFLRNQHVHLENLGSHDIVDGNSRLTLGLIWTIILRFQIQDITFEDADNHETRSAKEALLLWCQMKTAGYPNVNVKNFSTSWRDGLAFNALIHKHRSDLVDYDNLQKSNALYNLQSAFDTAENQLGLAKFLDAEDVNVDQPDEKSIITYVVTYYHYFNKLKQDNIQGKRIGKVINELMENDKMINRYETLSSDLLEWIHAKIQLLNDRHFENNLDGVQKQLTEFNDYRTQEKPPKFDEKGELEVLLFTLQSAMRANNQRPFVPREGKLIADINRAWQSLEKAEHERELALKEELIRQEKLEQLAARFNRKAEMRETWLTENQRLVSQDNFGNDLSSVEAATKKHEAIETDIFAYEERVQAVVAVAGELEAENYHDQAKINERKENVLKLWNYLFQLLLARRVRLELSMAIQKIFHDMLLTLDLMDDIKSRLLSEDLGAHLMDVEDLLQKHALLESDINIIGERVNNSIAQSQRFRNEEGPDGSGYKPVEPGTIDERCDTLQQRYKELLDLAAERKRRLEDNKRLCQFWWDVAELEHGIKEQEQVLSSNDTGRDIVTVSHLLAKHKNAENNLRDLEKYLDRLDVSGKELQDENIPGSDNIPPRLAEIRDYINKLKELSKARKERLAGGVEYYQFFTDADDVDRYLYDTLRVMSSEDVGKDEGTVQLLLKKHDDVTDELQNFDQHIKVLHAKAESLPQEAREHPDIRQRLDTTLRQKAELENLAQLRKQRLIDALSLYKLYSDADSVESWIDEKGKLLATLVPGRDIEEVEIMKHRFDTLEQDMKNQEAKVANVNDLARQLLNVEHPNSDDILHRQNKLNARWAQLRDMVDQKRNELERAHRLETFRIDCQETVTWIEDKTRVLEDSDALTNDLSGVMKLQRRLSMMERDLGAIQAKLDSLHKEADDIERERPQEAQAIREDIKRIHQVWDILNKKVREHEAKLDEAGDLQRFLRDLDHFQAWLTATQRQVASEEEPQSLAEAEQLLNQHAAIREEIDGYAEDYKKMRAMGDRVTQDQTDPQYMFLRQRLAGLQEGWEELQRMWDNRQHLLSQGLNLQMFLRDAKQAEVMLSQQENYLAKDDVPQSLEQAENQLKRHQDFITTMDANDEKIRAVGMFGDQLCQDGHYAADKIHKKARNIDERRGANREKAQDVLKKLKDALSLQQFLSDCDELREWIEEKMIRAQDETYRDAKTITSKFVRHQAFQSELAANKERLDQLKHAAINLGDDKPEYHGTIDPQIEELATQWDELEKTTEEKGQKLFDANRQQLYVQSIADMKEWATQLENEMTREDQPGDLTTVNVAMQKQHLIETEMIKKAQHIDQLMEMEPQLEELHPEELENIRAHRLAVQEQLQRLQAPLDDRRKALERKKAAFQFGRDVDDEKLWISERLALARAQNLGESLPDCHRLQKNLNLLSSEIDNHEPWINQICNNGQELIDEGHANGPAFEKKIQELRSAWQELKDAVQDRKKDLGESEKAHQFLYDCGEAEAWMSEQELYMMQDERGKDEFSTKNQIKKHERLQADIDKFADTIRALANKAHKFVEENSPLTEQITVRQAQIEKLYAGLQDLSKERRKRLEETLELYALHREIDDLLQWIADKEVVAGSQENGQDYEHVQMLQERFQQFARDTENIGSERVANANDGCDSLIAHGHTDAPTIALWKDSLNEAWENLLELMDTRAQILEASRLLHKFYHDCRDCLSRIMEKTHAMPEDLGRDSSSVGALSRKHQNYLKDIAAIGEQVAQIERDAAELRDGYAGDKALDIGSRESEVVKAWRHLRGLCDARTSRLMDTSDLFKFMNMVRDLLLWMDEVKREMNSQERPKDVSGVELLMNNHQSLKAEIDAREENFNACISLGRDLLNRKHYASSEIEKKLIKLTTERAEMMRRWEDRWEYLQLILEVYQFARDAAVAESWLFAQEPYLISREYGRNLEETIKLIKKHEAFEKSALAQEERFLALEKLTTFELKESQHREEEASKRRGPAHIGSPSRSTPAAETSFGAQDDGAKQGEAFEGTLIRKHTYESLDRKAANRSWEKLYAVLRQNELAFYKDPKHREESVHGEPPMALPGCSVNVASDYQKKKNVLSLRLPVGAEYLLQCGSDEDMQRWLTELQVATGQAQLEEASRSQTLPGMILNLGFSQKQITILAEGSATKKKGGFFSRSKK >CRE26959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:136171:136602:1 gene:WBGene00052251 transcript:CRE26959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26959 MLLRDEQVPLLLKRKHVVSGYRPLNQPKSFYLKSAFSSHNEVFNVWTHFIPAIILFFAYLVPEFLSPLPRVPVLILQIGIFLLLIASSMAHLMHSRSELDHVFWFLIDFSGIALFGITNWLTKI >CRE27284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:137533:139184:-1 gene:WBGene00052252 transcript:CRE27284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-odc-1 description:CRE-ODC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPH2] MISFEQFELIGDTKVGVLPQQVNQLEMCREIAAAKDLEENDSSFMLVDLDKIIERFELWKRELPMIEPFYAVKCNTDLVLIRILASLGCGFDCASREEIDIVMGTGVSADRLIYANPCKTRSFITHAMERDVRMMTFDNSEELLKIARLHPNAEMILRIAVSDPTATCPLNLKFGADPVLAGPQLLKLASEEGVNVVGISFHVGSGCNDASAYRNALQHAKNLCEIGEGLGFKMDIIDMGGGFPGAEHHNPFEKIAETIRDALDEFFPETEKRLIAEPGRFFAAGPFSLCANIIHATEVPATKITKDPKDCADHGYMYYINDGVYGSFNCIMFDHAHPVGAPLFDVGRNEKYISTIWGPTCDSLDLVEDKKLMAKMEIGEWLYYPDMGAYTLAAGTTFNGFAKPIPMYVMSEELWGTIKDSSHISF >CRE27285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:140435:162172:-1 gene:WBGene00052257 transcript:CRE27285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-68 description:CRE-UNC-68 protein [Source:UniProtKB/TrEMBL;Acc:E3LPH3] MDFDFTDISDEDHNYVTKGDIVCLSCLTSHSKEGVQGSERVCLCTEGFGNRMCTLENVADKDIPPDIAMCMLYIDNALSMRALQEMMSAESDHVSKSASGAGGHKTLLYGHAVQLKHVQSEMYLACLSSCSSNDKLAFDVGVQETNEGEACWWTIHPASKQRSEGEKVRVGDDVILVSVATERYLHMAYNKGYMVIASFHQTLWNIQSVSSGSMRTRNMGFLFGNDVLRLFHGNDECLTIPENWSEHPQHNMVIYEGGAAVTQARSLWRVELVRMKWHGALVGWEQVFRIKHITSGRYLGVLDNAVQLYHKEKADFDLTAFVMCQNKDPKKQMLEEKEEEGMGTATIRYGETNAFIQHVKTQLWLSYQTTEVTKKGLGKVEEKKAVALKDGHMDDCYTFFMALEEESKSARVIRKCSSVLNKFLKGIDALRELGNQSPDWTRVDLNEVLKLMEDLIEYFAQPHEEQEFEDKQNHLRALRSRQDLFQEEGVLNMILDTIDKFSQMEALPDFAGLIGEETQIMWEQISTYLYLLVAAMIKGNHYNCAQFASAQRLDWLFGRLSNPQSAEGILDVLYCVLTESPEALNMINEGHIRSVISLLEKVGRDPKVLDVLSSLCEGNGMAVRSSQNLITQYLLPGKDLLLQTSMRDHVSSMMPNVMLGVVEGSALFRKWYFEAEVEHIETMTKQAPYLRIGWANSVGFKPFPGSGDKWGCNGVGDDFYSYGFDGKSMFFGGKSRVVGHKLLEKGDVIGCSIDLTIPEIRFSVNGTYMSGTFKKFNIDGYFFPVMSLSAKVSCRFILGGNQGRLRYGPPTGFSAVVEAVNGELGISDCLSFGDLGKNIFCGPQTIFNNLEPFIPTPIDVSTTQLTHHAIEKHHSYAENLHELWAMRKIELGWSYGENRSETSRKHPCLTKFELLPETEKKYNIMLALTTMKTIEALGYHLITDDPPCRLRAVRLGPNFQQPNGYKPGPLDTHEITLPAELQPLTEALARNTHNVWAKEKIKRGWTFGLSEHVDATQKRSPHLVPYEQVDERIKQANRESAAENIKALQLFGIFLEPPAHEHDEIAEKELRARKDNTRTYRAEATYAVNGGKWYFEFEILTAGFMKIGWMDIGSTPEIQLGADDRSYAFDGYLGRKWHQGAETYGKEWKIGDVVGCFLDLNDRTISFSLNGELLLDPSGSEMAFDNVVCGDGLVPAMTLGSGQRGRLNFGQQSNSLKFFTTCGLQEGYEPFCVNMYRTMPMWFAKQLPRFEDISTLKSGSILEVSRFPATGNSPPCLKILQKVTISEGGPSEKAKMEYIRLSLPVKCNDTFVKNKDKEAIRRQLQDYKERSQSVVSQIRAPTIPKEFDDNKEKKGFLRSMLGSKDSHESDDDRRSRTTSKQPSMEGDEPPAVRRSLLELPHEERQIAEDHLRDMSDRHAEKPKKGGLLSRLRDSSNTRKKDSDRRKEDKAAALRQMKSNSRSFDAGSLDTSTLPTGQKDVLASSEMPLSGPGRQLTIKRGSIKKNKKGKKGEHALEKIEREKKGSIIPPEAQMDVLQVGDGPALIALKDKVDEYYYGLRIFPGQDPSQVWVGWVTTQYHYYNLNFDGSQGVRKCRFSEADHHGTTVDSVQSQNCYMVNVSELLATTPDVANTKVSGTLIGCIIDTSIGELSFQVGTTDTGIKFKLEPGAMLFPAAFVTPTATEVVQFELGRVKFTFPLSAAMFKSCEKSLVPFCPPRLTVEQIESIYWARVPNETLRTTALKLSEVRGWSVLCNDPVRIMSVYIPEKDQSLDILEMIEMPDMLEFHRQTLNLYCKLASHGNHKVAHTLCQHIDEDQIMYAIKSHYLSGPMRQGFHDLLIGLHLMSHTAARNSMAKEYVIPLVPQLQIKNVLDPDSESRYPQITGQSVSMLSQMASEPVKKHISREEEMKLLPPSVDFEALKKHVMESLQSATHHAVMNCRDLIGGDNTNHFEPLFKLFDQLLVIGLINDEELECLLRLIHPQAFDESYENGTTQKGLTQLELAEPVKLQLVSILDHLCDIQLRYRIESLVAFTEGFVGELQSDQCKRYMEIKQTDMPPAEAAKKTKEFRCPPKEQMFRLLMCKVKEERDPEIMEEDVDVDQCPMAESLQQQLRDFCELLVAKIGNAKEGDSEEQLALIESEEGSWVDSFARIVVKVPPPLQEEGMEMQKKGTQNFREIIVTMLREWAQADFIESKDLIRCMFKLLLRQYSGIREIRDAMSQAYVFHERNAEDVTDFIVYLIQIRELLTVQFEHTEEAILKRGLWKLMNNRIFFQHPDLMRLLSVHENVMSIMMNILTAQQGTVEHEGDEVKEKAPIKDASEMVVACSRFLCYFCRTSRQNQKAMFEHLSFLLDNATMLLARPSLRGSVPLDVAYSSFMDNNELALALKEEELDKVAVYLSRCGLQPNSELITKGYPDIGWDPVEGERYIDFLRFCVWINGENVEENANLVIRLLIRRPECLGVALKGEGQGLFSAFKEAIALSEDIRLLENDSHPAMLTSGLLGENPTYPSKEIEGEDYIDLGAATLDFYSSLVDLLAKCAPDPMAIQAGKGDSLRARAILRSLISLDDLGQILALRFTIPNLAAPSIEAVRRANAVQASHTQKAVMAHTFAMVASLASEVSNTRRSQTFTSGANLARRGLPCVEEVDTDDSKSSDYASVHTSFSSGNELFVPKSEKEKAELQLLNEKKYPIVQRRRSSRYNPIDNTGPLPGLLPNHKGSVLLFLDRVYGIDQQDMLFHFLEQSFLPDLRAATMMDSPRALESDTALALNRYLCNSVLPLLTNHSHFFSDAEHHSALLDATLHTVYRMNRLKSLTKNQRDAVSDFLVAITRELPPAMMIKLLKKVINDILTMNDMNVLVPLRLITLHYERCGKYYGSGNHYGVASEQEKRLSMLLFDAIFDTLGSRPYDPELFGKALPCMTAIGSAISPDYTLTSGLEDVRNKKREEEEGAWIPRTVDVSRCEIARDLEKMTELFAEHFHDSWASRKLEKGWVHGDLYSRANATHPRLKPFSLLKDFEKSFYKERCSECLRALMAWNYKFEMIDRDANDRASAARAISGTSISNFSPKPIDLSSMTLEKDMVNAAEKMAEHSHLIWAKKIMNDLNSKGGFMPIPLVPWDLLTDFERRKDRFRASEILKFLQYHGYHVECPKDEQSQNDRMKSEGERTSVEKRFAYNLLEKLITYLEQASLKMKSVKPSQELTRRNSFKREGQDVKFFEKVVLPLMHAYFNAHKSYFLEGSSIVQTGTASNKEKEMVANLFCRLAALLRIKNRAFGSVAKITVKCLQGLTQALDLRTLVKVNSDIVRTSLLTFFNNCADDLYAAVNELKDGGQYSLIRGQALKSWNSFEFANQMIVPVLTTMFAHLARNHFGTDLLLDDIQAACYKILDSLYMVTGLSSSISHRKSIAGESEKHRPGLGQCLAAFASCFPVAFLEPEFNKSNKFSVLAKSQDQSVQVQEMLQNLSTHIPHLEKLLTDLETVANNNTMYSDVPNVYDVDLPLMCSYMAHWFSVGPEGKRDKDDQRASVVQTTSVSCDHINRIFNALLKMIRNHVGIENAPWLCRVNFFAVQIIQNVTSDPVREFVLPIAERLRRMSEKAYKEEEHMRTHPDDADEGTVAEDNARLVRDTYAFFPILMKYTDLHRAQWLKSPTWETDGVYENVAVIFRIWSQSQHFKREELNYVAQFEEDAAAMGGGDMKTGKAAIAERKKKRREGQDKMKEYFDRNGRPIIKKDKHAASIVIACLKRLLPVGLNVFGGRELDIVQQSKEKFIQKETEEKIREFIKGLLEIPVKTDPTDKNAWQLSLYRKIGKSQMRGKDEMSQEAVIEKIFNMGQVSAILHTITRTKDRRRRAHHRWKTEHPQMQLSQAWKKVVSTQRKRAVVACFRMVPLYGIPRHRGINFFLPAFSRLWLEEEDVGQDQLIADICSGVEEEEGPRIEIIMEEGVPIVASSEETKEKETNPDPLKQLIRCFQRAATSEETAASAIHEDSLYIRFADVMAKSIHIEEEDGDDGDEGEIDQAAKEEQSQALRGEQAVLASRGAAIMCLMYLSASGGEPNEMVAQTLQLGIHLLSGGNVEIQKMLIEYLQLKKDVRFFTSMAGLMNKCSVLNLEMFERQIKAEGLGMGAELASGDNQNLNDADFTCSLFRFLQLTCEGHNLEFQNYLRTQPGHTTSVNLINCTVDYLLRLQESVMDFYWHYSSKEVIDEGGKEYFLRAIQVCSQVFNTLTESIQGPCVGNQMTLANSRLWDAINGFFFLFAHMMEKLYKNSTQLELLREFLNLQKDMIVLMLSMLEGNVLNGSIGKQMVDALVESQPSVEKILKFSDMFLKLKDLTTSQAFQDFDTNQDGWISPKEFQRAMESQKMYTVEDITYLMMCTDVNNDGKVDYMEFTERFHNPARDIGFNLAVLLVNLKEHITNDPRLEKIIEKAQTLLEYFDPFLGRIEIMGSSKRVEKIYFEIQESWLEQWGKQQIRDSKNSFLFNVLQDDGGDQGKLEAFINFCEDTIFEMQHAAAISSGDSDTKMERAIKQRDYFLQQTTASDQISETFKSGYNYGITAASALSPQNISTTMKNVTTSVRQMTWTQLLYSIVILIIRAGLAIGWGAYLLLMTIFRFAYFLTTSSEEEESAKHDNAKEQTKMNHDHATFSPPIVQDFHHSHVGVDAFGVGMNADHLNVNSLPDFVPPPRPETPETVLPEEEKLLNQDIPSPTSPAAASKAPSIYESIGAPQMVQLQSEADFQQGQYEPKIAESNSTKTRGSILNMLARNFKTIEKITLYLAFFINVILLFHRVDISHTENQEAASEGDDDEEAVESIFITGMQFPYVEYEITGWMLAQILYWISVLHLSTSFALLVSFYQLKIPLITFKREKEIARKLMFDGCWITEEDSEELGIVDTFMWYLDRIVISAKSFPMMYWDKFVRRKTRSKFKDQVDEETLTSILGEEKMSTDSSYDYRYSCWLWLGVILTNGQFLYRVGYLLCSACGVFVSPFFYAFHLVDVVLSFPMLKAILQSVTHNLQQLILTIMMTLVVVYLYTVIAFNFFRKFYVQEGEDGEEPDRKCHNMLTCFIYHFYAGVRSGGGIGDELESPYGDDLEYPRMFYDISFFFFVIIILLAIMQGLIIDAFGELRDQQESATEKLESSCFICDIGKETFDRMPRGFEIHTTKEHNFANYLFFLQHLVNKDETEYTGQETYVREKYDNRDWDFFPVGECFVKQYEDQLLQS >CRE27286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:168441:171855:-1 gene:WBGene00052258 transcript:CRE27286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lipl-6 MKWLIPILLIIPIAVCDDITSPSSEEDTDMTASPSTTTPILSTAQNPRLPKTSSLPISPIKSSVPTFPLSSFASADWLSSMPTVQTLVPPPLAPTTLEIPDEKTFGFNSLTPLWTLPTQPPSFSPLNDSPIKPLTNPFPQFPTMPTLPTLPTLAPFTFPTLPPATTMQPINITIDPEAIMDVPEIIAHWGYPVETHKVVTADGYILTLHRIPHGKNETSKSASKTPKPVVFLQHGLLCTSSIWLLNLPRQSAGYIFADYGYDVWLGNMRGNTYSKQHVRLTSSDPTFWKFSWEEMARYDLPAMIDYVLKNTKQKNLYYVGHSQGALTMFAKMSEDPEMSQKVRKFFALAPVARMSHVKGLFKDLGEIYEQYNVSKLLYKLYLKVKFQLVYQVFGDGEFLTNNIFTKLLTDIFCDQAVNNPLCENFIFAVSGPNSNQFNNVSCELLSSSRIGIYLAHNPAGTSSRNMLHFAQMVKTKRMSRFDFGKDLNQNIYGALSPPEYDIRRINSSIYLFYSDFDWLANPKDVEGFLIPMLPSRTLKKSIKLRDFNHNDFLWGMRARKEIYEKIINTMKLDQRRVKLQHSMERFFEKQRRNSTSSFSEDRMAKLRNETMSLD >CRE27289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:176843:177202:-1 gene:WBGene00052259 transcript:CRE27289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27289 MVSKVLFVALFIAVSCVLAAENSASTSGATSTGTAAPATGAASSAQPNPTVSNGTSTSALLTDNSTVSVDVSTTTVATTTKSTHTTTVLLSMVPIAISMLI >CRE27290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:177414:179535:-1 gene:WBGene00052260 transcript:CRE27290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-31 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LPH8] MYLEMDVDLQMLSGILITQFIYGTISTIIYTLTVVFLIKHWKHFDNYFFKLYIYQFIFNMWMYWNFYITGRLPASTCKECFLSSWFDSLSKTSTTAFPYNLFFFCQYHLGFMSYTNVLLTSFNRYTLIFMQKSYTKIWHYGTYIMIGILCVLPLGFTYPMIAHPAYVEYNPLSDTYVARTHADLSFLYSALIVWMIVTIILSVFVNTLCWYRISKYSQQTRQQSEYRLFLVSFVTFLFQIAAFSIGTINKISADVDPSKLLLISRIGQVLSPFANDLLTLTTPYVLIIFSKRIRQSIKHLFVKGSFAPSSVTPIQNIRASRSVLSKI >CRE26960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:181891:190083:1 gene:WBGene00052261 transcript:CRE26960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-1 description:CRE-MEC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPH9] MYFLLLFLPFVFSAGRDLAWYSSNTGLGASSHSVIHPPLQAATAIDFCQLPVDTGKCSQQLVRYYYDANVDECKRFTFSGCGGNSNRFMRRAHCRNRCVKQPNKLEVQESKHRRTVTTTTPTHLKKVEETTVEETTKRQPVTVPVKKVDLVQSGHKNGLCQDCDPLYGKCIDGKCGCMKGFRSLGKVCIDLNECDNGAVCGPNARCVNEVGSFQCVCDAGFSSDGDCKIGQEACMDEFDVNLTEEDCNNGKQEIKYYYDAEAVKCKQFFYGGCKTKSRNFFADLQTCDIVCVSNQRDYLESKGQSLHHPSHQLSSDISGGNELKSNHFKIDLFSSPSSPVRPDRPLSVADWPLKPVTLKPALDIDFSQGHTKSTDEKLEEAKNAEKLVKQLTPDHNICDLKFEPVLREECISAEWAEKFFWNSEFRDCEPFWYDSSCDPRDRAGKNFFGTFDDCKKKCDGVQSEYVTPAANAESETEKVEEEEEQAEEEAVEKEEVTPSETTTTAPEEENEQHDFFFKVKPQNYLEDVLSTEKEDGVKHNGFNPNEFLKNNVEKVKGEELMKVIPKPDDVKEETEDKIEHDILDNLKKEGVIEKILSAPTTCELEYDADLRNECSSADWTELFYWNEQFKECEAFWYDSSCGDPDYEKKNLFKSYEDCNNKCVKKIGGTVKSENNYYSLNYTVRELAETDPTTTTTASTTTTTTTTTTTKSTRPSSFLEVTQRPSYNQNNPLNLILNKALKPDESSELPEKFPPNHEKTFVNFAIKSEVTATTKFDRLKYMAEFRKKLLALPDNFSTSSKPTLPTSPPTTTTVEPSTEKITETETENLTTAEQPTETTTTSFEDFVEAEKKKTLETIALLDRPEDLCDEPLHPKLEEDCKNDQWEIKWFFNSDRGACKSFWYGGCEVESRNFFPDHAVCRLLLKTIQFIYFQNCRHTCSHKYGTPISFSSKVYIPPGQLSTVATPRKDRLTTSLKLTYPHSEDLFPDQNQITVVELDGNFHRVEEQERLRLLPTKTLKPKGTVPTAPNIVNVSEGDKSEIEPAFYSHIDRVVHDMKTGGHPDYAKPEEFVRRIEAASNDYIKYDFQKPDVVTIVDKSPPTVATPKFEVPQKTTLKSEPTHRTPTTRSINDPCDDEYDPKWDEDCLGDSWVVRSYYDPKAEACKAFWYGGCHTSSRNIWFDKETCRTSCAHKFPTDTPMIGPNLDQSTESATSSAEIQTSPPSSSSSSSNTVIFVSGSAHLVPAASKLSSPIILKIKALVSPEHRFKVDLDQKFADIKREHEGREDLEYSKLTQHPVTVAADCLDAFNQSLSKPCGDGKTWSNRYYYDKDTRSCRMFWSNGCFTPSKNNFDDLETCQWKCEGRHPQPAGKSCLDKFDERYLEDCRHGEFTNRFYFDHDRKKCVAFHWGGCQSKSQNFFADMGVCQDLCESPPRELTQACIQPFDKNYENSCSSEKPQQYYYFDPSSGICKMFWFGNCKGENENIFSTLEACQWICERKREERKPAICADKFDQKYTESCGNSQWTEKWYFDQSSGDCMSFWWDGCTSSSQNIFPDEKSCTSNCKHPGFEISSKLASEDSKFRCLEPVEIGNCQETYPAFYYDRTSRSCRPFAYSGCGGNSNRFMTVSQCENLCFAFNSMNEAEVDCHLPMHIGYGKNEDSCLPQAGFRFYYDRDYGKFPIEKHFNSMFFFQENALKCGIWDVVVMRTTSTLMKSVNGHALNPISPENSNERHEQAARYASKHQETKEFVGEILVHIHYRDGRTVLRNVLLLLIPDKTCKGLLNSNDPRICSFPPDWGSCNQLRYVWFYNLTRGTCDQFLYGGCGGNPNRFETFEICQKACEVTGTDPCMESLDRGSWCEAMSNRYYFNKRAKQCKGFHYTGCGKSGNNFETKEECQTKCEKRFPRAAPAKKKTKVKLPVGYSGSKPKDKTPMLRHINLNGFNQTYFKSDPKWMDYSSCYGYRYNVSGRDTVLNVHYCAIQGSSDCISESYRTTEGEEYCNILRPFLRGQNLYSFYFGLDSLNPLYRPKDGLSGRIQRKNETIAAVLVLKANQCHEIC >CRE27291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:190171:193328:-1 gene:WBGene00052262 transcript:CRE27291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-15 description:CRE-PTR-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LPI0] MGFLRNPLGLFQDFLANCFYQYGLIICRSPRLFTLGPLILTIIFSFGVLNMRTEDDLRFLYSPELSLSRVEYQVHKDFSGDSKNNSFVSITIQTKSEDKNLLKKDLAQKLIQLNKYVLAKMEIQVDGKVINFGKEVCSRMKQCELSNTIATIFLDTFWSEKLRKDPRIKIEYPTMKFFDNKFFLPTHFYGVKTGGPLGIQYIDMVHFVYQIPAYNEHTSEEMSKIFEQALTAVLDNQDAFDTSMFSLSILKDEMQKNATYTMPFISLTVLLLLCFTVASCMTDNWVTSKPIEAMIGILVSSMAIVSAFGLLFALGVPFINQVTVMPFIALAIGVDDVYVMLGAWQDTKKTFSPEKRMALALAEAGSAITVTSITSVLSFGIGTYSTTPAIAIFCKFICVAIMFDWFYQLTFFAAVMAMGAKREAAGYHCVYVWKRCDRAEIEKGKSEQAISPTRYFFENIFAPFICRPSVRIVMVTVSLILYVVYIAVSFYGCSQLIPNLTPSRLVVDDSPLIPYLHLAEKKIWAEGLIGRIYVNNAPDFSKNPEKVERMLQMVHELESTPYSMGPNSTNFWLSEFNNYRQFFFQDDSKFYETLKSFLQVSFNSHWDTDLVWDTPDKNSKGGTKVEKFVFTTAFKISDWNVRTSLLLTWRNITSKYPEFEALVFDENNFYSDQMLELQSTILSSLGTAILTLITVCILFIAESSIVFWVVCTLISMDIGTAGFLSLWGADLDPTTVVNILMSIGQCIDFATHVGYRIYRSEHSDPDERIKDAMGAIGWPVVQAGSSTLLAIVVMLMVPSSAVRMFARTSVLVVATGFFHGLIILPIIIRSFATNAKAHVPTHPH >CRE26961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:194995:196207:1 gene:WBGene00052263 transcript:CRE26961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-59 description:CRE-SRX-59 protein [Source:UniProtKB/TrEMBL;Acc:E3LPI1] MPFRMLLNDIQLTGLVLFPVALLGTVFNWTAVFVIHKLPSFRQSFGYLSSGQAFADAIHSTFFMIYFCPMVISGSEFLTEYSKHCGFILLFSYELSVQIHLVISLNRFFAAWAPYRYKKLFSDWNTKILIVIVLILTLISSFTFYKVMCSLEYSPKTGFFFFTDTPLCNAIGWFADFCKYFTIIIIIVILDISTVLKVRNNLVEFSESSLIPVQTSVDLQTTHRMSIREINFLKQTIFQGFIFALELVTYFILPAHLSNKWAIFFCTSFAWVAVHALDGVIIMIFNPEFKKYLFSCGYSHIRTISHPSQATHTQALDRHSSSKF >CRE26962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:197284:200336:1 gene:WBGene00052264 transcript:CRE26962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26962 MENETFLGAFTLVSGIFGCFTNWSVVLFSSSVPSLRSSFGVLSAHGSFATAIYCTIAVFWIAPLMLVDIDWLLKYSHYAGFVLYLCYDLATETHLLICLNRFCAVWYPHKYPVFFSTNSSFFLLAALWIFCVLISITVFPLSGCQMYYEKSLNTLVYTFTPECQNLTFIVDFQLHMIVVFVMIILNSLTFYQFRRKNKAVSVTLNHASSRRKAKSERNFLMQTFIQESGYTVALLSYFLLVTVIENELLRFIIILLPWYFVHAFEGMATILCNPELKNICFKKWRFWFVASNFRLKISIFLVSNFSFDAPDQPSNTRTVEAWSCSVVIRPIAKMLKMIVALITFLVTVFGTISNVLVLFAARKMSSMNSSFGVITKNQAICNAGMSLLFLVYIFPLQISPMKFFIKYSHIIGVIAMTIYEISNLSHFLIAFNRFCAVFLPSYYEKLFTLFGTKVMRNVIWMASAIWCLILYELIGCHFSYDAESWSLAFLATPKCTQLTWYSDFTFNTSLVVMTLVTNLLTAFQAGRKSRMLMNAAGIKMSKRQRQRELNFIKQTFLQGLSVFTGQITYYVIAPLIENPVIIFIVGSLWAFMHSIEGLVLAMKLILINFPNYRGIILASNQEMRSVFRTRKREFHEHCNSKKLQFLSESTTSVFISSVTIG >CRE26963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:201381:203872:1 gene:WBGene00052267 transcript:CRE26963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26963 MQDNIIVALITLLVTVSGISLNTYVFVAARKMSSMSSSFGAITKNQTICNSMMCICFLLIVPFQLGDFHFLIKYTHFIGTAAMISDEISNLSHLLIALNRFFAVFLPNHYEKIFSIRKTKIMIIVIWIVSFTGCIILYEIVRCNLFYDEPTWNLAFIQSEKCSQLTWYSDFTFNISLVVATLFSNLLTAFKAGRNSRMMMNAAGIKMSKLQRKRELNFIRQTFFQGLSVFTGQITYYVIAPLLSNIILIFIFGSLWSFMHAVEGGIIILSNQEMRQSKIIIYAFQITSLGSLSNMLVYYAAKKMTAMNSSFGIITKNQAVCNTIICFLFLFFIVPMQLNISKSLISSSHYIGVLADSIYDISNQSHLFIAINRFCAVFFPFYYDKIFTIFLTLTIRNIIWITSFIKCTILYEILACYFMFYPEYWTFAYIDTPECTALNWYTDFVFNNSLVVITICTNLLTAYKAGKTNRMLMNSAGITMSKRQRQREINFIKQTFFQGTSIFTGQVSYYLIAPFFENVVLLFVLSAFWAFMHAAEGGIILASNREMLSVFRKQQQKKTSIFVTSGSTKV >CRE26965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:206340:207534:1 gene:WBGene00052268 transcript:CRE26965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-36 description:CRE-SRX-36 protein [Source:UniProtKB/TrEMBL;Acc:E3LPI5] METHQLMGLTLVPVSLLGIICNWSVVFAVCKCNTLSHSFSLLTANQAVFNGIQCNLYFLYVAPMIILSVDINSLKQYSHVCGLILVICYDVSIQADFLITINRFLAVFLPVQYTTMFSKKRTKHMIAVSFFLSLFIVIVFFHAQHCHLHYDNKLWRFIFSESSVCLTYSYLGDFGKFILLCTLNGLLDTVTIWKVRSIRSQAKSQNLQRHLALEKKEMGFLKQTCGQAACGFIGMLIYQFGPIFTDNNVMGFLFQTFLWCLLHACEGSGEEKNGKIYILGFSDCSRWSSILKLDRHY >CRE27292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:207805:209053:-1 gene:WBGene00052269 transcript:CRE27292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-12 description:CRE-SRE-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LPI6] MLLIHYFNMSHFKHYNYFSTELEVVLYGETVFYILDTLNMMFYIWILFSAKQFHYNFNLVSGAQYIIHFIDNLAIMVMRFHWMLGYTDDFDISSNYVFNWAMTVSIYCIVAAMCALPCSILERCFATLYLKDYETNQRPYISYCLVFLLNLLGVIGALILQNKSYTIYVVTALIVLNIIALSLNIFLRGWNKRKYKECHSSSTVRFSRIGKYSLAKRFQISENIKSLHMLNFIIYYMGFMNVVLVVSVLASSFVTSPESQALCSLILDASIFLYSFALPQIMTCFCQKWKVQTSVFKEKLGYPKSTSIEPLRDTFGSDMREDVSMNRYFDQLKESWENA >CRE27293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:209433:210998:-1 gene:WBGene00052270 transcript:CRE27293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-11 description:CRE-SRE-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LPI7] MFYIYDTIQFAFFLWVLFSAKQFHFNFTVLLAVIYFVHIIDNISIILMRILMFCGLDDIAIRENVHFVSLMYISIFAVISAMCILPCMIIERCFATFFISDYEVKQRKYISFFLILLLLTVATTSCYFVRKTSNTVYIVITLMLFNIFALIVNGNLRVYNNAQYRKNHSTGTVFMTINEYSLTRRYQITENIRTLRMLNLIVFYMGFMNLLLVISLMMSGIRGISPERQAVCSIVLDVCIFVYSNFLSHIITYCCEKWRRHLSATWKKIGCRPSTVHVAPLCDTFGSEMNVNVTVDTYFTTLRNSWNASAPVISK >CRE27294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:211468:212713:-1 gene:WBGene00052271 transcript:CRE27294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-161 description:CRE-NHR-161 protein [Source:UniProtKB/TrEMBL;Acc:E3LPI8] MQCKICDSPSTEYHFGISSCRACAAFFRRYVNSPKQQKECNCEMDRPPCRYCRMEMCVKAGMMVSKVQQKRDSNPCRASGSSLETTQIALRNSDRIFKALGNYNVLGQERKIVHKTSPAKKVNFFEYTSIAIIDSRMVWKLLENTLIELQVLDEKDKHNLFSNFYPKWTLFESAIMAFQRSDVHTFFAPNGKPAKQISKFYKDCMTSRLRMKDDEVLKIFEPYWNSYYGHVAYPLFDLKFDYMELMAILTLLLMDPGYTNISDECSEMCHSLRKVIQRELKGYYLEKNLPTERLFKIIEALLLMEKADTWLQEEVHMCGIYNVPVDEEFRRMVMAPKL >CRE27295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:213382:216043:-1 gene:WBGene00052272 transcript:CRE27295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-139 description:CRE-NHR-139 protein [Source:UniProtKB/TrEMBL;Acc:E3LPI9] MTCRVCGAENGEPHFGGISCKFVNTICIQRILNFRACAAFFRRFVLSRKVKIVCTCKEKNHKSYPCRQCRMLKCMAIGMTRIKVQPMREKNVIKVPTPKFMSTLSLLSCNIIPRSCFNITKTVESWPEIDKKRKDLYGENTYELNFSELSNLAKTDTNLLWSLGEMIFPEVKILNADEKEAIICNFFPRWIIMECAIDYSTNYDYHSQLLKGDGLDRMLVKFYGSSMPDEKRMSDEDILKTFKQYWIHLYLVICEPFFQKKYDKVECIALFLLILFDDGETKNPACAAFFRRYVHSQKSVISCTCEHRLPSSHPCRHCRMLKCIATGMTKCKVQANREKNRVRFFYPGYISLISSLPNAIIPKSCDNISKTVAHWVDLDKKRKELHGECFFDHTLSQVTSLAKIDTKLLWDLGKTIFSDVSKLITTDRDAIISNFFPKWLVMESAIDYATNYDQFKMFVGTEEFYNKCAYFYGTSMPEDKRIKDEDTIRIFAPFWDWHYSEVAHPVYLKKCDKVEYMAIFLLLLFDNAYTNISEDGAKLCRNIRKVILRELKGYQDDKNCSEMRLADTIDTLRLLEKAEQKLQEEFVLCGLHNVVLHDDYKQIFQVKKL >CRE27296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:217820:219010:-1 gene:WBGene00052273 transcript:CRE27296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-162 description:CRE-NHR-162 protein [Source:UniProtKB/TrEMBL;Acc:E3LPJ0] MMCQVCGADGAEPHFGGVSCRACAAFFRRYVHSRKMQITCTCKQRLASSHPCRHCRMLKCIATGMIKCKVQATRERNKAKAVCLPGSISTISLLSCNIVPRECSNITCTVENWGEVDVKRKRLYGDSVFNLNFTQFSNITKPDTLLLWDLAVKIFPDIKLLSGSDKQAILCNFFPRWMMLDSAIGFCTSYEESSKYIASKDYTDMLLHFYGTSMPLEKRLKDKEIIQIFKPYWDFHYFETAVPIYFKKLDKIEYMAIFLLLLLDDAYANISEEGATLCRNIRKVVKRELKGYQTDNNCSEMRFISVMDVLLILEKAEEKIQEEV >CRE27297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:221660:223172:-1 gene:WBGene00052274 transcript:CRE27297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27297 MTTNCMVCDGSAAQYHFGANVCRACAAFFRRYVNTKKLTILCNCLSKKESQYPCRLCRMKKCIAVGMERSKVQGPRDMNNPLKRKMIEGSSPSPSSSSSPDSTISQIEFGITLRSVELINLIAKNYKNLEMTRSTIFDLSNQKSTLCVNLYELSLEVKTDAKLLWKLCETSFPEFDRLNRNDKKTLFFNFYAKWSIFEVAMFSAKRNDPHNFYSPSGAIATSINDFYVNTVREKSVLSDEEIIRIFEPFWTYHFQNVISPVVKLRFGEMEYMALLGIMLWDAGYANISNELAEVCHSMRKIILRELNAHFAENEISSNRLFETWDTLNLLERAEHKCQEEIELCGVYNFEVDEDMRNMIMWDKY >CRE26966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:224235:225835:1 gene:WBGene00052275 transcript:CRE26966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-42 description:CRE-NHR-42 protein [Source:UniProtKB/TrEMBL;Acc:E3LPJ2] MTRMTSPQFCLICGDSADSLHFGALSCRACAAFFRRKVAGRRNIFRRCDRQCKVDTGMRKLCASCRYDKCLKVGMRESAVLSRLAQKNQNYKKPSGSPEECEPSTSTSGSILENLQNAYQKLEEARRKAFNITHDSVPQSSNYKRMNEVFYEDIKLVVENLVSTLQCPDISKEQQKLLHVHFLVPFILLEGGYKSTNSELFYLPSGDYIDETRIAEYYQNPDDLNDKSGEAAAEVFRPYWKLNRQTLKMHLDDVQLDLPELLFVTALVFYDYGLQDQTDDCIEMCKRMRSQILEELTDYEKNVRINDDHSYRVGQIIMVLQAIQRTVNMVHETREISLVYNLYERHSSIFETIKD >CRE26967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:227705:229332:1 gene:WBGene00052276 transcript:CRE26967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-4 description:CRE-SRAB-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LPJ3] MSECDQMTEMASSFWLRLSLCGNLAITILSFPILLSALHYINSQQLFHHNTRIQIKVHIFALLVHSTGRFGLHTFDLINYFTNTGCDALPNFYRCLIVRGLYNFGMALAAMCSTSLVIERSFALLYNSTYEMCGRGFGLLLGLLQLFLAFAFLFKLYFDASFTPVPNVTLYYCQTLASGHGSVWTINAPLYVVMVGQCVCRLIFWYLAVQTRKKRNTQKLQSLSTRYTLEQGIRSIKALNMFINANCFVFFFLSFIGTTLHFNALNMRRPTYFALVEVIHFLPTYGILLSVYTYYSLKNLDTKQKTSLTKSIQVDPSYYLIEFQKQIS >CRE27298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:230666:231665:-1 gene:WBGene00052277 transcript:CRE27298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tofu-2 MDRAVVKQPLAIEEDVHNEFRMHTKLMLTELGKFQSKQDKDGSIRRTLQPISKTICAFLNTDGGRLFLGVNDDKMIKGITMSKNMIYHFFGSLRHMCEKFKPYSPISRIRVSILEVISMDDKTKVKLKKVDMDKEEVSDFPKLANHSVGGFFCDCFKEINQAATKQYLFIVQVAAPDADSPTIIYQNEEGLAYRRRLASNKCVYLDDLRRMMNERNRVFVDLHDEVRHEIDRFIKFH >CRE26969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:232212:232659:1 gene:WBGene00052278 transcript:CRE26969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26969 MCKLFVAVALIAVVCAHPAIPTEDQMKAELVAAGISDAASAGIAKIAESYKSQFEPVKGDHEAAKAVFDKLKTETDTYIATQSEADQTAYKAFVEKKKDEFKAHKEAASA >CRE27299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:233284:233771:-1 gene:WBGene00052279 transcript:CRE27299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27299 MCKLFVAVALLAIAVYAAPPAPPTADEVKAQLVAAGISDKAAQGIVDVAEKYKTQLEEAKGNPENAKKAFDAIKSDTETYIKTQSEADQKAYEAFVEQKKKEFEGHHTTPTH >CRE26970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:234935:235315:1 gene:WBGene00052280 transcript:CRE26970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26970 MFVKTTVSLAIFFVFVSSIRNSPEVDFSPDDVKKGLINEGVSESGATEITVFLFKDRPEDITAGELKTEFREFLEKMSIEDKSAIKGIISRELEKFFSESGDNQSIFLVG >CRE26971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:235873:236197:1 gene:WBGene00052281 transcript:CRE26971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26971 MLKLLSVFAVLAVLAFAKPNPEMKAEMTAAGVSAAGVSTISTFMESHKPQRGGNREAGKAEFDAMLATLTEADRAAFQKMMQSKHPKGGRGKQ >CRE27300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:236227:237133:-1 gene:WBGene00052282 transcript:CRE27300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-6 description:Mediator of RNA polymerase II transcription subunit 6 [Source:UniProtKB/TrEMBL;Acc:E3LPK0] MCIIWISDIMMPRMGPPTTARQENPLYLSFRNPQWPANQIYKENALEYFCNQANTFYEMNSCNQQIIMQGIKNRTVEECLRNMQGIQYVLWYSQPPLFIICKQRRNSPTNVSPISYYYIISGNVHQAPDMYSLIQSRLLGALEPLRNAFGEVTNYSRYNTAKGYYWEFKNKPNVKKRDEDKKDDEEEKLEERSTNFQKTRTMMLVNQLFSEMPADEALEKEEVEEEDKKPEEVAQPAAEEPKFAEPAARAQTK >CRE27301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:237218:237781:-1 gene:WBGene00052283 transcript:CRE27301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27301 MALLKLSKTREEDLLRDSTSEQPEFFIQSATAEKKPTEAPKMPNNVVAHELLADGSRMNPKIENYLNAQRLLSGNAQAEQRLLELNSAAQINRGPDGVFQSSRLHFDMLLNRDESIFPSDYLNFDSKMKR >CRE26972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:238180:240127:1 gene:WBGene00052284 transcript:CRE26972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26972 MPRLFLNSLVLIKYFTSKNDLFFSRMGALLAAPMCAASSACCFGSAACSLCCSICPTSKSSTTTRIMYALMLFTSTFLSCVMLLPGIQNKLAENKWFCEGLDEYAGISCAHATGFQAVYRVCAATASFFFLFMLIMFGVKDSKDGRSAIQNGFWFFKYLILAGLIVGFFFIRSESLATPLMYIGLLGGFMFILIQLILIVDFAHGLAEAWVTSYEESESNYCYAGLLVTVFGGFALALAAVIIMFIFYTTGEGCGLPRFFIIFNSLLCVGLTVLSLHPAVQEVSPRSGLVQAVMITGYVMYLTWAALINNPDKQCNPSLISIFTGNSTDPTHKDKEQHYGIPLPAQSIVSLFLWFACLLYASIRNSSNTSLGKITGGSNNSDEAIQLSSSMKGADDDTESQSSRKVYDNEEEGVAYSYSFFHFMFALASLYVMMTLTSWYKPDNDLSHLNSNMASVWVKIVSSWVCVGLYCWTLVAPLAFPDREF >CRE26973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:240225:240682:1 gene:WBGene00052287 transcript:CRE26973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26973 MDISKMDRYAAPVHFSSLPLLTTFLCGVGLLLLAAFTMLQVTATKYNRNFLKELFIAATASIFLGFGSVFLLLWVGIYV >CRE27302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:240776:241418:-1 gene:WBGene00052288 transcript:CRE27302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27302 MHLLPVLILLLAVTIEAKSRYPDPPVFASPLTYPSICYLPPDSALCDTSANSSEMDLLTRYYFDVATQECYPFGVQKCGGNQNQFNNRLECQQFCKTTESS >CRE26974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:250040:250479:1 gene:WBGene00052289 transcript:CRE26974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26974 MVRSIVLLLLLVALVSASYSRFGSNKAAKPEESHPSINDQIMQGSHIRYYGRFRDGSDDVLNRYFNRL >CRE26975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:251208:258178:1 gene:WBGene00052290 transcript:CRE26975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npa-1 description:CRE-NPA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPK6] MNEFGLVDLEFCDLNQQVALAGNHNRHHRVKRDNDLHDWLTTEQKESLKDVQQGTEEFKKKVGEFYALLPAEKRAEWDKFYKKHCFEWTAKAQNEDEETEFQKNLAANDVEKVRERIGELKKDMDEKARKNVEMWEEDCYKLVKPMRKRREIEAAFQDFVKWMTPEQVGDITALKAAGKDSEVQEKVKEYFGQLPADQQKTLREEFKGKCKVYFTPLMTSEELEKIETLKGDKEAAGALMKGVVERQEGDVKAIAGKMLNVCGEVYKESSRKRREIEAAFQDFVKWMTPEQLGDITALKAAGKDSEVQEKVKEYFALLPTDQQATLKEEFKGKCKVYFTPLMTSEELEKIKTLKGDKEAAGALVKAVVDRQEGEVKAVAEKMLSVCGEVYKESSRKRREIEAAFQDFVKWMTPEQLGDITALKEAGKDSEVQDKVKEFFGQLPADQQKTLTEEFKGKCKIYFTPLMTAEELDKIKTLKDDKEAAGALVKAVVERQEGEVKVVAQKMLSILPERVIQFIFQVCGEVYKESSRKRREIEPAFQDFVKWMTPEQIGDITALKAAGKDSEVQEKVKEYFGQLPADQQKTLREEFKGKCKVYFTPLMTSEELEKIETLKGDKEAAGTLMKGVVERQEGDVKAIAGKMLNVCGEVYKESSRKRREIEAAFQDFVKWMTPEQLGDITALKAAGKDSEVQEKVKEYFALLPTDQQATLKEEFKGKCKVYFTPLMTSEELEKIKTLKADKEAAGALMKSVIDRQEGEVKAVAEKMLGVCGEVYKESSRKRREIEEAFKDFVKWMTPEQLGEITALKAAGKEASELQAKTKEFFEQLPADQQKTLREEFKGKCKVYFTPLMTADELEKIKELKSTDKEAAGALVKGVVDRQIGDVKAISEKMLSVCGEVYKDTSRKRREIEAPLQDFVEWMNPEQLGDITALKTEGKDSEVLEKVKEYFGQLPADQQKTLREEFNEKCKDYFAPLMTSEEQNNIGKYKGNKDAAIGVIKGAIARQTGEVKAIADKMFIICTEVAEQRKSKRDVSAKIEKHLSWLQPKEKEEILKMVKDGKSKDEIKTRLLEIVEAKEQDSEEKAKTVKLCYAWMDDVATKEEIESLHKLHHVDHGACKKKVREFIGRLPEERQHAVEASLPFCEKLWYGNHGDHSAHEGHGDHSGHEHHHRRRRHLAVIEKYIEWLDDKQKTAIDELESSGAEFSTISDKIKEFFYQLPQEKQTDVKDKFQHQCMIWAKEVSKPLEWEEIKKYHAAEDHTALKAKLVELEERLTENQKHTIEHVRGICYKLWGIKHVSRRVRVPNSETSFQEKAAKYLDWMNEEQLEELKRLKSEGKKSEVMKAILKFFDATTGDVKEKAEGKLKEACREYSVKAFGAEKVAQFKKLSDEKADKSEMEKLANQYVEEIEDEQKKDFAKAVSSVQRKIFIFPFDLQVVTGCKHVYASSRARRDLIAQEVAEYLQN >CRE27303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:258442:260087:-1 gene:WBGene00052291 transcript:CRE27303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mys-1 description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LPK7] MTEPKLEVIEEETNETPKTNGNAPNLYEKVLEGCRLNVKMASQNEWRQAEIISRCRAPNGSMKFYVHYVDCNRRLDEWVQADMLDVSTLRMPQKGGKKGAHLKEENRDSSEAEAKRTGRKRKIPLVPMDDIKQEPADQNQPIPAVTSGSTPSLRGSMSMVGHSEDAMTRIRNVECIELGRSRIQPWYFSPYPQQLTSLDCIFICEFCLKYLKSKTCLKRHMEKCAMCHPPGNQIYSYDKLSFFEIDGRKNKSYAQNLCLLAKLFLDHKTLYYDTDPFLFYVLTEEDEKGHHIVGYFSKEKESADEYNVACILVLPPFQKKGYGSLLIEFSYELSKIEQKTGSPEKPLSDLGLLSYRSYWSMAIMKALFKFKRSRPNEDITVQDISLSTSIKREDVVSTLQQLDLYKYYKGQYVIVISDEKRQVYEKRIEAAKKKTRINPQALLWKPKEYGKKRVSIINLLDSITIVLILNFFQAQITF >CRE26976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:261083:263223:1 gene:WBGene00052292 transcript:CRE26976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26976 MSSSFLFIVFLLFQQYSSLHSPISEKDLVIFRSNLVTRLDQCTTWRTKYPKSVSRTLDHFLMNSKFDQFREAALELAVDSFPESVDCLDSCLDTIEKYKEYVKMGSRLTTKNSLSDLLDEDQIHQLHMLMDKTKRVDLIEKGLHQHLHGIPEKRRQELLSEFLVSKPYRVRRSTISRTIAREPTAFSPDMLLWQTPRHKNVILKQKREKSKNSPWDLMNTIGDQYYHLRKSIRVKYDSKFMKYCNESLLAIIGNDSFTQLEAMVQDSEQVEHIWNKYQQIIGYLKNEEDQLTAEHYGQFCRKIYRLLPIEQVDLMSWLSPSQRFRIAEMIQDPDVNDSQVYEKLYEFFNKASGDAKDEADEVITLGCRKFIAHHLGDQLAEDIEDLRVAGGAGSSHHTAALLFVKHYEIAEAGELAHQKRIDESLSICKRIYLDYDGSCYCNGRSDSCDPNTFVCQNCTGGTVGYQCEKCPDDLRLDKSGNCSVALDLQCFCHNHASECIDGVCQECENNTVGDHCELCDVGYFGDPTKGRECTKCPCPKNGECSYNTVSNRIECNDCPKGHIGERCEDSESSYRPFTTEASTITLVDNQL >CRE27304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:263715:265431:-1 gene:WBGene00052293 transcript:CRE27304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-286 description:CRE-NHR-286 protein [Source:UniProtKB/TrEMBL;Acc:E3LPK9] MEQICLVCTAVSTEPHYGADVCRACAAFFRRRVFSRFPAKCDQVGTCEITSNSVWSYDFRVNRIVAGEREVRQCKACRMEKCLKIGMQVSNVQNKRDKHDNEKIFGNRRSELSEKSLAPACYSKVNVLPLELQCSSSSSDYDYNSMRLKSLHLNFTEMLQLRKRIFPRRRVTRSLHHAEALTIFRKDVKLAADWINNTFPELSQCLEPSQQKILFRNFYLKWTVFEPAYLAVLLGKPGTNFSRVSHRISGKPNTYHLPTGDIVDEVGIYYTRHLGTPPSYSLDEVSRIFSPYWTDFRNTLVDPVIDAKLSIHEFLLLCSICLFDVGLDGQSEECIQFCQKARQELFRELNVVCKHVCESTKSSENSCFRFAQNMLLLPSIQRGIDVFEEELQLGGLYKLISTSTDDWYAMVDGPSFEDKELKVNNL >CRE27305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:265759:267518:-1 gene:WBGene00052294 transcript:CRE27305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-135 description:CRE-NHR-135 protein [Source:UniProtKB/TrEMBL;Acc:E3LPL0] MSPHPCFVCNSPANECHFGSKSQICRACSAFFRRYVLSPKSAIKCRKDKSCRINFKDPKICRFCRMERCILAGMKTTAVQPPREKYSEDKGDSETSSCYSGSPYTYEVQSCSTDIPRLHRLSIHFKNLENVRKLEFQNQEPPKSRNMVELIEILRKDIRLVSTFVEGAYPELGRMGKLERKLLFLNFFIKYMLVEPPFLGLAAGRQEMVLPNGDHYDEDVGRFYSFMNVEALTGNPPETYFKPYWDEYKRTVIDDVWFLKPDVYEFLTVSGLILWDTGLDGLTDQGVEVCRAMREKLVSEIHFYYKNVKKVEDPCLRLGQIMMLLSSVQKSFGKYQEELQMCGFFEIYKNLDPETCQIINDSLQL >CRE26977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:277223:283274:1 gene:WBGene00052295 transcript:CRE26977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-odr-2 description:CRE-ODR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LPL1] MTSQFTKLFSFILANVHDPAAPDDAALRLPCYSCMSPYLEDHYPYISHLYRKPLAFDIHCDKHSLDTSYLYSKNCSDMCVTLRINDVVGGRRRHGYMRGCLSDLHGYNHSLIRTLAERQGCLDTTARELFLPTAQRQELEPSRLSLCACHNNLCNFSVSPPCFFILLFVILVIFLMR >CRE27306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:283766:284833:-1 gene:WBGene00052298 transcript:CRE27306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-33 description:CRE-SRX-33 protein [Source:UniProtKB/TrEMBL;Acc:E3LPL2] MQEPQFVGISLLPISLFGMVFNWTVTFIIIKDKSISSPFMLLTLVKSFFDAVYLTVYFLYVTPMIVLANKFMIRYSGHAGYLLVVCYEISIHTHFLTSLNRFSAVFFPFSYKSTFSIRATSIYLIVIAIFSFSMMTFVIYGLDCKLEYDPTTWVAFYDVTIPVCGWYAYYADFLKNVVVVLADAIIDIVTLLKVQKMRRQFRDGKRADNYTKKEADFLKQTFGQGICYLMGYASYLVVPANSNKYVTFFMSLVSWALIAMIDGLFTIVYNSEIRTKILKKQEATVGSTVVSVNN >CRE27307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:284993:286070:-1 gene:WBGene00052299 transcript:CRE27307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-34 description:CRE-SRX-34 protein [Source:UniProtKB/TrEMBL;Acc:E3LPL3] MLRQQLVGLSLIPPSIFGFISNWTIVIIVIRYRNLHRSFAILTATIAFFYALGSTFDLFFVSPMIILNINFLKEYSGICGVFSILSYDSTTQFHVVVSINRLIAVFAPLSYNTFFNPRTTKFLIGFIIFLSAIVVSTYLLAVDCRFSFIDDRWTFLITGSDKCEFFGWSMFLGKNLFLSVVNLILHIITFARVLSLKKLPRRSNTSRTYSLQESYFLKQTFGQTIFMSIEIIAYIFPARNIEQDYITFLCSIFLWSTVHAAEGVITLIYNSEIRRKLRKRKKSYRISATKVELPH >CRE26978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:287022:288227:1 gene:WBGene00052300 transcript:CRE26978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26978 MIVDFNNWIAAFLVVTMGVFGVTCNFLIIYMFKKEKADRSSFNLICVFRACINLYVLITMFLMCFLPTIVLGTPIWPPLLESIFIIFPNTFYLGNEYLTILVAANRFCALFFPIHYSRFFGFRPTLVSVAIFCLRELQFIQIIMIAIYGYRFFNLVITWIIYFNKGEEQGVDCFVFFSNDILTWMSTDDPACVLEDNILLVVGITFLIVFSLNIAIFTKIILFQRFNSQSGKQRSSNERRNIILFVQTVLQDSLYIIDLTFTFKLSALLNTRMWAFISGTFVWESLHAFDGFIMCLFNEKLSIIKHILRFYPSPRVSEIARTTPSTTLARVE >CRE26979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:288364:289630:1 gene:WBGene00052301 transcript:CRE26979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26979 MSVPNETLYNFYDPYNMIAGVSMILVRIGKVQVLYIFHVQVGLIGIPANYLVISIFVEYSSERTSFTTLGVTRATANILILIGLYAMIFIPTSFVGYTPFLPVPVTTLSITVGMAIYAINENQTLLTALNRFCALYFPFQYSKFFGIKPTILLLTILWIYRVGKVIVPLFSIELSKNVCWAYFSPNYLTWLITSPDTCGSSFDGTIMAALQIFGLTTVFNVATFSKVLYFYRHKSQSDAVSRRKERKNILLFFQTILQDFLYVIDFTFTFYFSTLIDHRMWTFLSGTLIWQSIHAIDGLIIILFSDRVSILKGCFTKRLPHSELQSLPHSDIKRHSISAALATIN >CRE26980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:290500:292010:1 gene:WBGene00052302 transcript:CRE26980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26980 MYNSGGTVKTFELKEDAKLFQIGVFGVFCNISIVYVFFKENTERTSFNLICVYRSLSNIYILGTTFLGLFLPKTVLGYSPYSPPVESTLIHISNTLYLGNEYQIILVAINRFTAIFFPIYYNKVCGIKITWLILTIIYLVRIGIVILETIPQLEKQCHTSFSLYSLNWVYDKQDKCQIEDNILTVISITFIIMTAINGATLVKIIMFYKSSRNEDRETKKRIRRNVFLFLQTGLQDSLYLIDLSFMMKFSQLSEARVWTYISGTFVWECLHSIDGFIMIMFNEKLSLVRKRFFHSPSLTQIPQSKKFTISVVSSMPDIA >CRE27308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:292132:295062:-1 gene:WBGene00052303 transcript:CRE27308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pms-2 description:CRE-PMS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LPL7] MSQNKIEKISKDVAERLTTAQVLKFFTFYVKIELLQVVVSLSSALRQLIDNSIDAGATIIDIRVKNNGFDSIEVQDNGSGIESHNFDALCKAHSTSKLTQFSDFDKLATLGFRGEALNALCTVSSVSIFTRAADSEIGTRLTYDHSGSITERQSAARELGTTIIVNKLFETLPVRRKELERNQKREFVKLLSTVQSFALLCPHVKILCTNNIAGKKTNIICTPGGTSSVQDVVTNLFGSRRVENSKAGSSALIPILQEQPDFEIMTMHSIPMEETHFFELFKIRGFVSNCEHGCGRGTSDRQFVYINNRPVEYTRVCTVINDVYKQFNKSQYPIIVLFIDIDVNVTPDKKTVMLEKERHLLAVIRASMMKTYLKIVGSHSTVKSSVEDRRILTLSQQSASNIRFENIYRLKNLLFFSFASSKSPSPDDLNDTLLNSTFPDDSLLNTSDLLKQRSVTRSPPAKKPCMMFRKAAPTLSNEPSTSYANTTTRSQRLENFSFTMEPKRIEIPKKTKEKPVEKPSEEEIRSAVIAEKAGNQSAEETNDDGIEIIEPIQESQDVVLNDSQCSQNSQVSQYLLRPQQKIKFSMRRLLDVYARHIDVNPEVQEEDTHENDALDEITTGFKKEESCDAERQLSRSLTKEDFTNMKVIGQFNHGFIICRLRGHLFIVDQHASDEKYNFERLQNSAKLTKQPLFTPTALGFGSVQELIIRENLPIFQANGFDFEFRENDGCLKTFLTARPELLNQQLTNSDLEEILAVVSDYPNQMYRPVRIRNIFASKACRKSVMIGKPLDQREMTRIIRHLAKLDQPWNCPHGRPTIRHLATLPNRTETD >CRE26981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:295970:297567:1 gene:WBGene00052304 transcript:CRE26981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-101 description:CRE-NHR-101 protein [Source:UniProtKB/TrEMBL;Acc:E3LPL8] MEETEELCLVCNDASSGYHYGVPSCNGCKTFFRRTIMKKQLFVCQHEKNCPVDRSIRCACRYCRFEKCIQVGMDRSALQASRDRIGYTKRTRRPKVKEVSFSSSSSMSPQSYIVESPGYAQKAFETYWSYVASREKASNELRLSDYSPKRSLKEALCSKSLLNDPIFLSNHTAVSPRHTYTELRFIKQSDYHYWHERDWFVLTEYAKTFKVFTDLCYEDKAELVRHAAITIPALNQVYNSPDHGPDTVVFPDGTYYDRTHEPTRPAGLNRKKYQVLDLVLKPFRDIKINFNEFAAFKAITFLNPDADVSLTAKLAINEERVAVTKQLYDFMVRNDGVEKAIRRFSRLILIGTSMSKMACESKEAVWIADFFENIGYTSFAKELFFGEHNSESEL >CRE26982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:300001:301561:1 gene:WBGene00052308 transcript:CRE26982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-68 description:CRE-NHR-68 protein [Source:UniProtKB/TrEMBL;Acc:E3LPL9] MENKELCLVCQDFSSGYHYGIPSCNGCKTFFRRTVMKKQKFVCQFDQNCPVDKSIRCACRFCRFEKCLKVGMDRSALQASRDPIGYTKRNKKPERQLATEINSDESSSGSPERQISPVRTFENTLNLLSVKEKSANELRLSSYLPKRSLKQALCSKSLLNDPIFMSKHVIISPRHTCENLRFITQDDYHYWHERDWFVLTEYAKTFSTFKKMSYHDKVELVRHAAIVIPVLNQVYNSPDHGLDTVVFPDGTYYDRTHEPTRPAGLNRKKYQVLDLVLKPFREMEINFNEFAAFKAITFLNPDANVSLEAKHEINTERVEITKQLYAYMLQKDGLEKAIYRLQYILIHVQSAIFRFGRLILMGTTMSKMACESKEAVWIADFFENIGFTSFAKELFFGDS >CRE26983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:302309:303692:1 gene:WBGene00052310 transcript:CRE26983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26983 MSSSLFTSDSYQEMDAFNDGLSLFSASLVLIIGVFGVITNSSILFIFYKEKSEKTAFNLICFFRAASNVVILSATFLSVFFPKIMIGYSIYSASLESFLIHLSLTLYLGNEYQIIMVALNRFCALFLPMYYSILCGFIPTLVILSVIYSFRLGKVLFEHDNHVSTNCYTSFSVEFLSWIYDPNPECEVDDNILEVIFYTFITMSIINVVTFLKIISFYWKRNDGNPMDKEARKRMKRNIILFFQTIFQDSLYLIDLIFTFKLRLLDFTHILHLIATSSSGLSTHRFWTFLSGTLVWEFVHSVDGFIMMMFNERLSFLKKRFHPTPSITNIPSGRQNAVNTTLSIVG >CRE26984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:304609:305836:1 gene:WBGene00052311 transcript:CRE26984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26984 MIDNEEFNYTNSLNLLVAFLIISIGVFGVICNSAIVYIYLKENSEKTAFNLICFFRAISNVIILTNVFIITFFPKTMLGYSPYPPVVESWLINTSNTLYLGNEYQIVLVALNRFCALFFPMKYSKVFSVFNTTIVLVAIYIYRIVKKIIELWPQSMKGCHALYSTKSLAWSYSDAKECADWEDDALVVIFYTFIAMSILNTITFVKILHFYGKRSSDQDKDTRKRMRKNTIHFLQTMLQDSLYLIDLTFTFELSSWSTHRVWTYVSGTLIWELLHTTDGFIMVMFNERLSFFKKKISNSSVIPVIATPSPLRRGTISVSTIPSIK >CRE26985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:306445:307763:1 gene:WBGene00052312 transcript:CRE26985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-89 description:CRE-SRX-89 protein [Source:UniProtKB/TrEMBL;Acc:E3LPM2] MSNSTTNFYNYKDNVNLISGILTFVIGVFGAICNYTIVHVFIVSPDKTSFNLICFFRALINFYILVTTFLGVFLPSTLLGYSVYSQAFESWNLNLSMSLYLGNEYQITLVALNRFCALFFPRYYTKIFAIRPTLFVIIVFYVYRLAVVIYMTAVDVARGCFYFFSTITLAWQYPFDPVCWFEDNIMIIVLTTFIGTTCLNMVTFVRILKFYRSMNTHDRDTRTRARKNAMLFFQTVLQDSLYIIDLSFTFKLGALSTHRMWTSISGTLVWESLHALDGFVKTPSVPIHPTLFRFIMLMFNDRFSFLCARNESPETSTSAVPRRAPVHPLGSVG >CRE26986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:308761:310096:1 gene:WBGene00052313 transcript:CRE26986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-82 description:CRE-SRX-82 protein [Source:UniProtKB/TrEMBL;Acc:E3LPM3] MNSNSTRYDFSNPLNLVSSFLMAANGIFGVICNLSILYIFICVPTERSSFNLICVYRSIGNTIILVWGFMATFIPIGLKETSPFSNNYETVVILSCNNIYMIIQYSGMIIASNRFCAMFFPIMYHKLFNIKITCTFLSIVFLFKLADNIYYLVTFLRKFIRKITYFNPPLIPARDCYTLYSSFYLAWIPNMDPNCHSSQDIPNPFNNTAILVVLLILMNIATFSKIYFFYKSTEPEQREMKRKTKKNKTLFFQTLFQDGVMLIDMLFTFKLSQLSNERYWTFISGTFVWQCVHSVDGFLMVMFNERLSFLKKRLFGIDEKSHTTIQVLTTVHH >CRE26989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:314493:315443:1 gene:WBGene00052314 transcript:CRE26989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26989 MKRSSLILLSILAFQSVQCFRQHAPPHPPIRRNSDSSDDSSMSSEGDSFEDDVMQKKNQNQRKRIDPDSMIQLQQKQEEERVQGQTLFEEKRDKFFDRPSSEEMEAYEEKVKRQKNNRVIEDQLVMEEPMKPVRGPKPMKPKMDDTDEKLFLAALGQIYLEKLDDGSRENDRFPGPPGPIGPMGPPPPPPPMDYDYLFVPVETPGKVESNNNGNNNVHVNVNHVQVSKHIESFNPIQYLFQVNSNVLHVPEKDKKKSDEHHEEMPPIIPSYHYSEPVSTSKYMYFNFNMVDCAILAVLVAVFLFATKKAYMKCFPK >CRE27309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:315662:317006:-1 gene:WBGene00052316 transcript:CRE27309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-20 description:CRE-DHS-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LPM7] MVLCCLCTLFTWVFYFLAVVVVWNIVRYFLELIPEGGSVHDRAVFITGCDTGFGRELAKKCAKNGFMVFAGCLTTEAAKSLEAEVANPRLRTVPLDVSKDESVEKAAEYVKNNLGNHSEFFLLSYYLVFLFSELWGVVNNAGIFSCYGPDDWCRMNDYQLALNVNCLGVIRVTQAFKKLVKAAKGRIVTVTSVNGRLSTPAAGPYVVSKFGAAAYMDCIRQELYNFDVKVSIVEPGIFRTPLLDEQAMLKRVDHVWTQIDDETRAEYGENFKNYFAKMWNKTYISMSTTKIHYVVDNYYHALTAKYPRHRYYCGWDAIFVYVPLSLLPTWWADFIIRNLGKQEVVPACLEEKMKKTK >CRE27310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:322471:323138:-1 gene:WBGene00052317 transcript:CRE27310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27310 MRSEEVQNKEKVIFTTTKPDASTHDCVIAVRKDYAVTYQNGDHSHFEEGQQVTLYSLLDSTLTIEVEVFRIRKMHDVIIFKTIGDTCFEFFIDYDRHRYLPNSCHLLGVNKETRTPEWKEGTLFIPKPQDRGQSCVTFEGEPGSAVMDDNGMLYGFISVKRRNEITLTNYYNMCLPQEDDEVSSKTSQEQLSVKKEICLTRFHDD >CRE27311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:324777:334280:-1 gene:WBGene00052318 transcript:CRE27311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27311 MSSSRLSRWPSSSSQSERSIGGSRRSRDERRRSSRSRDESQRRQEDRKERDRDSQKNEVFLRRLEKMENEMSAMKDEVTTLKRKVEAMEKEKEETGKYIKNLEETLVSTQPMTTEKRREIESSVYIVHADNVIAKSNAFAISPTFAVTYAHKGHRVLKVGVTLHLKRANSLGQPSLKVQVVKNSETEDYVIMKICDGVFPYFLSDVATPVPGMPYFFIGIDSQLKIGWKSGIVSKKINDFFIGTSNTKKGDSGGLLVNEKGFLIGMNTDKVKFVPKRIRSTGEPETDDEFYGRLTEHTSSSKFISQENLIYARARPGPARPGP >CRE27312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:335385:336892:-1 gene:WBGene00052319 transcript:CRE27312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27312 MISSIVILVSILALAVSYPYSQQYGNYDQQYSAQSQYYPSSDQRGYQNGYYGMQGDMGGYQGGMQYQDYQGNQFQGGYQGYGQGGQGYQNQGYQSQRSQGGYPSRYRGSDSSSMYSPFMDLTNYYGSQGVPQYYGYPSSASYQMTPYYQNQNQNQQYSNLQQQYNTPSQSSWSPMYYGNGNGYQSQSSSQQSMNIRPFQNSWGQSQGSSSNGWGNPYQFTKVNAQVTDENDNVDGSGMDSSEQTSSAPNADDSQMMTNNV >CRE27313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:337789:338588:-1 gene:WBGene00052320 transcript:CRE27313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-piit-1 MEARFVVFLSLLCHSALSADVDCFAARDPGTTCSENSSSRMFYYLPRLGTCQPFMYQGCGGNSNRFSSLQECRSACTNAQAQRDSESTDETVQMKSACSATYDTDHLTPVRCSSAANTCPQGHNCIQSFCCPTANYLCNLTYDSGKFAVGGEKSDKYFWVPKYTTCMRFSFYGTLGNANNFPNYNSCMATCGNQTRTAN >CRE26990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:339521:341222:1 gene:WBGene00052321 transcript:CRE26990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sas-5 description:CRE-SAS-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LPN2] MSNYEDLPCSIHFPRQSGEVSHQPRVVEDSESPVIHRVPPPQAEQVRASVPVTATVAAQRKKSCLSTTTNNSRKEPPAHPALRRKTVAFGRTVNVSQTVEGTSRHSKKALASPSSTSSPVQNQKMTEENQEEDWRDVMKNEINVMRKEMQEETTKRQEELNAQNLSKMQEMMSQFFQQITVAKPATDNTHREKENLYEPTRQTRQQKPASKIALAREVVKREGIIPPEALTILEQRVRSDPLFRQQIDNVLADAECDANRAAYSPPPPLSEARYTGGTAVNPALMRETLTVERSIRYGNGLSSIDSRQWTTERNDNRAHDNFRPYESEPPYQSMYQKGQSVSYYPSEAVGNTHRNNRTGYYVDDSSDQEEEIVAGGRGRNYHEPVETETAAERERRIREKYARKK >CRE26991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:341923:342860:1 gene:WBGene00052322 transcript:CRE26991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-290 description:CRE-TAG-290 protein [Source:UniProtKB/TrEMBL;Acc:E3LPN3] MRLVLIVSSLFFSSFCFDCTQPKDSGNACNESPAARSFYFDSRTKVCQPFLYSGCGGNDNRFATSKECRDSCQNKKQTTTTPSPNTISDESENGSSPNSPPFVPQGTSHDQWRKAEICGSNYLIPNGKYITCSPNTPCPKFHSCVNGACCPSKDYVCSLRDDNGSFMDGVEDRPRFSWNNDVRSCTRWSYYGANGNYNNFPNFQSCMRYCQDSKKIDL >CRE26992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:344783:345060:1 gene:WBGene00052323 transcript:CRE26992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26992 MNKLLLLFVLVAVIALASAQWGGGPYGRGGYGPYGGRGYGGGYGGRGYGGYGGRGPYGYGPRYGYGPGLLGALVGK >CRE27314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:345325:346484:-1 gene:WBGene00052324 transcript:CRE27314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-15 description:CRE-GLB-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LPN5] MHSARIQQFVRRLQRPSIQADHVYISSKYTSRLFICEQEEVNKMIESYQKIDDKYALFEQMFLTIFLEQEVEMAFSFGLENLNEQQLKVEQKFRTHVGKFQRFITGIIDMLSKGVESSDQIVEILRIVGRQHGNVRTMSFTAEKWLIFKNVLLDLLCKDANEKVCGTWNKLISFMISEIKDSYLEHVRHARSSSCPQINSYRFIASRSKRLRPRKHSESANKLGRIEAGKVLDG >CRE27315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:353171:355889:-1 gene:WBGene00052325 transcript:CRE27315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27315 MIDNASIYDRPIASSDLTTMKRADGRGQRSLRTEDNNDESGCSGQREHESPTSQESPIPTSNDDEATTAAAMTNERSRTQRRSRRIFLSSHSSSSTTSSSSFYSLLKSSSFSWRHIYYAVFLICWIVSGVVAAPTDRNERQPTVEILDGYVNYSVNGSSAVPTFQEISRNAFLQLKGTPVESRFRLGAAYQLESHEEEQANHVNHVDHKSHMDHLTRHHRKKNRKPVGKLKKIGKDENGETVLEGRKHALLQLADPDALIMNQRCDGQKFKQRIRVDGCLTKVVVNRLCHGTCASIFIPRLHSKKLKAAFHSCAACAPAEYDFVDITLDCPGRTPPTATKTIVKVKSCKCKEVRIDKYSE >CRE27316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:358380:363434:-1 gene:WBGene00052326 transcript:CRE27316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sipa-1 MSSTTSSVRSANRTRSLCHHLHHQPLLISGSSPSAGSPPIGGSMDEGTPPSTSSSATARALDMFNRRGSVLAVVIAGNTAADVLPPSAGVPNTSTVSSSSGVISADQPSSTNSDNDTEPFNEWRPPFPRLTAYQDCLSLAAAWGLGMHPNGLDDKPTGASQAQSPSEDDSGDGKCNELLASCPAFRNELGWEPTRRLALSRHTFDMAHTDAHECETWMREHSASEAGILEDVSNVYLGGRLCAARQPKTVIEPQDIGSYYYRHCFISKNHIEYLGMDDILGPISVSMVREIVDRKESYSIYRMIVRISDQKTIRVAIPEEALSTPEGTDRATRPLMRELLEIVCPKISFGTLRPSIQSPRVEELIMKIDEQPIYTRYKVGIMLCKNGQSTEEQMYNNEESTPSFDEFLDFLGQRVTLKGFEAYKGGLDTRGDTTGTHSIYSEYQAHEIMFHVSTLLPFTPSNRQQLSRKRHIGNDMVTIVFQEPGALPFSPITVRSHFQHVFIIVRVHNECSENVTYSVAVSRSKDVPPFGPPVPKGACFSKCAEFHDWLLTKIINAENAVHRSKKFATMAARTRREALRDLVENYVGPHQNEGASKIASRFLGGSVKRKERHNPKPTNHVLRGALSWLVDVHDYSTNQRVSCVLGVAQDWIVLLERPSGTVLFSTPTHSIIGWASSDTGLKLYYDHGDQLLLRCYSETCTDSELNELIRRLENVTKGDEAKEVVLRRLKTTDHWGFHVQDEGVVTDVEMYQLAWKAGIRQGSRIVEMDGMSISTLSFDKICDQLAVSECVRLLMISPSSDGSPRRGCEDPNCPAVKGIEQMLTPDAFAKQPITSRYHEMFRQKKLEYPTNSASSSPASSFDERTFSFTANKKSIEQRANNIHNNRPSTLSSASVHDNMCTLLNPPKCLNRAQSDEQLRSPLMDELNRKAPLSARESTNERESSELVRIQTHLERTVQEKRALEMLAQQLRKQLIQERQSHDNTKREMERLKKLYEKK >CRE26993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:368768:369296:1 gene:WBGene00052327 transcript:CRE26993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bir-1 description:CRE-BIR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPN8] MALKKIEESKSAPFIFYKDRLGTFKNYIYDDYSNATCTSKTLARAGFVWTGDESAMCPFCLKDLSFDPDDDPWEEHKKRGSECEFVQLGKLDDLKLTLADCISLAQSAIIMAQYKKHENSLKLLEQRTSSAALSGTVTEAMKPAKPSTTKSRRIKRSS >CRE26994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:369775:374098:1 gene:WBGene00052328 transcript:CRE26994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skp-1 description:CRE-SKP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPN9] MSMKLRDILPAPVAGDEAASQIRRDPWFGGRGEESSNALVSKEPPPYGKRASFRPRGQEDFGDGGAFPEVHVAQFPLGLGLGDMKGKPENTLALQYGTDGKLQHDAIARIGHAKDKIVYSKLNDMKAKTWNEDDDDIQKPDDDAVIDATEKTRLALEKIVNSKVASALPVRHAEKLAPAQYIRYTPSQQNGAAGSQQRIIRMVEEQKDPMEPPKFKINQKIPRAPPSPPAPVMHSPPRKMTTKDQNDWKIPPCISNWKNPKGFTVGLDKRLAADGRGLQQTHINENFAKLSDALNIAGRKAREEVETRAQLERRVAQNKKAEQEEKMAEAAAKARQERSAIRRKDDEDDEQVKVREEIRRDRIDDIRKERNIARSRPDKADKLRKERERDISEKIVLGLPDTNQKRTGEPQFDQRLFDKTKGLDSGAMDDDTYNPYDQAWRGGDNVQQHVYRPSKNIDKDVYGDDLDKIMSQKRFVADKGFSGAEGSSRGAGPVQFEKDQDVFGLSSLFDNTKEKKRGGDGGDSRDDSKRSRRD >CRE27317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:374186:374752:-1 gene:WBGene00052329 transcript:CRE27317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zip-10 MSSMIQNTSNISSTFPSELLLPQASIHRPIAINPTTMFPLKFELPLPISNFEEPISIGTSSTTSSSVSYKIIFILEPEIEYLFLQRCSSTESSNAPGKIRRGRPQQEIADGQDAHSQKKRHRRLYARQYRAQMRQKVENVKSLHDEKEQLETEVKTLRQAVLALQQEIAQKDFVISFMHLNNQFNLST >CRE27318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:383214:385691:-1 gene:WBGene00052330 transcript:CRE27318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-27 description:CRE-CEH-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LPP1] MSSGSSSSSTSATDSVTPTSEGFTTLSITTPPSTAAHMPYFQFQTPQGYSNDFSAYTPNAAYTTAPYQMATHSHLANLNRFGGTTNHLSYGLTASQNVMMSSMGGRRKRRVLFSPQQVNLLEHKFGASKYLSAADREALAKTIGLTPTQVKIWFQNQRYKHKRQEKEKKMDGGCYRPGDSDSERDNDSSGSLGSSPNIKKEDDDDRKPPPSMGASALTDTTCIPDITPQPFPYQMYHSNYMSQAFFYPTQSTPYQQANYNIGFQTL >CRE26995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:387769:389295:1 gene:WBGene00052331 transcript:CRE26995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26995 MHLSEQQEEYPFMDQHADAREFKGESESTNESLMKMVDNEWMDKFIPRQYKKEHTLPGSDQDVTKDNNFGFSEQGMKDLDEVGGLVGGGDSDLLGILRPYYSMVEMTANGNKQGMGQYLHVLPDSSEGDTPIYSVGGSMYGGVEPAGAKLGYMNRPFGKKR >CRE27319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:389361:391777:-1 gene:WBGene00052332 transcript:CRE27319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-44 description:CRE-LGC-44 protein [Source:UniProtKB/TrEMBL;Acc:E3LPP3] MSLLISISLLLFLFSLKSSNLSSSNFLDIATTYQAVDYGIATDQHQQCLAWKLWWKTQKSLQDPSPTEFDLLALRRAEVWIRNENDAEKYEVPVELLQNFTTIRIVFRNVCRLLPRVLQISSPLAARRSRITLDVLCQTYNYSDPEVNELVLSNYEDAQANAIGIYQLLFLDHQSVMIVMPSACNSSENDEKEIAKQYYLEKYGDFSFNVSNLKIEMDKLHEMVHDLKPLDLIMSQNLGLDYQRPLPSSTILPFLKKIEYDARQPPTLHVDDTVTVKVGISVQSMSNFELSTMDYDLDAWVRMSWIDPRLRHDLSRPILVNDYTFLKMIWRPDPIFTNAKYSTFHKVTYLNFYLFIFPDGKVFMDMRVYLKPTAAQIVLCKYPHDNPAVSLKISSMGFTQDVVKLEWFSNSNDAIRIEKNVRIPELSIRNLHPDTCNGVRKSGVYSCLEAKFYMHREVGYHIANTYIPTAICVVFSWISVWLPEEFVEGRIFVSLTVFLTLSAENNSAKEELPKVSYVKAIDIWFGFTSTFVFVTMLQALVVISLEHNSKRLRLKSESNLDGYSKYQVTKSMLLSRYYHRMARQIDTFCKVMYPVIFILFLMFYVFVITQGDERKCIKN >CRE26996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:394615:402888:1 gene:WBGene00052333 transcript:CRE26996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ape-1 description:CRE-APE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPP4] MVTTSSGGGGGYPVNNGVTQVSLIHSSDSVRTVSTAPIYRPTSSMSTAIKKSSHTTMTAPFISANPRMSKPPVRVVAQPPPHQQASAQQHQYHHQNQMMFSALNNRQHVIPTMQVQPTMASQIKRNIPANAQFQMPSETTADYGVKPQSVEIVQRVRAVRRQVADEETELRRLRELEHETTQLRDKNHGREKDLAVQGSVLKEAQLELRNASIRAQSLNRHLEEMYRRRQTAAAAALVEQRKMQQQQVHLARATNQVPVQEIVRPRASVEPFQVVNTQQQQASPQMVKSDDFADKREVLDCNNSSYDSIDGAGINKIPSEPSYLAPSKEIQHKHVDTSPSPPKDPHPSLSPPSSSSTSQKAPALITFAPPSFEQKINSSTMTRDSPSVERPTSFGDSLDESRLRSGKTDLVSLRSDSLKATKRRSWAASEGTSMSEAEMIHRLLDEQRRGRTHFIPQLPTSQEEPTTVNSETYAEEVANTELKQQEITPSTSPNNLELPIEQMTLGSDTTTEEDASSCSTRSDDGQNLELEVAPERRPVKGILRRPNEKMNKGRIEFDPLALLLDAALEGELDLVRSSAAKLTDVSQANDEGITALHNAICAGHYEIVRFLIEHDADVNAQDSDGWTPLHCAASCNNLPMVRQLVEGGGCVLASTLSDMETPVEKCEEDEDGYDGCLKYLLAAHNSTGTINTGKVYAAYGYEAEFEDELSFDAGDELTVLAKDTVDKNWWTCKKENGDKGQVPRTYLALYPALKYRKK >CRE26997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:405507:406390:1 gene:WBGene00052334 transcript:CRE26997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26997 MIYVYTPWDLSSRIPKFFVSASALTTRHRAHYSDLIHSPHIFRVADSEDSRMEKLTELVLNGQDDAGDEEANGQLDWILAGIICIICVAFLIIAVKICYNYSKKFPESAEKEHETIDNFNRRAISTKGTRGHVRYSVA >CRE27320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:407147:409148:-1 gene:WBGene00052335 transcript:CRE27320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27320 MHPSAEFDTGSLKSYTVSTSRTNLDTRKSNWTSLWFANLIQYFCGLQMSLYFTSMWPYLLKLDPTAQLPFFGIILASFSIGQAIGSPIFGTWTQKTESFKVPVATGLVFCCVGNILYGILPTINWEVQWLMLVSRVLIGFGAGNLSALRAYVAASSTLEDRNTAVSLATGSQVTGMLTGPILQTAFAFIGDGVRIFNTFDLDAYTSPAFVLSIVLIIMSVMIFFYFSEDYAGVIDEKKVDSDVIIPPFDRRAAVVCIFLWFVIQTIAVNIESLCSVFTIAMYNWTSHQAIVYGGYIETVSCTISVTQYLVIGFTRIGKIDKRIQIVFGCLVFCVYYIVLLPWPFYPETLLFNPNVTDGACTYDWCQYVPKIPFIAYILVYTLCFGIAFPYIGNSIGTLFSEVLGPRQQGTMQGVFAFFGSVGRCVAPLVTTFFFNSSGYTWISVEMLTFLIIGALSTVFYWKQMVPLQLIQTKDSISNISNNNNNNNHKV >CRE27321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:411051:413090:-1 gene:WBGene00052336 transcript:CRE27321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27321 MSRFYSTTSHHVQSPSTSITMTASATTASQDPNGGHCASPYLRRIRIPKLNTFRHHLEEDEDDEEWFEMQMAKTDLIRLRMNEVKNNRLKDRTNNNNNVTNDCKTVKTSKSFSASSTTNHAMTKQERSDDVESRNGNSRCLRPSKEEENKKSEPEIIETQTSMQRCLWFHPKHLFNITPQPEQTFNSKSSSFHF >CRE27322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:419296:422321:-1 gene:WBGene00052337 transcript:CRE27322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-32 description:CRE-CEH-32 protein [Source:UniProtKB/TrEMBL;Acc:E3LPP8] MFTPEQFQKMVNQLSNFSQLGQMFQPGNMAMLQALQANGAAPTPSLFPTMPVMSPLAAPSSPTTSNLTADQIVKTCEQLETDGDVDGLFRFICTIPPQKAQEVAAQESFLRARALVCFHAGHFRELYSILENNAFSKKHHPKLQEMWHEAHYRDQEKSRQKSLCAVDKYRIRKKFPMPLTIWDGEQKTHCFKERTRSTLREWYLKDPYPNPPKKKELAQATGLTQMQVGNWFKNRRQRDRAAAAKNKMNTTGVELRKIASDMSDSDDDFEGSMTDSPSPIDEPKDLSKSHIPKLQASLLPKMNTPFDMFAAAAANPFMLNPAIYMSFHNFFNQINKNSQAEEEENTDVKAIADAEDEVETTTKKRSKLSIDEILNIKSEVSPSQCSPCSNESLSPTHKVKKEVKKEDDEAVAEDDFDIMKTRELDDSAHASPKSSTSQSE >CRE27323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:426449:431608:-1 gene:WBGene00052339 transcript:CRE27323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tre-3 description:Trehalase [Source:UniProtKB/TrEMBL;Acc:E3LPP9] MLFRWFSLVSIVFLCFIPNGSLQVEVHVCDSTNSNNSVPRHCYTYFFNRFIYCNGPILAAVNYHALYNDSKEFVDMPMKQDPLVVSNAWYAKFGNTTAANLNKTDVQAFVNEYFSAAGTELIACTPDDWQEKPPKLATIADPALREWAYKLNGIWKTLCRKIDPAIEQHTSRYSLLYVPNNFIVPGGRFREFYYWDAYWIIKVCKASRVSVFIKLFQGLIACEMYNTTKSMIRNLATMVDQHGFVPNGGRVYYLQRSQPPLLSAMVYELYEATNDKDFIAELLPTLLKELNFWNEKRTVKVNLNGKEFEVYQYKTPSNVPRPESYRVDTVNSAKLANGMDKQQFYQDLASAAESGWDFSTRWFSDYKSLTTIETTKVLPVDLNGLLCWNMDIMEYLYEQVGDTTNSQIFRNRRAVFRDTVQNVFYNRTDGTWYDFNLRTQSHNPRFYTSTAVPLFTNCYNTLNTGKSQKVFDYMSVSKMGDFNYPGGIPSSMSQESTEQWDFPNGWSPNNHMIIEGLRKSANPEMQDQIRKKKVLRPFYERKNTCDEWSHRTKVSKFSLGTDDGVNEFFFQGFLIASKWVMGNFRVFYETGHMWEKYNVIGSYPQPGSGGEYDVQDGFGWTNGAILDLLLTYNDRLFVPDNFINATTASPVQTTTKVALTQLQNSWVVLFAILIYQLF >CRE27324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:433693:440364:-1 gene:WBGene00052340 transcript:CRE27324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-11 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3LPQ0] MCSNSFNFRYTIRIVPFIIFTFISTSSKTLAENIPVTLFIDPSISNADAIFEQAKAEVLQFSPNVTLENLVSKSGPCGELESDTKDIFSFFQNYHAKNYRITMGPTCSANFLRFANLTAEIQSLQINILKGYPYDHPTMIDMITRSPQNLAENLVAALKGLEWAQVGVVMCEECYEDDEMASEKYFSTVQDILADNNIAIKETLKLKKGESSQNISQEISVFESSTRVLLLFLGNDLTDYVEFLSAMSLKNYTTEEYTPVIVLSKNSLELVYPWKNDVSTIEVFDKTFIIHNNCYDKNKISSFLSKYSFSSLDETIISLQMYEAYHLLGYYLSNAITNTTLFNYVTPEKSISSMDIHGPFGEIYVNTNGQRVAGYDVKFINKTQTDSNFVTSLGTVGAHKNCPRLACLRFVINSTSHFESPKDVPLCGFHGEICDQTGVIYAILVIVAAICLFLLFYISIKRFLISTRGRSVSNPWLIPFTEIRFIDLTSTEGSMQMSIQSLQQKIAEREHFQQLARTKLIATVDQSYVLVDKFVVRDKIRYEKNDINILYQMKSQLQHDNLNTFVGFANDKLSHIYIIWQQCFRGSLHDHIFTKERRREAATNFEGAFLRDILKGMDFLHNSSLEYHGNLTLQNCLLDSHWIVKLSGFGTNRLLVKWKASGQIFTEDHTPIIKSEELHYFDPALKKIWKSLTGTKQDKYLVTPEFGRKCDMYSFGVLLYEICLKKKFVPDLTDIPRDDDESVLIDDQNDAQASKYPLPIVLPDGVDMHNDLIKMLENCFGTNRPDVKLARKIIDTVLKMQGSLVDLMIKNLTIYTAGLHETVRIRTLELEEETRKNDVLLFELLPKEIAYQMKRGEKMSTTTYKHATILYSDIVGFTSLCSESEPIEVVTLLSGMYQRFDLIISQQGGYKMETIGDAYCVAGGLPMAREKEHIRIICMIALLQRDCLHHFEIPHRPGRYLNCRWGFNSGQVFAGVIGISAPRYACFGEAVMLASKMESNGKEDRIQLTLYSKQLLEDNYPEFTTSPRGNITVDTFGHLLTYWLEGIADGSELKVKEYQDALNEDLTKVPAWKDGEEPVPSVLTVTQKDRITRAKEKVLAEKKQLEERLKRQMTLNEILEDHEEEVEMNVVLRDDDDKHSAIDLASILSAPLDDEDEEEEVGRVIGHGRLDSQASTIPEN >CRE27325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:441511:445419:-1 gene:WBGene00052342 transcript:CRE27325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rhr-2 description:CRE-RHR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LPQ1] MFKIIIFYSLSEGMFSVLHRRQFAIIAGIMQTLFIVLFAKYVKYIDPLDDSRRVYSGTDYPLFQDVHLMIFVGFGFLMAFLKRYGFSAVSVNLLLSAFVIQFAMLLRGFLTVAFSETGLFSIGIPEMISAESSCAAVLITMGVLLGRLTPVQFLLLAFFETLLNVVVEHFVFNYLHVSVLSSKFPKIYREFQVNDSGRSLSVHTFGAYFGLAAAMVGHKKNVMEMDEHGGIHHSDLFSMIGTLLLWVFFPSFNAAIQEPEDARHRAIMNTYLAMASSTVTTFMVSSCVDTLGRFNMIHIQSSTLAGGVAIGKSSRVPTYSSNTYSGSAANAVLYPYHAVIVGVVAAILSVIGHAWISPRLEKSLHLFDTCGVHNLHGMPGILAGMLSIGFAYFYEPESYGKTLYHIYPYWIGGELNGDRENVSQAQYQAIGLLVILITAIIGGLLTGCILKIKIWNQVDDPDFPHGEMNYYAQSDVNFLSKYKHAQEQQRLRDREQMHEIY >CRE27326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:446142:448529:-1 gene:WBGene00052343 transcript:CRE27326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-22 description:CRE-NPP-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LPQ2] MMGDTASSFTSVADNQLYNKFSPSRRKVEYPAANISSSSPSLRKSPGRAFSPSYPHLNRPITIFDQIVTWFHSEIDKRKRFASVVCGYFVALTFIATVSILKLSIWSPFSSVHDSLTWWIYPSSWPSIVFIWVASVALAYALILQFCTVTQLQRIPLTDTFASAGVALEFIHRLVFVYMAFSVAESSLFEDFAWIAISFAVAVSSALVIFRSDFHLNFSNVQVNSVKTLLQLVKSLPYGSVSETCGVDAAIAYTASMALVLIAGPLLWGFSVWWLLVDIPFQMVLFGVCFTQQFYSKFFMKIVNQIVMKPMKFSFPPPYTVHTPTQDQIRSLPNVIETDDQLLRMFAFHDLRTVAWEDEQRRVDVFSLSQPGKHPRNWKAVSLPCIRTLDELCSRMTVAAARLVGYSWDDHDAESEEVPREAVMMPRKMREMAYHGAGPSRQQQNIAPIRTHNTQTAGFFDRIIRNLGFGKTERLVISRFDAQMNAYAAEAVYMLVVDSMGEDRFGVVQKDLKELITLLCKLISAIDTYERAKASVADKSDVTFLRIVDASLKSSLQRVVTTFGSHLRSLELADEHIRTIRLVCLNEEFE >CRE27327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:448853:451696:-1 gene:WBGene00052344 transcript:CRE27327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-42 description:CRE-SPE-42 protein [Source:UniProtKB/TrEMBL;Acc:E3LPQ3] MGLIGLWNVKRRAQRAVHKFEFVVNNIRRERSGQNRVLKKSERLKKWLSVLYPPWKKEKSDGIYDQLFEDGTRANSIVILFRNILMIETFGIVMYLLLSFKYSQYPEFIGTSISTIIHVFLMFTLIFPKLMTYFMISIHVMMTTKIKNIILILLVTMAFEGPAMNVIGNIHQVASGVACVQIDVMSSRNDVEGNVMDKGAMLVARFRALLQNVAGPMNKVKNMLLVLDEKMTKFVDIMRRHYRVIASLSNQCRNMLQTPYTKCLNIFDDAYLFCKDKARFFGSHGDACDMIQKTAKVLSQICHQAKGFSTEVCSLPAVIGKGVKGAAVPFYSAYFQAIEFAVKKIFYVHIEAAKWALKKVNPVIEEIKKAKNIRAEYQSADDGKPNAADDTTANLRASVKKSLMNIVNVYIRIINVISFILRYCVMPCFLIWPFVYTLRFMYNYSYNDEFKNRFLTKEFYKIDQDCAFRGARKVMPLTPEEAKIYVSRGRWKMTEQEKPRFRLDIFITIITCVTPFFMCLLDYGTFTTLSTVHTLMNRTNIDTPAHYELKVAGNSSMSEVMNEFLDVFSPFTQSIRERETRWRRCFKEPNPPNYAENTLMFLMFIAALFLCRLKAYFGRQTLALADHFYPNRVRIRALSLYNKILQSRRNLLTEMLGNNKKDLMGGEDAIIRRSMQSRGYLSSDCAKCDKYDMKITDQENVRVCVHCSAFYCINCFCLNVFCVKCDEEMQNVNGIELYYEDDHEIMDDSG >CRE26998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:456605:470087:1 gene:WBGene00052345 transcript:CRE26998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26998 MMIAAPTSSSKRVEDGGNFSADSQQSPPINSDDEQLDDTPFLSHQLSDDHESFSEKFLRNILTHDYQNLMPRRREKFVEITKTVTVTEIVNGAPDKHPSSCEVKVTNVSPAGPHPVNVSIKHLHEKWHSCEELDKSDDGGDLGKGTVIRIQETHKPFNYTQKKQQQKPTKFVYEDVINTKMESSTRSRPPSSANSTLFDRSSDNIIDLEFRHPVLIETSEKTDQKQNGTNGTRRRFADLRKKVSTTTKTSVTETEKVTTTRRSSSAHSTSSRRSYGKPVVNTLYYRKFVDEETKGGDEDSSDSGSVVIHRDSVTRRSRSADHLQKSSSPTMSPIAPPRKRLGREESLHYYRDDEEVIGEKVESEQKRRVTVKSPEPGNTSVSMNDTVEGRNITAGITSVSMNDTCTDTSYESRFSTIPRARSSPPTTHRVNHIHVNVIGPIRTPESERRHKVAGRVGEDITMDIYIERRHSAVDSRRGSHVSHGSAAEAPPKPPRRSKYEENIRIGEPIATSTPMTTIERKQTREERVSTESGESSRKSTRSARPPDINIIECETFLRETRSPARTPATPIVVINQTPSSRRGSQDLLGEPGQRRRKSTVTDIDWYAAFNMKDPSSPTKPVRPPFVSHADERKASRDVMRRRASDSSMIGLPPADIDLNQIFVCTAPPEIKKEEKCQCNACRLIRMSEVERESRIDRASRSRQSRSFTRMTEYETRASTLPSRYRTSPVTIELEDIFNPKPSSPPAINTKPPTPPARRRHAPTSPTPPPSQSSFVDEPSPLPPSRHLVTSTIDRKQVTPVTTNSRDSGSQLHENTRNQLLNSPDDWVGQMMNDANEWENRVTSRKSSVLSTSSSTARKVSVARRISVDELRKPEKRKSREAVPLPPSDVSIDDIFTALTPKRQEVPKDTEKKTFIVTRKQRAQDVDVIDFEKDRKSPVAPPRSRSTGRTHISEATITMGGTTETQDKEDEKIKQRVKDFSEESIRDEKLIDKKSDIRNTEGSTDNVFKPSSVEIKIPLIEEPVTSTASIKMRPSEDNEERPREVESPVPSDHVAPGNVESEKNENRTSAVSIDLDKVFVEGSTGKSYDDVSDEKIRRGIEEFEKAKQEKEAQRSGVVVTTHSSKHIFDQSDISMDDVFNTSQQKHQISHEIQLPEQAESTTAVSSDKITESASFNLENVFVTTDSRKPEDDEEERHIQRRIEEFKKAEEEKKAQRTTESPSSSHHRIFDDSKISMDDVFSSSKPEQEDSAERSKPDAETSTATASAESKESASINLKGVFITEKNEKAKEDDEEEHIRRRIEEFKRAEEEKKIQRATESHSSSHIIFDESNISMDDVFNVSRPEQDFSTVNKKPMEEEEEDQRIQERIEEFRRTETEKESQRTTETHASHRIYDDSNVSMDDVFGSENKTVEITDAKKEPRETSTSSITMRPPTAKTTDVSTPTTEPEKENRRTSAVSIDLDTVFVEGSIRKSDDDVFDEKIRRGIEEFERAKQEKEAQRSGVVVTTHSSKHIFDESDISMDDVFNTSRPNQDSTVEVERPTQTESFNIVLKDESIEREERHILERIEEFEKAEEEKKIQRTIEPHSSHHVESGMQKSQIPMEDVFNADNKEVEVVIPLKDASDEEAARSEVSASKPVTFSEDVDTDDKKEEHRKSAFSIDLDKVFVEGLTGKSDNDVSDEKIRRGIEEFEKAKQEKEAQRSGVVVTTHSSKHICDQSDISMDDVFNTSRSQEDSTTDEPEDREEEHILERIEEFQQAEEEKQIQRSIEPHSSHQSIEVELDQSSISMDDVFSSENKETEIAIPLQGSTDNEAREPSEDDNSTPSNSSPVGPKRIDEKHTEKRKSSVCIDLDKVFVEGSASKSSNDVSDEKIRRGIEEFERAKQEKEAQRSGVVVTSHSSKQLFDESDISMDDVFNISQPVQHSTVEVERPEQIESATITLKEEQINQEEKHIRERIEEFQQAEIEKNIQRTTEDNVSHEHFKSELSESNISMDDVFNTSQQTHIPSSEKLSSYHNISEEVNSPEVKSNTSKKFDESNVSMDDVFGATVSNVKMSEDENTTSSYSLDDPKIVVTTDEEETTRNKTTTRTETETDSSTRRYYRTDQPSSSTANVISTGTIQLDNTDGTEKNNDDSVTSTAVFNLDDIFVIGTGTSRKEDDEKKIQRGIEEFQRANREKESQRSLISEPSHSRKTTFDDSSISLDDVFNSIKVKDIEHSHDEPVITSSSSIRMIPSEERVRDEPSRPQPENTESKKEGNRTSAISIDLDKVFVEGSAGKSSNDASDEKIRRGIEEFEKAKQEKEAQRSGVVVTTHSSKHIFDESDILMDDVFNISQPVQHSTVEVESLEQTKSDNIIFKDQQIDQEEKHIQERIEEFQKADEEKKIQRTTEDHDSHEHFESDLNESSISMDDVFNTSSSRQNSSAHRSHKSLVLTPEKPGNSAGLTMDSVFVPSGSLQSNEAHEEEEHIRQRIEEFEKAEEEKKIQRTIEPHSPTHHQIFEESNISMEDVFNISQQKPENEVDEEGKHIQRRIEEFKKAEEEKKVQRTTESHSSSRHRIFDDSNILMDDVFNTSSSSRQGDTSEISTTPGFIKTTSDSEKTTTMTTTRTETTSDSYSKKTITSLDDLFGPQTATPPVISISAISLDPSNQPSSSPTAPPRKHQNLSSLLVPGKWNESMMSNASTISLDDSFNNSFVKSGNSTVYEPKLRKPLSLPVDTWIDNMVSTMTDEATKEAPKTPKTPMTPAENYYRSPTRISQEIKYEWVAKLIEDDKDKKKKTEEKEGHQSEEEHLQKQDNTWVSSVVYRPTLETSAYTLRASQLEPEHKREDIDMDRVFDECLMGKKKDDEICECSACRLTEQELEELKKRKIENENKAREERQSVQYETRHVTVTNPSDISIDDVFNPEVQLSIQTDHVQVPSTSSPPIQSTRTYYISPKVSETVTTTHQWKDADISMDEIFSPVSSTTNENRRFSNFYEDRSGWDTIGSEDSGVMSGGDRGRRRSTRITDHVIDEAFKGIFDSQPTTSSAIIKLEEPSTQYDDYYITSLHQDDVDRSDSDVDGDNLDVSQFVDDILGKSLDEAAFLSSTKSLRDYTDTSIDRKKSGDRVHPYYRTRTDTSIDRRVKPAVINEDLETMEHHSNDEIMKLVFVDPNDPSISKSESRRSLSLPRNKSITKPSDEELLEIEIKPEYFIIKGSYSLLIPKSDPLGLMLQKLREQNDSLATLDFSLTRKLKKLLVDCIKERAGCLDMTSASSKYEGLLSSRGQSLIESIDYASATFLKMNVDKYEPTRNPNGVVNFCTAENNICTPLLEERFKHLELFFPNTEHLVRYPPSGGWPEAREVLVKYFKEFMGARVTVDELALTPSTRTGYDVTSYCLFEQDDILLTNGPVYAGTVSNVQEKAQCQVACVEVNNTIFRFKTQRIDFQTDLSNPRLDVKMYEEELNRQIALENTVSGVIIVNPHNPLGVTFPPEEVVNLCNWAASKNLHVVIDEVFANCVFDKSNSKFRPFLSYRHRVHRPENVAWLWSVSKDFGLPGLKFAVIHSANEGLRQAATKLQMYYPCSPFVQDFAINLLSDSEWLREFHTEVNRRISIHYRYTTENLERLEIPFVPAQAGIFVFADFSKHLSSNDSAGELELFDRIASAGVMLTPGVHQKCHVFGWFRIVFACTKEELEEGFRRLFVHLGSHLHPIGTVQYDN >CRE26999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:473745:474682:1 gene:WBGene00052346 transcript:CRE26999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26999 MRPEHLSLQLIAWIYLVNGTPIAQKGGLGVLDISDTNDLSVRQRFEEVIRLDCASQRRKALKRKTDGDARIYIPTCSPKNSLLYDKVQCYEVSAYCWCVDELSGEPKIGSSTSRGKPKCEETVVTTIAPKRVRRNNRCKEKKRTRFLRRLVSTIKSEMIMNGVNSTKVSRDSAIRWKFNQLNINHNNVLERREWKPFKSVLLEWKNVRQCSRNLFKTCDMDRDRKLTFEEWRKCIVQEINRVPAKRPDQLNPFLYILRPE >CRE27000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:474997:476321:1 gene:WBGene00052347 transcript:CRE27000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27000 MLGEGPHSTESAIGLHTYNSAADLTSGHVISLDKNEFLFDLNTKNACTRPLDVFIKLLSTSNVAKEFSHFAAVPLSRLRTVDAFFDHPELNKLGEIQVYEQTRVRAIHKKGHNYINASWIDGFREIRKYIVAPSPISETIAQFWRSIYDRNSVVVVTLSKLIDEKGKPFMPTKQGEPMTFDDMTIELDNIRKVRPTTYSAVLKLSKHSISTVKTICLITYMGWGTVGYPQRPSDILDLVADMNHMRTIMKKHGVAEKRFEESQRTPITLVCFDGFSRSCTLAALDILCRRLEASHAIGTPLVDVLDTIARLRMLRGAACMKADQFVFLSMAVLEYAIRHHLINVEDLDKICVNGFMIQSNPDTH >CRE27328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:477547:478077:-1 gene:WBGene00052348 transcript:CRE27328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27328 MRTLLFISIVFFTVFVSVTSGATFAEEKDVHDEGHIKQHLENKIEVEKLTEEQQRFHYFSMHDLNKDNYIDGIEILKALTHDHGEHPTAGPSTDEGEAERLVDAVLDDLDSNGDGVIDYSEYLRRQ >CRE27329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:478377:479500:-1 gene:WBGene00052349 transcript:CRE27329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27329 MVTKLLVISCLILGAFAHQPQQFPGSNQQQPHQGQPEQAHNAQAGQQQQQFGGEQARDEHHIKEHLDGKVDPTANMTPEQLQFHYFNMHDLDKNGKLDGVELIKAITHFHAENPGPAHTQNNPNHQPPPLPSEVELETMIDSILKDDDFNADGFIDYGEFLKAQKIREDQARTHQEQMAKTGGTQ >CRE27002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:479889:482076:1 gene:WBGene00052350 transcript:CRE27002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syx-5 description:CRE-SYX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LPR0] MSDFQNIRSRRRIPEPNSYSTVDFEHDPDQKNHKPAPGAPGGIAYSSFSTVFNASASQLWNSAQEALTSSYDTAEGVEPFDANRSKVAEALETLSIFGNPLDHIIITMPSRDRTSEFQATAKSAQMKAAANGHRPHQKHEMLAESVQFNSHAKRIGRELSLTCAKMEKLAELAKKKSMYEERSQIEHLSSIVKSDLTGLNKQIAQLQEFSKRRAGHLNDQNNGHSHWVVVGLQSKLANVGRDYENVVVISTETMKAEKTRRDKFSSGAPLPMGLPSSSSGANVRSKLLQDDEQHGSSSIALDMGAVDNFQTQRTMQHRDTSLEYAQARSNTMATIEGSISELGQIFSQLATLVSEQGEMITRIDSNVEDTALNIDMAQSELVRYLQNISKNRWLMIQIFGVLMVFFVVFVMFLT >CRE27003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:482193:484427:1 gene:WBGene00052351 transcript:CRE27003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sel-11 description:CRE-SEL-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LPR1] MRISAGWIFGGSCVATAATVLNAFVVNKQFYPAIVYLSKSNASMAVLYVQGLVLVYLMFQLLKSILFGDLRAAEAEVNRIFVYTLDKCILYFQHLSERTWHAVLETCLAFTVFRDDFSAIFVMQFIGLLFIKCFHWLADDRVDMMERSPVITLRFHLRMMTVLAALGFADSYFVSSAYFTTITRGASAQIVFGFEYAILLALVLHVTIKYLLHMHDLRNTQSWDNKAVYLLYAELFINLIRCLLYGFFAVIMLRVHTFPLFSVRPFYQSVRALHKAFLDVILSRRAINAMNSQFPVVSSDELAAMDATCIICREEMTVEAAPKRLPCSHVFHAHCLRSWFQRQQTCPTCRTDIWQGRNGAAGANAGDAARNADNNAAGAQPAAGLPPFLPFIGHQFGFPQQAMGVQAGGAQAGGQPGPFPHQIFYAPAPANRPEFMNMMPPPPMAMGGPPGMFPMMPPPPIPQVNGTTGNAEPIIPPANPSYNLLTMEELHRLEGNTRDAIIARLQAMDNIMVLLESAQMQMVQLAAVTPLRRNVVVVNTEVSEEEAPATETVPTDEPTQEAEATSSTTPPQPRSIFRDNSFNTPQPSTSSAPPVPSSPSTSPGPSTSSRTPEAEEVRQRRLARLLGENPNQQS >CRE27004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:485817:487978:1 gene:WBGene00052352 transcript:CRE27004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27004 MNINVNVESPNTSAAGEHDGDDEEQYVQLGNVRDYKDDVTPLLSPKHVPLVLGKVTKEAAIATHSALHGGMSPEKEQQIREIYDRLDIDNDGTIDIRDLTLALKHETPHIPSNLAPVIMSKMSPDDEGRVDFYSFSSYVLENEQKLAEMFADMDRNHDGLVDVVEMKNYCKDIGVPLDDQKAQDIVNKMDQTGSASVGLKEFQDFMLLYPSSDMKDIVDFWRHNLIIDIGEDSQIPEDFSQQEMQDGIWWRHLVAGGLAGAVSRTCTAPFDRIKVYLQVNSSKTNRLSVISCLKLLHAEGGIKSLWRGNGINVIKIAPESAIKFMCYDQLKRLIQKKKGSQEISTFERLCAGSAAGAISQSAIYPMEVMKTRLALRKTGQLDRGVIHFAHKMYTKEGIRCFYKGYLPNLIGIIPYAGIDLAIYETLKRSYVRYYETTSSEPGVLALLACGTCSSTCGQLASYPFALVRTRLQAKSLTRYTSQPDTMFGQFKYIVQNEGLTGLYRGITPNFLKVIPAVSISYVVYEKAVSTPQRYLNLMEDVSAILTRSINLTALDINL >CRE27005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:488370:490382:1 gene:WBGene00052355 transcript:CRE27005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bus-18 description:CRE-BUS-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LPR3] MRIPCILRPLLGWFFGLAILFSALFGNYIITLFLGLPIFGKHRAWRNLMDRAISYWMTIPMGLLEFVMGVRVRVSGDEIEFGSPALIVMNHRTRLDWMYMWCALYQVNPWLITSNKISLKAQLKKLPGAGFGMAAAQFVFLERNAEVDKKSFDDAIDYFKNIGKDYQILLFPEGTDKSEWTTLKSREFAKKNGLRHLEYVLYPRTTGFLHLLNKMRQQEYVDYIYDITIAYPYNIVQSEVDLVIKGSSPREVHFHIRKIPISQVPLNETDASKWLTERWAVKEQLLHQFYSEEQPINRQFPVERGDGVWRSWKEPRRHFYVKVTALCFWCLVIAFCSYHIFFVRTLQLGFLYFFIVSMFLNWRYGGIDKYIIGKWQQSNEALLRRSASSSSL >CRE27006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:494774:497607:1 gene:WBGene00052356 transcript:CRE27006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27006 MTPRVNLQYSISYALSPKFVKSSCSGRHLSVSVSQTTQKNFPSPIQSKKKMDTMHGFLVPTYTLHDFDDGEECKVVRMIFQMSGVPYEFKHVHRDDYILEDYPFYALPALDMNERKYGSVLSICRHLAWRYNLSGKTAYEDAQVDDIAEKVFEVRMKIKNWIDHIEHAADHACDEDCTEGTGARLLTDTLFPCLERVLKAAPSSWLVGHTMTWVDLLVACLVNPIIYHRPKLLQDFPLLYLHNEKVAHHDDLNFSKKMGPISIPNLFELFYFIANFCGQFHDYEKKMSLSKERKSLDLDEENESSSTNYLRSQWTVCVKSVKMLKKVTVIMRSAERVDRVFGSAWLQSEKYMTKVRNYLFSLYSYQNPFRSMRMIRMSQKEPLFIPTSTISTHQSLIWVLFILNTCPIFSRISGKYTSQLIGRALRNRGIEPTVIFCSPTLRTLQTAAAIAKSTGARILVEPGLLEPIEWYRKAGAKQLPDFLDDVFDFPQVDKTYKPIFTMHEFSSMFEAKSQDQCIQRIMLVVKNICVIQRDTPALIVGHAVTMDVASRIGQHGDTLSVEQMEDLTSYEDSTYPSDPSEQAAKLEMGVRYPPSSVMGLVRSNDSPPHVLKTVPDLIPPLSMGPFTNRILH >CRE27330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:497902:500083:-1 gene:WBGene00052358 transcript:CRE27330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27330 MDAEGYFPADISAVPHDEETVTASTTEEEKNFVGFPSATSKRLMHGRKRRDPPLLSTIQEVPSMTSILPADTSICCLLCYNKISGAVPNFVKPCHCPLVFVHTTCAIDNETFFGGTCSQCHQKYRPEQTTPRQSLSPSKSTSGRLLFMSSKASTSSPKRLEAANSCALCQNQKYQNPEWSERNNAKLIRPCFCGFLVHHGCISEHLKQEKTCEWCGVKYRYYRYGSFGDFCRRYSIQHVCYVFLFAFLLFFFVLAFRGCYIFTGKANFSAIALTILSLFFLAILVGAVVFTIRHTIKTRLPRFRKRYGKVTVVPYDPDVRSKREVLRSLKASRNESISEFDILPLHSVDRADSQESTNIQNVETARTDPCDLSLGQQMFGITPSSRIFSSTPLTHKPKATVFGTSEA >CRE27007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:500485:501668:1 gene:WBGene00052359 transcript:CRE27007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27007 MVEKNEFSCAAVYCNLSVVLCHYVFHDVNMENVGGCGDVGPRRSSRKRIIKKDVDFHYECDFNTAMYQSTSSLSQPAPQTKRVRTARPSYRMPTVVGKEEAGIGEEVESSYEASNFQPNYQQNYQPNSQPNCQSNYRPNYQSNCQTRYTGEPDTLLVDPVNRQSLLDSLVRLEKPDIINMNTSDILDIHCEVRKTQLLHFELKTIFQNLKRRFETITCAYLKDWSVPRAVQLSAAPSRFVGINQINQLNHLPESDELVVKDVVEKMLNAVTPIDN >CRE27008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:502468:507935:1 gene:WBGene00052360 transcript:CRE27008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27008 MERNTSSPMAFTNLITGSFYLRETGEIRDVYEIFLLVECPVHVVNIILLLAAPSLIFSSKTLVNLNLKMTIASYFLAGVLMSISRLSWLICDLFWVPISSMEILMYSRFVFQTSIAAHLFVLSAERAIATCRSKRYEQNSCYPVIIFCCILTYPYSLIQLYSKYNFQKGREINVVMYLGAALVSFLILLAVFIVNKKKVKERRFKGPISEAYQVRENIRTSRLLLQIFVIFSVFVGLSTFFLLRFSASLTDPTKKWVTITNGFLYDMLISTGTTCTTLVFLQFLIPDETQKKWSHILHLTCHNRNVRPESKRIRFRSIHGVDVEVGNGTAEEEARVYFSQLSLAWKL >CRE27331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:514354:516985:-1 gene:WBGene00052362 transcript:CRE27331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27331 MHSNGISRGHNGDSRRSSMVDATGRFCSQPTTGSPEILVGLCYDDKHEVVSVCIEKASSLGSDNAHPPDSFIRIIGLDEFSTELSRHKTDTVKHSTQPVYSHHCTMRFSKDKVESSTVRVEVWTVTGILRRKVQIGSISIGFASSTPDANEHWQQMMQISSMTTNQDRCVDIWKTVRGPKFLITLPQQIPRRLLKN >CRE27332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:525500:529766:-1 gene:WBGene00052363 transcript:CRE27332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pyc-1 description:Pyruvate carboxylase [Source:UniProtKB/TrEMBL;Acc:E3LPS0] MRFARIPPIFANVVRQTQHRTYANGVVKPREFNKVMVANRGEIAIRVFRALTELNKTSVAIYAEQDKNSIHRLKADESYLVGKGLPPVAAYLTIDQIIETALKHDIDAIHPGYGFLSERSDFAAACQNAGIVFIGPSPDVMARMGDKVAARQAAIEAGVQVVPGTPGPITTADEAIEFAKQYGTPIILKAAYGGGGRGIRRVDKLEEVEEAFRRSYSEAQAAFGDGSLFVEKFVERPRHIEVQLLGDHHGNIVHLYERDCSVQRRHQKVVEIAPAPALPEGVREKILADALRLARHVGYQNAGTVEFLVDQKGNYYFIEVNARLQVEHTVTEEITGVDLVQAQIRIAEGKSLEDLKLSQDTIHTTGSAIQCRVTTEDPAKGFQPDSGRIEVFRSGEGMGIRLDSASAFAGSVISPHYDSLMVKVIASARNHPNAAAKMIRALKEFRIRGVKTNIPFLLNVLRQPSFLDASVDTYFIDEHPELFQFKPSQNRAQKLLSYLGEVKVNGPTTPLATDLKPAHVSPPIPYIPAGAKPPAGLRNVLVQKGPAEFAKEVRKTPGCMITDTTFRDAHQSLLATRVRTYDMAAISPFVAQSFTNLFSLENWGGATFDVSMRFLHECPWERLRTLRELIPNIPFQCLLRGANAMGYSNYPDNVIYKFCDLAVKNGMDVFRVFDSLNYLPNLLVGMEAVGKAGGVVEAAIAYTGDVTDKSRDKYDLKYYLNLADQLVKAQAHILSIKDMAGVLKPEAAKLLIGALRDKFPDIPIHVHTHDTSGAGVAAMLECAKAGADVVDAAVDSMSGMTSQPSMGAIVASLQGTKHDTGLSLDDISKYSAYWESARQFYAPFESATTMKSGNADVYKHEIPGGQYTNLQFQAFSLGLGPQFDDVKRMYREANLVLGDIIKVTPSSKIVGDLAQFMVQNGLTRETLVDRADDLSFPKSVVDFMQGNVGQPPYGFPEPLRTKVLRGKPKVDGRPGENAKPVDLDAVKVELEEKHGRPLSEEDVMSYSMFPSVFDEFETFRQQYGPVDKLPTRLFLTGLDIAEEVDVEIESGKTLAIQLLAEGKLNKRGEREVFFDLNGQMRSIFVVDKEASKEIVTRPRALPGVRGHIGAPMPGDVLELKIKEGDKVTKKQPLFVLSAMKMEMVIDSPIAGTVKKVHAGQGTKCTAGDLVIEIEP >CRE27010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:531645:533440:1 gene:WBGene00052364 transcript:CRE27010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27010 MRCIYKEFRRRREDWKVEYSGNLNINFIDVSDAVLTPEEANFVRSIKSKHEKWKKSKPSTVSVIRKSEESSDYSTSKEFKKLFEDLEESATSEDEDVQYNTNPYKSLPDVLQDSRKIDMKYHGSSEEDQEPCSSQQTTDLEERFKKLRETEDCSDVVIADNSEETCRAYKTERNSRKKKKKSRKQRSKRVEMELENEELRELIPHEQGYFELRRARGRVRSKMLEKSRNQNSNYIEFLTLNEILVERGIPADTVICCILCRLLVLNTVREEFFMCPCDCTDKFVHSKCALLYQSEMVRQKCSDCNKFYTDRILCRSRAPSLSKSEEFELHLLNSTMTKEIIILKEERPLYSRLPAEFEHDCFICFDCRTIREDKFEKLDSTVVKACRCTVTAHNECLVKSLLLRRSCRYCNYRYKIETVGVRIGYFNIQLVSTYGVLVALVSLIGIFFVCLIPNLNINVIVQNSLVALILVTSIYLIFSVMLVWRKESRQFTESARVSIKPYTSSNAIAIEKFGRKRKISEEYEEIPFKEMEKRYTGRSIQRILQNWNVEMG >CRE27333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:533641:534273:-1 gene:WBGene00052365 transcript:CRE27333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27333 MKTFKIVTVQPDHAEHLISMIHELAEFEKMKSSVVNTAEKLRKDIENKAVHGFIAFDGEEPAGMNLYYFPYSTWVGQYIHMEDLYIRPQFRRMGLARTLWKKLAQVAQEKGIVRMEWAVLDWNKNAIALYDTVDYVNLTKSEGWYTFRMDEAAIKKFASE >CRE27334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:534624:535729:-1 gene:WBGene00052366 transcript:CRE27334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mpst-1 MSLKKIIDVGSVNSLLKKGIINKEGVRIIDCSFAVGPRPDWKEFEQEGYGEFKTLMAAPSPSRKLYQTGHIPEAVHVDLDIATYPSRYQRFQQYRADLFEKYAQLVGLNNKEHFIFYGKGAFGAMLFASKVAWIFKSYGHENISLVDGGFDSWKRNGFDVSTEDVKLPTGTWKAEDEFKKYVITFQELEAKKEGEEKQFIEKTSEINFLDSRIRGQFEGTQETGLDPHLVNGSRIAGFKNLPSSELLSKNGTLKSEDEIRSWITENGYVENQPVITSCNAGIQAALLAYVIDAVKPSSVPPRVYNGSLKEMELRAPKKISEGPQHLPH >CRE27011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:535994:537793:1 gene:WBGene00052367 transcript:CRE27011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27011 MIFKKLITLKPLTKFLLVGTSAGAGYTAYTVDSPEDLRQLGIFRFGRAAKTVGKIVIDYKTSLRGLPEPSAEYDDAIQKCHQRSAEHLLELACANGGVFIKVGQHISGMEYLIPPEYTQTLSILTSQAPQASKEDVIYVVETELKAKVDDIFSEFSEKPVGAASLAQVHVAKLKETGETVAVKVQHKRVYKNSRTDVNTMELLVNIADAIFPEFRLMWLIEEIKKNLPNELDFLHEAKNADEAAARFKHLKFLRIPKINYELTTKRVLTMEYCEGAHVDDVEYLKKHKIDPHDVCVKIGRTISEMIFLQGYLHSDPHPGNVLINSKGGGKYEIVLLDHGLYLNISDHIRKLYSDLWLAILKPDLQEIRKVASQMGVGELYGLFACMVTRRSWKSVTGGIGKSKMNESEKDELRMYASSLIPQISEVLSRMPREMLLILKTNDLMRNIEHKLGVFGSSDCHLEVRKMSRCVIRSSHEMAIRRAHNPLAKLRIGFRMYWSLLKIFVYQYYLRFVNSSSL >CRE27012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:538175:539307:1 gene:WBGene00052368 transcript:CRE27012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-158 description:CRE-COL-158 protein [Source:UniProtKB/TrEMBL;Acc:E3LPS5] MSRRTESTRMSVTKATAGALCLSSATLILSLYAIFSIYSDVQSIWSQLDQEMDQFKVTTDDLWTQMLGLGAATVSNRQRRQGKEQYGGYEAQGVNPGPTCSCNSGNGNGDDALGTGGCPAGPPGPGGSPGPDGIPGVDGQDGFPGENAEDSQNAPFVGCITCAPGKPGAPGDRGKPGLRGMRGPRGTGGSPGTDGYPGRPGEMGPPGPPGDDGKPGANGEKGQDVEQPTPRKGPRGPPGDSGPPGPEGDAGKDGPVGAAGAPGPDGINGFQGPGGPPGEEGKPGIDGKVGDDAAYCPCPDRNAPKENYASAPSHNPSNAPGASYSAGTGGYSGGTGHAQNSYGKK >CRE27337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:547059:549708:-1 gene:WBGene00052369 transcript:CRE27337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nphp-4 description:CRE-NPH-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LPT1] MSVNDWYSLFLANRPVEMKRNASRGKKISCYSMYISKLKSPQVTENLRYQISSFLYDQKTSQMFGRQCRTEWRTADNNGTCVFNEALYFYSEVNDRDLLLIIEFVEQAVDDITPATSLGWFTTNVEKRRAVEISENRSFEIFGGTPKLLIFDKEVILKSVGNVECSYNTYNMPPFFFQTIPEFCIVCEDDIIPGILNDDTDGEYFDFDQVYISLQNIDCWLSSPKEMATIPAAIDAIAIQFKNNVPELERQITNDIEREWALKEGGLNKPKAVIMDRKLRIGVHNGYTYVTEPFTVDLEIINANEGGTLRSRKKPIDFGKSSNWGEQLIFQAAGNPRLALRNLYADSRMAIIFLLEYTFHREDNQSLNQTILIGWAAWTPFSDNIFAGKEVETRVSFVGGPRPNPEGVLCYKNVLNQPDSVKPLNEKLEIFVDFKFYENGKSVHNTPITRRSEKVQTARSSENVNSGRSRGKNVTIETPRSPENSSRFPALVDTGRSISSVDELKSINEELDRFIEEPTEQPIQEIVVPKKAVEEPLPFTSVYKIPFEEMKPANFPRSVYSMFARLDFIQLRDRNGEAPSTEDVTLRTIVDMKRESLDRLDTAHIYFQFIAFKQLVILSSSTKTHLNSRLASPDTVITKKVFITLNFYRFPEITTESMLLTQMERGEPHLLRRVDSNGAADETAPPGFIAKYIIEGEESKLDFLDFIATGHACVDVWDSDSLIHLGSTIVPLKSLYRKGREAVQSFIQCPVLRKLEHFCI >CRE27013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:540962:542656:1 gene:WBGene00052370 transcript:CRE27013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27013 MASICSLFVFIAVFSSIESRRLEFVQALWRHGDRAPLHLPYPNDLYTEKSWSRGWGQLTSIGMQQLHELGDFFRHQYVDTGFIPANFSVKEVFTTSKFLNSQTSTFQVYLRSSDSDRALVSAQAFLYGLYPAAGGYQWSADTDWQPLPVHASTPGQPDLVSFPIQCTVVLMISYLQCVRKIRNIHYIQTVFFEVCKPTAIKCARHETLVSQGDQEAKAIYDVKYADFFSELSLTTGFKNCSYLDINGLFDVQRELIHNMTAKQPAWVSQHWNQYDNRSSMDIITEMRTVRMMNLFNSEEKGKLQGGSVLNNWIQNAVAVSESRNDQRMLLYSSHDGVLLALLNAFRASNDMMVPYAAALIMHVYSDNGKYYSEVNTNLNVSISVSTFQLYYRNDTTTDPYRIPLSRCPDPCEVSQLASAFENMTVSDLSEMMTLCGTPLKECGSSSSPSFNVLAYFSVYLLSILFFSQ >CRE27014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:543579:544764:1 gene:WBGene00052371 transcript:CRE27014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27014 MTDYALVRSRSAMVRARSVEPTSRLYVTRTSSVPDLTAHFRHSDKYRPQWHTVWQSTPYRWRRDWDLYDDYWYDKYYYFSPLYRSTYTPSRRYSYSDYLPNPYYWSNYGSYWTRYKGYWYDYDYPSYYRRYTSSAYNRYLNYTYSPYRFVPSADPIDSYFQCFRSYLMDSLSTSLSRGLSMYKAGLINHTTLDAYWLTPKYWDRRFKNWRELYCSTSDYYLPSTYDRKTRQYFAQWA >CRE27015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:546268:546967:1 gene:WBGene00052372 transcript:CRE27015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27015 MQSIRFTFGLIALLGFAYLAQSHPLNSRETTKSTESTEVAIPESNENAETKEIALPEAAQTTAIDTLGDSNGRVKRQGGGCGCCGCGCGCCCCRPRCCCCCRRCCTCCRTCCCTRCCTCCRPCCCGCGGGCGCGCGCGCCGCGGGGRKRRSLQTLKNKLAEKIQRETRQIEQVPEVVAPVETVETPETVEEKKPEEVETATEWPEPVYELVTETWAH >CRE27016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:551627:555748:1 gene:WBGene00052373 transcript:CRE27016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmp-1 description:CRE-HMP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPT2] MQMNGDDGINYNRIRSKFVLKQITQMINDVTNITETFPLAQGQTSEGLVDNVDTALVNFLETGHFVVSKCPIANKDPRSIDALHEALRYVQDTGQTMVQRGRDFVRDSTSTNKRKIATMAGKSLLVAVAEFLILADSIDVKLMVDKIDELRDTVHRMLEAGSKIEVEEQYKILVSLIEELDVTVRRRAVDLVEREQRDDLLAARSALRQTAPLFYTSTRTFVRHPEHEEARRNRDYTAKEMNAALNALESVLNGQQPDIVFSEYGRIGDLIEKIETFQNEIEMEPGEYEGDPTRKYLEELVEDIVSGSATIADSGSTRDTRKHKIVDECNNLRQALQDLLSEYEKSAGKREYNDDIPLGIAQVHKRTKDLRRHLRRAIVDHISDAFLDTRTPLILLIEAAKEGNEENTKYRAHMFQEHAKEIVEVARFSCQLSSDKEGISVIQHTATQLERLAPQVSQAALLLCAEPTSKLAQENMEAYKNMWFEKVNLLTTALDNIMTLDDFLAVSEAHIVEDCERGIKGILENASTPEQNAANCVVVDCAAGSIRGRALRVCDVVDAEMDFLRNTEYTETVKQAVKILRTKRVDEFAERASNLAYRQEASGLTWDADQKDNEMNEFINACTLVHDAVKDIRHALLMNRSMNDVDSDVEYEVEGVGAASSDANQTISEQENQQNLMRRLPEEEKQKIQAQIDIFKVTQNKFEREVAKWDETGNDIISLANNMCKIMMSMTEFTRGCGPLKTTMDVIRAAQEISVNGSKLNALAEQIGKESVDSQTKKDLLAYLSKITLFCNQLNICSKVKADITQVGNDMVVSALDSAMSLIQNARNLLDAVVLTVKAAYIASTKIGRKLNIEWRMAPPKKQPLVRPQKNNAIIRRASERRPLQPAKVLAEFTRNDIESGDHELNHRQQRRVNGYS >CRE27017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:566337:567508:1 gene:WBGene00052374 transcript:CRE27017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27017 MLNKQIVQFLSMMHIHPPSANNAAGSNSVIKKLKFGKKKSTPKFGNLPESVWDNILDNLSPFERVKMRRVNHKIKNVVDKRRKRVLYADFLRTDVDTILPAESSGDGDFFRLPKSPRIVVHEEARSILIIVDTHWTVSDAVKMMAAIRYYGVSAHTVTLDASLAELCVAAQSTNDISFWFAFQSASGTSDPVCQSSKSPSWVPDEFRVRSVQSYEQDQLTAYSQWAPRTQIIPVGPLFPKATEITIRASVPQLRRLRRFPVYRSPLHRSFIDFNNLHILRLVVSTNTSSGRKGRQVAQSLKLAPFLKWANAQRLAHRFSVQFV >CRE27339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:575343:576687:-1 gene:WBGene00052375 transcript:CRE27339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27339 MDASDAAAGIFLLLFGIVGCALYGLIVCSMWRMVNEIVGFRFLISQALTDILLMIQFGIWPGIVILTQNEIISESWRWNMHIYLDFTWWAMVYHYTVIAWSRLAAVQWPNWFRTLPHGKSTTICAIPWFTGLLQSLVEHQFDWFTPLYYSPTRYGMHSNWEKYEVSGTNTYYMVCNVILMVVPFPLYVLALAVLFQRQTSRNLQLRSKYSHAPLSTSSYAAQQRQLSIETRLLVPCIINTILFVVGQVFISQCSKHGKWMNWAVMVVFATNSFVNPLLYLFFSSVIRKGVLSNCRKNFSLSAIYNDYEMRSSPRTSSIVMRLNHRDSTITSNLNCRINSINS >CRE27018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:582204:583186:1 gene:WBGene00052376 transcript:CRE27018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27018 MFIYLLLSDKKLHLGSYRHLLVSFSIFNMLCSFYDGLVPMGVHDHRYAFVIFVSEGIFSNFSQLGQLALSIRCGFITFTYAILHAHFIYRYLVLNRSMLIQKYFMPYGFILTFVYCFLHMMLWTAVCEFFFYGDLERKTYIYDSFKELYNLKSFDFNMVIAIYWEGSNEAVIRSWIGVLVVSASSTYSMGLFFVLGHKIMKNLKAHVNISVKTLRLQQQLFKALTVQTLVPICVSLMPCMAVWFGPVFLLDFVQYIWLQQSLHLSSHALTQLQL >CRE27019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:584363:587295:1 gene:WBGene00052377 transcript:CRE27019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sulp-4 description:CRE-SULP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LPT7] MDPSPGRIGRTRHLSIAEEESENYLDNFYNQREKRRVSFVQRGAMNQVEFDEKFDYSKPHQENEVKRQAKKFAGRFCIPFSSCFAFKLFIFDLIPILKWLPEYNWKSDLTKDFIGGITVGVMQIPQGIAYALLARQPAINGLYASLFPPLIYMFFGTSRHSSLGTFAVVSLMTGMSVEKLTKPPEEDPAWNSTDITDYPTPTEVSCAIAFTMGLIFLITGILRLQILTTYLSDQLIAGFTVGAAIHVLVSQLKTIFGIRGLKRYSGVGYLFRQLYDLVLAIGQVNYVSLGISATSIIILICGKFLNPIFMRKIKHNIPIPFELLVVILSTIFVYVTGVNTTNGVQVVNEIPSGIPEVSLPRFSLIPQVFADAGGIAFVSAAMWLSFSKTLAKSEEYQLDSGQEFFALAVSSMGSSFIPTVPISCSLSRTMVAYNAGVTTQLSILFSSTLVFLVVFFLGSLLETLPMAALSAIICVALWGMLEKFKTLPELYRNSKIDLAIWIFTFVATIVLDVTLGLIVSVGFALLTTIFREQFPKWHILAMVKGTYDFRDCERYGQAVYFKGICVFRFDSPLLYYNVERFKSSAHKAYLDWQKSHEFYVLSEERNTMWQSRIDEIDEDNLTPTTMNTQAPDIISRHFVIDCSAFTVIDLMGVNALKEVHIYFQVLFEKLSFQVFSDMRKRRILVYFANAKAPVREMFARCNFYKKVPKENFYPTMRDATSIARQRQMELGFKDTEYVPDKDNIVDILSSHPY >CRE27020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:588172:591081:1 gene:WBGene00052378 transcript:CRE27020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sulp-5 description:CRE-SULP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LPT8] MNEPTTPTTPTMIRVEEEEYDLLRSFYVRKRRAPMNQVEFDEKYGYQKRQKGGGKFKKRSTKVASRYYEPFTSISNFKTFIFNLFPILGWLPNYDWKGDLTADVVGGITVGVLQIPQGIAYAILSRQEPIVGLYTSIFPVFIYIFFGTSRHASLGTFAVVALMTGLAVEREAFIPADNLNSTLLPGDETLPSPIEVSCALVLGVGLVQFLMGVFRLQFLTTYLSDQLIAGFTTGSAVHVLVSQFKELFGMRGLVKHSGPGYLVRNVFDIVMNMPNANWMCCAISFATIVLLHCGKEYINPVVKKKLTPKFSVPWELVAVILTTTFCGVINANELYNVKIVNKIPTGYALSFLPELSFPQPSLIPRVLPDAISIAVVVVAVHLSLSKMLAKKYQYDLDAGQELYALSFTAIGGSFFPTFPTSIGLGRTMVGLESGVKTQMATLFSCLFVLSVTLYFGRFLETLPMCVLSAIIVVALKSMLWKLRDLPELWRLSKIDCFIWMVAFFATVLVDVSEGLIIAIFFALFTTILREQYPKWHLLANVKDTDEFRDTQQYQEVIFHKGICIFRFDAPLLFHNVECFKKCLEKAFEEWKKSPEFNVVHEEKSNKDRKFTFEGMHRIAPVIEQPLHPGLHRDPILSRHFVIDCSGFTSIDLMGVSSLKEVFSDLRKKGVQVYFASTKVPVREMFEKCDFFEFVSKENFYPTLRDATGIAKLRQNEFGFIDTTRIPEFDEMSNVTTNLPNH >CRE27021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:595549:596738:1 gene:WBGene00052380 transcript:CRE27021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sodh-1 description:CRE-SODH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPT9] MTIELPATQRALVFDTWNGPLEVRQVPVPTPADDEILVKIEYSGICHSDLHVWLGDLKGMSVCPLVGGHEGAGSVVQVGKNVTGWELGDKAGVKLMNFNCLNCEFCKKGHEPLCHHIQNYGFDRSGTFQEYLTIRGVDAAKINKDTNLAAAAPILCAGVTVYKALKESNVKPGQIVVLTGAGGGLGSLAIQYANAMGMRVVAMDHSSKEAHCKSLGAEWFVDAFDTPDIVSHITKLTEGGPHGVINFAVARKPMEQAVEYVRKRGTVVFVGLPKDSKVTFDTTPFIFNAITIKGSIVGSRLDVDEAMEFVTRGIVKVPLELVRLEDVPAVYQKMIDGKITSRAVVDFSL >CRE26702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:580176:581321:-1 gene:WBGene00052381 transcript:CRE26702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26702 MSTIPVSHRVLIFDEYNGPLEVRQFPVPEPEDDELLVKLEYSGICHSDLHAWCGDFAFATVYPLIGGHEGAGRVVKMGAKVKDWKLGDLAGVKLVNDNCLNCEYCKTGNEPLCDRSRMYGFIRHGMFQEYVTIRDVDTIRIPDGMSLAAAAPVLCGGVTAYKALQETNVKAGQIVVITGAGGGLGSFAIQYAKAMGMRVVAVDHSSKKYHCEQLGAEWFVDGFETNDIADHIRKITNGGPHGVVSFASSKKPMEQALEYIRKRGTVVLVGLPKDSKILVDTTPLIFNALTIKGSVIGSRLDTDEAMDFVFRGAVKVPLEFVKLEDVPNVYEKMKKGLVTSRVVVDFSL >CRE27023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:601610:602859:1 gene:WBGene00052382 transcript:CRE27023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-76 description:CRE-SRX-76 protein [Source:UniProtKB/TrEMBL;Acc:E3LPU2] MNSSEPPADFRSWPNSVAAFLMTVDVVFGIFLSGAVVYIYWKDTQQRTSFNFICAVRATNNILVMVLAFVFVYIPGSILVLTSNSFRGFSILPVWLESFFICASLNFYIFNEFQSNYIALNRLIAIYLPIYYNKLCGYHATVFVNSVLYADRFRNIFFETMMRTNSSSYITFSSDILMFTQVSTYNGGLVYMGAILFGIALVANLFTFIKITIFYLKSENRNDSENIRSIKKNMKLFLQTVLQDVLFFIDNFSTFFARFIMIMFNDRFSVLKSAFFPSSSVPVSSVMESSSSRTQPRRQVIAAVA >CRE27027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:604931:605805:1 gene:WBGene00052383 transcript:CRE27027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27027 MEKNSGNPSATTSTNSNTNDISQTIRQTQSDFGKTPEEGKKVREAMMKALNCIRELGVQRPDDPMTFLSEFMLMEDPKLSPYSNSNNLNQKLHKSSYYASSYIKKGIEELLKKETEEDPAIFLSNYFLSQKESFEKKMAEQKSLSNKNDVSKTVRQTQSDFGKTPEEGKKVREAMMKALNCIRELGVQRPDDPMTFLSEFMLMEDPKLSPYSNSNNLNQKLHKSSYYASSYIKKGIEELLKKETEEDPAIFLSNYFLSQKESFEKKMAEQKSSSC >CRE27029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:608546:609597:1 gene:WBGene00052384 transcript:CRE27029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27029 MWTPLLLLLIFSFLVDLNESCQEPPSRGHSRCGKNLKSIKYYFDKKLEMCLPFLYEGCGGNFNRFDDSDMCNLRCRAADKGICGGGSKALGSCSNRNKTCPRGSKCITMAFGLGLCCDELIQEAWRQENHPVCTIPNHEVVTETVWYGEQELLGRHCGHKFCPIGSKCVEGRWLAHCCKPIIKAANS >CRE27030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:612302:627884:1 gene:WBGene00052385 transcript:CRE27030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sma-1 description:CRE-SMA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPU9] MSYVSFAASYSPGEGKTVRNMNDKVEGYRKEIAPSRENVDFEHLRRTPRDHLPENDYQTTSSSGLAGGDVKPYQYRDYHQHQKHEYYGEGVQDGLGEIEDNKDETMRKYEMQVRVPTTGAPPVRADASGTDQEDFNNETLYFERSRIRTLQDERVHIQKKTFTKWCNSFLNRASLEIVDLFEDVGDGILLMKLLEIISGDKLGKPNRGRMRVQKVENLNKVLDFLKKKKIQLENIGAEDILDRNERLILGLIWTIILRFQIDTIVIEDEEERGERKHAKDALLLWCQRKTAGYPNVRIENFTTSWRNGLAFNALIHSHRPDLVDFNRLNPNEHVDNLNHAFDVAEKKLEIARLLDAEDVDVTRPDEKSIITYVSLYYHHFAKQKTEMTGARRIANIVGKLMVSETMEDDYEHIASELLNWIRVTIKTLESRRFPNSLNGMREEHAKFNQFRTSEKPPKYKEKGELEALFFTIQTKRKAMSRKQYQPPQGLFMHDIESAWAQLDYAENERQVAIIAELQRQEKLEQLAQRFHKKAKLRDSWLRSVQVVLEEMEHGRSASQVEKTLKKQQAISTDILAREDRFKMLTAMCNELCTEKYHESDKVRGMEREIIDRWTQLLALLEQRKRALMSLNDLMSLLRDIDTLSNELYALEPAVRNRDVGKHLIGVEDLLGKHDLVDAQINAHGSLLSKLSQAANNYIRHKEEQFDVLQRKLDEVTAQYNTLVELCRSRRLALERARSLFQFIQDHEEEMAWLAEKEKLCTTALSSGDVSAVPQTTLLYKNVEMEMQTHWARSKGMIAGGERLVQNGQSKEDIQRRLTQMNQGWERLRVAVDALGNWLSEARHAQQYFQDANEAESWIREKMPLVKSDDLGRDEGAAESLLQRHARLEEEIRAYKNDITRLEEMQSQLANSAFHTATTSQSVQETEEVTVPQIEMSYKYEGNGMRVGKGEILALLEKSTPEWWRALKRDGTEGYVPANYCKIVPGESVTVTQTTQKTTTTVEGNETKSSVVADRQHKISNDYRELRRLGDVRRRLLSDNIKLLRFYRECDDFERWAKEIEVSLADEPSPEHVAALRRKFDKLEADMKTNGGTQLKHINDIANDLISEGHGQSRQIESRQHKINAMWENLERLRKQRAVRLEATERVADFDTTCESAREWMLGKFEQLDRNPNDVKSLQNLERDLKPLEDKIAALEKLAAAVKKDHPEEAAAIERKIAELRALHADLLRRAQEKMLLAEQTQGKEMFESALRDMMGWIEKTRKGMLEDVHPVDVAEAEELLKKHYELGEQIKDKKYEVEYCQELGRRLLERSPRMPKVEEQLQNLVSEMAALRDLYRRRDTILKQQLDLQLFNRESERIDAATKGHEAFLEFDNLGDSVESVENLLKRHRDLEAKLDAQEARLEAFSRTADDMIKAQHADSAYIEQRRRDVLARREAVRRAAAQRKKQLEASLEYQEMRREADEVMGWMHEKAKLVASGDDTALTPSAIPHRLLKHEAFEAEIIANDSRIQQINKEGDGLIAKKHYESPNVEKIVRQVNAQWGDLKKQVYNKGQRLRQAADQKGLDRILEDAHAKLDEMESALNSKDQGLDLRSVKDLLQKHTVLEQEMGLYGNKLSDIENRGKKMAVEGHYDADKIHSTVGDLLKRYAAMKGPAKRRKGDLDESRLWHQLVFDVDCELQWIAEKKPIASSQDNGRTLTEALNMVKKQEQLEAEVNQHGGQIDKVLGQASELVKRHHPASSQIKSKSCELETAWSELRRLLRARRAIVDWGVKEQQYLFDAAEVESWMNEKRNALASEDYGSDEDAARKLLAKHRALCEDMTTYRQWLEKLEVKCSELVQSDRPHIDRFQKRQDELVHEFDALSKLAEDRRNALEDAVCLYEYMRESADLEQWIGEQLQTALCEDFAEDYEHLKELQSKFDEFKQSVKTGSERFTSCETAANAILRRNPPFARDVVKKQEKLRSAWNLLCEYIETRTAKLAVAEELHRFHRDVDEFEQWMADKMANMPRDLGRDVKHVHSLWQQHEALDKETHNAQPRLTQLVEEAERLKKTYPGGNAEQIGGRQQTLVEEWEELRNATDDRKDMLRAAFDLHTFNGKVRDLLAWTDLTISDIQSDLHINDLQQAEWLQTEHSRLSHEMDAREPEFTRLVGDGEKMVNAQHYATEEIKNKTRLLKTALERLRSEWTLRNGYLSQAVQWHAFQREAKQIIASIGSKRTTLRSLAVGGSVADVESQKKRLDTFEKALSTLDERTVTLDHTANELMKARHMESKNIAMWQSKVHEELKLLREDIEARHAMLKDAFALASFGSDVAQIEAWIDEKTNGIRKARDQSSESISIEEKMKRLQTHQALEAEVAANKTIVDQILQRGQQLKSLHRNPKIADRCDELNVKWSQLAGACADQSRALEEARDLLRFKQLVENVLAWINEKEVLVSTADMGRDMEHCRLLLERLDGTRSDSTVDEQTLDEINRLGEKLVKQGRSSRDQVQKEQQHLNEKWRLLLGQLSHYRTDLMAAMEVHTFNRDVEDTDERIHEKIAAMKSDDFGKDFASVELLVRKQSALERDMSAIHQKLIAHDRDAQKILEKRPPLKDTILDSLKKLEESWKQLSEAAEARNDKLNRSFKLYKYLDDVKKTEQWANQVRNKMTSHQTPKDSSGARKLLEQHHERKAEIDGRAEELRQLHEEGQALNQEQPEHKAEVQRAHKRVQNSEHQLRQTWESEKGTLQKLLEWMLWCDEAVQCEQWLADKENQIARGELGDTSDAVEMLIKGHSAFEDTVRKQSEKIDVLAKNADALVSGGNNYRADIITRKEEVTARHALLLKSVTKRKDMLEDSKRYHEFIRHCGELIIWITAKLQLAYDESFLDHTNLRSKLQKHMAFDSELVENEKRLSTVERQGEELVADNHFMSEQVKAQLVELRSGWDELRTKSALKTQRLREAFELHSLQRKVEDIEKWLDKVEGELASEDHGRDMLSTQLLIKKLDTLQTEIAGRSDAVVEMMKKARELRVQGSAAADDCLKQAEQVEARYSSLGEPVDIRRANLVDAQAFFEWVKAAEEDLEWLSDKMPLASSGESGDSLQSALSLQKKHAALEKELDTRQSAMDDTEKRGKDMIRQRHFASGHIQKILDRLSTAMLTLKESCGLRRDLLQEAIDAHEYYTEETEAEQWLREQMPLAMSQEMGRDQAGAESHLRRLTVLDKEVELFKNEIDRLKKRADGLLAREHHDAMSIAAKQRKLEALFGDLCRECARRRTQIVDASKYHKFVRQADDLSDWLREKERTASAEEYGQDLEDCQQIIEQFESTVRELAAAGERVALVQRSQEDLLRSGHPYGASITAKGADVQRLWTHVNEVANERKQALNGARQVHRFDQEADQTLNWLQDKEATGVAMEQEDLSRADLASVKAQLQRHDEFMHGMKAVEKQVAELCHEAERLWNSFPDTRHHLEVRRLDMEEQLKDILEAAKKHLERLRHMQSLQSYFQEYREMMQWMKNMQTTMTSEQLPRDVASCESLVRRHDEYNLEMQGRKPFVDDFARQGRRMIQSNHVLSQEIQEKVEVLEKSWEMLCEIWKDRAELYEENMDVQKWKQNAEQLDSWLEERAGLLGDDWRMVDSVEMAESHLRDFDDFLVTLEAQNEKCEMVKRLTLVEQNFSRLRSKEIDRSRIAEEDQKRRDTIKIVEKGNILANRRQERERRKTQEISLLRPSPSGEEFSTHTMPRKDRKDRAKTTADLGKTCSYCTNKTSLFSAPGSVQIGELLASSSTVTPLQSGVEMTKTPSFTTRRTQSIRKGSRWEDMGAIDMKGFFDRKQCQQSGGKRATIRSWKNYYGILCGQLLCFFKDEQQFIENIAAAPPVYIYGAQCEQYPEYAKRKNAFRLLLQDGSEFMFSCPDERQMLEWVAKIKFHAHLTPSNQLKSFSYNDDLLQTTGTEQLPMVAPRRNIGGHDVANRLSHASAFTTSDDLDQHELDYSRGCTSLPRGKHSGQITMRDCATLPRGFGSDVVEQQPTAFFPPSGPLLATPSVLTMSSSSMTANSVPTVVTRKIGVVRRASRRQSVYAESIYGEVEQAIAEANRVSINGPNGDHAELRHTIQTHPGSSGYTETLMYKEHTSSNYQPMERSMSPRSQQNGEFISWVESNQQNQSMTTPDGRSTSASVVSTPSNYDDNDSIKSSSSKTVQ >CRE27031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:629798:634517:1 gene:WBGene00052386 transcript:CRE27031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27031 MSSLQYAYEQMQLDFLHICSMGDEVRVRDALLTGRVDTNYRHKSNGWTALHWAANRGHYDVALLLIEAGYALNAEDTKQRVPYDVCPEDKDKLRTVLQPGEERENMVQISDNASRRTSDASDQSSPGFVPNYVRHPPFPYAMKNSFDSFSTPPNSSGSNGPGSPGPLNSPTYSYGRRDSFNKTRFLLVRTSVEKGKETYKRVTLPGGSDVERLKTTIEKACKGRQVDMIFTLPDNDLVETIDQIHQFKDCQKIDVVFKDNDRETTPAVTGDEVKMSEEREVSPKDLVVEKEYGQEDIDIPKNDEHEDKDEEPRPATPAEDLSRFVKEPSPPISLAATDLYSNNGEGNGEGQEPKLIPLATRQSLDSDPGDFEKVDKEEAAKLSETSPAVAGIAAAIPIISAQVEEEHNDPTVPEPEQEPTFVPPPSARQAPSSKATVVEESKQVPEDRQSSDLATWIHNNPDIVRNVATAAAVAGVAGLGYYVYVKKFK >CRE27341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:634860:636954:-1 gene:WBGene00052388 transcript:CRE27341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osm-6 description:CRE-OSM-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LPV1] MTSFADEKMTNRSTFRKVLIDQSKQQQISLISGFRGVARHLKSVLTVEINTEPINLNGLDDVRMIIIPQPKSAFGTGEIETIWRFVEEGGCLMILSGEGGERHSLNELLSKYGIAVNKGLLCSENRRKLQFPDAVIRTVFLKYFDPKEALVANGVVNRSIAVAAKKNVSTEQKQNSQTLSFIYPYGSTLDVNNRQSNVVLSSGSTSFPTSRPVAAFHETKLNEFKKKGRVCVVGSVSMFHDAYIDKEENGKIFDTFVEYLVNGFDLNTIDAAEPEISDYTNIPDHIHMSQQIKVCMYEGELDSAVSSDFMKILDNSLHSFNLKHWPMTLRLYEALNLSPPPLTLVEPQFELPMPPFQPAVFAPTFQDLPMPPLELFDLDEQFSSPEIQLSQLANRSEEEDLVFFIEKAAEITGISAELTRSERTPKKIIELVVNKLMLFKRSMMDGEIEVASAFDIEEDHHHQNFHPNEEIDEQLFSDIDEFDDL >CRE27342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:637267:642115:-1 gene:WBGene00052389 transcript:CRE27342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aman-2 description:Alpha-mannosidase [Source:UniProtKB/TrEMBL;Acc:E3LPV2] MGKRNFYIILSLGVFLTVSLYLYNGIETGAEAIAKRQQYVDELRRKIGSLEQVAEQNGKTIDRLEQQVRQVKVEKSVDFDEDKDKTEEKEKEQEQEQEVAPVPVRGNRGNEGMAHIHQVQKHHKPTPPMTDICNIRENISVAHSDLQMLDLYETWKFENLDGGVWKQGWKIEYDAEKVKALPRLEVIVIPHSHCDPGWIMTFDEYYSRQTRNILNGMAKHLGEKDEMRFIYAEISFFETWWREQSEETRKKVKGYLEAGKLEIVTGGWVMTDEANAHYHSMVTELFEGHEWIQNHLGKNAIPKSHWSIDPFGLSPSLPHLLTSANITNAVLQRVHYSVKRELALKKNLEFYWRQLFGSTGHPDLRSHIMPFYSYDIPHTCGPEPSVCCQFDFRRMPDGGKSCDWGIPPQRITDENVAERAQMIYDQYRKKSQLFKNSVIFQPLGDDFRYDIDFEWNSQYENYKKLFEYMNSKSEWNVHAQFGTLSDYFKKLDTAISESGEKLPTLSGDFFTYADRDDHYWSGYFTSRPFYKQLDRVLQHYLRSAEIAFSLANIEEEGMMESKVFEKLVTARRALSLFQHHDGVTGTAKDHVVLDYGQKMIDALNACEDVLSESLVVLLGIDSTKKMEMDEKRVNENLLPEKLVYKVGQNVVLFNTLSRNRNEPVCIRVDSVDAGIEAEPPVTKQQISPVIEYNEESKKLVAKDGVFELCFLASLGPMESVSLKLVKSTTTSKSEIRTNSKIQVDSSFKSSTVGNGDFIVQNDKVKAEFDGENGMIKKATSLVDDKPIDLNSHFVHYGARKARRKFANGNEDNPAGAYLFLPDGEARELKKEENEWIVIGGDLVRRVFATPMSDLKILQTYTLYQGLPWIDLDNEVDVRSKENFELALRFSTSISSNDEFFTDLNGLQMIKRRRQTKLPTQANFYPMSAGVYIEDDASRMTIHSAQALGVSSLASGQIEIMLDRRLSSDDNRGLQQGVRDNKRTVAHFRIVIEPMSPTSSNKKDERVGFHSHVGHLATWSLHYPVVKMMGETTPKSIASKNLENELNCDLHVVTFRTLASPTTYEANERSTAAEKKAAMVMHRVVPDCRSRLTLPDTSCLTSGIEIEPLKLISSLKSARQTSLTNLYEGQKSEQFILQPNDVSSILVSF >CRE27032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:642790:643877:1 gene:WBGene00052391 transcript:CRE27032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27032 MTDTTTTQFNALLLDIEGTITSISFVKDELFPYAFENVGKYLEEHYHKPATQIILEDLRLVAEQQAENDLAVVRIREPKKECIEDVTKNVRHWIKRDKKLTPMKALQGLIWEEAYQKGEVKGHVYPDVFPVLKIVENRKIPIYIYSSGSVHAQKLLFANSVEGDMTKILYGYFDTNIGLKGESASYTKISAEIGVPEKDILFLTDVEAEAAAANKAGLQTRLVIRPGNASLTQEAKNAYGTIESLEEIL >CRE27343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:645529:646043:-1 gene:WBGene00052392 transcript:CRE27343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flr-2 description:CRE-FLR-2 protein; Putative glycoprotein hormone-alpha2 [Source:UniProtKB/TrEMBL;Acc:C6SUP7] MGSKARARRRFISLFSIFVVISCLLQYCSAGVTKNNSCKKVGSEELIDEEGCDLMIIRINRCSGHCFSFTFPNPLTKKYSVHAKCCRMVEWEMLETELKCSEGNRKLRIPSATQCECFDCLVQ >CRE27035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:650224:652186:1 gene:WBGene00052393 transcript:CRE27035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27035 MPSDANNQKANGTAPEDIRCSPNECSGVGECVVWNAGETIEWYECLCDLWHTGQNCELEVPHPTVVAVSSTFLVCFTVFFCWYSSHRFSKKKIHLPQYRIRFADYLHQTQKYDKFWLKSRVEDEAKRTGKTREVPEEEPKKKKKKKGDKKKKSKHTDKKKKEKSVTPPAQPIRTPAEEKMEQTRQLEAAIERIQRNHETSNKIRKREKVEMEVEEDEGRTVSVANSTGPLTLTGATSATSTNLVPIDVGDDHRKKLIKKKREKKAREEEELREAEENMKKKGMKNHTSTCCLSAIVLIRIIEVEGQQNSYNNFFFSAYFETVSVDEIHSLTISFQLIPTHNHFNIIERKLPFSSEILKTIIKCAKKKKSSRME >CRE27036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:664617:667712:1 gene:WBGene00052394 transcript:CRE27036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27036 MRACDCITEPPLFDLPPPPDPSLIWHLISDEKFEPAEQCSSNQFVSISDIGTIYDSEDTHQLAANVLISIAALSVLLLFVVCIAVIGRIRRRRRQNSDASKKMSSDSILAAGEHLWTYNSMKQPVSGGPPAVLMYSNQSNDGSRLFHHTPTTMRSYVVGSGTPIMGSQHIPIRHSESTTLRLNPGDGCSAYHTVSVSRQNGCPPSEVYEEIAPIGTLGHVGYMTTRPNMGNMTPMNNMNNRMSLRKPPPTCRPPQPPQSPVPSDGSYEITRITGTSSDSPRERQWTEDGRESGYGTAPSLQWKSPSGSGRLEAEAAARAMTYV >CRE27344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:668334:670106:-1 gene:WBGene00052395 transcript:CRE27344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27344 MLRQIVLMCAIQTAYSFSIRDIINGKRGADAATPCPTWHPFACPSGECVPIKYLCDGSPDCSDEYDENKSMCTAATRPPVEETQAFLKALMSAHGKDFLVKVFGPKAKAELSGMGGVDKVAVALSQTPTADLFASEMKLDDGETQHMLEVMEGILNGSTDELTSNEAADFRFFVQKLQETGFF >CRE27037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:679394:680602:1 gene:WBGene00052396 transcript:CRE27037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpr-1 description:CRE-CPR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPW0] MTAIRSTSWNNDGEFISFRSSRLYEDNACFHLNLSHFQKVFVLAALCAVTLAFVPIDHKSALETLTGQALVDYVNSAQSLFTTEHVEVSEEVMKSRVMDVKYAAAHSDEIRATEVDTVLDTIPASFDSRTHWSECKSIKLIRDQATCGSCWAFGAAEVISDRTCIETKGAQQPIISPDDLLSCCGSSCGNGCEGGYPIQALRWWDSKGVVTGGDYHGAGCKPYPIAPCTSGNCPESKTPSCSLSCQSGYTTAYAKDKHFGTSAYAVARKVASIQTEIMTNGPVEAAFTVYEDFYKYKSGVYKHTAGKALGGHAIKIIGWGTESGSPYWLVANSWGNSWGESGFFRIFRGDDQCGIESAVVAGKAKV >CRE27345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:680697:681556:-1 gene:WBGene00052397 transcript:CRE27345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mif-2 description:CRE-MIF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LPW1] MPMVRVATNLPNEQVPTDFEIRLTDLLARSMGKPRERIAVEVAAGARLVHGATHAPATVISIKSIGAVSADDNIRHTAAITEFCGKELGLPKDKVVITFHDLAPVTVGFNGTTVAEANKL >CRE27346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:681947:682516:-1 gene:WBGene00052398 transcript:CRE27346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snr-4 description:CRE-SNR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LPW2] MTSQVKPRSEMTAEELAAKEDEEFNVGPLSILTNSVKNNHQVLINCRNNKKLLGRVKAFDRHCNMVLENVKEMWTEVPKTGKGKKKAKSVAKDRFISKMFLRGDSVILVVKNPLAQSD >CRE27347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:683514:685124:-1 gene:WBGene00052399 transcript:CRE27347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpt-1 description:CRE-RPT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPW3] MPDHLGDDMRKTKKGETTEEEKTFQALDEGDIAVLKRYGQGPYAEQLKQLDTDIENCLKKVNELSGVKESDTGLAPPALWDIAADKQAMQQEQPLQVARCTKIITSDKHDPRYLINVKQFAKFVVDLADSVAPTDIEEGMRVGVDRNKYQIHLPLPAKIDPTVTMMQVEEKPDVTYSDVGGCKDQIEKLREVVETPLLHPERYVNLGIEPPKGVLLYGPPGTGKTLCARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFEMARTKKACLIFFDEIDAVGGARFDDGQGGDNEVQRTMLELINQLDGFDPRGNIKVLMATNRPDTLDPALMRPGRLDRKVEFALPDLAGRAHILKIHAKQMSVERDIRYDLLARLCPNSTGAEIRSVCTEAGMFAIRARRKVATEKDFLEAINKVVKGYAKFSATPRYLTHN >CRE27348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:685836:687828:-1 gene:WBGene00052400 transcript:CRE27348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mans-2 description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:E3LPW4] MKTVRFNKQALAVVAACFIFLLCIVSYFSTTSSEAHNTVVVGDRPRGGGRLAVRDVANRHIAEQKEPEIARVIHSKSVGEVERVVSQEKIEKLRPARVDPKPQVEKVEEKTSTEETGVGEAPIQSSEGLEKLIGKIHYEDKDEENGVYLIVLNLSERFEISDLRRQKVKEMMIHAWQGYKNYSWGANELKPVAKTPNSQNIFGGSQMPATIVDAADTLYIMDLKEQYKEARDYIEKNFSMAKSTSTLSVFETTIRFLGGLLSLYALTQEPFYIEKAREVGEALLPAFNTPSGIPKSNLDVASKHASNYGWANGGQSILSEVGSLHLEFLYLSRIAQAPIFEKKVKKVRDALEKAEKPNGLYSNYISPDTGRFTGSHMSLGALGDSFYEYLIKSYVQSNYTDRQAKNMYWDVSDAIQKHMIKVSKQSKLTYTVELNNMQPQHKMGHLACFVPGMFALQAINEDTEEEKSRIMTLAEELAKTCHESYIRTETHIGPEMFYFNDNDEATSKHSENGYIQRPEVIEGWFYLWRLTGKTMYRDWVWDAVQAVEKYCRVDSGFTGLQNVYNPKSVSFIQSFLYQISFFQGRDDVMQSFFLAEFLKYAYLTFADDSLISLEKWVFNTEAHPVPILSH >CRE27349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:693564:696158:-1 gene:WBGene00052401 transcript:CRE27349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyl-1 description:CRE-CYL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPW5] MASIQEMRKLAEAKLQTMIRPIVRPKEQNGNGETKKEEEEKFESTYKQMENPEITPSSFGKRPIYSKVDINCDKWLMTLDEESRLKIDNPPSLVDGLSKELEAEIRYLGCELIQQGAILLKLPQTAAATGQILFQRYFYQKSFVRYHFEHAVQACLLLASKIEEEPRRPREVYNVFHRLERLHRLQQSGQEINKETTRGMKPPVIDTNYINTKQHMINSERRILATLGFVVHVKHPHRLIVAYGHTLGITQSRPDILQRSWNYMNDGLRTDIFMRYSPETIACACIFLAARTVEDPIALPSTPFHWFEAFDTSDRDVEAIALQLVGLYARKRFPNWPRIKAELDSLRNAKNAEKAAVKAKEIAEKLEKMAPDDDKIEVLNENINKKESPDRKSGERDRRVGGDRDKKEKDRRRKRSNERDGKADRRDRDRDRGERGDRGDRRKDDKRDRRKRTRSRSRDRKEKNRNRDVGRKYRKESSSPPRIRR >CRE27038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:696444:697611:1 gene:WBGene00052402 transcript:CRE27038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27038 MLLKKLKMNLGKLKSQREKIITKNILKSRLFHNNYCFTMSEFTTKSVKQAETLVTGDFKALGPASHYEGDEIIVMRCAETIDEIFSDWTVRSNLSENSYQPFDLNTPIELPRRSAMLKSYSQDPPITETGKIASKMMARELSDRHAIPSVIFCSPDFASVETAHLIKSYIGDKCGKIQIEPELSTLHKASHVFFGSAHFKSLGYSIDSKEPLRAVSDGVALSDLVSRVKRAFYELTSKNKLFSALIIVDPLSMMIISSLFYSIKMVSSVELERLRSLSSFPSLSNFTCFRIGANTGVKMYDLTSTSLRPLTFTGFSNEIDMDMVPAVPE >CRE27350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:697794:702208:-1 gene:WBGene00052403 transcript:CRE27350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-33 description:CRE-GCY-33 protein [Source:UniProtKB/TrEMBL;Acc:E3LPW7] MYGLVIEGVRFMIQENWGPQVLLQVQKLTSLSEKSVSTHDQYSEHVVPQMFKAIHEITGTPYEQIGVLAGRFFVQFLIRNGYGDLMNVMGRRFSDFIKGLDNIHEYFRFSYPKLRAPSFYCKSESEDGLILHYRSRRTGYLSYVIGQLVELARVFYRLDIGIQVLKKKEKGRFTFVVLKISFDNVGLGHDLKLKERVKNLNEYLPVDTKSFLQMFPFHIAFNKKLEILMAGQGLLNLMPNIQGLLMTDVFDLQRPCIKFTAEGILVHQNCVFQIESLHPVVKQSEENITVQINDVVEDKVSLEKKTVMDNEYESLPYVTLRGPITVLKSSDTFLLLATCVVDTLDTMFKMGLYLNDFGESDCNREIIMATIQKSDTLKTMLENEKRRSEILTDMTKEISEAKKTARGLLTQMMPYEVAQTMMRSGSVEHSEAFECVSIGFIRVCDFSKISLFIEAFEVVNLLNTIYSHLDAIVDTHGVYKVETIGESYMISAGCPYRDEYDAEMVADCCLEMVSHIKSFEYQSHDAVKKVLIKCGIFTGPVVGGVVGVRTPRYCLFGDTVNTASRMESSNQTPMTIQVGQRTKDRVEKQASGSFRIKPKGNIFVKGKGDMRVYEIEKKKGRARYKKNEPLRKKMVTEKKEADEMLDEDNEGHRSSALSRMSLGESIDSSSSRRGSLSGSQLELNKTIAHTIEVTSKSSEALDLNMQDENNRPPTWSDTHTQDVRKPRKTESKITLHSRLSSSDLAASRSEISKDFENETPRPTSSELKEVNRIRAEARAQEEEEERKENEEKKKDEVKEEDRASQATSLVDNESVVSHGDNNITFSEMPSDSIPQEDRASLPSAEPSEVGDAVKPKKNLAKEDSNSSMSSYEDRTVTAKPVTTRRLLGERESKDEKKRSSMAGSSVTSSSVHSHSVKGRRETRDKSRCKCEDIRADNKLKTKVCSIM >CRE27039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:703509:704703:1 gene:WBGene00052404 transcript:CRE27039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27039 MKTVIAALLVGLVAVNAYNVEVKHGDSIPLEAQMLRGQDLVDYVNKQQTSFKAKLGSYFSSYPDTIKKQLMGAKMIEIPDEYRVFEMTHPEVLDAAIPDSFDSRAQWPNCPSISKIRDQSSCGSCWAVSAAETISDRICIASNGKTQLSISADDINACCGMVCGNGCNGGYPIEAWRHYVKKGYVTGGSYQEKTGCKPYPYPPCEHHVNGTHYKPCPSNMYPTDKCERSCQAGYALTYTQDLHFGQSAYAVSKKVTEIQKEIMTHGPVEVAFSVYEDFEHYSGGVYVHTAGASLGGHAVKMLGWGVDNGTPYWLCANSWNEDWGENGYFRIIRGVNECGIESGVVGGIPKL >CRE27351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:705206:706634:-1 gene:WBGene00052405 transcript:CRE27351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27351 MDEELYNGFYPNRQIYYSTAYPYRSPFALNRPYLEDDYTDYYRDYLTKTNSNFAIRDYERKIRVFDSSIYYIRVLSYAQIFLAGILLVTDVSKNVLLWNYIQMNGVKIEMLAHVIFPIFALIVGFICLTAILNPSKPLSKCVAILLLVIIVPNFIFPRYSVFMTTAIESVEISQVMDRSLFGRDVDMIKSETHNRMSKILMLSTNHWPLGVSDLTSLPTDFVLMMQYLLIAYSVFSLSLFIFYVITLFCFVRLLSVQ >CRE27352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:708982:711420:-1 gene:WBGene00052406 transcript:CRE27352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-add-2 description:CRE-ADD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LPX0] MSDDSGSEINVEFSAEQKHYKSAPFASSNLRIVTVSDRTCLTFPKKMSKPEFITIMQNAENNPELINDTQPVEPGFGRCEVFVMNKNIQKAKLGIDNFTPWHKGGVKRSLERQHSYFYTIKESGQFVPSHKDFATHVLRISLFVLASCHALNKKIYRMFKIDDPTTHCLPSLIVYTFEPGFERPTPNSPAVLNRKALDDILLNANISQYQSPSTSLEKLANGICSPDSFNSSPKRAKMEGDCESTFDMTNFGAGPSGMREPKLEIEGSSAGSPIREEEEIDYSINNRPSLFNVQVSTSHNTPSSSKVQQNHSKFQNYDMFSSGVISNATVPAPMFHPRITPIADLDNEDGKIDSEELIQRNKLAALYRLVDLFRWSQGIYNHITVRVSSNPDEILINAFGQLYCEISASSLIKVDLDGTVIDGGSTHFGVNQAGYVLHSAVHNARPEVKCVVHLHHPSVVAVSAQKCGLLPISQEAMIVGEVGYHEYRGILIDEAERALLVRDLGDRHVMILRNHGFVVCGESIEHALSLTYHLIIACETQVKTVPGGNTDNVHFPAQAAIKQVYKVASNGGGGVNRQNGHVNSTQWRKGELEWQAYMRQMDAQGYVTGHVYD >CRE27353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:713058:715503:-1 gene:WBGene00052407 transcript:CRE27353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pkc-1 description:Protein kinase C [Source:UniProtKB/TrEMBL;Acc:E3LPX1] MSFDCLVYDEYATSSSSNSRNGSKTKVKKKNSWGFWGKQDSTTSSSVQDIHVHNKARVFKERTNAFNDRQRRGAMRRKIHEVTGHKFMALFLRQPTFCAHCKEFIWGIGKQGYQCQICTVVVHKRCHEDVVWKCPGNKADAVEELGKEIQETGAGRFNINMPHRFSVHSYKRPTFCDHCGSMLYGLINQGLQCSTCKLNVHKRCQRNVANNCGINAKQMAAELAQLGLTGDKMSIRSKKKPSIMTDTSTDVSGSSTSDNSGYLQQISEDDSGTTSSSRGSKVPGGTLSIHDFTFMKVLGKGSFGKVMLAERKGTDEVYAIKILKKDVIVQDDDVECTMCEKRILSLAAKHPFLTALHSSFQTPDRLFFVMEYVNGGDLMFQIQRARKFDESRARFYAAEVTCALQFLHRNDVIYRDLKLDNILLDAEGHCRLADFGMCKEGINKDTLTSTFCGTPDYIAPEILQEMEYGVSVDWWALGVLMYEMMAGQPPFEADNEDDLFEAILNDDVLYPVWLSKEAVNILKAFMTKNASKRLGCVVSQGGEDAIRAHPFFREIDWDALESRQVKPPFKPKIKSKRDANNFDSDFTKEEPVLTPSDPAVVRAINQEEFRGFSFINPHFTY >CRE27040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:716159:718607:1 gene:WBGene00052408 transcript:CRE27040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27040 MLFRLIPPPHSSTFRRIFIVCVSYQTHRPVEFHYSLPSFVEMLRRFGSFVILFFCVSIFLFPDLLSPSTLTHSLKTRRSVVRQKRNSSIKCVVRPPDPWDQSILEYVLDDGSRPVQLACRDAKVQPELTFLDENGVLHLNSSAVNESGLQVECQYRTYDKLDGDDEKLIYAKWISFNKTAKIDAEFIEVSCQRIWFPRTRVYSNNHNQIKPIPRQSQKQFTPAPKASVIIIVLDSVSHSNFRRTMNKTLEALHTHYGSFIFDGMTKVGDNSFSNAVGFFAGKWWNTEFGDVHGFFDDHDLIWKRYRKEGYRTLYSEDYPGFNLFNYLSKGFKKKPVDHYFRPFWLNVYWSYVHRRSKNLCYGNNRMHNLQLNYLSQFISKYKDQPKFAVSWFTELGHDWLNQVRYGDDDIAKFLIKHVKDLEDSYLIVMSDHGHRFDSIRKTSVGRQEERLPFFSISLPKLIRGDSELMETVRNNTKVEIKLMISLISIFQKLTSFFDVYTTFRHILNYPKLPQTARGRSLLLPLQDRNCESANIPEEFCVCETEVPLNVTANARVRTMAQDFVKEINLRLEPHIQCVQLKLKNVIAANLVGEAEEEKFRFTIETSPSDAIFEALLLFDPFSYQSTVIGDINRINKYGSQSLCVNDQFLRKLCFCSTFLEVLPKE >CRE27355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:728689:736314:-1 gene:WBGene00052409 transcript:CRE27355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kup-1 description:CRE-KUP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPX4] MDDELDYGSDHSVHDDVDNNLSDDETDRQPKDRLDKIIDRKEKIATEKAFGISDTVDKHLCVTIAESGALRKELGATEQNSQLDSVLVHGVESMDEFVIQKMFADFRPEKIWKKAGVAIVQFHYRQEAAAMMLNMSKMMRRVRGRKIANEEGEVMSDDDDVEEGQIMQEKGDDVELIEGLEPNEKGIVTTEKSTDFITVDISARDVPNGKWRVLTKHVSANMFVLVRYATIDEFHDMASSNRSEVQKTGIKRGTTSFWTQETSNRGGLNVFDKEGKELEWDYEHDTRFYEDDKKEEKVEKVKLPQGVKVKGRGAVKCGFLFGEGSGSLASDDSTPQKKRKDDEKEYEKDDVVSRMGSSAHAVRPGRVERPMKDRIQFPGRNDPEDF >CRE27041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:737127:740921:1 gene:WBGene00052410 transcript:CRE27041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27041 description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3LPX5] MSTKRPGGASFQPEVKRKRESDEFEQCYVPKFEKELPATPKIDKTGWARPAVDKDLGITKSIACQVLEVETYHEDGSATTYDRTNVKLYGVTKAGNSICVIVTDYFPHFYFQAPQGFGVEHIQTAQTAICNMVASAKRRGGSGQNQLPGKVVDNLVHIELVRGENLYYFRGADTKVPFVKVTGSTEALHKARMELRNGINLMGKGPVNVGNLYESNINDIVKFMAKTNIVGCGWIELPAGKCQILSNSEKSSRCQIEVSVNVKNLIVHESEGEWADIAPIRTLSLDIECIGRRGVFPEAIKDPIIQIANLVKIEGETEPFVRNCFVLGTCAPVVGSNIIQCVNEKVLLEKWAEFVREVDPDIITGYNILNFDLPYILDRAKVLSLPQVSHLGRQKDKGSVVRDAAISSKQMGSRVNKSIDIHGRIIFDVLQVVLRDYKLRSYTLNSVSYQFLSEQKEDVEHNIIPDLQRGDEQTRRRLAQYCLKDAYLPLRLLDKLMSIINYIEMARVTGFIMPMNLYKSWLQILSMMLRRCKQNNFFLPVIEVNSGDGEGYEGATVIDPIRGFYNEPIATLDFASLYPSIMIAHNLCYTTLLKSPQGVENEDYIRTPSGQYFATKSKRHGLLPEILEDLLVARKRAKNFMKNEKDEFKRMVYNGRQLALKISANSVYGFTGAVVGKLPCLEISQSVTAFGRKMIDMTKSEVERIYKKGALEGKCPADAKVIYGDTDSVMVKFGVENVAQAMEIGLEAAKEVSKIFTPPIKLEFEKVYFPYLLINKKRYAGLYFTKPEVHDKMDCKGLETVRRDNCPLVAKVLGVCLEKLLIERDQQSALDFAKRTISDLLCNKIDISLLIISKELTKSGDKYQAKQAHVELAARMKKRDAGSAPRLGDRVPYVFVAAAKNVPAYERAEDPTFVLQNNIPLDTKHYLTNQLAKPLARIFEPILGDRAEKILVEGEHTRVRTVVQSKVGGLAAFTKKSATCLGCKSVLPKMESENAVCKHCEPKLPEIYASRLVTMHELENHFGRLWTECQNCAKTMQDKVNCSARDCPIYYMREKVRNELSETNTIMQRFGDPCFAPPTK >CRE27042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:741011:743544:1 gene:WBGene00052411 transcript:CRE27042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27042 description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:E3LPX6] MIIRKAVFFLGLVTILYASDDPTQNRKSSLHFTPKVINLYREKVRRMFYHAYDGYLNHAFPLDELKPITCVGQDTWGSFSLSLIDALDTLLVMGNTTEFRRAVALVLEKVRDDANVNVSVFETNIRVVGGLISAHMLAGRHKGTRVLRRNNSLDLLSDLVADWQGYPCDGPLLKLAVKMADRLMPAFNTETGMPYGTVNLKVWTGVGTFIIEFGTLSRLTGDDKYEKVALKALDALWSTRSPIGLVGNHINVQTGQWTATDSGIGAGVDSYFEYLAKAAYLFKRPSLMKQFHAHATAINKHVRKDDWFMWVSMAKGAVSLPIFQSLEAFWPGTLTMVGDVQDASRIMLTYSEVIRKYGFPPEFYNIHNEEPADKRAAFPLRPEMVESLMYLYRATKDETWLELGAEMVDAIESSARTKCGYATINNVKEHNIEDRMESFFLAETTKYLYLLFDTKNFIHSKGDHARILTSSKNLTCILFGGGYIFNTEAHPVDPGMLDCCSIQAKREQEVGEKEVNNGDHHEKRELTEKGGEKYYENQYGKEENLIEFEVDEKMKEEAERKRQEEEAQKKSEESRKAMDKVRQFLIKAHLKDEEKQRNGETSESAKKFMDQLLKAYPNHTSEMESLNKEALIEFEEIQHLNDIREIVRDIPIPDVFCERCCYPLHEIVDSVALRVLMADVYEYHIYPKRKVNFHHGPVCWIEETPRIEDDYKNEPPSVHTDKWKSPLYDSDMVVLSSFVGDDETEAVRKFGFNHLLAPGYRKLDRLIAGQNVATRRKKSWYYEV >CRE27043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:743818:745924:1 gene:WBGene00052412 transcript:CRE27043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dars-2 description:CRE-DARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LPX7] MIAARNLTVGCRKLLSTSAYTVRSHTCDELNASNKNEKVSVMGWLSHKRMDRFFVLRDAYGSVQAKIQENSELKQLLKELPYESVIRVDGTVVDRGENRNNKMKTGDIEINVEQLTVLNKASVNIPMLPDVKSNEKTRLKYRYIDLRSDKLQRYRLQLEDDILVSLYRALRIRSEFVHNLRKFLVEKSGFVDVDTPTLFRRTPGGATEFVVPAPPPNHGMAYSLPQSPQQFKQLLMVGGIDRYFQIARCYRDEGSKGDRQPEFTQVDVEMSFTTQEGVMQLIEDMILSAWPESLIHLKPKSPFPRISYSEAMTKYGIDKPDMRVSWQIEDVKTNIFNFLKKNDGDKIRVIVCRGAGKTPISNSTKDEWKRLIQMNENGRNFAICHPSHKTWFKSLKNEKILEEFGLTEEDALVVCWGESDGVYWTLGQLRNYVAEICGLRSKNVVTAHWVVDFPLFSFEEGHLVSTHHPFTAPVDKDKEMLYDEDNDRLLQITGQHYDLVINGVEMGGGSIRIENSEMQRHVLKILSEPTEEMEHLLNALSHGAPPHGGFALGLDRFVAMLTSDGNPLTPVRDVIAFPKTKNGKDLMSDAPTTLSKKQLERFVKQNLNELIKFIFPDTVSHCYRMIRKADSFYCSVC >CRE27356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:746254:747210:-1 gene:WBGene00052413 transcript:CRE27356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27356 MEAIAEHDFQAGPNNELSFKRGNILKVLNKDEDPHWYKAELNGKEGFIPSNFIRMRECPWYLGKITRNDAEVLLKRPNVRDGNFLVRQCESSPGEFSVSVRFQDSIQHFKVLRDQTGKYYLWTEKHNSLNDLVRYHRTASVSRTHTILLSDMTIEAKFVQALFDFNPQESEELAFKRGDVIILIDKEDANWWEGQLNNRRGIFPSNYVCQLK >CRE27044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:751795:753370:1 gene:WBGene00052414 transcript:CRE27044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27044 MGMNPVKNRFRYLVVFLGLACLTSILSNYIIINFTFICMKDDPTGIEMSDNGTTYNRYDYSVAEKSAIVWAVAVGTILGTGPINYSYVRYGARIPFFIAGIVSAFATILTPFGAYLGLPYLLALRFAQGLAYSADFAAIGIVCVRWAPLAQTGLFISILTSFSPAATVMTNAASGWLCKSSYGWQSAFYVHGAAGFLIFAAWIYFYNDDPQFSAKVSAKELTTIQKDKTQAHIERDSFVPYWEICKNRVILTVWINSFFEMTTLILLLTYSPIYFRKVLDFSVVETGMLISLSAVAHMPAKLISGWLSDRQFLSERIRMWFFNTSSVGLAGIMCVTLAYIPVTWKYTSVVMFSIVYTLMGTNCGGFYKCGTFAARQYAHFVLAMIQFMKCIALFAAPATVAIFVSDESSHSQWGHVYILNGSLMFIANILFFPIATDQPQPFTFVTRKSVEEEKKKNQLA >CRE27357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:753626:756393:-1 gene:WBGene00052415 transcript:CRE27357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clh-6 description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:E3LPY0] MTSRGGRVRTSSNSLDPNEDPVSGLERVMSNSNLEQVARLRRRQEEELIFNRQIAESDRTDSHEALSARYESLNYEISENRLYREAEKKPSHQITLWQISRNRWFVCFLIGVFTGLVAAFIDIMVHYSKDIKFNWLLKYLISKCGEEQRGTTAGCMWTVMIAWIGYNCVLVTIAAILVIFVAPIAGGSGIPQIKCYLNGIAIPEVVRLKTLVSKAIGVACSVGGGLCAGKEGPMIHSGAAVGAGISQGKSYSLGIDFGLFREFRNDRERRDFVSAGAAAGVAAAFGAPIGGVLFSLEEGASFWNQNLTWRMFFSAMISSFTVNWILSWFNGRSGWLSWTGLANFGIFENKDYNIWEIPLFLLIGVIGGCLGALFNYMNTRLTEFRKKYVSSKLGRLFECLLVAAVSGFLAFLTIFAIDDCQPIGANPTATSTQINQMWCKKGEYSAVASLFFQNPEESVKSLFHSPINSFGVTTLIIFGIEYFLLTLWTFGISVPSGVFIPALLTGAAWGRLFGILVERLFPSVTGIDPGKYALAGAAAQLGGVVRMTISLTAIIMEATKDITFGLPIMLVLMVTKWVGDMFNEGLYDSHIDLAEVPILGWNPPKMSRNILADRVMRKDVVALERRERVSRIVEILRSTLHHGFPVVDRIEESPYESLPDYGRLKGYILRSQLFKLLENRIFEEEGSSNTGLPNDFYECQDDDDQMKSLTELGLTQYDESCWLDIEPYMHPHPHRVPLNTSLPFIFRLFRGLGLRYLFVVNDDNHLRGVITRKDVARFRERRRNHEYHVDELYISES >CRE27358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:756646:758396:-1 gene:WBGene00052416 transcript:CRE27358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-53 description:CRE-PQN-53 protein [Source:UniProtKB/TrEMBL;Acc:E3LPY1] MTDKLTMSLDDIIKNNKKNAKTDKPGTSNGNKNDNNKSNNAGNNKSRPGVKLGAGRNNRRPPPAKNARVALANKVLKRSKAIAARKAAGAGAQRRVFGARGGKPVAAVGLSTVATKKLVNKLVKNALRKRTNITTTQVVRKRGGVAASTLAARRNLTIKRNVAAARGVIQPVRTVVQHVPVAPVRTIVQHVQPAPVRVVRQVISAPQMETQVIRRGPPQQQGRRNRPQPQQQQRRSVVVQQVQQGRRFRQNNNNRRNDRPTVIRRQVVQQAPRRVPQYEQVVQRVIQAPVPQQRFQQQQSPRRFQQQQQQRFQRPQQNGGNVRYVNAGPRQVQRNTVVRQVQQPVQYRPVQYVTDQVVTSRGRGFRAY >CRE27359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:759211:760941:-1 gene:WBGene00052417 transcript:CRE27359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27359 MPSVVIAGGGLVGAVNACFFGQIGWKVTVYESRPDPRGRCLEHGKGINLAFGCRAIGALELIGLKERVIEMGVPIREKLRYQGEKEGVFEKVQTLNEGDYILSINRHRLSQLLVNEAVKYNNVKFLFNCKATKFDINSETLLVETPDGLVTVDGDLILACDGAHSSIRRSLLKHDRFNFSQKYCDIGYIDLSVKIQDTCELKLGIHNSWRTDGVILVALVNRDQKLTGQITAHISSETPFLFLVSMFANFSVFEKYFSTPEDSVEFFKTRIPVVYGIMGEKHILDTFSKNKPQAIISVQCSQHSFFDKLILMGDAAHAMLPFQGQGANCGFEDCVVLQEILEDIGEDLLANAVKEYSRVRTNDTNKMNQMEWDAYVQVSTYSCSEVYAIFLNHELENHKTQWMHTMKTLLKKKLEFFFPSTFALATFSRTPYSEISKKRQIVLKGAQLLIIATVFALFSIFAFFFLNELSLKSPSSEYNL >CRE27360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:761086:762814:-1 gene:WBGene00052418 transcript:CRE27360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kmo-1 description:Kynurenine 3-monooxygenase [Source:UniProtKB/TrEMBL;Acc:E3LPY3] MPSVVIAGAGLVGALNACFFAQKGWDVSVYEFRRDIRTMKHVQGRSINLALSQRGKSALEAVGLKEYIVNQGVPLYARLIHNKDGKTYNRQPYGKPGEHIVSINRRHLNEVMITQAEKSPNVKFFFEHKVKSVDYDKKQLVVQCTSQPSRIPQFGSKSPPAEHEEFHVEADLILACDGAYSAVRRSLMTIPRFDFSQEYIEHGYVELNIMANNNEFAFEENVFHLWPRGHFTLIALANRDKTFTVTIFAPFTEFEKHMSTTEDVLSFFEENFPDAYQLLGKEHIADTFNRVKPQSLVSIKCSPHSFFDNLVLMGDAAHAMVPFYGQGMNCGFEDCLVFSETLEEQGNDIANAVQVYSERRVNDAHTINDLAMYNYEELKDLVNRNSYKLRKKFDSIMNSIFPKSWIPLYSMVTFTRIPYSEVIERRKKQDNILSSLLTTTSTFALIGAAIGIYFNRGKLGF >CRE27361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:763892:765826:-1 gene:WBGene00052419 transcript:CRE27361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27361 MPSTRYKRLQDLDDDSDIYRVPESNSVCSTLLGFLLLFGFVACMIFLTSVQSHPASISIQDSGKNSPPMKQPEEENSTRTKRNITQWTDYYFTQDESRFLNCSEMIKGNKDIIELYVNNGRMKLDKERLFDLPMDCPSIRKRVYGDMPPFRTLKRPIAFVRTIYKIYELQEALLSLSYHPDNTFCFVMDAKSTDRLKTLVRAMSNCFENVIVLGKEYSLNSGGHGQDPAHFDCLETILNRKWDHAIILQNFDLILKTPYQLSDLSERLNYTSIMGFEYGFSYRYNSKADWTPHGMKLFKNETGVPDKILHTKMAVRKSLNEVIISKVFVKSMFEKLNMNRIIKLFDDNDYYGVDEMLVQTLYENYLGLDGQMEANCTRSHNDILTRLTHWDFGGPNGFDRTCHSKWKRHGICIMGVEYLNELLKSQKVSANKVLSTFDFGTIACMREMIKRNTTGETPSTVWLTSFPQFREMQKKANGTYDRNTFDC >CRE27362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:768030:770134:-1 gene:WBGene00052420 transcript:CRE27362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27362 MKHTEHTPLFPRYAMNPNLQIFLNKNNLYHRIPTNMDNTYEDEYKEYYTPSSFPLITSICRPKNRYIFTLILGFFFIFVLFLILFRGNDEDFRIYPKMDEKRTESPVVVLEAQTLGVPKSAVKSALEKYLKSIDPQEAYPDDVNSVKPHHIRVIGAMGDSLTIGSRAQNVFDELQSQYPGNAYFTGMDAEVDGHLTIYNIFRVIAEETGNKLFGGSTGVGYGDNAGLDVAIGGMKSDNILNQATRLVQKIRENKEIDIEKDWKLVSLWIGTNDVGNLGYRTEEPIPVDEYKANIEKGLSYLKENLPRTIVTVIAMFPPQLLQEAQSILKTGKRPDSEERQRKRDVLSDGYRNASYEMQNEGKFNDKNFTVVVQPFATEYTDAYVDQQGNHNSAFYALDLFHLSKLGHAIIAKQYWQNLFEPVGSKTKRANFGDTTPEIYELDEQNSFIKTVGNSKA >CRE27045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:772413:773576:1 gene:WBGene00052421 transcript:CRE27045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27045 MYADFIAGWAAGGAGLLVGHPLDTVKARLQTMNIYKGIVDCMVKTMKQESVYGLYKGMFVPFLSTGALHSLLFAGYGAGLKFLHPGDSNVMARKDLPMSDILIASICGTLAQVGPVIPVELLKTKLQVQRENVGHFSKHSQNLYAGPMECARETVRTEGIRGLFKGGSVVFFRDNIGYLFYIPVYEGLSRYFRTHNLENTYTQLFAGGCAGVSGWISVCPLEVVKNRMQADKSHKTISPKQMALKIYREEGLRSFYRGGWAISLRGFVVNAVIFVVYENTCAFFD >CRE27046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:775079:776629:1 gene:WBGene00052422 transcript:CRE27046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gana-1 description:CRE-GANA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPY7] MRLLLPLILLVIGVYGLDNGLGRTPPMGWMSWTAFYCEIDCQKHPTGCINEQLYKDMADQLVSGGYDKLGYKSVHIDDCWSEMERDSNGVLVANKTRFPSGMKTLAKYMHDRGLKFGIYEDYGTKTCGGYPGSYKHERTDAQTFAAWDVDYLKLDGCNIDQAMMPIGYPLFEKELNATGRPIMYSCSWPAYLIDHPELVNYHLIGKYCNTWRNFDDINSSWKSIISIISYYDKNQDKHIPTHGPGRWHDPDMLVIGNKGITLDMSISQFTVWCIWSAPLIMSNDLRIIGDEFKGVLQNKEAIRINQDPLGIMGRLIKNSTDIATYVKQITPSKGDKKSFAFALLNRNEKKGYERVEILLSAIGLTDPAGYYIHDIWAHVDLGLLRPSDSIIVNIAPAGSSFFRADVASIHQPIGRRRYKLDNSNVVYEFEKSQAQFERPDLSDYLRTNRVPF >CRE27047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:776936:780620:1 gene:WBGene00052423 transcript:CRE27047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27047 MAIVSSDLPIIISFIWKILYSEGVSLHPPASEPDNEGNGDELKRYKHVQYSPFSSCYSKMSPVLPSNHRNHKNICYSFQLFLWSFRNRTHLLIFSIVAVILLFCTVYLYTKVIDIPFRNMNFTEYIIDAISDRIRFNHTHAYITSAYYFKNSKSLGKNAVAMVVVMSQKTLLNLEKYEMTLIGTNGNNSLKSQASIKIETAEGMSCEYVMVFVQAQTLSNPQKLEIESLGTRVQIPFREPRESAHSPVIICISPQFVAEKWQVFLMNIHIVRRYGGHMHIYITSMVRELFESLKVYESMGIVTLDYWIRMKFKETKTPYADPMRNVEWRNQAGAQTDCLLQYKEFQEVADFIAFFDIDDILIPRLSHNYHQEFSNHFNAYPDYHSIFYNKRDIAVERVSNVKDFSFRQMFSTMEIREEEGYGKSIVNPLKYNSTWIHHSFQLPRDKMLKIYNTEIIHVKDILENELNQSAPFRLPKMFGSDTDTLIGEMDLRSLDIDFQRVFGKNKYREAATKMEDKNFYGPIVFDCYNESFYHPYFVEKKNFKELCPNADNCKLPQRDDIKCIHSDAEYVSGPEMYPITFHCAIGSFWSENIGCYQ >CRE27048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:780982:784371:1 gene:WBGene00052425 transcript:CRE27048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-207 description:CRE-NHR-207 protein [Source:UniProtKB/TrEMBL;Acc:E3LPY9] MLEVNNYALKADGPKSEDVTTPKRDLSKNARNILPEYCEVCGNRAIGYHYDVATCNGCKAFFRRTIITGRKFLCKKGHKCLEKADPKARRLCPGCRFEKCEKVGMNPMNIQAEMTQKGELLRQQFIASREFEQSINRTPTPIEDKLSIAISKLTLIEDQLDDLFNSNIPAHYGDMRTLTEILQMNPILEVSRIPDLKFLTSQLFPAHAGFCHNIYLSMVEFTKMFDSFSKLSTDSIKKLIRHGASMCVGLMASRRSVRKFNADSLRRTDGTIAGKPDRSWNGIWVEHKKMIQKVLHSFVRNKIDDVEFMFLKAIAICNPAVPDLRKADQVVVEKERFRYATALLDYCFRTYGSTHGADRFGSILSVMTIMENQQREEKCFYVILRSYFSHVDMLLDDEKPCSSRSKILHLEKDKNVLPAKCQICRNPAVGYHYDVASCNGCKAFFRRTVITGKIIKCKYGKRCLEGDDPTDLKKRLCGDCRFTKCEEMSMNPMSIRAEITSTEGKKLKNELVKKRKLAEVGISKKIKFEDEMTVVLTRLTIMESQLVDLFNSNLPKHYVDLRPLSDILVDKPTLEASKIPHLSFFGNELFPDHGGMAHTSLLAVVEFIKMMDFYQQLDSDSLLKIVKHGTLMVRGLMNAERSIRRFQSDCIRRADGSVAGKPMRNYNGVWVEQRKIVQKILYAFLRNNIDSTEYLFVKAIVLCNPAVIGISMEAQKVVEEERQKYARSLFTYCLRQHGSRFGPDRFAALLSIMSVMENQQKEEKSFNVILRSFYSNVTVLVSPLYDEIMGS >CRE27049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:784778:786845:1 gene:WBGene00052426 transcript:CRE27049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-209 description:CRE-NHR-209 protein [Source:UniProtKB/TrEMBL;Acc:E3LPZ0] MSFLMLPLYHLNDNEDQSSSVSDVSDQNNISEDYSKKPYQLLPKNQFPEKCAVCQHAAIGYHYNVPSCNGCKTFFRRTIVNGRRFICMNKRNCLDESESLGKFTIDHINFITRIVSDESKRMCKACRFARCVEVGMDPTAIRAAVKTTEGKYLLDEVTRRQRILGEKVKSRDDFLSNIIKQISYLEKKAEELHFKAVPLGYEDLRTLSEILLFEPEFDSYKIPNLTPILNRNPKVVCMTYMHSALLASVESSKTFEFYSKISHDTKIIFVKHVALIGSIMMSASFSMHLKQSDELLLPDGTVYGNAFGCMGNELLQEYRRQIQNTLRAFLRNNVDRVEYMLLKAILMRNPAVSGISSEAREIIEHERNQYAKALLEYNILQYGVSSGPARFGALIAINPIIEMQSKMQKDVYLMIKASSNKTNCSHPPKYLFDEVMDS >CRE27050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:787524:789452:1 gene:WBGene00052427 transcript:CRE27050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-154 description:CRE-NHR-154 protein [Source:UniProtKB/TrEMBL;Acc:E3LPZ1] MTTFEEFELPSTSFNGLTFDRYDSSLKMIDYPHEQAISLPDQEIYQTQQIELSGSPPELSSPFSDEKVYKSLPRNKCPSKCLVCRNPAIGYHYDVPSCNGCKTFFRRTIITGRKFECNKNRVCMEGTEPVDMSKRLCRACRFAKCVEVGMNPMAIQAEVKSEEGKVLRNEVLNQRESLGVVSSLMVTEEDLLSRMIEKLTLVESKVEPLHRSGMPPGYRDTRKLEEILNSKPVFIISDIPNLKFCADSCPKEKHPRKRCPNYAHSSFLASIESSKMFDFSSQIDLESRILLMKHATVVCSNMMNAFFSMNEMKSDVLLHPDGTLSGPMHKRDRESNTMNDHVKALQKTLISFLSNKIDKIEYLLFKAIMLCNPAVPGLSLLDQQIIEKERNQYMKSLLNYCLLQHGKLHGPARFAAILALAPTIENQSKNQKDFHVYLKARHYQKHIEMGRSGKKCVSSMFEQVMES >CRE27052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:792009:793862:1 gene:WBGene00052428 transcript:CRE27052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-153 description:CRE-NHR-153 protein [Source:UniProtKB/TrEMBL;Acc:E3LPZ3] MDSSPSLSGRTSSEESVELCLPELDVEEEKQQNMTPDSKPKSRRNRRSKVYKTLPQNQCPSVCRVCRNPAIGYHYEVPSCNGCKTFFRRTIVTGRKFKCNKVSNCLDGSDVIDTSQRVCRACRFEKCVQAGMNPLAIQAEAKTDEGEELKKLIAKKMTNGEKFDSGTVFFNVADKLNQIIGKLTKIESKLEGIHNNGMPMGFTDTRDLSTVVSSRVIYNNIEIPSMSYAPVKVSKHTGLPKRRSRNFVHSSCLASIEYSKTFDFSSAVDLSSKILLLQHTALLCANLTNAFVTFKKLKSDTLLYPDGSIYGPPKRKNGPLIEKQRSFLQKTLVAFMSNNVDQTEYLLLKAIVLCNSAVSNLPLDDMKHVQREREVYAQCLFRYCLLQHGTLNGPARFSALLAICNVLENQQKEQKDYYLYIKAIHSQKHKDPEVLKKKCISYVYDQIMDP >CRE27053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:794898:796983:1 gene:WBGene00052429 transcript:CRE27053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-136 description:CRE-NHR-136 protein [Source:UniProtKB/TrEMBL;Acc:E3LPZ4] MLPDFISVPSTSEKCLSFEVLLPDVVGQCDGENLKSNISKIQKPVMNRGVCPSMCKVCRHPATGYHYDVPSCNGCKTFFRRSILDGRKYSCLKMKKCLSGDDPVDLARRMCRSCRFEKCVDAGMNPSAIQADVKSSDGEILKKEIMMKQRSSYEGLGSPPVLSSFEDKVNEIIDRLTAMEMKIEPLYKEGLPTGYKDSRILEDVINSPMILRYEQIPNLEYCPVIDEFTGKLKVSGAGYIHCCYLASIEYSKMFDFVHKIDLASKLILVKHTTIMCADMMTSFFCYNELKSDKLIHPNGLRCGPPRPRYGDVGAKHQISMQRTLVTVLRNELNRVEYVLLKAIVLCNPAVSGLPESVQEVIGKEREQYVRTLLTYCLLNYGSINGPSRFSALLAIMSVLESQQKNAKDFHLLAKATILKDLERYTRISKLYEQIMES >CRE27363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:797242:798872:-1 gene:WBGene00052430 transcript:CRE27363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27363 MSNGRAVNGFLLDITGVLYNSIYKSDGVAIAKSAEAVDFLYQHSKVKFLSNAKGNSNRNVARRLQRLGINVREEDVITPAPVVAQYCRENKLRPHLFVRDDVLEYFDGIDTSSPNCVVMGEVEEGFSFDRINRAFRILIDMPKPLLITMGNGKFFQRVDGPCIDVGAFAAALKFSTNCEVLNIGKPSRFYFEQGMNALGMKPEEIVMVGDDLMSDVGGAQACGMRGIQVRTGKWRPDFEKMPVTPDLTADCLYDAVKLIADNGFRL >CRE27054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:803133:805469:1 gene:WBGene00052431 transcript:CRE27054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spin-1 MVRNKVAPVEDGAKVQQFTEVQPPPPYSIDSPENRTPSNSQSTTGSTSEFQGCWTIVVVSILFIINLLNYMDRYTIAGVLDDVQKYYDISDAWAGLIQTTFMVFFIIFSPICGFLGDRYNRKWIFVVGIAIWVSAVFASTFAPADASKFWLFLLFRGIVGIGEASYAIISPTVIADMFTGVLRSRMLMVFYFAIPFGCGLGFVVGSAVDSWTGQWQWGVRVTGVLGLVCLGLIIFFVREPERGKAEREKGEIAASTEATSYLDDMKDLLSNATYVTSSLGYTATVFMVGTLAWWAPITIQYAEAARQNVTDISKGEKAQINLVFGAITCVGGVLGVAVGTVISNMWSRGVGPFKCIQTIRADALICAIGAAICIPTLVLAIQNIEDNMGFAWVMLFICIVASSFNWATNVDLLLSVVVPQRRSSASSWQILISHMFGDASGPYILGLISDAIRGNDNSAQGHYKSLVTSFWLCVGTLVLSVILFGISAITITKDRARFNEIMCKCLSSLYFSNFYMITFSVAQKGSDDSSAVSLPTITEATKTEDEDNSHVQHM >CRE27364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:806701:807411:-1 gene:WBGene00052432 transcript:CRE27364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27364 MFHSFLIYSLLVTIVFCQFAPTNETIVHHDGRLWDVVIETCDKDGAGTDAAAYLKIFYESGHDSETFHLDNPGRNDFEQGSRDHFKIFFKQSDIVNMGLFWWPGFSLSQSWCVNWVLLLNSDTEACFEGIFEKWILHYRDPPTYATRFHRLRYADCVRPGPSTAERRTYLRYDDIVRNSGGTANDKNDDDD >CRE27055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:808605:810535:1 gene:WBGene00052433 transcript:CRE27055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27055 MEKHTTAENEYRVYTERWFILLATTVLISTNIMQWVSFSAQIDQTNMFFCGPDGFHDCSAAFLSNQIYQIVAVIVSIIGMYFATVFGTLPTLRLAAVFNIVGASIRLVSSIPSLQNFFWRQFLMLLGTSIAAAAQMYFVVFSKIAESWFFPRNRASANVACSNSLELGVVLGTLLPSVIIPATYTKDIVGSWTFFYLNAIIAVVCLIPLFLLFILCRRSVPKTPPSASSQHEVNGPVTRGILKCLKDRQFLIQIVVYSINFAIANGIIYTSNAINYRGYNLKGYPIAIATLVCMVSAYFVGIIADRTRKFKTIALINAFVIAICVLALRMYLIKTYTGWWDSVIVCTLLSIIMSCCAIHTPIGNEMGVETTYPVQESISTGVLNTFGQTWLFALYFVMYALQDSNWIYNNKGKGGSWELALDFWAAISILNFFVALFFLRPRYNRLQMEEEAQRTQEAIRESVSTICKD >CRE27365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:810841:813044:-1 gene:WBGene00052434 transcript:CRE27365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27365 MGSVINKEAVAADKEPATNGGVADNSVVYKVYPARWLVLAVCCFLALSNAMQWISFSSLSEEVQMYYRGKPANQSMEVSLVTNQIFQFVAVFTGFGGMYITDNKGIKTAGLLGTSLNVIGATIRMIASLPFIESHFIRETLLHTGSFIAASAQAFFLVLPSKIAECWFPGDQRAIANVLSFVANPAGVALGTIVPSVLFKNYTHGNPNSWMFFSFTLGMEFLAFFPFILALFVRSKLPPTPPSASSAAHQNNIGFVKSILQCILNVQFFIQMTLFAFAFSLLWSLMIFLDGPLKDQGYNMAGYPTAVCAVVGTMTSLLAGHIADKTRRFKEIIRVCTVGFSCSVITLRMFLDRPAEKSLDSWDPIIVYILCGCLGAFSIPQFPIGVELGVETTFPVMEATSSGVLVIFGSLFMFIIPFVQTYTEGLKLFYQQSWKFALDVTCALSLVSVTLSLFFLKPRYRRLELENAKLALGREEPDTARTVVASDIEMR >CRE27056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:816774:820793:1 gene:WBGene00052435 transcript:CRE27056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27056 MKTNLNQNQTPMMMNQARVVSTGTTDNGTIQYDEVDETKRWFNRRFCFLSVIGLAVLLLAMVVIVIIVLLLTQLRAANANAVNVMSSSKTQMEELTRKLTQPLEQLGPTLEKLSNMPGIPGGFFPSTPQPSPGVPPISPIVTGPNTTPEPPRRSPKARYEWKGCQNVGKCELSGYNKPPLVILSLDGFAREYVDRNIVQTLNHIAECGVKADKVYPSFPSKTFPNHYSIVTGLWPESHGITDNSVFDPTISPVLESMKSTKYDKFFEGEPIWSVYKRKTGKKANCLFWVGCAYNNSGYPPDIAPAYNQELPFRNRIDMVVDWLKLPVDERPGLITAYLHEPDNAGHYQVADEDVDEKLAEIDDNLDYLMSRLSEEKLLECINFAILSDHGMQLIDKTYYFQDYLDLKGLITAKGVVGRFYINSTDISVNDVADKVRCKIESVKANTRADNPTRKHYSRDARVGEILLEGRAGITFYKSKEDDYELSGDHGYDYFNPKMHTIFFARGPSFKQNMTISPYQNVQYMNLWMNLLGIEGAVETNGTIGFFDNILTNPPRRENPTNVIGECPMIAFPSALNCSGNVEPQILNQLSAKLTSCAFSPSNIPLYSDKHCFQNYCENTVVVSRNGNNDKRRAIIEILSRDEASTPSNFTFVNAKYQNSCPDSVSPNSLTILKNSQLSSMADALLSLPNNFILKVMDPLQAKSIEYLNKYEKMYVISGTASDADHDGVADFNGSIITHIYRIFLICKSNWLSMNPPLCTDSDAMQTLSFIFPITEQSTIDCMSSDGVLLDYTATIFDVERIAGFQFGLGALTQNQNTILRRRISTELW >CRE27366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:821747:826175:-1 gene:WBGene00052436 transcript:CRE27366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27366 MSRKSSRGNSLTRMRHNSPPLQATSLLQRIVGPLKTSITKKCKTAGELIESSDHAIALFEDPHAEHITTHQQELVDAAEILDDLHKTSAVLQNLGEYIQIKFSDPEMQASPEKEEYMSDVKNHLVQVHVDDIIMLINHNADKLEIILANDDTSIENISPNLENSTLSDDRDNGEEHQSPDENSARGEDAVPTDHRDSNSSKSSTYHETSSDLPNQLPEPISNNESMLKQAETGNRRLQEEVQRLKLNNEKKLLAQMATERQRLELEKERLLRQETQMDLAEARSKKSSVMLVNNEHTARHSDPTAKSVQTQSSQNVAKNAKVQSATAPIAPTPTSQPNQSIKDKTITQANPSSQEMLLMNVMNKLSSIENTQNKTNNAIFAELAKSHAKMETLVDKKLEQRLQELIKDEEDSVNHSGETEEEKEFIREYENQGNTADEPSGKSKSKSDNRHRNHSRSRSSSRSHSRHRSHSSLLTDISLDTLVKHIKAFDGTGKLDIFEKTFANSVIKHPKLNDDMRYSILTTLVKGEAAPCIDQSTDSKLAIETTLNNLRNVYGKCNDKYNLLDRLKKLPFHQSSTKQMRLDIASHTVILGLLREKDMPENDEPAIYVIVGKLPPAMRWKIISYLSKMGPKVTQTQVLQRISQCIDNIELENTIMSQVTLTAANEVPTSYADINYAKATTSFPQKAIGQPLPNANKRPKSDAQLAYNPNAYSNQFYDATSKANLDGIYAPGYKGVDWNLLARSFPFTKDEVDRCPTYRQQQSAVFAQSATSSTTSTHANPSTDADTVVDCITWEGAQRKSTTEIPITTQKMLNRSSRFFVPREPRTLNNGISAGTGSKVPNSVPHADLPTALCNIYSQISTPIPLETALTAPTPLETAIVKTFTIILLTFSKTLNRQKWETPLMKEFAASKDPVYQAKVAWYLIIKEHYQDAEYLALKLPSSLSPYMDSDGLYRVNQQIASSVLPQKKNRPILIHHDHQSVLLLVLETLIYSCLALRGAYPRLVPDSKSEKYVLTLKIIYREVGVPSEINSDNAGTFKLDAAIINKDIDRFEYSQTLTCFLASKSITCRHITTLAVWQGDIYKRVVQLVRRQALKEYGPRVYDYHPLSYVISGAQGTIHKRPLSPFPHSPGDLTALRPFEIPNPGVTTEIPSDFDESTNPTGITEASVRGQMDRFEGNMEKAWKLWSIGYLNFSREAIHRKHRHSTLIPEVGQIVIVYINLLKRHKWPLGVVTKVNKSAGDGKIRSATVKCRDKFFERPVCQLIPLTITLLNHQYKKDMSEGITAIDTGSTKAEVTGPTEITYSKTASPTPDTLLSLDNRYAPELFPANVLPNIAERSAHHPAEKGTAADETEDHKQCNTTQTGTSTNPENLILEDIYSPEDGVYQDPQNTLPNIARDYGAENLPEGRSRDYHPRRAKATHINYVHTADIKILSRPSPPECCQLYHALHSFDNLKAL >CRE27057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:832047:834765:1 gene:WBGene00052437 transcript:CRE27057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27057 MIYILFVSTIFIEYGHSALLENSSAPEYKWAGCENLGKCKLDGFSKPPLVILSFDGFAREYLERRIVKSLEFISECGVKADRVFPSFPSKTFPNHYTMVTGLYPESHGITDNNVFDLKLSPNLTAMSSNKSEIFYNGEPIWSAYKRLTGKSAHCLFWVGCYFNNTGYKPDVSPDYNQSLPLQERIDTLISWLKLPNNERPGLITAYLHQPDGAGHKQENINEALEEVDKYLDVLLGTLHDEGLLECINLVIISDHGMQPLNKTINIDDYINTEGLVLSKGVVARIHLNRTDRTVDDVSAQLRCKIDGVKVNTVRDIPLRKHYSKSSRVGDIIIEGQPGTTFYNQTGGGDHGYDYYNENMHTIMFARGPSFRPNVTVPPYQNVQYMNLWLSLLGLEGAVENNGTIGFFDSILKNPPVRENNWNTIEECPDFGSADVLPCGKGGKEDWKKLSAHLESCVYSQSLPIHSTNYCYQSYCENSLIVSKNEKGILFHSFKSLILFSDSRKAIIEILTSSTQQKKTSSLDENFSFVNSKYSAECPEIQNNESFFTAGSETISKMAHAQYSFPDLFLKNVLFPLSEKTAEYLDRFGKLFVMSGLATDSNLDGISDSDSSGLVSAPTHFYRILITCTGGWLSTNPPLCKKYNEMKVLAFVFPILNEKTAMDCMHSDDVLLDYTATVQDVERIAGFQCQIGALSHQQNVYIRRNITTSLW >CRE27367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:834870:838060:-1 gene:WBGene00052438 transcript:CRE27367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-che-11 description:CRE-CHE-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ03] MHSLISTLDLYSRFHNEIKGKRFARSHLNSEFTWQNIHIRVFKYSNVEKKKTVEIQDVSTVAERLLVYDAELDSVSYFSFDRGMTDTQEYETQAELAHTSSGRPVTAAARKMAREQSRFQMMNHRAGALEWDENDARYLVVECIHVEPESTDQRVLTCFVTSEHGIQLQGMQQKSIHCGKLVSVSVPNFYFVRKAGWDEDDHRDERTIGKTLVAKCLREFLGNENCDESTRKAMMDFSFYLTIGSMDAAFKAIQFIKSDSVWDHMASMSIKTRRLDVAMVCLGHMKNVRGARAVRRSMQNGENDSMKCAALAIELSMLEEALIIYAQNERYDLMNKLYQSQNMWSAAFEIAETKDRIHLRNTHYNYAKFLEGKRDQASMEAAIENYEKAGVHTFEVFRMLKDYPKQIEQYVRRKREESLYSWWGAYLESVGELEGALSFYASAKDYYCIVRVKCIQGKTDEAARLAEESNDKAACYLIGRMFENDGDVVKAVKFFTKARALSSAIRLAKEHDMKDRLANLCLMAGGSELVSAARYYEDLPGYAHKAVMLYHKAGMIGRALDLAFRTEQFSALDLITKDLDAGTDPKILKRAAEFFENNQNYEKAVNFLCLAKEFGGAVQLCKSRNVRVTDKFAELMTPTKDDMPNAQERKRVLETVAELCLQQGAYSASAKKFTQAGDKLSAMRALLKSGDVQKIRFFANTARSKEIYILAANFLQTTEWQDNPQTIKDIETFYTKSQSYEHLGNFYKSVAIIEAENLRTLEKAMSALQMAAVCVQEAEQKNMASAGIDALTEDIKKYVIQLKKLMSILEVMKNDTADGMRQLTTLAEESVEDDIVPCTRLFALIIVDHAAKKNWKPAYRAITALLKKCPNVDLEIFIDSSTLDKVCDEMRMERVTKKKKEEVESDGEEVDFSHSLRRQNIS >CRE27058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:838103:838640:1 gene:WBGene00052439 transcript:CRE27058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27058 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE27059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:842696:843082:1 gene:WBGene00052440 transcript:CRE27059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27059 MNSIFLTLTTLFCLAVVIEAQTCSWTTWGEWSTCSDTCGNCGSQQRTRTCSLSSTTCTCSGDSSQQQVCAPSVCKFPRSSCCTGSPASVDGMFECA >CRE27369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:843800:847354:-1 gene:WBGene00052441 transcript:CRE27369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27369 MATVGVLYPAFTSSPLFVEDPIVILKGSIEELVLEVEETASTQQIGAPSALPESRVLVLYTGGTIGMKTTDGVYCPVPGYLPEVLRDIPPLNDRRYIEESYSTVAVRPYSLPPVRHMKKRVVYWIVEYEPLLDSCDMTFDDWIRIATDIKKAYHKYDGFVVLHGTDTLAYTASALSFMMENLGKPVIITGSQIPVAEVRSDGMENLIGALITAGNFDIPEVCVYFNNKLMRGNRTVKLDNSALEAFDSPNMTPLAQMAINIKINYDSIFRSDMVAAFTVHENLCRDVGMLRIFPSMTIESVRAFLQPPTRGVILQTFGSGNMPTRRQDIILALKEAIARGVMVVNCSQCLKGQVDVNYATGKILYDIGVIPGSDMTSEAAMAKLCYVLGKDEWDLPMKRSMLQANLRGEMTVATGGAMRELDIIPHIAKCLRVSSSQEVQLLRDIILPPMFCNAAKTNDVEILKSLKAAGVNFSATDYNLRTALHVAASNGNIESVNYLLKIGTNVHIKDMFGYNALVCAVKAKAMNCIVAIREAGGFIDASSQKIGVELCLAVYQNDIEILKCNEAAGTNMAEKDYDNRTALHVAASLNKTEIVAYLLQCGLNPYEKDDFGITPIDEARRRNLLTLVDMMEAHKPTPTENGDGVFHMD >CRE27060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:852361:853527:1 gene:WBGene00052442 transcript:CRE27060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27060 MVYIGFLHLWSPIVFGILSYFSNTIFIYIILRKTRSSFGAYKYMLMSFGIFDIMYSTVDMVVAMGSHSEGNTFCVFVSHGPFAHDIELGFKALCVRCMFFSLSYGVLEVHFIYRYIALCRPKWIFIFSEPKWITVMALGVFGQGIVWFCSVYFCMWSDDEMRSYLEVPFRRDYNADVHKIPILGSTYWGASTKLIVRTSAGIVIISIISCWTIFFCIWTGYTIFKTLNTADMSKNTKKMHRNLLKALAIQTFIPFAISYVPCVVAWSVPIIHVDTKSLNNFTAVIAVAAFPFIDPLAIMLFLPDYRNSLFRIFLPCFFNPTRTYPETTAGEVSGRTTNT >CRE27061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:857346:858606:1 gene:WBGene00052443 transcript:CRE27061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27061 MIYVNFVHHWAPIVFGILSYCCNSIFVFIVLKKTRSSFGAYKYMLMSFGIFDIMYSTVDMVVAMGSHSEGNTFCLFVSHGPFADDPEIGFAALCVRCMFFSLSYGVLEVHFIYRYVALCRPQWIPAFGDPKWITVMALGVFGQGIVWFCSVYFCMWSDDEMRSYLEVPFRRDYNADVYQVPILGSTYWGASTGLILRTSAGIVIISVISCWTIYFCIWIGWTVSSRMIHSKLSVVEMSKTTKKMHRNLLKALAIQTFIPFAISYIPCVFAWSVPIIHVDTKSWNNITAVIAVAAFPFIDPLAIILLLPDYRNAFFKIFLPCVNRPGKTNPETITSNHDSSKI >CRE27371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:858984:859978:-1 gene:WBGene00052444 transcript:CRE27371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-37 description:CRE-COL-37 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ11] MGHIQVLLFVVTSASALAALTAIFSAIHVMTDISSFQDEVLTDLSNFKQYADDAWGVMVRTGNSPGFRFEQITGRVRRQYATASGGGGGCQCAAQASGCPAGPPGAPGEDGAPGEPGTPGQDGQAGGAGVAEQHSAASACITCPAGPPGPPGPDGSAGPAGPAGNPGQDGQGGDAGAPGPAGPPGPPGPDGNPGAAGNDGAPGAPGTRSSSSPGPAGPAGPPGPPGPDGAPGGGSGPGPAGPAGPPGPAGNPGSAGSDGQPGGPGSDGAPGSDAAYCPCPPRSGAVGGGGGSDAAVGGGGGSSDGYQRKHRFSN >CRE27372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:860478:861931:-1 gene:WBGene00052445 transcript:CRE27372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27372 MSSSEHEQFLREWTFSSRLLFYSIIAVTSLTLPVLVLIMALIRPHRNSQYFPYMCGIIGGNFVLLSTVFTLVVSENVNVVYYYLPGFIICKFSAFLVNSASCFIHWTWVAMYSQRCIHVFFPLRSRRRLPRSTWNTLLIILLFSCACQIWAPITITELNFSHGNNSQGTYCAEDPYFSSSSKIIVLVESTITFFIPFMLTVLADISVLVSKVPWQTPFKLISADDLRSNNRSDNMKIVSKLNLEVRVVQMVFKNFFQFQSAEKRRINAIKRCLISATLTLVLNLPNYVLQVVDEFYSLREHREVSIRRIFLQADAAVYILYLLQFPLVPVNMYFLRQNITRSSRKLREKTTLQQEHSRSFQVLEMSNDQSRIPLSTTIPSPTSQT >CRE27373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:867519:869342:-1 gene:WBGene00052446 transcript:CRE27373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elpc-3 description:CRE-ELPC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ13] MEDKGSQRALLAQTVNEIVKLLIEAHNQKKDVNLNRLKCIVAQKNGLSFQPKLVDIIAGVPADYKDTLLPKLKAKPVRTASGIAVVAVMSKPHRCPHINFTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYLQTRGRLNQLMQLGHSVDKVHPHSISTKIFIFQVEFIVMGGTFMSLPEDYRDFFIRNLHDALSGHTSASVEEAVAYSERSKMKCIGITIETRPDYCLPRHLNDMLLYGCTRLEIGVQSTYEDVARDTNRGHTVKSVCETFHMAKDTGYKVVIHMMPDLPNVGLERDKEQFLELFESPAFRPDGLKLYPTLVIRGTGLYELWKTGRYQSYPPSVLVDLIATILSLVPPWTRVYRVQRDIPMPLVSSGVEHGNLREHAMAKMKELGLKCRDVRTREVGIQEIHNKVRPEDVELIRRDYTANGGWETFISYEDPKQDILIGLLRLRKISDKAHRTELKGNVSVVRELHVYGSVVSVADRDPKKFQHQGYGSLLMEEAERIAREEHESDKIAVISGVGTRDYYRKLGYELDGPYMSKKLVDY >CRE27374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:869686:873222:-1 gene:WBGene00052447 transcript:CRE27374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-usip-1 MRRARVHFGSIRQRRGFVYDTDSESDDYECMDDSKDEKDNTNTPVPGKRGVGEDDEEYAADYIDEYNNEFEGYLLNQDVADYAENDEDKRKFISYVNMSLDTGLTENYDCRHVVVARWTQIDQTAALINAKAIVNFFETVGYRLGQKNRIGFSLSSNGPEQYIILPMISVDEAKRICNDSETKGFGLNSTIIHINPLIGVNGIRLQDGNDFLRVSQECFNNSEMMDLFVISVRLELPERLKVIENGLNLIKRGISELVDNASCETFGSFSSKVRRTGFSDIDINVESVRKPGQQMPSPRPLKQILAYPKCITDHPLTKAELESYPQEETVKILFHCFNDNPVFKNKFRIRFVPARTPILIFNTITNDGMNVSYDISICNQRGVDKADLLDEFIIKDRSEDNKMKNAMLFIVHWARTNKLLPGAYSDEKLEPKTNLNSYIFNQLIIHFVQAAADKIFIHPQARLGARVDDYNFDTLFGDYVKFLSDFFKYYASFDFTTKAIYGKQAMQKTTLANVHGAKVTPLMMMDPMDCTHNISAKVTEEAMKQLNGLIRNTLFIVSHYFSQLFCTSAGFQLKQKQFRLNLLLETNETAVAIMKNRESKISVTAKMLAGTEKHYMSVQLPDVIETSNDLMLLLTRILRFDVSPNYQGPSVYDLYNTGGVVFCVQSKSWIGRRKKKHQMKSARSDLTPLQLDVICSDTYQYEDQMVAEIRVVMGNAPKTTWKFAYIEMMHGQVSDVRDAMHYLMDQFVFNNIEELSHNGIQSIAKIPTAESMES >CRE27062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:873682:874860:1 gene:WBGene00052448 transcript:CRE27062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-4 description:CRE-SRD-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ15] MFLLILSVLHTVLAVLGVIFNSILIFLTAFKSPKSIGTFSILLTVRGCTDALACIFDIFSQSRPIPSGLTLGIASTGICKYLNAWSCFLGYSLQLHFHCFSFHLLILCFLFRYYVLLERYPKSNQLIFLMCLFYFPSFFQALFVLIDNNKPEDVRQLVQIHHPEYELKDVIVNGHVDLRDFPCLFSYLLMLIPIIPGCVLIHVLRRKIHCKLRNAILRPEVREKHRQLAFALTVQTLIPVAFVLSTAAFLLGQLRIIESPILESSTLFFAVIVPVINPILAIALIRPYRETIMRKIGLDPKEWQFMSKDCSVAPGDSRSSMFGSFDNSSVASVTSVNSKFTITGEMNPFD >CRE27375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:875269:875979:-1 gene:WBGene00052449 transcript:CRE27375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27375 MTSSLAIFSVLALLCIVPYADSIRCYDYTSTNLVKSNMKTWVDCPADAQYCYKSYLESRDFNNDKTYVEGRACGSSNLCIQNGCVGTNTDKACCCRGELCNSSSLAKLTVSILTLLAVYFFKN >CRE27063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:881051:881781:1 gene:WBGene00052450 transcript:CRE27063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-30 description:CRE-DPY-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ17] MADSTATTEVAAVEKMDTAEAPATTPAATPAAAPATEPEATENSAVPPNVLATSAGQQTGAQTAQRNSNSAVPTRQYLDSTVVPILLQGLGALAKDRPENPIEFLANFLLREKDRYNAENQNPAGQQ >CRE27064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:881894:883577:1 gene:WBGene00052451 transcript:CRE27064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnp-1 description:CRE-RNP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ18] MPSKLFVGNLPDNVDSNKLKQVFQPFCKVTECDIVKNYAFVHIEEDDVDSIITRLTGYSIEGKVVNIKKSTSKLRPTPGMPNRCFRCQSDDHRTPQCPQDPSNSQKADGFQTIKIDLTAGPGGLKRPAVDPIVDVPKRAAFGTQAVVEPEIPRPMEPDLQALYQEYQLSRQRYVYYRDRLLKEMEAKKHGSTAGFALSTTSAIPVPVASVPPGATQLSAAPVSYQPNVPPVIPAVNAPYAAATNLRAPYAVQSAPYNSAMQSTVPYGSVNAAPQTSVMTTQQYLQQMHQQTGSPAPVPAPNRMF >CRE27376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:884094:884614:-1 gene:WBGene00052452 transcript:CRE27376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27376 MVSSESRECIVSPTNELRAAVSIIDGMNNYNHPPPRRSSFDFDDEPQMVKLDIETNKGGRPRVGSGRRELQPLSENTLNMFACIVATIIAALAIFGLIITLFVLVLK >CRE27066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:888364:893374:1 gene:WBGene00052453 transcript:CRE27066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27066 MMAVSHRNKLRVPELNPEIYGLSLDDNVKSGYSLNDLAEQLRLIYCGPTAIEFMHINNWEERQWLSQNFENIIAEELRKEELLRIGNLMLKCENFDRFLATKFPTLKRYGAEGAESMFAFFSELFEGSPEKSVEQIIIGIAHRGRLNLLTQLMEFPTVHMFRKIKGRTEFPESADASGDVLSHLVSSFDYKGAEGNVHVTMLPNPSHLEAVNPVAMGKARARAWSMNKGDYSPEERSARTGDSVLNVLIHGDGAFSGQGVVWESIALSQAPHFRLGGTVHLVTNNQIAFTAESSVGRSSTHCTDIAKAFEYPVIHVNGDHPEEVVKATRLALAYRERFRKDVFINLVCFRRWGHNELDDPTFTSPVMYKEVEARESVPRLFLDRLIEEGITSEEQVKEELQKHTEQLNNELKKVDSTAPIDVSHRGAWEGFKQAPKAIETWDTGVTTDLLRFIGAGSVKVPEDFDTHKHLYKMHIDSRLQKMQSGEGIDWATAEAMAFGSILLEGNDVRISGQDVGRGTFCHRHAMMVDQSTDQIHIPLNELVEGQKNQLEVANNLLSEEAILGFEWGFSSENPRRLCVWEAQFGDFFNGAQIIIDTFIASAESKWLTSSGLTMLLPHGFDGAGPEHSSCRMERFLQICDSREDQTPVDGENVNMRIANPTTSAQYFHLLRKQVVPNYRKPLIVVGPKILLRHPKAASRIDEFAPGTTYRNVISEDVTSAAGKIKKVIFVSGKHWIAVEKARDERGLKDSVAIVRVEMLCPFPVVDLQAVLKKYPGAQEFVWSQEEPRNAGAWSFVRPRFENALGVRLKFAGRRELAWTATAIGEQHTKEAEEVINQTFA >CRE27377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:886317:887366:-1 gene:WBGene00052454 transcript:CRE27377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lon-3 description:CRE-LON-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ21] MSVTTATSGALIFSGASLLVSLFAAASIYSQVNSIWTELDNEIDSFKLLTNDIWGDMINLGAGSASNRIRRQAYGGYGATGTSAPEPHFPQGDKGPLPVPGLPFGPNASGGNNRCQCTIENTCPPGPEGGEGEQGPDGEDGIDGVPGFDGQDCPDVEQSPSQGCFTCPQGLPGPQGPQGTPGIRGMRGARGQPGYPGRDGQPGMPGEMGPTGAPGDDGAPGASGLKGDDAEKPVGRQGPRGQPGEQGPDGAEGPAGRDAYAGPPGVEGEDGVPGYQGSAGPDGEEGPRGPAGLPGKDAEYCKCPTRDEGANNHGAWRRKHKRVY >CRE27067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:901922:914141:1 gene:WBGene00052455 transcript:CRE27067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vab-8 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3LQ23] MEACTSKSSLLLHSPLRTIPKLRLCATISSEDVAYGRCNLTDQHLQIEGKNYSKTTFDHIFRTDATQDDMYSAFLSDTINSVFAGNDATVLAMGAKANGKDERLYGNSVSRNGLVQMAITQLMSALDENKDPEERIQVRMSAIMVSQKDSSIVDLLSPFNPDPRHRVVKIVDDAKTGVFIDNESEIRVESIDQALFYLNTAVDHRLIQDEQSHRTSHVFISLSLYSYKMGDKMQGGRRRLCFLDMGIGERNSTNGGMTMPALGSILLAMVQRNKHIPSRDSSVCQLIRCALSTSRFTTFVFSFGSKNDDNENIAHLACKIARTRAKSVMGHGRKTSGTFTSGTMDSNASSSSCGTTTITPGGTPRTQRRFELESGSELSAAETVIFLGPSCSRTASPASTTIPSTPTSIRPLHRTTRNHSGPEPLSKPLSVETKSSPTHNCHDGCIHSIPPMLRGHTPFLSPHLKLYDELCSPPSSSCTSPVPPAAFGGKTAERRDDFGIMIAQPSIPLMKAKSRYNLDDGKMKQIMQWMETSEAPPILFSSPCYENSATSVEELRECVGILSHPLEDIIEQEEESMRTSTVTTGGSKKDHPLRILSKQDLNIDPEMKDKQDETELELVMAASLSSMRSHDILAKLEVMRMAQNGNIGTSQSNTDMDISEMDVYRRASHLEEYAMQRVREIEDNKMKNKKKVKLGLNCCQQQSMISSGSTVVDWSQIEKKKEREREVIEEEKRKEILRERRAKLKITELEIKRERNLIDKELDDKKGIANSIARQLQHFSLSPCRGGRTHRSVSTHRIDPPNASLPSTPTMSHKKPIGGSLAKLSASGASGSGPPSSPALGYHQSLPRHSKLPTAVNGRRASAERERKSNKSSRNSSSKERRSSGSKEELQWRSPYAQMTSPKAYGGPGTSSSGRGSSAPGSDFETPVVSTTEKSTNGTMPRSKRQSYSASSGYESANDYHIYATTNKKANILDKKRNEEKLSLVRQADEIRHRQWQLKKELEEAKRAIGQEDDAKMIANSSDQRLNGLSRTTMIDAMLQENRILEKRLVACRNHSMLVTTFI >CRE27378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:914500:922037:-1 gene:WBGene00052456 transcript:CRE27378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-myo-3 description:CRE-MYO-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ24] MSGNPDAFENDPGFPFLGMSREAKAAASARPFDSKKNCWIPDPEDGFVAAEIQSTTGDQVTVVTVKGNQITVKKDQCQEMNPPKFDKTEDMANLTFLNEASVLGNLKDRYKDLMIYTYSGLFCVVINPYKRLPIYSESVIKHFMGKRRNEMPPHLFAVSDEAYRNMVQDKENQSMLITGESGAGKTENTKKVISYFAIVGATQSASAGKAEPGKKGGTLEEQIVQTNPVLEAFGNAKTVRNNNSSRFGKFIRTHFSGSGKLAGGDIEHYLLEKSRVVRQAPGERCYHIFYQIMSGSDPSLRGKLKLNNDVKYYHFCSQAELTIEGMDDKEEMRLTQEAFDIMGFEDQETSDLYRSTAGIMHMGEMKFKQRPREEQAEPDGEEDALNAAEMLGVNAEELLKALTKPRVRVGTEWVNKGQNLEQVKWAVSGLAKAIYARMFKWIINRCNKTLDAKEIERKHFIGVLDIAGFEIFDSNSFEQLWINFVNERLQQFFNHHMFVLEQEEYKREGIAWTFIDFGLDLQACIELIEKPLGIISILDEECIVPKATDLTYAQKLLDQHLGKHPNFQKPKPPKGKQGEAHFAIVHYAGTVRYNALNFLEKNKDPLNDTAVALLKHSTDNNLMLDIWSDYQTQEEAAEAAKSGQTGGGKRGKSSSFATVSMIYRESLNNLMNMLYQTHPHFIRCIIPNEMKASGVIDSALVLNQLTCNGVLEGIRICRKGFPNRMLYPDFKHRYAILAAEAAKDSDPKKASVGILDKIANDGNLTDEEFKVGETKIFFKAGVLAKLEDLRDEILSRIITMFQSRVRSYLAKAEVRRRYEQQTGLLIVQRNVRAWCTLRTWEWFKLFGKVKPMLKAGKEQEAMGELAEKIQKLEEAVQRGEIARSQLESQVADLVEEKNALFLSLETEKANLADAEERNEKLNQLKATLESKLTDISGQLEDMQERHEDLTRAKKKTEQELSDTKKHVQDLELSLRKAEQEKQSRDHNIRSLQDEMANQDEAVAKLNKEKKHQEESNRKLNEDLQSEEDKVNHLEKIRNKLEQQMDELEETIDREKRSRSDIEKSKRKVEGDLKVAQENIDEITKQKQDVENTLKRKEEDLHHASAKLAEEQALAAKLQRQIKELQARIAELEEELESERNSRQKSDRTRNELQRELEEISERLEQQGGFSAAQIEANKKREAEIAKLRREKEEDALNHETAVSSLRKRQGDAVAELTEQLETLQKLKARGDAERSKLQRDLEEAQHATDSEVRARQEVEKVYKTIEVQFAELQTKADEQSRQLQDFAALKNRLNNENGDLNRTLEEMDNQVNSLHRLKTTLQSQLDETRRNFEEESRERQTLAATAKNLEHENEILREHLDEEAESKADLTRQISKLNAEIQQWKARFDSEGLNKLEEIEAAKKALQLKVQELSDTNEGLFAKIASQEKVRHKLMQDLDDAQSDVEKAAAQVAYYEKHRRQFEKIVEEWKKKTDDLASELDAAQRDNRQLSTDLFKAKTANDELGEYLDSTRRENKSLAQEVKDLTDQLGEGGRSVAELQKIVRKLEVEKEELQKALDEAEAALEAEEAKVLRAQIEVSQIRSEIEKRIQEKEEEFENTRRNHQRALESMQATLEAETKQKEEALRIKKKLESDINDLEIALDHANRANADAQKTIKKYMETVRELQVQIEEEQRQKDELREQFFASEKRNAILQAEKDELAQQAEAAERARRNAEADCIELREQNNDLNAHVSALTGQRRKLEGELLAAHAELEEIANELKNALEQGQKASADAARLAEELRQEQEHSMHIERIRKGLELQIKEMQIRLDDAENAALKGGKKIIAQLEARIRAIEQELDGEQRRHQDTEKNWRKAERRVKEVEFQVVEEKKNEERLTELVDKLQTKLKIFKRQVEEAEEVAASNLNKYKVLQAQFEQAEERADVSENALSKMRNRIRASASVIPPDGFPLIQSPSSNLVRSASNARFL >CRE27068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:924863:925325:1 gene:WBGene00052457 transcript:CRE27068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27068 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE27069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:925709:926187:1 gene:WBGene00052458 transcript:CRE27069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-22 description:CRE-TTR-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ26] MTSSTVLILSVLCLAHFSDALFNIIGSTQSVTVTGKLVCEGQPANNVLVKMYDDGTIYDSKLDESRTSSDGTFSVSGTYRDITTLDPKVNIYHSCNYNGPCSKKVTINIPDYAVASGSSATTNCDIGTINLANQFSGETTDCIH >CRE27379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:926541:928860:-1 gene:WBGene00052459 transcript:CRE27379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27379 MDFMISHFCDEQNHQPLYKTRKQSISDNTNGPTLLSSSLPTDECSDSDTENRLPPETELGNIEYKAKLVNPTTSRIQHLITQMKWRLREGQGEAIYEIGVEDGGAMSGLTDEELRDSLRTLKTMAQALGASMVVLTEKDVTVKGSTVRRTVVEVLVRKVPESQQFIEVRLAVVGGCDVGKSTLCGVLTQGCLDDGNGKARIGIFRYPHEVRTGKTSSVCNDVIGFDNRGKLVNYAQNSLEEMVEKSSKLVTLIDLAGDAKYQKTTIHGLTGYTPHFACLVVAADRGITWATREHLGLIAALNIPMFVLITKMDLVDRQGLKKIMKDVSILVSKAGMVAREKRVKTKRDAVKAAQELCVGNIVPLLAVSSVSGEGFRYLRTLLNCLSTAGTAESRLQLVGLPAYFTIEELYNVPHVGQVVGGMLTEGQLHEGADVLVGPMKDGSFEKVTIGSLRRSRQAVGCVNPGEAASVSLNLPDGVVLRRGMILSGVDHQPPVCFEFTANLLLLCHTTKYICEGFQATGNSVFSRHFLSEMISVFIGSVCTTATITHITDADCLRPGKWAIVRMCFAYQPEVIREGSPIILRQGKTKGMGEVLKVFPSSQ >CRE27070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:930102:934952:1 gene:WBGene00052460 transcript:CRE27070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rad-50 description:CRE-RAD-50 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ28] MMYDNRLSNKGIELMAKFLRLNIRGIRSVGDEDHHVHKIEFLSPCTLISGPNGTGKTTTIEALNFVTTGQMPTAKKQAFIHSTDVARKTRVDASVVLEFIDVKGRVCTAVRRLVATTGTKNAAQAEEHTLAIKYPDGTTHTLNSKVCDFNKAILHHLGVPKAIFKYVIFCHQEESTWPLSEPKELKKRFDEIFQLTKFVKAQERMKKIVSDFGKELKTHEVQKQLYETHVKDKLITRRTHDECIKKITEGKESSTKLKQQKTQGFKRSEELKVTIQELEDVIHSMKKNESERDNLRKQLALIRVEPYCGTEEELNQQIEELTGSDGRNYGDERAKIDKKIARNNQEKQELSRNKTELENKISSLKAEAIHCESLKRDLQLSDSALRAELGLEDSTNLENEIDHAIDSNIQGMIQKTQTVVKTFTTLQSDLRSAQETVTKIEVELKGMHNERVKLEREVEQLKLKIKQGENATSGMKDLLKKEETIRKNLEKLPDLDEEALKGCKFQRDKIQRELDALKKECSSAEKNAENDKERANLQQTISIARKKMTAFQRKHDKNWKGLLGHVPEFPWIQPLTKAFTKLREDRKTMEEDLRDVQLNMQKMETMEQQYRKQEETLTIQELRINEEIFDVSSCEPEEVSGKLEDLRKLLKKARKDLAPISAKSDIYDSYIEETKTSVCCPLCERDFSTKKEITAFSKRLQNMTLNLPAEQEELERKVAQMEKEEVSLVKAEGQAKELQKIVKDLKEVREKNIINSREMKEEKKNVETNEAQLNAINTKLQFAEELQADVGVIQQLSDQTHDNERRLEQLTSETTFSDGPSYIELREKVELKEKEYRRVVHEREELQKSTEERNRLQSKLNELGTHRVSLGEAAAQAGAFAEQLEKKKQEIEKCVNDMKQKKDDDLPDAKMTLEELSRQVAEHDSRRKKVELEVQLKKNELEQMRKQWEYLKRSVQESGKSERKLAEQEQNFRMVNERLAENQQRQKRFEDDLRSFDSFHQQELTLKDQLTRMKIERKLRDVENKLRTFEGKVDEEKIAELRKEYIQIQNDLRVIGNEEVKVYTQVQEYEKQQKIAEQKLSTKECQRAELNYRDAIIEIALVKESISDLEKYRKCLDVSLIQFHTEKMTAVNVIIDELWRKVYNSTDITTIRIRSDAASETTSKRAYDYNVMMVQESGAEVEMRGRCSAGQKMLASLLIRIALAEVFGGLCSMIALDEPTTNLDEGKVDGMANVLSDLIAARRSYDEDGNVRGRDMQMVIITHDERLVNKITLGCRPEYIYCLGKDEHGVSFLSKRYPDGSEKRVNTIHRR >CRE27071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:935050:936040:1 gene:WBGene00052461 transcript:CRE27071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27071 MSDDEDDYMSADILNGVSDQPVGIAKSRAHKRQLQIHSRFEETRETVRPKRPISHAEREKERRDEALAKPISQESKGFALMAKMGFKPGMTLGKQREDEIRITEPISVDIKGNRKGLGHEVEEVQERNDRVEAVMQKMKEQAAKHEELIDDYSKRRRQDANAKQLVKDIRACRKVCEELDHRMQKKIPDVAWFWRSYKIVQEESEAPKGYYRNRDAEKEEEYKYSNGLTAPVDPNYDVTMPTEDLEEALSSINTYLRDGHFYCIWCGANYCSPEDMAEHCPGNTRRSHHGDDDHE >CRE27072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:936468:938532:1 gene:WBGene00052462 transcript:CRE27072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lrx-1 description:CRE-LRX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ30] MAWLAQTSLLFLLSLREVLPQDLYGQGAPQQPYPYVQPSASSGSGGYVPNPQSSIHTVQQPYPNVDVVEPDVDSVDIYETEEPQFKVVNPVFPMGGSGVVEPGTLPPPVAPPQVPDKPDNSYAINYCDKREFPDDVLAQYGLERIDYFVYNTSCSHIFFQCSIGQTFLLSCTSQDQAFDKSTENCNFKNAVKFCPEYDHVMHCTIKDTCSENEFACCAMPQTCIHVSKRCDGHPDCADGEDENNCPSCARDEFACVKSEHCIPANKRCDGVADDCEDGSNLDEIGCSKNTTCIGKFVCGTSRGGVSCVDLEMHCDGKKDCLNGEDEINCKQEGKQKYLLCENQKQSVTRLQWCNGETDCADGSDEKYCY >CRE27073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:938793:939862:1 gene:WBGene00052463 transcript:CRE27073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27073 MSTVTSLTVLSLLILFPLSSLSLECINSTSYMDRVLVKPRSSECRLKNALCVKTMQISQNTVLNSTMLTCLSIGANTVMTALQKYYPFIGNVSNLSRRKHIEKGEDVLTRMTMMIRFPEDSVG >CRE27075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:942175:944029:1 gene:WBGene00052464 transcript:CRE27075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-56 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LQ33] MLWVFMCLSILCLHGSAFDILVYAPRMMQSHVYFTARIANVLAARGHKVTVIDNIFRYDVDNELSSDIDQILSVEPSPEVTKLLNTGSLPTILWNSKALPEEQRAIMEGLGHIHRLQCTHLIENSTLIPKLQEMKFDFAIHEVFDSCGIGILEVIGIQKTVIVSSTGPMDVVPITLGISDTLNTPSLLSDYGSFLSFHEKRRNLKFLSGMLNFHEMQEAVIGPLFRKYHGLKKSFGEIMRQANLLFYNIHEGSDGMRMRGRRSFDIGGIAFKDQKNLTTEYQSLLSDPRPKVLVSLGTAATSSHMPQNLKVSLLKAMKQMKYVLFIWKYEMEDEFTKSEEMTSNVIFKKFMPQTDLLASEKIDLFITHCGQNSLLEAFHAGVRVLAVPLFGDQHRNAQLAKENGLIEVLPKADIETSSKIVKAVRNSLLPNERFNQRKDSEKKMYCYRIQNNLIHVSSLLRNSKKNAENLLVSTIETIYSTDSPPNFSKFPQNYHPNTLIRVIDCAVAFLLILVVFLIAKRFKKIIS >CRE27380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:944486:944797:-1 gene:WBGene00052465 transcript:CRE27380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-1 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE27381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:945647:946117:-1 gene:WBGene00052466 transcript:CRE27381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27381 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3LQ35] MCPHRIPASPPENNSNKIGHNPIPYTCHQVPSLTMPPKPSAKGAKKAAKTVTKPKDGKKRKHARKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE27076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:946258:946641:1 gene:WBGene00052467 transcript:CRE27076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27076 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LKS0] MSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE27077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:947044:948628:1 gene:WBGene00052468 transcript:CRE27077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27077 MSKFGDVMMSSNEIEQSNDPAGQRAFLIRHLNNVTNETLKITTHNVLRPNFTIYPTLNKTSQNAADELAEVVHENVDEFLEGINVNSLIALVSTNVDPSKKIPIVNLAIQWLRKNETDGKILNYLLMSISLNFFTVAQIGRIRLAVFLYLTSPELKEFYDIHLRKCGNIFIHRKLGISGDPSDADLYNDEETQVKYCNAVNKVTDEYINPCWPQGTERQEREGPTEMKRRRQLLVEFAPVLDLKNPIYKWDFKNRVDPNIREFDSVPKNEKRSELQSNNLVSPPKENIVKGDDEKVSTPEYRHKSDNHHHLYNRYDKQRRTRKNRMNGEWKNDCFSSQQFSSVNNETENNIYEPENWDSHKMLTDELSFGDSIDFGSSQEDLDNSF >CRE27078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:949503:951253:1 gene:WBGene00052469 transcript:CRE27078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27078 MQNTQSSHTNFGPGASDLSQLMNTMCSANPKEPVFTMPISVKPKTREPDSFTDNSFFTSGLFFRIYVSNIPFSFREQDLAAMFFTYGRVLSVEIVTNDRGSKGFGFVTLDSIESCERARAALHESHVQGRIIEVRRATPTRRKLINNPQNELLPPPKLCVDLRAPHNQWRTEPNNHLPFFHNDENSSRFPAAGFMMAPFQDATRFTQSSSRSPFAELNFKQAPLRCMKHSELKLSSAGDYFCKNGEPTTENSLLMCMHGQNTSCRSKDSTNHELSDVEQNSMFPNHLHDQITALLDTSNHYGSANNSASQKRPPSVTSSGSGMRSSESEPASDEELQWTPNCSPDLLASLYEGSTSFHGKLASPSRGPSAH >CRE27080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:952194:953624:1 gene:WBGene00052470 transcript:CRE27080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27080 MSEHIQMKIVADLKFPSIVFSPIATSSPKLTRMRAPIRDQSWTSSSDESVGNVTSRLLSGINESHMDTSKMGDVSASSSCSMYTALEFVDPTAFKKKTMNEVITREELRSAISDFKMKEDNYSKQEADLYATVVTERLLWTACRTWSGMIPVEEKDDLNKYIRYACEDFYMRCTGQLCTDELQHINLNGKYDWQSVALYCYEKGMPPQIIDRIFAKNLVRRTTGNYLDNMEMTWLIFAMIGTDEMQSIEYWFRVLDVQSVGVLSFTDLEFFYEQITQYLAKDNVTSLPFPHVIAQFIDILGTSEWTLRSFKKNIKLVFRVINGFVNAIRFLEQEINEKTNGERVDDEEFGQGHRTRWQRLIDHEYDDFYHQNNSSSSPESSSITN >CRE27382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:953940:954263:-1 gene:WBGene00052471 transcript:CRE27382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ssp-35 MINVDPPAGNFPASGGNSTHNIVSESDSRLAFKVKSSNNEHYRVRPVYGFIDAKGKAKLDVNRLPGPPKEDKIVIQYAEVPAEETDPQAPFKAGAQQGEVIVKITAA >CRE27081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:954564:955708:1 gene:WBGene00052472 transcript:CRE27081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27081 MTLTIVTFLMILGRCTILIYLVFCVPILTTILYFIFKNDTSASSALFFKNFNFGGHRGSPERAPENSIASFMKAKEDGCDLVEFDIHISEDGVALLMHDDTTERTGNADLKVEKTSWPVLEGIDLKPVNNVSAKIPKLHETIDWCVENGMKMLFDMKNDNLTLIEHIADEIKKRNIYDKVLVSSFNPVVPWRLKKIDPRIITGITLDRSYYSYSDDHRKLPFTTNMFAHFWNEILDEINMIIQPLYILPKFLGVDVVMISFRLISENAVRESNEKGFSVISWTVNDPDYMKILAKLNVPFLTDISSQVDRKIFKSK >CRE27384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:957679:959207:-1 gene:WBGene00052473 transcript:CRE27384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27384 MKSNGAAKKDEKVAVPGRSKSADSKWKERMNRGIGNMSKSLPSFNKNATNSDKLSDTKVVVIEDESKKKKKKKKKDKNLDVEELPQQEDPEVLAAKEKEKQAKIMIANQDARNKTMWRHMAWLVILFAFSLFGGVIFSAIEGGYETTQLIKKFEHEKDVYERRKIYQEQLFQRLREIEQDNTNPRGRNSSREHFKLEQSRHALEWYEQKMGVTIYEPQMRETKWNLWGGVYYSASLYTTIGYGNFHPLTKSGRIISMMYACVGIPLVFTILLDWGFLYFTWIEYGWNRFNENFCQKSLQRDMQRRIRRERIRRVGSELSLSSTAPLLHRTTTQLSNPVQHVRLNSEIFQVELSTFRLNQIIR >CRE27082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:957093:957494:1 gene:WBGene00052474 transcript:CRE27082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27082 MRYSHLNHFQMFRFLIALALFSTVSAVTLAICQNYCASVNGGASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGACMTGNGYRCCLPTTPAKTQQFKVSGCNKLYNNLV >CRE27385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:959864:961475:-1 gene:WBGene00052475 transcript:CRE27385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsfm-1 description:Elongation factor Ts, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3LQ46] MFARSTFIRLFSTTGRSLAEAEKKVSKEALMTLRKRTGYSYVNCRKALVKFGENDMDNAVKWLKEAAAKEGWAKAAKLGTRVTSNGLVSVATDKSSAAVVELSCETDFVARSGAFKDLLTNISTSALTKAKSQSSSSGSKLQEFSFELGDLTDKDGKNMREVLSLAIGKLGENMAVKRVKAYQAPTGTTLFGASHPKDGTDEVPMGRFISLIALNQPTPGTISSQQLAGQICQHIIGMSPETLGEATETVKSQDGLSSQEGHDPDADPVVVTNIDDSETALLRQAFMLNPSQSVHEYLKSHNANVLDFVRVELGSEQ >CRE27083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:961361:964902:1 gene:WBGene00052476 transcript:CRE27083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-capg-2 description:CRE-CAPG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ47] MTVISGFKMPKSNPVTPNKQSPEDWNDLLNEFVQKTGRGLQKTFIKKMWAVHEDLLANAKSSPLRMMFFSPALTICPEGVKLVAEVFVKIGISNGLTLLEKNITKFDITDAECQRYGEVFKTAWLDAYQDASFDLTEEIETDVIRIICQYALYGQKPFAAKFRKVLSAFVSGKKKDVNFAEMITRILNGCLYRAMDATNYIVSSSAVELFFMFFPLISQEELEERENLMANQLRYMSDLLRSDIVSVRTQAASSVLKALAEYWDIIPKDMAKELLTFIIDVLSRDSVVGVRVAVYDGLNEMAFIPSCMNVFEHGLKCAVQKGVLDASERVRLAAFQAMARMKQHKFISPFDIIKRDDSIAVFELETVEECRRQLVPVMHIIMPISENIDEEQYRLRVNHMLKKSRIALLTYFRLLGPMKIIDPKQAGKLIIMFNMWAYRYLRRKGDPLPETSEGLKKARSYLECSLIIYMSCKKMLLFDCNENIKSKCDQQFGKIVKLIFEQYGNTPILGTATAISSVIPKESLKSVATDVLARLADDEVPEEALEPFLESAIHFNPDAVFDSLSNGLDVFYDLYGDQSKQTKKKKRNIGIPDELLTSTLKRLKYTIQSHSTSSLLATNDIFKPKILGLMKVGDASIRRKTKHFFQKVDLVREAIEVRLSKKENEDCALRDETLILALEFRFILPLYAVSENQEGEKERMKLIESIKALMDWFETNIAKKMCDFGDHNQDFFIKLSTSFLNCINVALSAYDFKTRPLEYEDEEDEENHSPTRKDKEDEETVAVKIARIVRSFVNSGTPIDVYVPTLRVAATLCDDSYSEAHNSIAQILRFTNKWVHSKCELETFSPEQEKETVEAIRHLYKRVIETGAWDEKCSNSMINNSIIFSFHSSSEAEKEFEDPRHEDFKPSQFISMVIIKFFLKDKSISDEFFNTLSCHYINENSVYFNCEDEDDATFFKLSRLAALSHFLRVLERYSKYHTSLIKNEIEHITTRVLDIFASASDLNTVPHHVMNSLSILLDVGLPDGF >CRE27386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:965019:965749:-1 gene:WBGene00052477 transcript:CRE27386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bnc-1 MDVRFPPYFFSMPHLIYNSWLSLNTLHNAPKLAQLQLQSIKPAESSKTAKKETTKEEVKIATETVPKVDEDSKNRDEKSDEILEESENKVDKQSEDNDSTALLKLKRRVACDICSKSFCDKGALKIHTSAVHLREMHTCTVTGCGKQFSSRRSRNRHSSNNNPKLHMPESLSLSGGGLSAKPNLDAFWPSRFLLTKEHPLDLSLNVL >CRE27387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:967007:967368:-1 gene:WBGene00052478 transcript:CRE27387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27387 MIFRFLIALALFSTVSAVTLAICQNYCASVNGGASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGACMTGNGYRCCLPTTPAKTQQFKVSGCNKLYNNLV >CRE27084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:968550:968911:1 gene:WBGene00052479 transcript:CRE27084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27084 MIFRFLIALALFSTVSAVTLAICQNYCASVNGGASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGACMTGNGYRCCLPTTPAKTQQFKVSGCNKLYNNLV >CRE27085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:969244:970941:1 gene:WBGene00052480 transcript:CRE27085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27085 MKAVLFLMLFGYVGGQITNNVSVAIIGAGFAGLRAAQRFEELGIKYTVFEGSNRVGGRVYPFSYWNGYLQHGAEYVNGEDNEIYEIVKKNNLLSPIEIDEEGYETVVYGREVNNSTNEKLERDGANKKIFNKTVSERIDFYFDSFLAAQKLTQSEQIVMQNMNKLFKNQYQMEWSASANDLCLKNFDTWDSGVDSEATLNQYGFKSILDVLTSKVPVNKIKLSSKVVNIDYTGNIIKILLSNGQSYLFDSVIVTSSLGYLKQYKNSLFTPSLPATKSAAIERFGFGNNMKIFLEYSRPWWPRGMSTVQISGRVGINGNGNSLEDELMVFQPSLWASNILVVWIAGNGPRKVSKLTDSQLITIINNHLTTNLKDVYTVSNIQRIYRHNWITDEFSLGSYSYISNKTCSMNTDDLKLLRDPILVNRKPVICFAGEHTDAEMYQTVVGAARSGLREADRIFTYYYSL >CRE27388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:971718:972230:-1 gene:WBGene00052481 transcript:CRE27388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27388 MFLSSYSVQFSSLTPTKLFPSSRHPISTIMKLISTLLKKFKKSSSMTSSQKLGSTSSESSGNIWSHSNPSTYDEKLKKYEIQQKKAEEVKEIRQERRTLRRQNRFLGFQNDVLTLQNQRLASEKHNLRVEKQEIAEKKSELARRLRKQRRVSEYYRRKLAEIQKLEKITI >CRE27086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:973280:973792:1 gene:WBGene00052482 transcript:CRE27086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27086 MFLSSYSVQFSSLTPTKSFPFSRHPTSTIMKLISTLLKKFKKSSSMTSSQKLGSTSSESSGNIWSHSNLSTYDEKLKKYEIQQKKAEEVKEIRQERRTLRRQNRFLGFQNDVLTLQNQRLASEKHNLRVEKQEIAEKKSELARRLRKQRRVSEYYRRKLAEIQKLEKITI >CRE27389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:973990:977996:-1 gene:WBGene00052483 transcript:CRE27389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rskd-1 description:CRE-RSKD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ54] MSSLYPDESYCTSDLGRILYQLKTDWSCNSTVTSITEEKHLAGSHTVYTLEIECTPVTTQLANDFSHRIFVLNTRFKEMAKLHAAISKLHKQLYLRGTFPVFPPAKFIGSADPSVIQERRHGIEACLNFIFDSEVLRKSRLLHEFVEKAKEKTYTAHEVTGNEVFYDNTSSILDQPNNNSSDSDSPIRVSSLEPTHEQAGDDFQFPDVAIASEVGGGEQRPPRKSSMRRLFPRLRGSHHQNIEIEEPPADYLVTAGQLVATAQRAEEEHAYELAFQCYKSAASSLIQGVQIESDMTKRNAVRRKTAKYLVKAEKLYRTYLSLDGSVFNFEGLLTAAMQDPNILAFQCSNKSMKNYRFIGVLPELDAERKVILVEETVGDPRKKYVMKLVEKGVPSAESSIFLPTNIPHMAQLVQFFETETHIILLLEFVEPGRLWSFLRRMLNEAESRHILWLAEMKADVRDGETTSTIPVRRTKSEGNYRGRRLLFTVGVDFERVVEMRDESFSTEKPSEEDQIICTVGEDPTGRSNAPTGDFTLVGHSKEAVESQIFQVEEESPAAVKFDFSSSKSHSPRGNRISSDDTNQNSVPSTSSKKPESKTVSDVNSKENFLKNLSKALQTVQKHLSSRRKIWNHLDLPEPLVAHWCAQIVSFFFVLHAEHQEFVGDLNADDILIDADGNLLMTYIGRWYDTNRRKRLSDGYSAPESAQYGWVPTTESDAWTLGALMFEMLCGRSLANAAPHGVLRNMELPIPERSVVSFVAKDLLNTLLVPTPALRPSFDEIRAHPFFRHIDWLLYDNPTSSSTPAPATRIQSPPTPPPTEITIKNQEDTVEEVIRRNSEEPSTSRVSPASRRILF >CRE27390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:978360:980845:-1 gene:WBGene00052484 transcript:CRE27390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srpa-68 description:Signal recognition particle subunit SRP68 [Source:UniProtKB/TrEMBL;Acc:E3LQ55] MTNDVEMKTEAEELPAFPTVHVLQVVKDAQQQHGLRHGDYARYRKYCAAKLERMRKALKFTNTHNCQKRRPAKFVKKWLTVESIQNVQYLNFGIFESERRYAEAMIDKITLEDNPEKSRKRFSMINSLRKAVLHATNLEKIVQESERFDAPTKLEAQAYAAWMRGMCAFESRNWQKASESLKLAKTVYEKLAEATNNTTLSSLFKGRCREIQPQLRLCEFNIAESPGAVGTMTELMEIRMQMGEGGDSSVDKLISEMRASATSAEFVTIEWGGMKSTVDDEKAKQVIQEWKQTEVELAQCQTPKERMALFEKATADTRDAIDRISDVIRRKSSENADTTVLQSIKAYLEFLKMNGTASRYLAIIENTKSEKKSKPQDLLRLYDSVIEIYKEVAETPGAEYDKNLLQAFEVKVEYYRAFRCFYMAASYSALHKYSEAAALFDRSLSRIQDAEGKLKKLKSNSYITNESQSSLNELKSEVDSAKISVRAARLAVAAGDIKTDTEVAKTIDERPLLETVNEWRSWNVRESLRDKKTIPVANLPPAFIPMPNKPIFFDLANFHLSMPNVEDRLEKLQKDRDAHSPKKTPKGGSAAPSKSSDPKEGDKQQGISGMVSGWFWGKK >CRE27087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:981721:981934:1 gene:WBGene00052485 transcript:CRE27087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27087 MTRVAMKADKMDHHPEWFNVYNKVNVTLSTHDCGGLSQNDVQLAIFMEYVVNN >CRE27391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:982193:983531:-1 gene:WBGene00052486 transcript:CRE27391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27391 MAKFGDRMKNGIEQSKNPTYQRKLLIRDLHDATKASFNITTHDVLRPTYTIYPNIDTTARNTAEKLAEIVHENETELLENIQLNSLIALVSINVDYSKKIQILNFTIKWLLAHEIDETVLNYLLMGISGNLFTVAQIGRIRLAILLYITSPDLYNFFDIHVRECGNVFIHRKLGIPGDPSDTDLYYDEKTQVMYCNIVNKVTDNYYNQSWPEGNRRKEREGPTARKRRRQLRVEFVTVQDLKNPLFKWDFEDRAVLIDKYDKHSADLNLLSHKDVKESKFALAYKAAQSAISHSNHSPHNNEQGSSLKEEDVLFHTKCSLGAPKDVLDEEKQEDSGARDEEGGRFDKKPK >CRE27392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:984249:984866:-1 gene:WBGene00052487 transcript:CRE27392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-21 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LQ58] MGLDDKCKVLGCVRSYLNCSPVGRRGFCGDTSSLLRHSEYSAYSSSSQSPPTHRTDTQIGSGLLLYLTDSTSTNSSSTMSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE05743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:903593:904084:-1 gene:WBGene00052488 transcript:CRE05743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05743 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3LZV3] MRRRMTVCPHRSPAPPPENNSNKNGHNPIPYTCHQVQSLITMPPKPSAKGAKKAAKTVTKPKDGKKRKHARKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE27393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:985939:986349:-1 gene:WBGene00052489 transcript:CRE27393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27393 description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:E3LKS1] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE27088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:986607:986918:1 gene:WBGene00052490 transcript:CRE27088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27088 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE27394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:992645:992956:-1 gene:WBGene00052491 transcript:CRE27394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27394 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE27089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:993214:993624:1 gene:WBGene00052492 transcript:CRE27089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27089 description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:E3LKS1] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE27395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:994322:994792:-1 gene:WBGene00052493 transcript:CRE27395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27395 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3LQ35] MCPHRIPASPPENNSNKIGHNPIPYTCHQVPSLTMPPKPSAKGAKKAAKTVTKPKDGKKRKHARKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE27091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:996629:997868:1 gene:WBGene00052494 transcript:CRE27091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-16 description:CRE-SRH-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ65] MQYTGALILRFLKMTETLILVFRTVMHSLHIIDVPLYIVVIIVLFKIDSNVFQTNVITEFHNCFLMLPVVHLPYPLLRATGYLADWGFSGLFQFYFLSALIIQTGCSVMEMFYFRYRASVFDYNEKRFTKFVKSFTLAFRFLTILFPTITFGTFFYSIKLQEEYKKELAMKDPSIPEITCYSSVLVAPFSDSVMISTMVMWLGCIVCTFSVIPSTTIYLNSHLRNTKNMSPGVVRMQKMLLSSLIVQTFVHGIMLGVPNIMFVYTIYFGSDLEVAAYVAFICLTFHGFLSTIAMIIFTKPIQNGILEVFRCSVAKFLSFHRSGS >CRE27092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1006625:1011133:1 gene:WBGene00052495 transcript:CRE27092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frm-7 description:CRE-FRM-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ66] MDSPGSARGDVSSRLPVHLQQPSSSSFSDPEVFTSTHNIRPGQTFVSVQTLTKESFHVAVSSKTKVHDVLWKCGERLSLSEDKLFGLAFRQPTEGIGGDQPRHEYYFLDPAAKIMKYAHKQPRFANWHSKSSENRPILVLYFRVRVYIDQVGLLTCPKAREHYYLQLKDNFLDHWAGRNSVSEERCWYMAALALITDKGTDYLGYFRAEQYFPLWVINERGLEYIRNNLPAACEDIINEGRYKAMEKFMIEAGRSPFALNCHLYGLRRHKMDNTDNAVLGINAKGIEMCDIGEDGDRIPLRSLTWSRVAKLSFNRKKMTIIGSDGTKMSLYAQTEHKARYLLELCKAVHQTLLVMSHLHATMAPPPKPYLEMSVDGISTSSTSGIGSGDHDKDSDSLSSACGDRCHGSMSSKLRLRIKMDSNLDVKIEKMELDHIRQERAASQSSKASDESERSRLELRYQTYHPAHESSGATSSTYTVTTPQDTTTRPSSMDNASQTIESGSTRSIVDVNFNNKNRERHESSTSTSGTHVAFSSKDVTIPTESTVVSSSIAMNQPLDTDTTQSINDRLLSMSASDLRAMAERSYSPPAQWNTNAPSTGSSSPPEMMPRDKMTRSETRIDSQYHEMWLNHQVEGFNGAIGGTGGRFVKKNSAGNRNANAINRVHSMPSHYHVNGYYRNNNQMLSTYEDAAMHHGPTSLTHAHSLHVPGTVARPRNPPPYEFPAPATFAVGPKQSSSPPPQAMTQTQNQNHTTSSPVPTGPLPAEIAGDRQASFPPASVTPTQFLEETGSFSTEKMQNYPLILQLLKEHRQSQGQLNNIPKTRNGMINGFYVNSPAGILSHNRRPSSCIDLSSLDGGVINGGSCKYCCFSETFTFQFNFSVVLPTHRGDYFLDSATLSQNLHLPSFVPMAASTTTTSSTTMTSSVISTQSHQPQPHPSQQLHHQQSVKSNNNFARIEQHNGNLILPPPPPYQQPQQLVN >CRE27396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1011638:1012671:-1 gene:WBGene00052497 transcript:CRE27396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-30 MPLIMYKFLLVTSIFLIVSGLILTAFSLFSPLWEVVDFPRSHLSHHHGLWWDCIVHHDTLIPLHEVQAELRGDRCDSKMDSSVQATLRVALEKGDAEAKELLLHRFLRKLQSIPSEIYHRSISAHHKGVIFFAVFTFVFGLISILIGSCSPCFPPNSLLYVVGVFMTGACSLLADVIYIFAFNQKPVFVKDQTDPHQDVILMRRERGSVGPIYKRLGIATYIHMFGSMLLIAAFIFSIFCAYFLITSKHAHDVCCTSRKEYRDQNKWRNSGLILKTGRVPHQSHRPFVVIDDDSSI >CRE27397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1016926:1018182:-1 gene:WBGene00052498 transcript:CRE27397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27397 MVEKYTSVLDDVCDPLTVRKFTEMSSLSRNEAISVLEKYIETKGDPVWALYEVTGTVDSSEYSFLPAGEKMIKHIVVPGSALEETKKTFSEVISTCLHSVQRTNPNNTRVYGRSWANNDDLKGKTLPSQEIRQRKSVSPAKDMKKEKSAEPEQKPSSSSASKPVAEAKKSTIVPLKKQANISSMFAKKPEKKTEKAEKSVSPPPKEEEKKTRKRPKIEVDEPEEPEEELEIGNKQDKIPVKKVHDSDEFPSSREQSVEKEKSPPAKRQKKGVNDENKKAKKRLIVPDSDDEEVQEPMDVEEKKVKIDEKPKKPEDRRRTTRIEKVVETFVDEDGYLVSKEVQKTVECSPQKSPVKSKAKFISAPVPGAAKVPKKNSTITSFFKKA >CRE27398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1018384:1019032:-1 gene:WBGene00052499 transcript:CRE27398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27398 MAAASVRGFFQRTGTSIKEYFQRMGTDYATVARETVAGCKERPLKAGVVFSGLGFLTYAYRTNPTELEMLDYLCERRQQLVLVPSSEHNPATTKELTSRDFLLSQNRLHHYNLWFFSLSVASDYNDKLRIYSSQDSNLKDWPWTELWRNIVDVGALGKWHRMDKAFIDYDINTNEISLLPDDQK >CRE27093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1019528:1020652:1 gene:WBGene00052500 transcript:CRE27093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27093 MSAPLKHPNTVVLQPPTVTISPLIRFGRYAALSLGVVYGFFRLRQIREYHADIREWEHEKAVVAAEEAAKKKKWLAKDEMRYLMQVVNIPFEEGVKQFGVADLYKDD >CRE27399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1021049:1029933:-1 gene:WBGene00052501 transcript:CRE27399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-13 description:CRE-TWK-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ71] MFARAKFAHHIYRRRRRSRRTATDVVVVAENMLNPMYMKHRASTPNPQVSYQPSTSSFQRHHRPSSPGISLGPPSSPPSLYNLQQIASATGVDQQNNDIEELSAERLNLYLDKQEGEIENLDEEIDEPTEKKWWLRTKRWFRLALPHFGLVLLSIGYTLIGALCFHHYEKPYEQQLRNETSRRIGELKNRVMDQLWRMSNNGTAYSTWRQTANDGMDELIRDVFWDYTRNYMTPDDVIYGDGPIKWSFMSSIFFSWTAITTIGYGHIVPRTDEGRVAIIFYALLGIPLILVTIADIGRFLATYIIKLHHGYMAVMSFITNSCLKCIKWACCWIRLPRRHIPMPTLELLQRTQKLYPNNNNPTVAATAASAGGGTGRKKKQQRDNVSDAGTFDNISEINDGSEGGENENEGEEEEQVQFDPSNHEKRVSVLFILLIMLGYVAGGAYMVRWWEEWTFFEAFYFCFVTVTTIGFGDIVPANVDWLPATLAYIVFGLIITTMCIDLVGSEYIRDIHFYGRSLGRQFMTIGGKVVHLGEVFGYVAFLQKNYGLTAEQLTKLSQLPEEYLLDCLINGRQPDLNWIGGRPYVPPDIYYFKWIEHPRTLSFASDRVLQSMESLDLNTSRYRIVFAMSPPPVFFRCSTARTLTPREYYQKILFQYCKQLQPEEPIADIDN >CRE27401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1038461:1039431:-1 gene:WBGene00052502 transcript:CRE27401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tomm-20 description:CRE-TOMM-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ73] MTDTIFGLNKSNVVLAAGVAGAAFLGYCIYFDHKRINAPDYKDKIRQKRRTQAGAGGMAVRRPVAGGAEVLPDVSDPSQMQRFFLQEVQLGEELMAAGNVEEGAVHIANAVMLCGESQQLLSIFQQTLSEEQFRAVVQQLPSTRERLADLFGSRADEAENEPPMVQYLGDGPPPAQIQELIDDTDDLE >CRE27402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1041344:1042242:-1 gene:WBGene00052503 transcript:CRE27402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snb-2 description:CRE-SNB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ75] MSGPDSKIISIEHLSPYLQTGPKRADTXXXXFNASEARVSPVDPLNNVNKVMEREAQLNSLDHRADALQNGASQFQQSSRTLRQKYWWQNMRMMILLGLIAFLIIGILIIWLTN >CRE27095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1042435:1046681:1 gene:WBGene00052504 transcript:CRE27095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27095 MAMYLQLLFVNILYFQTYSHHIDDIVDLGLSHLHAFRVISSSCRNITGSRLNISFSLIVRRHFEIFSAEISFFFRRNEFSTMMRSNNDNEGEENGNNFLMVPVNRPLHLDTTLLPSRPTSPYQMDHSPALSQKIKVPQKQYSSQEDPLRRMATPVFDKHEQESRKKGPSSAATVLALSGLFVVGTTLILSGIIVLIVQQEPVFVVTGCLFLGVGVAMLLVCVALQRKNLVKFVLDINRDLYFLNMSKSYMFKAMFEINSELPLSHAD >CRE27096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1049450:1050795:1 gene:WBGene00052505 transcript:CRE27096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqt-3 description:CRE-SQT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ77] MESDGRLKAYKFVAYAAVGFSIAAVASVLLTLPMVYSYVSHVRQQMHHEINFCKGSAKDIFAEVNYMKANAGPVPPRNRTTRQAYGGPEVNPAPNLQCEGCCLPGPPGPAGQPGKPGKPGRPGAPGTPGTPGKPPVAPCEPTTPPPCKPCPQGPPGPPGPPGAPGDPGEAGTPGRPGTDAAPGSPGPRGPPGPPGEAGAPGPAGEPGTPAISEPLTPGAPGEPGDAGPPGPPGPPGAPGNDGPPGPPGPKGAPGPDGPPGVDGQSGPPGPPGPAGTPGEKGICPKYCALDGGVFFEDGTRR >CRE27097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1055172:1059168:1 gene:WBGene00052508 transcript:CRE27097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27097 MKFLFLFLMFTVTTTVTSQFEPFSVISSSWSMWSPWSFCSNNVMIRVRACSTVRGYKCIGHNKEFQSCNSPPKKNNLDYEDPEAADREMAMKQLYQDYEPETPDEAKYAQTRGNRNFHMISPTTAMPPAPRAPTSSPTVSASTSDNFSVMNRGGTGVGVMSDEEEEYFEETVRMAPQSPKPTHPSVPTTFEFEKYPKSTEEPTRTPSTTTPTTTTTSTTTTTTTTTTTTTEAPTTTTEIETTTEFTEPIPQTVTPHYPTAKIVDHEAIMEPEDVNFRGKYTLEEPLPEVSVPTFAAPPGTMATEFEPEQEQETRTESPSTQPPPSFPIDAEREEPTDGSTHSVGSYSIGKEPMWEEEPVQLEPSTRAPSRSIPTFAMSPSTRRLGEVRRAPSTVNTHIEMPMSMLPFIPTVAEKPTATTPIEETTTERPAPRYNHHPKIALVTSQQNMQHFPKLMNEQRASPRPYLRTVPSPSEFEQQSTTKKAKMVTVEITNKALKKKRRNRRLKKLNRLGKIRTVAVLPTEYTIPATEPMQKPMPTPSKFEFQEEKFIAPKPTEPVTHFTEPHPTWASDKDRRVYEENRQLEARIAALRNKVEMNRKILATMKIPDGVETTVGTTTEAPRKPGQEVMLEGDTARALSWMINDMERLVDDKAKTEVTDQLPVEKIAEEPVGFRLGDSETDESDGTTTTVSPFPFPIPEDGFALIRSKRSTKSSPYKNGKKILWTSWSEWTKCQCGKQSRKRKCLKYLGGSKYPYDDHKFIPIDQSTNEIEFGEPAEFPETIEEPAPVESEERDDWNLNRVKRSLKCVPPQIETRSCYSPIC >CRE27404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1061729:1065628:-1 gene:WBGene00052510 transcript:CRE27404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-13 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3LNF0] MVYIILILEMIFLLILDMATSVGWQTSASAIEIAMDRINSERLIDNIDINFIYVFDDCSESKAAGLSSKLMMDANVSAIIGPTCNAAGLAVVNLAGYYNTPVLTWGLTISSNFIDTARYPTTVTLVPVAKSIAAAIHEVMIQFEWTEFVYVFVEDEKCGYFRDDLEQITADSNYTSLSRTIQIYDQSYTNLVRQLEKLKTVSRIFTVCLPEAGDIKRRFMLAAYDLQMTTEEYVYLFAGPKSTAYQQTSSTGDVVGIWVDWSDEPDGRDEEAKLAFMRTMVIVATPVQGDKYAAFKKDVIERMKLPPYNCIEECSDKKYQEAAEYADQLHDTVYLYALILNKTIEEQGIEQIANGSNIVTRGAGIEFEGMSGVVRMNGIGYRLPNMNLANLDSNATQRTVAFMDIDASSVNWTYAIIDQRLIWDAYNGHVPQTRPECGYTGKSCPVNFFVDYLYIVVIVAIIIVLCCAAALIAAYMVIKARRDEELRLDDQWIVPHGMLQTIMKGRKESHHSSRSLQSNSTTTTGTTGISSRSVFFPETESQGFFIYMNEPVLARKYQLRVPIFKQDRAELRMLRSIEHDNVNRFIGLSIDGPVYMSFWRYCSRGSIKDVIAKSSINMDGFFIYCLMKDIAAGLQYIHHSPIKQHGSLTSECCYINDRWQVKIGAYGLSFMQGVEKRSEDAMLHTAPEVLREALVSGSQAGDIYSFAIVCSELVGHCSAWNLENRKEEADEIIFMVKRGGRTPFRPSLDEADEDINPAMLHLIRDCWDEDPKQRPNIDMVNKLMKNMNSGRSGSANLMDHVFSVLEKHASSLEDEVQERMKELVEEKKKSDILLYRMLPHSILRAPFLFLISYASK >CRE27098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1061244:1061518:1 gene:WBGene00052511 transcript:CRE27098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fipr-1 description:CRE-FIPR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNE9] MNAKLLILVSLLVICAFVTETDAQYYGYYGYPSYGYGGYGYGSYYGYPSYYGGYGYYGKREAGFGPSQQNNQ >CRE27099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1067360:1067874:1 gene:WBGene00052512 transcript:CRE27099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27099 MIIYHQSSLSFLSFGSHLEFHSFETMDFEYKILCCHVAVDVAVLCTLEIIVIVATYLTGFKHPLMTCQSFNVSILERYNEPTVLSQHKICTTNGPLVFWIIIHLFTDLMALMSVTRSFISIMKTRLSVSD >CRE27100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1069205:1069704:1 gene:WBGene00052513 transcript:CRE27100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27100 MVFLSSLLLLVSFLQDQEELDFDVPEKGSPAFEAFYNCVYPKLAPLKGNYDKFWTSFVNLTKECDNLEEYKALDIQPVANTDEVKYVTFPKRDENITMVTLGIGHDVDAEMRLKKVSKDIGLILKAANASQFTVYKNIQRLSIAILFFSI >CRE27102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1074345:1076528:1 gene:WBGene00052514 transcript:CRE27102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27102 MDLVMPIKKCTTATEKALSVLDNFLDQSLVERRKMYGLPTDQTCMITTSSSTDSNSYQFRPRGKSSEVSNKRSETSGDMGHGKTPKDNLVNPALKKLKVIDRLPSILFWDNLRFEGEHFHQIVDVPEDTRFETLMLELVPLLQPLFGSFRREDVDCFKIYPHRLGRQEFINEELTIRELWPELPRICDVYVCHQNVDILLDLIASSDEEIEDEDFGDGQYDFRRPQNGPVENPAAKRIPFIDLGKRSNANNGSSSRMFLVQSALCQFEWRGVELWNKLVDMMKGSLVQPFATLRDRVAISLVSATWYDLPAVNVDPSLPKRLQPPRNADISALYKDLLGTCWDEVRLVRDADSSNGVNGTANGLSSLSVPTNGLNGETMTHSASSASLAEVSEVKKQARLTLRAVISFVFNTCNQSYDAYSPSFIELLPLWCHYSNDVGDEELQKTCSSLCITQMEAIYISPENAPEVIRQFQQILSSPCWWKAKVAALKMIRMLVFSNRYVFRIHRDDIGMILVNSLNDSQIEVRERAADALSTLLQSKFFETTSELINKFCTAAHSKDLIQSHGGVLGLSAIILAFPYSVPTLLPGVLMTICKFATDKNATIRDAVKRTLSEFKRTHQDSWREHEQQFNEDQLMVLRDLLISPNYYV >CRE27103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1077295:1078521:1 gene:WBGene00052515 transcript:CRE27103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27103 MRPSSSQGERSLRNGVCGVYSRIFLILVQNSQRSLSMNPIEADISNGQELCTDLRKTIERVHHNLEMVKNRSSKDMERSIKIEGILRGLKQVEDEITLLIPQMEDFRDDNVEFYSVSGGSGYAGSVRTGRSRTLSVLSDDSFRSAVEEFACDIDDIDFVSEAANLEKEDLRFLDEGMKAALNGEVKYRKSRMDFCNCESETDFAAKLYCVRQALTTNTNEFGLQNVDELFLPISDLKSPPSAVYSVTKNYFMSMSMKYSTLNTIIWSIIKSKRQRLKNPDGFIAKFYNISETVMPAITLGFLGTDERLGELCQYFKVKNIMQHINNNILKFQEQVVQFVLDVFNTQLVCYRSLDEMTEDVWIVMRNRLKLSRRE >CRE27405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1078894:1079478:-1 gene:WBGene00052516 transcript:CRE27405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27405 MKRNEKTCFIFRVMSRPSRLALLLSLILIGDSVANDYNNPSSHQPTVTSSPIHVSDQQSVRNYQQQQQQPSVLTQSYQVLPESRNYYQYPQYLPTHHGYSNIQTVGQPYQMYGSSSGSNSASIIVSGGNSEGYGYSAQSPISTLAPTPVTVPERHRPYSPSPTPPSPFSENRERESQHGRAPGHQAPSSQGRRF >CRE27104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1083012:1084181:1 gene:WBGene00052517 transcript:CRE27104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27104 MVFKLLNYPYLVQKEIITNMDFTSIVLLAKCSTRMDSVVYSLNYNIVELRYILNRKEFTVHVSTDGEKFDAVISFEFVKELLDNEDGFYAMQQILDHMIKLRITRDIEGKCVIQVCKRWRKLIQWKFQEEFQTLFRRVPEFVIHTPLRPILARNSSNCESVTMDGSIVKAEVVEEILSQFPNLKNVRLCWPFVDPVNADSKLLKLDSLVVEQCKTPLLKVLLRGFTGRHLILKNQHLDVLEVEEFINGWTSNETFPNMETIQIFTRRFPFDWEQILDRIQTQPFDSSKRPAFYSINSQKYMDSSSSAFDCSNWVDIERQGDRKLASIKASSKVIRFFVWN >CRE27406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1087065:1090648:-1 gene:WBGene00052518 transcript:CRE27406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27406 MATSVGWQTSASAIEIAMDRINSERLIDNIDINFIYVFDDCSESKAAGLSSKLMMDANVSAIIGPTCNAAGLAVVNLAGYYNTPVLTWGLTISSNFIDTARYPTTVTLVPVAKSIAAAIHEVMIQFEWTEFVYVFVEDEKCGYFRDDLEQITADSNYTSLSRTIQIYDQSYTNLVRQLEKLKTVSRIFTVCLPEAGDIKRRFMLAAYDLQMTTEEYVYLFAGPKSTAYQQTSSTGDALEYGLIGIDKRMEEYRQDYCKNKPENDCDFDEMVRFIAEGATSGLLNSRPRFDYLGSLFFSATVISTIGFGTSTPRTQLGRFITIIYGVVGCTCCVLFFNLFLERLVTGMSYILRSFRERKNRLRLKEPGNKPVTLLINNEDFNESSSSCEGHLDSWRPSVYKVFFILFSCCLILLTVSAGFYMAFEEWVYVDSLYFCFISFATIGFGDYVSNQQDVNRKYPELYRFLNFCLLTLGACFFYCLSNVSSIVVRQLLNWMIKKMDIKVEDRSFLCFKKKRRYMGLGLRPPKGYDMTSERSSVDYADGLLSLKEFLMNNQSSMIMLQKQLIKSAMKNVVENEEQKISATRVGPMGILDEAFGDEP >CRE27105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1092573:1094097:1 gene:WBGene00052520 transcript:CRE27105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27105 MIIYHQSSLSFLSFCSHLEFHSFETMDFEYKILCCHVAVDVAVLCTLEIIVIVATYLTGFKHPLMTCQSFNVSILERYNEPTVLSQHKICTTNGPLVFWIIIHLFTDLMALISIYSKSTKLLLGFLVVTCLDLLVSLAYVVVLLAFIVLNEQVDKVEVNSNTRISLFEFEVMIMFMGGMVLFKIYEILTGRRLYWYLLWLQDNMMAPRSALIKNDDNSVFQF >CRE27106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1095954:1097425:1 gene:WBGene00052521 transcript:CRE27106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27106 MMITSQPYNRLKEALSNNRSLSKHVLLGMVFLSSLLLLVSFLQDQEELDFDVPEKGSPAFEAFYNCVYPKLAPLKGNYDKFWTSFVNLTKECDNLEEYKALDIQPVANTDEVKYVTFPKRDENITMVTLGIGHDVDAEMRLKKLWPKTEFFGVDPSPEINKDLYEVKLGGNYFQVAVSGKGGMQKSYIFRKEYRDETTMHIGADYFFGDMLQRPRVDILWMDTEGNEFPVLDMIHRGGPLDRRGVKICQMNVEIHKDLMKDITGEREKFHDFVWKLLRDKKYIMVKPFYVYWFHQFIRTVIINVDDPECTSLYMN >CRE27107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1098148:1100878:1 gene:WBGene00052522 transcript:CRE27107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27107 MHLSGCIQFPSCFLFDVLPNPQLQLFVTVPCCNISWIGPLSYIQSSSKTSTCHETATQSGYFPEDTDLPECKNIEKDKRKETISFAASNVIYFLMEPFNYCLLDENRTVLRRSLFSFRNCVWTVIAVLFILVIVTSRDSIVEWDEADMMLVNYTTLSPIFRAFYECIKPKLVPLKGRPESFWYHFTEITSECDNLEAYTALDIRPSKNRDEIKYVVFPQRNENLTMVTLGIGHDVSAEIGLKNLYPHIEFYGADPSIEINKDLYEQNLGGKYFHYAVSDKNGMEDSVVLGNDGYNKERTQHIGIDNFFKNIVQKSRIDILWIDIEGNEYPILKQLHTDGNLDRQGVKICQMNVEMHKDLTNLNEMKNFHDFIWKVLEDRRYVFMKPVFVKWMHFRFIRLFIVNISDQDCTDLYVKGV >CRE27108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1108080:1109284:1 gene:WBGene00052523 transcript:CRE27108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27108 MKARYNLLDGGSSNKKPYDKMIVLATICFTIALVYIFTQDTQKSDDVENKGKLTEEVAKTEQDYSYIFEAFRDCVRPKIEHLRGNYDQFWHSFANLTKECDNLPAYEAFDIRSAQNTDEVKYVAYPKKMEPLTMVTLGIGHDVSAELKLKELYPNTDFFGADPSSDINKDLYENKLGGKYYEYAISGERGMQKSRVYEKNGYREEITKHIGADFFFKDVLQKSKIDILWMDIEGNEYPIMDQLHQNGVLDNEGVKICQMNVEMHKDTFKQSVGETRKFHDFVWKILDDKKYIMMKPFYVIYRSRRFIRTFIVNVADKECTDLYLS >CRE27407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1111879:1115732:-1 gene:WBGene00052524 transcript:CRE27407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-14 description:CRE-TWK-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LNG3] MAITETVMHKLQFARGIGQREMLRANTLPSITRAKVGCFARLRIYEENARFVLICIILILYLAFGAVLFHWLEWDNEVDERKAIDKRMEEYRQDYCKNKPENDCDFDEMVRFIAEGATSGLLNSRPRFDYLGSLFFSATVISTIGFGTSTPRTQLGRFITIIYGVVGCTCCVLFFNLFLERLVTGMSYILRSFRERKIRLRLKEPGNKPVTLLINNEDFNESSSSCEGHLDSWRPSVYKVFFILFSCCLILLTVSAGFYMAFEEWVYVDSLYFCFISFATIGFGDYVSNQQDMNRKYPELYRFLNFCLLTLGACFFYCLSNVSSIVVRQLLNWMIKKMDIKVEDRSFLCFKKKRRYMGLGLRPPKGIESTAEMNRERTSEMFSGYDMTSERSSVDYADGLLSLKEFLMNNQSSMIMLQKQLIKSAMKNVVENEEQKISATRVGPMGILDEAFGDEP >CRE27109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1123455:1124342:1 gene:WBGene00052525 transcript:CRE27109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27109 MKRNEKTCFIFRVMSRPSRLALLLSLILIGDSVANDYNNPSSHQPTVTSSPIHVSEQQSVRNYQQQQQQPSVLTQSYQVLPESRNYYQYPQYLPTHHGYSNIQTVGQPYQMYGSSSGSNSASSIVSGGNSEGYGYSAQSPIPTLAPTPVTAPERHRPYSPSPTPPSPFSENRERESQHGRAPGPQAPSSQGRRFPAPPPSPFMIHPKPVLSYGAANPFLANLPPAQGVYGAPVESSHRGDAIRAFSELNPEITGYDGSSGAAPAPPPGASASVDDTWEALEASIDANTRRRHRNF >CRE27408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1124593:1126387:-1 gene:WBGene00052526 transcript:CRE27408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27408 MTSHLMQGLPGGLKISEIVTKRTVLSVAAGIGIGIVFANFVRYWRQRRELRIPQSIADEAPKDVETIIPQPPSLRRSHSGRGMRPSSSQGERSLRNSVRQNSQRSLSMNPIEADISNGQELCTDLRKTIERVHHNLEMVKNRSSKDMERSIKIEGILRGLKQVEEEITLLIPQMEDFRDDNVEFYSVSGGSGYAGSVRTGRSRTLSVLSDDSFRSAVEEFACDIDDIDFVSEAANLEKEDLRFLDEGMKAALNGEVKYRKSRMDFCNCESETDFAAKLYCVRQALTNALKDEHKRVWLAKCGRTLLADFIRHTKQDPVKFFNAYDEMLEYVSNDRNQEQLRQDVEGRGVCETGFYDVAIDFIILDAFEDLKSPPSAVYSVTKNYFMSMSMKYSTLNTIIWSIIKSKRQRLKNPDGFIAKFYNISETVMPAITLGFLGTDERLGELCQYFKVKNIMQHINNNILKFQEQVVQFVLDVFNTQRVCYRSLEEMTEDVWIVMRNRLEAVQTRMSNELLPA >CRE27409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1126938:1128107:-1 gene:WBGene00052527 transcript:CRE27409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27409 MSRTPYIFLDGDSRLSSRPATKYFISLLVLIGFFFIAVPFFQESKAETNRDYVEEVALPLPAYIEPGSVSPPFEAFYNCVRPKLAPLVGSYDEFWFSFVNLTKECDDLEAYRGIDLRAAVNRDEVKHVAYPRKVVESLVMSEDLTMVTFGIGQDVSAELALKEMYPRFEFYGVDPSSYINQNIFEKDLGGKYFEYAISGHEGMQNTRVNRKGGYREEVTKTIGADVFFAEIVQKKKIDVLWMDVEGHEYPILNQLHHNGALDKRGVVICQMHVEMHKDNFKESQGETKKFHDFVWKVLEDRRYIMLKPVFVRFKSKRYIRTFILNVADKECTDLFLI >CRE27110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1128918:1129702:1 gene:WBGene00052528 transcript:CRE27110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27110 MISLQSIILLLGLIAVALACAPHMPQRSPQRGWCKFYVDGSSDYNKARKKRDSDSDSGSGSGSSESDGGSGGGGGKGGSGGGKGGHKYNRSKKKSTKCYEYEDGSKDDGAVLNKGVKYTVVITKGDTIVTTTAKPVEVQHGYCVFYVDGTSDVTASRKKRAASKKKFTTSKKKKDVKCYEYEDGTKDEGAVLNKGVKYTVTITKEVI >CRE27111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1131249:1131624:1 gene:WBGene00052529 transcript:CRE27111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27111 MLSLRSLIILLGLLTVALACAPHMPKREVQHGYCKFYVDGKSDTASSRKKRDSGSGSGSGEGGGKKYNRSKKRKDVKCYEYEDGTKDEGAVLDKGVTYEVTITKKS >CRE27410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1133271:1134344:-1 gene:WBGene00052530 transcript:CRE27410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hrg-2 description:CRE-CDR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LNH0] MICEIIALIIIALFVLKILYWIYSTFLTTPSVNPTPKVIVSLSTIPTERIQIHKREFKKDVVYLYQFPRINTVPNLSSYCLKIETFLRAFKIPHEIIETGNLRSRNGTLPFIELNGEHIPDSDLIEMRLRQHFQIPNLSAEEEAQATAITRLADNHLLGLIVKYKASEEGWYDALLRGIPGPNFLKTILRPIVKKLFMKKVHERVGASIGNFTEEEAELLCHKDLQAIQNSIKGKFLFGDRITSADCTVFGEVASAYYPFPNKFSRTIDSHYLKIRDYCDRIIEELYPEDFTVQ >CRE27411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1135228:1136301:-1 gene:WBGene00052531 transcript:CRE27411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdr-6 description:CRE-CDR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LNH1] MVCCCPVTTALVVGAIVFFLYKKFFTPPAIASKPAINKTDYKKDTVYLYQFKRLRNCPNLSPFCMKLEVLCRVYNIPYEIVETSMGRSRNGTLPFIELNGEHIADSDLIEIRLRQHFKIPSLPTEQEAQSVALSRMADNHLFYILIRYKSSVDAFYETIIGLLNMPGALASLLIPLVRAVFGRKLYSRSTGAIGDFEPQELDELLHRDLKVIQDSIKGKFLFGDKITPVDATVFGQLASVYYPFRNHICDVLEKDFPKVLEYLERIRKEVYPNDFTI >CRE27113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1139263:1139971:1 gene:WBGene00052532 transcript:CRE27113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27113 MLFIILVSALFAAISAQTCGNSQGPCLKMTVNTIDIYMCPEGMACLTNSTCCEYADIQVSTTTTTAASTTTCSDKVNPSTGVSDCPKSAYLCNDSTYYALMTEQCPKTCNRCSGGSNNNSSNNGGSSSSCVDKVNPSTGVSECASKAYLCTNSIYLSLMKDQCPKTCGFC >CRE27413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1140166:1141443:-1 gene:WBGene00052533 transcript:CRE27413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27413 MKVKFRTVLLVFLFIKLCILFTVLQESIESLSSNDHPLLFGTNDIYLFDMMLAANSGLSILLSALYIILFAIGHTRIRKPYVVVAFVINLIFVVPFFLSGVYLVFQDDHDLKLTSKVTKSFETVFDFAETYNLNASQQTAPTKPPPKKGVKNQSGPNNTVSGPKLPIVNPDLMKHFDVVDHIQRRYCCCGLNGGIDYGFDNETTASTETIPFTSKLDIRCPHNSLFKNSTCGDTHNKGCENRLKQVYPTRILIYSCIGTAFSVIFALVSPLIYSQFEVRSSVLLDKLTLLQLDRRRARAKYIRDWRHSIETFVADQRLWALRKTKKSSDTSSKKKQSPVSPALVSCDYHLMKT >CRE27114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1150727:1152478:1 gene:WBGene00052534 transcript:CRE27114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27114 MNLTVLAELIELRAKAEAELLCAHYETYTPVRFVLITIATVIACLGTMGNILLLIVFSAKQISNTPATLYPSVLACLDFAICFEYILLFGVDALVSYLKIESLFKLYYVYIVPAYVMARITQLAIPYMLIFATLERLFWTSKNKSNLLKAFHSSTGRHITVIVSLAMCILLRSPSAFAIKVDDYPNCPDFFRTKTTNPREWAQESGLYHFFDFQVMTIAQTLVPFVLLVGLNLIIVRRMCSDSVQKEKQQEPAEVHFQEERLLTQITPVHKSSTSMGLSFPPLKSMSPAVRSAVFTMAAIVTSYLISNILHLSLTVLERSGHAILKSEEDPLMSSTFHTFFSDLVSFVYMFTSAIRIVIYYTCNPKIRGDLIDFFANRKNAVYL >CRE27415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1153502:1154073:-1 gene:WBGene00052535 transcript:CRE27415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-25 description:CRE-SRH-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LNH7] MYAVHSFYIIRATRILLSKEMQNAQRKFLKGLLLQVLIPYSALVLPAGFGLVFLLLINKWDQKFVQPGLCLIATHGLLSTLTTLLVHKPYRLFFFTLCRRFIFLPVGMLPTFEIMKHSQILGLKVHTIDELTTLPNVRKLGTQIHVTKF >CRE27417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1155151:1156016:-1 gene:WBGene00052536 transcript:CRE27417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27417 MGRDYWGQFLKDSEKVVRDTNDIIRKNYETIVENFGDETRRMIKRIDCLNKLIDMELQLKTESTESSIPDEKKKQFLEIMETVHRIVDSLQNINALCNPLIGEQPHVNPGAHESDISRLETDRENLRNQINCFRQTVVGSENVFAPQLTFLTQVESILQGRALRTICFELRNVIDGGDSESVKKYGGLAEAILQQMDGHICMLDWELKNDNGSYQLLPAEPEGDNNTTLF >CRE27418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1156568:1158963:-1 gene:WBGene00052537 transcript:CRE27418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27418 MLLTSLQQRQQQVSPSQTQHFRDAEYNASRREGHGYKHSHDISSTGCQVNNQITKTPKIVMSIPSSNRGDGFRGQYQSHENSSLTSMNLSNIQQNLSSNSNSSFLTSQNQLIGVGFPTEQTVPVVPQRSEFDILQERMREENERAEREHEERMKKRRQERARKKELLEKEVSNEMKQIELNEQRRARETQIKLENERKELEKELLNDTIQKEEKYQNEIENLKKHGEQELEEMKKQRQREKLIHEKVVNDKDEEFLKISIRQQKEAEAMNDELARLQAEHNERIGQIYIELEKEKEEMQRKHKIRMDQMKQQWDQIKMMFQYKIWNEVIERNWANRLNALRSSTKKIVELFNRFYNNAREMQRHIGNPELFIKEKQKCIPMLNALIEAITPEIDMLTEESENLNIQWNNTGKHFVFCIKESVEKVTYACKQFGNALKDYLDYLEKNDCDSSEERSLHLKLVKKSYDSLSKYSNEIPTLAELKQNNSDEMKQEHTANMHHQDVIPTQSVIIEEIE >CRE27115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1159541:1160588:1 gene:WBGene00052538 transcript:CRE27115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27115 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LNI1] MANTDLFESVYSIPSTSVTFQTVARKQQVKLEIINNSTSPVLIKFKNTNPAVFATTPKDGKLAVGQKQEFKCAFKGATKEDLEKNHKLLQQRFTIVMVAVSANVNTEMAKKNAFKTVTLKHKINILFAGVNDQKEKEAPQATNNDDVEDKDREGKMRSKGKSEPPNVKRANVVMFMRKEGESISDEDDDEGGATAPAAQAPNDDMMTTRPAGNFTGQVAANENLGGPNTPTSDMKTTRPAGIFTGQVASNAVPGAPVDPKSVGLLTTRPAGAFNGLVTPNAEGADEKDNLKTTKPAGSFENPQKRNNK >CRE27419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1161148:1164828:-1 gene:WBGene00052539 transcript:CRE27419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27419 MDPVSLGCYIAFASQALNAAMDAADRLSANPKARVEALKKMSQEREESHQEAMKKLKESQEEFESSSRRKEEEANERIRAQKEENNELIESHKLRIKNEEEKHEAEVKMMNQEHLLTVQKLKSESKEVKEKAEIEHKMKVDKMEKEYQKESENAKHKLELARDEGKSKVAEVEKEKEELALQRRKGLDEYVKVTKEMHEIYLKHSKEINDKNRQLKLENAKLRRKEMAVENKQDLKHIKHNYDQLLVQLTQQNSRNVLERFRSIANHAFPIHNSLKSISNEFNPGTGTAITVDTGRLDPDFEKVREEINRFNFEKTNYTQYVMNTNLTDPRLFKTCSDDTGDMSLSGQQEINESSEQNDGVVSAELSNLTIQALDEKYKETIKTITTEHMLKTESLEKEMKERCDRIDEEYKQECAAMKQDHEKALKEISENLNQERDGRRILVAQLEEQLQQYANDLQLLLDEYSSQIRLSQEENHRLRTENENSKEEQRERENQLELEHIRLKKEALDRHLRVENSRDVVGELINILRPVYDVGMSLKKIKIICFYSRVDGFAVPPGELDQNFRIIQEIVESFHLHVVNYWKFMKNTSYTTAQFSETCSVSSVWADDNIDNCHFQKLIEEITSTMKSEELISIYERVPRAIESGQELGKFDEVLEKHSKGVDELVSSVERGIEKLISEHIFSFTNILAESSIPQLRDNNEERLPDVS >CRE27116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1168861:1169718:1 gene:WBGene00052540 transcript:CRE27116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27116 MTTLWSLLLLILGFSKICSGLNNCPTTPIRSVIQRAAETTKDAVTQMQMIRRILEDIYGGTWGVLVIRNPALVSKEVHWTFPDHNNSDGSPAFCLAVVNQWQYNVFKTGSNDSPQRVTIENIIQRFSSGTLPKMGKLVNGKNKEKFMDLNMMKPVPRRYSIAQLDQMLSNVLEENHRIRHNRSPKLVN >CRE27421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1169763:1170648:-1 gene:WBGene00052541 transcript:CRE27421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27421 MISNSIRILLLSSFVSAVWSLNCRQEKDTGINCDNNPITLKFYFDMRTNVCQPLFYRGCGGNDNRFDTREECSDACVPQKAGKKKLKIDKKENEEADPEAVEEDDEEDSEEEEEIEKDMSLMVNVCKLPTDAKIDTKAQKCDHGCPTGYRCTKKNFCCPYKDHVCALPAASGSERLAFKHYGRYAYQPGLKNCIRFSYFGQGGNFNNFLTYNDCKKFCMSSE >CRE27117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1171457:1174233:1 gene:WBGene00052542 transcript:CRE27117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27117 MWHEARRQEKILRTTMIDQSKRAERKRRYFENVRKDPEQFMQIHGRKAQVHADLGIARAAEDSNILRPWQGDSSITIDRFDVRSHLSKMDLMDDDDIIPKKKQEIVDEKEQIVTDFERYRVLIINDYKGVSEKSYLKKIADREFWYAEKEHSKKLEAEKKKKLAEKKSMIGFSYEDSEVVKGGKTDKGNSDEESEEEEIDDMQDLDVDLDTTVLNPETQRTVNKSGEEFGVRRGLFCALLKADQQALRDAAQLKQIDRQKAQLSGRESKHERMLLRQQRQAIVGKKGMVVSENGATATLLGFINQSQKKSKIDEMIKDMEGSDNDDDRRAKAEFITTFGGGADRDSDDEVKKETVGPELPSEEYRKILKLSQNRRKDDDDKVDWGNLKVTGNSVNRRAHSYSRSRSRTRSPPRRSRSRSRDRYRRRRSGSRRRSTSRNRRSSRDRKRSRSRDRRRSSRDRKRSRSNDRKYESTRRRYKSRSTSRRRHRSRSSSRKRQRSRSNSRKRQRSNERHRDRSREDRSEKRRRSGSKGKSESVKTVCSDRIPDEPVRVFEPRESSGEESESDDVKMLEIRSSMSDSEKEKIEIENRKRRVKLTKKLVKDKRRQSSVTNDRSSDEEDEEEQRKAEQAKRVKMQMSQVLKKTRQDMAKEENDRKREAEIAQKARTLEFGKSFDTLKFKRGGNENVRSKSRPTFLFRLLIPLECKDLFIKEVINFRMRESSKKIHEKWGVKKL >CRE27422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1176765:1181504:-1 gene:WBGene00052543 transcript:CRE27422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-10 description:CRE-EGL-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LNI7] MPLFKWVNASNEERLVHPNHMVYRKMEMLVNHMLDAEAGVPIKTVKSFLSKVPSVFTGQDLIGWIMKNLDMTDLSDALHLAHLIASHGYLFQIDDHVLTVKNDGTFYRFQTPYFWPSNCWDPENTDYAVYLCKRTMQNKAHLELEDFEAENLAKLQKMFSRKWEFVFMQAEAQYKVDKKRDRQERQILDSQERAFWDVHRPVPGCVNTTEVDFRKLSRSGRPKYSSGGHAALAASTSGIGCTQYSQSVAAAHASLPSTSNGSATSPRKNEQEPSTSSGGESPSTSSAAAGCSTATTSAPSTSTPPITCAPSTSNAGSFRNNYYARPGLRRCTQVQDTLKLEICQLNSRLSKNVLRTSKVVENYLAYYEQRRVFDPLLTPPGSQADPFQSQPNPWINDTVDFWQHDKITGDIQTRRLKLWEDSFEELLADSLGRETLQKFLDKEYSGENLRFWWEVQKLRKCSSRMVPVMVTEIYNEFIDTNAATSPVNVDCKVMEVTEDNLKNPNRWSFDEAADHIYCLMKNDSYQRFLRSEIYKDLVLQSRKKVSHCSLLYFASLFLSEPPFSSGFSLV >CRE27118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1189483:1193189:1 gene:WBGene00052544 transcript:CRE27118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27118 MSMKFLTGLQDLLGLYETGTAASSPASPIPPTSPSMFAVPPQQQSHSSATSVRKKVCQEANSSTDDPESDTRIRQCSHSRTVSFPADIGLITGYHDAPSSIFHTNQRTIDSTEILQSYREACQRRQCAPSVGVEKQIGYFHKSPDTRQELLSLKGERVSHAQMEALEEVFKRVQFNTIDFEYTFLDDECAISLGEMIEFYDSCVRLNLSFNKQIDVRGWTIMFKSIRNAISLQMLNLRYTNLNDRSIPALCKLARAQPSASLTCLHLENTQMSGKNLLVLICALKNNTGIRELYLGDNGLQPTDGSHIYQLITSNTCLQLLDLRNNNIGDSGVRHICEGLRNRESIEKSALSAMVLWNNNVTGASMESLAEALTENTKIETLNIGSNNLGVEGIARLKPALVSNSHLHRLGLQNTGINCEGAIILAECIADNVALLRVDIRDNPIALAGLLALHSAMKMNTSITLLNIDATCVKMSSEKVREYQDEFERYFREIQTYCDRNKDDVLKKLTVTFDDQERSSEDVKKKNEDESTEEKEAENEDEDVEPETSEESTPTDQSDINTEQDRQVGSKSESNNNEKRPLMDSASTSKISQKERHQRFVRSSSLTCAETVHDIHDRLREMSGSTHSLDAAIAASTSLSPSMLTATYGSTPGPLDASSNTDSMKTIKKSFTVTTTAPASVHLAEWGSLPAIPQASPTSTPAVRKLRRFSVSPSSSVFDVATTSSASTSPIPENTTPSNPIRPCTLAIGIPLSGSVPAGPSSAPLIFVDHHSELISPDSVNINEESAKSRESERRRAEEVMRQRKEDKEVRETCRAVINDLINYVEYEEKSLVERKASLLLRNAFSRPNPEELLRNLQRAESPGSMTPRTPLTPSRVLEIAEELEEETDDQVCQSVVRCLVRDVLQAEKNELRSTLDRRRRHNSVRNSPV >CRE27119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1198971:1203600:1 gene:WBGene00052545 transcript:CRE27119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atn-1 description:CRE-ATN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNI9] MVDYYQQPPSYHQPGYDYTQQEEEWDREGLLDPAWEKQQKKTFTAWCNSHLRKAGTSIETIEEDFRNGLKLMLLLEVISGEPLPKPDRGKMRFHKIANVNKALEYIESKGVKLVSIGAEEIVDGNVKMTLGLIWTIILRFAIQDINVEELSARDGLLLWCQRKTAPYNNVNVQNFHNSWKDGLAFCALIHRHRPDLLDYSQLHKGDPIHNLNLAFDIAEKHLDIPRMLDAEDVSRHPDEKSTMTYVSCFYHAFRNMREPAPPPVIRQPPPQRVVVAPPPERDWRKDAETAANRICRVLKVNQENEKMMEDYENLASDLLAWINRWMPWLANRSTDDTLRQAQQKLEDYRNYRRSEKPPRIEDKGRLETLFNTLQTRLRLSNRPAFCPRDGHLVKDINAAWAGLEDSEKGFEEWLLSEIMRLERLEHLAEKFRRKCALHEEWAHGKEDALRSNDWRSCGLYKIKALRKRHEAFESDLGAHQDRVEQIAAIARELNNLRYPDIGPINARCQAICSQWDRLGQLSSKRRETLEDAERIAERLDSLYLDFAKRAAPFNNWLDGAREDLADLVIVHEMREIEELVSAHDQFKSTLGDADREFSSINQIEHEVEHLVTSHGLDRELLRNPYTDLSASDIRRKWGEVQNSVPRRDSQLQAELKRQQNNERLRTIFAEKANQVGPWLERELEQVLAIGLGGRGRLEDAIQQLRSIQRNVLGYKSNLDELERIHQEMQENFVFENRKSRYSMESLRVGWETLLTSINKTISEFENQVLLRDSKGISEEQIAEFRASFSHFDKERAGLDPEQLRACLISVGYTIRPGREGDAELHRVLAHVDPNRMGRVPFEAFLDFMTKENSDQDTVEQMIDSFRILASGKPFITADELERELPRDQAAYCMARMAPSREPGAPPRSFDYVTFSRSLYSQ >CRE27120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1204270:1205473:1 gene:WBGene00052547 transcript:CRE27120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-39 description:CRE-SRT-39 protein [Source:UniProtKB/TrEMBL;Acc:E3LNJ0] MDNIIRYGSVEGIPLYNCSGHTSEEWSELKGTKWPILGACQAAYGIMIDVLYLPILSIIFEKENFKMSCFKIMYLLGIIDFLITYVNSILTGWLAMKGAVYCTHPNIIYISGMVVCALWCSSCMTALLLVANRLLGMTKPSLAVKLFDGRKTYIALVFPILYFIYFLFTAPLVFNSKYLAWFYTPMIFDDGATEYFNHSHTVNNFLIVTLTCIVYTPFRWVIGTNLKHVKNANASQLQNTKTQVFLQSTLICAANQVCATIYVVMNVTEVPNWLIMFAHFTWEFIHGAPVFIYLALNRMIRERFLEKMNMMKGGMFRETTSMMPINKSVSSPVVIVN >CRE27423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1205635:1206642:-1 gene:WBGene00052548 transcript:CRE27423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27423 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LNJ1] MANADLSKVSFNPSTSITFTLVERRQKAKLEVINNSKTTVMIKFKNTNPTVFKITPLDNCKIKPGEKQDYQCIFKGATKEMMEKSGKLIGQRFTLVVTAISANANTDAVKKQAFKSANLKHKIQILFAGINDQKEKEAPQPTNNDDVEDKDREGKMRSKGKSEPPKVKRANVVMFMRKEGESISDEDDDEGGATAPAAQAPNDDMMTTRPAGNFTGQVVSSVNTAANPNSEFRTTRPAGNFTGQVASNATPGVPVDPKSSELRTTRPAGAFNGQVTPNAASAADEKDDFKTTKDAGPFENLQKNNKK >CRE27424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1207132:1208130:-1 gene:WBGene00052549 transcript:CRE27424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27424 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LNJ2] MANADLSKVSFNPSTSVTYQAVGKKQQVKLEITNNSTSALYIKFKNTNPAVFRTKPADCKMLAGEKKDFKCMFKGATKEELGKKVDGQRFSIVVTAVSANANTEVVKRQAFKTTSLKHKINILFAGINDQKEAQQPTNNDDVEDKDREGQMRAKGKNEPPKVKRANVVMFMRKEGESISDEDDEEGGGTAPAAQAPSDDMMTTRPAGNFTGQVASNENLGGPTSPTSDMKTTRPAGNFTGQVASNAVPGAPVDPKSVGLLTTRPAGAFNGQVTPNAVGSSADKDNLKTTRPAGSFENPQRSNK >CRE27121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1208356:1209475:1 gene:WBGene00052550 transcript:CRE27121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27121 MATTPTLIQLNNGDKVNRFTVFKKLGEGTFGAVYAVRDDTGAEHALKAELSTEKIPLLKLELYVMQKLTQKGAKHMPTLIDKGKHENFNYIVMKFLGKSLQDAKKTGPDGHLNLGSAIGASIQCLEALEEMHWCGFLHRDVKPGNFCLGRAELGELRKIFVLDFGLCRRFVNDQNVMLQPRRKAPYRGTPRYAPIASHNNMEHGRKDDVESWFYMLVDFTNAALPWKVASKIKEVGEIKKNARFDPGLSQLLAGCPMEEYRLIMNHIDGLTYFMEPNYGLIYSTLKKLMQTKNVQEVPYDWENEYAVGK >CRE27123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1212021:1215798:1 gene:WBGene00052551 transcript:CRE27123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-11 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3LNJ5] MVMIETFLGMAKYLSPREDDDWSDRLNYLITPNLLLAFSVLISFKQFGGRPIECMFPNKFPGSWEQYAENYCWSQDTYFVEPTQDVSLLKTEERYTPDRQLSYYQWVPFFLLLQAAFFRAPSYLWKYFSNHSGIRIHEVVEKAKDNANVEEEVREKNIGILKRHLSSALRFQANMESKRVQVHKTVTFLNFQYSSGFISWIYLFTKSLYFINVFAQLYLMNYFLGTNRYQWYGFGVVKDIVSGTPWERSGYFPRAAVCDFEVRQVANIQRYSVQCVLVINIFNEKIFVLLWFWYLILLFASTVSFVQWFVVLVFPCFSKWFIKQHLALSTLQNFNQRNSRREDADVSKFVTQYLHKDGVFVLRMVSSHAGIIFATDLVQALYEAYDFQDKNKGIQGSPVSDDLQTISTGAGSSIRQRKNKKGSRIEYKPGTPFTTTLMPEKDDIESSSTSSEEDQKRVSNGITNI >CRE27425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1216057:1216728:-1 gene:WBGene00052552 transcript:CRE27425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-11 MSFSRLVSDLGRLCLQTASQSVAVQQQRTIYASIRAADSIRDAHRQGTRIGTGQVETMEGTTGAGAQTAINTSSLDSRLPTAETLKQQFDGVAYHELPLVYIRASKNNTLVTVMDNKNEVITSTSCRLEGFKNARKKTTIAGQTTGVAAGQRLVRRGIRTVRVQVKGLGPGRMTCVKGLTVAGVRVVSITDHTPLCELGPRPRKIRRI >CRE27426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1216930:1219450:-1 gene:WBGene00052553 transcript:CRE27426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27426 MTDEIEDHHLAEEMYVNPYLQLKHSQPRFKCPYGCNKLIASRTIDYHKNNGCGRRHNDTIAHPELKKRFYCCGSCLAEFVTRNEFHDHLRLEHDVHPEIHNMSFPDRQTFDRFKFWLEAEGGAHFRHKSGAKRRARGKGIFLACNRSGNVGEKTTGSERTGPFRLGFTCTAYIHATEHHDGRVTAEFCGDHYGHDARMRLPNVIKYIIAQKQMDQCTPMEIIGYLRHHFLNYSGENIYAQRICFVDTDELKSILVSCTKKWVRTNIPTTVELWEEELLEKVGIETSLVPRRFSESRRPTTSEIAIQENWPRPRVFLAKTRREDGVLVPFDMAPGDSQSGHQDEYGQEEEEEEMVDEPYMSIEMGEYEVDGYVQETQMVVGEEEVSEVVVTQEYQSHQDIMSKGNDLIDEGEVDVEVGNEVVVTSVSSNDRIIDDKSCVDERVVLSSPNNEIVIVDGDVEEEIDEHIHHHHHHHHAHHRQQHQLQHEHQHDDSDQPGTSNVVERYIEANKANTLEQVMEEIEAFKITVLKRAENTSPANLKSLLIRFQTLHSSIMEKDQLEPVNNSLTVFPNRSKFFYRPGKGLEKNEPEYLQRGTGDISLQPIEDEISDDEELMAGNASVMQYNVGIWS >CRE27124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1219889:1225274:1 gene:WBGene00052554 transcript:CRE27124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27124 MAFRQSYGMPSYPHYPQQQQQQIVFGQMRMPGQHQPPHQKTHSKPELTPAVFVGNISEKCSDEFFRQILNECGEVASWKRIKTGNGKFNGFGFCTFTDLEGTLRALRILHEFHLGDKKLTVKAEGKVLEDLRRDAIENRKKQGKKELKLKPDELPADEDDLKKDEEIRLKILNWMETDHKELFSITEDGEISEESKKAREQEGKSEKHAPLSTSTSHKKDDYKRSRHRRSRTRNRERTSRRSRSKESPRNKKSRRSRSSSSSSSESDSSSSRSSSYSSRSRSRTPQRSKASKRRRSNSRVSEDSDDAREKRQMKQLMKEKEQAYHARLKRWESRERGMSKKYEREERKEKDRKKSLQKEGKRLKLFLEDYDDEKDDPKYYKSSQFFQRKRDYEREREADQKDRIQEIQEIEELKKQIMEEAANDESINIDEEARKRHKLKLQEDEALRKMRADSGSPNPHQPLGQSAKGDNGESSSEDESSSEKNNAEADGVKMEPMDHGEGTSVQQGEELNAPGAVNGGAWKSIGDDATLNPQIARPVANGNQPQVKKEASPLPIVQRLNGVFGHDDDEDDENRKKKLKPFQITREERMQVMSAEEKKELTKQIIKKIPLTKEELFVHKIEWDQLDRKWMDNRIRPWVAKKVNAFLGEDDQSLCDFICEQIGKQATPEEILKDIAMIIDEDAEQFVIKMWRLLIYEGQARRMGIT >CRE27427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1226752:1227211:-1 gene:WBGene00052555 transcript:CRE27427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27427 MLSLRTFVLVMMVLVGLAAALPYFRLNYDYDPVDLENDEKNAARQFLPYMKRNIAIGRGDGLRPGK >CRE27428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1230234:1231333:-1 gene:WBGene00052556 transcript:CRE27428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27428 MRLEALSVSFVSLLLLSFSLIALSAAPSRDSLRTRALPHGAGRSALRSRPKIAQRPSGIAPKVQQCSDSDGACASWVASDRGACQRKEYIKKNCRKSCGNCPIYEAKFDTNRLNPQLQPIRLLVGRWKGEHTGKVTFPTIPTFKYSEEVEISIPEGSNIRSLNYTAAAWSSDKEDLHRESGYITIKPNTREVILTTVMSNGFITVEEGPLSGNSIKFILKDIGRISFVRDEHLHNLVREWTLDQGYLRARLSIQTLSHRMQEHTSILYTKTSV >CRE27126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1232327:1234161:1 gene:WBGene00052557 transcript:CRE27126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27126 MKSIFLLFLFLALAVVLEARYNPRKGLAAGRQRKHKYQDEGEAFVVLVAGSNGWYNYRHQADVAHAYHTLRNHGIPEENIITMMYDDVANNQLNPYKGKLFNRPHGKDLYKGLKIDYKGSSVTPDNFLNVLRGNASGIDGGNGRVLETNENDRVFVYFTDHGAVGMISFPDGILTVKQLNDVLVWMHKNKKYSQLAFYLEACESGSMFESVLRSDMNIYAISAANGHESSWGTFCENDMNLPCLGDLFSVNWMTDSDGEDLKTETLEFQYELVKKETNLSHVMQFGDKDIAKEAVALFQGDKEDREYIEDFGLSASKSVNWPARDIELNHLISQHKKSNDLTLSSKLEYKINRLKETRRAIKKNVHMIVEKLFEGESEDLISRVLTQSRPVFDLRCHHIAVNIFNKYCINFNDYEYAMKYAKVINNMCFYRRIEEIILALPDICMEIDIEEEVATRMEKEFL >CRE27429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1234378:1236137:-1 gene:WBGene00052559 transcript:CRE27429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cal-1 description:CRE-CAL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNK3] MRCQRLGLPTNSKFFLAISPLLSPLHIPPMIAIRAERMAIPSNLMQFSEDIIKQLTPEEIDEFREAFMMFDKDGNGTISTKELGIAMRSLGQNPTEQEILEMINEVDIDGNGQIEFPEFCVMMKRMMKETDSEMIREAFRVFDKDGNGVITAQEFRYFMVHMGMQFSEEEVDEMIKEVDVDGDGEIDYEEFVKMMSNQ >CRE27430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1242011:1243408:-1 gene:WBGene00052560 transcript:CRE27430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27430 MKTLWLLLATIFHVFAHDELYILIDEVSLYNCRGVKNEITINEEDIQIVNERGNRVYYIRAPGNYSLDFKKIKVKQNFGFLAGEIGITLQVPVLEGPAGIRFDLPYTMIPETTLLSQKCDEFSGVIERNGRTYCRYCDLCQVSQAVENELAAGRHQFLSHSEGDTPVSKCYNIEANEYDFRRTIQLPSRSHLEGLIKSKAQGIDDEIKKRLNKGRGRFQVFLNLITSDKPAISRNRWMAGSKDCDCCFNRNAPHCDSLSYLYCNMEDCKTGWALQCLHNSAKVAACYTVEFNYRMTTSYGDVLEFLRENNYPNQDSHFTQPNQPAVPTTRRPVTPSFEARQVNQLQMTQACVESMPARMTHLRRYCTIFWNEKLCCEHCPDIC >CRE27127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1245021:1245567:1 gene:WBGene00052561 transcript:CRE27127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27127 MYLSERSVRNYAFLLKSDDLNIAYYAVKWLLESNVSLELLEKYEIQNLVEERFLITDETARSLILKISNLEEDVENGNGSYSNPPYVTDSPKSPPKKKFRRHSDRYQLSEMSLEDSSDGSPNSSSEIEVWEGPVRNLKEQVLAGAYQS >CRE27128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1259054:1260401:1 gene:WBGene00052562 transcript:CRE27128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27128 MDQLASQLGSAELQALIAALPHDLTINPATSKQSSSSSSDLSASNSSSCIFPPLSDMTALSMLSLGAYPGLFPGFPLLSLPYLSLPPNSTIDSLGLINSAIYQQQKDAQAAMCAPSNALAATLAADGRPRAFSSAATLQHVKDQEKRRKRTRKCEPALPITTSTITCSSSSSPSSSSTMTSVLRTLVEDDDAEVHPNKVRPIQVTPEEEEQVRKKTAELLKAMPKQALEPEVSSNGPLRRLIRDEDLPDEVGPDSPFRHYPKYQSKKELEVSCLSTFFELQKLCTLFQSFAKLKTHCLTLDSFQQKPRSQLVMLQGIQAAVACMCIEAFQLNDKTDEEKNALRQKQRGLSLDDLVKETVRQELIAAE >CRE27431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1267978:1268941:-1 gene:WBGene00052563 transcript:CRE27431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27431 MNFSLLIITAFFASLAVSKPMEKPTEKPASSDDFDLDLFLGSMGDGHFANVDVDGVETMAPKKSEKLPGQKKMKPEFQQPEGIAPEFTGGFMGSDSSDAAVIAAEPSTQRTDEVAESSESTDSSDVDYFGEAAASAPVSNPALTYLSGANFDNVAQPSCQMLGCTGPIPNDGSYAAMSASLEDKACNQIFVPMNGCTDNKGYPMGMLCSVCCDCANSFVQEMKKTFGYKANVDTSVFSS >CRE27129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1280117:1282445:1 gene:WBGene00052564 transcript:CRE27129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sel-1 description:CRE-SEL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNK9] MIKTGLTLLLLATFATCQKKTAALVSAEGEAPSIKVIKTTGSLLTAIDVSKSDLDWEQIQAQQEENKKKRELPTVVGDEYIAEKVDEPPSAEAEAEFQRGMSYIERGKGHGREGRVAAHRVFERAAAQGHQEAKKAIAFSQMFGDYSRWSIQEAKSVFEDLESNGSPDAQLALGFMHGAGIGVEKSNQAKALVYYMFSALGGNPLAQMAMGFRYSQGVGVPQNCETALSYYQKVAKTVVDNVKFTTGQTIQRVRLTDETDPTIHMQPGTAPLESNLVEYYKMLAEKGDTSAQLGLGQIYLAGGRGLNQNFELAVRYLTAAAESGSSDALTYLGKMYLDGTPFTPKDYQKAFEYLMKSADKSSPSAQAVLGAMYMKGKGVKKNIEKALKLLTLSADKKNADGQMYLAELNYKGVPTSEGNKRDFKKSVKLYQLASQNGHILAYYNLAQMHATGTGVPRSCTHAVDLFKSVAERGRWGEKLMEAHSAYKENRHDEAAMKYLFMAELGYEVAQTNLAFILDREEATSLFSGPKDNNLERAFLNWQRSANQEYPAARVKLGDYYYYGLGTEIDHSLAFSNYKTAVDRHGVAQAMFNLGYMHEVGEGITRDLYLAKRFYDQAIEHSQDAYMPAKLALTKLAVVFYLEELNKLPLISFLEKTIGPRWDSILMTVTALIPLFLFWRHRQNNN >CRE27130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1282885:1284652:1 gene:WBGene00052565 transcript:CRE27130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-5 description:CRE-MRPS-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LNL0] MASLLPLVQTRSNTVNFFMRRSGPELWKTLTSVSKSGQKKGRRNTRQPIRPLNRFYRIGSSPMKVEFAGLNAPMRMNQDQGLLSIAEQTEDEIRDSLGGVKKILEEKDTGKKKRNREKLHPMERGFSGTQLVGQKLGAPPPVDGVDFDDFETYCLEVKRTSNMTNVFGRVHTMSALVVTGNGRGLAGYAVGKAPIHRTTTAIINGMGMASRKIFHVELHEGRTIYQDFYAECRNTRVFAQRRPRGFGLTCHPRLIKICEAIGIKDIYVKVEGSTKNYLALTHAFVTGLLNQETHQQLAERKGLHVVEMSPSRHFLPQIVASPISVELKTEETLEALDRLNLDDFYGEGRYPLRKPKALPFFSNLEGHLDARWRKHPFRNQESTMIRLIADNMVPRWTRDARAAWAEQRNERMTTGVEPMPLGIGLSHVVPKKED >CRE27433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1285346:1286829:-1 gene:WBGene00052566 transcript:CRE27433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27433 MLTKLIIALSILVLHMAFGAVVRESADREEYVPVDCSTLNECGGNWACVEYLMALYRLDCVAGPVSKKSPFVNGGGKRGGMKNLQKIFLGSYMSKLR >CRE27434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1294779:1295890:-1 gene:WBGene00052567 transcript:CRE27434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27434 MAGKHGEDMYLLSLMECVSYYQQPADNILELKMGDMVIKFRPRAMFSPQNEIVNYDLTYLEQYRDIAPVALQNHVKCIFQNTLRVEILVSSCRYLTNLPILENVKTSYLKGSQAVASVLEEFLFVHNNQECVHIVPFISGNFNRNSEIFSIPKLYCKRSGFAAAAMIPSFTGNCLILEQAKCDTVLLIQLLRKWVDKTAYQNLKMIRVSLFENYYFPHGFPRADVNLREILKDFKTKRWDRSNRPQIYELDPQMVTSYVESVDCSQFLDIEQEGGGKLGSVFITHDSFEFYVWDGDPRSSL >CRE02798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5761:124:2049:-1 gene:WBGene00052568 transcript:CRE02798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02798 MSVNFSILPNPLSSILPSPSPSFPKLDYKKCNYGLLNSDIASLDWDLILSSLPCPSSKFDKFIQILSDLILLHTPPKPLTKPRPPSKSIRQLRRARSRFTKLLASHRASFTDIHALSCRIRNLSKTIRGNYARTEKILMKSPHTSVARSLISRRLKTVSSIPSLTFQGRVVCSDSEKASIFSSSFLSNFKTSPPASYVAPHLSSSRPSSSPLLDNDLFAPWVIEHALQKLPPRCGFSPHLANFFLIKKCATSISLPLSIIFGESLRSSLVPLSWKKAVIIPGHKKGNPGCPENYRPISLTDPFSRIFERIICNRIKLDCLHKLSAHQHGFLAKRSCPSSLVQVVTNYKIILKTHGSLDVVFFDFKKAFDQVPLNLLLNKLALFDIPPLFISWFSDFLTSRSFSVKVNSTTDPSSALIHSGVPQGSVSGPLLFLLYINDLLISLQSIPYLHFAAYADDIKIYSHLPSSLQAGIDLVSDWAVSNDLPLAHSKTGLLRLGSLNPSHRFHIVGSPILDSHSVRDLGILFEPDLKFSAHIKKSVSLARLRSSQILKSFKSNNPAFYSFLFKTYVLPILEYASVIFCLAPSSSLSRLLESTLRIYSRKTLQRCNIAFSSYSHRLELLSIYSIRHRRLKSQLLLLYKL >CRE27132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1308595:1311106:1 gene:WBGene00052569 transcript:CRE27132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27132 MNLEFVCTNRSTDNQLLVPKLKLHSDRGDGNSPHGIADPLLSQHLLEGYSEEELQEYRQVFNMFDADRSGAIAIDELEAAIKNLGLEQTRDELDKIIDEVDQRGNHQIDFDEFCVVMRRLTMKKSNWNEVVKECFTVFDRSENGGISKKDFRYILRELGDITDNQIIDEIFNEADVDGNGVIDYDEFTYMVKNYMTDDDIV >CRE27133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1311437:1312639:1 gene:WBGene00052570 transcript:CRE27133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27133 MGPFLDLMKNALFSPTSSSAVFPPKASPSPNSSGLVPFGSPKFYVFCGMGGSICCGFTHLIITPLDIVKCRMQVDPIKYTGVVQGFRVAVAEDGIRGLARAWAPTTIGYSAQGFGKFGYYEVFKNVYGSMLSEENAYTYRSWIYLAAASSAEFFADFFLAPFEAVKVRMQTSSTAPKTMRECMPMIYKKEGMYGFFKGLPPLWTRQIPYTTVKFVCFERIMELMYKHVVPKPRAECTKTEQLLVTFSAGYLAGILCAVASHPPDVLVSQLNQDPNATLTSAAKRLGWKGMWAGLGARIIMIGTITAMQWFIYDGWKVLMGIPRPPPAEMPESIRRKLEEEDRKRQ >CRE27436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1312684:1313394:-1 gene:WBGene00052571 transcript:CRE27436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-43 description:CRE-TTR-43 protein [Source:UniProtKB/TrEMBL;Acc:E3LNL7] MLGVTTVLITSLILVSRVATFRDQSVAVQGILVCGTEPAANVRIKLWDEDSGPDPDDLLDQGYSTKNGSFFLTGWTTETTPIDPVFKIYHDCNDERLPTHRKVKFTIPDKYITAGRFPNITMDAGVIQLEFEFMKEERVLIID >CRE27437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1313744:1315219:-1 gene:WBGene00052572 transcript:CRE27437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27437 MFRSVAIARIAKRAFDSAAERRDTVAGIQSIIRNSLTEFDKGVEEYRVFRETHDTPDWGVIRMSSIAMAHRRFEEAELFLKQQMEEYGGAVRRQARNADVSDEQICASIERVLRKDDSGESALKFFDFLKKYKFCSTRDVFVRVLVEFTVKKHGWKQGLERLQDLIKDDKRAKNMKMSIFLILEDAWRAKESEHAEFLISKIESLKPSTLRSIQLFIRFNELSLIEAADYCKRNSISLSHEEVTFFVEMAGKLKYPMPLLRLLEVNQFVPIPRTSFFLIFDELIKLAGKSGKAENLEEMMWKVKKNRDVSEVEKTILYGKIRHFYKCLNVKAPEKLYILMSLDDNQ >CRE27438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1315529:1319004:-1 gene:WBGene00052573 transcript:CRE27438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mma-1 MLGLGRNGLRTLAGRRGLSSAVAQTSNSTATPPPQQHQQQRQNGDRRKDFVNRTSRPFASATSAEQIKLQKKYQENLESSKLESLHDAVEYIEWRGTVFPQTVDKIIGLLERSANLSSLSDRQLAVLLSIFGATCDTLSRSLRAQNLEKVEKILREKGVVMGLLSRNQLIEAKVDNRSRVDAVEELGKFEESGVELDSKSYALLCEVYAKQANPKAITDIIAHMKANGISLTEDHVAQLIYSVARGGSYDQVGRVVDTFSSSMNVVRLRCAAARAIAEREKSEPGRGGFEVTEMLRAIPTTAKLHSFENNIYVVNVLMDLIENGQFDAFNLLSSYLIIAESGTTLGENSLNTTVVARSKSLLSEGSVEEAMALYSCVHPSYANDFFVQKLKNTLENNLKSITTTSALEDYFKVITLAENRGMVSSANEFLLTYCSKNTIPLFAEVFDHVQQSGDLRTILGQNPEIKKALGRQLAQHLIKTVSPAEQAALLAKIATVMFAPSAKEAPADTRDFYRIMYKVVGKDVKLVLPALDLMKNTVWYEKREFGTAIVHQLLYSDRDDHLAAEKIRILVESNKLGQLNCYRLHDKLTKFMMADKEKRVNLVAKVLALDFPPDATSKTPVKFGGRNLIKFMSNEIISTEIAAKLVQFLEEDPRVQLTNDELKEANVVLKSCPEKLDLLGKLRKSATMQRWRSSDTNELLKELSNFTSETKEAVKSTLKRVILQKVIKESSDDLDQLVNILEHVVEWRKEEKFPDFLKSLSRTLENHALSKAISANNMVLADRIWQMRSGHPAVDVLLSYASRLFVNGQVERADEVCEELRKSSQIIRPQILEKMGRRLKGADPSKMNELATYLQNSFNLKSKDARRVVLQAKTDQLNQLIETNDLPGALRMAIEETNSSKRAFGQVPIMIEAIKRNDKQTLESVYTMVRSAHNVDMANVNLAYALIHSDNVNRARSLVERQNLNIESSVLQYFTTLASASENPKLLRDLFIVFNGRASTLDLNYLLELATKKLCKLSSSNDIESLMKLSHEIETTSFPLQNKLRTFFDDLKEKHSSSSREFVDFD >CRE27134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1323086:1329194:1 gene:WBGene00052576 transcript:CRE27134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27134 MVSRKRREYRTRTTLLESDSEDDVSSSGSATEAEDLEEDIDMEDLDTTELENVHSDEDCLETEDADKKREKHFCKEIWQLKKLPYSAELEEQANRHFQMIKKGLAESILLNDAATGFCHWTMELDKYIDFYGRRFSKEEHIQLIRIFLPLVKKGAIFRNVKIAMRTLYTLLCKKDFLTREDLVIEWRPLMELYVEVTFKNLEEDGLFLMPDGFRSDLHTLIFYARPYFSDESVQELLDEVRPFMCIWDESCLRYWKLMDLFLCTSLPVEKQLTHGSAIWLDEAWYWYEQITNNSLFETQAIKMFARLSVECPGHIDWTDKLDLIFSRLLRALRLGHVTGLCQIFNQEYGSIWLVFMIGTKSHEKLMSHLRDLFNQVESFLHPSNNGLHTQHIMVLLSKLLSNTLLRLKRERSEKSQSRTRTLTKIPDEMRLTQAHLDELVNMLLPSLKLIAFTKTCKELVSPAFRSACLLCPKIILPVVLEMVYPALETLVEPHRLLQTLGTLLGVLIPLVKDEPDENGKTYRSHVITILNSLLPGLDCNDISKCMVTYQIIGVIVNMIPIVDCSEAVHVRCDLSEDEKDLCSATASFDSLISMLMDRMFDMLIAVGQTASTTSTHGSISAKTGNNIEDQIFHRGTLSVFKGICRNSSTELFNIAVNKLYNVACEHVFDSRIANDVIGDMIQVACKFHPDIAFQKFFKLVISKLQGCITPEFYTDEKVEFGTLWWISIASRMIKVHPKLLLDNWHMVETLMDLVMPIKKCTTATEKALNVLDNLLDQLTSIQINSLVERRKMYDLPTDQFLAIRHWAAPVEKKNWNPEWIIPTQESIDRSTQLLRKWLIPTIDALNAPTGIPKKEMLHRLYLIRSALLGSCFSLPLLEGKIIPLADSHIITPEDEMMTIVKPKGTPEISIDGKNVRKIILDCTIGLINWLLEHNPDDVKSLQEAVSILRSLPLNRGYTKELYNTSSTSYRVTKTMLCDKLAGNRSNIEMIVEEYVMLLHRKRVAHTQGWHYNEHHKLLQDTLLKVATSTYSEVSYSNYKLFEISVYFQNRAKAQAILLGKLREHPYSYKRIVNDILAFLEPGNDVTHEQLKGALYLLIDGKKQSLMLRMEFEQQSKMWPALVKVQHSEKPSIIALLETAQNMIVDNYESYRLKYEWEPENVEAAWKLLKAADEGSPLHNAEMLRGPTKEELAKYKDLLTEKYEKSKSNYFSLIDQLFSLANDPTLHWRPLDMAYSMLSMQVRRDCPLPDNVVKMFVRLLINDTVKTRRIASAVVASWLKMTKPKAVKREYVIPYKAPNTSVGAKHPIPYGFRADNRCMMYEEEKLPKTDEEWDAFQFCCKQNWGSYTWPAKLTTYAPLKEQKAIDRSFDEFSEVEKYIVETFQDEKFMTRFRELFSIEMKKEDELFNAVHFSLFQGLFRCYGDVLTHAFRTQLEILLASAKEYEQKLAAEITAGLINGSKLWKYEKQRKMWNWLDPLLTKTFEIMKEDGLRNWGVAIATVCGCSEARMLKPLLDLLFKLVERPTDNAYAASSRMFLVQSALCQFEWRGVELWNKLVDMMKGSLVQPFANLRDRVAISLVSATWYDLPAVNVDPSLPKRLQPPRIADISALYKDLLGTCWDEVRLVRDADSSIGVNGTANGMSSLSVPTNGLNGETMTHSASSASLAEVSEVKKQARLTLRAVISFVFNTCNQSYDAYPPSFIELLPLWCHYSNDVGDEELQKTCSSLCITQMEAIYISPENAPEVIRQFQQILSSPCWWKAKVAALKMIRMLVFSNRYVFRIHRDDIGMILVNSLNDSQIEVRERAADALSTLLQSKFFETTSELINKFCTAAHSKDLIQSHGGVLGLSAIILAFPYSVPTLLPGVLMTICKFATDKNATIRDAVKRTLSEFKRTHQDSWREHEQQFNEDQLMVLRDLLISPNYYV >CRE27136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1332057:1332693:1 gene:WBGene00052577 transcript:CRE27136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27136 MNTLLALFSLLAVASAQYLYYPTSYYTPYYYYYPTAAVVGTAGVTQQTQNDGSSQQATYGQQQPQQQQQYAQQGTQQQQYPQQQTHYPQQQTQQGQQQQTLQYQQGVQQQPVQYDQSGNAQQVHYDQPANNQQQQQQYTVQRDQSGNAQQQQGGQTTGTVAAQPMYYYYTSPYYYYYGRK >CRE27441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1333601:1334144:-1 gene:WBGene00052578 transcript:CRE27441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27441 MGKKKSDSASGGAIPEGDYEKGKKIFKQRCEQCHVVNSLQTKTGPTLNGVIGRQSGQVAGFDYSAANKNKGVVWDRQTLFEYLADPKKYIPGTKMVFAGLKKADERADLIKFIEVEAAKKPAA >CRE27138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1334400:1348489:1 gene:WBGene00052579 transcript:CRE27138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27138 MFLSLKSRQDTQNSAMRVVLNSAKHYLVALRNLTMDMNTLKKWKLDKTNRDVRRRGLLVKMTRQIIKFEKLLQMNSCEQSKCKNGGTCIPGFGPKFTCLCPPHFTGVLCESDVDECSIYNGTLAGCQNNGTCINKRGGFDCQCQSGYHGPLCQYHMSACSKTFELCGPHGHCIENIVDPASAASGETNAYKCICDWGFKVSSDNNNPTCVDVDECESNPCHPGIDCINLPGSFICSGCPDGYKKEGNACVDVDECVGERKVCSPLVKCHNTIGSYFCSECPAGYTGNGETCTKEDSCENNKCHKLATCKVTDDGFSAVGGYTCYCPDGYVGDGIGEDGCTKTTNTVCQNNECVNGGKCRAISTTEYQCTCEAGFSGQFCEKTSPCQTNPCLNGGTCSVYDDLAYCDCPEHFFGRICAEEEEHCGSHFTHPNGTYTFTMKPKNKTSSICDFIFNIPAVNSAVRINFTSFDQFTQEGSGPTDCATTDANLTLYDGPSDTSPEFATFCGDSHSLHAPLSDTPITMTTTGAMLRFRGTQGTFGIAWETVERKCGFRTSKPEGILSVPQNKQDVVCEWFISAPGGKIIEVTIPRITMHSKEVENCDQNSLEIYDGYSTYDRHRILETCSSTQEPQIVKSTGPFLSVSFVSNMLQSITGLETIRGFSLNYKFVNPDRECGGDIDNVASDFSFSGVVESPNYGSLYPPNMDCTWKINGTLGNDSYSADIVMKLTFDEFDVKSGFSATGPAMHYRTFRRLYPMNGDFVLSNGGLSRVYSQYRQSMLDMGTCTNDYLKVHDGNGEYIQGFCNPRRPPNTLLVNNPVAVLTFHSDGVEQGKGFKIKYEMFCQKQVKGNGTIQTWNFPHGGSAGKCTYVIEAPRTHVIHIRFLTIGLRVLPMSECFYATKELDAYENYVEFSGGRSDNMFFNRRYVCARYPFVEGNWMSVSASRPLKITVGSDGNSLFKGLSMEYKTADVGCGGLFSSMTGVITSPNYPEKYQPHMHCVYQIYVSWSRTVKLTFDTFDLEVTPATSCEYDRVEIYTTYHNETVHGDLIGKFCGAMIPPSVFSTTNTMAVVFVSDRSVAGPGWSAKFEAVSRKTTCDFTLTAPSGRLDFDSEQMKYEKCVYHIAVHDNQRILLKMENMSLPCGKSSLSFRNGPSETSPPFSSLPPESEVCTPTVNYMPVIRSFGNRVTVIFKSINSVGSFFNLTYETIASGCGGRADGLSGIISAPQYPLGDKKNLKCDWTVAVALGNKVRFVITALDDLRSSDSSGFCPLFAPNRLDFYNSARQGNLHLKRFCAKELASEPITSDDNELIIKYAQAGGFQSKKIFGFSGHFTTLCTGIVLDTINGNIQSPGYPYNAYSNQFCTWTIRVPKGNRILVTMHHFSISQRASFYSKECQVDMLKVDDTDLGEAEVTFKSTQYNTTISVNKFCEKAVPRTIRSKHNTMKFTYTSHSDPTNQFWLSWSTIGCSSEINSPQQLVITKEHIDPEVDEFECQYKIQAPVGKQINLRVESLDILPIGSDCTYKKDAIFNGFALFMGSSNDSGVPFQTYCSSKNQQNVSSHTNELFLYFSINKDRLKNNLFFNATIEFIDIPADSPQDTCGGVINLERGINNTTIVSPGYPAPYPVGVKCRWLVNAPPGYHIEYTIEEYHTPNYHEDRQSLSPFSSYIGTSNFSCKWQLSYNDGMLTFYNGNSSKVMAFEKVCEESSTPRTFEMYSSQSLITFDGATSSFGQKTGEGAREKNGMRISIRPRCGGVVLAESKPQVISLYHEGENVCNVTIKKKDPEDSEIFLRLEEYAKINASSQHTIDDKLDIYVGGVLKYTEMLKATDNTMQEYAADDDMMISVQHANAPHSAIIIVSTDERNCGGEVRHSQGTIYAPTRNLDKPFDCGWTISNNIGNSVKLSILDHNLKSSPNCTDSYIEVRETNSSGRLLKRQCDISAIDSTEFEAQSLFVFLRYRPAPENGEEDEPDVDQQDSNSRPLFKARYEKVSGGRVKGRFVSNPVIDQTETMVWTLDTGDDTAGIILKWTDFYLPSSNSFLKMSEAAENEDLEAIGYEIVQGVMTPPESFFENKVIRVYGRLEKTDRFSFTWYAVPLNSKNLTNVTKEKKVFDCGGDLTPSYDWESFTNPLPPGQSYGYEENLHCRWTINRPMFTGIELKFDYLDLENVQNCAYDFVTFRLQYEDGPEEDDDVDLTNVAKHCTLARSNQTFKFSVNRALHIHFVTDRSRHGVGFKLSYRLTCNAFEHIRPGVFFEQTLKSPNYEGKLAPRAWNCQYSLILESNRKVFVQIVDLDIEEKSPCEADNLLIIGDRFSDLINPYSKAAKLCGRLDPGERSNYTSSRGRLFMKFSSSASSRRGFKLIMKEEMTECSSGLLHVDENTPSRTIYSPEFPQRIPNSAECDYVMTAPNGHRIMLTFDPDNFDIDLTEESCDNFDYIEVRDGPSQHSNLIGKYCGNKPPSSIYSTNNFLYMRLHTSEYGRSRRFVATFEIASCGGTIFVQENVTTHITTPNYPDPFTTPIQCQWNVRSPNTHMIEAKVDHLWLFYNQNCTMENLTIRDGNSTADLLIGPACVARQAPNGYTQSASNQLTVQFSSNSTVSRGGRQYCSQKKCGFDLAVKLSNQKCGGKITSLFGSISPPQRDGKLLPHVRCIWDFEAVPGMVWMFTVDFYGKDLYRKSDRYNGRFSPIRRECFMDAAIIEGFPPYDGAQMGNQFCKNNSVIYSSTDISRVVYDDKFTREMMALTLGDESYDNTYYSPFSISYFQQPASKENKGCTIRIEKNETLEFHAVSKPNSAGVKVDCKSGIRKKYYSLNFSALCHIAIIKPVNFESVYIKIENYSVKEQLLKDTAICLAWGAHIKIKSDEPIPLLKTICDATQRKENATEMIYNNPNIDIYLYQLFRDQESQEFNLTVEFHKCGGVITTPNNGEITSPNFGTGQKYLSGSKCRWVLEAPAGQVVKIKIVEMRILYDHECENDHLIVGEGRQADLNPIHRYCHNMDGEQEQKLEDRFKTIKTHGRYMTLQWVTDMTYENSGWKIEYEFVNENDECGYHTRGLSGMIHTPSFGEKDYENDLECIWDIQVPLGFHINLKYRDFDVETSENCAKDQLVISQEHSTRANSPNGDYYFLFQDEEKENPLCGIEHPKDFESESNRIRLNFTTDSKTTARGFRIDWKAECGTTYRLNHGVVSSPYYPEGYPNQAETCTYLIAPQDQNSVIAIKFSDFDLSTVKSNFGRNPCEEDYLQFIETGSDRVVYTLCAGMAMPADPMVFKGPVGLKFVTDKSYLWTLDDSKEKKAMKRNRGFQFAYSINKCGDNIDLREGNEYTTTITSPAFPLPYAKDLNCVWNITTDADRQLYVKFEKMDLEAFTDCTADYVELFDSSDMVSNKTLGKFCGNMRQAPRYRIMSSGPNLLVHMKTDFNVNAGGFKLVVVSTLGEKDGCGGKLTATDTWQTLSSPQDEDGNYPSALVCGWTISGPVNSQLAIRIDGVDTELLEYPPGIHPKPECIDSLAIYDGQESFSPALADDICSDTTYPKLLRTSHRHAFVSFTTDRDGTGKGFNISYMTVEEDCGGWLQATSEMKTLVYKGITEEENSELEDENERSHQRCRYMIQGSKTEPVIVNFKDFNIPSVAGDCSESYVEIRDVGSLQECQHPACAREPNQRKITKLCGTHVPASHISNTNTVQIIVSAGIVPSGNHTRPMFKIEYNLLDNCNRTINTAEIKSGRLTSPNFPRIYSENSTCFTQLKSSNQKMLMVFKLVQNFKIFSEFMLEDPNNENKECEYDHLQVTFFSVIDNKEFVFQVKDDGLNGKKYCGSSPPSAFLTSGKDVTMEFKSDHSLSHVGYDASYFTVVSQTDSRIQFADSYELEGVISSIGYPDGYNKSMNQVFTLRPPGNHDCSIIFSDVNIAVVNSREECTRPTSEYLEVEVLFKKELKQAKVRDCTFNNRKKVELILEADSTERYIKFRFQSDEKSENDGRGFKIRWSCHSIGRTYPLLSN >CRE27442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1348725:1349717:-1 gene:WBGene00052580 transcript:CRE27442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27442 MEDATIIAFILAVISFLGVVFNWTVVIANRQITTSKHSFGILTANQALGDALYSTIFLFYVCPMIHFVTNTYRLASVVNITSLSLTFVDYYVGCSLNWYSELFLFNFPSTTFCQIVAFYADFCKYLVFILLVIIIDVATVFRVHQLRNRIQSSTTVSDKKAAAQRAREMSFLKQTCVQGGIFTCELITYFILSPMIENAWILFFCTSFAWVSVHSLDG >CRE27443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1350011:1351880:-1 gene:WBGene00052581 transcript:CRE27443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27443 MDGVTLGVGNGGDPDLDVTSSNNRTCSRVIIATSSEKLSTIFRFQFVLKCVMKWFSTLLYILLIASRIHIIYSCIATSPTTEPTTTTTTTELTTTTEPTTTTTTTPTTTTTTTTPTTTTTTSTTTTTTPTTTTTTTPTTTTTTTPTTTTTTTPTTTTTTTTTTTTTTTTTTPTTTTTQTPCNPDAINYGMGDGGTPEVAVDVDYTNVVSTTDTTTGVVTTTMTVTCSAINGYNVYMIFNNGQGGPADNQNMPQTISISLSCTSDTMVWNYIVTINGVTYTRAVTAVDCQQAMNAGK >CRE27445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1353288:1359447:-1 gene:WBGene00052582 transcript:CRE27445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27445 MRQHIRNTQDNPFAETMARSVYVDDVFVGVDTVKEAKEYYHVSKGIFAGAQMNLCKYVSNSPEANRYFTEQEKTEPETAKQRLLGIDWNIDSDVLVYSLPKPKPGLLTMRKVLKTIASCYDPQGMLTPTTLAGKLFFQRLTAKMNWDTPLTLELDTLWKKVMKDWSGEPWTIQRKLFSKQQWSRATSVQLHVFTDASKAAYGAVAYIRMLVDDEALTQLLMSKSRVAPLKPSHSIPQLEMLAILTGVRLGNYICKEMDTTFDEMFLWSDSMCSLDTLKTATVIGTRFVQNRVSQINDEDSGFVFTHVPGKENPADLLTRGLXXXXLCFYSLFSYFPVFHTNAFFFMKIPEQHSSNLSATRTLQYRSTTASGTHSTLACCWTAARLMRVTAETPTTLAGKLFFQRLTAKMNWDTPLTLELDTLWKKVMKDWSGEPWTIQRKLFSKQQWSRATSVQLHVFTDASKAAYGAVAYIRMLVDDEALTQLLMSKSRVAPLKPSHSIPQLEMLAILTGVRLGNYICKEMDTTFDEMFLWSDSMCSLDTLKTATVIGTRFVQNRVSQINDEDSGFVFTHVPGKENPADLLTRGLTFEELKQSKKWLHGPEFLQDVKELPVRRSSVPEVSATAMMITVKPVPEVPIDPHRFSSFHRLLRTVMAILFFFTRKCSSTKKFGWNSDRERALHAQKMLFRWAQWMNPPSEQTIQSLQLKQNEDQLWIYRGRVNDRPLIFLPHGHISKLVVLDYHTRFNHSSPLFNLAQLRDTFWIPNGRSYTKKMTANCSGCKHLRVKHYRQPEFAAFPDSRVTPSKPFENTGVDFAGPLKVLINDRIVEIYFVLFTCLFSRYVHTEVVKDMETTTFLHVLRRFAARFGIPKSITSDNAPQFKMLSAVLEELKLQQGTGMVNTTSLPTFHFIPAHSPWAGGVYERMIGLVKRTLVRAGSTKVLMSYEDFVTTLSECTAIVNHRPLTYVAAEDDLRPLRPIDFVMPSNGANEIMDLSSPPDMDGLSSERQNLMEQWSRSSSITDDFQRRWNKEYVQVLQERYQFDHQQKSTESRKPNVGDVVLIEYPSLKQARWPLGRIVEVKPRSALVKNGKTKRIVEYPWKALFPMETGVVAEEPVAPETAPLRRSSRIRQNQGSAIITALTLLALVSSTSAATSEMSVPQSRIETLLLIALSFGLLYTLYLVISLVHALMHIGRGLVVIMRSLLHGIAALLRCVWNCCRGFRIRRRQLIPIIVLLTVIVGQAHACNEIASIQASEDVCIRSENGETCSLKTLSILNIRPNGSIGCFKISDERDLQLQSFVEIQVEAVVSKCMQRTHHYARDYEIEHSWAHSCWTAGSCTAEKCEDLQADSTELPEFSSESKRAPGFSRCYKTCGCITCGWCFHCTPSCLFSRIFAVPTSDTIYQVFTCPAWTTSVDVHVNFNGERSSHRMEHGLPYKLPDTNISIIITGFSTPPAPMHAATFIKKWPKHAEDHAEYGFTYTTVSQSGAPTRGLIGEFQCPSQADAQDFNCIFDEQLCRCITKGPALRCSCEHLDLKKIMSSHRLPLTEYGFGIHAEEGTVVTKLTTSAIVAVQVQFQGQRVQRIVKEDECRLSEVTISGCFSCAKGAQLQATCHAKLNNRIEATVVCPTLGINFFDCSVNGHSGIIDFHVAVKQLDEVCDISCGKITTDFRVIGHLEEEVTFNVSQLRDKFVSYSQSIAQSDIWSSAKQAVAGVWNSLLDAIGSWTLMLIVVSCGGAIVVLFVLPLAVLLVPRQCRGLCRRPRYQRRRSRYFRRD >CRE27448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1361012:1362491:-1 gene:WBGene00052583 transcript:CRE27448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-113 description:CRE-SRX-113 protein [Source:UniProtKB/TrEMBL;Acc:E3LNN4] MLIAEKSTRITGGYVIVSAFLGILINLFMFFKFLSFEKTSFYIMCTSKTVSNFILLTVYFLYIGPTDLLYTQIGPLELNTYLNQTMGLGMYLQGPLTQMMITINRFLVIWFTPTSVPKYSTRVTVTALSVSWVAVIWLSTLVGLPVFFSANCRAPMGFEHIGYYSTPCNNQITIIVVSAIFLLALFTNSMNLMIAGKLIWGWKKAQSNLSSEASQLRRRTSVRFFIQSCIQDWICVMDVLNNMASHLYCSSDRLCISLALISFGVLVYGADGLVMYLFNYKSSGKRDESRDITAESMRTIIVSPAPI >CRE27452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1369766:1370791:-1 gene:WBGene00052584 transcript:CRE27452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27452 MYFQVLVLLPSSHMELATAPIRWSMDVTYSGYALSHISLFQSHFSSYSYSQISGSSDAISTMSVRCSALTDYNVYMQFNGCQGGPLNNQNFPEGNDITLTCNSADMVWNYVVTLNGITYTRRIISVTCQRKMTKFISFRDSPIGIHQKIHSRIDSHR >CRE27453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1371315:1372628:-1 gene:WBGene00052585 transcript:CRE27453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27453 MKCFALEIVICLLLVAENITQVDACAATSSSGSVTVTSTTLSPITTTTSGSSTTTTTTPTTTTTSTTTTTTPTTTTTTTPTTSTTTTTTTPTTTTTTTTTTTPTTTTTPTTTTTPTTTTTTTTTTTTPTTTTTTTPTTTTTTTPTTTTTTTPTTTTTPTTTTTPTTTTTTTPTTTTTTSPTTTTTTTTTTTTTKTTTTTTPTTTTTQTPCNPDAINYGMGDGGTPEVAVDVDYTNVVSTTDTTTGVVTTTMTVTCSAINGYNVYMIFNNGQGGPADNQNMPQTISISLSCTSDTMVWNYIVTINGVTYTRAVTAVDCQQAMNAGK >CRE27139.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1376236:1377079:1 gene:WBGene00052586 transcript:CRE27139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27139 MLERVLSVFIFVLYLFDTVFASTEEHNKGKDDMYKRKISAVGIKDRGVRVEQFAVPS >CRE27139.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1376335:1377075:1 gene:WBGene00052586 transcript:CRE27139.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27139 MLERVLSVFIFVLYLFDTVFASTEEHNKGKDDMYKRKISAVGIKDRGVRVEQFAVPS >CRE27454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1377524:1379208:-1 gene:WBGene00052587 transcript:CRE27454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-8 description:CRE-GPA-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LNP1] MGALCSSETYMLDKETYKKQVEHNKMIESDLEKDRKLKILKLLILGPGESGKSTTIKQIKIIHDEGYSIEEKLVRRHGIFMNILEGIEEIHLAVGRENKSYKNPLSFDHIHEVRMFTENFKKADDGEKALGAEVINAIQKYIKDETVAMMLREKTVYNIDDSTIYFLDNFSRIIEKEYIPTEEDILKSRVPTSGVIQYKIMLKNFNFKIFDVGGQRAQRRKWLHVFDDVHAVLFITSLSEYDQVLREDATVNRMKESLNLFEKICNGRYFINTAMILFLNKIDLFEIKIKHTNITVALTSYKGPQERDSALDYIRKRFVSLNKNKKRSIYEHVTCATDTEQIQVVIDSVIDVVIQHTMQKVGIQ >CRE27140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1383877:1384482:1 gene:WBGene00052588 transcript:CRE27140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27140 MSSFVILTIFLGLFFTNCVAVRGEVRSNSRCSDVSLECEYREKYCFVPAYAGLMFKICRSTCDWCQKSLNDVIHSNRRFFPSLPADIPEWMTGVPPTPDNVEGSGSTGIIPSNCVDHFRTCSKTAALCQAVNYQNIMAQKCPKTCGICE >CRE27141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1384737:1389927:1 gene:WBGene00052589 transcript:CRE27141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-25 description:CRE-LIN-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LNP3] MNLDQPTRAALEYIEKLRELFQSKRQKLNNVTSALRPSSFPDISTQQLCDILIRSAYNGGNVNDTYRDHLMELVVDESLIWNQVLHSVINTKVDCLYVKSQMCDLIRDMVGFVQIKSFESKEHADALIPVLKPTLLFLTKLILTLLSDEDELDTMQISYDDKDRAYLKPLEALSALLHDDLCGALLSMQETSEEVTQQLQQCFDAFSKLHHPDELSTSLMELLVEKHEENCKPTEYQYKPEGLAVYDLKNPSIRMLVPVFSCFINHKSSKHMANIIQTFVELMRLPGDSVIFDLLHASVLLMYEEKIDLLHLPKKNRPDYRWQATTFFYKTLPLIIEHLINGGGITVEDLKIGLQKALYDLTMLLDAVDHDWQNASYLTLLNSLEHIVGEETSNSLRQRRREHMKSTATLVDFSDTDNKPIESSDIKKLDVAIKEVMSMQCRQNDNFLNMYVKKVSDGEFEEFDAITSVLTSEGKLLEVGRAFALKNKFAQASSMLVNAEERIRVFDETFLLLSRIVIRNPGLVKTLVVVSIINIVNFQSISMFVNGGRGKTDTELAMFFKWSMWYVKRVPKTRKDQERTKEELVLLRKEVEMLVRLLNAELGIDEEEEDEENMLEVEEDVVKQETEKVTGKTDEKETKATFEDAKNEEITEEKDKEQEEGEQPQTQEDNDEEKMDTSEVTSELIGTPASTVNPKVSDEPKETLHPVNTTGKTVSETTETTNKENNEPMVTWHQIHCPLPRITKKKARIYLAVLKGGKPFWRSDDPNLNLGSILAAIPKIGELLVEEHQDKKSHKVDRKVVEDQMTNIIHGIDSMPCLFVCLVEWVDCEPDSAARTSLAVTIKNALEKRISSSMTSIENNINLSKWKFVKSTVLEMIHEIIDKAIVFPEVTCNAFSTARRFCPVVSRDEVNQTLESAYNNFIFQIPDQVKTKHAWIYMRQQQWTSPHALRILEHYNYAREFKSWCHMFISKTIQIQCGEIMKISVDMILAVCMTDDVNLIIRMQESLMDHWFSEDAGLAQIDGRFDSLALTAVIRLIAYVMMYAELILDRLLNDGPPLKEPLPYEEIEAPGSEDPEYREKWIYLYSSLLDRTVNRLFKILRKGVLCTVINAIIRLIKTIAGAADCKGKRLLIKRIPPEMIFQLAYIEPESVDYALMNAYCDPENEEHTRVKIMFLCAQRRKKAL >CRE27455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1390079:1390825:-1 gene:WBGene00052590 transcript:CRE27455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccch-3 description:CRE-CCCH-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LNP4] MTSLVAYGSDSEQSGEEEDFFGKPTAEGEEETKEIDEKEEKTEAEDKQDEKPLLSSFFFSGEGAEGSSDSEDDEETAPKKKRRHGESVTELDKEFTSSIFDNDYAREERMNASMLSRHVDLSEKPTEKERKKSKFTCKNYLKGKCRFGDKCRFSHPVHNRTSEAVSEVTISSEAKFYTTETPEAQVFHSKKFKSSAGQ >CRE27456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1391133:1391468:-1 gene:WBGene00052591 transcript:CRE27456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27456 MKYFICLFFLFVLISSNLAQFGDIKPCVICDEHWFLVPTNWENMSKYLRGGCNRLHKDVIWPCRDLVDSMNLWEQYSTLYPYIVELHKQACRVFCR >CRE27142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1397878:1399011:1 gene:WBGene00052592 transcript:CRE27142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27142 MLKYQVKSSTLTLRPANRTSRTSSSTSSSPCSISMHSLLDETLLGIPTTSRSNSLLSLNSNDSNLSDSSDVGVLRVDTRSVKQCTDYKTIRVTNSTTARQVVEKFLNTLKLTCRDVNLFDLWMELTTRASGSPVVTLLKLDPDARPYELQRCHPTGMSRFILLQNPTGFLVRVHDHNISPQSNYKSLLLSSHTTAVEAIHIVLSLNRKYDEASKYGLFLATPGADAQIPDDVSLVSIARLCQPDQKIIIRHVDVF >CRE27457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1399231:1402476:-1 gene:WBGene00052593 transcript:CRE27457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27457 MNSEKESNEDFPFIRVHQESNENERGEVHNFTIENTEKPTQETAWKSIYIGGLCSFIQTTQFTIFFPSMWPYILTLQPDLKQSSFGIVVAAYSLTQCIFSPLFGYWSNKIGQVRFPMIVGFIIMAFGNIVYLSLQHWPAYHLYVMMFSRLVAGAGSGNVSLLRAYASTASLSKDRSKAIACVSGGIAIGSMIGPGLQLLFTPLGTDGITVLGLKIDIYSAPALLCLVINTIGLLIVKFAFVEKHIQLVDQNAENGEEGEGKKNKLMNPCIVAISVCIFTRFTQQFINTTIESIDASYSMMMFSFEKEEAVAMNATMHTISGAIAAALYLCFIFTGVRKYIKNRIYTVISLAIPLAWILSTYPYNFYSNHVKIMGNGSDANCDFNKYTWCSDLTTVPIWAYYAGFILVFGISFSIMNITNTTLFSKVVGPRPQGTYQGIYQMAGSFGRMVAPLLMSTTYTFFGPRVPWLILIVNFVTVIAAWIVLREKMVPFEKYEAKRIQPNV >CRE27143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1405134:1406452:1 gene:WBGene00052594 transcript:CRE27143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-116 description:CRE-SRX-116 protein [Source:UniProtKB/TrEMBL;Acc:E3LNP8] MGFFDDIFSLESNENLRIVSAILLTIVSIFGAIFNMFVLFAIFLRVNKKDGFLKICCLKSFGNGIVCIGYLIWPVPVTFLNTYFLPHMFNAFMGQLIGWFAWCIGPLSQVLLTSNRISAVFFPHLYNRSYRFTPSNIGIIVCLLLAFLVFAAFFPGLFQLKLRPSSNVHFIVSEGCHYLYNLENIGWLPEDTLCTSVRRVIFLVSMFIIVVFTTVCGVVLFLKLIADSQSRVMTDAQSSNRRHQHRKVLYQTLVQNGLILADTLNTTVTYKLFTLIFTQFLILSFSMVFIRMVEGLIMLTMNERINNGTKILVGLKKQGDSHGANIGHTMLVWNVSTTSAFQKA >CRE27144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1420705:1422587:1 gene:WBGene00052595 transcript:CRE27144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-15 MSLDDEFEKCQEIEFTHAQFLFRSYLFPVVYLFGIISNTINICVFSQKSMRNHTVNWFFLALSCSDLLTLVSSFFVFSVPVYAESSRDPWYIDWSVQLIVWFYPLAQTGLTMSVYVTILVSVHRYLGVCHPFLIRRISNSSAVKAVIVAAVIFAFVFNTSRWFELHAQPCFYDSAGQTYVIFFSTNSIFSCFSNSSVVYPTALMMNNVYTLIFRNAAYTIVMFFLPFAVLTYVNLRIIATLQQSYKMRKAMTTLRTKRSDSTVPTDTIVTKIDGYSAVVPVENGTGGSGIMMGGSNGGSVKNEKKENGVTVMLVAITTEFLLFNLIAFANNIIELSTIRFFQDIETLLVELSTFLVNVNGASTIIIYLIFGSKYRNVFMRLIKNNFGFNSCGDSPKRPIGYSQAHFETTQLLDNSRFDLNRNKQASVIRRSDMSTSIRSSSTKKTTLTPS >CRE27458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1422664:1424718:-1 gene:WBGene00052596 transcript:CRE27458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-parn-1 MVIIKDSNFLELAPKIRKTLLHSDFIAIDFEFLGLDSSAISLHDTAEARYRILRENVVKYRPCQLGLSFFKQTDNLGYKADSYSIPLLQRFGDVDTKVSFSSLRFLLNNKFDLNQVITDGVEFCRRAEIRKFEIALRKGTATSYISKDIQLQIELLKVMLHEKCYRVELEKITNSTIEPMQDPNLKVAHNKPVSLKVPSGFSSVEICMVIHELTNAFPQFVFKFNSQNSTLNVAMLPEKMTETENKNQTRLRCSESFEGISAILEAAMMMRKVIVGHNSLLDAMYLYHYFFAPLPQNYNAFKRQFHGLFPKIIDTKLIAQSLRIELPGVGDSLEKLGDYFGTEKSNKTVPPELRGYIGPWMNPLDDDSENVYHNAGFDSYITGEVFLKLAHTYINRKNNLKNESLEFTRILQYLEAPIQNRLPFQLMDMGCCYLTGEDSKGCRPDVITIVRRDLLPIGEEEYKIQEKVLSSLMATYQFDIEWSKDRKKLLLATNTPGSYAFLCEKFSRNESLSPLDELEAGKKWTFEQRQTSWRSYKDVNLGVVDFIKRRWSRQQVARIEAALGR >CRE27145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1425444:1426039:1 gene:WBGene00052597 transcript:CRE27145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27145 MESIVELCKTIASYADIGTDPPDQVPLLPMETVFLAILLIIFYYKLFITIGCSIFEWVYSGELQSQFAKTLSLEDEEYHQEFVRHVKKTNYFRK >CRE27146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1426843:1433112:1 gene:WBGene00052598 transcript:CRE27146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-istr-1 MLARHSVSPFYSSCLLILIFSQKLGNMSISWGAQYPKLKTNLRLGINRLQLLGKKKTEMAMKARTEIADYIAANKPDRARIRVEHIIREDYVVEAFEILEMYCDLLLARFGLIEQMKTLDDGIAEAVISIMWAAPRLATDIAEFKTISDQLTIKYGKPFAEAARANQLEFPARVNPKIISKLGAAAPPKLLVERYMIEIAAAAGVPFVPDPDVMREDEVHQAEQILIDFKNAGGNTGGPGGPPPPPSNPYGGSGSGNDNGSGGGGGYGWNLENPPAIGGGLAPHGGAHIGGGVQPASNFIPPPPNRHPNEPPQGGNIYEVPSRDHYDFPPDTFNTEPPKSNPTVGVINLPKIGPNGMPIYPGQQPPNAPGVGAQAYPALSQRNSLVNPNLPPNHPTAPPQSLRKPDDDFSDLSFPEPPTTFVGGNRPGGGSGGGGNTGSGGGGGGNLDDFDDLARRFEELKKIK >CRE27147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1433531:1436031:1 gene:WBGene00052599 transcript:CRE27147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27147 MIDGGDDEMPAEDEEMQRTSKKNSNVDFKSDVFCQKDIREHTGCVNALRFSHGEQLLATGGDDLQSRIWKVDELMLRKNPKPDFLAEKRHTSNIFSLEFDLEDRFIYSGEHRGAVYKHDIQTNQVISSLKRSDVRSSAYNMDHHPSDSHLLAVTFANQVCFLDNRDFKHPIQFKTSRAAGGGDFYSAEFHPESPVLMLLNAEDGGPNVFDRRNPSRPVFLRNKFNGIRTDTRGHGYMGATWSPSGNQFMVLRKLSSPLYFDFQLISQRCFTLKPARNRNGYLNMKTIKSMIFIDDYTVATGSDHWGIHLWQVPRAHEDVPLVGEDRSQQYIVEKEIRVLRGHRSIPNQLRFSKHNQILVSSGVENSFKIWSNRRLPWSYDIPFVRKKNGEYDGTMEEEQAREKEERRILEDALDRDSEMEGRASYDDVFGGNDQTSEQRDTLEHFDVDEDEMMYAMDDEVDSDEERVLMRRGINEIMGHFRQDEDLHERILHMYRHAQRRRIHELQREIDRERLMAELDDILGLNDDDEIDENENDEDDEDDDDDEDEVEGEDERDNDEEDNDDDDEDDDGRDNDEEVNDEEVNDSEGENEEDEEFDDVGADYDFFQMLLHQEDSDGDSDGDSGDEGMELPED >CRE27148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1436410:1437716:1 gene:WBGene00052600 transcript:CRE27148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27148 MSFLFCCCREKNQRNTTSSNKPFPILLLPTLMNQLALELMDPFEIISFSLCSKKANHLCKTLLKKRNRNEPFNISILISTTEIRIALKVAKISNIEWTFCIKQQYLKMWKEKIMNEFYIWNWLENDQNARQLFFSGDTLAAFRQWIDHLNGLFSYKIELLILDLILSPSDADYILKLFEMNPPFLFFKCSDHDHLMRSVMNREKDFSVLSIDFKPKSPSVLDLNNVKVKDYFESFTSHWISFEQMMMFSCENISIFRTSFVPNNFKTMIREWRDGWNPIWKSACIDCLEFRNIINLIDDVPIDIDGFTPFLPIPDQDFGRAYRFFSDILQGDELLPYAGYHFIRMDGQILTFYAIYNGRTYFYIQTEDNFRFELHFHMLGDRKF >CRE27459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1437847:1438589:-1 gene:WBGene00052601 transcript:CRE27459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27459 MRRLSVVSLFVFAMIELSYGTTTNRDAMMTVVTEKLGLTFYTASELTVIAKCCEPQFYKTPNNNTAVLSTAKSCILNNSGNKAVQALSLYSNANNCLSPDSLDSVVTALVPPIQNLTATLVKKIKKTLADCKSTNTQAAAAKQETCIQKTYGIAKAAITLTYVDDTCKKVVNRNVSKGWWACGLKYIPSVLTFSKYACSKIVKA >CRE27460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1439352:1440046:-1 gene:WBGene00052602 transcript:CRE27460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27460 MTHSSILVVFIIGIVNLSHATNRDSVMDMVITKLGLTFYTQAQLTVIAKCCEPQFYATPNNNTAILLVAKNCVLQNSGNKAVQALALYNNANNCLNPETLDSVVQELVPPVVALTDPLVKQVKKTLSDCKSTNTQTGDAKQEACIQKVYGVVLAALTLKYVDNVCTQVVNENVSPQEWACGLKYIPSVLTFSKYACSKIVKN >CRE27461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1440385:1441434:-1 gene:WBGene00052603 transcript:CRE27461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-178 description:CRE-STR-178 protein [Source:UniProtKB/TrEMBL;Acc:E3LNQ7] MIYICVFEILYTILGVLTKPYVHSYTSRVIVIVDVKNSIFSRPVNKILNSLICGFYGCSVSIFAIHFMYRYGALDRTYQKHFKGWKMVVLCSIPIFYGIIWGLTMHFIFEEDKAFTEFIRFKNTIFKISKRLCSRRDIWDLFELPVEDIVYTGSYYYPEDKNGVQSMNLRAAGGMAVLWFVIGSSTFTVIYFGLSCYIKIRKIMKKTEGNFSKSLQRQLFRALVIQAAIPLLLLYIPCSIVFVCPLIQIDLGNMSAFISVSVAIYPAIDPLPTLLIIKNYRKATIGKHKYL >CRE27462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1442965:1444539:-1 gene:WBGene00052604 transcript:CRE27462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-181 description:CRE-STR-181 protein [Source:UniProtKB/TrEMBL;Acc:E3LNQ8] MLQSGWSLFCGYLQNVCVCLTLLLNSFMIYLIVEKSPKKIGKYKYLMLYISIFEIFYALLATVTKPFVHSYASRVIVIVVAKNSWFGRDFTKVLNSCICGFYGCSVTILAIHFMYRYGSLDKKDIWDYFELKVEDIVYTGPYYYPEDKNGLGDIEPLACFGMFVLWFVIGSSSVVVIYYGFRCYITMKKLLGHSTSRFTQGLQHQLFKALVWQTIIPLIMLYIPCSIVFICPLLQINIGNSSAFITVSVAVYPAIDTLPNIFIIKNYNRATLDLLCCTRCRNKNSSTATKTNSAMVSHAGISETTT >CRE27150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1448892:1451147:1 gene:WBGene00052605 transcript:CRE27150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27150 MQPTIKSSGTFILEVFTVLGALAISLMAEKRFLCAPRMIFVFQQVETMFMLIYIIFFLNNFNMDRETDEEVARLLCLFEVFATTFINLIYLSMLHLSAVESGLTFLAITFFLLAAFFLFAMISICQWIIEDQLILLASKLFSYQMVQVVLFLFCTLCVIRLTMRQDIEKKKPLRTYLPHYILTYCSVVLFLIAPKTAFLYAVVTNALQDDELMSTILRGLLFITPIYNLFFVLLINCQDPERRGELAKSIKRAFRGIKTGPIPRINVQEPTSSSALASRSHIALNLMRNPMNSFNKLQRQSAAVEGIPPQNSLRVPRDQRYYATEEEPVETDQVPTPQEILTSRNSEERGFMTVALLRRASQISQRFQGIRGDSARTESACSRTPSPSPRSSQKIESNPSDKDNEISCSPRRPSSRRSQPLDVSQLLGFFISSSTSSVAMTIPVRNKRAVERIQDVLHQNEQNSLDFP >CRE27463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1455650:1456134:-1 gene:WBGene00052607 transcript:CRE27463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-28 description:CRE-RPL-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LNR1] MSDALVWQVIRNNNAFLRTQRGIGKRFSTEKFNLKKVNSPKYSGLANKHALDVSAGAKGVVVSTKNEKGRPAKAVTTSTLSKTPVSSVRSLAKNSGFSKFQKLAQRRAAAIVRSQVKKAKVPKTDA >CRE27151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1456616:1457367:1 gene:WBGene00052608 transcript:CRE27151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-49 MLGSTIRSFASRTTGASRSFFSTSAVRKTEKLWENPWKYAIPEKTKTLATVEEIPVDWSYVERLMPIEVVPEVPKHESYPTPSGWTPPSGAGKTHPYYVRRRPDHLLPLYLERKRDLLNEKTLDFDYVELVTVRSVDGDVFACEQDLRTYLQEQLGHPVATHVDELKGRIKVKGAPRSLIEQFFYSKGF >CRE27152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1457565:1459044:1 gene:WBGene00052609 transcript:CRE27152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27152 MPSSVCCTNCRTKYSLLNREAGCSSCALSFCKKCLGYRALIPTLSDQPMIVCYNCYQKIEAAKMKPDATITSAPLPEYGSSKQQSSNKNWWGEGLPPPSFRGSYGNQNQNISRATQNRQQSSSSQQKTENTSVSIEDLEQRRAKLREDIAPPVEPLSISEIEERLAKLRDCDVEVIRNPRSWLTSSSKEGPYINTNNPAELMRIAEDRARLELKEEEMEAKDWEEIEARRRKVFEKNTEQVGNEEEETSVRESMVSGNTEFSEATKEEMNEINNLLMDAEKRVAETKRQEDHDAAEMRTLMKASRQKSLDAMQWNDKITKEIGGFWDRRNEKEAGEVEDDDDDKSLDEETFKKILYEAENSVDVEPPSQSSKPSETRSSSSSPKKKGFFEKLFRKDSKH >CRE27464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1459180:1460936:-1 gene:WBGene00052610 transcript:CRE27464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-21 description:CRE-DHS-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LNR4] MQANYDFADRRILVTGASQGIGKEICLSLAKSGAQVIAFARNEANLLSLVKETTSLRHTIIPIVGDVSANEEVLFKLIVPYFPIHGLVNNAGIATNHAIGQITQQSIDRTFAVNVRGPILIAQLVARNFVDRQIKGSIVNISSQAAIRPLDNHTVYCASKAALDMVTRCLANELGSQNIRVNSVNPTVVMTDMGRDNWSDPEKKKKMLDRMPIKRFAEVDEVVNAVLFLLSDNASMTTGSALPVDGGFSNN >CRE27153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1461599:1463231:1 gene:WBGene00052611 transcript:CRE27153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xbx-9 MSMDLDPVPGLPLLTTLYCNSTTVKKKTTVKCAFPDELYIKKAFYGGPFGAGIGAVEVAIILVFMLLCFKMKYDVTKVFLTVVYIPLGLSSVFKVALAVYSTFLGAYGWWYMVFLMFFNWLYTTAVVCTSIGSVIFLAALMVIARKRQNFNPRESWVSYTAVLFFSALISGSYHFISYQWNQLPAASLFFVYILCTIGIIAELFVCLCVGFLCTKPPAGTNVAVVTGDPVVDDARTRLGFGALAVIVMNIPQWFEIYVKITELFTPFLWDSDAQKKIYYTAQSKQLLTFDLIRLFLSLFMLIPLFITASGRFAISMWITRKPHPTAAKATANKVFVATQNQPLLIDTGEKKSPPPPTPPSRPVDHSIPAVPITQQPALVPIPHQVPVHQPQHHQHPQHPQHLQAPAPPMYPGIGFAPGMLQLPQNMQTTIVYTPSMTYDNGNGQMHA >CRE27154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1464034:1468053:1 gene:WBGene00052612 transcript:CRE27154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cky-1 description:CRE-CKY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNR6] MSTTSAPLHASSFSSGTTGGGGGAQQALQLQHCAYHIGSSVGSSGSTLNNNSINVPNHNNTMGMSPAGTSSGSNLTSGQQRSTRGASKLRRDQINAEIQKIRDLLPLSDLIKDRLFQLQVLSLGCIFIRKHRYQQTILQPQMQIMQMQMTPPMPRGIDICKALRGFMLMVTRSGKILHVSDNASEYLGHSVEEIMCQGDTIYDLVDLKDHGAVQAELASGPPGAASFPEERVFICRLNLARTAKRQLHYHKFVLFQGRYIQPAEFYQQLNAQNSQPDCDQPVFSAYCQPLINPENAEGMSTGNTHVFCTQHYLDMKFKDSDLMATHHLGYTKEQLKGMSWYGMLHPNHVPEVAHKHRLLCQEKEGSVLSLIRLQAANGDWIWLHTVFSIRPNNELSSDGKRLRHVIHCFHQKLTDLEAATLQANSWIYSMRHTYPTVFSCQDSPPPSEEQQPLSPQTPPYKEQPPMLMEQKPLIGSGMAPPQGFPTMDFNQIKVEIPMRPINTQIPFFTPESSSPESSASLHVSLLSHTTAFPLDNLEDILPSNDVLPELKDEVDEILRQVEQSPTPPPSHSIPANVHLGHRHSMPNAFDSAELYKYLGPALFDGHPTMQQHHLQQQQQQQQLLHQQQQQQQLHHQNLQMSQMQTPPPMSCPPTNYGQSNFLFHHQHLHQHFHHSQPSLPYHFDYYNRKRSWAV >CRE27155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1469075:1470638:1 gene:WBGene00052613 transcript:CRE27155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asp-10 MKMFVVLLALLAVVSAEVHQFNIGYVLTMLGMCNCRVFRYRPPLRQRMNAEGKLTEYEKERNELLAKKSLQLASSSSPIIDYEDMAYMVQISMGTPAQNFVLFIDSGSSNLWVPDITCAGGKDATCGSYCKSTPYDACLTFCQEECCTKTVAGAQVLSTTDACQSKHRFNSTQSSTYVTNGQKFDMTYNTGEVKGFFGVDTFCVSFVTKFHRANHHNFQFTNTSVCATGQVFGQATTIGEAFGKQPEDGIIGLGWPALAVNQQIPPLFNLMNQGKLDQPYFVVYLAQIGPTSQINGGAFTVGGLDTTHCESNVDWVPLTTNTFWQFKLSGVSSGSFSQTPTSGWQAAADTAASFIGAPKSVVTSLAKVVGATYVPGTGAFFIDCDAVVPDIVFTINGKTYNMPSTSFVVSAGPGPCMFAFYELTAGGFYPAWMLGPPFMRAYCHVHDMQNARLGLSKVLSH >CRE27465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1470987:1471421:-1 gene:WBGene00052614 transcript:CRE27465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27465 MFDRLLSQFSRKRVASESVVAQQQLTDKTNVYTVKPQDPNLEKKQKFTPVQKVCLKCLAGESGHITHVLSNLSN >CRE27466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1471583:1473272:-1 gene:WBGene00052615 transcript:CRE27466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27466 MLRLLSLVLLLLAIGQVVNKKAQYRTERINFIYEKALQHVTDRQNLARLEKELSGYDAIYLASKSNRQGTQGTKDIEKIDDKLAKILEKYGLEKAVMAFKEKYKHKNLLQQTDDNEPLPSGKFTDENLQKLWSQAQNGKFSQKELHSLHGELKEVERKMRVYEDQLEDFKKVPHENSIHHDIEAIGDKTKKLKVANKELNDHLDEVHRKVTSEEYSPFSEPRVKRLWKLAQENEKLSQHELNVLKDELSHFESQLKKIEFHKEEVSRLQEDAEERGKGKAQVYENLELSIKHEKLNRKARKLEKYLEEKIIIHREL >CRE27156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1474166:1475438:1 gene:WBGene00052616 transcript:CRE27156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27156 MLFLSFIILVSNAVIVTTITCFNCESDFQGALRCQQPCEGSQCVVWKWNSRSELAIKQGCLQGVDKQWTAKVGCRTNHLGASLCVCEKTQMNCLFYSDGPLCNRVERAEQTARPLPQIRLPAVECQSKVISSGFVGPRREKVCTSNYCHVTKTETFAEEEGQNSDVLSVSNCGDLPGRQLISSNFLIRKLNSEFDFDVRLKNSIGFPGLYSNGCYRIQVEKRSAMVDCVCSKNKCNEVIPEIETGHVRCYMGKDFRNTSQVDSHEFCDGDFCLIQDAHGRVSKGCISLNERNSWSHLKSGHRRILGVEQWLCQAHLCNYDTERAMKSVRSMRAGKTNSGSFFNFSVGFLTFLIVLVSRFLLI >CRE27467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1475490:1476328:-1 gene:WBGene00052617 transcript:CRE27467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27467 MINVPAFILVSSQCFAFIFMMVNGVHRCMKGISPKSSKLIFLDNVVYTVMIVSFGISVFFSVNICVHTTIRRFNPSQLNWKLQYFEVISYIYLISLVIIPTIISHILSLVNLSYRKLFKNTHSSEENLEEEQNESSKETICSVSFYAVFDLLYCFWICLCFLSSAPILSLSNVFLTAYWPLLTSLTLDIHIRSALIYRIRGVFQPQSANNPIVIQANKNHAKFSKF >CRE27468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1477701:1479534:-1 gene:WBGene00052618 transcript:CRE27468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-13 description:CRE-GPA-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LNS2] MGCNFSSQSKVSDIGNASDKTPPAPDANGNDTKVEPVAQGAGDEAVDRKEATPVPPPSISPQVTLQVPEIRASRSVTPASQKSDDQPYSHIRLLLLGSAESGKTTVLEQVRLLYKQHFTESEYFHRRAFIYHNIFKCIKALCRAMKISDIQFSDPINMGRAQSIIADEENHYGLFSRELADKIKCIWQDKSMQKLYARRSQFNLNDSASYFLNNLDKINTTDYKPSDRDLIMAYVPTCGVQNVIFTASNQSFQLFDIGGQKIDRRKWALQYEGIDAIFFCLAISEYDQVMSEDMVTNRLDDALSLLKTISEEPMFATTPIYLFLNEIDVFCEKLDVIPLSKYKPDFKGGDQDDALDFMESLACEALGKRDRSLYRVYRCIAIDTQLMAELLSTVFKDIMKRKR >CRE27469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1482010:1483697:-1 gene:WBGene00052619 transcript:CRE27469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-papl-1 description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LNS3] MRPLIFCISFCILFISKCSAVGTVPDQVHLSFTGDMTEMAVVWNTFADASQDVSYGKKGSGASSIAKGSSEAWVYGGITRYRHKATMTGLDYSSEYEYTIASSTFSFKTLSNNPQTYKVCVFGDLGYWHGNSTESIIKHGLAGDFDFIVHLGDIAYDLHTNNGEVGDSYLNVFEPLISKMPYMVIAGNHEDDYQNFTNYQKRFAVPDNGHNDNQFYSFDLGPVHWVGVSTENYGYYYTYGMDPVMTQYDWLKRDLTAANSNRAAHPWIFTFQHRPFYCSNVNSAECQSFENRLVRTGWLDMPGLEPLFLQTSVDFGFWGHEHSYERFYPVADRTYWNDANAYRNPKAPVYLISGSAGCHTPDAWFTDQPWPWSAARNNDYGWSIVTVANRTHIRVEQISIDKNEQTVDDFWVIKDEGFTHSGEMRRASPGIKFPPQKCHFKDVACQRSLKEINEEL >CRE27470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1484817:1486877:-1 gene:WBGene00052620 transcript:CRE27470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abcf-1 description:CRE-ABCF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNS4] MSDAGKKVTRKEAKKAKAKENYDKTILSMGGVVDNLPQVEGNQDEPGGIGSGAELGAHFTVSQTSKTGTQLTQMENSMDIKLENFDIAAQGKLLFDKANLTIVYGRRYGLVGPNGMGKTTLLKHIGARRLAIPSHIDLLYCEQEIQVDSTSAIDTVVKSDKKRIALLEEEAKLMTQIEEGVSEAAERLKEVSEELRDIGADSAEPKARRILAGLGFSKAMQEKPCTDFSGGWRMRISLARALFLEPTLLMLDEPTNHLDLNAVIWLDNYLQTWKKTLLIVSHDQGFLDSVCTDIIHLDNQKLHTYRGNYTLFKKQYSQDMQVHEKNFDQQQKQLKAMKKEGKSAKQAEEQLKQQMANKAKKGGKKQAAKANDDDDVGQQELLQRRKEYSVKFQFPETDKLSPPILGLYGVTFGYGNDILFKNIDFGVDMDSRIAIVGPNGVGKSTLLKLLIGKLEPQSGELRKHRTLRIGWFDQHANEALNGEQTPVEFLCTKFNIDYQEARKQLGTTGLAAHAHTVKIRDLSGGQKSRVALCNLALGSPDIIILDEPTNNLDIESIDALAEAIRDFNGGVLMVTHDERLVVRTDCQLWIVENQSVDEIDGNFDDYKKEVLDALGEALVSKK >CRE27157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1487688:1491230:1 gene:WBGene00052621 transcript:CRE27157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scc-3 description:CRE-SCC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LNS5] MSEPPTDHSPQRMSTRNQARVNYTEMASGNLFSEKEPVFRSPTASTRGRKKRPANVDVSDLSASFGNLNSFNTPPKRGRPRGAAFGSARGGRAPMVRRTTTEEPVEVEERELVAAVKTGRKIPEAVDRWIGRYNEKFLVAIAEMHQFFFAICGCKGTVTPQMSATLSYKDIICRMTEDFEEDSADYPLVHGGSSKKFRANLHTFIHSLISRIKASMLFDSNLMDGFVQLLTGMADSQVRSFRHTATYCAMKITSALVDVTIELTNTKDKTSKQIEAEKAKLKNNSAGNEKYEALVAQRTQTEERAEEIRQIIGYLFRSVFVHRYRDCVPDIRCICIQELGYWMDVYPEHFVDDSYLKYIGWSLFDKVGDVRHRCISALIPLFEKSSILDKLELFVNKFKERLGSMLLDKDMETSIETVNLMRVLYTVFPTLLTIKDTVPLYELIYATNRPLAIAAGMFLNTKVFLAAEKPGKTPISKNSALLKDLATFFIEGDLHQHGTYLVDALIDTNPLIKDWATMADMLLHDQPPLKPEYEAKIIEILSCSVTQSSTGEPPVGRQSVKKGAPSAKEARDLKEDRARLTEILIPLVPRLLTRFSTDSEKIVNLVNIPLHFQLDMYLSPRMQTHLTELMDALDALIEKHIDEDVLRAVAELYYHLTNYSPLTAIVDTHKSKLLDGIAAFIRKSMQQFEDDQMGEEEEALFVSYIKRMAAFAGFMDLRQWDLWDILVKIVSNYSREDSSRDVRERATQMMFVQLVFDLSTLKREGEIPKADHVRKLKKRRDQLVRILSQTLIEEAVGVEQAYLCICDLMILFGSQLAEDSKAFEPLIWRPDDILLGNIKIFLNVNVFEQPEQQDDEANQEKQIEMMHKMRQFVAQYAKLIIHGAMPIIDAAELIKRYQSHFQHFGDIFKSLLTKCRDIDFVDMGVMIVEALKGLFMEMDRSQREEPLCDRFNAMRDLAKRLGPLFGSDYAKNRFAVTSLHKKAIDFAFESFDKKDSMPSNIYFLEIAIEFSGKLLAQDKGAVSRYLNKVYTNRVGTIENVWEPYRLYNLSLTSGADDDNMSVRSGVTVASVSTVRSAASSTRGRGRGRRARIVDDF >CRE27158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1492554:1493935:1 gene:WBGene00052622 transcript:CRE27158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-43 description:CRE-SRT-43 protein [Source:UniProtKB/TrEMBL;Acc:E3LNS6] MNRIIKYGSVVAIPYYNCSAKTSEEWFATGLQRPLFGWTILIFGILIELFYIPTIYMMFRTKLVHMTCYKFIVCLGVTDMLATLTCSIFSGWLFIQGAVYCNYPTFIYLAGCLGLSGWCMACGSTLLLVINRVFDVLHRPLSEFLFDGKRVFFSIALIVIYGTLIAMFSPSIIFNSVIMAWIADPLTIDPEYKTDEISEYYRNHVQSTNNWIFVSATCSLYAIYCVLVNKMQRGQKSKASRGVFIQSSIICSFNTFSAMSYNAMMFIPPPPWVVVIGELCWSIVHGCPAIVYLTMNRTIRNEFLKFFKLKKANKKVTDVSSTSNMRHTGMAPTSH >CRE27159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1496340:1497242:1 gene:WBGene00052623 transcript:CRE27159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27159 MSCSLFVAEVRGSRRCSVCRCDISAHEPAAIYVQPERIFSNLPPIATSSSGSNNNNSTSFSRRAVSSALTQILHTLSLYHFRFRFIKQDA >CRE27161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1501771:1504735:1 gene:WBGene00052624 transcript:CRE27161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27161 MVVFRWSATPIKMGGSQESWSTPPHHHRSSLNSNYRDSPVNSRNTSARSRLSVSSAQSPHCFLPTTRDSSPRSIPSPSLVPMRPDSSPDITINELHHSPFSHTGSTPEPTEIISKPVSKAPSRRASYTTGYPNHETFAPIRWVKETDVDDPLSIPTMSPVGSSGSSEANVGVLSMRSAPMSKMPSVEKLDNRNNSIRGYNNRSCRRTVLFGLDRNANHSPNGIYVRRSRSKDDRPSLSYMSFNGMDQQNVSPPRTASIPIFMSQESVSMQSPMNSPVNHSMTHVSRNERTQSTHNLSGEELYKRFQQISLSHVQTLNIVADKLAEEARRGDEPVSRNRLTQLDFTSFIITSPHPILTKGKSLFYNAVLPRQNLPDYPVTLMVSKFQNSLIHNLSFQIAPCSQYAPLMRKTESNHFGLPGFLEIEDHDGAIRQFLYDTGTQNLDGRNTKVIAMPRLNLCSFHSLAAHQLNQRMDRTNHEELVSFILLQVLQALKMLQGEGVESLSTNFKEFLLAYRYSNVNSSYNEFPRLLFLPETLGAEIESGGDELVGLCRYALRALCTLLHHKMDGKAPAIKLRSRFSRALSACAVLLQEDKSNSLTKAKNVMELALWSGGEHFKNEQDARVWIDTARADCVDTLLRQMIREPNRQLGARERFRVEFLLSSTPRSIMESQKATMAANVN >CRE27471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1505312:1505956:-1 gene:WBGene00052625 transcript:CRE27471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27471 MLVLRSPFSDSSVLDHILDEITGSVQLPYWRDADHNSFNFSDNIGEIVNNDSKFSVQIDVSHFKPEDLKIQLDGRELKIEGSQETKSEHGYSKRSFSKMILLPEDADLTAVKSAISNEGKLQIEAPKTANTSRSIPINFVAKH >CRE27162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1506263:1506859:1 gene:WBGene00052626 transcript:CRE27162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27162 MSIYHYCRPTQHSIFNELMKDFGRMDRHLVPRGEGKTSSEIINTDEKFAVNLNVSQFKPDDLKINLDGRNLTIQGEQEVKNEHGYSKKSFSRVILLPEDVDFSAVSSNLSEDGKLSIEAPKKETIQGRSIPIQQATTEQKPSE >CRE27472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1507415:1508612:-1 gene:WBGene00052627 transcript:CRE27472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27472 MFKSLFGVGVTGFYDREMKAEYSVHYMIIYVLIMIVAFFVTSFGIRIALSIRAYHPNVNRLFCFALGTWLIIFISKICAFLFHIRAENTSDPSDPALIFLRISVFTRYFYFYFGSAAPLASTVERGFATLLLSSYEKTSKFPIFALIASLNIGLASCFTILTVFFEIRFGFIIIIPLSYLLICFVLLSFLYKFNLSQFHKLNKYHREEYTLSRRFQLKENIRVLSLLMKMIYAVIIFIAIIVAGFTLPIFFNFGHLTSDFMRTVVDFCVHCNPCIVIPMTAFFIPEFKKQVKASLCKRVSLGRGSVDSHYRSYREDSNQADVYFDLFKKTIKKSIPNKTLPTR >CRE27473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1508933:1510627:-1 gene:WBGene00052628 transcript:CRE27473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-20 description:CRE-SRE-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LNT3] MSMIENIVYTLIVSTSSLFTVLCCLVVGRSNVFHPNLQGPIWWTAFFYFELTISKMLTIVFQTTYLNDYYEPSGGMFILMGIAATHYHFIFTVMAAPIVVVAERSLATKFVFDYERKKRRYILVSLFLFQLGFATIFTLLTVFNILRYVSAAVIAGLIAMTSLLIFLHINRINKRTLRFVETQNRDIKFDLSVRYQLRENVKTFKMLNILLLMLTAMIVLLAFLKTIPMIIGVEEDTKYIFKISTDMIVHAGPIYVTCTLIWAVDDYWIVFCRFLGQEKQKVIPRKPDSSKKEEDIYFEQFLQSLK >CRE27474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1511318:1512763:-1 gene:WBGene00052629 transcript:CRE27474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkb-4 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3LNT4] MKFLTRTCFLIIGFSSAVYSTDQSWKDDDGLEVTITKAIDDAECDIKSAGGDVVDQYYKLTDEKGVEIGSNFGKKPYTFTLGRNQVIPGMDRAMRGMCIGEIRKVVIPPKLGFAKDASGQPLYYTVQLVNLFRANPGERWVTEEGIQIEQTHKIEAEKCKKAEQGDKIYQQYVLRLEDNTLVDSSYSRNAPFVFRLRNREVIDGMDIAMDGMCEGERRRVVIPSEYGYGAQGSPPEIPGGARLFFEIVLEKLVKRDEL >CRE27475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1513094:1514378:-1 gene:WBGene00052630 transcript:CRE27475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27475 MVTEFNYRAFSVTLTGLIASFFIVILNIRLLWRFQGSSMKNIPEYHLFKIRFIIDICYSFAVSIYYTALIVSYIDPFILFHYKSLFVGTLLSSNIVATRFILGAIISTERLIAVFFPVKFHNNRRKISIFSFTAIVVLWGMMEDVMYFCVCNISPFEKECSILGCTWNDCFVQYWTGTKLTNYLCIGDAFLTLLFDFSPFLVTLIFKTQLLSADIYGPYNATAKSLACLVDAIIATFILNKSSSKQVSIRSVNPRSAVTSDRFLSSKTNN >CRE27476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1515629:1517639:-1 gene:WBGene00052631 transcript:CRE27476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-5 description:CRE-UGT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LNT6] MEVKNLFILFYLFLFSFNNVSGYNFLVISPVYGFSHMKCMAAIANQLADAGHQVTYFQPFVVELFQNHDLIKNPNIKVIDFWHDEEGRKNLPSDGVLSDAWTSVKYQSDIGVKIFAPRVLHSAFQHMCRRMFEDKELHKMLKEQKFDVVLSETFDFCGLYLADYLEMPAIISVFTGSKLSAITDALGEPSFLHYYPSPSSNFGPKISLYDRMNNLWYKLLSTSAFGELFDRQYSDISKIMNGTVRHWKPILGDVTYHFANSNPYLDFVVPTIPKVVPIGGYTMDYKQVPPVSKELDTILNLRPYNVFISYGTMVASKYMPDDYKHAMINLFKHNQNVTFLWKYENAEKKYIKENIPENVHLSKWFPQQSLLADKRVNLFITHGGLGSTMELAYAAKTGIVTPLFAEQPSNAQMLARHGSVEVYSKHDIPNWKKQSDLLRKMLIDEKYQIAANRLAEILNHQPINPKDLVLKHAENAARFGKMPSLTPFAKDMGFLEFYNLDIIVYCISFALFAIYGAIETFLFVKKCFVTRRVKTE >CRE27163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1518547:1520255:1 gene:WBGene00052632 transcript:CRE27163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27163 MATDRNHSVFPYSQKCVVTHDWNAESDGELDLSCGTIISSTESQFEGWFQGELNEKFGFFPANHVKVLRSGNDELVKFNADDFKLPGEIWTLDNLKIDEGTTATIFTVDVKLNKILDVGETSEQTMRIVLKRFNTNRYRRLASEEERFFKLKQEAEVLSKLSHKNIIRSFGICCEGPFFGLVLECCRGSSLKTICRSLTPDSWVPLNVSANWAKQIAEGMEYLSRKGYIHRDLKAHDVLVKDNVCMRTDTNSMKSNLCQHCGKKPLNQLRLKISDFGDSRKVSPDSEYDIAGSYAWIAPEAFRDNIWSEASDVWSYGVVLWEIFTRKHPFSGQNWPAAAFQVIDRLLRVSSDIWRELQNVNGQKLAIYDTFPIKWKKLMLPCWDLDPQRRPKFSELATGFWNYEVELNIVYSVGVDFTTPTLPTPTTDCSLDVSTTNSNSWEHGTEQLEEMSSTIKGSGSSWVYNNRNTDCDMYESGIMKNTKIKETSV >CRE27477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1520436:1522654:-1 gene:WBGene00052633 transcript:CRE27477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-4 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LNT8] MCLLLFVVYFLIFQRTDAYNYLVISPVYGFSHMKFLANVANQLAEKGHRVTYFQPFVIEAYQNHKLLKNNSIEVINYWHDEEGRKHMPPSNDLHDAWYSGKYQSAISAVTEMPQFLYSAWEHMCRKIFQDTELHIMLKQKGFDVVIAETFDFCGLYLADYIESKSIISVFTGSRLLAITTPLGEPSWLHYFPAPSSDSFGPGASIFDRINDLYHKYVFGNGYTIVFDWQFNQIARLTGGKIRYWKKILRDVTFHFSNSNPYLDFSVPTIPKVIPIGGFDMDETVDTSLPKEFEEILNKRSMTVFVSFGSMVKSKFMPNHYKEAMTKMFAANSQNITFLWKYEDLKDQFLIDRLPENVILKDWFPQRALLADKRVKLFITHGGLGSTMELAYAAKPAIMIPLIAEQPDNGKMLQRHESVEVYSKYDIPDWQKLNYVLHKVLTNKSYQKSANRLAQVLKNQPIKPRDLLVKHAEMAAKFGKMPELTPNVQEMGIIEFYNLDIIALCLLIILIFMYIVWTYIRNSIRLKSKLD >CRE27478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1522871:1525026:-1 gene:WBGene00052634 transcript:CRE27478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-6 description:CRE-UGT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LNT9] MLHQIVLLTVVILTLIGHGSPYNFLVISPVYGFSHMKYMAALANHLAKAGHNVTYFQPFVVDDYHDHNLIEVETIEVWNYYHDEIGMQHIPSEACMRDAWHSSMYQSDVGAAILLSRYLYPAFEHMCRKMFEDTDLHFYLKGRQFDVVLSEAFDFCGLYLASYLEMPSLISTFTGNRLNALAPVLGEPSFLHYLPAPTSQYGERSTIWDRANDVWHKIFSSYGFAQLFEMQYQQVKKLTNGKVKHWKEIIHDVTYHFSNSNPYLDFVIPTIPKVVPIGGITVDQDDWNTRDNHSDELENLLNQRKHSVFISFGSMVRSVDMPLEYKESMIKVFLDHPNVTFLWKYEHPNDQDLLKKLPKNVHIAKWFSQNSLLSQCLNDKEEVKSIRFSGDRRVDLFVTHGGLGSTMELANAAKPAIVIPLFADQPGNAKMIERHRSVVVYSKIDIPNWKKLSNLLRKMLNTDVYQEHANRLADTLRFQPISPADLMVKHAENAARFGRMPNLVPYAKDMGLVEFYNLDLLFLLSLFTWVGSWSVSYLYTRLKGSFKLKVE >CRE27479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1525274:1527050:-1 gene:WBGene00052635 transcript:CRE27479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-3 description:CRE-UGT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LNU0] MNFLLLLTLFPVISGYNYLIISPVFGYSHMKFMGKVADILADAGHNVTFLQPILYDYYAHKKVVKNSKVEVVNFEMDEEGKAAASGPSVFKFLWDAKMPSNPISGAKVNSGKLYKEFEHICRRMLTDKHLHEWILSKKFDSHISEAFDFCGLYLGDYLNLKSPIPLYTGVRCGAASYAVGEPIPLNYLPTEGSKYGDESTALDRLNDILGLTAYHYQFALLFDKQYDQVFKLTGGKVRTWQEILQRSTFFLTNSNSYLSFSTPTISKVIQVGGCTIEPPKSTKLDDHFDKILSLRKNTILVSFGTVIQSSDMPTHFKNGLVETFRKMPETTFIWKYEEEDEKLRKELPENVVLSKWVPQPALLADSRLGVFVTHGGLGSTMEVGYAGVPSVMIPIFSDQGANGEMLSRHGGAVVYSKFDLPNSDKLVNTFRKILNNTDYKKNAQRLSDILHNQPMDPKQVLLNHCEFVARFGKVDSLEPHANKYNFIKYYCLDSLALVVCVLLTVLYVIFKLLFFVYNCSISYLKLKQE >CRE27164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1527674:1528715:1 gene:WBGene00052636 transcript:CRE27164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27164 MVILTAGYWIFTVIGIVSTFYTTLLCAYIIKKYTLKLKTWKKLEYQLILFRVLFDALNSFISAIYFCFNIFALLYPDTIPFNFSFLIALLASNFLEMRSYLAAIIAIERVLATTIPIHFYRYRKRISNIPIIGFTISTGIASYVVLFGFCRMRFPLEIGCTSFNCATPLCYQNYLVISKLIYASTNAVFSGILCIKLLLLSLNRTIVATDLRKANLLSLTDGLSTLSFELIPSLIFNYGIIDSRSLGPVMGVLRQFGRAVEASVMVKLMKKTAVQQSSESFN >CRE27165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1529012:1532011:1 gene:WBGene00052637 transcript:CRE27165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27165 MLVLNASFWIFTAIGVIVTFLTVCLSIYILYKYTIDLKVWNKIEYQFIFIRVFCDIFNGIAGCGYFVSSGFTLLYSDIVPFDVTFLVGLVGSNFLEMRSFLAAIIAIERVCATLVPLKYYHYRRRISNTPIIIFIVSTGLAVDVVLFGFCDFRLPLVPGCVNYACATPPCFLAYSSVTKVIYCSINALFSSILCCKLFLLSWRQASAPVDLRKANWISLTDGLSTLVFELLPTLIFDSRIIDIRAYGPVIGVLRQSGRAVEALAIVNLMERKKLVPAPRISHSFRINLSSGKMVELSVAYWIFSSVSLSMMFTMVLMNFFILYKYTLENKTWKKLEYQLILLRVTFDALNGMSGFTYISLNVIDVFYNFVPYDVSFTFGMLGFNLMEIRSFLAAIIAIERVLATTIPLKFYHYRSRVSNIIIVGFVVSLGLSSNGVLFGICEYRFAQVPGCTNFNCATPVCFQRYTSMTRMIYSTSNIVFSLVLCYKLFWLSWKQAKVSADIRKANLLSLTDGVSSLCFEMLPWLISYYGVVDIKSLGPVIGAFRTTGRVVEAVVMLYLMKKEIIVQPARASTTRLNW >CRE27166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1532464:1533723:1 gene:WBGene00052638 transcript:CRE27166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27166 MSGHLAPCLMSTLGCVSSTIAAIIGFYNIYIVLSNPYFKKKYEYQMFFFRFIADFVMTGFSSVYYFLLIVSVHEGADENLALLEYWLGLIVSSSATVRVVTSFLIALERTLAIYFPILFKNYRSKIPKLLLPLFALSFGFIDNFILYVICGYVFTPNLSCIIFTCQINSCFLKYYSMNKTIMYGSTGTVLVALCTRLFIVNWIRSVRHEKLSRVNKLALIDAVIVLLFDFSSSFIAARGIFTYQGMGPYDAAPKMLGRAIEAFVVSIQLNRARIPTHPHDNTRHTTNTNKTPVLMFQVESKHQ >CRE27480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1533806:1535068:-1 gene:WBGene00052639 transcript:CRE27480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27480 MSSKVPIFTLSNAVRMPSVGLGTWQMTGDEGKTVIRNAILAGYRHIDTATLYQNEDQIGDALAELFAEGVVKREDLFITTKAFCHEVAPDVIEEALRNSLKRLRLDYVDLYLAHIPAATRECGSHRTDVKVEDIWKGLEKLYSLGLTKSIGVSNFNESQIERIVKIQKVPIHVSQVIHVVSHEIKNSLFQLELHLYLPQKAHREICKKHNIIITAYATLGSPGRMSVIGADGKPLFESTKNIPNELNDKNVKAIAQKYNKTPAQVLLRATVEMGIVVIPKTTNPERMKENINIFDFSISKAEVNLLEAHERSKPERLFWWPNVADHPEDPFAAER >CRE27481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1535306:1536326:-1 gene:WBGene00052640 transcript:CRE27481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27481 MFDKVFELWRETFPKREHNLMRIEYVAAIEEFNEYFPGYAAMNDKNYDKLQRYIRILRPIYCIPTLRKAFSAAFALENSIDEYLERNVQYKRKGLTGEWAIQIFTDHNDHIIERYREYKKQRLEKLARTFEERKKKADKIAQRNEFRLKWLLGREGFYEYNKSRLAVMEKEQALTGKNLAARKEAQKNARPVISYDY >CRE27482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1537809:1539581:-1 gene:WBGene00052641 transcript:CRE27482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27482 MGLSDVVDNLRSLKKTVKKPINAFRETVHNAYTKAYVGSPERVDEFYNYIKVYTPSKHNDLCMMSITADINRFSMIPDKNTFFHVLKLERHFDRMIAARKQPNVTGDMAIQILSGHNTTFMSKYRRYKTRSAAKELKRRNQRFKALNVRRHTQLPESGNDDSCIYGNRTSMEMPTLNLADDTIPIETCSNKPEIEVSMNKKEINSTLVLKRSKELGDMCQKQDVIIHCELTKPETVVAAPAQTQNLKMAFPVKEDDSLAISAAKANLIQKKLHAKSSTEKSMMSVTKKHDAKFKVAKSVTVKKEKIEKAENFNLKLAEPVEKKTEEIDIAAVLLEDVMEQLKLEDQKKQKETQKPKIVVELSDEDSHEEFDKCDEDDSDDGSDIEELEKIEDKDVDALEYEMI >CRE27483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1542688:1544515:-1 gene:WBGene00052642 transcript:CRE27483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27483 MPVVNTANFVDRLRDITKRIDIRPSLQNASKRWHILYDALAVGSPHQVQEFYKYIKVYKQCPANDLLFMNMTVQLARASFFPTRSMFKQMLKFEKHMDGLILAEGFQGIYGSRGIEILCGYNDHLLRPYRKFKRHSETKSADREMKTARKKAKQIAALQEVRAKSRGSNVNSLINPLESLVPGEGPIPSKTQKVLDTTPNMIPLVPETQDILVKELSEVKKYCEILKAQMEEAEKKREEDDVANKLNEVVEKLISTASNSGDNDEEADVEMKEEEEEDDDDEADEVENDEDDENLQEEEKKDQSSLLEKTPEFDAQKLPDFQSCNITDEQKRAIMRILLGKTEEEISAEVPEKKIEEPSDDEEEIEKIDEKDVDAMEYEML >CRE27167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1545556:1547712:1 gene:WBGene00052643 transcript:CRE27167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-18 description:CRE-UGT-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LNU8] MKSLYIVANFYPNNSIFLVVIRSLLISFFVICLVTNMKIIYVILLFIGFIGSAESYKVLVFNPAYGASHSNFLGKLSDILIDGGHEVTMLIPVYLANKKNQIGSKKVKNIVEIGQDSRTKAIFEAGNIEHVVKSKIWTMDPEMMSHFSLIRSMNSAISHECEFIFQQTEILQKLRNESFDLAITESLFACPFGMFITHSIVSMTVFFAAVFDHIGIKTVINAESNLFKDAVKYAHGEPAAISYFPGLFSPINDKMSFFARIQNLIRMIFTHYLTVSRYQGELEAIKPYYNKTKSWTELISGVAFYFINSNQYLDYASPNLPKTVFIGGMQVVTNKKSTKLNKHWDSLLSVRKQNVLISFGSNAHSCDMPEEYKQSFLEVFASMPETTFIWKYEDENATLADHLSNVELTKWMPQNDLLADSRLTLFVTHGGLGSTMELAYQGKPALIIPLLADQPRNAHMLTRHGGSLQFDKTKLSNSEDLRRAIKEVLNDKKYTESARKLANILNSQPFSPKDVVLNHCNFAVEHGTLETLSSEGKNLNFFQFYSFDIFLGFIALVVIIFSVTLISLVILMRLLIRVVERIVLYQKKKIE >CRE27168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1549860:1551744:1 gene:WBGene00052644 transcript:CRE27168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27168 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LNU9] MKFSIFILLILAELCTTYKVLVFNPAFGASHSNFLGKISDILIDAGHEVTMLIPVFVDGKKDLIGSKKVKNIIRLGQDPRIYQMQKEGATEELMRKKIWTMGAEITSMLGFIGNFSKTSAYQTDYMFAQTELIEQLREEKFDLGITESLFLGAFSFFDEIGIKTIINADSTLYMNGVKDALGEPAAVSYYPGLFSPIDDKMDFFGRVKNLLGYQFGMWFSTVKYDAEIGALPKSYKGSRDWRKILSSVAFNFVNSNQYIDYASPSLPKTVFVGGMQVNTKKSGKATLSKEWDEVLSKRKTNVLVSFGSNAFSCDMPDEFKNAFLEVFASMPETTFIWKYEEANSTLANHLPNVKLTTWMPQNDILADDRLTLFITHGGLGSSVELAYQGKPAVVIPLMADQPRNAHMLTRHGGALQLDKTLLDKPSEIKKAIETVLNDSNYKKNAQRLAKILEDQPNKPKDVVLKHCDFAVEYGPLETLNSEGRHLNTFQYYSLDIAFAVLVVFLIVLFILHLIIKFVFRLLKRTLLSPKKKVD >CRE27169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1552245:1558633:1 gene:WBGene00052646 transcript:CRE27169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-15 description:CRE-UGT-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LNV0] MKPTTISSLIFLFLLEAVTSYKVLVFNPAFGASHSNFLGKISDILIDAGHEVTMLIPISMESKKDLIGSKKVKKVIRIDQEPRSRKMQEEAKTEDMIKKQIWKLDADITIFVSMIKNFSLASGYQCEHIFQQTAILEELKNEHFDLGITESLFICGFPLLDHIGVKTVINADSVLYLDVVKYALGEPASTSFYPGVFSRNIDKMSFSERTRNLFGMAFSWYFSWTRFQGELEAIKPYYKKSLTWEEHINGAAFYLINSNRYLDYASPTLPKTVFIGGMQVNTKKNGKVQLDREWDDLLNIRKQNVLVSFGSNAFSCNMPDEFKKSFLEVFASMPDVTFIWKYEEENATLADHLPNVKLTTWMPQNDLLADDRLTLFVTHGGLGSSIELAYQGKPAVVIPLMADQPRNAHMLTRHGGAFQLDKSQLDKPEVIRNAIQTVMNDDNYKRNSEKLAEILSSQPYQPKDVVLKHCDFAVKFGDLKTLNSEGRNLNVFQYYSIDIAVAAISLILTVLVVIVLVFSCIFRKCSRSFFNGKIFDLSSAYKVLVFNPAFGASHSNFLGKISDILINAGHEVTMLIPISMQSKKHLVGSKKVKKIIRIDQDPRSVELYKESGAEDVLRNKIWKIDSDMMVFFQMASNFSKNCGYQSSDVFQQTEILEKLKNEKFDLGITESLFICGYPLFDHLGIKTVINADSVLFMDIVKNILGEPASSTFYPALISPMTDKMSLMERIKNMIQMLVPMYFSKMRFDEELKMIKPYYNGTNTWREHLEGVAFNMVNSNRYLDYASPTLPKTVFIGGMQVNTKKNGKVQLDKEWDNLLNIRKQNVLVSFGSNAFSCDMPDEFKKSFLEAALTDWFASMPDVTFIWKYEEENATLADHLPNVKLTTWMPQNDLLADDRLTLFVTHGGLGSSIELAYQGKPAVVIPLMADQPRNAHMLTRHGGAVQLDKNNLANSDLIRNAIKLILENTSYKKNSEKLAKILEEQPYSPAEVVLKHCDFAVKFGALETLQSEGRNLNLLQFYSYDIFVVFCLCLVFVLTFMILIVKSTVKFCRKSGNIKLKNE >CRE27170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1559466:1564462:1 gene:WBGene00052647 transcript:CRE27170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27170 MVTTENGRVRMPFPEGSVSINLFDSELNRNRLTRFYLDVPKKGERFKRIPKTEVQEELRNYTHAEAVFFAASSWNWQRNSNPRLSRREGEGIPTFRNQTGNRAYYRVFKEKENKYILIACHANVHGFHRGDLRFVEVNDKTITRGIETCSIIGKLFPGDVVAVSELSKKSDVPLNPPGIFKVHPDANCIWEVSRMTILSRRRDEAVNFAILENALAIVEGHVEAMNVMEDVRNNPLQMDTMYSGCAFVPEKMEISFTEDFHRKYMNPLTAILSDLPSYSNSLGTIHTYFYEENQTRLFEIGVKAFHPETYLSKPSESEKIVEKCVRMGASAAQIVSTGRFDGRGFPVRDMRRTGPIVRFTIANPRIQPTESRWTNNQRIKLEGRDGKFDAIIETVVLDESRRKIWIAARIPRNTPNSITFRNDVWIVHQQPYPAIPKFENGFFKDMHKNSNGRLVIETLYGGPIIIINNRVNVDACYIFPSDPEVVLNEYQNYYVSMILADIPMVLGNSPFGCGKSMTIVTAAIEVHKRNSRHNGHGKQKQQLLVTQSNNAGVSLIDIALKIPDEDIKFLRYVSESNWNLLPESSRTDLDMPQLMEEVFIGWATHTLARVQQLNELTIEMKRAMVRKIIQKYLPSQDLVGEARRIYENMIDSDRTTEPSPRTLRQAFFLLYQPDIIVTTADSLQGLLSCSVVDPRSISNLQIDEASQLPEHTLIHLLHTFPNAGFGLVGDIKQLPPHCENQLIGRLKDYGIGNTMERSLQCSMFPQSILRYVYRCHPVTTRILSDLFYNGRLISGVDENDRNEFLRMRRDIWPNPKFPIVVLNHTKGGHRVGTSVANEHEKLHVLRIIEYLTEETNGYKLNASDVGVISFYRAQTSLLTEAFRGTGIKCGTIDAFQGSEKEVVIVCCTSDKLSPFMKLGNRFNVAMSRARQATIVIGNARQLRTAEYWSDIVQMARNKCCLKEVSEFGRYQNGRQNQLDGDESTSDDENNSDMDDDDDSGGHDSEDDGIDDESNTNETDDDEYDDTDDSDDFNENESRNKNDSIIQARRNKILSGVCQNQNNKENSPPLQGTSRTAKRRDQRRRAQVRKEKQESQNQSLVLQDQQKRRNRPSKKQRERQRNRQNKEQEVDKKLANIILEPSTTETARPQTENRDSVVPGKRRKPQVKKDNQNSARRESTCLSNNNRNESGKRIRKNATGNEIVCKQIE >CRE27484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1564873:1565965:-1 gene:WBGene00052648 transcript:CRE27484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27484 MNIFQVASDASSLNSIGSTPSYDGSSDSSAFSTASSFTPTPSSRSRLDSFLSTASSSGKSPRRMSRHRYSSGSRSNSSCRSGTSSNSKSSRKSILKKSRNTSSGRERSVSKKRSKSPNKNMLPVGEFAKIKNPAILRQVRGFISDYSTDPEFEAFSLNVDGIPFVALSLMNSDSTLLGRFKNVQPPTPMKKHTPGLNSPRKRLEPYSSRSSSAHSKCVRFTDEGSSPKKAKRSLSGENEESFRELVETVSDAMIENGRQYNDLWKDRTNSVEK >CRE27171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1566773:1568240:1 gene:WBGene00052649 transcript:CRE27171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27171 description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LNV3] MKQGDMKKLLAILFLVFGVQCLTGPEQVHIAFYTSPWDISVTWITFEDADPALSYGTSTASMQNITGTTNTWKFGGIIRHSHVVILNSLKPSSQYYYQIGSRVFTFRTLSANLKSYKVCVFGDLGVYNGRSTQSIINNGIAGKFDFIVHIGDLAYDLHSDNGKLGDQYMNTLEPVISRIPYMVIAGNHENDNANFTNFKNRFVMPPTGSDDNQFYSIDIGPVHWVGLSTEYYGFEEQYGNTPTFTQYNWLTKDLEAANKNRDNVPWITLYQHRPFYCSVEEGADCTLYENVVLRHGALGIPGLEQEYIKNSVDIGFAGHMHAYERMWPVADLKYYKGEEAYHNPVAPVYILTGSAGCHSSGMKFSPIPMPWSAHRSDDYGYTVMTVANTTHILFEQISINKNGGVIDSV >CRE27172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1568637:1570648:1 gene:WBGene00052650 transcript:CRE27172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-47 description:CRE-UGT-47 protein [Source:UniProtKB/TrEMBL;Acc:E3LNV4] MFPLSILLLLLATVNLNSAYKILVFSPATSKSHLISNGRIADELARAGHNVTLLEIDFLGIVDTTKSAKLVEKTIVRTPKRMQQFKDVLNGFSEAVMEDVGLIDLLNGNILYQNAHNALCEEFLEKDDIFNKLKAENYDGFFSEQLNICGFGYAKALGIERRFLISSCPQFSHVYDYTSHPAPYASVPFSSDMSPEPTYYERAKNLLNGFTCNILFRYLHTQLTSIFRNKFGQDFPSIPEIVRNVDIIFLATDEIIDFSAPTLPNLVNIGGLGVDDDTTEMSPFFEAEMKKGEKGVIFFSLGTIANTSTFDKKVMESFLGIVKKFPDYHFLIRADKYDKHTKERAKGISNVLVSDWLPQPAILRESSLSFSSFDPVFFFFADHPRLRTFITHAGYNGLVEAARAGVPLITIPFMFDQNLNSRAIEKKGWGIRTDKKQLLNDPDSIEDAIREMLTNPSYTKQAHRIRDLIKSKPMGARERFIKTTEWVIKNGGVHELLTEGRDLSLITSYNLDIFVPLLFVVLFLIVIPFLKLIGGFYYFSCFGHIVSKHKKD >CRE27485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1570671:1572061:-1 gene:WBGene00052651 transcript:CRE27485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-49 description:CRE-SRD-49 protein [Source:UniProtKB/TrEMBL;Acc:E3LNV5] MKEVDILAFIYVAYPIFAITVLFSQALLLLLIFKNQTKLLRTMRIYLLNICAAQVVTIIAGFLTQCRMVPNQTTVAFVCTGVCTRLGRNTCFLIHLLRDASSMVALFAIVHVFYYRYKILSHQKLSSTQILRNFIIVHLPAVFCAICQFINPSHHNAIVLETRALHPTYLFESQSVFGFSALKSPAVKASTIIFTFVLFLNPLAALIYRNKIWVLLNEYEEYNSPRIKHAKSMITGLTIQTLIPSVCFVPLVVQFFLTQYSGNSLITSLFITCISKIAETGVLILEYFNSFLVILPTLVDPILSIVFVIPFRRMFFKYLLAVRQCRFSFSKLSDDDIMSKGGNGYSSVRAASRKT >CRE27173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1576314:1577621:1 gene:WBGene00052652 transcript:CRE27173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27173 MECEDVYCDVSDVSDKRTARRTYQTHLHHEKKGFIEKLTELLLPTKMRDAEVRAQLRSIRDIFEKKFPDKIIRENGCLFRRTVEYGQVRKSVWATCNPDKNFELFQERIKQDGVKSEVVDLTRVRENCRIKSEPAEEEGEEVYMDSGMEFDIPSVNTLQHQNLVVHLPQPVYYPQPVHAINELSPVHLGFDIPSLNPLPQQNLIVHRPQPVYPPYAPNVFYPVHPHPQLGTDFEDFFVQNSNEPQFDQFSPLNSRNSGLNPEYNPVPSVPTEHTALNNPIRLGAPVDYHTFAPLNFQDASSNWVLMASPPGGPLPREELFTGFESPDILRNFTNL >CRE27486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1577776:1579179:-1 gene:WBGene00052653 transcript:CRE27486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27486 MAEDNLSAVLYAVDDLRLEQVPIPKPGPKQVLVRVHTVGICGSDVHYWTHGAIGPFVVKEPMIVGHETSGVVSEVGSEVKHLKSGDRIAMEPGLPCKLCEHCKTGRYNLCPEMRFFATPPVHGTLSRYVVHDADFCFKLPDNLSFEDGALIEPLSVAIHSCRRGNVQMGHRVLVLGAGPIGVLNLLTAKAVGAGKVVITDLDDGRLALAKKLGADATINVRGKSLDAVKEEIINALDGQQPQVCIECTGAQPSIETAITTTRSGGVIVLVGLGADRVEIPIIESATREVDIRGIFRYVNCYPTAIELLSSGKLDLSGLTRAHYKLEETLEAFKRTQKADVIKVFIHC >CRE27174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1580481:1582411:1 gene:WBGene00052654 transcript:CRE27174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-12 description:CRE-NHR-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LNV8] MSIPREEQKVEPFHNVVIGIGDIGGIDSLDAHKIEPATPPTVVSPAIPTRLKYEKPNCAVCNEVGDGLHFGAEACRACTAFFRRSVALNKKYECRAGGNCAVASNIRCICRSCRFDKCISVGMNPECVQNRRDTLDSGKDEPMAKSPKLSDIIMKPIAQFNSPQMPQLSTPSSSLLDFSVFKDPSTSNFSAFSPASLPGLSSSPSLETMPLLERMRINYEKMENARSVIHRREGENIFQQKVPRPINFRQATECTTKEVSLVADWVEWCFDDFGSLPVDQKTILFQNFFIYFCMLERAFMTVKSGKDGICVMASKDYIDYNNLEGFFEGCGSGTSGTPADIAKLCRLIRPSFDLQKRSLINLMRIENVDNYEFFALCVMLFWDFGLEGQSDACNEVGRRVKHRVTREMTFYLRNVKKHEEPMYRMASVVSILPSLQRAVRRFQEDIEMASIFNIYALPANFYNIVNGKFT >CRE27175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1582820:1584842:1 gene:WBGene00052655 transcript:CRE27175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-205 description:CRE-NHR-205 protein [Source:UniProtKB/TrEMBL;Acc:E3LNV9] MNPSSSQSPNDFHLRRKIEKKNCSICDDIGDGCHFGAEACRACAAFFRRTVALGKEYECRQQGYCTVNSIVRSICKSCRLKKCLEVGMKSSCVQPKRDKKKEEEQINHFEFSYPNESGPSSSEMSSIPSLDSMPIFARMKECYEKLENSRNVVHRIEGDNIFEKKTPRALNFRVTLEMSSKEVSLVADWIEWCFEEFRHLPTDQKNLLFLNFVPLFIILERAYLTSKYGEKNQLILPSRDYVQTDKLEEFFTDTELGICGKKLATLFEPSVQLNETFHDLLRAERVELYDFFALTVSLFWDHGLVGQTDECSNIARKMKLDIVKEYTYYLKHFKGEEDPIGQMALKISILTALQRVSHRFREDMSLAHIFDVYTIPSNEHFALIFAAFSIGLIVLIFALRGQRKRIYEENMFKQRVLLSQMFDMYMIRTETIRIRKEQLDAHRQVLNSGKIEDK >CRE27487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1584989:1586998:-1 gene:WBGene00052657 transcript:CRE27487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27487 MPCERTNWRVVYLMGVFSLIQNTQYSIYLTSMFSYLKKLDSTATESQFGWIMATSSLGHCIGCFILGWWNSRANKSAPPMHCGFLLMLASNLVYLLVDCVPANWVAHVMMLSRLLGGFGMGNSSPMRTCASLHSTSSDRSKAMASISGGRSVGTVVGPGLQLLFLPLGEVGFIILPGFLSLHSNNAPAFLGIVLTMVGFVSLIVLFEEEVSEESDKKAIADFENQYNTVKHEYPSSDVIAMLICMLTRFVQNFMQISIETLAPAMLMMMYFQTRQEAVASMATTYLTTGFIATVLYLLIIFTKLSTIVRDKIFNSLVLLLFVCHLLATYSWQFYTNDVLDIEFSNETLTGCDETHFTWCSHLTISPEWAFYVGYILSFGLFMPFINVANATLYSKLLNPDCQGTQQSLYDISNTTARIFAPIFITLVYSAYGPRRAWEFLCILLLLTTFLWIIFDKRLVPLKPIQKQVFDNDGFEQEKTSKTKLVS >CRE27176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1588716:1590033:1 gene:WBGene00052658 transcript:CRE27176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27176 MSTIPFEESKSTSVQESTDHFKTLPTIPSTVKIAATGFPNNTISSPFSSSHSTVTRPVPVATDDLCIQGISVLPPEKCETLDDCNLRGGKTTQRWCDPVNKSCCRFEKEHELFCPDGNIPLMNEPFCKNFEPEKIWSGTCSLPNGICKHGHCCPSNNTLLPGTAYKTNQDCVTNAPIHKNQTFGYCDPETGKVFIMSEMSFHNQKNKKLNSFCFTGRDCGQSFGMDNVCMRVSNVTLQCYINPKV >CRE27488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1590286:1591062:-1 gene:WBGene00052659 transcript:CRE27488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27488 MKYKIFAAEKVRGWKLVQKTIPRIIEYGRSEGILQVNAPSNAFNITGIVIAAQEEAHTQKEPRSGDKVNLPTNDITTMHEAIPFLPVPVAITCLLFNIFIPGSGTIISGFSALCMGQPRINMKEGRKLITLVVNLLVGISQFFTITFLFVGWFWSIAWGGLLIIHSMQYREALQQRRQEAVATAAIEALTKDSILHRRDVKTLVKSHKKSKK >CRE27489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1591445:1592914:-1 gene:WBGene00052660 transcript:CRE27489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abhd-12 MIGYFIALIQPLLLCITLLVLSIVICLPILVYFLPHYTQFIFFLNFRRLPNTDYNDLASNNVTSIGRSLHLPGKSGKIGVWHILPHKLSLEWRTEGKHPTNSDFEEMMRDSEDKIIFYAHGNSFDRTFYHRVEMYNLLSERNYHVVCFDYRGYGDSEGTPTEIGIIEDARSVYEWLKEKCGKTPIIVWGHSMGTGVSCKLVQDLSKEQQPPCGLILESPFNNLKDAVTNHPIFTVFSWMNDFMVDRIIIRPLNSVGLTMQSDKRIRSVSCPIIILHAEDDKILPVKLGRALYEAAKEADRDIRFQEFSSDDGLGHKFICRSPRLPQIIEEFVGSITPPSTTTSS >CRE27177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1593383:1594168:1 gene:WBGene00052661 transcript:CRE27177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27177 MTTLRPFDVEDLFKFNNVNLDINTETYGFQFYLHYMINFPEYYQVAEHPNGQIMAYVMGKIEGRDTNWHGHVTALSVAPDYRRLGLAAYMMEYLEKTSEARKAFFVDLFVRVSNTVAIELYKKLGYVVYRQIIGYYTGDRDEDAYDMRKSLSRDPERKAMIPLNHPVHSRDVD >CRE27490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1594156:1594813:-1 gene:WBGene00052662 transcript:CRE27490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27490 MGVSGCGKSTIGLALATELGRPFKDGDSFHSKDSISKMRSGTPLNDVDRLPWLKIINAFAKTEPGHVIACSALKKSYRIILSEQLSRKTDGKEGAFFILLNLKRQVREVLEQRVSSRPGHFMPSKLLDSQLATLEMPGNDEPNVIVIDADHDVDYTVNSIITRLNELEMKN >CRE27178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1599280:1602712:1 gene:WBGene00052663 transcript:CRE27178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-413 description:CRE-LET-413 protein [Source:UniProtKB/TrEMBL;Acc:E3LNW6] MPAFFCLPMACQRQVDSLDRSQSNLQSVPTDIFRFRKLEDLNLTMNNIKELDRRLFTLRHLRILDVSDNEVSVLPPDIGQLTQLIELNLNRNTITDIPETLKNCKFLTNLNLNGNPFTRLPESICECSSITILSLNDTTLTSLPANIGSLVNLRVLEARENHLKTIPLSIVELKQLEELDLGQNEIEDLPAKIGKLTSLREFYADMNNLGTLPDSISDCRMLDQLDVSENQINRLPENLGSMSSLTDLNVSMNDIPELPRSIGNLKRLQMLKVERNNLTQLTPEIGHCSALTELYLGQNMLTDLPDSIGDLKNLTTLNVDCNNLIEIPETIGSCKSLTVLSLRQNLISELPMTIGKCENMTVLDVASNKLTSLPFTVKVLYKLQALWLSENQTQSILKLSEIRDAKTGIKVVTCYLLPQVDAIEGGGHVQHQPDRGAFVGGPKVHFHDQQDSTFEEDRAAEVHLGNFERHNTPHPKTPKHKKGSIDGHMLPHEMDQPRQLSLVSNHRTSTSSFGESSNSINRDLSDIRFIDAPASMPNGLREVTISPEREELHQQTPQPQQQLGTSMSSLSNLGSSNQLIIKIQRDNSGKLGLSFAGGISNDPAPNSNGDSGLFVTKVTKGSAADRCGLREGDKLIRANDINMINASQDDAMSAIKKRETVELVVLRRSPSPVSRTSVSRPCEYLPRWQLELGQALCVEISFSLGVLLCRSLEILILKTIKYWVPLILLFLSAVHPFPEVSLSGSAHELNHLDAGSPDSTMFLPSNTPVYAS >CRE27179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1610623:1614300:1 gene:WBGene00052664 transcript:CRE27179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-che-7 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3LNW8] MNRRGHGRESSGYHHAAVVEVGAMVLQNMFSALSFLHYRKDDDFVDRLSYFYTSSFLIMMAVLVSFKQFGGRPLECWVPAQFTASWEAYTEMYCWAQNTYWVPIDQDIPVDISEREYRQISYYQWVPFFLLLQAFLYYIPCLMWRLMSDKSGIRLNDIVQMATEKENIEPDYRIRTIESLSRHIESALRYQHTATSRTQYTLHRVFKCFNMRYYESYVTGMYLATKIMYVGNILTNLVLVNKFLETDEYSIYGLGVLRDLLFGRTWIESGNFPRVTLCDFEVRVLGNNQRHSVQCVLVINIFNEKIFILIWLWFTLLFVASTLDMLYWFSISMFHRDRFRFVLRHLELTSDPDKPELFRKEKRKQVEHFLRTYLKVDGVLVLRMIALHAGVMFCTEITDALWKRYLSQHPENLIDDDSSLITFARAQSIRRKRNDSSNSDGQNHQRLGRILSHRSTGGSFRLNRTQSTNRSLTTENKGAPTGTNAAASPNTPPPVPNSRFGKVKPRRTVVTINSQQNDARTSPDPIQEMSRMENVPLAV >CRE27492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1614932:1616362:-1 gene:WBGene00052665 transcript:CRE27492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27492 MRRSDITLILFFALLFGFSNGFQPVFSKISVKKSDQTLKSTTEDPDAYSSYDPFDLDDASFFADLTNSSKRIKLQLETAQFIQKFGAQELLNIILHKVQNVNLPHDSKGAINEFLDFVEDLIYSNAAKRVEQKLTADLAKTSGQKKTKIHMGEAIRKVSKQKIVYGDEKDHRRRDAPALSQNAVLIEEDENYPAVRQPLEPTKMMFLSHSEPNFLRDELMIGREIRRSPMKLKRKTRLTKAQLEKAIKSAEREAREAEMEKILKRRRAQLKERRRIRKQREMAMKKRRASKTLPLSRIQDDARSVRRSPVGRVTFNEKMFQLLEEYGIKSFRVETADYTVRAFADPEKTRFSSINLLDVPMYNDAIRRKPARPPFVYSVSTCFHF >CRE27180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1616863:1617836:1 gene:WBGene00052666 transcript:CRE27180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-217 description:CRE-CLEC-217 protein [Source:UniProtKB/TrEMBL;Acc:E3LNX0] MSGKLLIFVLLVCSFLLVVDSRRPPIQHDNVEEDCEEDDGGGYDSDGRPNRVGATRPPATRQRAEDDWDGVEEPTDDDYLRYENTNQEGICLLGKKATQLRKFLLNEVSERGKCPQGDWRMFKRHNETVCLKIYGAPYTIDISTAAKVCREQANARVMTVDSDEERRWIYSTPLGGNGSYTMMFIAGNRNRECKANPHVPKCADKNTAFTQSDGTSDHKYIYSRWAPTILNRFLFGGYDDCLAFLTYPHAISIDHAVQDFTCDKHTLNTVLCGVTVM >CRE27181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1618410:1619117:1 gene:WBGene00052667 transcript:CRE27181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27181 MGNCIIAGARGSQGVVPSSMYSRRNSSESIESDVASKHATQKNMIRYFRCIKEIEKTTTGIVNLYNEAAVVVDKTDEFKIILQSMQTRLYDLHQNLLTLFKLSIYKIDFSVRERKDLAKKILVLVKELWKDTKETAEGNPFGFNNIESKIEILVKDTDDLTKLI >CRE27182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1619307:1620760:1 gene:WBGene00052669 transcript:CRE27182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27182 MDSCGKHGSELDEVICEIKKSFTVLKRVPDLMEKEKKDYLYTDDPEYKSLFDDCQKEHPEIVSNFDKLKLEVQKIVDENQKVNKAILELEQLFSGFYVMIGELEVEHSVLEYRREIDKSFMKLFEINQDNSECPLGILHNSRVQILIAGEVDRSQENFINAVFNTKRAGEEVSEADRTDHVNNQINTLIYSTGSVMNPVDYPIDQMTECHEVAKKINAAATKLRNSLSKFEASLNENPIGDAPNLFKKVKLNQKSLYSIVSSFPALYKKNHKMEAIEKSVQNMANC >CRE27493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1620843:1622914:-1 gene:WBGene00052670 transcript:CRE27493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27493 MRLLCRKPKKMTVLVLLVIFFVWLFITIIEFSFGLTVTHDLIAPTTSLLKSRELKAFITSAYYYPTSKSLGNHAIALVMSINLVKGPISQMETVLAPDPTELVIMAKNETSSIIVSAPYLRVTPHEVCQIITIFATVQLLPNVKSISMVGDNGMTEIPFTIPSYTKRDVVVCTSPLFVSEQWQNFLFAVHIYRKFGAHMNLYLISSVNSFYELMKEYEREGYMKIQPWVKVDFPGVPRTTADPHGQIEFRNQAASQTDCLLQFKESARFVTFLDLDDVLIPKLAPTYAEEFQKLMEGKKRLAYIFYHKENYDAITVRDSSKFSLKKMFSSLECKHKRETGKIVVDPRNLNYTWIHFPPILPNGLEKYEVTENVITHLKTIIWSDDQDPSSKILIEPPYFDNSSATIISSKAILNIEDDLRKMMRKPRIRKIFPKLPNIHYFTDLVVKCYNDRYYRYHYSGRIGEIKCPGPQLCGFHQHPKIKCTHVNATHNSMEKLSPITYYYATDPHFTEEIGCYSH >CRE27183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1625379:1626958:1 gene:WBGene00052671 transcript:CRE27183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-53 description:CRE-SRT-53 protein [Source:UniProtKB/TrEMBL;Acc:E3LNX4] MNRFLYYGWSAESIPGYNCTDYKPLVPNPNINVLGGALDVAYGIIVIFLYAPTVVVFHRQSRLACFKIMFFLGLFDIGAIMINSLVTGFLLMNGASYCDYPNFIYISGSIGLGCWCSACLTCLVLALNRILDMVCPLMVKIYFRGYRTCAVLMAPILYGCFFFLFTSPIIFSAEHQTWFFDPRTMKGHYKDYTNIPHTINNFSLVFLTCFLYIFFCLKVRSQFRKSFRPKRTARQRQVSDQFLLLVVMSGELISFFQIFLQSTLLCLIHLSASVLYVIMQFVEMPKFFTILSQYLWQLAQGCPVIIYLLFNRKVRAALLVFMTSSSQDKSSNYIRKSADNRMMLLQLTPSQNTTTAVRKLTENDNYLQCKIHLTQGEPEKQEETIQDRADTEKREL >CRE27184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1627337:1628766:1 gene:WBGene00052672 transcript:CRE27184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27184 MVFYWINIKSKQFHTNWTNIMSILYIQHIVADIGWIGQRILLVKNNFIDPFDCQIFIYLSYIRAFCLFLGLSILPCLIIERFYATLRLSDYESQPRVHIFYNLLFLLIVIGSFASYSYHKYESSLEIFLFVMTFCSLGILGNIILLNINIKYFNEMGEASLKARYQIAENINVCRLVNGIVFSMGGFNGLICMTIIMNNFGLSTRTASLSVFAFDISALIYSTVFPYVCMHYCKKWRSTFMRLMGSFSRKNRSIQPLTAPVSGAIKNGIVLKNTFGQVMTNFSSDMYFQQLQQSWN >CRE27185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1629701:1630770:1 gene:WBGene00052673 transcript:CRE27185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-3 description:CRE-SRSX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LNX6] MSSIVEMINQIFIATEIVLFNVLGLFGNINFLCLTYTKPALRSKSSYIQCALSVSHIFCLLFELPNAILLFTGIQLKRNVCFPAISTYIFFICAQAVIMLMLVVDLFIIVFFTTFYRRIDNKTYTFLMLLVPFVYASFTVAWGFVMMDDELVIFCNPPISLHPVVSRWWSMSNVALNSVTLCLFLFLMIIFHCRGKKQKSDTRKLMKRLKVSVVVFVFSWYMCTLGVDMFVAIGLTGSVLVFFQSNMVFFALLCYTQPFYVMLWRSSEYRIAFVEMWSFLNCVKSLKSKAWLERTTRATTVVSTIDSSKQ >CRE27186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1631843:1633927:1 gene:WBGene00052674 transcript:CRE27186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27186 MSSIIVMSNNIFIATEIFVFNILGLFGNVNILLLTYFKPQLRSKTSYIQCALSVSHIFCLLFELPNALKRNVCFPAISTYIFFICAQAVIMLMLVVDLFIIVFFTTFYLRVHNLFYTVFMLSVPFVYSAFTVSWGFLKMDDEVILFCNPPIGLHPIVSRWWSLSNVVMNTVTLVLFIFLIAIFHHRGRKERSDTRKLMKRLKVSVVVFVFSWYMCTLGVDMFAALGLTGSALAIFQSNMVFFALLCYTQPFYVIMWRSSEYRMAFVEFWSYFSWFKESGTRKWLERSAKVHTAASTYDCSKT >CRE27187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1635066:1637495:1 gene:WBGene00052675 transcript:CRE27187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncx-10 description:CRE-NCX-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LNX8] MPRPTTIFVVLLLLLVDNSGAQLGQLFQPYVIGPQQRNATRLVLTEELCSQDECIIQKHWSQEDICGYVKCNKDACEGGGYLQWSQYIKCEYRTAVRVILLIVSIIYLFFLFIVMTVVADDYFSPSIAGIVRHLKISESIAGVTFLAFGNGAPDVFGSIASVITTPKPKADLAIGDILGGGIFVTTVVLSAIIITKSFRIAVLATVRDIVFFIIADIFIAIWFLNFNHVEIWMPLTFLGLYAAYVICVILMRLNSQRRKKARHAKAENQRKESTVNHHSHKISTVIGFVMDYAHVINFFANKKISSMTNNMKNFLDVKEDSLEDGLVDEQSDDEGEEAEFHIAHRHVYKSYDEASLAFTDVEEIQPKTWKSWEWVRDVANHLRPWPGKDEFFEMSYFSRVISIVAIVPNFFFKLTIPSNEMPWSKPILMIYCFCSVQLALFAVQISAKSPFHGSPGLWIYGLLVSAVLSVLALIFTPLDREQKYYREVYSYLGFLMSIAWIYTTSSEIINVITMIGVATGVSQEILGLTIMAWSNCIGDVVSDVAVVKQGFPKMAMAAAIGGPLFNLLIGFGLPFTIACLQGKQIELQITPVYRLLMLFLAISLFTSLIAIFVQKFKVRWPHAVALIVVFVSFLIFILLSETHVLEWK >CRE27494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1637623:1638713:-1 gene:WBGene00052676 transcript:CRE27494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-5 description:CRE-SRSX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LNX9] MALTTLQFLNQIFIASEIVFFNIFGLFGNANFIFLTATKTKLKSKSSYLQCALCFSHIICLLFELPNAGLLFTGIQLKRNECFPAISIYIFFICAQAVIILMMTIDIFIIIFLPTFYQTISTWKYLTGMLTIPGVYSSTIVIWGFMTMDDEVVMFCNPPLGLYPTVSRFWTFSNVIINTITLVLFITLILVFYYKGKKQKSDTRKIMKRLKVSILFFIFTWYIGLLAADLFVALGFTGPTLIFMMSNLVFFVLISYSQFFYVVIWRSPEYRNAFLEAWSCIPCCKILKKRHSKSTKISATAHSHQQNSMMSSA >CRE27495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1639358:1640364:-1 gene:WBGene00052677 transcript:CRE27495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-6 description:CRE-SRSX-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LNY0] MLHNWVERTDQLFIASFKIFFFVVGGFGYPKVSIYTFFETAQSIIMFLLVLDILIIVKFPRFYHTFSTTKYILLAMIPAVIFGLVFFIWGFLDTDNEIVIFCNPPLGLNIVASTWFFRYILFFNMLTLVVFLVLIRIFYTKGNNTQSKRTPGTGLFSGRAQRGDSWKVMKRLQLSVVIFVCSWFIAQSANSVFIAIGITGETFNFLVANVSFFVLLSFSQTFYVVIWKSKEYRQHFLALWCGRSSGSRDNTGAGSSFKTAPVAMISRTF >CRE27496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1640762:1644796:-1 gene:WBGene00052679 transcript:CRE27496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncx-9 description:CRE-NCX-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LNY1] MGKLKWIFSGFLILCLSVGVGAIYDYDRNYDYPDVNSNGVSNFSIYDGMPFDEEMCSADECMIDKSWTSDGEFTLFPLAENILFVSEKCAYIKCNKDSCEGGGYLTWSMYVKCQYNIGVRVILIILGIIYLIILFVIMSSIADDFFCPAISGIVTHLKMSESIAGVTFLAFGNGAPDVFSSISSVLTTPKPKADLALGDLFGTSIFVTTIVLAIIIFTKSFRVAIIPTLRDLIFYMITLAFIVFCFLKFDRIEVWMPATFLGIYGVYVVTVIIFGIYRSQRKKRNLKKKKNNSLDEDSLSRPASAASDAPIYGEIKKKTEPISVSALFQFAIGYSQFMKNLTRANKQRKIHDNNNEKGIVNQGFNGSLDSDIPPKPHISKILQQSKWKENSHKNTRILLLDTFETDLESLETLDEIEDSGDEGREEEFAYAHHTVFTSHDQISLAATEIEEIKLTTWRSWDWVWDLFNHLRSWPTRKEFGEMNIFVKILAIIKIVPVFFFKMTVPSNEMSWCKPLFILHCFASIQFALFSIQIITLKPFAGSPGLWLYGLGFSAILALVVMYFLPLSKEQKYYKEVYSYLGFLMSIAWIYATSNEIVSVVTMIGVVTGLSMELLGLTIMAWSNCIGDIVADIAVVKQGFPKMAMAAAIGGPLFNLLIGFGLPFTIAAAQGKEIELLINPVYRLLMLFLGISLVTTFVALFVQKFTVRWPHAVALIFIFVTFLIFILILSVILSLLIHIFIFTALLQCAQCAFHVVCLFGTVLDAFIIEIDSSLTRKKCFTHISFYIFCQAAQGLIMLFIMVDILVLVMFPIFYHNLSNGKYTASTLVPIFVYSSTVVLYGYFTTNDEIIHACNPLFAFSVSSSFIHKCLILFLCSFTMLIYILLIRMFHRKDKTQSDDSLRIMKRLQFSVIIFIFTWLFSQIVAFIFLRDGVMVKSERMIFAHNSLFIGLSYSNTFYVTMWKSGEYRKQFLKVWRPNRTIQTTRPNTLPSL >CRE27497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1647243:1649111:-1 gene:WBGene00052680 transcript:CRE27497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-7 description:CRE-UGT-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LNY2] MRLFYFSFLLIFQCSNAFKYLIISPIYSYSHVKFMSNIADKLADHGHEVVVFQQQILDSLRDKKDIKNPSIQVINYEADENGKEFYRNRPKSTVRKYWTTNQAATPWAADQFAETMSNDLEHMCLQVFQDKALHEKLKSEKFDVVIAEPFDPCGLYLADYLQIPSKIVAMASSRIDPVQWAVGQPSGLNFIPGPGSTYGKESGLWERVNNVWMFFMRTQMFRTVYWNLLDTIREKTGTAIRDIDEIVADSAYLFFNSNPYLDFPFPSLTKCVPIGGFSMNVSSWKTEKLPESLDKLLDEKEKTVYISFGSVIRSADMPEDYKNGVIEMMKSMPNVTFIWKYEDEDDIEIRKNIPSNVHLMAWLPQPALLADSRLSLFVTHGGLGSVMEVAYSGIPAIVIPLFFDQPMNGEMLKRHGGAEVYSKFDLKDSQKLSGVVQKMLNSDYPRNAKRLSNLLQKQPIDPTDRLIKHAEFAAEFKSLPELDPYSRQLNFAQYFFLDITVLVIVCILIMLRLLKLTIHFVRFLMRVKSKYE >CRE27188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1650271:1651898:1 gene:WBGene00052681 transcript:CRE27188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27188 MSGLPVSSFDDDIVSELLGPFRFLVKFTLLDCSAKSKCLGVITRLLAAVIIVLLFARVGLLMETKGNSLSFPWAESNFFGFPAIFAAVCALCIFGWTKNGFVRKFSKKLVRVRTLRVESNSKMDSYRLVQVLAMIFSIPWLVAMMSWIIFNFTHNKIFYGGDETNIIFRVLLVVSNFYIWYISTICLAHYVLVTCAVSREVQYFNQELEKAKEDKFLKNIGVLEKFDFRQNEILEMILLANGSLSSLGTFAPLFLFYGLVNGVYLTSFMDSVPLFYFVILMFNFAAVIVYNLCILFPTVALQEQLTTTTKILINNDEFECSKDPIVYQTYRVMVDRLQKVDTRICVIAAFPIVRGVLAAALFIVPNMGFLLVMAKKVLIANGGHV >CRE27190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1653956:1655781:1 gene:WBGene00052682 transcript:CRE27190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27190 MLRSSLTEFPEFPEIKRRLSEQMLLGPYNKIIKYTSIPAMQPLLTPSIDEKVTELLGPFHFLMKFTLLDCSMKTKRCLPRLIAVLIIILLSARVGILMILSKGNALSFAWAESNFFGFPAIFTQFCGICLFNWTRTRFIEHFSEKLAQIRILRSEPNQNMDNYQRVHALALILSIPWFGASTSWILFNFFQGKIFHGGDDADIILRALLVGSSVYIWFISTICLVYYFVVFDALNREVTYFNEELEKAKKERILTTAGVLETFDIRQYEIFNLIIFTNHALSFFGSLVPLFLFYGLVNGVYLTTFIETTPLIYFGILGFNLAAIMFYNLTMLFPTCALQEHLTATNKVLINNDEIGRCQDPIVYQTYRIMIDRVQKIDTKIHVVGAFPITKAVLAAAMFVVPNLGFLLVIIKKVIVANGGVV >CRE27191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1656540:1657929:1 gene:WBGene00052683 transcript:CRE27191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srr-5 description:CRE-SRR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LNY6] MFSSNSEVVKVPPGSFCIQVPEVKEPLTDDKFLGPLSFFIRFTGLDCSIVALSKVDSRFKLRAWITQIFAIIIMAIIVLRCFTFFQLHGHSMSFQWAESGMFAFLGVQSVECAYCIFSWTRNDFIMNHLEKLEVLRLLRVKDNEKIDSYCSIHLKILVWTGLWTLITMAHAVNCAIEEKVILSGDHIYPIIFYGMPFVTLLVCFHVSIFLNCYFLVNCSLYREIEYFNTELENAQRMKQLNNPDLMAKYSNRQAELIHLVRSANKSLGGYTFTTPISMFNACINAIYIFFSFREELPLISGVIMTLNIFATIFMTYFSIRPASNVQFHIQDTSRILMESREFENSKDADVMNTYHVMIDRSLRHTARLRVLGGIPIYPTTFHIAIFFIPSLGTLLSFVKKSLHTYGLEMHK >CRE27192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1659195:1660920:1 gene:WBGene00052684 transcript:CRE27192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srr-6 description:CRE-SRR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LNY7] MINPSIDTLEQETSHSSRIEMPEVKMPMTEEFLLGPFRKIIKVTGLDCSLIALSQHNPHLRIKAIITRVVAIAVIVVIFFRCGMLFKAEGPTLSLTWAESNMFAFMGIQSIVCTFCLFGWTKNEFVPLHLTRLNKVRSLRIKENTVVDDYSNIHRKAFIWSGFWFAAILSHAIASAAAQKVIISGKIVIAPLYITMPFITLLACFIVTLCLIYYFLVNASLSREIEYFNTELEEAKQEKRLHDSSILLEFCHRQAELVRLVEKANESLSSYATTAPMFCFTSCINAVFIASGFSSSLPSVIFAILLFNLFAVIAITFFTLRPASNVQFYLSDTARILMDSEEFECSQDSDVFKGYQVMINRSLKHNMKIRVLRGIPIYPASMNFAMFIFPNLGSLLALVRKILVNQGIQI >CRE27193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1665428:1667104:1 gene:WBGene00052685 transcript:CRE27193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srr-4 description:CRE-SRR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LNY8] MSVLLLLWFTCLFYSSILIVISDVTQATPSFYFCEFVLCHLPKLYIKDVHTPASLILYRARSKHHLIRKNLQNTKWLAPWRNLFRLQKSRRISICWDASNTLSSEFFKRILEIMFINEDYFRLTGLDCSPCAAANNGSGSKICGYLARIFAIIIIILMFFRAFTFLGEEGKLLSFNWSESNVFGFMGLHAVVCSFCVMGWTANAFIPNYLKQLSQVRVLRVEPNEEIDDYRGLRKKAFFFSLPWLGTLAFTALFNALHEKIVFSGAVVPVYKYSLFPALAFLVWIITSSCLVFYVLVQFSMTREIEYFNKELQKASEDKKLKDHTTISEFSFRQRELIKLVKKANESLSSYAKVAPLFCFFSIINAIYNLSFFSVVPLPYAIGLIFLLASVIGMTVLSLLPAAKVQDQLTATSAILMESDEFEHAEDPKVYQTYRVMVDRSLESDSRIFVVNAFGINSTNFNVAMFAVPNLGPILMMLKKLLESNGVIVSKILQ >CRE27498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1667299:1670279:-1 gene:WBGene00052686 transcript:CRE27498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpt-4 description:CRE-CPT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LNY9] MPPVNSKYEWPYPVAKRFPGGFERFSYRTYNWFENRLWPVRPLPFLTATAAATAFQVRDIGLEGIRENVHKLLKPLAISVGSVYTSVFLFRHFLKYFYFSYKGYLFENPKKPSIRTIIWGVLRKVLLAVAPPQLSSCDRLLPNLPLPALQDTVDRYMDSMKHLMPEENYVELLEMSREFVAKEGRSLQRYAWFLHKFTDNYVTSLWETYIYMAGRYPLLINSSVAQCTMYGPSDLIQAYQVARLIYIETIANLALDRQKYMAVGDGIMSTRHYKNIYNGCRIPGQQYDHFQWNKPAKHIILVHDCTWYKVDVCDANGNLYTVDQLAKIVAEVMKRDDKSKGYLRNIASLTTDRRTEWCANRQKFFLDNKHNRRLLDCIETAQFVMSVDGDLNWGVETTEQLSNYMKDMLAGSGANRWVDKTMNYAVDASGRAGATGEHSPCDGAELDHLCENVLNVDKQVLESPSKERQLEIIQMTEEEKKTLKLAEKLGFQEVDGMETEVNRCFEQHLKASDDLHMHSLAFTDFGKGRVKKCGISPDGFLQMAIQLANYYDQGKFVLTYEPGSVRFYANSRTETLRPVTDASCNFVKAMMDQEATQKERRELLKKACGDHVENCKQVMTGNGIDRHLFVLCVLAKGLGYSSPFLEEYANQKWLLSTSNIPNMTNSVDEDTTEDKIMLGASFGAVAQDGYGICYRFAGNRAIMVHITSYHSSPATDSDRFGQHLREAIHTLADLFDEEPSNNNVSKNNNVSKNI >CRE27499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1671472:1672727:-1 gene:WBGene00052687 transcript:CRE27499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swt-7 MFLEIFTVWLGLFSIGFTFLPMFMVLDWRKRGSADGFSSVNFVLPMLVQSFWLRHGYMTNDQTNIIINSVNLVFFAFYVSAFAYYQPKRKYLIGQIIAAALAIKVAFAYVDTHDADSINDAMGSMAAAAQIFSLVGGIYEIKRAISMGTTEYIPAGFQFAIFTLILQWLLFGILHGNQFIAISNAAGLLVNIATISLYFFYPPLTWTVPIFNIPPQKKDAKKVE >CRE27194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1675413:1676095:1 gene:WBGene00052688 transcript:CRE27194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27194 MSMQLFLSAVFLSVVLAHPPKCFLPLSSGTNKNCENAPSQKYHYDPKLNQCHAFQYKGCGGTLNNYETPKDCEEACYIDPKTYIQCPLGTRTVFDSKNNNICPIDSKDGEGCESPDAICTNFTAMALCCNRTVTIGYEEDKSSMCPSRKARWQIDGVVVLAKSCGAVVCPSGYSCKDGNFFSYCCEN >CRE27195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1676702:1677220:1 gene:WBGene00052689 transcript:CRE27195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27195 MIFNNNENGFQYHFDPKLNQCHAFQYKGCAGTLNNYKTLKDCEDTCALDPSTIIQCPLHTRTIFDSKNNNQCSKNSKSGEGCESPDAYCTHFASISLCCNRTVVLGYQSDKSSTCPNGKARWQIDGSAVLAKSCEAVACPTGYTCQNGNFFSYCCEN >CRE27500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1677832:1678501:-1 gene:WBGene00052690 transcript:CRE27500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27500 MMILSILLALLSITHFANAEWVRPAACVAPLVVGSTPCSAEAPVRHFYDTEKDRCYAFRYTGCGGNANNFETKRQCEKICTGDPNRVMCPGSTKPTLNVLGKTSPCGNPNNTEGLPECVGADFYRDLGTNFCHDLGAGQCCAVESISKLASDFWLFECPDGRTKYAPPSNNTHFKYEKFVLGKNCDTNFCPDGYECVMGNYYSFCCK >CRE27502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1680532:1681324:-1 gene:WBGene00052691 transcript:CRE27502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27502 MLKFILLIVLFCIVGVSAQFRPECEHPLHYGVQQCTNTSSVRYHFDKDSKKCLAFKYSGCGGNANNFAGYQECQSFCLPMDYFTCPGGTEAVVSKNGKTHCGGMEQLACDGPNTFCLNGPFTGICCDTRARDKINDDYEKECGPGKLKHQIDIGGITIPMFGKTCDSAFCPANTKCHQGNYFAYCCA >CRE27503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1681528:1684638:-1 gene:WBGene00052692 transcript:CRE27503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27503 MADEAIRSKRSMLYATFYAKYEDLEELRRTTTPGTYAENPFNLDNIGDGYDVWTRGETQLLVLAVIIVILTLIFAAIVALDCGRNAPPIMRTGTLRDIVWQGGRMRNPQRVHTGRSAARNNPDNTSGDLKGDKSFLLPNCQQPLHHGVQNCTNTSSLRFYMDADTETCLAFKYSGCGGNSNNFDTWSECGRCFAMDYSGCPLGSKSIKNLNANHTICAHSMNEECTGPNSFCRRGAFFGTCCDKTIRDKENSDSNKKEGCAPGTQKVTYKTGSGFSITLLGKTCGSNFCPQKSTCHQGNYFAYCCAAD >CRE27504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1685223:1686988:-1 gene:WBGene00052694 transcript:CRE27504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27504 MNDQIKLASEWGYNQEDIIAALKINSRDGSKEFERFENFDVMLDILNKVSGRGDARSSRKHHGPGDLMSTSVTSLHPHSSSSKPHRSLSVARSSSFHLSTPKSTRDSHDIVRLLATLEKEKERDRKEVDSQFTILKNRINHLENEKEQLISEVQDLKRTLEDSDQDLKDCREEMNRLMEEKIEAADKLDKMKHTVQTIQNYRQQQEIHDQKELSEHRKKIYSEEKARKDEEILNLQKQKTDVETELERKSALLDEQTNVTKRMEEKSYQLKPLVLLDELTKKHSQLVDLSTEFLPNINAVKSLYKQLVGHLDFYSIIFPCFFPILDSIRFLFQFDSFPQLEANVQESKLVMERRVEEVEEQLRSRNRDFQRLQEKLVAECCICLATKPSIVFMPCRHLITCSDCYDASDFRECPTCRSTIENSITVFM >CRE27196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1688785:1689306:1 gene:WBGene00052695 transcript:CRE27196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27196 MPIQTDIVGKWNFVSSENFDEYLKEVGVGYLVRTVATKTKPTLEFAVKGDEWTMNSNSTFKNYTITWKLGNAKDEKTADGRDVSSVFNIEGDKLVQVETGKGGGKDSKIERYIENGKLVIVCTSNGVKCTRVYEKA >CRE27505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1689581:1697550:-1 gene:WBGene00052696 transcript:CRE27505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27505 MIDFPNVFRSEYPPVSDNFLMVIAKSYILSNFWSDHYIEVQQALMHSNDERVVKRLQELFNKSNHRLRMYGSAEELAHNLKIYRNFQNSQSRFTTNALEGWNTKPILYSGTDGKHYVSKHDMFVLIQNLPLKTSNSESLNLVGYIFFLGLKTHWKEIQNCNEFVKCDESLLENLGKDFLDFFNELRDNESPGYCLKVDAGTVEEVVYQWKNLFENNTYENEYTGLLVDRIYQEVPTKYHQEVWKTSFRLAKALLESLNKVITARPSWFFPSEEKLNRKLRLFEDYGHKFLLVLEVFKVGGIKMLEKFGSSRYISYYDGRPEVNGFFTIDFKTFKSKLGKEIESLTFIKTPVLRAKHAAVPISFTNVEHCTLASDVLFELLRELILGYKIFQRTENEKKEGIMLNRIFTEILDEYFHVPVSFNLYEKYNCQFFQEVHFFNPSTVETIKNKFQSYISRVKRSVQKDVRNAKKDGFTVQNLKNELVHLGLHFEFPDIGGYAEMVYKRIDSLKTREFLRTCDLFDAVEQCQLICIMKKYEKLAVYIHKHKSCHRVWTPCKYCQTHKNTKWNRFMLKDVRLLARKVKNPAHRSPLDQQMVLPNGVNLVSNYGLVSSCNDEIDEQIENGTDFYLLDVKDVKSLATCNNSYDKYLRESMESRPQRKIYLRTFSATVFKEEENSRVFVDEVFDIVRVILQQQEIDIESYTGTFLFQYSSITGQTFSDKFSKYCEQWEDSNILKSDKQKMFFETMSLDDLKEVLEDFNIDKRLITIVPDIGYAVSVMFKMPLDMGESVMTIRSPKMFHLVQDSNQTILQLFQSVICYLDMSIECPQHGRDCKISLMCQIAIFMEANNLHETVCRSKKIELYQKRIQEVYYRSDFVTDQIKNLQNHCFFKLQTTQKRIMDKYYSTHFKQPISLYEYILDFQALKFDSHDYEKSDHADQILNNSYITAWRARFLILLEISGRFFHRDDEKIKEHKHRMWEALNFKLPFLDWVDQKDLSYVLWSVDKSKISWAETLWFAEPCEIDKLDSHEWLIHNKNEREKLIRQRKKESMGRAYYDMENRSIRLMHNIRSTAVTKRIARQEAARQGMKEHYNENTVPKVEKTEEVVESKTELDSLKKEVPAKRIIESTDRASSPIDFNCQPCIENKETLQKMNEKLNLERNNIKILDERVISNEDQILQIKEKSEIEKQEQERKKIELSGKENLIKNFEKQLNTQQKEFQELEKLKKELERRHENLNRLKNQIEIQENKSKESASEFQQRETQLKRELNSKRQATKHLNREIEKFAEGNDPFGATELRERNRDLENANHENEIIYHSLLEQLDFSSKLNLNDQPSTSSSVPQQSITHPIQLSTMMRNMLKLLEEKKATLMKEDSLKKAENLVHILMLSTDDEEEKNHIVNKLKDFQADFTAYMNVLNQNIASIQKMSRKNASRKDKRTQKSEEKQTKDSSTSPEVAGCEDCFYDTEKMNNANEEMLQNQNKTVMLEKKLVKSDKKLEEAKKKEKELLKDKEGLDDQIKTKDEHLKVLERRCFLSRRCAAQKTELKKMIQLKKEIQEMEKKEAENRKTLAAKKDNSENLELNWNRKSTQLSNEIKSENSRISALENNLERLFLKRDAIEELKQLELELENEREETKRLVDVKIGLADGNQLKEKTIQELKNSMQSGSYPQSAPFQSKNPSEVSSVVVSKSDKVPQESRFSSQQSSSGSLPRNQSVQDVLAPRSATAVQLKEARPRGAPEEIEDKQCLICLEEMLNLNNTMKCFNCRRRFHSHCLRRWFQEKRTCPTCYELFLDDVEFPGL >CRE27197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1699014:1702646:1 gene:WBGene00052697 transcript:CRE27197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27197 MEIDKNSLRISSASLGKIALHYFSHLNRKNIDIREFNVFEKDEIEDDGEKNVRFILRRYASHLGMYGSSKELTENLHIFANFPQNEEFLQIDRGGPYSNDLEAFEGTDGQKYIHKQDIFLYLQNRLILKEPRLKKTIPKDMLCLFLKSQEKKVNEGCEFIRFDEKTFCSIDKELDEIIRNLKKLPRLCRPLSLKKYEWRHIFDQFKKVLPEALVDVEQVSLAGAVRIAFEEIPTNKRTEDWQLFYTISASLIRGLHSLMRGHPGLFLPAKSSNKTVVRLFEDGSQKFVIRFELEQAMSSLNPRVRVTDVFDRNRMECMTLDEANEFCKSHQIEITKLSFVKIPVKRAKHRAVPILTPYKYHCILSIDVLFEFLRDFYFERSIFHGTPCGSPMVIHIVGKLNTFFDTSFPYRYLSSIGSAHHLDAAFDINSFGNKAHLHIRDVGSNGFTVEELIDEIEYLGFDSSFPEIFSHVDIAYEEVLKNKREHFLRKCDMYDAIEHCLHLCLFNRFPNMAEFLHIQKACHRIVGYNCEKCSSEHAKKQDQRSIDVYELLEKNMMRCKEEKEKKDMEDKIKAEELANSGGESKTLKIKNKKKAKAASQVVATGSQQGSDVSLAAGIMECLNLNTNIVEEKNTESADEKNGSQGKAAENSDHIEMTTTPGEGSSAGPSSSSSPSNENHVQEDDKPVQDNHQSDPPNSIKWCIKCHRTSLKCDAAKEELKSASKKITDLKKELSAFQRRNTEKSTTISEQELVIKSLEAKLKIAEDLNEKSNIAKTAEEIKKNEAKLLEKDEIIALLQEKLKVTEHQNEQREETISNLLNELQSASAGTSSDIVVVKEPSKNASSLSEELSNELFSLFKTRGTLLNANLIHQATDSVNKLLLLTEKEPIKRRARIELKKFHDAYRNYMRAIEENIETIQASYLMISQEFTTKLFKRRMEFQIRNVSSAFTVWDLSINCASASVVKDLITQRVHWDGTEPKMNVRLVETCI >CRE27198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1703299:1708748:1 gene:WBGene00052698 transcript:CRE27198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27198 MTADMENENGIKFEIRMQFALQYLPKEFLQNLVWDKFKTQSVIGNGTDSIGLLFEGSQEMKMYGDPEELRQNLSIYSNFTGQQHCFDYSIDDSYQTSTTFYRSLKNEEYISKLDIFSLLHYVVEDFDKKFSSCWRRSNLGISSVILVLKRMEGSCYDYLNFVQCSSDDLDKFVDTKLIGKKVKCKKMELLKYTFEELFTQWTSLFDFKLNEEEGQTVMTWLRDECGNYSIKEVERDLQELFDTASQSIRNLRTAVKTKPEWFDLSYNKVNPGSPITVRLFVDNENKFVMLPELVTKMTSANMNLDSLQMPTQGLASDEIPTIDFKELSGIIGTKTMSKIEVSFLIRLTFIEFSFQFILNPIIRAKHAAVPIPTHHGTHCILAIDAFLQLLQNLIHAKRVFQIENEKDWGTVEEFVKNVMAYFNGENVSMFFIETSIFEQIKAECDDEAMRLMLKPSATTIRDVSKGGFTSEDLRQELQYLNLTKAFPNILDYAQMAYDHILRSKYENLLDTSDMYDGVQYCQMICIFERFPKLKQFQHSQKSCYRILNMNCPKCYESVNNNNTMFIDYYGRDVDKIEKVDSTYELDPLIIFLTGTQVYPASSFQEELKHIGLSQEQRKKFNSFVSLEESPKNLPFVYIDRSDRHWFRDTFLPSLTEKVSFSTCVDRIMKRSGKLLYLRKLNYTATDSECNERCFLNEMVQILPFILKQQGTYTEYNRKKVEEFRKCMDPDDSTKFITLTINKLKEIFENFNIDKSKITLIPDPSVIYLHNNKSLNNYYRMVSLNSCYGQLTSVPHAVMFIFESIACEYNWSSIWHQDSKKEKCSRSLNKMILERMNRFYQILNTYVTTSYVEYEVASIKELCRQSTSISRAPYSELIKKGTYDLVSLSFLLDDNLLRDRDHKFLKNVKMKDVRNLKVENWRVQLILMLASSSKFLTCEFLGLSYMIKQCLLFKTPYGGIRTHTDLWKVLIYGYQKYIDHPNFLARRRNEIIEPTVEAVIMDIYEDVVGGSKGPLPKLAIPEGASSRAEKEILAAKSMKKARKTRNKTKKNEEAVEKKTIEEKTVEKENVVEAVEGKKKPEDKERLPVPEGAKEIEWCVKCYRTNRHREKAAEKFHLERKKATELEKKLSVVEKELDEYKKAEDEIELKLVKKFEEEILEKDRKLEEMRKMFEEYRQKTTSKIKEMKKRKEERNGEANRNLEEINRTLEQDLRQKDKIMERLKDTISKLTQQLEAKNIEFENLQDQQPSTSSSSGFHSYVTSTSSQEPCSSKKEMGSSKQKNLADSNVILNKLKSDRITLLTEHSKTEANEKANRLLLNYSNRETRDRINYELRKFRVDFDTFLKTVDSNISILEKNSSITTDQLASIPPLPSFSETFMEKYHEIMKKKKKKNDEQTPETKKETNVPNPGNLQPTVSETSAPINRVPPDELEAIDCQICLADMETYVGTLKCPKCRRRFHNYCITSWLNTNSVCPNCYKIMLDPEEFPFLS >CRE27199.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1709009:1711368:1 gene:WBGene00052699 transcript:CRE27199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-51 description:CRE-PQN-51 protein [Source:UniProtKB/TrEMBL;Acc:E3LP02] MAHGNGIADIYKSVIADVISNMKEAFLDENIDVDVLSQLKKEWEDKVNSSGCVDLESNAPPPAPRQQHVTPNPVRPNPIPNHRINPNVQQQQPQRSALAALHAAQMGDTPIRMAYTAQTVQHPQQVRMFPQQFQGGMPFQPGQVFVVQQPGGQNLPMTIMPNQLQHRIVQQGQPQQIPQHQQGNQLTHMNMNQMDGNGGSESDDEGCSEPLHVRQKKAKASMKVRGTGASKKEAMKVLGSMLKEIQLDGGGGGMSDSSSEEEGEEDDDPLRRIADRMGNGEVEDGDQVAEEEPLNSEDDQSDDEDLTMLFDAENVVMCQFEKVNRARTKWKFQLKDGIMHIDKKDYCFQKCTGEAEW >CRE27199.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1709726:1711368:1 gene:WBGene00052699 transcript:CRE27199.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-51 description:CRE-PQN-51 protein [Source:UniProtKB/TrEMBL;Acc:E3LP02] MAHGNGIADIYKSVIADVISNMKEAFLDENIDVDVLSQLKKEWEDKVNSSGCVDLESNAPPPAPRQQHVTPNPVRPNPIPNHRINPNVQQQQPQRSALAALHAAQMGDTPIRMAYTAQTVQHPQQVRMFPQQFQGGMPFQPGQVFVVQQPGGQNLPMTIMPNQLQHRIVQQGQPQQIPQHQQGNQLTHMNMNQMDGNGGSESDDEGCSEPLHVRQKKAKASMKVRGTGASKKEAMKVLGSMLKEIQLDGGGGGMSDSSSEEEGEEDDDPLRRIADRMGNGEVEDGDQVAEEEPLNSEDDQSDDEDLTMLFDAENVVMCQFEKVNRARTKWKFQLKDGIMHIDKKDYCFQKCTGEAEW >CRE27200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1711419:1712314:1 gene:WBGene00052700 transcript:CRE27200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27200 MDLLPGLREDVLRRFLSDGAKILTARHIEKFQLQITVISREGRLLRGVLDQKSRINRELMHREKYETPYIVELPDDHPDDTKHVRYSVSLVSLMLSLSKMDFSTFQPRGKRVIALRYEKQTPSTSSEGKPRTKRIRSAAQLLGDKEDFCCRTCFKRFSRKYNLERHEDACCPKPILYQCPVCHRKYQKAPYFAAHIRQHEAEENRQQRERNSRHLAIEHSNMEEIRRRAEESVDKLSIPYEFGTFL >CRE27201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1712576:1714197:1 gene:WBGene00052701 transcript:CRE27201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cwp-4 description:CRE-CWP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LP04] MRNLLFSILLLIFSINEVNAQCSSDTIPDSLATLTQYQVGSEGDAYYSHFLVNGGASFSYGNASAKALYELEAYAISAATANCTSSCLTSVSAYYKTDSDKCEGTFLSDWKTSFNFILTPKHSPFSVTLIMLRPSDESLYPNYTSMGLPPFLCATVSGNCGSTKPLYQYYSLTYEDYYADIEQMNNTNATYSVAMMGLPLCYLWVPLTTTTTTTTTTTTTSPINETTTTIPVNGTTTTVPLNGTTTTSPTNETNTTAPVNGTTTTVPTNGTTTTLPSNGTTTTTPSSGNVTTTTVPSTGTNTTTSSSSGNSTSTSSPGDGGSGEPPGNSDDDGDNKGWWHTWRWPIIVAACLSGASIVLSIIACLTCCFIGSAAVAAKPYSPPPIYTSPSPPELPPNPVEAQSMQPYTVGLPPTSGPY >CRE27506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1714630:1720876:-1 gene:WBGene00052702 transcript:CRE27506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlk-1 description:CRE-MLK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LP05] MEPSYPSYVNIAPKAKTRSMSHLAPTPEHNRSVSYEETTTASTSTDSVPSVRIRSESSQISMDSPVPASEKCVAAYEYEAQREDELALPIGSILRVIKYETQEDGWYLGSLDGKVGMFPANYVRLLPAEESLVGFHADDISLPEENKTLEDFRIGHGATATVFKVDLKINKSLDKGAMGDVSSYQMKAALKRFNKHTYNSRGEHMTDAEQLEMLKREANLVDGLSHNNIVRLLGICLEDPYFGLLLELCEGSSLRTVCRSMNSDSSIPLGVLVDWAKQVAQGMEYLTKEGYVHRDLKADNVLVKEEVCLCMDEITMKYEWCTKCGRRPLDKLQLKITDFGVTRKMTADANRFSTAGTYAWLAPEAFRDGAWSESSDVWSYGVVLWELLSREDPYQGQIPATIAFQIVMRGQSLIIDENCPTQWKNIMQKCWNLDPTKRPKFSALAVEFKEYAKELEAVTQLQRAPSKMAVKEIYTECNNSKGKEELEKMFNDLYVSTNISDIHRADRRSIAPETKARKLKHLPKGKKLEITGPMGDVVHLVSVQLDKSNEKKFKINYNDENYNGGTLPRLNDRQSSLSLSSPDLHHIQDIISGSNTVGRNRISRKNAIRLKKAHPNMYDSHLSSPTNDDSNTFAKIDNADEIDPSHTKEKKVGTFSRAWAKLPWKTAKRDSKEEHDERATAGSISSRSSSTTSSNRIITGQTTRGAAALPFLEAGTRSRAQSAADCWDDSNSNKKCKASPNGKGPVKQTNLTERFVKDNEKDSEIRPGHLPTYHRKSALDQTIPPSPNSPDSLINFPPMHVSSRRTTANSSSDGATCYEQLVTNPSGAGHGLRNPIIHPSLSDIIPTYSEEQTHYDMGPGRYLERNGHSNQGLYGHINYSGAGHGRPNQSTHYYPVGGGCDSYIPISPKVVMKPTVGEVNNSPYSDNFRMMTRNVQNPQYIQCKQDKKPPMRTPALPIKIQSESNLVNTGMLYSSRPENLNGIENSLSSLSLNEAPDIPAPPPPRISMSPPTRLAPVLPPRDGSLSPDPRRIMDKEILKTAEVGGTEIF >CRE27507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1723779:1724586:-1 gene:WBGene00052703 transcript:CRE27507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27507 MMMHHAMSFHFGTEETILFDFWKTETAVGIAVSCFVTVLLAFLMETIRFFRDYRKAQIQLHQAPIAPEDRLKRSPQLDLIDPLLQLFQLTIAYFLMLIFMTFNVYLCFFTVVGEIVAHLLYRTLYPNLNSSSAGHC >CRE27508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1727184:1730227:-1 gene:WBGene00052704 transcript:CRE27508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27508 MSEYAESIYADSVIDWDQGFDNYDETLTEKPRGQRRWLGKEHHRGKERLHNSVKDRTFIEKTVKSTDPLSKVCETSYIKYIFNFQRSADRIEESTKDELKLNVLYSMDKKNRWNDATTVTLLRSAPENLNVEVVEKNALEQYGFLYPNKSTFAVHSRKVRQEDAPGEFEIRKATSTSSKGQDYSNFLPKSREFSKKANAKIMTVRHEEEGPVELPTVTYNIYKTHHSKDVVGSELFKAKVVSKSGRHRANKKIDMDVYDDDEYFDDEEDEFEDSNHQSHARSILDLTDYVVENSKKQVVRSKRNASETSYEMIDHTDIEYSHQFNIQGYLNQEGFTFIESDITFSNQTACFEEQINQLREERDLKIKDLRPNQYLIDITKRCQSDGAKKDGETTTVMVFTHLKQKTFNVLLNSTIICHPEKRSDEYLKKLISSATSILEAITRVSGELNQNRNTIDMISTSGKYYGKKDLTELLNDSTVWDFQTKKMQKMNWPNQHYHATWANSEELSQIGGKLEWNDLCEMVKTENRLKTCYTFEENCGECSRKKRSHELFLVKNESKVKCTDCLRNQFYREFMANRLPIDLETDTAEELEYLPTFIPLPLLNLYIRVSSFAHPPYFLIFCFQMVAETIYKDLGATGDFEKCQSCKSAVFFEDLTSENEKKSVNRSCPCGYSWCKECRNVPHWPMNCTDFSEWEKKWLLRCKLLSFMFHISFVSVSDSMMHAQGSGSEALLQITCSCGSAIMNVLLPAGKFISCPNCKTNVNTETMHSIYEPYYWPYSPRQRERLRQYYRDHKNEDSYRYEPIAEIRTDITKIPAIKQSVMEVCGAARDVRFDLKFRNQMVKREHALIRKNTIETEVVENLFGTTAYLAENVTAWMHMSNQNDRNVKNTLETMMENRKNLIEVLEGGDQESIKECIRTLRIQIDSVVSSVEKKLNDATPRQQFSYSNHTDCI >CRE27509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1730769:1731978:-1 gene:WBGene00052705 transcript:CRE27509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-32 description:CRE-GLB-32 protein [Source:UniProtKB/TrEMBL;Acc:E3LP08] MGHAHSSSSNGSSLVQNGHDEKTKEKVEKLFRQNNRTNRSRSLDNRTQEKLPNRPVGSSHSARFPKTRHIPIPTQGPRKSEDGPNGSISGLTRDDKRIIETCWFKCSQKQLRKCSCDMFWDILHTDEDILRLFRLDHVSPNRLKENEYFKSHASNLALVLNLVVTNLQDNFEQAQDALQALGYQHLHLIDRTHFQSMYWDIFTDCFERNPPPSFKKGAEREVWSRMILFIMGQMKTGYQRAIQEEKCERLSVPLLM >CRE27510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1737802:1739427:-1 gene:WBGene00052706 transcript:CRE27510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27510 MYSVKATEMATNLFNCSIVMLRNHYIVTVNVDKLAQKAIGIYYALLIIIESLLFATLLVVHFKIRKGCHPFFGLLFGLVLSCYIFCGLAEAVCQFLFLFGGSAEMLNVIYFLYDLTYSAITALCFCCLIERTISTNWAVSYETNRTWSVYIILVFLSVTGYVYFASFQCRGCVVSAVVTVIIIGGCIGLYFLNRNLTLKYQKDLTFMGKVSLSMRYQIIENMIVVRKALLPVIVLDSLVTLVDSFSSQLTTRIEENDDSTCHSLMKYAPYTALNHLAMVLEYSIPLYMLFRRAYRRQLPEVCRKLRISTRIQQSRDSMIQNVFGRELNVIPTQNEYFENLQKEWK >CRE27511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1740430:1741742:-1 gene:WBGene00052707 transcript:CRE27511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-46 description:CRE-UNC-46 protein [Source:UniProtKB/TrEMBL;Acc:E3LP10] MFLFYLFLIIKTVYSTTTSPSSESSFVYDNQTIGLKKGDGETCMSVTFQVKILNEQNNNSVPIDFHLPSGLIKGKCAPDRKSEAKISSTIEESDGRTKTLKFVFRTEEMRVKRVDELRWQLNKVIYVEKFAGSSAVFESDNSSVIFSAPLTQKYVCEDRINVTLHSENFDFPILVMFSPEIDVQPYGPKSNFYLCERTRKRTLSESLQNRSTVFCGVVLALSSIAHIVGHMLRRHFMPHRKELYENLDRLPAIN >CRE27514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1748921:1750086:-1 gene:WBGene00052708 transcript:CRE27514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27514 MSCCNRDGSKAKETREAKAEIVELLSKLEIDQVIEVKDWLIKYVDETPSDQITSNHEKEKRKKMLERGASKLNRIATYLKDKAVDVPSKAPNKLKYPTEGFDSDCTEVNTCEVDSFLYDDDDVEELVQRDVLSRTYCPTCNSRDTVSLNYISHSLSRLQVKFMFDQLMPPTCQHVLDIGSRLGAVVYGSSIFTNGNVSTIGVEMDSDYAALTLRTIRQFGLKHVEILRDDIRHLPHVFKDNQFIVMNNVFSFFLSKTEQEECWEFLHTHMRPGTVLAHHPPIEKITEHLDLSFAVEDWLEFVNTTPECLEYGSNDSEIYEEMESICKYIVKNPDVQDASVSE >CRE27516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1753123:1754853:-1 gene:WBGene00052709 transcript:CRE27516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-63 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LP15] MIFLTSVLLGFLFQNVKTARILLTVMDQGRSHATSFNAFMHRLQQDNHTTALEFAKFHPDIDFGMEEKFVDMDGFQNPFASPDFDKIAFEEDYSFIHQAVAYGFGSETCNNILNHKREKFFEIVSEDWDLYLSDSLFAVCGYGMAEISGKPHVMMHSTDLESAQGSFKGFSRYIYSKIQNISFENFRNYATFVPSNLPFTMLDFSVSKYSHRVWAAYDWFGSYIFTAIVGNFAQKWALRSIIPFPYFSFSEYNRKSSFTFTDMPDSLFPPASRTNDFFSFGAYCKSSSKPLDSEFLSFIDDPKSKGTIIIAFGTFIDWRKAPDHYYETFSTVINQLTDYRVIWSMKGDRPLGLGNHVKTSGWVPQNQILHHNKTVLFLSHGGLKSTKEAICSATPTIFVPMFGEQTRNSWLIKEKGFGRIMNKFQINVRELETHIREVLDHPSYQQNANKFLTHYMDQPIPTLDEGAFRFNKLLKYYGKMPAYFYPKSLDLSYITALNLDLLILLPVFSLYLVSK >CRE27517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1755139:1756983:-1 gene:WBGene00052710 transcript:CRE27517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27517 MGNEHNCPHCDAQFKHPSTLKRHLEKHLFTGDWLCVLCSTFCNRLTVLDFHWQNSCPEIKAIFCEDDRKAMSSEDLREAAFRLTLDRYTLWNNGEEEGSCRTTYHDDKSILSLCIYCNLYLPSGTMNTHYGVHTSQNSPGKCCTESAVSYICDLCGYGFRFLKTLYKHWRHHCPELLTHFPPLGMDIDNRRLRKMVASLVRQAEVVVPYEVMKMNRDEETEKEFELDDDEDEDEEARLSFMSRITPPVDRDRYFWNIGNHQEHHSCLHCHRKFHSLGRLERHNEIFHQSKKRPATCELCQAIFTSNRILYHHLRNACKVMRIEVPDNEIRKKMGVEELMKTVMKASEWWKELLEKKKASNIKFIQEFIEDIDKKRRQCAGKITSTPILHVPMPQSSFAMEQPTGSSVCISCKIVFKSPRFLYQHQQIVHGNQHPRTQLTITASSLLPYFKLQEAIFYDSEGNEYRMGKFLEKGKDLAKHLKDRIVCPGTKIFTVEENDCFVMELLGGDGQYISLNHEELPDVCEIDGTVKKLFSQNPKEFDDFPMLEKCF >CRE27202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1758077:1760804:1 gene:WBGene00052711 transcript:CRE27202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sid-1 description:CRE-SID-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LP17] MVGSRFFASCLLLVLLGKLNCSGNNTDNGVQETDEENPVITREFPSKKKVYETTLKPNAVHVYYLKMNETYILDLIRVAAEITHPTLFEKEEDTILDVTVSNGRDNFVIKLPVVYPEGSLYTYGKLLNPLIPDDFGPKRSKKNTENSTGTQNLIVTVQSRLRVDIDYKLFLTHLDRSQYDLKFKPGQSEKTLQNQKLTFVKPMGFFLDAKEQDVKSFHITVTSEDDICANVITVPANESIYDRPVDSDKADNQRVITFNRRADIFFSEKEIELFKSFRIFVFVSPVDSPCSLKTSRKTFNEQKKMTFEFKKLEPDSYFMPTLAMIAFFISPCLIVASILAVNVWRNRDPSNTSADLVSFESDEPNHPNANTSDEQLVVMEEEEVNLQNHENLQNHVEAVSVKQDSLSLHGQVLRYPIAIILPVLMHTAVQFHNFTFSTMANRDEMCFHNSACSKPLGELRAWNNMISNIGYAIYGFVFILITMFRRWRHHSALVGTYECTLLDITIGVFMILQAIASATYHICPSDIAFQFDTPCIQVICGLLIIRQWLVRKESPSPAYTNMLLLAVVSLNFLISTLSKSSRVRYVIATIHFIVVATICLKKQKKMGSRKDAAKFMIFFAVANFILMMMYLTSSKIHLNQITTYCFILNCIVYLTYYATMKAVSRESIGLKAKICGTLAIVGWITAGYFFFQDDTDWTRTAAASRALNKPCLLLDFFGSHDLWHIFGALAALFTFFSVSFVDDDLVNTPKSSINIF >CRE27203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1761275:1762483:1 gene:WBGene00052712 transcript:CRE27203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27203 MMFLLFIFLSILSFTSSSYSSYYTTSQNGIRLMTFNIWQSGANVENGQQKIAKHILMVNPDVVALQEVYANVTRNLTLMLGHPWVAVDRNHEYPDTAILTRHVVVPNTNLSTSGGVGAKIMLRTGYMIHFWSLHLDYTSYGPYAANNKLVNKLDQIMAGENVGRGPQIYEVLDLPMMKKWIEKSDEIPIFIAGDFNGPSHLDWTEQTKKIHGDWVVRWPATKELEERKFTDTFREIYPNVVTNPGITWSTVNKFNPEWNYTIPEPQDRIDFLFYRGPVVPYQILTYSGCEKPQRIPFHAHNDYPSDHFSVFADYTFI >CRE27204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1762847:1763364:1 gene:WBGene00052713 transcript:CRE27204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27204 MSKISFLGFLLILLLVIDVVIGAGDSRHKGTEIIRQKRQYLGYPYGMGYPYSYGYGYNPYMMYRPYPMYGMGMPYYRPYGFWGK >CRE27205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1763925:1766905:1 gene:WBGene00052714 transcript:CRE27205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27205 MSSSLEPSSSCSKQETPEVMSNYAESIIDDYDVFDPEELRNEQMFEKPRGERRWLGKEHHRGKERLHNSVKDRTYIEKTVKSTEPLSKVFIVFFFRVLNIHCFQNSTKKIIASTKDGLEFNVIYSLDKRNRWETTDKVSLLGATPENVNAEVVEKNSLDMCGLFYPNSSTFAVHSKQIDFEKEPGDFEIRSATSSGKGQHYSNFLPTSREFSKKANARTMTIRGEETSSEKQTDSQPTISYNIYKSHPSQQVVGKHMFVPKVASKSVRHRASKKVEMDDYDEDEDYFDEEEESFNDSESRCPAQSVLDFSNLAVGNRDKQTRSGNRKVSELSYEMIDSAESRAEFTDLLNIHDYLRNEGFMFEEAVVTFHNQKDNIEHQIEMLREENKLIVKELRHRQYLIDVSEWCQLEEAVKDGETTTVIVITHLRKNVYNILVNSTIPSHPSKRGSDYLRKQISSALTIREAISRITSEILTHRKVVETMKISSLFYGRKTVPELLQICSEWENQLVNMQWPNQHYHFTWANSEELSQMGGKLEWNDLCAMVKKEKTMDSKQVADGECGLCSQKKLSHELFLVEDETKIKCTECLREEFYRELRGKRLPIDLQIIVADELDSLATFIPLAVLNLYIRMVSETIYKDLGATGNFEKCPSCKSAVFFEEVPSGNEKKSQNRSCPCGYSWCKQCDRVPHWPMKCGEYAEWEEKWLLRYAMTHAQGSGTHNLLEVSCQCSKQIFNVLLPEEYMKCPGCKITVDLETLETVWKRHYFPFRPRYRKLVRDGHIYNRDYNQNPFFPKTLVYTPISKIPVIRTSVIEICGAARDIRYDIHFRNRAVNREHILIRKKVIEREVVENLLGTSIYLVENVTAWMYMSSQYDRNVKKTLESVMVIRKNLLSSLEGEDSETISECINKLRKDINNVVTAVEKMIVTVGNSQN >CRE27206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1767916:1770897:1 gene:WBGene00052715 transcript:CRE27206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27206 MSSSPEPCSSCSKSIQETTEVISNYAESIIDDYDVFDPEELRNKQMFEKPRGEKRWLGRERHRGKERLHNSVKNRTFIEKTLKSDEPLSKNAIKRVTETTNDQLELNVIYSLDKKKRWQTADTTSLLGAAPECVNAEVVERNALETCGYVHSDKSTFAVHSKQINLNKEAGDFEVRSATSSGKGQHYSNFSPKTREFSKEASARKMNTQVEDHVLEKEVEHQPTVSYNIYKTHPSREVVGSQMFKPKVLSKSGRHRVNQKLELEDYDDDDKEFDEDEDETEGNFEQTPPQCVLDLSACIIENRDKKSRKHGRKDCYMFEEFEITFSNQEETFEHQIDELRRECKLIVKDLRPTQYLIDASEWCQLDGEVKDGEITTVIVITHLKKNTYNFLINSTIPISPADRNLEKLIKRLSYVKTFKEAVSKITSDILTNRRTFDTMRMSSMFYGRKTVSDLLQDPEEWENQLVNLKWPNQHYNATWANSEELSQVGAKLEWNDLCATIKKENRLKTGYTSDDMCELCHQRKRSHELFLVDDENKLKCTECLREEFYRELRARRVPIDLKTDTADELEYLPTFIPLTILNLYVRMVSETIYKDLGAAGDFEKCPSCKSAVFFKEIPSGNEKKSQNRSCPCGYSWCKHCGRVPHWPMKCGEYAEWEEKWLLRYAMRHAQGTGTDTLLQVTCSCAKEVYHVLLPEEFIECPGCKTNVNMNTMRTVWKHYYYPFDPILRKYIKKGYYVIGEDYKKSPYVPRAKVYTEIVKIPGIRASVIEICGDARDIRYDIHSRNRAVNREHILIRKKVMEREVVENLLGTSVYLVENVTAWMYMSSQYDRNVKKTLESVMENRKNLLSSLEGEDSEAISECINKLRKDINNVVTAVEKKFSEAQDL >CRE27518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1771174:1772341:-1 gene:WBGene00052717 transcript:CRE27518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27518 MCDFNDSGLASQQVFSMVLHIMTAIELPIHLFGGFVILFRTPAKMTSVKWSMFILHFWSSLLDITTCFLVIPYTIFPIPGGVPLGILSLLNVHSMVQGFILVICGALTGISILAFFENRCNSMKYGPYSQSKKTKVIRYLYLAINYSMAFGFMIPVYLQLPGKRESEIYAIKTIPCLPSKIYKNDKFFVASTNISFIFSLVGGLTVLVTVQILYQVIYSVIELRNRTKKLSRVTSTLQKRFSIALYVQVAIPMIAYLFPMLYVFSTWAFDILSQTYNNMVFICIALHGLLSTLTMISVHKPYRETLKILLPTCEMMRRRSKVSGVRDIYLSAII >CRE27208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1775034:1776209:1 gene:WBGene00052718 transcript:CRE27208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27208 MCIWRNSTYEQVTFAPSILHKLAVIEVPIHSLAGYVVLFKTPSRMESVKWMMFIMHFCGAYLDLFLSALSTQFFLLPAAAGHSQGLYTFIGIPVKWQAYMFISAICLAGVSILGFFESRFNAVVRGRGMSILKSKRRLIYIGGHYIYAFVFILPITFTPPDQTNGKFYVRAMLPCVPQEIFDHPDFFVYAIDVTLLTWIIGIATVVISSECIYFFTRIVIFLSSTKAKSQKTYKLQLHFFIALTIQISIPLLVVICPIGYIVFAFVALHFDQALNNIALNFMAMHGLISSAVMLTIHRPYREVILKMFCYSYFYKKLHRRSVHIEGTVVVVSNHRS >CRE27519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1776628:1780561:-1 gene:WBGene00052719 transcript:CRE27519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-39 description:CRE-SRX-39 protein [Source:UniProtKB/TrEMBL;Acc:E3LP25] MISEVVVAILMFVCSLFGFVVNWTIVITIIKVTKLQKPFAILTIGLATADGIFSTLYLFYATPMVLFQNQFLTNWSHVCGYILMICYDASTCFHFFISLNRFLAVYKPVFYNKMFSIGFTKCIALGIYLTSFSVITLFFQILGCENYYNPELRAFRYSEEEICKFYGNFGDFYQVFTLTITSTVLDFLAISKVIKIRAGAERNSKELNLLKQSISQTLFVLTIVCSFTWGPRFIPDLDYAFIFSSILWCSVHTFDGLFTIIFNSEIRKKIGTDGLSVVVCSTVGFVTNWMIVVFMCQTTKLKQPFAILTVALAAIDATFSTLYLFYATPMVLFNISVFQSYSAVCGFLLMLCYDAGTIIHFVISLNRFLAVFTPILYHKIFSVLFTKYITLCVFIYTCILITLFFGILGCENYYNPEVVAFSYSGQPICKVFALYGDFYEVLSLTVIGAVMDLITIWKVLKMRIRTEKGKKDLNFLKQSLSQTTFVLSIVICFTWGPRVMPGKEFAFLFSSILWSAVHCFDGVFTLIFNGEVRKKLKTGSHFRSSGVSGVKSFF >CRE27209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1781305:1782114:1 gene:WBGene00052720 transcript:CRE27209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27209 MRFTSLAVAFLACALVVSGSVIREKRQCGCAQPQQSQCSCQQVQQTQSCSCQSAPVQQQAPSCSCAQPQQTQTVQVQATQCAPACQQSCQQQCQSATSVSQCQPQCQQQCQSQCTPMYNPPTTTTTTTQAPVAQYQQCQPMCQQQCQSTCVQQQQPVAQCQPQCQQQCNVACDAPSTTTQAPQVIQIQLEIQQAQAQCQPQCQQQCQSSCVQQQQQPANQCDSACNSQCSNICQQTAQATQQVVYNTNPEHPNV >CRE27520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1783501:1784697:-1 gene:WBGene00052721 transcript:CRE27520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27520 MRFTSLAVAFLACALVVSGNVIREKRQCGCAQPQQSQCSCQQVQQTQSCSCQSAPVQQQAPSCSCAQPQQTQTVQVQATQCAPACQQSCQQQCQSATSVSQCQPQCQQQCQSQCTPMYNPPTTTTTQAPVVQYQQCQPMCQQQCQSTCVQQQQPVAQCQPQCQQQCNVACDAPSTTTQAPQVIQIQLEIQQAQAQCQPQCQQQCQSSCVQQQQQPANQCDSACNSQCSNICQQTAQATQQVVYNTNQNTQMYDPYNNQGSSNCAPACQPACDNSCTSQQAQPMYQPYDTTTEAPAQVIQIVLQTSVAQSAQCAPQCEQSCQQQCVQQQQPAAQCQTACQSSCSNSCQAAQPATQACQQSPQNNSCSCQANYSSCGNGQCCRRK >CRE27521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1785143:1786219:-1 gene:WBGene00052722 transcript:CRE27521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27521 MLSILITGFFIGLIVEFQVSLIGIFSNLILIRIVLIMKKQENPFYILIIGLAIFDGLLSFLFLIYVTPMTILEMQFMENSYLSAIFGFILMTCFLSATFSHSGITLNRFLAVSFPIIYRNYFSANVTKIIILIFVVTSFLFNSTFSIYDCGLEYDFEYHTLSVKNLDTPICSTYNQICSVNNVFILSAINIFFDVISMMKLRQIIHNRNKNVATTNTAKTDMGYLKQAMAQALYLLFNVCLFFFTFSSFIKNPDYGCIVTSLGWLTLHASDGYLKSVGYNFHLSFTEY >CRE27522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1786930:1788132:-1 gene:WBGene00052723 transcript:CRE27522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27522 MRFTSLAVAFLACALVVSGSVIREKRQCGCAQPQQSQCSCQQVQQTQSCSCQSAPVQQQAPSCSCAQPQQTQTVQVQATQCAPACQQSCQQQCQSAPSVSQCQPQCQQQCQSQCTPMYNPPTTTTTTTQAPVVQYQQCQPMCQQQCQSTCVQQQKPVAQCQPQCQQQCNVACDAPSTTTQAPQVIQIQLEIQQAQAQCQPQCQQQCQSSCVQQQQQPANQCDSACNSQCSNICQQTAQATQQVVYNTNQNTQMYDPYNNQGSSNCAPACQPACDNSCTSQQAQPMYQPYDTTTEAPAQVIQIVLQTSVAQSAQCAPQCEQSCQQQCVQQQQPAAQCQTACQSSCSNSCQAAQPATQACQQSPQNNSCSCQANYSPCGNGQCCRRK >CRE27523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1788584:1790008:-1 gene:WBGene00052724 transcript:CRE27523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27523 MFPVLFCVTFLLFSSRFFPYSFDFRHNVLVLATNSFSWYILPSSNIHTKKMSPSSVFNPDRELLVIGVVYTVLTIIVFPIYILIIHALHSRKDLRENISYKLINLMNYCDVSQAFCHFLTGLFLIFPIFTTKVDFFVRIVGCTANTLWLATFVIMAVLASTRIGIAFFKLKATKWTIWMIIALAIGSIYIFLVWAIGCITQNFQLTGPNWSYDMEVKFASVFAELELVLCFPALVLSFGSYILIIYSTYKKRSVSQTLSSSPRAEVGILIQATILTTYMAILITFWHNAESWFKMTNITLASLNCVWILFSHLNSVLLIATNRGVRNQILKVICRGNPSRHTSRLVPLSSTMAVEK >CRE27524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1791211:1792527:-1 gene:WBGene00052725 transcript:CRE27524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27524 MFETNPNLQQFTIGIVYCFIASLAFPVYIQIIHTIYFTKELNDNVSYKLINTMNIGDLVQSFLHVLTGLFIIFPTLTQKVLTFVKIVGYTNNCLFNSSFVIIAVLALIRLGVAFFHLNTNKWKFWMKKKRQTQSYSSHRAEIAILIQASVLTTYMGLLMALWHNAELWFEMTDITLACLNGSWIVFPHLNSFLLIVTNRSIRMQFLTLRSSKPNFNVAASSTTGAKILFTRSSATVTMK >CRE27526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1795604:1797399:-1 gene:WBGene00052726 transcript:CRE27526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27526 MRQKMLVTMVLMVLLSAASAMNVVFYINAIGKSHLDFSDSLVDSLVDKGHTVDVIIARMNDQATGQGKSKAERFYVFGFKNGSDWNKMHHLTNTFEEREFPINGFRPYTLIGNNLCEIALNDPKLYEFLSYRKYDIGIVSTFDYCGVGILKQTGIPSVTTFNAVPIMPIQTVTIGLPNAASQNLPLFYTFDLSTLYGRFWNLISWTYINLIQIPTLKKEQELLFRKRYGNDFNIEETVRKVDITFINSNEVMEKPRPLHHRIQYIGGINLRNPNPVDQKLDELLSRSGNGTIIFSFGTQVPGRAYPRYAVKNFLNVFKKYPGYTFLWKYDVQPGEEEMFEEAKNIVLLDWLPQTDLLYDPRVIGFISHVGLNSFNEASYAGKPIIAIPLFADQPHNALNGVARGTTYMLNKAQLTEENIEKGLRAILFDKSYQENAKRLQKMLVEKPAQPKERFVQWMEYAAANPGLHNVFELPGARMGIIEYYCIDAIFVSLLGVVLGLYSVVKVTKLLFSSFRIVKKVKQN >CRE27527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1798360:1799776:-1 gene:WBGene00052727 transcript:CRE27527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abu-7 description:CRE-ABU-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LP34] MRFTTLAIAFFACALVVSGSVIREKRQCGCAQPQQSQCSCQQVQQTQSCSCQSAPVQQQAPSCSCAQPQQTQTVQVQATQCAPACQQSCQQQCQSAPSVSQCQPQCQQQCQSQCTPMYNPPTTTTTTTQAPVAQYQQCQPMCQQQCQSTCVQQQQPVAQCQPQCQQQCNVACDAPSTTTQAPQVIQIQLEIQQAQAQCQPQCQQQCQSSCVQQQQPANQCDSACNTQCSDICQQTAQATEQVYNNNQNTNTQMYNPYNTNTNQNANCAPACQPACDNSCTSQQAQPVYQQAQPTYQVQSTAAPMYDPYNNNNQGSSNCAPACQPACDNSCTSQQAQPMYQPYDTTTEAPAQVIQIVLQTSVAQSAQCAPQCEQSCQQQCVQQQQPAAQCQTACQSSCSNSCQAAQPATQACQQSPQNNSCSCQANYSPCGNGQCCRRK >CRE27528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1800627:1802415:-1 gene:WBGene00052728 transcript:CRE27528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27528 MNRLIYFSALLVVAFADDSDNGTIVSATPMCIEYADCIHKAQQKAEECHLLENNTETTNGKKGVCTEAKRIHLEIRSIHDQMSDEIETCVRSKADNVAGLNEKKNERCQAILKKNAKRAAIEPQKRRSKKEKNSLKAAAKTCNKEARRLKSQCARLAKCCPAVRVCHRNEDKTELEEKKKALKEATKDCATNGKKGNKKLGKRVGEDREREGKKAKGDNKKTGGLGKSLERAANKKKETPIGGKKLAAALDDMHT >CRE27210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1806598:1810361:1 gene:WBGene00052729 transcript:CRE27210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27210 MGNLKDDLKLAAGLLKSGDNEKVIELLKDYSEEESADYRVFCFIALAYSNISNYDESYEMYKKAVEVDEASLMAWKGLFKLFEVESCTTPDQFSLRVCEFMQKNGDDKKVQASQALRRIHVELKLWTEIQTKFDTLEFKTDAVNLRQIIESLCSHRDKAANMDLLERAFGALKELNMLENDSGAMLNYCKFTKREEDITRLINQHKNIILDEWVQKKMFEISCQKFFESKQFPEFVDNVTCAALSTMTVVNALKHNNIAAALDALDSVPDHSAYPDCLLFVELLAETENWEAVEVLARSINRIYSSPICNGWICRSLLEIEPDSIEKLQALGPLPLPAFAIEELKIAILSENENQIKKLLNQYDESSNLGVVLRLTKALFSSENVTSEHVKLSERLSTDNSKDLVLAAEIRIRAGLDANSLLVNAAKLNVRCSRAFYLLGNVIAVKNATKAKSLIERAVQIRPGSEEYTKSLHDLLVRKGVSPQERLTVLKTLLAKKRNRRKPFWISDALSLIYMDMDSLTEAIDELQQMVRLYRDNQTVWARLADAYTRKGHLRAAVASYAQLAEMEDGHEYIIPITRVLLQLGECDEALDKILEFRRKLEEENLECGSESLIVLDFTEAEIRLNLHETTCGEQKRFHLKNAFRLLTRCINADGNCSYAAVLKLFGDALLVVSKYAERNILYFEIDQKWQISTRLDCVTKAVSFYMSVLRSQKHDPIAWYDVSVGLLSKFKIENDQKILSKVQKILEHALSLTNVETLLSSIWSLLAETKRLSEDPIRHRLHCLGRALELNKANDAAWLQLSVLCLEVGRLDDASRVLEQCIKYNPHNAEAWCAWAQTAHFQNNAHEALAMFRQALFVRAIPSAIVGYSTYLCDSLKKSQHRFDSATAALNFESIVDLSNLAYADENMLYHLGLLADLFGWYPEAQFCFELSQSSKITDELQHSRVKADILYNRNQSEPASHSDSRICGILKLTSAECYAFLTAEMDVYRDLYRFAETNDAEAFNKLYTSCVKGKGISVSLFISGLIMSNTNLPVEFIRTMHEALPRHELIDYYPTLLPEGMDNGLQHLEQDGEEPFRYRHRSAHLLLEELKILREKVEQENEKPGDESLPDDEADLRN >CRE27211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1818989:1821988:1 gene:WBGene00052730 transcript:CRE27211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27211 MKNVYFKPVNDNQTNKTGDNSRNTMSNSQCEMTWNPVARTYAQAASTNPADDKTVTVLGCKYNLLKLGNTPQTSKRSPPKPSRGGARISSVYTLTDELEITHREEGKITFAIDLPNKNNILCPLCRECTQTRGRGSSFTKHMKLHVKEKHQLDATFIYKCSMCNEYEPEKKCGTKWIQTHLQKVHNYKYDESAIVVPVPPNTRQQIANELNNAAPFVDIRKPKANVVEEKKTENGAMLKFLTKSNKDEQEHSQSNDSPNVESPEKANQALTIDPKGNNSPSKSSMRSSQSSASSVCQEIQEIITLSEDEDPKGARPKPGINVWSLINETGKDAYIDTDIMMAFLKMRVENYDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKKRVVFPICADEHWTLLTISNGIAAFYDPTGSRMSSYIEELVNELGLIIPKEQDEQPRQRDSYNCGVFVMKMAEAFIQDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKSFAQSRPTSRSSQCAVCPTCSRSATPMMDVGNMEVDPVPQQQETPKSREPEQDEGWKVVQKARKRGVVTERSPNISPEAKRQFTGPEIKVVSPGKFHPLVGETEEMEVTCDSPPTKEPTTEPKVTPSLPAMKIASPKVTKKQTSKKKGKYGKKKQQTKKAQPPKGEPTKKAQPKGEPAKLIEQVRTWFDKQMKSYQEQGSNIQTLTWIADSLTAAIFKANNGNKYLVDKITARCPPPLLNEGEMATQTSRRTEAVKPKDRFVKEFNEPLRIQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTEEALNTVCSGIKKANVDPSIEGPISSGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLALLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISDCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCSWLDLTNAFGSVPQEIKRI >CRE27212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1816616:1832040:1 gene:WBGene00052731 transcript:CRE27212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27212 MTRKLITAISFLLLLQITNALQCFDCYGTGPDHKECNQERTCHGVACMIFDAGDNKTASAFCLLAMKGSKMEEAKDGCWLEPDGKGKHCMCFTDFCNKLVDRRNTDEVDPMAPLLPTAEFLKQNPLVDYDAPNLGDYVDEGSHPAPQHALFPSADKPDPRVAGGADDDEDDLVPVGFSDYEQVEEKRRTTKEQKTSPTEATTTTTPDGVELPHDSDSNVVKVDDVEVDEELRRPMSRVPEDRKENEVSASFKPPSWVLFVFPMAASWARFWSSF >CRE27214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1844426:1845075:1 gene:WBGene00052732 transcript:CRE27214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27214 MADIFKISENALFTTTKPNGSHQQDCVIAVHERLAVSNFSDHLTFQPGTEHTLYNLIDPSIEIKVVVEGTTPDKQIIHFKTCDDKEFPYFLRSAVPSGTKKPYNLLGIHQEKRIPQWSVGDILRRAVGEILVGSPAGSPGSAVIDKSGYLMGMIIKSENNEVLIASTVLIYGLLPTGDPDPDRVSF >CRE27529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1845632:1847668:-1 gene:WBGene00052733 transcript:CRE27529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27529 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LP41] MGGFLSHLKPENNQEVFNATCGPIRGNIYKHENKIVDGYLGIPFAKAPIGDLRYQKPVAADKWKEPIDCYKYGPGCPQSGVFGATFVPKDCREFAEDNCLNLNVFAPRSNSTEFPKGLPVVVYFYGGGFEVGFSSMIDDYSLSGTLPLRDLIVVTANYRVGPLGFLTTGDDVSRGNYGLWDQTLALKWVQQHISSFGGDPNSVTIFGTSAGGASVDFLALSPHSNKLFHRFMANSGSAYCDFAIRPKDLQANIFREFAKLHGYTGEDSKSLLQWYQSQKSEKFIEIEKFERSASGFLSFVPNLDGDFFPKPLVELRKEAPKLDAIATVGEYEGLSFIMQNKSFEETIDVVFGSDLVNDPEDVKRKLLDLYMKNVDVNDTAAVTKRLVEFVGDAWFNIGIFDTVRSSAKYGNNAYLASFDYFNKDNISPMAESLPFKAATHGSELRYIIGEGFGEFNPNEEELKVMDMMGTLVSNFAKYGNPNGKNGPEVWEKYSADHPDRYFKIDYPKSEMKDHFQNGRMKIFDEINETGEKYQEVIHGKKL >CRE27530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1848148:1849292:-1 gene:WBGene00052734 transcript:CRE27530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27530 MSVYSTTTSDYDTASKSLFDANADKEMFYFGIIYFILSMFNAPFLLVIISTLHLKNCQTPNMTFKMMNIVNFCLLGQGLGHFLTSPAVIFPNLLKTFDTVVRVSRLFWKPFHLNLQIIGATMNTLWICDLPVVTLLAVSRILIFSNMIHSHKFNGVIKFLLGLVLSWIFILFLYGIIFRNMEMYPPGWGYDFTVPFSHIFDTLEICLSFPCLIISFLSYISIAYLIFMAKNLRSSVQSRKNEIAILFQSAFTTSYISGMIFVWHPALFTMFTFIDMEDKTNQAILNCVWIIHCYVNPCMLLIFNKSIRGDCFRFLKTRNIDRNPSRTVMMSIVSIN >CRE27531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1849734:1851040:-1 gene:WBGene00052735 transcript:CRE27531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27531 MASSDSSSLFQLNPDVEMRNFGIAYCMVAVFLPALFFLVIKAIYMKDRETPNMTFKLMNIINCSQLTQGITHFLTGPGLIFPNIVTRYGFIVNNLVIKYSQIVGCTMNTFWIADFPMITLLAVSRILVFTNKITTHRFPKFMKLFIFLSWTWIAFVLIYGSITQNLIFVSPGWDYDFSVPYAELFSELEISLSLSCLTISYLAYIFMAFLIYKRKSLMSCVQTRKNEIAILLQSTFVTTYITAMIFVWHQALFSMVPFIDMESKRNQGILNCCLIFHCYVNPTMTLICNKSVRQICLRMLGIRNKTIVATRTENTFTAIQITVTNAI >CRE27533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1853185:1855296:-1 gene:WBGene00052736 transcript:CRE27533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27533 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LP45] MSISLFILFELSFISLVSSDLLGTLVGNKQPDKNPEVLNAPCGPIRGNIYTFGTKIVDGYLGIPFAKPPIGELRYKKPVPFDKWTEPRDCYEYGPGCPQTGQFAGLTATKTCPFAEDNCLTLNVFAPRWTSSEFPNGLPVLVYIYGGGFEIGYTSYMEGYAMTGTIPQRDIVVVTMNYRLGPLGFLTVADGKANGNYGLWDQTLALQWVHDNIAAFGGDPNSVTLSGTSAGSASTDFLSLSPHSNGLFHRSISMSGTAFCNFAIRPQRVESQVGLEFAQFHGFKGNDSQNLLDWYRAQDAAKFKDVTGFKSTAAGVVPFVPNFDGDFFPKPFDILRKEAPKKDAMITVAEMESVGMSWYLSETILFAEPYSNFGKFVDETYGLDVTDYYEDVKKNLTSFYLGGVSPLDRNLTNKQVVDYVSDSVFNSGALDAVRSYAKYGNKAYFGSFNYFNKASTDIADMMQPFKAASHSSDFKYYFGSGVMTGFNPNDEEKKVMNIVGNMMANFVKYGDPNGKNKTKSWKPYNLTKPLMYYKIDYPISEMADNFQDGRLTIYDGINQNSKKYQEIVYGFAKLSKKVKFNKSTSG >CRE27534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1856128:1857231:-1 gene:WBGene00052737 transcript:CRE27534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-69 description:CRE-SRT-69 protein [Source:UniProtKB/TrEMBL;Acc:E3LP46] MFFPNFFLSDLLFLMNTSITNSESLFTINDDVETLYSGVAFFVLSMFIFPLYFLIMTVISNKYSKTPSMTYKLMNIINFLQLSQGIGHFITSPILIFPRMLIKFDVAIRVSYSRVRRKLMIFQIIGCIMNSFWLADLPVMALLAVCRIFIFCNIIGFKTFHISIKVVLSLIFSWIFFIILVGCVTQNVKMSPPMWGYDFEVPYASTFDTLEIFLSFPCLLVSYLSYLTIIYLIYGVLSLEKLQDFSFYFQKRNFHSTAKSRKNEVSILLQYTFVTVYISFMVIIWHPVLFSILPFIDMTNKTNQAMVNGLWILHCYVNPVMMLLFNRCSKGSDFEFKIFFSGPSEKMSKIF >CRE27216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1860163:1861064:1 gene:WBGene00052738 transcript:CRE27216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27216 MKVLIALLALIGCSVYAQNAQILPAGNQGTQGNMGNQGNMGNQGNMGNQGMIGNRCFGRNEERKQCGTACEPSCNNRNPICTKQCVNNVCQCRSGYVRHSITRQCVQPSQCNNNNNTSTSQSPQRCRANETFRTCGTACEPTCQNPNPQACTMQCIPNVCQCNQGFVRGPSGCIRRQNC >CRE27217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1862383:1863741:1 gene:WBGene00052740 transcript:CRE27217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27217 MGKRKNNGETDISSKKEKKTTKFDDVTPVRAEKRPAADSSDSDPDEDEEEQTIHTEAEETRHDDGPMNYEPDAFRLLVESFTAFVQEKNATTALVHNMERLVTEWIQSRNEIIDTRAFATDYRKYLKQATKIRNFQLNDASTVLLSYLGGDKALSEFPSFPEKPPTKFQLYIKENKIDFKGGKAAFSGASMRAAHKGMNEDVAGSKKVDEQLHKLHTEYIPRLQDFIDSHPNLTLEQQQFIGNKIKSLDKKYNAKPIVPKTPKKPKNKSAKKEIETAFSLFCRSKNEKYRDLSDEERERKLKKKFDKLPAETRDLFESLALNI >CRE27218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1866741:1867974:1 gene:WBGene00052741 transcript:CRE27218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-natc-2 MAIGVQQKASTPVDLGSDRESTNLEKTIGTLRRCLQIAGVSNKPGNRSAKNSISEDSNDLTMEESVPEASKWPHCQHMISQDEAPRGDEMAGPNIRILPYKDEAQINDIMRLITKDLSEPYSIYTYRYFLHNWPEYSFLAYDQTSNTYIGAVLCKLEIDMFGRRKGYLAMLAVDESCRRLGIGTRLVRRAIDAMKSKGCDEIVLETEVSNKNAQRLYSNLGFIRQKRLLKYYLNGGDAFRLKLIFTSRRVRSVINNENAQPRCRVNEDDTPDEEEGNY >CRE27535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1868789:1870489:-1 gene:WBGene00052742 transcript:CRE27535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27535 MPKGQRKLELVKRRQTTSGDREGSSSVAPVVGCPVLDPIQCQCPKEEVNPSEGVKMTCTWESCPYSNQPLHTVCYQLLEDNLVKRLATLGSARGWTVPQRRNNLWERKGQSLIGKFCRCRCDRGQMTRDKQALYEKEKAVEKEKKKKAKKAKQLPVLHFNSKPLAAIEEKKRDGGFNSPSAISSHHQTFSTTSRSRLHTDRSTSSMRTYTVARTWSESSFAGETNGQYDNNEDFHPSDCDCVFHYDYDADEDNDHVHIEEDFLDISDARQHLEVIVPAPTPPPQVISYAATIRNTDNKVVRDLKSANSPDSGIGQATPRLSFSSSNEDDNDNRQGGFPPLETEREKSERINPLGLSILSSIEVSSTDENQAEEPDRFLELTDTPHLSMKRESLRPKKSISVSKLPLATPTQLYSDEPRCGFSFNAPVREMMDLWHETTSSNSKCSIDDKDEKQGSFSFLTSEDADSDVLEICWTPFFGRGFNLGERLFYFP >CRE27536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1873083:1873770:-1 gene:WBGene00052743 transcript:CRE27536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27536 MYSIIFFVAVFTTLSPFVFGIPDECKLPLNMGKTPCKEGKKEIRYHFDTKAYMPLAFEYTGCGGNKNNFKSEGECRLTCTGFDYSGCAAGSAPVKTDPCQTDKDCGPKGICKSGNQINTCCDKKIEKSYDADWSPKCPKGKKVLKVEQGGIPITVVGKTCKSKFCPAGATCVEGTYFATCCK >CRE27219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1887617:1893611:1 gene:WBGene00052744 transcript:CRE27219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncx-2 description:CRE-NCX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LP54] MTRLGCWLAVASLVALAGLADAGSNCSAADATRNCIDGLVVPIWRPFLDLTTGDRVLRGVIYFFVIAYMFLGISIVADRFMSSIEVITSMERTIVVKRPGLDPMAVQVRIWNDTVSNLTLMALGSSAPEILLSIIEVIARGFEAGDLGPNTIVGSAAFNLFMIIAICVVVIPKGEIRRQKHLDVFCVTATWSIFAYVWLYLILAFFSPGEIEIWEGALTFIFFPLTVFTAYMADIKIIQNKFLPHRYRRGSHGQMIATEAEEMKMLENGSPQGGTDPALKAFEEHRQEFIELMREIRKQNPHITPTELQKQAEYEMISRYVFQLKISRFFIQIFRGPKSRAFYRVQATRRLIGGGDIVKKRIDKEHNKALDALVQAQEKQLRDNTCKIFLDPAHYTVLESVGSFDVVVGRDGGPEGLTVMVDYFTEDGTANAGSDYIPVKGTLTFYPEDKHQKVTIDVVDDDVFEEDEHFYLRLTNLRVRTKDGIIIDPTRIGGLPVAQLEMPNTATIMILDDDHAGVFGFEHDHFQVVENCGHLSLQMKRHSGARGKVVIPFRTTEGTAQADKHFEMKEGEIVFEDNQTEATIDIGIIDTEQYERSDYFYIELSPPIWAKKMNDLSRIQERFQRRMERKRGSSVASESKDSNTETALAPTDKSVRAASVDLLQPSSDPRRSSQSNSPHLTSRFRNRLGSWIAGMKGGNGDDEVTTALTPSQLEIAEMGKPRLGEFTKCQITIRESKEFQVSIIKQHRMFLYSKPFQGIVDRMIKNANTRIMLGTHSWREQFMEALVVSAGDDDDDDEGDDDGDEDKAPEEPGCMDYFMHVLTVPWKLTFATIPPTDYIGGWATFVVAIFMIGVLTAVVGDLASQFGCWVGLKDAVTAISFVALGTSVPDTFASKVSAVQDKYADNAVGNVTGSNAVNVFLGIGIAWSMAAIYHWNKGTKFIVDPGNLGFSVLIFCCEALLCIAVLVLRRNKRVGGELGGPTALRWMTSMFFCSLWLMYLLLSALEAYCIIPGF >CRE27220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1895038:1895649:1 gene:WBGene00052746 transcript:CRE27220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27220 MDVPTETCLAFNFTGCGDNWNNFETSQACYEQCLPVDHHKCPAASTIYKTITGKTACTDDCDCGTGRYCDIGQGHGHCCEQEIKDKVDSDYNPPCAPGQSSVKQKLNGITIQLLGKRCTHNFCPLGSNCYDGNFFASCCSSD >CRE27538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1896694:1904157:-1 gene:WBGene00052748 transcript:CRE27538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-33 description:CRE-CEH-33 protein [Source:UniProtKB/TrEMBL;Acc:E3LP57] MKTKSLFRFPNFLLISKTLSVFKYFVRRVSTYLAVRSNMQPNSSSFHPHHFTCDTTRYTEEQVACICEALTNDAGKLSQFVWNTLERDDMRNNQYILKAQAFLAYHSNNFKELYRIIETHQFASEHHLPLQEWWLNAHYHEAEKLRGRQLGAVGKYRIRRKYPLPRTIWDGEETSYCFRDKSRVLLRDWYCRNSYPSPREKRELAEKTHLTVTQVSNWFKNRRQRDRAGLPEGKDSLKDIGGSEEEELKLIRKTATKLSNPFHNPADLSSYSAAAAAATFPGFYMNYNDMMIGTVLSGSFALLEILSSDSNGIINQSAPLHQLIFIIRKNQPVVFYISSFIPASKTPTTITKATTSYSEQEIVCICESLFNEGLQNGRTEQLATFIYNLPQCYQNMESALKAQALVYFTTQNWKSLYRLLECHKFSPHNHTVLQNLWLDAHYKEAEKTKERELGAVCKYRIRKKNPFPNTIWDGEETNYCFKSKSRNVLRDAYKKCHYPSVDDKRRLAQQTELSIIQVSNWFKNKRQRERAAGQLDGSSARSNDSDDASSGCESKPPMNIDSPVPPTIPNTFDIPPYYPSPYAFAAPQCDFSYIQNL >CRE27539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1904408:1906723:-1 gene:WBGene00052749 transcript:CRE27539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27539 MDREKRRSEEHEAELDRRIALIREKNQQIEQRTKEIEEDRVRNEDSTAKSPKSSSQTPPKSTTPDRNGNGQWSREWDKGKTPAETWRENVPSMDLKGRLAGQNGANTNNNNSSNGNGNHTNNGGNGGSGGNRKGGKGGQNGPRNQKDEVKIPSRLEGRITFPGKQHEEKDGGNKGGKRGNAGGKDGKSGNHGKGGHQKDGQQEKKNSITGADGGEAHKGQRRRGSQKDQKEQKEPKEQNVKGQKKEKDGKGKVQPVGDKSAEDKKKNKNNRTRRESDNYAVRKVVHRMVDKVCWMERVEKRKAKNELLGEHEELEEEPKSEDEVDGQDQEAVVEENDQTADQPSETQNEKDSETSPEKEKQEEIKSETPEKEETSQETLPEEKKEDSPKPSEELKEDSNQNVINLVREKVVTIATAQIL >CRE27222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1908761:1910129:1 gene:WBGene00052750 transcript:CRE27222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-29 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LP59] MSTPDAVDLILRQNIGCDSGESDALQYLKFGLQLAYVLPFGLLYLSFMITIVKRKKDRELFEDSFFTLHLADGIITLYFLISDTSFFRLTSYFRPVCEYLVPLLKDPAYTLTPFYTAYMYAQLAKMLSTLAMSVNRYTSVNNPVNHKIVSSKLYELTFNLLLQFWFQHCNKVILGIFVIPLFLVWPVAIGTTSFLPFKGNGNINYEHKLPWARTTYGRLGVAVPTLIFTVYSSIVTTSKLRKLGGHMKKVEFSMNMATVFTACGFVLLVGLQICYLFVNAENLLDKMWMVKIIMAATQISNDFYMLSGPVVLLILDKKMRASLFICGKNQKNSRRTTKASIQPITMVQSHAN >CRE27223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1910560:1911821:1 gene:WBGene00052751 transcript:CRE27223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-27 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LP60] MMVEIDAAIEYLRGRVSCDSGDSDESQYMKFGIQLSYVLPFAFLYFSFLIAIVKRRRHCELFEDSFFALHLVDGIVTLIFLLLDISFLRLTTYIRPVCEYFVPFLKDDSYYLTPYFTVYLYAQFAKILSTLAMNANRYTSVNYPLIHKSIWIRHCQKVLIGVLIIPILFVWPVGIAKTSFLPNKGQGLIMYEHRFWWARTSFGRILIGGSTLVFTIFSSIVTTYKLSKLGKHMRRVEVSLTIATIFTSVGFVLMLTVQVVQLVVPLDTFVDDPWIAAFLLGATQFVNDFYMLSGPVVLLILDRKIRKSILHCSLRRSNSDKRILEVSARPVTITETHMF >CRE27540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1911979:1913690:-1 gene:WBGene00052752 transcript:CRE27540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-25 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LP61] MLLFIFISFIMTKYYPEYLEEMEELQDPSIRTCEYQDRTVVTQTIMYILQLAYALPISILYLFVILKILKAHKSEQMFSDAYFKIYVLDGVVSLIVVVLDFGLTRPLIYVNPLCYVFWNWFPKPTYILTPYLFGFNYFQFAKIFSISLLSANRFTCVAYPIWHKLFWKKHTNHVIIVSMICPVLFTWQLAISPTRLDIYVGEALLGYEKVVPFVRTTLFKLVVSFAAFLFILLTNIKTYRLTKKFKNKLKNLERSLTLSTVVISAVFIIYIIIQAILLIFSTSFLVENLAFGSILKKIEFICNDFYLMSSPIVLLIMNKRLRSSLFKISPEVPQNTSNTRQSSKNGDTVKIIVKSPSAKTLTMW >CRE27226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1920952:1923674:1 gene:WBGene00052753 transcript:CRE27226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-75 MNEDINFVQSMVLSATLEGRTSTGGIRELCSHMPDKDMFRWKLEIAVKLREGKPKEALKLADVFKDDGKYPNGIETLVQCALRHIEIDNLLLKDGIDPPPPASFTPDKNYVHIPWNLIFNYQKTQKHNMLDFQKNEDGSTMSLPMSGYWDNSYFDALNPSKEDSSEDGNQNQIDSNIPKWYEDPHEFQRSSETSLSYQYRSETPETPQRSQHRPADDSGYWTSSNSSYNSAISHASLRRSESVNSEPSTPMKLPSLESWRKVCPAKETTVSTSQSSATELKRPMSILERLIAEDSPSPPPKREKPDRLVQQADLKDSRSASTGSKESDLREENTRTPQEGPFGEGWLNEVYWHHYQDFMNTNYYGIHGHQDQSTIPNNSNPSWHAGPHQ >CRE27229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1932686:1934513:1 gene:WBGene00052754 transcript:CRE27229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27229 MEAQESTSSPIIIPKTEDDKPQTPKRETRPTPIPQQRLDIWSRIRHGHVATAMKFRSLLLFISSTLVTFIETISEPKHDKIPPTEIIARIVTSFINGRVEQPQRFREESFLDILLDKIPNSDVRGMHYHEVYLAISPMITSVNWARIKFDRKDAMRCYKSLANVLQVCFEQVGLANHAEADQLVLSIYVTSVWCSILKQHPELAPPVSDHQDFETYMKSWIFSPHLENFSKVFVASCCQIMESSDHIKQQQSTAERRHSVDSGTQEVPHPLPLTSMLPEISSRPDLITSPMIPSPSRIHPSWTMPPHPSFDPYLGYTSPIPTLFSPFPPTVIHPPIDPHQNYPHVPTPSTTDPVPPAPSIALPPLKPPRAPKRKQKLPTFQELASTVTKGGHKKGPKPGTKYRQRITNEASMILENEARRLAMNPPDRHSKRRISERTNISLYQVKSFFNRITAEQRRLRMGKPIKRHNSRRKLVPANT >CRE27230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1936966:1938617:1 gene:WBGene00052755 transcript:CRE27230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27230 MHSSCSSATFWNSNIPSALNGYKIPKKKISSSLSHQIPSSSDNDFNKEEAKDSDMLPGLSHNNKSSGKKNNETSATFMSQHNQEFKKTEFEVPLNSKMFRTNPHSSVSKYGFKSKLEDESCSIIKVPDFSKGFRIPKKSPSTSSIHSQTTGSTQIKRAVKRTASNPESFSSLTLDSEQIKLIKRGQAPGYLIEAFKDPVGELRWKKEVAEYRQRKTNLPKITGKEKTMINWRKEKGDWPQGSRDREEISKQLSIDYDKVDRQIRNDPRNKVLVRRYGKRQQRDKITVQQKEELSRSIAREKPDLSGSVSREIFRLTPACPDIRRDQLTTYCRSYK >CRE27541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1938939:1939894:-1 gene:WBGene00052756 transcript:CRE27541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27541 MEQMIGRSCASDSHTESFDIVIISEKLTNVTVSFEISPNNLIVAERDSIYRKLYFWDSKKEDKFTVRAMFPQEVEFHLKGFSRRSYPVQLKSVMQRVSENRIVQVKMNSGRPVNYTIQRCGWEIPGLEVIDESSAKSVLQLIERSCEDEWLDDSVNILIVNDKFEDTRGSIRFELITGSGELSFHRSGNISLKLVSTTTQPAALHVITHSKQMEGSHLWVIVIFSVLLFSLTVFIIFWLIRKSYIRLFKSGYYEVAKQEHYRLPSLGSHFNDIESIRYTTTEESF >CRE27231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1940931:1942925:1 gene:WBGene00052757 transcript:CRE27231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27231 MADDGGDVGEQLLKNSKSRFLSRLPIWAQFDSWIDEIPTKRRAEFEVVKSAMLVHCDYPAIVINRIGSESGVHSPENATQQFTNFVNTVHQTKLFKHQGTGLPAANLFALLADIFSSIPEYESETGVIRQNLKMHLKLMLDEENEWETMFFNTNFVRSVYWQLLFTLRLIPFEADNQPEEMIEDDENRPKLMFASFVVAVLVGVLHGREDKLSPKIPIDATQVAQHFVTLISSPHFRTLSQFFLVGLWCLIQYKVGGGTVTVDDETRLTASEMRERSRKYLLRHPDPKLVLDSRRKIGDDTMTEEMQLEWESNTILLHGQGKLKSPDGYRIVKKGGRPRKYPISQNRKRRQEEALVNDEMEHQRKKQEQQRLQQLQQLQRMEQKKQQQQQPQRKSLNRFQKKSTRGSPRKQIRDARQGKVDQVQDPAPSTSAAIPSKPVPPKKVVPTAEEVGLCTPLYVVQADFLKHFEEECARRREGYHREEEKGRAEKIVFESLSEIHHVARQTFKTKPRPLNADQKLVMEKFKKDWRRGNVPEDAQFEEEEEDVHGDEEYEDVPAPEVVTQKVAKSGGKAPKRWKRRY >CRE27232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1943545:1944464:1 gene:WBGene00052758 transcript:CRE27232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27232 MSAKTLVYGASFLSGLAILGCVFTVGYIFNDINEFYDQTMETMDEFKLNERGAWHGMVERTRAPSEILFGRAKRQAGQCNCGAQASGCPAGPPGPPGAPGAPGDDGHPGDAGKPGTNGVAVGVAGESGPCIKCPAGEPGPAGAPGQDGPAGPDGQPGQDGPAGQPGPAGPQGPAGDAGAPGAPGQDGQPGAPGQDGQRSTGTPGAAGAPGPAGPAGQDGQPGAAGGPGQAGAPGAPGPDGQPGQPGQDGEAGQPGQDGQPGSDAAYCPCPARSVAVQRSVSRRRASKVVVA >CRE27233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1945063:1946239:1 gene:WBGene00052759 transcript:CRE27233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-18 description:CRE-SRH-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LP72] MDCSVVTSSEYYWILHLICIVSFPIYAVAIVALFRTKSTYFETYKNFLIWHTSTNFISEVYNSWFLLPVVHLPYPLLRFTGIMTQFGFSGLFQFYTINALIHQTAYSIVEMFMFRFKASLFNFKFTYFYTFLRINIYVFRITLLLFIGVNISTYSYALDQQVISKRDLLFNYPEAPPVISCDSVVVAAPYRDPITMFNLGVWLIVVFVAVTTTSSTSIYLWKYLRDNEHRSPAVMRMHKILLITLFVQTVIHGIMLGGPNVLFLYTAFFGARQKVMANIAFCCLTTHGLVSTIAMMILTKPIKIAILQIFRFPTFQHSINVVRYSASNKN >CRE27542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1948178:1953621:-1 gene:WBGene00052761 transcript:CRE27542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27542 MLKQLLLLLFVGRSLTYNFYWYDKTQTLQNRQTMVHLFEWKWTDVAKECENFLQYYGYGAVQVSPPMEHIKAFPNNNYPWWVRYQPVSYKLDSRSGNEQEFQDMVSGVIMGNVFKYCFRIIVDIVMNHMVGIGQKSGSGVSSSGSSSFDGTHGIQSFPGVPYSLGDFNNPKCDGDIQGSDYQNSAEHVKNCRLVGLLDLNQASPTVRAKIVAYLNKLIDMGVAGFRHDASKHMWPQDILNILNDVKDLRSDLFGSNQRPFAVHEVIDRGGEAVKCGDYLGNGRYTNFNFGAAVSAAAKQQSDWKYLGNLGPGYGYGNNEDHDVLNFIDNHDNQRDSNPYVVTYKDGQKYNLAVSFMLAWPYGYPRVMSSFAFSYSDQSPPNSGASNDYATTSPKFNPDNTCDTSSGWVCEHRWPAIRQMAKFRSSVQGTAAAEIVTDNQRIAFARDGAGFFALNQQGGTWNKIFATTLPAGDYCDHFSGGIDNGKCAGTKVTVRDDQTAYLNVPSNSTHNLVDQLNTLMVCPKKSYVLLGDFNLPGIQWAHHSRIDNTGLTDMTESHNMVQLVKSATRISQRGTENILDLIFSSNPKACFNIKVGEPLLMSDHNSVLFSTNLTKEASSRRKVKQLMNYRKCDVDALNSYLASFNWAKQFSFFATLDSKLSHFLNIFNESIDMFTPLMKINSRSAVLSRQYTYKLLKRRNKTLNPTKLKSKVKLRLKNIRKRIRKSENEIIGSANPRRLFGFVKRRLTSSSSITKLLVNGSLITKPSDIADQFIKTFAESFTIPSSPHPALPHPKPKNIFVDVSPLSVFLAISKLQPKIGYSTDRINFYIIKKCANSISVPLSLIFTESLSARRFPDCWKTATVIPLHKKGSILDPSNFRPISLTHPLARLFERLILKPIKSELAAQLSKKQYGFLSNRSCPLALIDATSQYHLTLSKPKAYMDVILIDFRKAFDSVPHDLLLFKLMNFGLDSALCEWFKSFLSNRESKIKIDDYVSENSFNNVSGVLQGTVTGPFLFLVYINDLIQSLPSDVYSIAFADDLKIYSENTASLQKTLNVISDWCDKWKLQLAENKTVVLHLGVCNPHTDYFIGNAKLASANIARDLGLLVDCGLKFDAHIAKIVNNAKFNCRRILNSFRSNNIKFYFKLFNSFIRPTLEYACELFHPSNSLSTTQLESPLRFFSRKVFHRCNISFQPTSSNAHLSPYERRLAISSQMSMYHRRIILILKTYFKIVTHQCHFPNLALYIKPALSPRFPYRIVLCGKKNNSFLHKHFPTWDKI >CRE27234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1954969:1956072:1 gene:WBGene00052762 transcript:CRE27234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-37 description:CRE-STR-37 protein [Source:UniProtKB/TrEMBL;Acc:E3LP74] MVDAEQIIVLAGCITALFINSILIYLTVYHVTFIKGTYKKMIIIFAFACILFDATEFLSRPHLHNFNGSLTYFSHNHIPENQIFFYVVFIDAYAGLYCSLITFVAIQFIFRYATLLGKRKLLKTFHGFQSMIWVPVVVVPGIVFCVMGMILMQPDEHSDGYIKEEFRQIYSRNIKQMARLILVAYVSEDANKNIRWKNLSYCFVGSSILSFIYSVIIFCAVKMQKNITEQLKHVSVRHRNLEMQFFKTIIIQIALPTIFLTFPMMPMLVIPIFDLEISFHSNIFYWALSLYPALDSIAVMFIVSEYRTCVRKILKCGSAVDASNPISTSNMHQISPAS >CRE27543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1956188:1957825:-1 gene:WBGene00052763 transcript:CRE27543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-129 description:CRE-NHR-129 protein [Source:UniProtKB/TrEMBL;Acc:E3LP75] MDDTRCSTSSENTIELPEILTPKATRSAQRPTKCLICGGVAAGFHYDVPSCNGCKAYFRRYIISDRNLKCKNKQDCFDLTIREKPIKCRPCRYKKCLDVGMNPLALEVDDKQADAGNFKKVTKRIKDQEENEEKEESGQSKQLVIQKIESMHEKIQKITDMLTYLESKLEQFQLSAYNPNWVDIQGIEYLLRSANRISLADRYGPMPGWPLSNDEYTSLPPSVIPVVRKGPPPYSPDKKHWLYFNLITNIEYAKTFMFFHKLNSRDQFILTKYVTLACLNLHVSYASVARKFDCRIQPDGLKQPVRDEDHYSNSVMSIIPLIRYEVQATEYVLLKAICLCNPAVPDLSEHAVVLLAKERQVFAEALFDHCLRNRTNGPSHFSDLIGIIDVLERQQRMQKDLHLLHIAPLVAKAPKEFKIQVIEDIMDS >CRE27544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1958132:1959846:-1 gene:WBGene00052765 transcript:CRE27544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27544 MDSPSCSKITSTDSAEFDLPEVLLSVTQNEHRSSSSESPAPVRHLRPTECSICGKTANGYHYDVPSCNGCKTFFRRLCISEKHFACKANGDCFDLTKRATPNKCRACRYEKCISAGMNPMAMQVDEKEATAGNFKKLAKRVNQSASRDSEDEDEDHELQIEKMTKKQVIKNIATIENKMQRMVETLSYLESKVRNFRMSAYNPDWKKLPGLEGFLIMANQISLADKSGPMPGWPMKAQSPPPKPPNFAMQKGPQYSPDKKQWLLYDLMVTIEYAKTFMFFHRLDIKDRLVLMRYVTLALMNLHISYFSMLRKFDTVIHPDGSQPPMKLGMVYTEVVMSISPLIRCEIQEAEFVLLKAICLCNPGKILILEKRQLVARKLFPTRNTVKSLTFRVSAVPDLSPHAQEILAKEREQYAEALFDHCLRNRKDGLGQFAELIGMVDLLERQQRMQKDLHLLHVAPFVANIPPENKMRLIDDIMNS >CRE27235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1962773:1964534:1 gene:WBGene00052767 transcript:CRE27235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27235 MGILKKLNILYCHGLGSSINCRHATQLQAFFEKQKDVYFERFLYKNPGSYDYVWNVNEWRRDIEERIDTTNDKWILMATSGACHPALNIAKHRKEKISGLFLMCPGTGLDMNFVDTIQPGALNYLMKKGFIKYPPSKHGHPALLSIDCLKEFLNTDICNNSEQAIDITCPVLTVHGVEDNIVPVENSERLMQRIASKCKEFHRIPGVDHYFDLDDQVLTKLGRLMDVIRENELPEKIGAKL >CRE27545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1964607:1966333:-1 gene:WBGene00052768 transcript:CRE27545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-4 description:CRE-STR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LP78] MSSMVWVEKSFLASEVGFVSTLIVNSFLVYLILFHTKQVFGAYKYLILSFSLMGILFATAEFIIKPMTHNYKASFTFFTLARPFGCSKEIAMVLLAAYSSTYAATISLLAVQFVYRYWAIFHTRRLSCFNGFRILFWVFIAMMFGVDWSSSVYFLGMPDEVSEDYLRREVSDHYDLNISRLAYFAAVVYDSEYNIRWRSVMVMGSVSKVLSIQYAVMIFCGARMHRKMAEKMEQFSITNRKMHTQLFKTLVVQITVPTFTIFSPVLIMFVIPFFDLQIGIPTGTILCALSLYPFIDGLIVIFIVSDYRKAALDIYRRLVYRITCGRVKLFQIQKYDFSISGAMGTDGNSVVRTNRVAASSRLTVSSF >CRE27236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1966957:1969949:1 gene:WBGene00052769 transcript:CRE27236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-48 description:CRE-LGC-48 protein [Source:UniProtKB/TrEMBL;Acc:E3LP79] MLIFLLFLNLLVLTYSEEVHELRSECLNEAQLMDTLIRKRDYSKHKLPRKNGITVSVEFWIQEINSISEMTNDFELEMFINEMWTDPNLRLWTPNTCFVNSKFAEIYESPFQNVFLTLFDNGTVWVNYRVRVKGPCNMDLEDFPMDTQSCRLNYQSFSYNNDEVRLQWNNQRSPVFALQEIRIADFWLKDITPAVIKRDYPAGYWDELVVTFVFERRYMWYFLQAYLPTFFSIFISWIAFSLGPQAITPRTMIGVNALLSMIFHFGSIMKNLPRVSYIKAIGTSFSLVSDFLLSISLSYYITDVWMLSSMTFVFLSLIELAIVGYKLQMQREKEHVIERVDRIARFAFPAGFSIFNIIYWARYGFKVG >CRE27237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1972359:1980677:1 gene:WBGene00052770 transcript:CRE27237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-43 description:CRE-TWK-43 protein [Source:UniProtKB/TrEMBL;Acc:E3LP80] MFRTSSSSEKTLSDSKSDSAVIEDYEMVNLVSKPAKKKFVTINELEEVCEMGTFKDRFEKFKPYLLNAGIVLFVGIYVYAGALLIQYIERIPVKVEESFRLRRNSKDDMPIIPLDRSKECVLHALRKMAHLSKGNCSHLHTNVNLLDECYSSDLRKLKAIYDSPSPEGSTELEQEPKSAVALKKFEVIDGFEQWTKTDAILFCFTVITTIGYGNVAPQSFWGRVFVIIYGTIGIPTAMMAIANVGKFLATLLKSWTRPFLLLCRRMKKKMNKKDQNGNEVKETQRLMESSKKKAKKIEDDISSHDDEEPEEEVEESDVTETVVLFLAFLVYIIAGSLLMSYYEEGMTFGLAIYFNFVTLTTIGLGDLVPQSTDWLFVTLVYCAIGLALTTIAIEIAADTLKKLHYFGRKLDNVHNVQIWFGGQKLSMKALVKNLGDQLNVPVEDLENLDLAKFVDDAIKVEAGELTTLRNDRAWMNSNYWRAIESGSMHYVDDDDLVTLQSNLSCGSQQMLIRVPYSRSSDSRMITVNHEPLTESSDENYKLHSVNEEYESVEAQYADIEASVTDRPLVSQNEGPRKTSQCIELQKLLKALNDLNNEVVSQDGRWSEEARRRYLEYQRIWSRFRTPKHQ >CRE27546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1981032:1982037:-1 gene:WBGene00052771 transcript:CRE27546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27546 MKTAAVCLTFSLFLNTLLLFLLEKSKKIIGSYRYLLVVYSCFLISHNFVDFLMLPAVHIHKSGFIYFATSALKQRPFGMVLAGLRRDGYGKYWYIWPVVSAVLMSIWALAVIFLALPCDLFDWHFRDSVVIKYGIDILETSYYAVAYILENTISGKEEYYLPRVFCLGLVAVCQAVSGFLSVVCGYYLYKSIKDASNFTSGIMAKVHKDLFWVLVLQVIYLRVKLHNPNISDNNPLLHDLFSRIYYNFCTTPEYWCECDKH >CRE27547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1983025:1984654:-1 gene:WBGene00052772 transcript:CRE27547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27547 MHLILLLTLLPFVSCTSSFHPSVSYRPTIPENARKLSGSDLTSYVNNHQKLWKAETSRMTFQEKMARVKDIKFIKSHEDQMVGDSENNQVLLDIPTYFDSRQKWPECTQIGAVRDQSDCGSAAHLVAVELASDRTCIFSNGTFNWPLSAQDPLSCCVGLMSICGDGWGCDGSWPKDILKWWQTHGLCTGGNYEDQFGCKPYSIYPCDKKYPNGTTSVPCPGYHTPTCEEHCTSNITWPIAYKQDKHFGKAHYNVGKKMTDIQTEIMTNGPVIASFVIYDDFWDYKSGIYVHTAGDQEGGMDTKIIGWGVDSGVPYWLCVHQWGTDFGENGFVRFLRGVNEVNIEHQVLAALPDIDKHN >CRE27238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1986122:1986478:1 gene:WBGene00052773 transcript:CRE27238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27238 MSSYTFSVLVSIVLLVTVIINTVSSAPVPTDRQKMNTFPNLDNDPRDVFLPFREVPERLIDQNAEMDDSSDLVSESDIFYQFQRSGFLAEVVDARKRGGF >CRE27239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1987647:1989735:1 gene:WBGene00052774 transcript:CRE27239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27239 MASTATLSLGPNQENEVQLLPPKEEKTLDNFMKLGKYCWLILLFSEFMLLSGAGNTLYMMYAGAIPKQVSCEGPDVFIKDICDSSYDFHNPPNCTITSKYDFYSINVDFGHFCGEGAWVKTSISVQMVGVLIGSVTSGAVADRYGRLKVLSVCFFMVSSLSIVNTFVKDLIYFTIVRTLLSVFKGGLLSTYGVYKMEHVPRQHRFWIATMISWAPNYMLLSLVAYLCHDWITYQYAIFALSMPGALVFMFVQESPRWLIQAGRIDDARRVLKRIMEVDGNTSDHSWSEIEEMLQTEQKRQAERTQKRKNYDFRHLFWNKYMASVTMIMWLGMFSTSFTNYGFVFNIEKLSGSLYINALLMGTLRWMLNIAFGVADIKFKGLGRKHIHLISKLTITVCVFSIFITYYFEYEEEYSLIIRIATLLASATASQVFITKSMVLMEFYPTVIRNSAVSFKSSASRIGTILGPQLFILCPYKSLPYAVLTGMCLFDAIAFQVQLPETKGRPLPETMPERQKNGRTALPTSDPEKK >CRE27548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1990064:1991973:-1 gene:WBGene00052775 transcript:CRE27548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27548 MLHSFRRVFVYFGLCFLLILSFVSWKNSWTPELKQLRPLTYYSGNGFVRTMILSDNCEKWIQNSIRLPIPALLVDQNILQQLQLNICNEMKLNHKIKVSTCVIKDYKVLLVQVAVDAVNFGSAKFDFENFELLRFYNHTDKDYLVFEVSSQNKIIIPKNFSYKISNNLKVPTQISLFLDLWNRGNFVNCRNMTMRRDSIKKGIYTMLRNVLLPPRKPIPALESVRTLAQLRDEMLKFGIFPFLNGGTFLGWYRECSVIPHTTDMDIAVFAENWNLQFSEFMWTHNSSFTVKRQLGLVNDSYELTLVPKTGFETPVDVFLMYKEIENGKENRWVGGLTTTGLKYKYMYPEYDPWCAADLMGHLFWVSCTPEDKIQKEYGNTWYLDENSSKYIWNAAQNAVENGRFSREQMKTETYNEYKINDFS >CRE27241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1994109:1994786:1 gene:WBGene00052776 transcript:CRE27241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-46 description:CRE-SRG-46 protein [Source:UniProtKB/TrEMBL;Acc:E3LP87] MLQNMMFFLNCVTFKLETDDFYKEKIQWIFEKVPILLCIRDGLAIWAYHIQCASLIMKCIFRYTYAKYPFASEIWKKKFGKIVFITVIYSFIITIPFIFIDTIQSDVDYYAILMNVETSVYFIITTWIGYLTHLALKNRSSQFMNSIRKLNYYLMCDLVIHAILFFGILIPMVIFSSWSDETKNYILIFVVDVVGHKWRTAAINIVFLHR >CRE27242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1995243:1996727:1 gene:WBGene00052777 transcript:CRE27242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27242 MDMSEKSSRITRPATCVICGRQANGYHYDVIACKGCKTFFRRMYLLKPQLECKFHKDCFNLKTIKFSAVNSVISLGQPYLRCRSCRYQKCIDVGMNSSAIQNLEDSIQPSNREISKITDSSENIKTLHSIEIKNQGVIEMLTYLETKLEEFRESAYIPKWSHISGLEDLLQSTCQFSLAEKYGPMPEWTLKKNTRTTTIQIPISERDSKTRNIRSPKIWSLCNALIATEYIKTYTFFHKLSAKDQYTLARYAILTCINLQVSYFSICHKFECCIQPDGAQEPERDEKHYSMAVMSIHPLIRCQIQLVEYLLLKAIYVCNPGKDYQLNYLILTNKIISAVPDLSQDSQIILSNERRRIVNVLFDHCLRKSKANGPARFSELLGIFHVFERQQKMQRDYYLLCIAPILPKSLENRIGFLHEIMSFESKL >CRE27243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1997500:1998683:1 gene:WBGene00052778 transcript:CRE27243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27243 MHRCWNESACIGIKKGEDESPNYKKLVKVENRNVQNHTITIIKSKENLAKNVVEMLTYLELKLNQLRMLAYNSTFPEYMKSSEELITRGNPFWLADKLGSMKGWPRNEPKNLPKVSRMRCIPDLEPILSDMETFPVIPVANEKMWMFFNMATTIEYAKTFTFFQKLDLKDQTVLIRHVTLICMNLHNSYFAVSQKIQKCMQPDGTEDPQNDEYHFPVFSMSLAPLIRCNIQETEYMLLKAICLCNPTIHGLSEHAQSIISKERQQFSNVLLDYCLRNRRDGPSRFAELIGIIPVLVHQQRLQKDIHIYHIAPIISTFPHVVQFLDDIMHA >CRE27245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2001075:2003341:1 gene:WBGene00052779 transcript:CRE27245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-125 description:CRE-SRX-125 protein [Source:UniProtKB/TrEMBL;Acc:E3LP91] MLELSFFAALLLGMVRFHGSPEFKHNKTFQISLPGFFINIYVFIKLSTKKIQFSGFHKLCITKAVPNSIVCLTFLGWSTPLCAIHPTYATIPRYVNVGFGQVAGWGAYILGPLLQVCMSFNRFYVLYFPVSSMKVSNFPITNIAIIIASCIAVIYTAIGFPEECGFVFDPDILSWRPEDFECAAWLADFIFYSILGLSITSNSLNFATFLKLVSSRSVMQDTLHLIDMINCTILFKLNSAIWYQFVFLSVSFLSIHALDGSVMLYFHTEIHPQWCLKMVKPNTRTGTVFVHRSKISSFHPSA >CRE27549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2003859:2006025:-1 gene:WBGene00052780 transcript:CRE27549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-260 description:CRE-TAG-260 protein [Source:UniProtKB/TrEMBL;Acc:E3LP92] MNSFAESAALLQATMSISPQPSTVINTNNNNNSSVDYNSLSIQMLAAPNAASMTTTNGTVSLLNATPAGMKSNNNSSDKVPRQQCYLCDLPRWPWAIINDYIEPVCRGCVNYEGSDRIELVLEETRQQKKLQGVGITDPTNAKISHPSAQLSIQSVPVSTQNGVGRISPQRTPQPPALPTSPFQVPTMNNLEQALAHQRLLQMTQSNPRAVDDLIQQSLRSLGAHNPHLLAPFMMSIMPQTTTYPTNPRKRESDEEQKPEIYGKVQRGDAQTTTASPTSTHSPEHPGTKDRRAVAPIERILRCTICNERLEDTHFVQCPSVNMHKFCFPCSRSSIKDQCRSTDMYCPSGDKCPLVGAAMPWAFMQGEIAQILGDEYDDFKKTREAHGLAPPMGANSATLAAAAAAQVGSYSLKYLLMIILLITITYNSMTFLFCVFVCTINLSSLALFTWFQLRRRQHAQRAQRSLTRTSINTNSSSSSSSSSFNGNNQTSPASTTTSAASSNSAATSPQN >CRE27246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2014434:2017330:1 gene:WBGene00052782 transcript:CRE27246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpz-2 description:CRE-CPZ-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LP93] MNLKLLGLLLVIGLCQANVFNIPRIALRLARQFTGMEKLPAPEELGGEDYEMTIEVNKMVEDIVDSVEDEEQTEKSEDVVLPNMKLESPFEMDKEPVVNYPKMLKKEFNIPRKEIVYPEDFNENVIEIMDSSNERDIDLEPVLKAKVEKGYYESLDSDDKIEDLMDEGSWADLSDSDEESSDEEFSEEYFEDNDEEWEEARPFLKCGCLKKSGKVFESKTAPREWESENFRANDLPSSWDWRNVSGVNYCSPTRNQHIPVYCGSCWVFGTTGALNDRFNVARQGRWPMTQLSPQEIIDCNGKGNCQGGEIGDVLEHAKIQGLVEEGCNVYRATNGECNPYHRCGSCWPNECFSLTNYTRYYVKDYGQVKGRENIMAEIKKGGPIACAIGATKKFEYEYVKGVYSEKSDLDSNHIISLTGWGVDENGVEYWIARNSWGEAWGELGWFRVVTSKFQNGEGDHYNMGIERDCYFADVDVSNLN >CRE27550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2017405:2019977:-1 gene:WBGene00052783 transcript:CRE27550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-34 description:CRE-GCY-34 protein [Source:UniProtKB/TrEMBL;Acc:E3LP94] MFGFIHESIRQLVIRKYGEDTWLQVLERSGFENGKENIVNHYYSDTDTYVLVDSVSIVIKVTKDQVWEMYGGFLITYSMEIGWDELVRSMSPNLKGFLDNLDSLHYFIDHVVYKANLRGPSFRCEENPDGTLMLHYFTGRPGLYHIVKGVVKEVAKLVFNLDITLSVQGRTQRSVHMNNGERVEEHVIFLIKNVGENRRDSEASTVSLMTSAAPDFGEIVDDNLRMSLQDFSKALPYHFVLDESCKLVQCGDELYNHIPNELLKPGTPILRIFEINRPQIPLDFENICNFINAVFVLQVKTSPLKKKHMDALTKEEQEQEVEAMEAENTSNELTQGCHLKLKGQMMMLATKKHIIYLCSPYVTSINELMQFGMRLTAMPLHDATRDLILLNQQRLTDVEVNLQLEANNEQLETMTRELELERKKTDSILKDMLPRKIAKQLLSGEHIEPCEYETTVMFCDLPAFQQIIPVCQPKNIVKLLNEVFFKLDRIVVLRGVYKVETVSDSYMTVSGIPDYTNEHAETMCHVALGMMWEARSVMDPVNNTPFLLRIGLHSGTIIAGVVGTKMPRYCLFGETVTLASQMESLGLAGKIQCSKWTYTQAMETGRFEFAPRGRITVKGRGDVETYFLTRSLKKSIWEITDHERDVNVNSIEGYEELETSIESAQAARNDNTTKANQNNSAACTIA >CRE27551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2020204:2024681:-1 gene:WBGene00052784 transcript:CRE27551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-somi-1 description:CRE-SOMI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LP95] MLEPPLSASEHNNNNTKVTEDLNELNKGTMSGQQIDSFSPWQATSSSSVTGTSELFGSTYAMLSDHASYPDQWSGKHLTQSILFEQPQIQPLVGNSYDPPVRFDPPYAYRAAGAGYMSAMPSLAATAAQYYPPRTSGYVGGPHFYPPALSGVPNTQQLLLAAQAAQASNAQQQLQQQVLRPEPLRPATQKVGNGLNRSSSNSSAETLRNNSVSVATVSPSDDNSLNSPALTSSGSAASGTPPLGSDPNNTDLEGSDEERVMCMACRGVYPSRRSLTGHIGRNEKCREIIGRNYLDALANGVNPPIPGTDAAIKSGAITTGADGMSPVCPYCDRFISHYKGNIRRHINQCCKSAEPIKRHRVEQNEKQTPKKRAKKDDNGNRYPEYADHDSSSMSGGALSSPKMSSPSSSFFGTANSSDLCSPTEYSSSAYEPYPVNGHSQRSPRETAVLQDAYICEDCDFVTVYKGNMKRHLNTCHPQPECKSLQSNHLDGYKYKLISEWDQKLEGMRASNLGISGDRLQERLAAHKANSSRGRKPRKKKENNTEESEPMEFKNLLNAEAGSLLEALASTSSITMEGYNGNNFQPPPPPPPPSSMML >CRE27552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2035303:2036750:-1 gene:WBGene00052785 transcript:CRE27552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-1 description:CRE-CUTL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LP96] MTKEKQEIFRMALDNDEDKNSLNQANSIFSFIMYSLFQILLVIINTYSIPIDNSLFGDVQVECDSRTISIQIKTEKPFFGTIFVKDFASEPICVSRGTGRLSAFLEFEIGLCGALRQRILNPKGTVVRTIITISFHPYFVTKIDRSYNLLCLYKEIETTVNNNIEVDEISTASVSENVTMPDCTYQILVGGPFGEPVRFGLVGQQVYHQWKCENDNEDSFCMVVHTCSADDGKGEHAFLLDSNGCSIDKFLLSNLEYPGNLLAGQEAHVYKFADRDALFFQCQISITVKEPGEECARPICSDARPEGGGAPVGSPPPYGFSQKKHASLSTRSKRDSARIIDVRTRFSVGYEFSSVVQSSQDTCVLLSTIFTPCLFIYLLIIIFTFVITAVLLPTSCELGRMT >CRE27247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2037557:2040802:1 gene:WBGene00052786 transcript:CRE27247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27247 MCRRHVSAIRKEPDTLFLNKENEISFCEDLKSYVHRDPFINIFLGRTFLSHEMVETVGVDKLAGRIVGSASRASFIEKTVFMNRLKEEMCPQKPKIVQITKTMDKLKNARGGSVALNTGYHIPLVGFGTYKVEGNQVLPAIDAALTAGYRMFDTAKYYNNEKELGDAFKILLPKHNLTRQDIFITSKFFPEMDDCLIGSHKLIDESLEKLDTNYIDMYLVHYPKPKDAPDEDVKNAERRKLTYQAFEEAKDAGKIRSIGVSNYEIYHLEELKSYAKYQPCANQVEFHPHFTRSKLHAYCRDNGIFFQAFSSLARQAPELIEDSVVVEMAKEHDTTVPLILLAWARCQGVGIVPKSATPARVTENFKVIDVVLTPDEIKLLADLNRNKDYIRCTGWLVK >CRE27250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2046895:2047771:1 gene:WBGene00052787 transcript:CRE27250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cut-2 MQKLIVFFTTIAAAQAFLLPSGGGGGCGCAPPPPPPPCGCGGPSLPPLQLPRFELPRLSLPSFGGGCGGPAPCAAPAPAYAAPPQAAGYATAPAAGGYATGPIGGPIGGPIGGPIGGPIGGPIGGPIGGGYQAAPAFGGAAAGGNYQAAPAVGGAGPIAGGNYQSGPAPAGGNYQGPAPAAGGNYQAGPAAVESAPVGQAAPSGGAYQGAAPAGGAYSEGHKN >CRE27249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2043185:2043628:1 gene:WBGene00052788 transcript:CRE27249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27249 MQKIVLFFAAIATAQAFLLPSGGGGGCGCAPPPPPPPCGCGGPSLPPLQLPRFELPRLSLPSFGGGCGGPAPCGGAPAPVYAAPAAGYAAPPPPPAGYATAGRK >CRE27553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2048039:2048740:-1 gene:WBGene00052789 transcript:CRE27553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27553 MFSSYSVIFLFFSLFSVVATTGHLRLELTASNDFSLQLKTNSSFQVLLLNMGSTRIVSFHPKIHQETIEITFSKRRSAPQTQIYQMRTSDVANYQTFVFSDTVLLVQSYFECDNGFVGEYCLEKSTTPSFNTSNTSRSTLQHVAVIHPPTTNSAVHINNFICGTLVIITVILLVLIVIIYFSLRSGQQNVYIRPHTSSLTKTKCQINLEDSRCQSPESVRYSAAPTNSITITL >CRE27554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2050689:2051896:-1 gene:WBGene00052790 transcript:CRE27554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27554 MTSIDKLEQFAREQESIARDKLNDHQKNVLTKLKQCSGLKERYKVIATDASANDQDDVIETLPSNFDKTIEYYENNLNDIMKSSLQMNDILPFDFNNVKLDEEKSGEYYQGKISSCYKEVIDKFIKTCKPITQFCKILLDVADEKWVDKLGLRRAVFVTVNILVNLLIPVHEISTTLTQAVKEAEIRDTSAANSNDDDNKSVAQQKLKECALQIMKMGNKLKEDAGSLLDVIIDGFGLSDELRNEYFKHVENYYRIETSRGLIHFQLCSYKFEQSEKVSQETLKNYHDEVTVLKREIQNTFNTNVQEICDVLSGLTLVDQ >CRE27555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2052600:2053943:-1 gene:WBGene00052791 transcript:CRE27555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-8 description:CRE-SRV-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LPA4] MNNVVYDNFPAWFLIAESLSLVILLAITVVSFAVYFVEIQILFTSRNTTFKGPFYRLMFVGIMVDMTSAINLFVLQIIPAREWISFFYFTNESWLGAIFYAITYGGRCVQGATATILSFCRVSAVCFPMFYQKLSYAKYTYTMQAIQLSGAVAAVFLLLPREYKYVNENGGYYSAFVNNEFRKPFYNFVAVLEVFFVLAIVVNNLVTYITYKLKKKSASKRNSVSGSQYLMNKEKQKRESSLDKMTVIVCCVELLYFAFVVYSLQINQSMNKRVFYFLYNILCVIYSTFSAWMLLLFSRPITIQFRQRILKLGSKRSTRSFSISVQGSRDNK >CRE27556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2054348:2055793:-1 gene:WBGene00052792 transcript:CRE27556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-9 description:CRE-SRV-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LPA5] MYHETYGFPMWFLVVESIVLVALLLFTIISFLLYFIEIQIIFSYRKTTFRGPFYRLMFVGIIVDMISAINMFTGQVIPAKRWLEPFLIHNQNWLGSIFFVITYGGRCIQGATATILSFCRVCAVCFPIFYQKLGQPYYIYTMQVLQLLGGLVALLLLWPDDYEYILEDNGYIESFLLLVKPCCFRLYSVGSDETNADWFYNFVAIMEVFFVIAIVLNNLITYTTFKLKFNKKNSLKKSTVSPSQLQANQEKQKRENGLDKMTFIVCLVELIYFAFVVYSLQINQSMNKRVFYFLYNILCVIYSTFSAWMLLFVSKPINAQLHQRFSNLSSRRLTRSMSISVQGSRDLNK >CRE27557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2059335:2063527:-1 gene:WBGene00052793 transcript:CRE27557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mes-4 description:CRE-MES-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LPA8] MDSPSTSSQENVPKRVNNEIMEDDSMDNTNPDVAMTGPDGNIKNEREPLREVPPSENAVQFNKKVYQKKQVEDYVNNVPFVDNNYPSATFKPVERKFLNIETIAGSDHSKGWFLPDADVKCVICFEKEDSDGNDELIQCHGCMSGEMEGEERIAELNKQEIEAYEASKKANSSARGPPIMKPKLRNIPDRSCRSKFHMSCIMKYNAGSFFFQYAARLECQAKFLCPLHCCNKCNLAHQKQSAYAPGLTECALCLRAFHLDTCYPAGARDHYVTMEVGEKEKKKKIKFELIICPAHYEEEEEMANKTKRKSLPPSGIIKTGKIDRPIREHLRGCCNVACVFDTNENLINCRTCVRAFHRECCEVQTIDGEIVPEDQCESCICGDEIPLNVLVLSLWEGTKGFWLGKTVSWYKFPTSARKNAKFEKLGYTVVEWLSPEPSKTPVMSIVPVNTIAPLIESYSGLAPPKYKKFWKDSFTEQNEQGFASHPSVAKKAYASVKTSKYSNNNIKQKGSLTDGDVCNCEAGIPNRCTEDSDCISVATNYECPPSCTDGGKICNNRKISTAYINPKIELLETTFKGIGVFAKEDIANGEFLAEYAGDIIDKEERKRRLDVVSKSRDFQANHYMLDLVHGKTIDAAIRGNISRYINHSCEPNSGVFQMSIPLNKQGTYYDTRGYVKTIKNIKKGDEITFCYEMDDLDNLPVCRCESVNCTGTMGKSAAKVKEEQERKEANRRRAMNNNKAKNSKKVQPKKQGSGINVVQNITRQPDLQSPSNQLIRQQPLRSSLHNRVVSKTITTPNPVTPPPRAASAKRLSSVEVRTPRMTLKRTASPAASSAGENQFNAAAPPASRQTPAGRRTPKTTVSPSSRQHPANLRGSKNNTAVSMKSTPRKRQNETESQSTPNKRTAVQSSPRLSISALELDDSFSPGRMEKVIPNESKEETSSSEKITGPILRECPRNRRSKDGK >CRE27254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2065989:2066693:1 gene:WBGene00052794 transcript:CRE27254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27254 MFSSYSVIFLFFSLFSVVSTTGHLRLELTASNDFSLQLKTNSSFQVLLLNMGSTRIVSFHPRVHQETIQITFFKRRNAPQTQIYQMKTSDVANYQTFVFSDTVLLVQSLFECDNGFVGEYCLEKHTTPSSNTSNTSGSTIQQVSVIHPSTINSAVNINNFICGTLVIITVILLVLIIIIIYFFLRSGQQNVYIRPQTSSLTKTKCQISLEDSRCQSPETVRYSAAPTNSITISL >CRE27255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2067347:2068266:1 gene:WBGene00052795 transcript:CRE27255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27255 MSAKTLVYGASFLSGLAILGCVFTVGYIFNDINEFYDQTMETMDEFKLNERGAWHGMVERTRAPSEILFGRAKRQAGQCNCGAQASGCPAGPPGPPGAPGAPGDDGHPGDAGKPGTNGVAVGVAGESGPCIKCPAGEPGPAGAPGQDGPAGPDGQPGQDGPAGQPGPAGPQGPAGDAGAPGAPGQDGQPGAPGQDGQRSTGTPGAAGAPGPAGPAGQDGQPGAAGGPGQAGAPGAPGPDGQPGQPGQDGEAGQPGQDGQPGSDAAYCPCPARSVAVQRSVSRRRASKVVVA >CRE27256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2069840:2072294:1 gene:WBGene00052796 transcript:CRE27256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27256 MNSLESQNNFFTTSIKTLVLLFPTFGLIGKDQQFDNNFHESQVIRDSRNRIQELLNPPNRFENYPFFYNFHSDICDSSNSCKIPQNDEENPPIPPTGSYSTATAVSRGQKMDDIEKEPENNTNEKSETSGNNENSPPITPVSRLNTSGGNNEPPIRFCLPEKSAPVKEELSDLSKLKSEADIKNYEKEFEPTNEELEEQAAYENRKMKLSKEKEEQSKKFDSLKILDESDGENEEIRRMDEEFKRTLEENERQQYEEIKRMREEREKRQKETEEDFRKMKQESQERITAILGCIQMKIRFEKKEEEWSAILKGFRDPLIKIVTSQYALQDEFRWQSKDEKETPENIIEEVKYFAKLVCSAQNMLENAFCKLEMLAENYDDRIFLKMIMRTPLLKDSQKKFNYAVFNLDPQLIPTTKTLKNNSMTARIEDYTGMEPTPPPEWYYTFSNIQND >CRE27257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2073142:2074243:1 gene:WBGene00052797 transcript:CRE27257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27257 MVITTHSPSLPVIENFGEIPENEKGVLYYLIILLFVLCSIISTLLTAAFLIISLLLWGHFKPIKFFWFLTQLTLSVFLISALNLLVNVPATLLLISQEAAQSVTFMLISYTIDFFHYTILFSNLVISIQRAFVFFLRYLTDKVFEPPITYIWLLFVWLFPFLIEYSFLSSKCKYRYSTSEKRFLLNCEVDSILSIQMFSSPTTGISMVMDTIIQFALPVLILVIYIAIIIKIGSMKRTALNKNELGILKQAIFVFLIFQVTLLPRFCSIKVSGFFLCLLVFSSLPNQ >CRE27259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2074722:2077640:1 gene:WBGene00052798 transcript:CRE27259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27259 MTQFSVSPILLFINLISNLFNVGAVKCYDNDNPYSKYETCEGDFCVIIREQDSHTVEITQACVTGIKMPSVNCELDYEDTLHCYCNTDFCNAPNLFRSNHTVLPIIECKEVYEKNYKATACNKCTWRVDHLKYDHSLDPTLLTSEKVNCGGSGESGSVNLDLKIQIYDRICPNFFVDACYNVTMNPEQYTLYCRCTTANCNNPELSLPFPLSPPRISCYTSGFDADINNGKYETSEVNYWDNYNNLTSFENYYDEGIQCGGHFCFIVPDKIGDRMKYYKGCITANEQGEHKIQLGYMYVNNVPYYICNTDFCNLDIETVLAEARNGTLTCVTGAKIPSHTCALDYDDAIHCYCQTDYCNAPNMFRMNITVLPVIECKEVLQKEYRAAACNKCLWKVEYIAYDRTATTITPWEDVLCGNSGESAPFNLDLTVQIYDRIGKNFFVDACYNVSMNPQQYVQYCRCTTANCNNPELPLPFPLSPPRISCYTSGHDASINSAKYETLERSAMEVYDQLTSNESYYDEGKQCEGHFCFIVPSKMDIQMKYYKGCITANEQGENKIQVYLNLIIIISPFLAFQLGYMFINDVAYYICNTDFCNLDIETALEEARNGTIVYNESNYLNLSFLYFSLSFYSIFD >CRE27260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2077818:2078938:1 gene:WBGene00052800 transcript:CRE27260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27260 MTNQNNHIFIFQLPVLHDDNGRGYVSMAQEAENVYWRCGLLGVEKGHLGVHLMCNSEDRSNFWSIDFEYEACIVLNGKEHHKKTGTHHHIARKYLALRFPLDFCRKAATKEKGMYIKFSITVVNKVGIRPKRIHDFTVPEENLTNFILVVEGKKIYCSKQVNYNRASIYISTVYFQILAMNSEVLMQFLYPNGNKEVREVELPENFEYKKLLTLIKIFYNDSDALNPSNISEALEVATNMKSESARQRCERWISRTQLMAAKKKMHLAEKFSLVDLQNECIAMLKTSQQVQRLFQNPHDFTDEEIVELMDRVTLSIVGHSYEGLGK >CRE27261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2079282:2080707:1 gene:WBGene00052801 transcript:CRE27261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bath-39 description:CRE-BATH-39 protein [Source:UniProtKB/TrEMBL;Acc:E3LPB6] MSMLQPLKDLSANIVTLVFNIYNFEHLDGSYTSDMKEHNGIHWCVRLQSNKTAKTQKRRVSIFLVCNPNNAGTEWSVTTSFGFRLMNSWGHSRNKISSLFVHTFSANENSKGTNGFCAWDDLTAPNSGFLIDGIFTIEFDLNVSSFTGIQKEKISKEIYDEFIADGKLIVEEKTINVCLALLADNSTVLYDKFYKENPGQTTFEIFEFTHDAVLGMVSILQMDAFDITLCNYRELLELGQWYKITPVMDKCEDFLLKTKRVSIDTKLKLSETFQLHYLQFRAMERITCVEHLERILDENFDIEEKTYEALLEKMKLLKTQDDGDICSCKRNHHNR >CRE27262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2081600:2083914:1 gene:WBGene00052802 transcript:CRE27262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frm-10 description:CRE-FRM-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LPB7] MAATQTVGFSDIEPAEGRKFLSKHRNVQLPLEKFEDRDHNGAEFVPFTPINQALRATHNQSTDTLSPQKVVKFRIYMSRVESPAISENGIDLNIESGKTADSKTLLFYLAKEYDIDYQAFSEMFAIWMISPLLEVQLKSYHRPYECRQGWETLLNRFVDVPNGRTLTSDEPILMLRRNASLTSDREFELLNHYPQCAHFLIIDAREMLLLGRLHFLSLDDTIRVAALLFCLQHGNFKEQTHDVAFIRNNIEEILPERTCESIAAKTIFGKAISKKTAQEERLLNCWKECSKYRNDTEKEHELLMTLTQTSSCYGAAWFSGRIEKKTSRASLRNFGQSFAEIEVNIGINDRWLTIMDSNFTETLLVQSLEEMTWQLEGTKENQTPFLILNLSCDEEIKEGSSTTINISNHATTLFLMVTGSQTLLIDALMNTMTGRRLERAISDSSSSDSSTSSAGHASLIQSRLSLKNCSASSNRKLCLAKFKDGKCTEAAGSFKAIYCQGQTI >CRE27558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2084185:2085174:-1 gene:WBGene00052803 transcript:CRE27558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27558 MMLVHEEYTMNGLENQYTCTPRGLSSLRDFPNHYSVCLSSRLLHGMSDEIPIKRKRTSSNDSTGSHSNDCRPPLTRSHKIVRDDPSKHHLLLILTGSIAVMKIPELISELYHKIGKERLIIKVVTTENAAKLCQIQKFEFDEVVYEDRDEWSMWRERGDPVLHIELRKWADSALIAPLDANSLAKIANGLCDNLVTSIIRAWDLSKVCYFAPAMNTLMWENPLTLQHRTVLKSLLKFKEICPIQKELICGDTGNGAMASVATIVSLIAAHVRDQQAIRIRCS >CRE27263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2085200:2085761:1 gene:WBGene00052804 transcript:CRE27263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27263 MKAAQSAARAINPERFPLYLYKSILRLHYGLPSQARLMGDTYVKDEFRRHINAKPEFVKPFITEWTNYCVMLSKQLSSAGIRKGNIGKDLNTEKMEQLSNEHIQQLVELRVEADRHMGRDDFFQNLQTVTEGRKKPI >CRE27559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2085966:2088101:-1 gene:WBGene00052805 transcript:CRE27559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27559 MNFYLFALFFILLIPINQASPVGHDEDEDMTTTASTKRPRIEDGVEDVMTTHPTFTVQRLDAPIERIEIRLIDDNTTHSVPEVTVSTLRDEDRNRASAGRDQFDYGTTTEQVRHRNSICVLRICFQPPEHVHEPDQDPSPAPTEPIPSQEEMYKKVEYVVQPTSIDPTHTYSEPTTTESHEHPTEPIVIGEDPYYYSTMASDKQASAEVKIKQHAESFHNLLLKIQAKAWISVSTSVPKFDGDIDEDDLPPPPAPEDAENEEPEEECLANKEKLTEEVIERLRSETTMYYRLVRQRNDQQKEFCGSGEARDTTSDMYRRCSNWRAEKLVYYYKLMRKTYCQVDNWPNSPKIKKTYGEYLNLFGTFYAFQK >CRE27560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2088686:2089905:-1 gene:WBGene00052806 transcript:CRE27560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27560 MSVHELSNDLNGMVIERNLRHQDSESTEGGHHERGHLTRKSSTSSNCSSGFDKRRNLYRKDSEVKIEAIFKELFSPDAKIVDHYEGEMLTVPGIEINDTEDVELLVPNHDRFKLRRQTSLAPKNNEAKQNASPQPRVRVITRKSPSPLRKLSTPTQRKRSASSRAPLPKLSAPPSLKPNFVTVADESSSGSYLRKNPIPLRRGLSISQK >CRE27561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2090492:2092247:-1 gene:WBGene00052807 transcript:CRE27561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27561 MNRSWEEIFMDNCGPNHLQLLSIASALALAPLSLLFLSAVRVFFRKRKMFHPLFSFCFIVLLLLYFFSTTFLAIRNITFTFYGDNYGPVERTADVIIRSSERIYMAFNFFIPPLIAIGIIERLYATSLSRFYENSRPWLTLGFGLVCAVREYHEDNLLQQLFQTILVYIEFSNRHAIMATIPTRNIQALFAITCSVSLFLLLIANRSKSKSGRAKSALSERYQVTENLKALRIQIPIVCIDTAVQVMFLCSDVFLNTAQVLNLNYCYDDDYYLKKFATFRLIGFTLQYLIPFIVLFHFSQFCCNTIRRRPVKRLTPISPSPETVPSNVVTIRNVFGITLSGDDQGPVGQDVHFRNLYAQWN >CRE27562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2093158:2095802:-1 gene:WBGene00052808 transcript:CRE27562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27562 MNESLCPDSFLLAVNVLSITLSLPMVVVFILLCILLVIHSKTFHPFFTLSFILLIFSYAVCNLCVLLTAIFQLYDENSPVIFYIDKLYLWVYMYIQPCVVIGLIERLCATIFVSSYEHSRYWIIYIIGQALGIGVVYYENVLVENGDYNDTAKNVQFGLSICICICLVVLFFVNRHLTYNSRHKSTLTVRYQLAENVKALRTFVPFVVVDNCISILFVLSMFFFEVDFNINLKVCRNLPGYTITFTIFRTQHSSIWNQVKSQLARRQPTVRQSIDADQNNKVFKSGFQSVFSDWNPFFINNVLGMDIAGTNIDYFTQLKVQWLT >CRE27564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2100991:2105574:-1 gene:WBGene00052809 transcript:CRE27564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eea-1 description:CRE-EEA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LPC5] MLQRFKKQVSQVANELDGRLSNSSRASVSSQDSQSQPQQKSENEQEIEGFLCPICMIEHGSADELTAHFENKHADFKTSANRNHPEYAPPQAAPLAFGTKDQEIEELRIRVTEEKRFAERIKEELDNIKTVMANASDVTEDEIPYMAQQIQVLTADKGMVTRQFLELEKESGQQTRELQQVKQERGDLMAKLKQLSVNLRELTDENETTKVEREDLKRELKVANSDVVRYEIEVARLEKMLDQRPSEDDVNVLRTELVNSQTIMDSISQEKDIEIKEHLNSIRNLSMEREKQHAINENLEKKILEGEETVKQLQISYDSQSDELKQRNERILQLEERIQENVFELAENHQLVKRLQDKVKEAESGVQLFSGINNSNEEMINSLKAKFEKNSAEQKRMQTVFDEKVAAQGERLKSMELSNLDLTNEMSSISTLLDKERTLVEEKNAEISERDSSINELREKLAESEKKATKYSNDLKEQTTLVENLTLQLNKVQENSKELMEKISTGEGGAKMAIEQMEQEKEKLTKELQELAEKNKKASEEFEHKISELEKKLREAEASRTDKEQKWKQEKETFERKIAEAEDEIRRKSERFVEMEKEMEEDRQKASDRTLKLKDALVKSEKDLETVKKESEERDKVVREKDAHLEENKKRIEDAVQKLEEAEKRARELEASVSNRDSAVSTKDSELAEMKSKLTESNSFIEELKVQVEKVSSELAEKQQEVESLMTEMHEKEAHWKTKRDEFEAQIVRNQEENEEASTTLKSLQDQLTKEKEALSEEKSQLSSFKSQLEESKNENERLLRSDEEKAEEINKLKLSLSNSNHEREELIATTESIRSENENLTSKLQALEDSRKQAEEKNSANVESLIAEKSRLEKEVEERESTIQSIQEAIESKDNEIESLKSTSRIVEDELLSKISLIESFNSRIEEFEKEMASGKRKIEQLEAEKAEEMEKLVIVSRTQSQKQEEVEQLQKEMAEKSKTIERVCSEFIMTSSKTQFEAMFTDVQQTLQKEVNEKNQEIEKLLERIDSLESSTQQKVEDLESRLTQRERLVESLEAEMAAVRNAEQEKLDEQKKLKEEYDELKKAEAMWQAEKDMLIERCLGNESDIEYEKERAQENKRRFDEALSAMHELGRANQSLQIDADRYTSRKWLDDAEAINCTECGKVFSLTVRKHHCRVCGKIYCNPCSSKSVRIASAKHPVRACNHCFAESQK >CRE27264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2105987:2106729:1 gene:WBGene00052810 transcript:CRE27264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gut-2 description:CRE-GUT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LPC6] MLFFSFFKSLVGKDVVIELKNDLSICGTLHSVDQYLNMKLTDITVSDPERFPHMVSVKNCFIRGSVVRYVQLPSDQVDTQLLADSCRKELADSKAKQ >CRE27565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2106805:2115595:-1 gene:WBGene00052811 transcript:CRE27565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-avr-15 description:CRE-AVR-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LPC7] MMIGRWRRGFFFLFPQLFLLFVSISSIFLFVLVECKPPKSLNRMRQNKGSYNAAAFNSPSMINNGLLLADFNNTSRETRESYEEYKDDIDHLLEDDLTFYDEGSDTAAGDIEPKPEPVMKQKEVTIEKTEEVEEEEEEEVEKGEENGGETEEYEEEETEEEADENVVEEAETTTITTTEPPTTTSAPEEITEPEEEEDVKPKSEEHASKIDYDDQSTTLESIARISAPPHVPIEQPQTSDTEILEHLLTRGYDHRVRPPGEDGTIHGGPVVVTVNMLIRSISKIDNVNMEYSVQLTFRESWVDKRLSYGVKGDARPDFLILTAGQEIWMPDSFFQNEKQAYKHMIDKPNILIRVHKDGTILYSVRISLVLSCPMHLQYYPMDVQQCFIDLASYAYTTKDIEYIWKVEKPVQLKDGLSSSLPSFQLTNTSTTYCTSKTNTGAYSCLRTILSLRRQFSYYLLQLYIPSCMLVIVSWVSFWIDRTAVPARVTLGVTTLLTMTTQSSGINAKLPPVAYIKAIDVWIGACMTFIFCALLEFAWVTYIANKQDASKRARLDREKAELPFLQNSHNDVWVPREVAEQEREVMTVRLNRRQSNSFWKWIKTKTEWNDKSKRADLISRVLFPVLFLTFNISYWTHYGQYGVAIST >CRE27566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2115869:2118496:-1 gene:WBGene00052813 transcript:CRE27566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27566 MGVCISHEYSKPNAQQEEILQEFAKFTKTEERPPMAVEHQMYDYRLAYEEQEDELFAVVCCPHSIGFDRDKIALIDLDPTSETFCTILSEIRLTSNGDEPGRMNWAKSAESLTEMGKLVRKNIIVPCMNSGKIYVIGFDKNKFYIEKEIRSDELIRKDVSCPYAVRSLPLKGAPVHVSTLGDRFGNGKGDFVLIDRRTWEIRKRSEPTFSALGGDFSLQPRHNVLISSEWGHPRLLRDGFQPSELENVSESFGSRLHVWQISPPKLLQSIDLDTYDGSLMIAVKFLHNTDCNHAFAISAIGSSVFHLHMNTLSREWQADKVAHVPLLKVENWTSDEMPALLTDMIISMDDRWLYVCGFLHGIVWRFDIQDPFRVTLHGKINLGGVFDSFPEVRIKSANAMEDRWWLPPEARSLPRGTKFRGGPALMQLSKDGSRLYVCNSFYKAWDAQFYPELISDGGQMVRVDIVENEMRLNEKFLVDMKDQPNGPFVIRDIKFLDGDCTSDTFL >CRE27266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2125736:2128902:1 gene:WBGene00052814 transcript:CRE27266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27266 MLGMISTSPNCPIEAQWFVGIYTVVIYAPLAFVYVVVATLFIKHPTAFHPLFVISFFLILLAYTTSNFILFFRNLLEFFFINEFIFSILEFILVSANYYTQPIVILALLERLAATVFVSNYEKSLQWIPYLIGQFICVSVVDYSISFSFFYFQIIFVVLMSLSQHEGNLINNIQIVLSFVICSCLVALFLVNRYKTANSVGKSTLTTRYQLAENIKALRIFVPFIVLDNVISLMFVITSYTISIARKFDEDECNKSSSYVPIFTVFRTIAILIQISMAVIVVYMHESMKVWNWRDCYRRSNRSQDVSITANRPDRLKVSAIFDLDILNFIYFQIKNVLGKNIVEQETGENYFAQLSKQWQKV >CRE27567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2128970:2129560:-1 gene:WBGene00052815 transcript:CRE27567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-44 description:CRE-TTR-44 protein [Source:UniProtKB/TrEMBL;Acc:E3LPD1] MNSLLILLFSLAVAQAMRDQSIAVKGRLLCGDKPAGNVRIKLWEEDSGPDPDDLLEAGYTDAEGNFQLSGGEAELTPIDPIFKVYHDCDDKYYPGHRKVKFLIPKSYITQGKIPKKTFDLGILNLETIFPKEEREMMISRKRRHHKKYLANEDNY >CRE27568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2130274:2133945:-1 gene:WBGene00052816 transcript:CRE27568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-5 MNVTATILEGMIPLIPDIMILEWEGSENSTECLCSDIQRDDYSPFFEWANYLTIILALPILSVFGVLTNIINVFLYTRKRLQNSANTYLLFLACSDFMVIVTGLFIFWIDSARSYIPELTQAPYTTVYTLPFGYMAQTCSIYFTVAAAVDCFVNVCWPSHAKHYCTVRRAKQICISIVVVSIMYNSLRFPQFNLRKCFNDITKEQVIEICPTSLFVTINSVYNIYMYMVLMTLLPFFFLLCINAFIVKRQSKAKTDETAPKNEGTSDDTITMIMVVILFLACNTLALVVNFIENFTEPSPVLLNFLSDTSNFLVVFNSSVNCIIYFIFNQDYRDVFKMYWKKLKRVLYEEYCCCCVPAGSRNYSAYQPVSTRLVIDKTQNGSSSTKLILPSLDLNRSDQASFAESESAASPIWQPLTTRNLPNVDWPNIDDDIDSGWDDGSQMKMQISSRTPKRWLAEVNIVDMESSEGRHPRIYVRPLNHLPNNETISITAL >CRE27569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2144106:2145208:-1 gene:WBGene00052817 transcript:CRE27569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27569 MWKFERKKNNIYFTYPRGVHNAWFSQPRSFSRPLSKISQFSQIFWKIFSRFCHIQKSKMAEIQEEEPDDALRASRTAFDYIFKLIYPVYWFVTIPVRITSWFFTNFPVFSSLSLFLFVSTTLIVLYVYRRLSSTPRESFISYLLYTVVPYAVSIVYTTKRKSHNLAVKFTFFQVHTITRIISALLHPIKFILGFIEKVDNRAAPIRRTVEAIHGPKPSKKSSIQSIEASLNETRLQCCLCKLADKRVLLRPCNHLCLCERCNEAFQKQIPLLCPICHIPVKSFETIHLS >CRE27268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2145231:2146573:1 gene:WBGene00052818 transcript:CRE27268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27268 MTTSKPESVLVWMANRGSYVESMPGTILRIKNASKFGENLYGFKDQPGELVDLKWDSLFKLRPTLVEIDFGRNPCDSLVNVLEANYEDEQIREFFERVKAMSLHMTDISADSLLKLMNKFTLLAAFSFSETKFSVSEWSIILKRLSELNLRGIEIADNILDEVRQNLDISLMKFSGNPGVDVNEFKKGIEFVTVNVLAVQELKFLGETDAEQLLEVLPQSFPRLQTLIWDWNVVDPELNFDDRTKNIMKQLLDVNQRLNLGALAVVAYTPNPETKASIEGVARTLKESIKEVQLHQFATKGLSDGMANFSLIVAGKNEKVLKELVEMYVVDRSTIPPMGKLLRLCEEDIVPIYPAITMDFGGFDKTRIRQLYTNPSD >CRE27570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2146787:2149298:-1 gene:WBGene00052819 transcript:CRE27570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27570 MKRAFSRVWSFSPKQRHQILTGRIIERSLARSVAVLAAAGIGTSLGAYELIRTAKAGILDGPDDASRHNVQTDHKLTKRELRFLQFASVEFDDVIYMSPMDFIDSLTLDAPRERVYRRVLKEKDVTRILKKTPPFRSGSKHFFRTMDQNGLISYSEYIFLLTLLTKSKAAFRIAFLMFDEDDNGNIDRDEFMLIRSLTSSLRSTTRVQPSKASEDDEVQDSCHLDAADYHFAVSRIGADRLFTGADSYAVMFTKSEEEVRKQDTTLLLHLFGLRGNATLSFDEFQQFYENLQEELMEIEFYEFARGKSTISPVDFARLILRYSIVNLDDYHKYLQRVQEKSADDEQGITLHQWATFSRFLNNLAEFQSAVRLYINSNVPVSEPEFARAVGCTIGKELDPVVVSMIFRIFDENNDGTLSYPEFLAVMSDRLHRGLRGRLEKPWGWKPFKNCIINEVSRA >CRE27269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2150057:2151245:1 gene:WBGene00052820 transcript:CRE27269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cav-2 description:CRE-CAV-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LPD7] MTRRNTSESDEPHPLPRDNIPQYDTVDDIDDSDNVTEVEVKSNRGSTVSARAPHVTIKQDEIDDIGERQVTVIEIASQRGSSRRVAPKKDYAPSIPLPEHPAQQSGPPPSQKPRPQKTANPEMAFDMGVNNIAPVLVHKMNMDDRDPSDSVQYLNTSFFEVFAEPSEQYHSIACVWTLSFKIFEIVRIYSYKILTLIFGLLIALIGGFLFALFAFLNIWIFRPFLILSRMALSQIVMIWPMFLIYIVRPFFYSVGAIFSTARLHTSRGEQVAEVWEKHVHHV >CRE27270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2151821:2153348:1 gene:WBGene00052821 transcript:CRE27270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27270 MSADSSLSHVDLESDSSSIYDLTSSLEHRKKVDDEFQLVFRKAQDAINKGIQPSLIPEGSSGSYFVYGLEGEILGVFKPKDEEPFASLNPKWPKFFQRMLCFCCFGRACLIPNTGYLSEAAASIVSEMLQLDVVPTTRIVKLASPSFFYSRFFGHYDVRPKEGSFQLYVNGYESGNTVFARWNYDKNLLSDEEEAKYVHFHENRDNNFVKAFRFQLLFQKMCIVDYVIRNTDRHMDNLLVRHVPGHEINLAAIDNGLAFPVRHPECTSRFRSFPFRWSNLPWAQAEFDQTLRRHVISLLTPQFVHRLCVDLKKLFRHGIASSNYMLVNSQLRVVRGQIWNLRQALIANESPCEMARREPIIVSRSSDIEMPNPPPHRGMIGLLSSRLATPTNNYADTTKTAVEYDEEKKVPENLINF >CRE27571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2153499:2155078:-1 gene:WBGene00052822 transcript:CRE27571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-17 description:CRE-GRL-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LPD9] MMMLRLLFLSVSGLTLCHAIFFGMGGGGGGGGCCCGCGTPQPPSCGCAPACPQAPACPVCPPPQPCPAPPAAYCPQVQPVYVQNSGGCGRFNFFIFNVYQCGGGGCGGGGGGGCGGGGGCGGGGGGGYASGGSGGGFARQPVSLPAPSAGYSGPPPPLPSSGGYSSGGNSGGYSSQSSSGGYSSGGSSGGGYSNGGGGYSGGAAAAGATAAQVDEATESDGEPPADQVFHSPKEPCTQTIKYIMLRSRKVPGTDATELVEEELETVQTPATPVEATANPLDAQLGEEIAAQEQAATEDGGDDESASSGDFKARAAKTAVTDEKCNSKILQKLVLSNIAANDALASKKAIHENALQQFPDSSVDVICSTTGFTYLVSTTEHCEAQKDGVICFVYKRPL >CRE27271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2156646:2157287:1 gene:WBGene00052823 transcript:CRE27271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27271 MRSVARGVTTNIRKLIEARPKNTGQTVYLTHVKWVTGKAQLEHYFKRYGPIQSVNMFYDPDTGLHRGFASITFDSKDSANKAIEQRPHVIDGDRVDVEPYIPLISKNKKKDLML >CRE05606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:2132:3364:-1 gene:WBGene00052824 transcript:CRE05606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nuaf-1 MFTSFTTRRVFPKVTNTSCAYFSKESTSSSQLAEKKETELQNYTGKSNLLEKKKVGIFGTSTVPINTNFPNPKGVIGYDPDFSVKELVAELPNTRKTQGAKLAEEIKDVFKSVSVEKTELLEDIGFVRHNEARVEYKFDTKEKLDLWKIGCDSDWKEGFSTCSLVNSDRGTAIFSGNISTKVLKDGRVERAGWASMKLEDRKAFNRKKFLSKWRNFSHLLLKVRGDGRSYKIMLHSPLSMDFTWGDSFSHPLHTHGGPYWQYEKIPFSKFFHTVAGRIQDRQYRVNLEDTSSIGIVLMDRIDGDFRLEVDYIGVYNDTTHVEDFAYETYTLPVFNTHGF >CRE05380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:3695:4768:1 gene:WBGene00052825 transcript:CRE05380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bir-2 description:CRE-BIR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M088] MTHDFFFRTMFRHEAAENAVKALNEAAPYLSYAARFTSFKAFKYDKRFTSKCSSDAVIINRNSQIHTIFKLAHAGFYSTATPTSPTNAKCPFCMLELTFAENDDPWEKHRTQKPDCEFVILGQPDETTLTLQIISSLAIRCATVAEYEKMLPIIHYLEEADHEQSYRREEATRKLISLRNNSQYLTADHRYATFKIDGHRAKGVRDHILKKIAKAGWCSAITNRSLLSAKCPFCLLTIDFDTTDDFWEEHKNSSANCDFVKLNKLNEKDWTTEEALMLAVKISVVKKFEKQRKILEQLENDKEADQLANQLSKMMARPKCLRRRCSV >CRE05381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:5201:6030:1 gene:WBGene00052826 transcript:CRE05381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05381 MWQSQPQPIRFNQYGQNSNNNYYDLVPVTSTLNPNPNASIDFVVTQVDKLSNQINTLVADGEAAGLQIADRGVETYNRMSNAFNNGTIQNINRIGDIASEKFSNWPVTELIIMVIIGAVLVVITLIFLFVLCGERVTIYRNRKKLTTESDFEVI >CRE05382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:10395:12456:1 gene:WBGene00052827 transcript:CRE05382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05382 MCQYFAYKTLFPRPSSSSIFFFFLYRTRLPFTAHIDKTCGTEWRDASSSSFYNNSFIGIIPKNFLYFLFPLFPHRPFSYHSSYFFILFRMSVDQTITELSGTLAQLSTLVAHINGQISGITGRVNLTLDNFDQAVANLAKDAGSLTYQVGNTVSQVSFYYDFPKIRSWNLLKRNEVLLLIFSFRKNYTILKTANKKTLMELLFISSLFQVPNAWIFYFIFITLIVVFILLSVLILLSVITKAQVIYSFMKGSDDSSKRMLVEKTRRSTGDFGSQRMRTSMRHVQIPMETEPRRPGFRRETNSTVSITAENNNVRPYQRRPDDSGKYSDHQQSSSRPYSTRLEV >CRE05383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:12726:15851:1 gene:WBGene00052828 transcript:CRE05383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05383 MGVDEEVQNIYYSIYNINLTLVNLDQGLGQLVDGMNFGLGVFDQHVNMVVGKVNDVQNNVNSKLTLFPSEWVYLFVLMFIVLVLLGLVGYLLYYIVNYILNRHDRYEEYRMWYLKNVMKQDIDDWEEDDEFAEGFYSLSDDTPPPTYSEYVQTADLYANYHDEVEAQRKSNQFSSRIGRPRGTGEEYNTLI >CRE05384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:16184:16513:1 gene:WBGene00052829 transcript:CRE05384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05384 MIVSKLLLLISIVTTISAQYGGLYPGMYGGLGYGGYGGYGSYGGLGMYGGGLGMGGLGLGGLGGMGMYSPYGYGNYWCNNYGLYGKKKKR >CRE05607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:18828:19902:-1 gene:WBGene00052830 transcript:CRE05607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05607 MVDTCYKWDLYERKTCKFGYLIAKCQIKWKWDELGERQLQKRNELLKIRISIHYSFKNRKRGRTKHLSNIEISKTIATFELLETYKWTYENEKRRSPIYDRLLFFILHYPPVMIHYFLLILLPTFSLAQYYNPSLYQSYMNSGVPRQQTVLVPVYTQNGYGGGYGGGYGGVYGAGAGYPSYGMSYPAYGTGYGYPGGGYPMMAGGACCGGGGMPPPRESNAKKFLRGATEGVFMGSVGMLGFRK >CRE05386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:20063:20960:1 gene:WBGene00052831 transcript:CRE05386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbcb-1 MAEVFDLEITTNATEFPMEKKYAATISLNDLKKKLELVVGTTAESMRIQLYDGDDQLKGELTDGTKSLKELGVRDGFRIHAVDVTGGNEDFKDESMVDKYEMSDDAYNKRTDSVRAWKKKIQEEQGVVPAPVEKSDKLNEEAAKGITVGDRCEVTVGGQMARRGEIAFLGTTKFKDGVWVGVKYDEPVGKNDGSVAGVRYFDCEAKYGGFVRPVDVKVGDFPELSIDEI >CRE05387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:21757:24337:1 gene:WBGene00052832 transcript:CRE05387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05387 MLDLLKSWEELRITETNATNVLLPVVYYSKGSLISLCESYSNVEDKCGLGRCHVDNPVASFVTRHFSFICHKDVATKYFDNQQCISSALVPSKCTDLIKEEPKIGGELFCPKLDEFVTCVEEPLRTSCGNEASALVMKAIEKYGCPSKIGKSILIALNIVLLLLEVARVNEFLSLGVESSTMVISENAVMTTTSEAPESNKPEVNMLVKTSLQVDEEKTTPVELKEEVISSTVTPAVPSTIAPSSVPQTTTTTEFIENQIIGKSNDITEGSGEVPEPQTSTKSSTTVITTTEVEEVFQTRKPRKQFNAENMTDCYSSIVGDFGRFLHSSPTSSFVRFPLFGIKPMELEVLCAKFEVATECVNSFCVGNCRLPAIKSFVDSQLKEACAMRDLPDFGLEFTCLQNVLKDNTECVSFLNSTNPNRCDGHPQFRSCITDRLIEDCSEETVRLMDRMENGFGCKLLLEQDVQEDVDGSGEDVEGSGSGDIPLLESENEKVQKRTPGNLTDEKVRLNVSGFHQYFVKLQLLTDDSEIIPRTCEGIVKTSALSCLAPLLRLWTGIKENRKETEVVILHKETVFEHLLFQVVFPIFEFSKIELLELCDAFANYRNCVKYTDTSVCRSEPVISFAEEHFGQVCTTQQIEASIRTHDCINAIDSRTMAVCKKFSRGETLPGKRKCSKVRRYTKCLRKLVNSSCGHLMLAQFDMIVHRFGC >CRE05608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:25181:26273:-1 gene:WBGene00052833 transcript:CRE05608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rdy-2 description:CRE-RDY-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M097] MSDQANRVVYIEQRRHIVVNSPPQSPTPLHHFPVNQYSASSSNPPPPLSQPQQLYRTTTTSNTPNTRKKMFSQRAFNGIVFCVRIFILVRLMFTFPISKLFFQILAIVSMILILTAPGACFSRYLNGQSISREICPGQNSIFPMNADRWSNALHFQGRGQNVYGQVALICITLAFGLITVGVSCVTLATNSILTYPQILISGLSMVAFIVSGGVETWYATGYDHMEFFIQAVGNGVFSGCAGIPGCQIQFVVKGWAVAAAFYFLGAVLYVIDMALIFISREK >CRE05609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:28646:31024:-1 gene:WBGene00052834 transcript:CRE05609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fmo-4 description:Dimethylaniline monooxygenase [N-oxide-forming] [Source:UniProtKB/TrEMBL;Acc:E3M098] MRVCVVGAGASGLPAIKACLEEGLDVVCYEKTADIGGLWNYRPGQENIGGTVMESTVVNTSKEMMAYSDFPPPAEYANFMHHSKVIEYIKSYAEHFGLTKNIRFNTPVKRISRNEENKYIVYLHNGEIEEFDKLMLCTGHHAQPSYPELKNLDKFKGQVVHAYNYTNTKGYEGKDVFLLGIGNSALDIAVDIAKIAKSVTISTRRGTWIFNRVSQGGMPYDVQLFSRYYDILLKKLPHTIANDFMEHRLQQRMDHDVYGLRPDHRFFQQHPTVNDALANLLCAGYITITEDIDTFTENSVIVKGGREFKCDIFLTCTGYTFGFPFVDSDIVEIKNQQVPLYKYVFPPNNDSVAVIGLIQPIGSIAPIAEIQSRWAARVFAGRCDLPSSQDQINDIHKKKAAMKKRYFDSIKHTIQVDYMTYMDEIAEIIGCLPPMNQYLFSYPRFWMKLFMGANVPYVYRLVGPHSWEGAEQAIWTVPDRVKKPLKNRQCRTRKHKKRGTTDEYFRYATQKVIATNISILFCSGLLLYCSTTSTLPPVVYFLSFFLFFTLYGATLMWFDLQYDMTTIF >CRE05610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:35088:35519:-1 gene:WBGene00052835 transcript:CRE05610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05610 MNFTWTSLFVTVLAVSVLALNENENNYLQELVDAGISQDTANKLVNIAAQHNTEGSDPNKSGRAIFESIISETNAAIAQASQADQEAYKNFVEDKKNQYEKPDEVDNSDDE >CRE05611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:35906:37109:-1 gene:WBGene00052836 transcript:CRE05611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05611 MRPFLVVFFLFSAIPISLIVNNIYQNYNNLKVLRKNEAEFHWSHIIQYEELRMAVSKLPDGYGVMLLNRHAVKMTLNWLCNTKSFVGVHQKMLFLVMDKYSEDALRKVYPRLNIVIWLAPVLQKTFRPYDTTYMSFFLMRTNMIHALQKLGKPFWMLQADTVWRDNFFNLINADDYKKSDILLDQQGYEGTAPIRKRTMNGANFYVPVKSTSQSLVESWLSWQKSVYITDPDLVKMFCLREDYLCDFIPYSLVTGWEWIYGDQKNPPIMIQMDGETGGNKEKVLEKYNFWFLDRNDRCKPDKVSKGVMHMNEGTVPRVMTQSKNREQFYLKLGEILNQIPVFGHYSSIYGGLTSLYLQFF >CRE05388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:37490:40151:1 gene:WBGene00052837 transcript:CRE05388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05388 MVPIRNIRPKRKAQSSPKLNGKKLKKSVNDTEGSVIDIISNPLFELLSNDFKSKEEFTVNDIAIMFRSLADTISNLQNQNSLLTSKVSNLVEKVSGLEEHLKTLSAKPKKMEKIPTTMFKTFASAVASTITSSITTPDSQINLMKAVSYANTEDPRNTNVILKMSIYLLMPWITIANIFGTSKPAVFRLPQSAKGPPIVRHSFKSKEEASNVLKKFNREKASIQGCLNASPRPDLSKPELEKYRQSWKVAIQKNNEAMKTVFTVRNLEVVLQLNLWSFMSNIAVFCLTETKLDSSYSDNFLSLNNLFTVIRRDRNKHGGGIAILIRKPIKYNIISIPEYLQMVEALCCDILISGSALRIIVIYNPSHSRCLSVEEMFDDLAKLILNIIDTWIPTKVILPHQPTHSVEIKLLQKKKLLIWRKEGNSPYYKDIAAQLKKSLIKSESERVSNRLNSGSKNFFQFIKSEYKGNTDIPSMKCKYRDEVIVDDESKSELFGSCFSEYFNMDEEDILDIVNPIKTTCTDILFVPAQIELLLSKLKSRNNTSPDNIPAIFLKKACTSLALPLSIIFRESYRVGRFPSLWKTAIVLPLHKKGSRSDPSNYRPISLTSNICKVMEKTVRKHVVDHLTEFELISKRQFGFRNRRSTVSQLLVYQNKLISNMLNGLDTHSIYIDFQRAFDTVPITKLIHKLQSFGISNKLIKWIDSCISNRKFQVMVNGTLSTERPVLSGVPQGSVLGPTLFLLYINCIGTEFISNHLLFADDLKIYSPCNKSIDTDLRTLEKWCDTWKMKVAPIKCEHIIFSHKNKPAIDSDLNLKLNDMPIPAVTAISESISPVNLALLIITL >CRE05612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:41422:47829:-1 gene:WBGene00052838 transcript:CRE05612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05612 MKPNILNSLLLLFCLLTTCEAGPRINCYPEPNANQNLCETRGCIWNPVDDVDGTPWCYFKDGVGYNLASQNGTTFNLQKNNGPKNPWGIDFQNIQIRTSTIGGSVLNVKIGVDCRYEPPVDFPRSTIATSEILSFTTASSDDLFWFSVIRNSTNRKIFDTSLGGLIFSDQFIQLSTYLPSENMYGWGENAHQSLKHNFSRYLTWGMLARDQPPNSGNLDTMNLYGVHPFYMCLEPDGNAHGVFIFNSNPQEVTTAPGPSLIYRTIGGNLDIYFFPGPTPALVIQQYLAFIGKPFLPAYWALGYQLSRYGYSGLDEMKQRVGAVRDAGIPGSVPKSENWSGFEDYVNVMHGWNMKMIPIFDPAVEADYLPFQRAMAANAKFIEWEDYSQVQPNIQNLYPMAKNTKVMLGVVWPDHHVAFPDFLDSTGRTQAWWKTELELYHSQLSFDGIWIDMNEPANFGTNEQHPWYFDDADHPNDAPLFCPTNGTNRWDLPPYQTHAVYYYGGNENNVYLSSKTLCLAGVQNNGSYRFYDVKNLYGLKEAIPTQQALMECTGKRGAVVSRSTFPSAGRYAGHWLGDNTARWEDLRTSVIGAQEFNLFGIPYVGSDVCGFLGTSNEELCLRWQQMGAFHSFFRNHNTLGEPAQDPAVWPSVAAATKTANLFRYQYLPYLFSLHFQASQSGLTVVRPVFFEYPTDTETLDLGYQFMWGSNILVAPVLYQGAVTTNLYLPTDVWYSLFDYRYGSKIDSGYITVPSPTTSRIPVFVRGDAIPRQTPTTTTTMSRHNPFELLIAPCQLGKAVGVLYWDDGQSIVDSFDTHDFHQFDFNYISTSNGAQLTITRTRKGTIVLPTMDILEIFNYPSPPNFRSFFLNGQSVNINVQSSTYSGITKTLYISTKDLIDLTSSDSITLEWSNVSK >CRE05613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:48010:49306:-1 gene:WBGene00052839 transcript:CRE05613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-11 description:CRE-SRD-11 protein [Source:UniProtKB/TrEMBL;Acc:E3M0A4] MLDPISLFFLSFHTFAAVVGCTLNAIVLFLALFRTPKTIAAYTTILINFALTDFLACFTDFFIQMRHIPAGFTMAYMSRGLCTLVGPHFCYLIYSVNISLVAHGLWSLLLGFSYRYYILFHPAPTRKMLIIALMIIYIPSFIQMWVFLLADDDPVEIKRILMERFPEYELENATVCGTINVIEFPAMYTILHMTCPITPVYITIWILRKKIIEKLVSNSKDMSSKTKEMHNQLLKALTWQALIPGFYGMSIASYVTAQFFFNHPIFEYTTLTGFLFMPVLSPLSCLIFIQIYRKRVLSWWYIIIGKPIPEEWISVLNTSKMGATTAAPSSVAPHSTETKSKH >CRE05614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:50337:50677:-1 gene:WBGene00052840 transcript:CRE05614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05614 MPPGRMCLSERIIYRKVWPCEHDKTAKEILEEREDREAFLKGAPSAFARTGFYDRLFRQQNLPTVYDDNIPYYPNYGYNPNKPVWGLYRYSNYFINP >CRE05615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:51189:51469:-1 gene:WBGene00052841 transcript:CRE05615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05615 MKSIPPKRTVVELQMSLPWYHLERPARMTLLRAYYTVCALTYPAYVAINYKSYIPDRAQVLGGKSLRDEILNPNQIRQ >CRE05616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:51744:53638:-1 gene:WBGene00052842 transcript:CRE05616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05616 MGRKSIKATPAKTAVVEEQTEEVAVPSKTPSKSPKTRAQKAEAAGIATPTRKTPAREAKSPAREAKSPARAARSPARVAKTPVQKTPKDKASAVKTPVTKAQVFKTVPSSDEEEEEEELLPVKTPKKASKVTAAKTPAKKTPVCEVPSSDEEEDDDELEVVKTVAPSVEVAKKEEDDESEEESSDNEEELKNEPTSKMEKKVSAEEHASIQAAKEQAPQAVSALKKYFADKNEKSLFPDIDYALNLCVTYKKPAVTTNQGKIRINLPNTTRTINNTSVCLIMPDLDQSDAAKREFDVEKQSREWADQIEKDHGLTSAHISKILTKREVERIAHTYKDKRALASSYDVFLVDGRAYKSVRAHLGKEFYKVHKSPLPFVYHKPLATTIEKALSTVVYPLKRYMVRASVSVGHLGQSSADLCDNINEVLTKIAQNCPGGFSNVRNIYLSSSSKDPSLPIYVDNGSATEVRLPTGSARDNKPLVETSDECSTLPDGLKVAVRNNARIRVLKEETNEAVLFPTVHDEHSDRDRLKPKIDPAKVLKKRERRRKGKEIVKKQIKRRLEKKQKRAADGSTNPIAAKKIKTSV >CRE05617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:53856:54744:-1 gene:WBGene00052843 transcript:CRE05617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05617 MSLTSNVMLQASRIGGMITKRGGATGLMVHRDTKENNLNVKFKFTPENEDRIKAIVDIYPEGHKAGALIPLLDLAQRQHGWLPISAMHEVARILEVPRMRAYEVATFYTMFNRQPVGKYFLQVCATTPCMLRGAETITETIEKKLGIHAGETTKDGLFTLAEVECLGACVNAPMIQINDDYFEDLTPKVFFLFELCFFISIIQDVHEILDDLKAGRKPAAGPRSGRLAAEPFGELTSLKETPPGPGFGLQASLK >CRE05391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:56776:58731:1 gene:WBGene00052844 transcript:CRE05391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05391 MTDECEMNSSKLNDRVGRRNDRKRDAQQANLPERSPSLRTETRQQRSHPYLPPRNGTHRQRPMIRPSSVVSMSGRSIAPSDCSENEDRHFDVDEEMEGMSLDSPLPVVEIPPRPFPDKPYYWMNDYKTLEFIRTEFSSESFYVMHNGKIVEDFQAFINEYIGDGLVKYSFHLRIRGGKGGFGSLLRSFRVNKSTNKLMMRDLNGRRMASVDEEAKLKRYLEKQSRKEQELKVKEKRKAKLAKLTAGPAKHQFEDQEYLSRREEIIEKTEDACEAGFALMKEMRRKSRMSREQEVNKKADEEEDENAEDVADLFNDRGGRKRKIAAPTIGEDDDKKRVEDESEDGDDSENDSENEPDPEELEAIRKYFEEKNKSEKDDGEGTSSSFKPIEEEEQELELVKRPRLDSASNVDDLPKIDEKTPCEYGTIDLADFTSAEDLELLGLEHLKSALTDRGLKCGGSLSERAARLWSVKGKEIREWPKSNLTPEMKKKIAEEEDAERKAAKKKSKKNK >CRE05618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:58747:61017:-1 gene:WBGene00052846 transcript:CRE05618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05618 MLQTTNFLLIVIQIIQTDMSLYEPADGLLGTHVTWHDVEMEMQRVLGTNARFGENRKIRDIGDMKGFMSKIAMIQADWYFPPDEDLRSLPDRIAVKMSSELSLYNFSTLVSSKKWDVEKMQSMTSHVKDLHNREVQMYRIISREQPNCPTVHVLALEEFTEFTPLKAYIISEYIPNLHDIGMSDNIPLDDIWAVVDGIAAFSAMGESMSEEEKKTATNGDRYIEDAISCFYGENVSGRGIGAVDESFEFQPPDNMRKNLVDILGVAYEEKVDEAMEVFDFYCKNSEIRSNYSKVPEFLDHNPVLMHSDIWPSNLLFSRSPQNNLEFEALIDFQTASMSSPGLDVACLMVTCLSKTDRRACKGALLDRYYESFVRSLKNPNSIPYTRSQLEDSYDLCFPAAVILMLPFILSFSLRLGDDIPENSIDKSAGLIEDFIFIHKSNLQKFPKFYETRL >CRE05619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:64415:65999:-1 gene:WBGene00052847 transcript:CRE05619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05619 MDYAHSMIQKLKYVHNSINPATRSGAIDIVVVEQPDGEYKSTPFHVRFGKYGVFSCSNNYVDIEVNGKSIDMKMKLTDNGVVIFVETDDENSNVLERPDVPEKKEKEMDQAESECELEKTERATENGEKIERTRQRSTSLGATKEDEEPKEEPLSEDDLDESLTSEFIERLRLPSDKLKALNLSLGSNEIRFQITTKLQGTTWCTCNIYLYKWYEQLVISDIDGTITKSDVLGHVLPVVGGTWAHNGVVELYNRIKNNGYKMIYLSSRAIGHSHMTKEYLKSVTQDGKHLPDGPVLLSPTSTMRALKREVIDRCPEEFKIAALSELKKLFPSPNPFYAGFGNRDTDVISYKAVAVPTARILIIEPSGTIKRWDSSRLEPSYTSIATDSVDYMFPPLPFHIKDHAVKKERHTSAWSKPLNHSNFTHWHVRPEDVLDDELKEYEDQRTELIASQKRKSKMSLFV >CRE05620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:67977:70753:-1 gene:WBGene00052848 transcript:CRE05620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05620 MDYAYRMIKNVKYFYNSINPATLSGAIDVVVVEQPNGEYKSTPFHVRFGKYGVFSYSDKYVDIAVNGVEIDLKMKLADSGVAFFVEEADDEVPDYLLTSPLPEQDTPQIGGSGVEKVLAESARKLEETQNENGEVEMNEMAKSRSSSPDGGQGNAREPKQELSLSQVQRKQTLPFSSSVFSDRRYRSLPDLTVLAAETDHTEVAGSSDAKKTDEKKSRKTPRPFVHSHSQCSSMEKKVFHKSNDDILRSLRRETHDMDRRVPLRKKSRVTFEKPSTRPIDIQIQTYNDSDSELDSSVNSSPSPSLVDQREADRIADGALSDSEVDRQRDRNATPEPHDVTDWKWGELPETRELNKRKKEEEQAAKNASTSRWSSWFWSSSAATEEQKEKEKISQEEQGIPLGELLNSASDPVNIEKYLGKPPSVTSIDSGNVSRGVSQPSSPTSNHDDFTPTTTPPNDKTPTRESVRFGTVTDGSISTAAATGIRSRASSDDIFPLSEDELDENFRPQYMQSLRLSSEKLKSLGLVLGANELRFSITTKFQGTTWCSCNIYLYKWYEQLVISDIDGTITKSDVLGHVIPAIGGTWAHTGVAELYTRIKNNGYKMVYLSSRAIGQSHTTKQYLKSVAQDSKQLPDGPVLLSPTSIITAFRREVIERRPEEFKIAALTDLKQLFPSGNPFYAGFGNRITDVVSYDAVAVPAARILIIDPSGKVKRSDSSGLALSYKSMATDTVDYMFPPLSVHVKDDARKTERLTSAWSKPLEHSNFTHWHVSPKDVLDEELLQYETHRKKLIATQKGRK >CRE05392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:77244:79882:1 gene:WBGene00052849 transcript:CRE05392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-letm-1 description:CRE-LETM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M0B4] MSLRNASKSYQIGRIAYRQIIAGQRSRAFHPQIATHSLYTTNLRYAATDRSKVEYTLKMLREDVQKQDEDAQKALSLMKSDKEVATVKVPLKDKIMHELKHYYHGFRLLALETRVSAKYLWRVLRGATLTRRERQQLVRTVSDLFRLVPFSFFIIVPFMELALPIFIKLFPGMLPSTFQETSKEEEKWRKQVKLRVEMAKFLQDTIEEIGLERKTRNKDSTRSLEFAHFIKRVRNEGGYVSNNELLKFSKLFEDELTLDNLSMGQLRSLCRLMSINSLGSPEILRFQLNMKIRELKADDKQIAAEGGVDTLSSLDLQSACRARGMRAIGVSEERLKEQLVSWLELSLNDKVPPALLLLSRTLYLPEEVSFPDRLKAIVQNLPDGLAETTVQKLTEMEGGQIDHKARIELIKSIEQAIANEKKDEDKKKKAAEEALKSKEEAEKEKELEKEKLVDVAAEAIVNELKEDIATAVASSSSAEMKESQAKAAETKETKKEDIHVDKKDLSHIEEIIVGGPIKEAKHDILGLREKVLEHKEDLMEINSLDGAFAETKIAKRLRHKLNSMIEDVDSMVDKLEDEKRNIREMLIDPAMENSADSKKEREVRIQDVIDSLAKLKESKGEQPDDVQQRERIESLLKAIDEDADGIVDKQLVLEVIELLEKHTDVHVSAAQMASMIGTLKKEDEVAGLTEEIRKVQSGNYQMPILPTGPSSADAFNQPLGVSAASSKTPDNNEGEEVKRKQQVENGTVDIPDVDTKVTTTLQQTSKSTTKNTSDPKSG >CRE05393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:81903:85288:1 gene:WBGene00052851 transcript:CRE05393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rde-12 MSHYQESRDYSGRPTRGNFDGSGRRDEHRDVQRENRNENRPGYNDRPGYNDRAQDGQRFNAQGADHRGHSSHDRPGQNSYSSSSYNHPNDRNEGYQPARQRGPDPPHDQQRNYAREPPRDGGSGRGGHGGPNYGNGAPRDMGQGGGHSGYRQEERRLADRGYDDSRSADRGHNDRHPGDQYNFGPRNEREQPRPYSQNHQGSYGSHDNYNKYPRDNNQGSSPRSFQNDRNPSNNSGMNSYRNEDSRSNYQHGNDNRRGHNDNGFSTSGGRGFHNDSGFGHGERNNGPQRYQGDGNYNANERNSSFRNDNGFGSGSRDNSNRGKFQNDGGYPETEGGQTGTENKEPERAPRDWVPLKRDVEEMMDDTAQKVEECQVSQDQAVEIRNSDIDTRLTSWANSGLHEKILRNLERLKYTQVRTIQAAMIPQILAGYDVIGQAETSAGKTAAFGLPIVNKILELGEAAYNSSFENAAPFALILSPTRELASQIYDSLRLFSRDTRIKVCLSYGQQSRSNSLEEIRNGCHVLVGTCGRIMDLVEKGDISMCELRFLVLDEADRLLQEASRDPVGHMSRILDDREFKRTSETRQTIMTSATFDRNVEAVAQTLMKKLPNESDFVKIVLTNSRLSNRVNLVFHETYGLSEKMKKMKEILSELNQHGEAPKTLIFVQKKKTCDFLAIRVAQYGNLIRSQTLHGDRSQDKRDQYINEFKTGKLNVMVTTDVLSRGIDVTDLERVINYDIPDGSPDTATDTFIHRSGRTGRMHTGVCISFVDPNCENDCRLAPKLVELVKSQGKTDEDLPQFLVQLARENANKVHTDTGFGRGGGRGGRGGSYGSRGGFSGSFNRTSPASGGFGSTGGGGFGSTRGGGGGFGATSGATSGGGFGSTNAARGGFGSTSTGGFGAPRSDGTNGASNDETAPAVVGGGFGAPKAPTGFGAADSEVANEAANEEENKPQGFGSKAAQEAKAAAETLGSTTFGSSADDKKNDGGEEEDDDW >CRE05621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:87387:88887:-1 gene:WBGene00052852 transcript:CRE05621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05621 MPIKIIQLPYLVQKHIIKGMDYTSMFLLSKCSLRMERIAYSLRFNIEKLRYTVLQSRFAVRVSEDNYRYIHVISIDFVEEFSDQGKNSYWFRIGDINIKAKYSRDSEGKCKLEVCRPCRKTIQRMFQQEFERLFRRSPVFTIHTYAHQTLDASISKCEDIRLNGDVDKAELIESILSKFTGVKNICLCSTVSDPFTSNSTIFNVETLIVTKCEPVMINALLSEFTGRHLVLGEAEFDLSKIESFLRRWMSNEAFHNLETIEIYARSAFFDFERILDNIQTHPFDPSKRPAVYSNSSRWFMYYDNKDFNCSEWVDIERHGDKKLASIQVSFQEIRFVVWN >CRE05395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:90850:92609:1 gene:WBGene00052853 transcript:CRE05395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05395 MRIIIETSWDVVYLCGYFSLVVYFQYAIFTTIMYTYMTKLNPSVGPTTFGLAVTFHGVGHCLGALAAAWWTNRRYKAKKPLIAGYVFMLVSNCLFLLADHVPTEMTAPFIMLCRFLGGLGMGNTATLRTTLTAHSMSDDRAKAMSVFIGGRALGLILGPGLQLIFLFFGDTGLQLIGSLHIHSHNAAAFVSIILNLSAIVALFTLYQDIERNGNSCSQRSVVSFSLFPKFRTSILCFPIHRFLIQEDDENWPRPDKLAMFVCMLTRYCQNFTYYSIETLAPAFIMMMFNMERDSAVGIMSIVFFISGVFAITLYSTFVFSDVARKLNIGKVNTASLLIFTIYVLTTYQWPFITTDVAVNTDGTHGGCDYSIYQWCIGLPKTSQEFFYIGYIISFGGCVPFINVGDATLYSKLFNPVGQALEQSLYDVSQIFARVVAPVLCTAIYSSFGPQRVWELLFAQLVVVTALWIAFAHRMIPLRPKPRPVFYLE >CRE05622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:92831:95271:-1 gene:WBGene00052854 transcript:CRE05622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-65 description:CRE-TAG-65 protein [Source:UniProtKB/TrEMBL;Acc:E3M0B9] MHESSNWRGGSGPPHGQTWRNNDIPLQGAHFYSNNQKRFHFTERHAMPTIPSLMDPPEVVELQKKIDELRQRIVDSEQNLNAHRIGMDDLIMDHLKTTIRTSETQKIEQLLIQNNLNVDEMNTYLESMSSSKCSKDLIAQAKKWIFENCTTDQLREIILMFLLNIVKDESSGEYLRLHILYLINDWAFHCQRKKEDNQMKMLTRYVPKMYAYCIELSTSSELSNKLEGKLLGEWEGRKYFTDSVFKMLRNTVQIVSSDRDYERSSYGAARESIRNNLMATFDAYDQQHVTYSQHIKKQIDDLERRIEELRHGPPQGPAPQQSHGPPSRRSRFDQAPSVERSYTQNTSEPNTWRDASIDGEDIDGMPFEEESLKPRKSYLALPAGIMTPLATMDSFKYISLDPEKLEMPANVPPSSRLLAAKNMFHKGIEMDLALADGAYVTLKIVISLSDDFRMAENEVFLKYPLEFIEEKAARKKAFLEKHRSSIDDLITNKPSPEDEELFAKMKTLKEEEQISKIRNSVDAYYATQEPPENLRGESPRRRSESRSPSREGRSRTRSRSASPQRRRRSPSSSSGSSSSSRSSSSSRNSSPDRGRGSRFDGPRGGYSGGFNDRPSFGMRSANEPLSTDNKGAQLMAKMGWGGKGLGFNESGIVEPVSGGEVRDRNEQFMGLGRTLDQYEQFRKQRSGTYHDRGAFRK >CRE05396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:95591:97459:1 gene:WBGene00052855 transcript:CRE05396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccz-1 description:CRE-CCZ-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M0C0] MESIANPLYFFSNLSLNPPSCSNVVRFIDVLEFFFVAHPLSGRKEGEEHKRVMYFHPKGEQLERQSEITGFAEAVVNFTENFLSTSHRDISEKLTESDDGFDFRTVTTQRTEHVYIRTEDDQFMLGVSISKQLSHVSDYPLFQPAIRSILSDAYKMFRMFFGTFSSFINFRTVPDDIPKFKERLDFFFSKYIPLLKVHKMPLLDHLGGVEFLRMSGPLYLNVVSLITELREEFPIIEKVMFLYQDKLLYYQLSKRDLPSLFRYLTHNLLPTTLAPELEHSGRNASKGRYLRGPTDLTTDVPLLGDESLSVVHLHSEQEAEFQDELVGYQMMVYRCLNATVCMFVRNSASFTNGDSDRRNSGESLNVSQSSSIRSSPPQNGVVSRRLLRNVDQFLETELAQVASKIGDEVGDEKLTDATDFHYIYFNPSSLSMTSSLSTSPSLSVAASGSASTSKVPLPPVDVNRLVCDTMSNFVSDTEEFGECFVKSSSDWWIVIKKVNSRLLVLILPPSSYTSSLADVQSKTATIVRSHFEAIFFS >CRE05623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:99532:100968:-1 gene:WBGene00052856 transcript:CRE05623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05623 MCTSAPKSCTLPAQNVLCIEPNSGCRSIELKTGWRKWEKRTAVLVRGDENSESKLHIYTKPLIGQILSLNGLRLCNVTRENSDVITVKLITEDRTKYTFKMKGKDGGLWVAAIMNCSQVALNHDTHSAYNDGISSNIAKDLQDKTDNSAFTGSGEGYKLPPLEESESEKKKQKKEEEGGNNNNQGVKQLDNGGQKSGDKKTQSDKHLKPVPSKTKTKNSTTTTESGKDKKDKTLEAPAKQDDPVVPVQRAHSDTDNNLDAQLKKTQTETPDTSGKGNGETPNKKTVTPISTTPGAALTPTVAGIEGNDEKKKTLTNSDENDPPEKKN >CRE05397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:105516:117564:1 gene:WBGene00052858 transcript:CRE05397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05397 MVISLSVLLIFLLQYTRVTESVGLYLRVETGHQNIAIDGRDVYYGHYTKIYFHNVTCIDAIDIHCLKELTGAEEIDLLYSECQKGHWKVAHYTNSKHHFRLKEPIHALRLLISSRRELSVIDAQVKSCSYPPAPPSGCHRTTRNHNHRHGKTGSILRAVDPYSRLEAEMEAEIEAGARALARGQNPEKEVKRSRRVRRDVEDITKEEKEVKSMSGNQEITEDKVIPFGNHLDVAAGSRWKFHGGVGLIVYGKTSCSVPKKIMILGSLNLKGTSNQPIIMESSSKNEKWRGIEFRNAATSSLTHVNITGADVAVSVRSGTSPDMDHVVVDGNTYGIQISDMDSGSTTHLKSVVSVNNEKTGIEYLGKGSISIDRAVAASNGGLGVFITTDQKITIRRSTSYSNNGTGIYVGTSEVILEDVVVNSNGFYGIHAEIEKRLEMDGVNVSAHRGEFAFELFTTGNAKIGITNSRFHDNKKGAVRFSGQYQSPNNKMLSGNKNPLCTGQGYQTVQMDGPVTSTFTPIIGVTGNPFKTSNGVVKASSEPYLINEEVMINIGETVVIEPGTILDFASGVGITVSGQLIMNGTEDKPIVTRGQNGNTWRGIVAKPEGVLDFKNVVSEDASIGIWIDSQKVKIENGRIVRPAVHGIEITQNSNDVVDLGGVIIEEASESAIGVDERRDDLLIKNANIRNGVGSGIDFMTPTGNIELQNIKIDNMGAYGIHISEFPSSPLHAVLLEDVSVTNQKRGHAGILISGGWIQNVGIHNLKLTDNSVPSLIIALECNDGNEKLIKMDNNTFERNTDIVQHIQLGSCANLQVSWHKNLFESIINIQMERNTYNENNVDGIGSTLVITSENNVNKKNGVMEIVKNNFTNNGGIYTVTLDTNVHDAYFTDNVLTGNANSGAVLKIAGENVKIVTNNFDNKDSKYQIAYSDDSPIDATFNEWNGLDEDEVLKTIDAKEGSVLVVPYGYTTVSVDNQLDPMTTVVPVTVPDSANIKCAHLAYCSRRGTCKDGICICPHGYTGFDCSIPLFCNCSGNGLCNLLNICMCNEGWSGSDCSVPKCVSNCTGHGKCTAPNRCECDQGWMGETCSVTSCVDSNCLHGHCGSNGLCKCEAGWKGSRCQVPHCNNCTLNGVCTRPGFCSCFDDYGGSNCSKCVGDSCGACDFDCNHGICEPLTKTCSCSKGWMGGACDVCATGKCEDSSRIQYIQPSTAELEDLNIVVNVFGEGFSITDNNSYVCTFGRTSVTGRRVSSALIRCPIPANLSLGRHVFSISQPGSFNAIGTSEAKPIHFTLYDGCEPSLCQGSCVGPLCICPQGKTGIFCDVIEVLPSIDKRFLEHQRANTAFEGVPYVLMLPTMASSVLRVNSTIPDLNFIASKGIVAWPDPIGSPYPYEVSVTAFSPAGETTIAWNITVQPEYAVEVKNVTVEGGRAKIRGQLIGPAAASSRKNPVIIRVKRDDTVDEILAESDTNGDVSFDYIPMLPGVYEVTMAHPNVPSDPIQPVRFTIPEINLPIIGESSNSSLSLNISGRENCQVKLIQPKVENAEVEEHGAQKVVNFGRFWDGEVLATVRCEGAPIEIVRAPPIQSKGVLTSTPEVLSVYGNENLYEVKVHWPPEIEFNPSVVISDSSFDENPVVIAEDKYLRMTFSTVGESIGNGTIQVKDGLTVMTTIPYFYAAANKSQYYTFKICVRDEWDGQEGELSSTEAATIAIQNPQRGVDITKTNIRLNVQWADFLLQPGTYNLLVRSELHEPVEQIVELSPLNTSFCVPLISSRSRNLPTIHCSHFTDPASCDVEITSVASADNQLPVFNFQPSVVTSKGQKVLVTPHGSLEGTIGLWNSQVAEIMVTPSKRSVSVNESFWITFSWKNDIMIKCDVKTLQVPFVFLPDSSQSQVPVHSSSSILIRLQDGSNEICDSDNTAVTPATSTLVMCNCGDGARTRCRERYHSATACGGSWNKIADDTVSLEVVASFLAMIFECREVSVDFAELRNSLECVASIESDCPVTSRRHKRDIVQMQNDSPFGIINGLNSISTDFGKVLPVLNALDVQTIRISSVFIEFVDRMQRMFPDEIIKSMDRDTVDRFLGAIADSSDEGQLISEAEKRIIGSSAFSLIQLWNLTVKSWKSGKVPIERLGITHQDAKQLVAAADKIKSISRQNVAQDPFAMLHGYMERMLETGVTEQKECATTTVFIDKTEVEEDGQMRIQVFIKNRANMTLTNVGVTLSFVKSDSKTPVVNFNIGPSWSAGIGSLNGLGTFDAGSSFEIHWTRLISAPRRLTTVAKYQPIIIFSFSSMGRLTQQKLFASEVYVIPKKTIRAINIIKDSLSPKEGTDFSIISAIINHGYTPLKEVEIIINEMKHSESVTPRLDSIFVNGKPTFKTMTPKFSVIPSGSTQLVRIVMKDRDDNIVPISSMNLTCLENEKLLPLETTETYAIRAAGISDFGMLLATPNQSVPLFYFRPSAAQMVNVIKLEFLSMQKINASEPGKRSFVAAFRNPESTSFGGALWARMPVPEVNEMYKLISIVDRGGSRPRELQTGNEMLNFIDPGSLTAAQQMFYELQFSDPNASSPDDENPLFEQLQYRIEIPQNHLEPETEIGKIEALSPEDLTYFLYSPDNNKRFSVDSENGRIFYDSDEPLSNEQEYCVVLEARDSQGRVTRVPVAINNGGQRRDCVLFSTVNLTPMLHFGDYIPIISEPTSPMTTISTTIIDATPKAITESPATSSSSPSAETDRTTSMWQTSESLLTSTVSSIWQTSEGTTSSDWQTSDSYVTTTDTSSSYSPPTSEWTTSSSESSTPESTIDYSTSTSAYLTTSDVDSTSTGTTVTFVDSTLETVTTTEPITPTPTNGTTSIEVMTTPSITTSEPEGTSPEYVTTESTMTTTPSDFPTPSDQMTTSLSSYGTSESTTMESVLSTLSPFESSPFPTPDGFTLEPIPSSTEYPEGTTMTSPDGTLPILTTISEDIWTSTQETPGISSTPEVTRILPTDPTTPSTPITSTEVIYTVSSDGTLEPVTSESSTSSSVTATATEPIYTVSPDTSPETDGTVQPPIRISTVGGEDHYVTSSPAPPTGSVTSSETPGGFTVPAQTTPSDNYGFECGSTTTPLTGYWKIVCEIQDMSKPKNDLR >CRE05399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:120826:123837:1 gene:WBGene00052859 transcript:CRE05399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05399 MILASGARGPGFDSRQCLAGTEVCWCVTRYGRRLTGKESKDEKGCETVRRKQENLALKAAALLREQKEREETCKSVSPGECPLPLNTSITTLTRCLCDSECEAGTKCCPGSITNPNYHACLPSLTKIMNEPISNEINPTISMVCGANEQYSACYSSCQPSCQDPSTPACPAPGCQQGCICLPGYIRRDSSPRSACVPRALCQAYDLTIRCADERRQYQTCGSACPISCATRNQPRCNERCVTGCFCKIPFILENADDPLHSRCILPSECPEIPTPAPEIVEQFVQRNPQMVGTFGNTNIQYPTTPRTTQSPPTLPTVSTTRSFGTTPHQKLPTLIPEQHCEHPLKNYQSCGSKCPASCDRPLSQAASLDCALSCEPGCFCRLPYVLADSKDPNSTCILPQLCPRKSIPPSTASLPATQSCPDPRKEWSQCGALHCSRSCTNPLGRCGAGQCFAGCVCRQPYVLLHPNDPTSRCVLPAECDRGCEDETKEFMTCGSSCPMGCDNRHPKNCAPCQTGCFCKNGLVFENSATWHTSKCIRIDECPAEEETTTEPTTTITVSTTPDTTLPPATTVIAQLAETRGHTFSSDSDLLALKPTVSQSECPATTFDVGGRGCNSDMDCPVEQRCCRPMIVSLGVNPQRCVCPDKHAVWSSCGTLCPEYCGQPSIPVCSGTCSAGCHCAPGFVRARNDVTAPCVPRESCLSSMGSTTTNRMRISPVAASMDEPMRFATIREITPQDPWMDDVIAVAILLTREGTQIGRFTFSQLTTTALRIHGEVYTLPLGRHAVVLHQFGDSSEGCSRVGAPFSKSLSPSLGDITETGKFDRIVDWPVADVVGRAVVIYSFSTSEWSLRTHFGEKPLACGTIGIAKVAR >CRE05624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:119344:120492:-1 gene:WBGene00052860 transcript:CRE05624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-34 description:CRE-HLH-34 protein [Source:UniProtKB/TrEMBL;Acc:E3M0C4] MRRISYFIFPTDKKTWKAVHTKISVSFENNFYQISKYSEKPSKSQAQQRRQMENYEFSQLAKELPLARAISGQHIDKTTMVRLATAYIKLYNIFGQSRRAYSSADYYYGSDSHWTNNHLDLLDGFFVILDRRGDVLYISETISIYLGLSQVEMTGNPMVEYIHEQDINCFNSALNYCDRYWPQMCNVRVKSSLTKRANKDAVRASPGYKVLRLEITMGPNPNTRMIACYPMPTPVLSTVTISSNSFVIITSIDLRVTYADEKAHQLLRNTYFPDSNIKGLSFYNLIDVGDSEVISKMHFDIFNLGAYKTPYYRMILNQTSSTFYVESNIFRHTCISSKQFNDSITFVSSIL >CRE05400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:124261:125049:1 gene:WBGene00052861 transcript:CRE05400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-96 description:CRE-SRX-96 protein [Source:UniProtKB/TrEMBL;Acc:E3M0C6] MSPDNSTVTEKQLAIAGYSTLSISAIGLSLNLFIFFKFASPRQISNGFYVLCLSKSISNSIICLIGLCWVGPAILINHLFLPIFFNKLLGQLNEYGIYLMGPLTQLLMAIDRFLIIFFPLGISDRQRCRVSVFSISLCWLICFGFVGITYKYKCWVYYSLKSLNYEAENEECDQMNLNILSYFCISLAASTITIQLSNFVGIMSLVNTVEF >CRE05625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:125659:127620:-1 gene:WBGene00052862 transcript:CRE05625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05625 MEGISFLLIFSTAMFFGSWIAGSIPLMFSLSESRIRLVSIFGAGLLVGTALSVIIPEGVEALYGAQCAVSHHNHVHENPAAVQVGAIANPAEAKQILENAKDKEDNLPRIIPQNAVLNEAIGRVVRSPLEEDVLNRTKRDAVIETHSNNEQTEKEHEGHGEHKEEHSHGHGNVHSQIGYSLVLGFVLMLLVDQIGSATVARSEFFFLKVFIFSSSFSDDRAGRSRIGISATIGLVVHAAADGVALGSASVINKSDVQLIVFVAIMLHKAPAAFGLVSFLLMESIDRRAIRKHLIVFSAAAPVAALVTFAIIMHMGESMRSESSTGVLMLFSAGTFLYVATVHVLPELANNKQTEYSLVVDATSPSSIGHSHSSGPSYTLKELLLIISGAIVPAILASGHSH >CRE05401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:128892:132234:1 gene:WBGene00052863 transcript:CRE05401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05401 MGFFNLLALCLLCCLASADSDLKCYGADCDVPNYMDDTEQCSGLECESKETLLAMISHYQKAKHEEYLAPLHKPIKDESAVRYFLNEISTGEAVATVSPQCNICSQPGLCNSGQCVPDSFFPWQYFYCVCPDNASGRFCQNVIACKANTCGKNADCYVANHQLNCICKPGYTARKNGRDCDMKVQQACMSGDPHYVTYDGLRFDYQGTCPYVFSQPCSMLPAPYGWFSVRAKNELPGKGYHISQVSEVEVDLHNLTIHVDGRSKTALVNGVQVLTPWYYPNLNTWTVRIRYSGSTFTIENEQGITVTFSTYNSLCVQVPDIPEFNGPTTLCGLAGNIDGKKLDDVVNRNGTVLNIKSSRQPENNNHVDFMKTEDTWITDKFLVLRPGQEACVNGQLLDNNTNCVSTSSILDQSCADVQQAAQTCYPIQQAELGLGVFGACQGLGNDTLDDFYYNCVYDICRNPAYKCTELSYFFQYCQLAIPQQQMNKDWRSQTNCPLACPLNAHPSVCTSSCPSTCAEPFPEICDQGCVDGCECDPGYVVDNTVTGSIKCIRLDQCGCVDQDGNAHRPGRPWVTQNCTIYHECQNGTMWSDYRPCSDDGSCVLNSVNMQCTCNKGYRGDGYNCTDINECVETPGICGHGQCINTPGSYHCQCDDFWLGDNCNSYKPRRHCADLYVYWGIKNTGVYSINPPFVLPQRPKFAPMDVFCDMVSNGGGYTLMSSDSKDLNSNKTYQDYIVGFGTSTNLSVWLGLEFIYQLTNYQPHSLRLNLFRCSSNGRPPLNTDCTYPTFAVRNSTTQYAVVIREACTGSEADEHYYQDGWARWDLSKDGPKFSTYDIEAETTIPPQLRTKVDDEAIYYTCSKTNFNTGWWYVEDQLCGAANLNGVRYTCPDIPVESERYLRWAEGTLGQASMYLRPVGYPKYDTNL >CRE05626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:132686:134601:-1 gene:WBGene00052865 transcript:CRE05626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05626 MIFSFLFCFFVRSASGDAIFECPITFITAESGPIGVIPSNRVGLSVIPAKYDCAYHFLIYPGWALHFSISTHYDQLLGDNITFTDNLGVVHLLPTPNQHIDEWSSAPTAILHIRTLSNTSQMFAIYEFVNVEKKYQQVIIPTGEYLALENMKNRYFTFSSKANDRVALNIAVKNTQDYDTLLEFMFVYDGGDVRNCQMVGRLSNFLRNKKISTSSNVTILNFYDGKSPSYLIANDWSKVSVFSKYKAILTSKNQQTTGELFDASDQGAAYTWICSDCNNFYWTVLKFHKSTTTVSKAYIELRSLSPTHNTSALLNYSVSATLDRNFPQLIPSRMFTMINHYSGIAVTLDTSTSKAMTQRWLRPYDGRRGTIFSPSIWDPKTPPNFSFQFEDDNHKFMFGVNVKEVRIAAAGESLTIKTGPTASDNFSYKEFVSDSTDFWTRRESKGNFMQIAYDGLDRRSMAIVSFDMKQINSGTRDNPLHSIFVSIVCSCFTFHNVY >CRE05627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:134792:138525:-1 gene:WBGene00052866 transcript:CRE05627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05627 MSARFFVFLLFYSLLVNISIADNFVCPTTHITKDAGLTGVIPAGATDQVKVPANTKCTYIFDIPKGFALKMETSTDYEVSPGDSIKFDYFYISPPTLNEIEYAMNTTLPYEVVSVTGNLTFIAKYTYMDLSQYQQVMKPTGVNFNTTLEPNKYYTVKATTDTDQVNIHYGSRMTGFVDNTIYEVFIFDGNDIMNSNYVGRGTQVFGHHYEYYSSSNTLTLINLYNTPSDSLFVVNDASVIENLDHYGVYVLDSDKDLHGWMGLVQEPNRKPDSWYTVICHGCSSFAIEYMLFDDHYDYPNANGFVAISGMTPTQKLPEMLHYPFSKDNNNSFPQVITAPMATFHIHNASIEFLLQSGTQQQDFAASPGTSRSISSPQLWNPDATPSFDYTFSDPLTVFNFSINLQTIALENYGDELDVQVGSVNGLTTLDKKYTKTKLNNVFISGMGRYLKLKYSGLKTSEVVLNFKMIDPSNPDGTTENPGTGGTTNTSPDTSVSTSLGTSMSTRSTRVSTSTTSSPTKSTIASSTASSITSTPVNTTPIPTTQSPATTTLLPSSSTTNMISSSTKVSSTTVLTSTSEIPTSTETMISSSTTTSSPSSTSAILTSTTLHTPSTAASSISTKTQTTSKGDTTAQFSTSTFPRSSSTTEMATSSVFTTEWKTTTFTTPVSTTPRAIKTPTASTDMQTTTTTVTTLHPTTSLHTTVLPTKSTSAIASTSTKQASTSSVVTSSSTQTIELTTSTTSPSTFVPVSSSTIAIKSSTNPTSTTTPSLTPTPTILSTTTAPHTPPTVSTTTVPTSTVRPFTSTLLQTSTSTIPVTTSKPVTLEVITTNLPSTSMERKTTEVQTSTPIVTTSTVVLMNRTNSSPSSTTLPASSSDMPSSTQFPTIQLTTSTLTGKTLPQGTSPLAMSSAPSSSQSTHSKQATTTQSSSKPTSSSTMTPVPVPKTTTDNQRSLATTTKSTTVTNVITTTVTPKPAATTIIVQTPKHVTTAAPIVEELTTQTSTLSTTIRATRTFSSDLSTIHSSTTIGSTQTTSTHVETTTKSALSLVPTGLLVVLISICML >CRE05628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:139700:141550:-1 gene:WBGene00052867 transcript:CRE05628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05628 MLKTASILLFAFQISQVVCFTCPTAPIAATTLGGNLPVGATNITLVPSGTNCIFTFDIPNNYALLLKFSVDFQSNDDTVQLVDNRKVTRNLTHTGSILYDAPIWVSPRSSQVIVRGVSGNTRFMLSYMFQAVNDYKQVKKRTGEHFALNDIDSKTYYTITASSDTEKVVVNHALRAGTAEDTKLQDYFVYDGDNINTANMIGTLADFGSKIIPSTSNSITIVNFYGTRSPSYALGNDASTLNGFSSYTVSVTSLGSSINDKFRDLSDNGGLYTIICSDCSIFYWTKIDFDSFGTFNTGYITFQGQTPTHNREKLIKYDSMTFMDNQLPQMLPTNIVTVKVYLSTVGYNLNTINDDTVWKKPYDGRKGLIFSPSLWSSAANNFNYEFRDDSHLYNFTLNMNKMSFPTSNDQMTLKIGSGTGTPAVNNQYPHDKSSNGIVMSNGNYMQIGLAASVGADVRLSFEMRKVNSSNLIGTLTSIVLVVIYIF >CRE05629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:142271:144156:-1 gene:WBGene00052868 transcript:CRE05629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05629 MLKLALLLLCVVVSAQALAFTCPSARITPANPAGNVPSAATNLTDVANGTNCSIAFDIPNNYALLLKLSGQSGSENDTVIVFGDSNKNGFELTQSSIPVYDVPLWMPAQSGAQVQVVGVSNYSKFFLTYMYQPLNNYKQVTKKTGEYFQLNTIDVNTFVTITATSSADKVIATAGYKNTIVDPSTTNYFVYDGDNINTAKVMGRLSEFGPAIKISSGKSVSIVTFDTKKSDLYAIGNDASTLDGYGTYRVLVTSVGYIIGGTMTDLTGTANGAATTFICTDCSTFYWTQINFDNFSNKGFVTFQGQTPTHKREKLIKYEAMTFTNNQLPQILPTDIFTISVYFTRAGFNVTTSNSSAANWKKAYIGRKGYIFSPSLWTSNSAANNFNYEFRDDSQLYNFTLNMNKMSFPASNDQMTLKIGSGTGNLTVNNLYPRDQSSNGIVMSNGNYMQIGLAASAGADIRLSFEMQKPKSSVSIVGFLSAIAFTFFYNL >CRE05630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:144752:146819:-1 gene:WBGene00052869 transcript:CRE05630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05630 MVTLATILFFIIGTTHAVDFKCPTKTITPTALEGNLPTGTVELTRVPKGTNCTFMFDIPNGFALLVQLSVDFQSINDTVSVTDNTKKTRELNHPGKPMSSPIWFAAEGAQIKVVGVSGNSRFFAVYQYKFLNEYTQIKKPTGDYFALSLLSSKNYYTFTSAIGDKNKIQIVATYGKRTSTETDKELSHIFVYDGEGLSTSKMIGTLDDYGSSNIMTSSRSSMTLVNFYGTKSTSYVIGNDASTVTGYFKYSVIVTTSGSATHGTMGDLSGLVGAYTFICTDCNRFYLTQLDFDGLVIIDSAYIKFQGQTPTHKREKLITYSPSTYTSDQMPQLIPTGVFTLTAHLSKINFKINTVNNNTLWKTPYNGRRGYIFSPNLWNQNTTPNSFNYEFRNDSLLYTYVLRVNKMNLGLASDKMILQIGSGTGNPSVDYQYPRDENSNGNVSSVGNYMTIGLTGSANDDIRLSFEMIKYSSAPSIGIFVSMIVTFYYVF >CRE05631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:147509:149290:-1 gene:WBGene00052870 transcript:CRE05631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cld-9 METRFFVAAVCILFFGNYVEAVDFTCPTNAITRESGVFPKDVLTLTTFPHNYNCNIKFQIEDGFVFQFTLVANVTDGSGESLVVTDALGKQLTYNEGVSTFFAPAKTASISITTKTGGSQFWFRYNYVPVAQYEQTKIPTGTRLSLVFSSKKVYTFVSPYNDNVLINTATVDDINNLDMGMKMIYVYDGIDINSPYVGTLYDYVSTPKLSKSTGNATTLVNFYGTPTNSYALANDYKSLISYDTFTFVVYTKNVTIPKNFADGISNVYTFYCTGSDKSYLTDLNFGSNGHKVDVRPLTPSDTPNVLLAYVSTGPVSRCMPQMIPGRIFTMVTLGPEVSISLSTSADNWLVPYNGRLGYVFSSSLWYPGAGSGYNYTFESAQLMTFTFNFQSAIVHQAGEQIRVQVGRPGYNPISVTFDHSVANPGVRVANGTYLTTSYLGDSPASSSIISFQMVNADGTQPTTVPMTTTAKMETTTKFGFRNGFNLISVFIVFAANLL >CRE05632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:149415:150842:-1 gene:WBGene00052871 transcript:CRE05632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05632 MSPKSILITGANRGIGLGLLKQFLKVQDIQILIATCRDPSKAEELNSLKDSRLHILPLNIDCDESISKLYTEVEKIVGEDGLTVLLNNAGILLPYDVEGKKDRRTMLKNIETNAVSTAIITQEFLPLLKKAAEKNGGEGFSINRSAIINISSTAASVEKIDGTFNGPLVAYRMSKSALNSFAKSASIDLAKYHILITSFCPGWVKTDMGGENALFEISDATKTLAGNILTLGDAHHGAFLNSDLTVIPN >CRE05402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:154970:156043:1 gene:WBGene00052872 transcript:CRE05402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05402 MDMSHVLVIAPLVVFALFFLTVLVLRSFFLMREEQRRDRIRRGCPVGSMSSNDTGLEISCNNYNNNTHHRNNHHSNNNNPNPPSYYAEVMATSSSALYPVYVPPYAAPLMSLRDPRQFQGLPGLAAPPPYPPPPSYHQISSFPELPSAPHAVIDVAQQPRITKTRLEVTPVE >CRE05633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:156342:157874:-1 gene:WBGene00052873 transcript:CRE05633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05633 MSISETDRVAINELREAVKEHLTPYYDTDFNLLRWLKGHDYKLDVIKPKLINHLLFRKSDWDLDALAEKPRDHPVHHHWKTGLTGESGIIPNTIVNIEQTGSNDYWGMLQSYPTNEVLRARVHDLESMLKAVMELEKKTNQQCSVIYIMDLTGIKFDKKTITLLTGGLSAISAFMAEHYVELVHSFVLVNVPAFISAIWTIAKPLLPERTRNKCNILNSDWRVDVLKMANGECLPSYWNDEEDDGPFTAPIEKCVPFPEENYYKGKVPENAEHLSVSAGKTGFVEIEVKTGQTLSWEIHTNGHFAFAIYELPLVPNNSDDISNYTRVYPLFSKIPGPTIVPCLDKIECPSSATYRLWFGNQHAWFHTLKIDYVVKVE >CRE05403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:158236:159963:1 gene:WBGene00052874 transcript:CRE05403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05403 MQRFFLFFLSLFFILPNEAVDLTCPNSPVTSKTSTGKFPSAGLSVFPSNYSCGIEFNIPNGQVLKFIVQTNAISAYGDQIAIRDSVSTLYEMGIGESQFYAAADNAYLWITTKTNTSSFFFTWQYIDVTGFTKIQNPTGSIIPLNLTQNSYYQFTSTKSRVAFHTASVDRTYDLSLIQVYVYDGEDLNSKFLGTLRQFRASTNMSASTGKSLTLVNFYGTPTKSYGIANDYSAVFGYFSYTFFILSKGIDYTGNRLVPDGFESAVTFYCIDSQETYINELNMEDRKNGAQATHFKPLTPTDVFTNLLNYNIGDPISQSLPQQILTNTFTMVMYQCNLYLSLSSGPSYIWTLGAPGRRGSIISPSVWNPRTSVVAPYSTNITTQDKVKFVFNLQSIVVDKPGDKIRIEIGSSDSKPIFVEFNTTDQNTGEQGAYGTYMSTTFTGTTAGARFIMNFKVEGNSTSTTSTTALPVVTTTKSSVTLLNLSVLTILISKLLL >CRE05405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:162013:162341:1 gene:WBGene00052875 transcript:CRE05405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05405 MKFIFIAILSIFITGVLSSTEQSGCGENEIFNECGSPCDKTCAEPFPTCIEMCQARCECKPGFVIHSTTKKCVDIKSVQNN >CRE05634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:162981:165542:-1 gene:WBGene00052876 transcript:CRE05634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05634 MKYFPVLFLILSFLIPVVNCQENDIAWRTFPFLSNSLQLTPDLNKQCFNETSLFIASLQEVAKITSECLEYKNCTLIELTSVQDYVFAIQQLDAFGKLPPDVLDINTFFEGSYDECNRVSGKKYRTSYSYLVAYMGQNISCDSKSHEHLTPRFAVCMPSSCDENDLVEIFNQLTNFPLTACKAFRSDLKPAKGWTFWMFSLFLVSMIFIVVSSTFVDYFREKEIGMSAVSEHAYCKSIFAESLFQVLRVFLSFSLWTNSELILSVREHKPDQIRSLDCLRALTVLWIVFAHIYNFKFPYNNVGNSLDFLQDLSVSRQLILNSYFSIDTLFVLSGVVEAYTFFWNKPKQTTILKPMTWVHFYLRRYIRSAPPMMTFIGFFMVYAKFIQGPFMASELNILTEEAEICERSWWKNALFINNFAGDNNCYRITWLLAVDTQLFLVAPIVIITLFFSMSGGVILIVGGCLFSVSVEYLLFGIYELPADFTGNGHHELFRSVVYEMPWTRAPSFLLGILVGYFLANFTKTRLKFHWSVPVGGWVVASGIAGLCLWGNYSYDSGNQWSVYQRATYFNFSKIGWTFFVSWIIIANHYGWGGPIGNFMCHPIWQPFGRLSYCAYIVHRMAMYWFFNSDGISPHAYSAVQMFLYYAVLGTLISYFFAFFWSAFFEIPVAKIEKIFLKPISAYRRESNPAVETVRVRRGAMS >CRE05406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:165832:167529:1 gene:WBGene00052877 transcript:CRE05406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05406 MIIFGILDFHEFDYKTTTPLFFNQNILLLTTLCFVTHTTFYPSAIRSSSYLCVSSSTYLFIDSSSISLISTSYLGSSKRTRMAHCLHPEKFFPVVKSVALPKGSLTGKVALVTGGGTGLGKAIATTFANLGASVAIAARRLDVLEKTANEIRTSTGGVCEPFQMDVKDPAKVAKAFDEVEKKLGHTPDILINNAAGNFIMATERLSPNAYGTIVDIVLKGTLHVTTELGRRCIQQKRGASVLSITTLYAKSGAPFVVPSAVSKAGVENMTKSLAVEWAKHGLRFNAIAPGPIPTEGAFGRLFAGELKDSGEAMKSTVPVGRLGHPEEIANLAAFMSSDFMSWMNGAIIDFDGGQQHIHHGSHMGQFLHEWDNEKWEETENLIRGRTGKEKKSKL >CRE05635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:168389:178042:-1 gene:WBGene00052878 transcript:CRE05635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05635 MARKSKTADEKTEKERNQKRIQREKKKKEEEKIKPAKPKPNLKSMTKEELREYYNVMRRNSREKQKLAAGIPAKFKPNLKSMTEDERRAYNSAHTKKHREKKKLAASAKPPVSDEAEMESIDMKSENGSSMTGQPEIKSINDISMNTVSDFMDIEPNEPVLEPIEPEIESPNEVVPDIEPEHKSRKRNASDAHCFAVPQTLKKTCIKQKDEESIGRDIKKCKVRIGMINIFEVETDAIVVPTYAEKLSKEELNFEIFQRTFKKMTPEKYSIFENSFNNEWEDDLNNYHSRIFNWGVPKEAGSCWKTIHVKPPVVKNDKFTIISEQHLRAAYMSCLLEADKSDACQSLAFPILGHGACFKKSVAIGLQAIFAYMQAVEHTNLQLIYIVTPFDSVYDKLGDFLSYIREFDLTHWLKKDLYFGYEKYLFDKIKTEVHYATIPGTDMIWRCFKLSTHRKKSNETNEGLLKIHNSMLKQTGIETGGFTIYKESRRKGRVLNTKMIKNGAYKEKVVSPHILMNLTFDMNQFCGSNAILRKLWIISYYQIYFQDNSLAVIDLTSSSSAYKLRKRIFDNQKRMHAVLLNEWKKTFNHAPYVCSCTKENGYHENFMVFTTKFSHPDLFNETWLLDRRSFVFSYSDGLSLESIEKYFPTFMYKEDEKFKSEAVRNYEAMNSLLDDRISSWMDVRAEILERQHQRFQNVLSDHNDVMVGNEAILHDYDPLQFDEELDAGRCDDKYRNHLIQHLRDADDAVRENQSSKNLKLQKYWEIALDINRYELHMTRDAEVERCLRNRLFRMDFSDNLEIDYPRLSTMDQDSDQDSENQDSVGEENSDWYIDDQVVSVDVFIVRFLEKMGYAGQMIRPISPVLFTDQKKDWPKLLDIGDRTNLCRFCAAYLFERETKMPCCQAGAVNIPPLKLLPKEIQAIFQKAFKTRVISTNAAFAMASLHMDRQHQAPGGINTMKVKGMVTAHPSALNPKGAPRYANFIVLQCSNKEIAQQRLETLPGKVQKRLEAIFVDIQTYMDKHNGLYQVFKTMKEIEEEFLKDNNYIGYLNNNQMIFRIVSPGELDDDKFKELNAHHGVYARPSRMGDYVAVAYTHDAGKTTMLPKGFDVYPRNPADLKKPLRPITSYSDMCDLMCYPLFFPDGVGGWALRKYKRFVGKKSDRLVYEQRFKKQIEDIEERGEHPEDYFDFDDPSTPIDLRELYRRVKSKSQIEEENENLESDATDMNEIGSDESDILENEDPLRFLSNLYKSPSPLFFRYDDEQILAYGELEDMQNDPTGVETGRQANITRDDRDGEWYARIDRDQREVDIPLLDIDPFGSDDDRNSDSTEEAPYRSRYDDGTDNYNDAPSLSPIHNSESSDNPVNEPSVTDDNANISFADRSFNDDSDNGQNDDAPFNFEGPEADDMDNMDDLDVPSDDEGMNRQSVVNEIDDGNFEDFEMEDVCYGDEHAAESNALGRHNDGRHVKNLGQRTHTSISETVYYNIQDRPGIESRYQGKAGSLGQLWVIDTAFRAKEMRMNAIAYNRLEIPRATNKSAMMKTLAKMVKENYRGLLEIGSLVTIPSSVPGSSKYQRELVMSAVTIANRLGPPDLFITYTGNPEWPEIKRATMMKACKWADIPDIIVRVFDVKSEVYFEDVLGKKKKMSSMNGKVVREVGMFGQVRWHNYSVEFQQRGMPHIHQLVCLEVSITTAEQVDEIISAEVPDFPTDTNSPNYEDDLRYYNLVRDMMTHAPCEHDNDAYCMKDKKSHWRTCTKGFPKQFSDETVLCDNEYPKYRRTRKNVFIFMRKGRRVIAGSDYVVPHSRKLLMKHGCHINVEIVSSLKSIKYVFKYIHKGADRILLEASEKNVKGSKASDSMTLDGCVFVPKNLNQAKVRERQEQAIRTMKAAGVKVTENHIAINDCTYMLDLSAMTAPEAIWRLSGRHMHGSSHIVNQAFIHEENKEPMYTVRGVDAAKAGRMCQEKSKGMMNAWFEANQKPDQITDDISTTDLTLSEMSSYYKFDTKAQKFILRERDYSHRIIGRIQPPQPRFLERTATRILAEAVRGPTCWEDLRSYRGTVYASCLEAARARGLMNGDTEWDLALTEIAELRIPVECRRFFASILLNCAPSDPKNLWTLHWKDLINTNNTWSDAQRIAHALRHIQFLLARHRMELSDFELGKEYDEDNLPNFRPEDDVDNPNIVHLNRNEHKEKGREMFKKLNKKQEEFVTGVLKLVNVVGKSRMVYVGGAGGTGKTFCYKTIHHILMSKKKSVACVSHYGIAACLLPDGCTAHRKFSIPLEVVERMVCKVDAEGSEAAALRLLDCIIWDEVCMTDRRILHAVDDLFRILKGEADIPFGGVLIIMGGDWRQILPIVEGVRGHGVSDYTLKTSTMWDKMTKFELTENQRAINDPEYAKLILHIGNGTNYVDEKRQMVHIPEQFVERAGDKALADWVFPDVNKIEETKTAALLTIDNKTALRMNDFILDKLDGVVRTFFSTDTSDKTTGFTADVSVFQTVTPSGMPPHRLRLKVKAQVVLLRNLSVEQGLCNGTRLTVEAFGNDVIFCSVNTPTSKSPKTVYLHRMIMCPTGNGANSCGFRRLQYPIRLAYACTINKSQGQTLSRCGLLVHSAVFSHGQLYVAMSRVQRAEDFRMWHTKRVTEGYDNIVGGGILVRNVVYRDVLRDEPIKTTLESLKTTADTLLVTRTSPTKSIDSETSDETDMAPKSSKTTKSSKPSTLQRFFKIFNKKPVQKSSDVVSNVGNNSGAAPFAPQRLDLPYLLLDTDGTDCFINTIVNILYNCPEVREKYVNCQHPNMPLGNILGRIFRKETFSAREWRQTLPAEFHTGQQDLVEVFDMLMRALAVEDGTTIQMEHAPETKCRSCDEEPSYGNATASTHIEVQMLEDANFEDLFNDIYEMRHLDTPCTKCSAKDMWTEPRIIINGSQIFVTVIPNMKRFWDLNVNTVVSMFGEFYQFQAFAEYSSSDGGLSGHYQAWVRGEDGMVCISDNKKKHEQYDVDLENYVATLLAFVKI >CRE05407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:178647:180248:1 gene:WBGene00052879 transcript:CRE05407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05407 MKILSSFNIFIVLLLVIPVTVSLLTSGLGFGYIEKEDDSRETCEVIDITMEFGDAHVFLENLQKKCAARGRINKTSAPIKTRKGRAVILAVGGVVAGATALTIVVIDHLRNEERFNSIKKQEEIHKNITQLINNKSHLTQDLMMEMEGQINNNTYEHVVNTIFGTGDIEVVTSFFKVNLSEILHNMGFDDRMGLDAAQKLSHKLVCGHTSRHYQLQICGTENPTRRFGEVKLVAPPGNYGNKGTMYAYYKVPKLVVFTDGGPVSAISCQPFGNYYNCIESKGNCGYNTYKSCEIKRVHTPNSVYVTELGDATVVASAVDHYSVYENGSDIAFTDHKFPASGQLLIRAPHTTKIRIGNITIQGRHDFMELKEVHVSEQIPQLDHAKLEEWMNDDDAIDKAFSDLEKERMHANVEFEWGLDAILHWFKSRVAEFISALKICAAGAIVIFIIYCLRCCYRCSKKATVLPN >CRE05636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:182387:184337:-1 gene:WBGene00052880 transcript:CRE05636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05636 MQFQDPSNLPSAAMSFNIENESIVCQLHHRSKHNNSVNVVCELSEKIGSPQIENGEWVVPSDVTGLNGKKSKVVKIRFHPSVSQMSSGDAALHQAACESALKYLDLLLEESTWTRKSDQEDQLFEKQLDTIIEHLGEMDKVVEFETSNVGLSFLHNEHFDDCVKLISCKSISTHLHTVISNEDDAHQSAQIIFEKDGRSRKLISLDFDAGYLKSSESGQDCVFELSKTCFTWVLPRIEDEVENSPPNVSQKLDCFMWVGGNKLEMPVYSPCASAFHHLEKLSSSLLSINSCISPKANGVLQKVPQALAVDAIVGLDTVEAPHLHKFIQNITQAPLMIHTDFDHEALDIYSDSESKLTISPTDSVDSGVSLTSPTRQSSFDDDDGDDEMSVSSPVWRGRSRSESCSSLKGILKWPRRLNPMSRLAAKPHLMRSYSECHHDDSAYHPVMPFRFSEDDEDAFHEEDDDSSDCCVLPAAPRKKSVSFSERIEQTRLFNSNSSINSQKRKNLKKNEKKKKRENSLASSIESIHEESGRISPATRHQTV >CRE05408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:187022:192252:1 gene:WBGene00052881 transcript:CRE05408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05408 MDNNQRSVPSSPAPGHGSSGYYSQQDTLHHDERTTPPTAFHFPQAHQAQPAPVMGQPPGYPMQAHVLVDPVTGQHYIVPQYYPPPMYPYPAAPQPHHPAAPMYYPYGPAPSHAPVPAQNVAPPPTTSAPSNPTNPSESTSENNVSTNKFLKISVNYMGLPMYNPPASSHEKKDDSEDTMSTTSNISRSSFSLMQNQKTPVSQQQQQQQFGRENSREYMYLHRSPTTNPAPPSPLMRPKLFHERLDTVADPRGGTQSDFEGKAPLMRQKTNDHPNSSASDHESTKRFSRTSFGTPATVQTEEFVRVPNPNPPAKSVRMEINFADVPSMPSEPKPAKKSNATAFTVNLGEEKEVSLQEAARTLAENRRKVKLAKSTSHDRTPSTPEALAASKTNKNYLLERLLTGKTGTTTENSNEEVEVSPETPEEHRDFDARSEALTFVIDTSRRNNAGGYGGQTHFQQQRVVQYSDEEDDSDSDSEFMEQYSNTQQSKTSQPLQTIPPPSQPQQTHHSPVQMPLSSSRPSALPAPGFSRQTSTASTLTTQAPPPALTSPRTPSTSASSTPRTSSRFDRSNGGSSDFLQELAKLRMMAGLPAAGPTSSEAEQKAMMMAHQRPTTTFRRSEVSSSARAPTVSSSQKTIGLGERGGSRASFTGKTKETNTTPTPSRRSENRRSLTGNSSPQLSNLARPPFRTGAPRGIMIGQSEQQREQEMTAWLRRKDYNPMKAAAAGKKPSSEVSREQQFQSRRSMTFHNTDEVTSPFGRAASGMVAIRPPPRNRSQEPRDINNEKYRSVQKDQRLKRTVDMLSQKCKKSIELIRIQNKGCLSVSVEDLLSAAAEEPRPRETLDEQLDRLSDAFDAVQRYLEQYSLDGYHSPTSDDRYFDDDMMESKSTFSGVSTHQERNNVPSTESLTSSYRKKILDS >CRE05409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:193019:195549:1 gene:WBGene00052882 transcript:CRE05409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-32 description:CRE-TWK-32 protein [Source:UniProtKB/TrEMBL;Acc:E3M0E7] MCGGALLSSGLCRFSELTPGQFGLTKQLVFRLALPHITLLVVSILYAVFGGWMLTLIKYSQQTTHQAIMFDQTRQSFAKKLAGIGDKADFETIVNELVEKTYEFYTMEDGHRWQEAAFNSNPLTNFTSNLFFAATTLTSIGYGIDAPESLVGRVFCLVYLFFGIPLYLITIADMAKFCTELMNRTYTEIIKYKYRVKRRYKRWKSGRIRRESMKVGQVIIAGGEDEVAEFLWTHLEHAQFVEVPFLLVIGILLLYIGLSSWIISWVENWNMTDGFYFVMMSVLTIGFGDLVPRNEIFAVPILFIILAGLVLTTTCVDVVGAYYIDRLHFFGRRLDDDPLSWLKEVQQRRIEAMKKEAMRKLFETVTALHHIRLTAFKQLTQNYDDHLRSKAPDPPRHLVASHATADSVMLRWSAPIYVDEGKRYWYTITYKPRTPQRRNNVVAVDFINKDRYLVTGLKSFTLYEFSVYVTTRYGQSVPIKVQEYTEPCTVPQSVRIDAISDETATISWRAPKMNNGPESYIIQFVQEPAPQFVYWNKYRVGSSTRFTLTDLHADTRYIICVTAEHNHGLAAMSKSMRFRTKKWWGDEDSTCLRIPGTSHRLSIASAISTLTALR >CRE05637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:195910:198498:-1 gene:WBGene00052883 transcript:CRE05637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swan-2 description:CRE-SWAN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M0E8] MNRVFQTPRMLDQSQASSSSSASHLMHHHHPHNYPTYTNPSSTSVHNNMGHPNISNIPTSAVREMDPFNQLPPELYGSTSSSSVAASSRQPPPQIPMQPPQPQQYRPMQSDLGASTSTMHSVQQPIDIYDNFGNASTSDPRRSSVRGDPRRKEIYAYNAPFTLFSHGWSAATDPSRKFRLAVSSFIEEYSNKIHIVQLDEDAGELVHRSTFDHPYPATKIMWIPDQKGTFPDLLATSGDYLRLWRIGTDNNARIESLLNTNRTAEYCAPLTSFDWNELDMNLIGTSSIDTTCTVWQLETGQAIGTTRPTAAIDGTVRTQLIAHDKEVFDISFSRGSSQIFASVGADGSLRLFDLRRLEHSTIMYEDPQRQPLLRLAWNRNDHNYIATFGQDSKEVLILDLRLPCTPVARLRNHEATINGLSWAPHSGSHICTAGDDFQALIWDVHEMPKPINDPILAYRAQAEVNQIHWSSSFPDWISICSDNKLEILRV >CRE05638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:199426:201391:-1 gene:WBGene00052884 transcript:CRE05638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swan-1 description:CRE-SWAN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M0E9] MSTNGHVVNGDAQVPPVLAPPPLGGLGRGNDIVIGARDRRCEIYRFNSEQPLYASAWSNKNDIKFRLAVGTITDVGANPRAANKVSIVQLKDDTGELVETTSFPMEFPANAVGFIPDLENAYPDLLATTSDCLRLWRIVDGKAQADTVMHNLTNAQYGSALTSFDWNEVEPRYIGVASVDTTCTIYDIEVGAAIGATRPTAPFTVKTQLIAHDRPVHDIEFSKINGGRDHFATVGADGSARMFDLRHLNHSTIVYEDPGKEKLQRLSWNKQEHYFLALFAENSQEVQILDIRMPCSILCRLRNHNGPVNGIAWAPHSPHHICTAGDDSQALIWDLQHAPRPVEDPILAYSAGGEVNQIHWGPVHSNWIAICFNKTLEILRV >CRE05410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:206571:208029:1 gene:WBGene00052885 transcript:CRE05410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-24 description:CRE-CEH-24 protein [Source:UniProtKB/TrEMBL;Acc:E3M0F0] MSEKESPSPKLENKKEKNEQDETGNKEEKDSQDDTAKKLKMKDTSKFTMNSILSPLESLARVQQQLLKMAAAQSGFVGGSGGSSASANGFPYGPARLAANYFGGPIPGYNGSQGNWYNGNDARFAAAAALLPCTMDPVRSAINHQFSMSSMSQRRKRRVLFSQAQVYELERRFKQAKYLTAPEREQLANSIRLTPTQVKIWFQNHRYKCKRQEKEKAMSGLGQSDDGSSPPPGDDDDDDKYSIEMDDKDDEEEATKPILKSSGVFGLPYPGPANAAAAAAAAAAAFNFSCSAQGPNPAYYMRW >CRE05461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:398113:401957:1 gene:WBGene00052886 transcript:CRE05461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05461 MDLIKKKFEKKKKKNAEKKKKEKQYQKRSNTQTKTTGKKKKKKKKDKKRKRNSRSRDSSSSEESESSDESQKQLKAVQSKLAIDAANIDKKYHMTGALIKKPEDPPNFARKLLEVEWKEMIIDPSLTNDVVKTLDVYLKNQAELKSTDYIIIAEKTDDYDKKETSRLKILTKYDEGRFSAIYMVQNESAVDNDVVSVGNGRLMMKTGLRQLSSRQIINRLSREINVLKTLWSKTNDLPQRIPPLYHHGRMIGVPFYVTNIYDVNLEKCREQMGGGSFSVQSAFHIAQEIFLAIKFLHRRQLVHRDIKPTNIVLSYQNRDHWYLIDYGDTISVGKNSALSPPDGITLPFLSLEAHDLLNTNSYSSFQQDTESWFYVLVDLLKPLPWRNNNKIEQVATTKREFLKNIPNRQTEFPAAVIDIARVLRTDTPPVYSEISRKITEGLSVSRNTSSGEKWIPEWYDRAATKKLKEQKALRRKKSREVSEAETTATITGDSLETQVADKKDKSVYATELRPVDQQLGNLNRKKVSTPPPNPGSTTNRMAVMVDDEEPQRRSPVQKQQERSVYFTDIPIISPDNGAKKKYRYKPRK >CRE05411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:215231:218068:1 gene:WBGene00052887 transcript:CRE05411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sel-10 description:CRE-SEL-10 protein [Source:UniProtKB/TrEMBL;Acc:E3M0F3] MWPRNEIPMEDGSMTPEDQEPVTDNDMEFDVNSEENSYSNGSGSSYSADKLSSPLQHKLDLSASPTRNNDINPRVEHLIALFKDLSAGEQMDAFSRLIPESTMANIRQLRAIIEPHFQRDFLSCLPVELGMKILHNLNGYDLMKVAQVSKNWKLMSEIDKIWKSLGDEAFKNHPPPSDRVRGAWEATAVAAGVVIPDHVQPCELNIHRFMKLQKFGDIYDRAPDKSRYLRADKIERNWNSNNIMGSAVLRGHEDHVITCMQIHDDLLVTGSDDNTLKVWCIDKGEVKYTLSGHTGGVWTSQISQCGRFIVSGSTDRTVKVWSTADGSLLHTLQGHTSTVRCMAMAGSILVTGSRDTTLRVWDVETGRHLTTLHGHHAAVRCVQFDGNTVVSGGYDFTVKIWNAHTGRCIRTLTGHNNRVYSLLFESERSIVCSGSLDTSIRVWDFTRPEGDECIALLQGHTSLTSGMQLRGNILVSCNADSHVRVWDIHEGTCVHMLSGHRSAITSLQWFGRNMVATSSDDGTVKLWDIERGFFLPGALIRDLVTLDSGGNGGCIWRLCSTSTMLACAVGSRNNTEETKVILLDFDSPYP >CRE05412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:218758:220470:1 gene:WBGene00052888 transcript:CRE05412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-31 MKFRSQVSLIFSQTIRRYSSSKLKTPAYKMESIEPRLLKIDNEDFRALFTPQLLKLRDLFAKRNYEIRIAGGAVRDLLMNIHPADVDFASTATPTQMKEMFEEENIRMLHKRGEEHGTITCRIDEAENFEITTLRIDVVCDGRRAEVKYTTDWQQDANRRDLTINSLFLDLHGNVVDYFGGIADIESRRVAFVGDARQRIQEDYLRILRYESQQFLIGSNSFRYFRFFGRISNTPEHEADTIQAIIDNKDGMAGISAERIWTELKKIVVGRMADIVVKSMIEQCQLQKYLGLPENCNLNRFQKVFTRFPKCVEPMTMIACLCEEEEQIALFHKITKLSNDERLLGEFILRERNAAIENLDNNDWWIDRIVELEVRPGHENQLDRLRLRLVQLARSVLADEERIQMIEKFVAPQFPITAQDLIDLQKVRRGPHVRNVLFYLLDLWKASRFTETRETLLEHAGDADIPDLSKDKKSKSPKR >CRE05413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:220840:222934:1 gene:WBGene00052889 transcript:CRE05413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nrf-5 description:CRE-NRF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M0F5] MARRFGLFSVILLLFSNGNIAENSEVSHQSAGIYVRLNQKAVDYVADLASDALPAILNNLSPPDIVTDIATISKLHISNVAKPNISAKFINDKGVAYNITLASFRASAFAQISVFLWTYEGDFTAELKELSIQSELHFEYNGTTTVKAPVCNVTHSELSLVFPPGSSLSALQSEIKGQIVSAIRDAVCSTAVEALTFVMAQKPIPPESPTYQKPASGDPNGFSVAELGASLCQVDTVNGKDDSAEEFETITTPVSDDNNSSLTGEETPRAYWGIDLSVNYPPTFTDEDMIIGLDGGILFNGWKADSARQPQVLNKTRLDKKMVGILLSEYIPNTLFHHIYMYDLGNFRHRYTPTALPKILQKLSKAICSKCYIEVSANLTEQPRLEIDAHLGARVQLSGNVSIMFHGREQLHDVLTANTKLHVTLKPTVRHSRIFGDVSLTNVDVNVFDLGLGGPLAAPIEKLFSFVVPRVLWPQVKKRLRFAMNRRGVKLPIFCGVELEHTELDFVNHAILLNTDFSFDLPLFLAKFKKYLEVKSKMNPNLPKYVIV >CRE05414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:223214:224602:1 gene:WBGene00052890 transcript:CRE05414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-165 description:CRE-STR-165 protein [Source:UniProtKB/TrEMBL;Acc:E3M0F6] MFSFIFFRFSDISSYMSVIEMSNYERLKDLVQWLSFFFSIFFNSVLILLIVTKSPKKMGNYRYLMLYFSCFAIFFSTLDVIVGPFIHAHGTSACLLMRKSESEVVANIQYILLILLCSCFGVTITFFSIHFVFRYFALERKGRISYFDGEYLFIWFSIPIFCGSIWGATLHFLVRNNDETTENLRASFLLHYNLETKDVIYTGASYWRTNENGKMEMVITSVIAACIFFTIKGASFSIVCYYGYLSYRRISELPNEGDSAFTRSLQRQLYKSLVIQAAIPILLMYLPIGTFLILPAFNVNIESFSKLATLFYAVYPAVDPLPLFFIIDNYRIALRGCFYGCFSVKVNRVSVTEDDG >CRE05415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:225543:227072:1 gene:WBGene00052891 transcript:CRE05415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-129 description:CRE-SRX-129 protein [Source:UniProtKB/TrEMBL;Acc:E3M0F7] MSPGFYWFAISSCLITSIFGSTVNFYLFYKFLKRDGKANGFQKICLVKTLPNFMICFAFLLWVVPVTALDLTYSQLPYRLNSIVGSLAGSWAYLFSQLTTQFHFKINQFQLLSYKLVSRILKRVPVTNISIGSSILLVTCVCSVGLQETCGYVYDPNYFTWRPEGLPCADKLSEVILFMIFSITFTSNAFNAFTGARLLVNKMVGMNREEASRRRKRWMIMFTQSVIQDCLHLVDIINATYIWKLSEELWFQFLFLTLSFIIIYTLDGVVMFIFNSDIQPAWFRKVIQMQPARSSNVIIVASKASTSNTNQMS >CRE05416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:227536:231059:1 gene:WBGene00052892 transcript:CRE05416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-128 description:CRE-SRX-128 protein [Source:UniProtKB/TrEMBL;Acc:E3M0F8] MNQIPSSTTEKTPIRDQIIEEVPGFSQQFFISAISFCFITSFLGTICNVFLLIKFATRSEKSNGFQKICLIKTIPNIIVCISFLLWAIPLTAFGYTYDEVAYWINSLVGGMAGTWAYLLTPILQVSMSCNRFYVLYFPFGMKPVKKLPMTSLAIVFAIISVTIVTMFTMPKGCGYVYDPEYFQWIPEDDECAANFANGIMFAIFAITLVSNSFNVATAARLLFSKMVGMTKKDSSRRRRRWMIMFLQSVMTDCLHLVDIINATYIYKFNDELWFQFIFLTMSFILIYTLDGFVILIFNQHFLPKICRKNGKQMSVMVVTSRISFLWVHFTIKIIQFQASLIGCSTNLYFVFKSLVRKSSSNGFQNICMVKTINNSIICITFLFWVFPITLSSYTYSELNYTANRILSGISAMWAYILNSFLQVCLACNRFYGLFFPFGIVIWKTVPMTKLALAITITFTTILSIMTLPAGCGYVYDPSFFLWRPEDHECSKRISVIFPYVILGTTITTNLFNIAIGVRLIVRKISGIREEQAKERRKRWMIMFIQSVIQDCLQLFDTINSYYIVLLSEKVWFQFIFVTFSLLFIATLDGLVMFACHTDIHPKWIKKFKAKSRKATLVPVTFSGHSLNN >CRE05417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:231621:233941:1 gene:WBGene00052893 transcript:CRE05417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05417 MYQPLIVAAITFLITTLGAITNILVFMSAQRMSSMNSSFGIITKNQAVCNTIMCLIFLLYVFPMQLSDVVFLIKYSHFLGLAAMSIYEISNFLHLLIALNRFCAIFISSHYEKIFSKFGTNIMIQVIWVVALIMCSIFYEFIGCYFSYDEISWTFGFLGTEKCTRLTWYTDFALNTVLIVLTLFINLLTAFKAGRHSRSLMNAAGIKMSKRQKQRELGFIKQTFFQGISMFAGQFTYYLIAPLLTNPILLFLVASLWAFMHAVEG >CRE05420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:236112:237102:1 gene:WBGene00052894 transcript:CRE05420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-133 description:CRE-SRX-133 protein [Source:UniProtKB/TrEMBL;Acc:E3M0G2] MILHCLETSIMFLVSLLGFSVNTFFFLKMSSIRNSMSSFQKLCFVKGLSNALICASIIFWAVPLSAFLAKTENVWRNLNIGISELTASGGYIFGPLCQILMAANRLIALYLPIWRMKIVMVHFHIFTQKRMFQRKVKLAMVANNIQFSPRQN >CRE05421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:237321:239965:1 gene:WBGene00052895 transcript:CRE05421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05421 MNLKLHSLFLMLLTLKIAFGEITEETERIVEDAVLRALDSLTANVDSRQEHSSEQIIVSQQANSESKIAQFTGEVLEEATRILVEEFGMDILPMANEVINKWKNEEEEAISETTTQPSDSIRSKRNAVIRPKRQANNRRRRCFSPPTDCNNRFHTTTRAITGLCNNRQNPELGNSVSQLRRLMGGASYADGLGRIRTRSANGEELPSARLISNTIHDDRANQVFSPSINHLHMIIGQFIAHDVIFMPSSVARDGGALDCSACNAARRVSANCAPIPVPRNDPYFRTPCMRLTRALNGQENFGVRTQIGQNSHFLDLSTVYGSADCEAETVRSFQDGKMLTFDDLGYTLPPQNLNDSNCQSFAPLHCFTCGDFRNSLHPALIPGAHSYIHTVFIKEHNRLANQVKSARPRMSDEQIFQLVRKIMIGMWQHIVYNEYIPKYLPQRTIRNFGLRPLRNGVHRGYSASQDPSITAEYAGAAFRFGHSQARFDFPRLTEEGRPAGNFDLGHDIFYADQNYLAGIGGWEPVMNGMVRMPAMKSDRYFSFGIRNQMFEIRGRNGSGVDLVSINIQRGRDMGLFPYVQYRQLVGLPQVNSFSDLNTTMSRENIQALRNVYSDPEDIDLYVGIMLEEPLAGGQLGPTASFMIGEQFKALKTGDRFFYESIVEGTDNFTQEEIDEIRNKVSLAKLICTNMDFAERINSDIFDHRSRQVECSSLREINIEKFLR >CRE05641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:240168:240654:-1 gene:WBGene00052896 transcript:CRE05641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-21 description:CRE-TTR-21 protein [Source:UniProtKB/TrEMBL;Acc:E3M0G4] MTSTTTVLLLSVLLSSQLAHAVLNVVGSTQTISVTGRLVCQGQPARNVLVKMYEDGTVWDSKLDSTKSSNDGTFRVSGTYTKIFTLDPKVNIYHKCNYNGLCSKKLTINIPDYAVASGSGSSTNYDIGTLNLANQFSGETTDCIH >CRE05642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:240984:242139:-1 gene:WBGene00052897 transcript:CRE05642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05642 MKRLITSQFFKNKTYYVDLHCASVYGMSFLSGNIFIERFQTGTIGFVLNLILFIKFSTTKKKQLSSFQKLCFFKTIPNILTSAFFAFWASTLSFLGKTMNDIPRIENLIETQIVGGFCYFFGPLIQVCMSVNRMFVIYFPLSNPQSSIFSFTNFSLLGCFILANVHIIISIFTNCYLVYDPEILIILPERLEECGHAMDRTVFVAIAILSVISNGFNLATFLKLLKDKMNGISEAQKTKRRKKWQSMYIQSVIQDFIQLIDIANYNFTSKLVDAVWWTFVFCSLSYASVYAFDGMVMIYFHTNWNTCNSNKVSPKKSSIVVSGVQSSGLRSGINNNVIAN >CRE05643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:243520:244558:-1 gene:WBGene00052898 transcript:CRE05643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-134 description:CRE-SRX-134 protein [Source:UniProtKB/TrEMBL;Acc:E3M0G6] MILSFVITLFIVSLFSFYQRNHSNFQVGLIGVFFNSILILKLMTANKKLMNSFHMLCFFKAIPNLIISASFPFWICPLTFLDYKVDSIPRSLNVFVAEFVGLFCYYLAPLILICISLNRFAALYFPFSKSSSYQFRYTKLLTFGCFVLASGPVWIPKFFDCYFIYDPELGIFMSENVEKCGRIMDSFVVYSIFILAFTSNGFNLMICAKLVKDKMTGISEDQKLKRRKKWQSMYIQSVIQDFLPVIDLLNFNIISGSVDSPLWYFIFSTFSFVFIYALDGAVIIYFYSNSRVCCFHKSSQKKTSTFISGVQSTA >CRE05422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:245245:246717:1 gene:WBGene00052899 transcript:CRE05422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-136 description:CRE-SRX-136 protein [Source:UniProtKB/TrEMBL;Acc:E3M0G7] MATIVFLIVSNFSLLIVSLSGTILNMYLFYKFSTRRGVVSGFYKLCLVKTIPNAIVCACFLFWAVPLSSFRVKNSRVPRDFNVFIGQLAGAGAYIFGPLLHVCMAANRFSSLYFAIQIIKADRYPITAVFILIAFVIAVVFTVMGLPKDCGFLYLPETLEWLSEEADCAVFQYNLLLYSIFGCAVISNSMNLVTAGKLLLDKVGGMSVTDSKNRRKKYLINFSQSVLQDCLHVFDMINSTYTWQINPAAWFQFLCLSFSFVSIHTLDGCVMFYFHSEIHPRWLWRKAMRKKSLIRVVAMSKVSTVS >CRE05423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:247102:249111:1 gene:WBGene00052900 transcript:CRE05423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-135 description:CRE-SRX-135 protein [Source:UniProtKB/TrEMBL;Acc:E3M0G8] MSVLFHVIAVISMLSISSLGLAVNIYLFQKFSNKPGKASAFHKLCLVKTIPNSIVCASFLLWVVPLSVIQPDYQNIPRIINVFVGQVAGFGAYVTGPILELFMSLNRFAALYFATRRLRVNDFPLTGIAIIGAFGVAVLYTALGFRTDCGFVFYPSTFTWDKEYTNCATGMSTLIFYTVVAVATWTNSLNVATAFKLSIDKVGGMSQSDAHRRRKKLILMFTQSVIQDCLHVIDLINSIFIFKLSDALWFQFIFLSISFLLIHALDGFVMLYFHPEVHPNFLRGKLRKTSSKIVTIATPTFHGDRKITAIQS >CRE05424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:249562:250959:1 gene:WBGene00052901 transcript:CRE05424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-137 description:CRE-SRX-137 protein [Source:UniProtKB/TrEMBL;Acc:E3M0G9] MLALFPLLAVISMLLTSFIGFVVSVSIFLRFARKTGEFSDFHKFCLIKTIPDIFVCGAFLFWVVPVTALSLTYAKVPYLPNVFIGQIASGGAYILGASIQMCMAANRFFVIYFPFRQHKTKRSYATYCAITVCLGLAVTYTLLGLRKLCAYVYDPEIFGWRVEESECADQMTTLIFWSIIVLAVTSNTFNIATAMKFLCSRVSGIRQADAARRRKKRTWLFVQCVIQDCLHLTDLVNSIFLFQLSEAVWFRYIFLCYSFLAIHAADGIVMLCFNPEVQPKWFRAIGNSRHLSRTGVVVVSSHHSIPREMTNVA >CRE05425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:254796:255993:1 gene:WBGene00052902 transcript:CRE05425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05425 MNLNDVVFPLPSFATFILFSVSSFGFVLNFYLLVKFIGRKGRWSGFQKLCLVKTIPNITVCASFLFWVVPLSLLQFQDVPRFPNVIVGQIAGAGAYIMGPLIQLCMSGNRCLVLYFPLKQSIVDKRSSTNLAVSISIIVAVIFTLLGFPEECGYIYNPTVFTWSPEPFACAEFQLDVLMYSVFTLSIISNSLNLITAVKLLCCNVRYSSRSDALRRRKRRVMMFIQSTVQDCLHVVDLINCTYIYLLSNNLWFQFMFLTLSFVLIYSLDGLVMFHFHPEIHPKFMQSRRTSVASKPIFTVVSVIL >CRE05644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:257734:259523:-1 gene:WBGene00052903 transcript:CRE05644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05644 MFLSIYVLSMLFVSVIGVLINVFLFWKFFKKDRGGGFLTFCLAKTVPNTIVCSAFLFWVVPITALSLDYKHVPRLINVFVGQVAGFGAYVAGAIIEMFMAINRCSVIYCPSYNFKNANYVVIFVFMVSMVYVLLGLIPTSCGFVFDPETLLWRPEETECAVLMEDIILFTIYATFVVSNSLNLITFLKLCFGKVEGISNSEIMRRRKRRIMFFAQMRNGDYNITLRRFDEYDQTKYTVYGTFLFFPKLLEITAVI >CRE05426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:260679:262498:1 gene:WBGene00052904 transcript:CRE05426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-175 description:CRE-NHR-175 protein [Source:UniProtKB/TrEMBL;Acc:E3M0H2] MLAIQRMEPECIFSPSTSPADWIPELGENCAVCGDRVNSTRLGSPACLGCIVFFRRSIIHSSKYRCLRDGNCLINYEFRSSCRFCRLQKCFRVGMKQSAVRRRDCLGPRRPSPSYSDPPVLYPETDLQLIDRLIKIQNRQFEEHKEQSVACPRRANLSDINAMFKWSFNNAIEWASQFDPFQKLTNESQKCVLSEYGFAFFLIDQGFRTINEKMNGCWMLQNGTFLHSDYHYGQNEDVVETDYKCLRMHFEFVKSLQIAIQKPFETLEIDIFEIAALKSLLLVSPSFPKTNIFSEFQERMTALKDKCASELMEYLKINYPESHVERFGTLILILGEIRSAVKAVYNQTKVSELFNSSQFDPYVRSFFLS >CRE05427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:263635:266850:1 gene:WBGene00052905 transcript:CRE05427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05427 MSEKKPKWPYPRIDYYPGKFERFSYRTYNWFENRLWPIRPVPFLALITTATGYQLKDIDVQLFQTNLHVILKPLAISIGSAYACVFLLRRFLKYFFFSYKGYLKENPKKPSYFTIIWGALRKVLLKIAPPQLSSCDRLLPNLPLPSLDDTVERYLGSMRHFTPEEDYIQLIKISNKFLSSEGRTLQRFAWLLHKFSENYVTPFWEKYIYYAGRYPLAINSSIAQCVMYGDNDLTQIYQVARLLYIETLANLSLDRQKYLAVGEGLFSTRHYRNIYNGCRVPGKDYDHFQWNPPSRHALVVHKGTWYKVDTCDKNGKLYSVDDLVKIVSEMMNRNDKSTGFMSKIASLTTDRRTEWSINRQKFFLENRNNKKLLNVIETAQFVVSVDETDEWGVETVEKASRYMKDMITGDGTNRWFDKTMNYAVCANGRGGATGEHSPCDGAELDHLCENFLNIDKQILESPSREEQLENEKVLDEDRKTLKLAEKLNFEIVDGMESEVERCFESHLKATKDLHMHSMIFLDFGKGKLKTCGISPDGFVQMAIQLAYYKDQGKFTMTYEPGSVRFYANSRTETLRPVTEASCEFVKAMLDEKSEKKIKRKLLKEACEVHVNNCKDIMMGNGVDRHLFVLRALAKGLGYSSPFLDFYSSQKWLLSTSNFTVQHWFNQLKIQIPNMTNSIDEDSSVNNIMLGGSFGAVAQDGYGICYRFGGNQAILVHITSYHSSEVTDSDRMGQHLKEAFHSLVDLFDE >CRE05428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:267750:268292:1 gene:WBGene00052906 transcript:CRE05428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lbp-7 description:CRE-LBP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M0H4] MASLNDFAGRWKLVSSENFDEYMKEIGVGLITRKAAAHLKPSLDIYLEGDTWHINQYSTFKNTKLAFKLGEEFVENSPDDRTYNSVLTFEDGKLVHRQNKIKETHKSSVLLSWLENGKLIQTYQSGDVICRREFERE >CRE05429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:268771:270101:1 gene:WBGene00052907 transcript:CRE05429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05429 MSPFRLFDLDYVAMRRASLHLDEMSTLNIALSSKNARQIMKLFKKRIEFIWVNFQESDCVINLKFAENLEVYWRIKSSKGILKHAEKTDVFELNDMKVESMRYRIKSKYVINSYCEDDLSICQSIVEVLLDIYRPNKLNYYISRKHNLSKLLCFNGLNQVDHLTFIVYFPVSDQEFLLFRQNIHPKKELCFNLVFYKNSNHGCKIEFNYEKLEICSSDWLNRDDLFNINSKYAFLKETKLTNEDLKLYFKRWIDGDDSKLEKLKITMSDDGNLDNMLSGIETKSLEEIIQDKHLNNKTFEFLDFENFKFDGCKGRHLIRKDGKIATVHLAGNKKLNFIVWNEKSPLIRDTEDYVGNMI >CRE05430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:271594:273403:1 gene:WBGene00052908 transcript:CRE05430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-19 description:CRE-SRSX-19 protein [Source:UniProtKB/TrEMBL;Acc:E3M0H6] MDQYIMEAVLGPMMLLGAFGNLNVIVAVARKKVLRTKGAMLVFVLAISHFICNVSELKVLIFRLRFQSLTGRECFLYNVPYSFCVMFQSALFLSMALDLCFCIMLPIKHMLWPKKKYILIMCIMPTCFALIVFFLNFLFVTDENAPYCAFMLSKSICRMCLSSCMLYHSSCFVYVLVRSPKKEVVNDSAMDDGVFEIISTSVVVCNIITFIITVVSVIVAIKKSQDMRNHRHSTVTRRNSTVEERRKVFRSTFYMMVIYIFSWMMSSICFRILFAYFENEQDIAPYMPFLAIITMPNFCQAYFVTYFRSPRFRKAYREHFHWLTCGCLYRDIMDQPETRAGESGSKPDSATNQQPPTETVVEKKQKDGGVKKTVRIYEGEAI >CRE05431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:273731:274100:1 gene:WBGene00052909 transcript:CRE05431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-12 description:CRE-SPP-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M0H7] MFCKVSILSILGLILAASAFPPNPAVLKKSHGAFCHLCEDLIKDGKEAGDVALDAWLDEEIGTRCKQFGILASECLKELKVAEHDIWEAIDQDIPEEKTCKDVELC >CRE05645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:274211:275375:-1 gene:WBGene00052910 transcript:CRE05645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05645 MSWLLWSSSRNLTGSYPGGQKLFRCTFSKDEIDMDSPHSKWQTSGNVSWTMGVINTKNVENSKKEELKVYVKCHLEDRMESSVDENWRIDADHIVRLFNHNKSNKHVCTRGSTTFTKAKDSCVSSLINLSDITDDFFLNDELTFEVEIGVKNSEGLPKIVDLSVKRPDSNVVLTVGKEKFYVDKEILSKKSTIFEELLKKEGVGKGSNEYAIEDVNPTIFHVFIKTVSDIPVQFGLDEIEELLKMSEKFKINEVQEQCKEFLINSVVDDALALKIADKHGMQDVIVEKLKPLNSISDLRQAFRYYRKFSDESMRLLLKKLIEVA >CRE05432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:282833:285178:1 gene:WBGene00052911 transcript:CRE05432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sptl-3 description:CRE-SPTL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M0I1] MGGTQNGKTVANGRVKNGKTIELSTPVEECPKPAFYVFWLVQLNITMMLLGAMVATLLDNWGIVKTKRAKGDPRMKDFSPLGNSFDATYTDHIYRQSTDVVNRPISGVPGAIVRLKDRYTDDHGWTQKYTGKETEVINLGSYNYLGFSHRSGVCADAAAAYIDKYGINCGGSRQENGNHIVHKSVDRTLADYLRVEDAVVFPMGFATNSMNIPALVDKGSLILSDRLNHASLVTGCRLSGAHTMVFRHNDAKDCERKLRDALCGVSPKTGEKYNKVLIIIEGIYSMEGTIVDLPSFIAVKKKYNCYLFLDEAHSIGAVGPSGRGVAEYWGCNPKDIDIMMGTLTKSFASAGGYMGGAKKTIDYIRRYSAGTCYGVTMSPPLIAQVERAVLIMSGQDGTDIGRQKAISLLDNSRYFRKELRKRGFLVYGNNDSPVVPLMTFYITKVVEFSRRMLKHNIGVVAVGYPATPLLEARVRFCLSADHTKEHLDYILEALVQVGEETNTFYGTKIDE >CRE05648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:285570:287859:-1 gene:WBGene00052912 transcript:CRE05648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-35C1 description:CRE-CYP-35C1 protein [Source:UniProtKB/TrEMBL;Acc:E3M0I2] MFLLLLIISIVAWLTARQFLKSKRLPPGPVSLPLIGNLLQIGYQLWRTGGIVPTLNHYRRQYGDVFTLWLGPIPHVNITDYDISHEVFVKNATKYADKHVPPVMNYVRHGNGIFFSNGEKWQELRRFSMLTMRNMGMGRDLMEEKIMAELNERCSEIDALAVNGTVVQTVNVFFDLTVGSVINNMLMGFRFDERNKNRFLTFKHMLDTAMQKFSPRELTLPVWILKKFFSKSFDSLVNDQHKILEYVSEDAVKRSRQYLNEDYEIDPDNVEDFVDAFLLKMKQDPNSDVYNEENLKILVLDLWITGQETTTMTLISAFIQFLNNPEIMENVREELMKVTNRATRHLSLKDKNETPYFNATIAEIQRHASILNVNFWRINHEPTVIGGHPVDSGCLIASQLSVLHTNEQVFENPEKFDPERFLKNDKLIQQVIPFGIGKRSCLGEALAKAELYLVRFIIIIFSVTKFFSPIIGNLLLRYKFEPHGKIPSTEEVLPFTIAKRCVVYDMKFIKI >CRE05433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:288675:291490:1 gene:WBGene00052913 transcript:CRE05433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05433 MYISGLLRTVFIVFCFSESVHSTKQFFDYYKAESFDPFTSFINYTGISNQCTKDVKTWINSIELITKTSSDCLLFKNCSAPVLKILEENIYAIQQYDAFGKIPSPGLLEISLVFDGSYQECKRISGVKYKTNYCYALLVPGRKASCAGGNINNIGTTIAFRRAVCMPDSCGSSDTVNLYNQLTGLPLTACATFCAHRDVPKDVALWGFTTFLVVILTFAVLATTVDFLRESLYSITSKTVETTFSFFRVLYAFSFWTNAGSILNVSPQPSTHIKCLDCLRGISMAWVLSAHMLNYFAFSDTLMPIKSFADYFADSFFINANFSVDTFFLISGITVAYSFFKLKPTPKTLKSPSTWILFYVHRYIRLTPPYMIFIGFYAVYSLYIQGPLAASLFNFTVLQVEACKASWWRNLIYINNFDNGENPCYGPSWYLAVDTQLYLIAPFLLVALAWKPISGVLLSLVGCVGSMITVFVLYFKFDNMTADSFHSDPSGKFNFYLYQKPWIRCPPYLVGILVGYLLAKRPPPTRLAMWKKVILIIISIGLPFTCLTANRGYDEGKQQLQRWFVKATYYNFSRFLWSTSVAYIVMACHYGWAGPIGNFMNHPIWQPLGRLSYSAYIVHLMVVYYFLNVGDKPLHFVSTWQVYVYYILPATILTFTFAFFWSCLFELPIVKLEKFLLEVLLKKKVKPETSQRNDIVEVWK >CRE05434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:291771:293078:1 gene:WBGene00052914 transcript:CRE05434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05434 MVGLGMIPVLTQKLSAPLSLAVNAILIQLILHKSPKEIGVYKYFLCYISVFEEFFSHSSVFLVIVRSDRMNLPVWFIYVGDVLFCGMFGMSMAMFALHFVYRYLVVTGNVYVKTLNCQKLIVWLLAPLLYGTLWITVVFTTLHPNEYSGRILNDHYLPGKDLIIEEITYVGPNYYIGENDKESLNWNTIIGMTILDSMVSVSVIIIIIFATKCYKIVRDLAQSFQHSKNYRDLQAQLLNSLVAQMIIPAILMQAPALYLFTFPILNMGNELVGKLFCIAVAIYPVIDPLPTMLMIQHYRNALIDTVTSNKKNQAGQKRQKSVKSMPANVANVDS >CRE05435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:293692:294783:1 gene:WBGene00052915 transcript:CRE05435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05435 MDLQWFAAGAGAVALLFIFCHFIRVLLNILGPYVFSQPIDLKKKAGAPWAVVTGATDGIGKSYSFELARRGFNTYLVSRTQSKLEQTKKEILQQYSNVEVRFATYDFTNPSPADYQKLLDKLNEVNIGILINNVGMFFEYPDVLHEIQGGIETLANVAVVNILPPTLLSAGILPQMVSRKAGIIVNIGSAAGAVPMAKWSVYSASKKYVSWLTATLRKEYGHQGIIFQTITPLMVATKMAGSPDTSFFCPTSDAFAKSALNTIGNSSDTTGYITHQLEYEMMNLMPEFIIDKAVIRSSAKLREAALAKKEEKLLS >CRE05649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:294868:295630:-1 gene:WBGene00052916 transcript:CRE05649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05649 MKRDAKDHARNRLMNFYIRKAPTHSTIIKIEHFENDAPSEVKDFKPLVVYSLNKKKRWEIDQESLNLVAGDDEVKSMIIEREIPDLYYAWWSLQHVHSHDRIDHSKPINSTEIDEAKKEEKVIGYHIIRIPKNYKKIFDNKCFRYIGLRYPDFLGYSARELLSPLEYELKENEGDDELEDEDVEEEKVDVGETEKPTNFYNLTDHFVAKKQRKPKGWISV >CRE05436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:296341:297776:1 gene:WBGene00052917 transcript:CRE05436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05436 MNVLTRSIFSSKNNGPRQSQNHDRNRNADHQLAHMKDKSGVMKTYHVWTGENQFTNRYWEKMDRTVMNRDLNPFVVYSMNKRKNWEIEEMDSIELMRQIQELHREQDVMLLERVSMRVYGWPNLFRYDFFWDDYKIHTLHELDSYVSGKPAYKKTVVNSGRGHHPHSFLSWNRTSDKEESERIRNEKLENIEREKRTVVAYHLIKSPNNYMDIFKKEFGRDNTHSSRWAPPTYWERKRKQYSNELDVRYDDLSEDEVEEVELNQENEGNMASKCYNLEDHFVENFVHVKRKKNKKKNDNSGV >CRE05437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:298394:300750:1 gene:WBGene00052918 transcript:CRE05437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05437 MKSFVIFHTIQFGFIHESIRQLICRNYGEETWLQVLEKSGFENGKENIVNHYYSDTDTYVLVDSVSLVIKVTKDQVWEMYGAFLITYSMEIGWDELVRSMSPNLKGFLDNLDSLHYFIDHVVYKANLRGPSFRCEETSDGSLLLHYFTGRPGLYHIVKGVVREVAKRVFDLDINLIVQGRTQRSVHMNNGERVEEHVVFLIKNVGDPRRDSEGSEMSLLTSTNANFPEILDDTLGMSLSDFSRALPYHFIIDESCKLVQCGSELHNHIPNELLQPGTPILRIFEINRPQIPLDFENICNFINAVFVLQVKTSPLKKRHMDAMNQEELKEEMDALDDDPSNELTQGHHLKLKGQMMLLSSKKYIIYLCSPYVTSINELMQYGMRLTAMPLHDATRDLILLNQQRLTDVEVNLQLEANNEQLDSMTKELELERQKTDSILRDMLPKRIAQQLLSGEHIEACEHEATVMFCDLPAFQQTIPQCPPKDIVNMLNDIFRKLDRIIVIRGVFKVETVSDSYMAVSGIPDYTPEHAENMCHVALGMMWEARSVVDPITKTPFLLRIGLHSGTIIAGVVGTKSPKYCLFGETVTLASQMESQGMAGKIQCSKWTYQKAMETGRFEFSSRGRIPVNQRGQTETYFLTRSLKKSIWEIIDHERGLLPDFIFVKFLLFSDVNVNSIEGYEELETSIENVTIKSAIRPDQRHSAACTIS >CRE05438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:301660:302986:1 gene:WBGene00052919 transcript:CRE05438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-252 description:CRE-STR-252 protein [Source:UniProtKB/TrEMBL;Acc:E3M0I9] MQTAHSIIQRIAAIIAIFNNCLLIILIKFKSHRKVGKYKFLMIYISIFEILYAVVDTFAAPTIFTKDSMFVVVVYADQELVPFYFMETSVHLFCVFYGISMAIFAIHFIYRFLVVSENNIVKKHNGKLIFGMLLFPILFGIFWFWVVNFFVAPFDKADDYLSENRLENMGLNISDVAYAGAYFWPIGADGHHHPHWKTFIGVFIMIVAIVVSFGLICFFGYKCYRQTKQMIQIASTQSASFNKLQTQLFYALVYQTVIPVFLMHVPASIGFSASFFNCSMEIFGEVSSVTIKKQYAVSFVEVGIVKIFFFEEGFQLFKRKVLQCKGSKVGVETAAASELASNGITSPPSVAPQN >CRE05439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:304301:305677:1 gene:WBGene00052920 transcript:CRE05439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-250 description:CRE-STR-250 protein [Source:UniProtKB/TrEMBL;Acc:E3M0J0] MCPRSIFQQISAVIGVLSNILLVILIIKKSPKTLGSYKILMIYISCFEILYSILNALVVPKMFSQNSGALIFVFAKEALLPKSFLLPANVLYCTFFGSSMALFAIHFIYRYLAVTGSNLIKNHNRKVIIFLLLFPLSFGFFWYLLSHFTLGPFEEGDLFVRKYYLVDHDIQLSEIHYVGYYFYPEDAYGNTTMNWKCIVGCAIEASFILIQSSSLQNVSFGIIFYYGYKCYRHTKSASKKFTTSSSHISLQTQLFYALVFQTVIPVFLMHIPSTISISAAFSNISFESLSQMLACTISLYPALDPLPNFFIIKSYRLAILGFFKRVAYFIVCRKANTTNIIKVQTRNELFMSNASRTIMT >CRE05650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:305897:306997:-1 gene:WBGene00052921 transcript:CRE05650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-42 description:CRE-SRX-42 protein [Source:UniProtKB/TrEMBL;Acc:E3M0J1] MASAPMISVYEDILAGSIVSLICGIGNFMMTLVIIGSSRIPSMKSSFGMLTINQNLAEMIPCTTCFIIFFFGYTLNWKTVIDNSYLIGASAIITVHIILISFLLISLNRLCAILFPIAYNGIFKGKFLAAFFAANWLIPLSISTFILVFRQCRFVIVHEGWFFDESKNEICGSLLEIYRSFQTPLALITTVVDLSALLLLVILRNRIFKSKSVETRRREMNFARQVLVQGFVFTIHAFWYQGGRNLMPTSMPENWKIFWTTSFSSNLLHIFDP >CRE05441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:309808:311025:1 gene:WBGene00052922 transcript:CRE05441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05441 MELTSHPLLHTLYSMILFLSLLFLMFFFYSIKTYNRKDRIPIVYIYVMIISNFIELLIEVFNDVIPLFLTAQTYGWLRQFMGEYTTLLDDFSYAFPMFLTVVMVAERFYVVFRPRGELFSDRKLWFYCLLLAIFTMVFCIIPFFSGCPINYDFYTFTYESQCEHIFTYLFDKYNGVIPLVCMFLNIGLILNLSCKRRKSRNTNDAVTIARRSHEKTMLIQSVLSTAFLLSYEITESIINIYFEEYMKFSELTRRSIYYSRNVTVALRCFFIYFIGTPAIRTIIIDKAIMMVKKKKRIRVVVITSSRDQSVF >CRE05444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:314418:315636:1 gene:WBGene00052923 transcript:CRE05444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-12 description:CRE-SRXA-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M0J6] MAVIVFYILYLSFIFVALSFLVLLFVSVYSYRQKGLSQKFPMKCNFDIPDRIPIVYIYIMIACNAGVCLVEFYSDIFPYFISKKAYKCKYQTFERYKIVFSGIYKNLGEYLTLFDYHVYTYPLLLTVLMIIERIYAIFFPFGRAFADRKLWLYCFTLAVTRVTLFSFIRYLTQIVLLALLLIPFFSGCAVNYSFYTFDYSTECDPDGHFITYIFDTYTGLIPVVCLILNFLLILYISLRRRKFQNPADRKLNARQSHEKTLLIQSISSTTFLLIYEITEVATDIFSEQYEALPEFTRRMIYYARAGSVALTCFFIYFVGTSSIRRIIIAKVLKIMKKEKKVPRMVLSSRDVSTMF >CRE05446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:317638:319847:1 gene:WBGene00052924 transcript:CRE05446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05446 MGDHTPKRKMSGDADEPLPKRIGFSHKKESDMWEYVLSLICIREPNVLIVLNSHVRDSLYLWEEMKRETETVLSAQELYDLFHKSMLPNIAKADLSVQAKACLYYSLKVKLDPDFLKILENVAHVQVSEDSKLTGFRKKSTGDDSDACSTSRNPNDFWYSVWDDKVYCEEDSDDDEEYNEPKRTHTAELVRLVEPIDDEPDVSILQVSRPIAERIMVPVDAIEVDGVGTVDKKSKKKNEASLGIDQTESTDDMQCVGNVKNIGTSALPEPATRSRASRNLFNSSQGKVSESSSDSRRYNDEEDTSDEIEFLGTVNPTPKHGRASRSDKQHSMYVKQKADQQTASQKMADYKNPNRLVSSFMSLQSAFASALKRIDVLEKNAAEAKVKSTIDSDLVAELQQRVIAAEKVVEDIKYNQRIHKTPPSDVVDVELAAASSVSAVFEFNPVPFHINSKNVPVLESEVSIGAQKNDENQESFIADSSSPSVIQTEPCSSNQTQNTVKPKNIKKVVTNMKKKEKRCSSRQLKSTKKTTQKTAKNYTQIQQKANSVAHVKEIKNLDGFLSVPLDIPRRQSKTPIRYGIL >CRE05447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:320106:321440:1 gene:WBGene00052925 transcript:CRE05447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05447 MFWRSNNDQSKRMSNFYPKGLKIDFCTVPSIESAGSKTIELGYCGATKWAFAVEKKEEKLSVALNCSPSESCLSKFENWSVEADVMVQVQNQKIPEKGLTASGFSIPFDREGDGHNWNYSFDKINEKNGFVRNGSVMFQINIGINEIKEIYSGDFVDFSVPQEDDSDVVLLVGDRKFHVSKQVLSTHSPVFKAMFHGNFNESVMNEIPMNNVDLNAFHIFLQYIYMAPIEICDENVSQLILMGDQFGVKKLVRECENFLLRPDVDEKDAIALAVFHSLDRVLCEKITSKTVEQLKSWVQGQPLPPAAAALLKQKLGTDTEKNLKSDDLEKKSVEKENSPKAENVHHETSSTSSSDSASDSDSDSDSDV >CRE05651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:321542:323762:-1 gene:WBGene00052926 transcript:CRE05651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05651 MTVEVSSSNPKKGASVAFPEKEHPQEKPGYVRYLVLVSTMLCLTSLMSNVVCFNFTVLCMPGSGESAELQGNHTHYTGYTRQEKTILLSTVAVGAVFGVVPVIIGISKIGLKKVFLASGITATVSTFLIPILAPLHFYMFVILRFLQVCLSGNTLYLLYQKLQGLSYATCFPAVGAITSSWASLAQQGLFIAALTTFGQTSSIFSMPVAGELCSSQFGWKAVFYLHALITLIVFTIWFAIFTDSPEENKFVRPAELAEIEYNKSEEAIHDDHKHRTPYLEIASTPSVWGIWIGAFGDLIAVQLIHIYSPVFLHDVGGYSFEKTGFAAAVPVLFQFLVKMFAGHSSDKIHGISETTKLRIYNTVALGASAVFLVALGFVKQGQGVLGLVLMTLATGMFGFNGGGFSKCSALVSRQYNHFVMAIHQILICFSMIVCPLIVSSILQQGTVAEWRIVFFIHAAILLICNAIFCWLATAKPAPWTDRTIKQSATKNTPLYQSKV >CRE05448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:326234:327755:1 gene:WBGene00052927 transcript:CRE05448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-145 description:CRE-SRW-145 protein [Source:UniProtKB/TrEMBL;Acc:E3M0K1] MIGFNFKSNLFFAFLSDHEYMICFFSFIINIFHLVVLTRRYMRTASIYVLLIGVCLSELYSMFIPLKTKLEEFWEQWQKCPLPPSILSIYLNRIVLSTADNMARLSTWLCVLFALVRVVVLQKISDNRFQMISAPGFGWILIFLSFVCSFVISMSFYYKDQISEMGIWTPKPGCESLSITEVYQYKLVVSDLYTFGNGVFSILFYLSNGFFTHILPCLLYPVLIFFLSKEVQKSKKIQSGSSINPHQKRNEKTTTLVNVISIFQLFIEVCYSVIFILELIFGADTVDFIKYAKVVTKWIFAFNSSTRCFLCVLFSCRYRNTIRRMFGLRDRMTMNKPSTARPSPSLQRSASSILPPCSPKMESSL >CRE05652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:328353:329779:-1 gene:WBGene00052928 transcript:CRE05652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpr-1 description:CRE-DPR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M0K2] MIAEIAQLRDDSKTCLVCTITENVRHHFGSTTCLACASFFRRTVSLNIQYICKQSNACPVSFAVRSGCRSCRFQNCLKAGMKTTMVRGKRDINKVRENMKQGNEMIIRDYATSSFETLHGFPGREEAEKPMENEEVLKLLNITADQLLEYYLELNEKEPCPLRKISFTSFFQLNQQNHFESTVICRSCPGTDLLNPEDVGILFQYTSFANLWIDALWDEIHIEEGKEEMFQKENQENEGMQRLFTDFLTHFYENVGIALRSLNLDIVEYAVLKSFVIWKLGVVDFSTTLKIVAQEHYLGSIVALTEYYKVYKKFQSKKFLTYQTEKNMDQFEIALRIADLTLLLGPIFNSYREMVNLYEKMQISMNRKMRMEM >CRE05653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:331097:332228:-1 gene:WBGene00052929 transcript:CRE05653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-10 description:CRE-SRX-10 protein [Source:UniProtKB/TrEMBL;Acc:E3M0K3] MFKTAVGYFFLQLSIIGLVVNFCVLIPLTRVMKKGDKNCVYLIAIITILNDTINSLVNCLYFAPTIISSSYILADSPSSPGPLLMSSIARFSWYNGIFVMILMVINRLNFFVFNKTNMFTRQRVIIFFIISSLLSFSKVVIDIFVTPCCLVLMDHEKFGFTVLNPKNETDWTDMVDSPIEITVFSVSAISYIVVFVKIRTEAGNVEQSMDSKEQKKIRAQERSVAVQFAFISVFLMLSYASLKLTPIIFGDSHIEANMISPVCFALNCCANGCIFMFMNEEVKEDLLKKIFKNNTVHSEGGGHANGVRFEQPELMI >CRE05449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:332592:334192:1 gene:WBGene00052930 transcript:CRE05449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-262 description:CRE-CLEC-262 protein [Source:UniProtKB/TrEMBL;Acc:E3M0K4] MLLSSFFLVLLFMTRIYSDTFSMIVTYGKPTNFTSYVSFFAISWDDCVIQCYKSMSCVVAHSTVSPISCNLYDVNKIFGVEKLEAADGFLVAFKVMNNQSFCPIGDNPPTFGEGTNHGIVTNDVGKCAYDINFDNTNTWQLTYTAIPDCPYGWTIFTRPTTKWCIQVTGGADITYTQSQALSYCEQLEATLTGLETTNERDFVANTAIDILGPEYPQFAGFWVSGVRKSECYADGWQDIPYCTGTNLQQFSFSDDYLSSYSGYTWDYEQPDRNAVGQWSNCIQIWIRNEAKFPNLTYSLYANGNVDDAVCDGVDYQDYYLRGFACGKLPVIPDGAC >CRE05654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:334900:337313:-1 gene:WBGene00052931 transcript:CRE05654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05654 MGDSSKPTTSTTIYTTTDSQHKCYLFKHLTFQLYSELRIRMGSFVWVLTPHQASRDGRHGFDIEVKVTGLKSGEYLTSEFSFQQPGNVKQVFENSFDCFRNTFFYRNALTCPMASGENGIVSTRYSHYRFLRHKDSDEPNDLEEADDWISTIPLEWNTSGEAPVFNDLNIDFIDTVYAAEERKNSFMYYFVVEAAQKTTYAPYRPQLCWPKATKTAKYLNNKWISVDGNWFHKWPKFNKFMENVDLLAPDDYEDLLDILGTYYHSWNIDHFRLQEIVRAAEKFGFFDFPQKMITMGNVDMYFSSMRSVLLAPTDHPLLSVKENVSKKIYEENYGVTVKMIDHQDHGGHPVYNSFKTKNGMNWTVNLHRKSYSGQEYLCVSLILNGPYKPDENQLWRVEFGPLKHSSLGRLPKKVHERVLNTVQRTIVFPTCWLWSDVLRDMGQNGPFNFTFRTACSALSGWKFSTVSGKQGVTGVTDAEIQCKDGNIVAVNKSVRSKTEDFELVFFFQYLQWTCRALREWVPMPNKPNRMEMNNLEFEEFVHFLDHLYHVSKVYTMDIWKRVFKVAQIMNCDRVTDQFERTCVRTNVKNVNDMKFNDNYSLEFLKFNVEMRDQLEMESIDEYLHRSKEPVVNHFENS >CRE05450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:338198:338540:1 gene:WBGene00052932 transcript:CRE05450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05450 MEEVTVVTVPEEKTIYLETDNDRMVMIILMVVMPPLAVFFKCRRFSKHVCLNFLLYLLLILPAYKHATWFCFVKGRESEAENGFVKAR >CRE05655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:338631:340943:-1 gene:WBGene00052933 transcript:CRE05655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05655 METTKVFTKLFNKDGSLSLPVNFQKSGFTWSITLEFAEVHNVPAIDFKLTCDGIHDGIYLTMSIQIVNLTKGIFQNFEHVFDRQRNTFYYRRIVTGIRTVSKDKNGKTITSFPINRFLLDDETREHYDSKEQTKWPFYNPVTWNVLDDGRVEAKNIRKCYNKFEYVIVLKQLAVNETSPPRSIPKWPKSDNYPVQIKFFEPMWPEVRKLMTKMKKKKKIIQEKYIENIVDILGAYYHQWDFDEDKLLELNSIAIKIGFIRFMDGIGIGGDFLKMTNSNWASNIVKTHQGKNSLMKTKQEFERYQRNWKVNRIPEATDPSSVFKIRLRDHLQWNVNFFLSNIWNQKYVSIGSVLVGDLITNYECILEISLVSKNGENMNRKTVTRVLNRKQNTCCIPIFCLMDQLKTKDENGNSEYSFQITLDIRQVAKWREEEEYHTEVEMPGPNDVFLKLEGKRIAVNRRFLAHHVKFFSGMFFNENFKEYSKEEIEINTVSFKEMNLFLDVLYHGTKLFDVSEYYHVLRMADEWICEKVIGILEKAVRNTVCQCVNKKKLEKKFGLKIHPQPTTNPESLKREAINQSDFQSEIKKQRVEK >CRE05451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:341926:343009:1 gene:WBGene00052934 transcript:CRE05451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swt-6 MFLKLYTVWLGVFSVSFVFLPIYLVLNWRKRGTADGFSSVVLIIPMIIQSFWLRHGLMTNDWTNIIINSLNLSVLSCYVAAYAYYQPKRKYLIGQIIGAAVIIKCAFLYVDSHDSEHVNAAMGSVAAGAQILGLGGRLYEMRRAIKMGTTEYIPAVMQFAVTALMAQWFIFGVITGNKFIAIANIAGLITSAFTVMLYFRYPPLTWTVPIFNIPPQQSQKQE >CRE05656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:343300:344891:-1 gene:WBGene00052935 transcript:CRE05656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05656 MTRNVIMAKNDNIGTDQKTSTWIPLAEIAKGDVSVVFKVTDAEGKIEAAMKVEKMGKETKKKYIERDILESLRDSTHSIHLIDFSFVNQYRMTVMTMVGPTLGVIKRLMRNKFSDSTILRLAIRTLLALKDLHEHGYLHRDLEPENIALSLCKSSRNVYLVDFGEARQYARNDNGKWILRSPRNKIAFRGSVQYCSPKMHDSQELGRIDDLWSWLYVFIEMRVFLPWTDSTFPFKYGPRKRSLLDETLNSDPLVTKISSSSLKLSISRFLMTFLPVVTIMKTSEFADRPEYFKIYEILTAKMTEMGVKWTDPMDYDLITERDTFFSRIPINEEKLTDEQLTAVFQEVVVPGGQQYLVDQKAYCFPVEKEKEKEKEKEKEKEKEKDVKNKKKEKDTEPEKEEVKEKEKEKKVEKNKEKEKDVEKPKEKAKEKEKRKSKEVIKEKEKKVCQTGSHLQFSFKAIFSAGIPRENHR >CRE05657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:345305:347857:-1 gene:WBGene00052936 transcript:CRE05657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05657 MNENSSKERPKQSVVGRVSLKELVYGGKKLYAIGGIKWAVSIGPSTSHDYNQIEVSTMGLKEGECCHVELEVFAPKYLPNRMTFWHVFDDERHAFGIPYASKEKAECDWEDHPPEGKLVKGKVKGPYIRISLNPKIWRYRNWQFPFADLVLKVRDEELYVNRKILCSVSRFFVHIMESGRLECMNNHQVLYVKGVSFEDLYDLMGIVYHGWMFYSEELLVLMEIVHTFLVDRAMHLQSIAEQFGVLMDTNNLESFEGKISSELFSVDLIQDFDDDSSVIVNKSSPVRLGNVSENSSVFMADVSENQEEDFIWHVSFLSRFRCFRKQQLIQSLQHLSHYGLPQSPNTLRFTRIGPSISRPDVLNYHQTPNPIEDDGDDEEDDVEPEIQNIVQDDNMGMMPGHPIIKDSAPPTFLDCETLDTENNGMYFVFGLEFDCNYSEKFLRGGKYAIQTHYGNWDIYLYQATLNSNEYLSLGIYGSRFKQDIVYDTSFRIQVGGGSLLPKRKFIEKLMDLRRNTLGYPDFMDWSDVRNYANSLGMVSISVHLSIVPTEKFLPDLTYDQFPGHKDTFVEIEGLTFPVSRDVLSEASSVFQEMFNNSLLVFRRKVYQIHGISYAIFMLLMEYIYNKKAFYCLENVDFEELMTQAQRFNLKSVVQQLCRDYVDRDDINEREAYAFSLKFGLKTLMSKYHSVRSRTVSDHDNPITLKSLSTSYML >CRE05658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:348723:350187:-1 gene:WBGene00052937 transcript:CRE05658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-24 description:CRE-SRH-24 protein [Source:UniProtKB/TrEMBL;Acc:E3M0L1] MQDGIPEYELVLHMCTSISVPVNLLAIFCIATQSSNTMKKYKWYLLNVQIWIFLTDLILFILIAPRFYFPLIAGTMHGLFFHIGVPYEVQTIIGFGTGVGMLSACTQAIQYRHCQIVPPSSVLARSSKTKTFVNCIRYLLFCQISIPAVITEPVNQMEAKLRVSEKYPFHPDFFFDPRVYLLQETPFFVFVPGSLVISYVFIELSFYIVQSFRQLNKRVSHMSERTKRLQKKYFICICVQVIRIHKQKFQLFWSKHFQVLVPCIFCIIPIGFLCFAIVSGYHVQFWNDMAVIVFGLHGTFATLVMLPLYQPYRKFCKTLLCCNFRPFQKIHSLAVT >CRE05452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:350852:352062:1 gene:WBGene00052938 transcript:CRE05452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05452 MTEKIYKTTGRKENLRFDKDRNQQFQNQYYDEWGQLRKKNEQLNNFKQKIGEGNVDGLFWTWVVQLDNATMTTTSDEVISSYIRFKWSKLEGVLGFTGKIDFVLKLDGKVVVNKHVDALVEFSGQWIGVRNLKSVEDCFPRQDNSNPMRQHGFNQNQNQKGCTIEFSFSMTPVFAFSPASNFISNFEKTQNTDAILEVDGNQLHVNKSFLSIHSEFFEKLFNSNFKEKTQSIIRIKDVDFVNFSKFLSLIYPNSAEITVEQVGSYLELADKYLMAAATQKCEEFLHQNKRIKNIQKIVFADKHDLNKLLRETLASVDSKEELEGLTLLPEFTSLSDSTKASLLHKFMHFIN >CRE05659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:352135:352794:-1 gene:WBGene00052939 transcript:CRE05659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-algn-13 MTCFVTVGTTLFEDLINKVLSEESLRNLKKIGVKKIRLQIGKGNFENEVVHRVFKGVTSDEGYTNVEGLEIDYYRFKPSISEDMAEAFIVIGHAGAGTCLEVLALHLPFITVTNEKLMDNHQAELAVQLSDEGYLLHCTPSTLAETIVKEDLFSLRQFSAPSKKFVAEHIKQLVGIKNV >CRE05454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:354901:356617:1 gene:WBGene00052940 transcript:CRE05454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sao-1 MRTKSGGLMKTTDFFFYFFISLSQILFMNFDLLYYTMFTFFVSHKGNPRLRQYLIRSLFTTIISLGSNCSVDHYRKYPVNDAMHKNGNNGPAVDTKWFYLGPDKEKYGPYLSKDMNFWLQAGYFTNELQLKTENEQNYHTLGEWTQILGTPPFLNPVHSLEAVAAAAQWQQQVRAAPAPMMVMPPGLQNQFPPQMRLQYPPFVPMPFLHQMNQNGPPMHSQPPSEPIDAGSLSHTPDSENDLQGHGAKPHQSQFHQMHQLTKQMHHVNIATEPIVMKNAECQTDPVKVEISKTNASRLLSELLGQIVVIN >CRE05660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:357920:359286:-1 gene:WBGene00052942 transcript:CRE05660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05660 MPVTADFETIGTYIKLKDRTAVVGVLPNRLNEDPYHFGLLEEKNRMDLKKGCQGFRDEGYGDLFYSFFDYIKKTSKQGIPLKDVICSDFISTRRNLISFAASLYSRQSFVIRALRKNGVIFLCDKRSENQTSDGDDPGYGKKFEQYMTLKDNGEPYDEEEEVSNAECVKAVLRTSFKKGDEEIKVLYAAEIDALDSDGSFVEIKTARFDQETWVKRRSHYHYLQSFLGNAPLIIRGQVTDDQVVFKVIVDWRFVHDLFIFQVEKVETDDILHMNVDWKADESMEQLFRVLHEIKLRLKNDDEAIVIRIGGRHVYYEVENAMKCSFVDANFLRYFE >CRE05661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:359601:360785:-1 gene:WBGene00052943 transcript:CRE05661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05661 MPVVINIERIGIYKKLRNQTAVVGVDPNGLNEDPRRYGLLDEENQMDLKKGCQGFRDEGYGDLFYSFFDYIKKTSKQGTPLHDAVKADFISTRRNLISFAASLYSRQLIEIRALRKNGVIFLCDKKSENETSEDYPGHGYKFEQYMTLKDNGEPYDEEEEVSNAECIKAVLRTSFKKGDEEIKVLYAAEIDALDSDGNMVEFKSTIHDQKSWLYKSSLNHYLQSYLGGVSCIIKGQTTREKIVFRVDKIQTNEIPGMKVKWNAEKCFEKLFEILREIKSKLKNDDEAVVIRIQGSDIYYEGESGKNCKFVDSQFLRFFE >CRE05455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:362110:363075:1 gene:WBGene00052944 transcript:CRE05455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-end-1 description:CRE-END-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M0L8] MYPISSSSSSSTSSPPSNTHNTSYAYGIVDKDGNIHSHEMHFSHDHGNPSPVHTPQMYDPIATPFLMDPHFEDFGQYFVPSYVPPLQQLPPVNSVFGSFDISMNNIVNNEQYPQYPEIGMNYVQVQEQENLAPTPRKNSGGRKPSAFHHNSVCSNPNCGTRQTTLWRRTDSGAIECNGCSLYFRKNGVQRPADLCNKVIMKRNRRPRVETQLPVSRGVSNLQEQSTQQLQKIENVQLL >CRE05662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:363275:364523:-1 gene:WBGene00052945 transcript:CRE05662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05662 MHVTINIQKIGTYTKLPDRSTIPFGLPPLLNEDENLYGLLEDELDLTLGLEGYKDTGFNDKYHSFFDYMKRTYKKGSSLKEAIGADFVSNRRNLVSIAKSPYEVKRVEIQAIRKNGVIFYVTKKKTVSFKLDVSSEDYSLPYGHRFERYLTLDKYGNQHNKYETLTTDECSKCVLRTTLSSGKETIKLFYSAEIDAIDREGKFVELKTTSYGHMKWLERRSLDHYLQSYFANVPYIIYGQKAGYRSERVFRVNKKWTNSIPNSGVNWKKEVCFNQLFQVLQKIKSKLEYDEEALVLHITREGLFFEPECSNNCTFMEQSFLDHFE >CRE05663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:366530:368482:-1 gene:WBGene00052946 transcript:CRE05663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-puf-5 description:CRE-PUF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M0M0] MFPYFPRLFSLCIHPHSECCFEVYDFQILTCTPCFCSNMSEKSGRSDSKLSESSSISELQAADLSIFSGSFDGGVFSSNLPIFDLMGARNQNNSLYSPHPFVKSNGPCRLTAITQSTPKEPLNMTPADFGLADFSFGNESFADFTANNSSFVGNFQSNVRSTRLLPSWAVDMSGNIRDDLTLQDVVRNGSLIDFAMDRTGVKFLESHFPSEQDDEMHSLLFDKLTEQSTVFTSLCRSAAGNFIIQKFVEHASLEEQDRLVSTMSENGLIEMCLDKFACRVVQLSIQKFDESIAMKLVHKISTLNFLPLCTDQCAIHVLQKVVKLLPIGAWSFFVKFLCRDDNLMTVCQDKYGCRLVQQTIDKLSDNPKLPCFNTRLQLLHGLMTSVARNCFRLSSNEFANYVVQYVIKSSGVMEMYRDTIIEKCLLRNILSMSQDKYASHVVEGAFLFAPPHLLSEMMDEIFDGYVKDQETNRDALDILLFHQYGNYVIQQMISICISALLGKEERKMSPAELRLYAKWFDRIKNRVNRQASRLERFSSGKKIIESLQKLNVPMTMTNEPMPLWAMPAPLMDMSAQFHQNFQKRSVFDE >CRE05456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:368858:369826:1 gene:WBGene00052947 transcript:CRE05456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05456 MNRLNEEQIIHSEMMRLLEKILSLAGEMKNFELQNQKATVIWASEWVEKVDNLNDAIENLADRIMIAESFKQVSNQILDWNLFSIINIFLSKISSSHSEPLKNKLTEIVIVMSKITENMYKIINRNQDERLNAAKIIAEQRINILLIEKERITRLLEAQKLKIKQKEELERQKSEEVRSTEMRREMRIKALEEHKKYLASRQAIEFLNSVNDATVISSHSNEDTNTIIEDEGLHCRKKRRSRSLARKVVGSVKKTIRRSISAVNNYLPHLHHHDKQE >CRE05664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:370605:374367:-1 gene:WBGene00052948 transcript:CRE05664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05664 MSGDVENLVEWRFDNSLLECDFMSKWPGYDSKQMRKDLRRLHELASTETSFGLEADHHKAYQVLIVLEAKRAYDERLAGLFCEDWFDVDSPADIFRTLSNRGDDELPPKILWNILCRISGVSIEIIDARGLSERPKIHRFSSTASQISAPCLTWLRLGKRPVPLFYIPDDE >CRE05457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:375517:376053:1 gene:WBGene00052949 transcript:CRE05457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-droe-4 MFQNIAVFVSCFAIAASGYNVRPSTKNTVQDAHDQLTKSIRCWEPAEQGAPSQGGYTLSEPIYELCSYMPAAKGYDKFYVNGVDMESDDYTHILALFGAPHHGYAVLNVCLQEAFQFHAAGHPSQTSLRCLCKRDGCNLPKDFTTFLDFNKLPMPETFF >CRE05665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:376752:386406:-1 gene:WBGene00052950 transcript:CRE05665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ric-7 MSLLPLNTLSEAGCLFIEARLLGGPGNIVSQIGLTVDAPNTSIAVFSRTSGVLLVAGVGSFFAYRVASNLLGNRFVWECLDARHIDQLPTADRRLLYSFNEAEEDADEEEDTEVDPVTGERRRRRRDSEGSRLSVGQVSIGRLNRIRRSTRTPRGLLGSLQETPRKRAGIVSRQSSRHSVTSAHSAVSIPFSSNSSVKSASFQIVWEGSQPAWDDFDIPSTSAGIPQLADNQPSVLNRLRHVASNSSMRRFEEGQSVGDLCSVFNDVMSVASFAEGGDDPLLFDEEYRDEDDDSGGIWRKCTGDNTKYMYQSIAIGSSETGSDDGGSPKKKQFLELWVSFFLVYYQLSCVFRGINDDEEDRTEQLTLLSPPDSEEAMSRSQQSKSRSMYDSAIGAEISSSEEGSTCQKRLYVLREVDNMSIVAPSCASLEWCDDIDRNASSRLGFEEEEEGSPLKLRRANLDWDLESESAVAPRALSVASSSVTREIEPKFAPKSSRDLMVMACEMFQPYSPPFRDLQTIYHKRRLKRVAIPNLSESAESCVSLFMRSALYHKCSLLRDATWSTIEKQKPSCIVS >CRE05666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:388359:391794:-1 gene:WBGene00052951 transcript:CRE05666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddx-17 MGDRGYGSSSRSYGGSSGGGGYGGSSRGGGGYGGGGYGGGGGSYSGGGYGGGGYGGRGGGRGGSSGGRGGSSGGSAGGRLRDIDWTAENLAPIEKDFYHENAAVSRRDQYEIDQWVSANQVTLEGRGIPRPVFEFNECPLPGQVHELLYGKFQKPTVIQSISWPIAMSGRDIISIAKTGSGKTLAFMLPALVHTTKQPHRQRGEGPSVLVLLPTRELAQQVQEVSIDFCHSLGLKMTCLFGGASKGPQARDLERGVDVVVATPGRLLDFLDNGTTNMKRCSYLVLDEADRMLDMGFEPQIRKIIGQIRPDRQTLMFSATWPKEVRSMASDFQKDAAFLNVGSLELAANHNITQVVDILEEHGKQAKLMDLLNQIMNQKECKTIIFVETKRKADELTRAMRRDGWPTLCIHGDKNQGERDWVLQEFKAGKTPILLATDVAARGLDVDDIKFVINYDYPNNSEDYVHRIGRTGRRDKKGTAYTFFTHTNASKAKDLLKVLDEAKQTVPQALRDMANRSYGGASSRGRYGGGGGGKRGYGGNDNFAPKRPRYDNGGYGGGGGGRW >CRE05458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:392320:393652:1 gene:WBGene00052952 transcript:CRE05458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aip-1 description:CRE-AIP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M0M6] MAEFPNLGKHCESAVCNRLDFLPIKCSGCAHFYCSEHFTFEAHNCPTGSRVSVQVPICPICEKPVPTPKDGNVDYQVNEHIQNNCQTKKSKVYTNACSVPKCRKKELVAMNCSKCRNNYCLSHRHERDHSCERKSEEFESQRKKNAASWTDSITSIARSRMNPCASQARTQGDEELARSLQQEEYNRVAPPNQSRNSNSNCSVS >CRE05459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:395525:396454:1 gene:WBGene00052953 transcript:CRE05459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-end-3 description:CRE-END-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M0M7] MYSHSFSSSSFSSSSTNSNSPPSTQMFGADNSMVQVYDQGYGTPAPQPGMPMVPPMNYHHFPQDMMTNQPFAMAPVDNGMMFYGQDQQQFPPMQYQMQPMVDQGIPQEFQQNYQQDMYQQVPDQLQVMNQDFNYMVNNEVLDDANNAYDFNKVPEQQQNENFNFGEVPARAPRRRCSKKKEKKVSTMHLNTSCSNCGTRETKLWRRNERGEPECNPCNLYERFKGEKRPQHLWNKPTIKRRRRPVAPIANEVNEEFGN >CRE05639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:208643:212149:-1 gene:WBGene00052955 transcript:CRE05639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05639 MIVCSRKQPRKNVKPSIEDQQEIPSDINYDETQDNVQTVELQEDPLANVRLLTPTLPGGCTDENAAKEAATRHKTNQYFPKLEIQSRRIVNRTSKEELRRLVEELPMHPPQRYRTEIREPALEKRVEKWKDIHVHWHDVGLVFLVRNHDTCRSKDDSGGDTVDSPNKEDEESTKVSNFEEEYSQNDIFQINSEIPISNRTPNKSKRKTPAPVKQSSKKSLKERKLAATQEETMKSENKTPHGMTPKPPGMPSNKSGPESDLIKKKFEKKKKKAEKKKKEKQYQKRSNTQTKTTGKKKKKKKKDKKRKRNSRSRDSSSSEESESSDESQKQLKAVQSKLAIDAANIDKKYHMTGALIKKPEDPPNFARKLLDVEWKEMIIDPSLTNDVVKTLDVYLKNQAELKSTDYIIIAEKTDDYDKKETSRLKILTKYDEGRFSAIYMVQNESAVDNDVVSVGNGRLMMKTGLRQLSSRQIINRLSREINVLKTLWSKTNDLPQRIPPLYHHGRMIGVPFYVTNIYDVNLEKCREQMGGGAFSVQSAFHIAQEIFLAIKFLHRRQLLHRDIKPTNIVLSYQNRDHWYLIDYGDTISVGKNSALSPPDGITLPFLSLEAHDLLNTNSYSSFQQDTESWFYVLVDLLKPLPWRNNNKIEQVATAKREFLKNIPNRQTEFPAAVIDIARVLRTDTPPVYSEISRKITEGLSVSRNTTSGEKWIPEWYDRAATKKLKEQKALRRKKSREVSEAETTATITGDSLETQVADKKDRSVYATEPQQLGNLNRKKVSTPPPNPGSTTNRMAVMVDDEEPQRRSPVQKQQERSVYFTDIPIISPDNGAKKKYRYKPRK >CRE05462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:404901:408198:1 gene:WBGene00052956 transcript:CRE05462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05462 MRPRKLRHRPSDELIDIRERGLPWLFSVFVVLFLLLTILLFYFIFTTRDVYLSQKQALEEEDVKKKEAASLVKEESEEDDETWKEQTKRKGKGKSEEKVHVDIVPIVSRKTGEPDKASVLNLNTNATISLDSIPYITEQLTTTTTTTSTTETPTTSPATSTSSTTTTTTTTTTTTTTSTEEPTTTIMPTTTASTTTATTLATITTTTTTDEPTNVELTTTPVITLEEITTTPDEYLDSEKFATKQKALEESDEVTDLTLELEDDIGDVQVEEYEEPITTTTTSPPPSTTTNIESTTTEKPETTQQPRGEKNFTRIIWDRRPVRSRSEKFSKFAIITHHEQCSEIGKKIYAAGGNAIDAAIASEFCISAVHPHSTGPGFGAVMLVHSKKKNGTFVIDGRERAPKTANQNTFVINPTIAHIGYSSMGVPGWISTQWTAFKQFGSGKIAWKALIEPTLELLENNRIRVERVLGQAFESRKNHILHEKSFTNWMNQTTREGVVLRNPEYEDFLKQLKESVHAEHDFYGGHFSKSIVDEMKNRGGLISRQDLESYNCIVTRSEILKVGNHFVAGPHLPYHFPILGKVFQNITETFPSNPENILEYYVDFVKLLQKGNQMRYFVGDDLFEPEVKPKIDEWTMPSEFKPEELVEQSQQQKRKQVPFSRILSADEEGNSVNYMSTNSVPWGSVRRSVTMGFVWNNAMSSFDVFCRHGMNCVRGGKRPSVTNGFPTILLDSEKQPLLLISPTANPLETAAAMVFQSTLMNMLPLISLDHPRIYPQSIDQVSVETGIPEQLYRTLWTDFRAQFTHPTSPVTLLHIDTDNSEVESLCDYRQNIKICYPSGA >CRE05463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:410230:415330:1 gene:WBGene00052957 transcript:CRE05463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05463 MIRQEPKLHFLYENVNLDSFFKPEPVCQELDFSAFEDVHAKSVFRIDKNADLEISLRRDGPPEHTWLARGLMKIVLWNENFEIDGSYPAIFCNEKPFHMIDLMSRKALRSEVGDDIFNVEISIKFDKWEGLKVMKLFQFDHRYLDSNLNLNVQGQDFYVRSKTLFKEIPAFREYLEQNFEPGTKKYELNELQGEKSVAAFNTMLQVAFRCTFITNIHEVGELLSLAFRFDYPTLKQKCEYYLINEPETNWMEKLVFADLYNLLLLKHHVFSHTIGLAQVYKNLHLNRLLETSTLRSILSKVTELKKLKKYEDAPSTGWNPLCLSEITENRWGRMKRNPLCANAPEPDVTATFERFESTFMPKHFGVELITPEFSFVESTMSLFSYKVQLGPDHRLLFKIDWNDVRTGNFVWSVGASVFIRLQYLGSEKWEYSERIRFDNDHPTIFFDGIHIQKVFPGGVEHLLDGLGKNSTLPKVEIMIVVESIKGLELIPAENSLEAIEEMDDEWILQPSRNHPIVCCGKYLYVDKEVLRSKSRVFTKIFEQTYEPITIPMSFEKFESFSLLLDILHGEDVFFNYQNVFHIIELSRAFETEEVLLRGVDWLIEEPGMEKLEKLEAADKYSIPELQRHMTESIVDVDELYAMFKNPNRFSRRMLQILIERLMNLPIPASDEDREFE >CRE05464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:415962:417290:1 gene:WBGene00052959 transcript:CRE05464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05464 MEEIEAVHENSQHKKENEPKPRYFTNEENIQMWEFVYRFVFDPKEGIVEKIREPTPHTLKFWQKYIDETGSKRNVGSLQSRYPKLIKTLHKMPFGTEMKLNLSYSLDLPLEEEFLKTAKEEAFIWMDENKNVEAFEMKTEEQLQKEREAEQLRQQLLQEKQQSAQKKKTPTKRRSSIAKNVTATPTIPATPSAKRVKTMFSKEQDVDIWKFLFGKIFDSKTDKVQKLQIKGSSRALWKEYLGAEADSKAVSSYCSHYQTIIRHLHSMPFDMRMKADLYYALHIPVNSEFLALLKQKTEVKMDEDGCIVEYHSEDLKEKLYCQADSEQKLDPGADSESGVNSRKIHDDVDGFSADLEEVYERRHVY >CRE05667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:417499:418698:-1 gene:WBGene00052960 transcript:CRE05667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05667 MKQFSKQEEMTMWKFLLKEIVDPITGFIERDRIKAKGLTLWEKFRMEVSDLRTATSLRKRFSMPSFPTPQSMNFDLDSQAKLHYALAIPVDESQLTEFLENADIDLDESSCIIRYKDRRPGGLELRQFVKQRRRRREGTIDFGTPEEKKLKMQTDTVLNDSLEQLNKFTEGDFKLEPVDDSGTEHDDAVFSFESSSNEHEPMQNLLATLQGVIDSSNSEMLDLFRQTAPITEKDEQHSNSQDCQPAGGSTDVSMTMYLESMASLVSNFRSPDLEKTQLKIGNAIEKSRQEDKQLPLCKVKMMMEATLAMMGF >CRE05668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:419763:421542:-1 gene:WBGene00052961 transcript:CRE05668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05668 MFTFYGLGPNGYSRYTPEQMVASQFTWIEDEAIWEFLHRKVSDSSSRGVKYSSIDPNCLSTWIQFQMETGSRRPSWKLRTHFVDDLSPNLHNAFLTGAAKAVLYFALGISVERKFLEAMRRKANISVDRENRLMFLSQRSTGGLFLKFPESNRPSIESSEELLMWIFLCKKIHDPLSGEVVHPNVMPSDSSLWKEFKDEHSSTKSSIALQMIFQEDVAPNLYTTQLDLLTKAKLCFVLRIPVNRELMATFLRFSHIRLDNSFRIFSYHDMDTGLEMSMDRKICYRKFYKRLPFTKLDECRMYSHIYNKIKDPRTGQIKKDRDIFFKPWIWEEFDKTCSYHREAAIYVRHFRRMLPYTYLAGIPKLMKIVLYYALEEPVNKIFLQELRKNAIVEEDSHGCIVTYVERKTQRSVSTSDACEIINIDNEAVEEQFEVNPEETACASVNTSNQSSIEEPRELLTVSELSSNTTSSQAVYDQGFDMVNVREVLEDILDQIESDRFAL >CRE05670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:425532:429041:-1 gene:WBGene00052962 transcript:CRE05670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05670 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3M0N6] MTTYKIIKAIDECSQRLGELNIQPAPKIYPNPSDPGRFSNEIDIQTNIFGLEVDKKTELFQYIVSIKADLTVKKEVVFTKKGKEDFVVVDRHEKCATIISHAFRKFSDFFKTDKNCLIYDGQSVLFSSINLFEEFPDSQTKTKQIQINGTELSHLDLQNLPYIKLEVYSSKNPPIVLSAESLGQRTANSNLDANNRAYSQILELALCQSGIRKTLKGVCFENGKLFFIKPLEDGFVREDIVDVGDGKSMLPGIKRTIQFIEGPYGRGNNNPAIVIDASKVAFHKEQLVMDKIAEIILKNPTLGIQEFEREKCIPVIRGLDCYSTYSGRTRHLKIEGIHPLDASTARFELKDGGNCTVEKYFEERYKIKLRHPRANLLICKHRGNQNFFPMELMTISKNQRVTISQQTSFQSQKTTKEHAVLPDVRQRLIMTGMAAADICSKNYILSELGVNVCPEPLMAKGQLLPPNRIQFSTQNTEATSGKWRFSGFVRPSEPPKIWAMYAVGYANNSFSIEIFGKFVSMFMHGCQSKGIQIGEPAESKLVHVDDMENVLEIAGRSDCSFVFVISDDSISHLHQKYKMIERKYEMIVQDMRMSLANNVVTQGKRLTLENVINKTNLKLGGTNYLVMDTKLNDVLVIGVGLSLPTGASKFVSLGKEILSPLVVGYASNGKTPQEFTGDFVLSPVGQVTISSIEEIISQCLELYNKCRQKLPKRVLVYRSGSSDGAHGAIIAHEIPLARVAIGRFSSAIKLIYVVVSKDHTYRFFKNNLDNIDTASSSSSSSSSGASRTSSRKADQMNIPPGVLLDSVVTHPACKQFFLNSHTTLQGTAKTPLYTILADDCDAKLSTLEEITYNLCHCHQIVGLTTSLPTPLYVANEYAKRGRNLFTERWFVFLVFLSHINYFSCSSVEPVIIGAGNEKSTLEKVTDELSYKEKRGLLYRRINA >CRE05671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:429144:429941:-1 gene:WBGene00052964 transcript:CRE05671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lmtr-2 MLKQKALVDVLGQVNTSGVDGSWLFNKEGLLLAYVGSEQKTVASNVSSALLASVWSALERRASDLKETILVLEDGVIGCTIVARSMLLAVKANKKADLGMVRAKLHSLSAYLEQPILSISHDLG >CRE05672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:430043:430706:-1 gene:WBGene00052965 transcript:CRE05672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-9 description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:E3M0N8] MSQGYDGYDDMDDQNPISPAASQNGKSGPGFVGIKFCPECNNMLYPREDKESRVLMYSCRNCEHREVAANPCIYVNKLVHEIDELTQIVADIIHDPTLPKTEEHACSKCGKRKAVFFQAQTKKAEEEMRLYYVCAYCQHRWTE >CRE05673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:430821:432641:-1 gene:WBGene00052966 transcript:CRE05673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05673 MSDSKTEEDQTKIEEKQEEKPATSEEAGFGGFGVASSWLNQGQTWGASFLNTAREKTMTTLDLVKKDLNDLTDAVTAEVTDLASAAKEGFGTAANAVKQQAQAFEKLVTPNEEDKPLPGEFETEETSEIEEKKEKPKLESSSSLSFGWMSKIVDTVTDTVKNLAMEETTTGEDEFTEVIKPKKDRKTCLSKLKITEIQSSESTYLNEPGNSEFYERWLSRFNLDEYDGEINILLANNPSLRQVFASLVPASVSHETFWKRYFYAIEVAEMEEEMNKSTLSEALNVDTKSPKKAKRKGSSGVSSKKSSPSSESSVVVVEEPTSPSQSEWSVCSEKNVEEIPSNDEDEEKGPLTPRPESEKKEEGWVNWDE >CRE05465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:436827:440064:1 gene:WBGene00052967 transcript:CRE05465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sptl-2 description:CRE-SPTL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M0P0] MASEVAKRNSPFRFLHKLPIRLTFTEKKSHLLISDLAKERQENDELKKRTHILECAWNQEHDDDEEEEETKDDGVVDGSDDASSTHDIYGDIGNRAPNEFEKIGRFTTFQVYIAWFALFLFAHIREYVTRLGFVKDLSSKENKKMKDFVPLFSDFEAFYQRNCYIKVRDVFERPICSVPGATVDLVDRVSHDGNWTYEYPGTRTNVINVGSYNYLGFAQSAGPCADQSASSIDREGLSCCTTVHERGRSVSQAKLEKLVAEFLGVEDAICFSMGFATNSMNAPCLVDKHSLIISDKYNHASLILGCRLSGASTKVFEHNDMESLERILRDAIAYGNPKTHRPYKKILIIVEGIYSMEGSICNLPGIIALKKKYNAYLYLDEAHSIGAMGKTGKGVVEYWGCNPRDVDILMGTFTKSFGAAGGYIAGSKRTVDHLRVASPTGYYSSPMSPPIAQQIYTSMSIIMGRDGTNDGALRIERLARNSHYFRMRLKQMGFIVYGSNDSPVVPMLIYFPTMCGFYGREMLARNIGCVVVSFPATHMTEGRVRFCISAAHTKEQLDEVNKNLKILICSNIFISVCELGSLSRSKFSRRSHLYKNLKIEW >CRE05466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:440416:441347:1 gene:WBGene00052969 transcript:CRE05466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ech-3 description:CRE-ECH-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M0P1] MNPSQLVITRQDGPVFLIGINRANKKNCVNHATALQLIDVFEKFNEDPTLKTAVLYGEGGTFCAGYDLESVSKAEHQNVADDFFDKNRYMGPTIMKIKKPLIAAIEGYAVAGGLELSLMADLRVASTSAKFGVFCRRVGVPLIDGGTVRLPRVVGLGRALDMILTGREVGTQEAFQWGLVNRIADEGKTVEEAIKLGKLIASHPEMCMLTDRESTYYSLDHTEEESFANEFESTKVLAESIKGAQKFMKEQKSKKSKL >CRE05674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:441441:442910:-1 gene:WBGene00052970 transcript:CRE05674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05674 MLKLHTTKVLRVFQQCLTSECAVHPSSSNENLKPLETLPYVDYIKKKYPDKLENMNVQVENLNSERKECKKNTKVLNFFRDLNSFILNKHSVELLPVGSMVTKFVNKQSDFDFVFFPKRDDQRHRFLRDLHQNPSFKQNFMSVFAKLIARESEKLGEPVEKVVELPRMRVPLLIIRYASGLSIDIQFPEENYHALRNTHLMRMYKVCDNRFTLLFLWLRAVCDKLEVRNSKYGLLSSYHLLLLCVHFLQSEQALSPWPVLPVLAKTHSSLVTADIPISKVAELIKSDDPCLDVFSWKSHNKMAISELIIRFIDYYSHFNASKEAIYIEKGLAQKRKQVFGDVRLQIIDPYSPVSVCRSPHASAAFFTAIQFMRKQFKNGQMIASLPDVPEAAQFLRENHFSFWRTQMSDKIIV >CRE05467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:444515:446200:1 gene:WBGene00052971 transcript:CRE05467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05467 MASTMKAYTTQKALKTVVENFRKPRQLFMYHPIQFEINGTFPTTKTALFSKNVNGTTYWLANMTNVYERDRQILTHDGKFREEDFLAVFDEFCKENGLFAGSKTPSTVAEKCYTKAIEKYMKKNKIEAQVQNKSSHFFAMNESQMFKYRHYEDGSLPDGYSINVPDSVEDVSQILGSSVTPNAKLVEEKLRRFPSLCVRKGDELAGFISSETHGALAHLHVFDSHRGKNLGEKLEIGAAKMAIENGIRPCKFIDTTNSFFLEKAKKSKMMDVVESNGAPLVFDQNVYSPASNYGTYQ >CRE05468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:446804:449841:1 gene:WBGene00052972 transcript:CRE05468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vars-1 description:CRE-VARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M0P4] MVLPPPNVTGKLHLGHALTVAVEDAICRHQRNQGNRAEWIPGFDHAGIATQVVVEKMLAKRGKRRQNMSREEFVQECHSWSEKCSAEIRQQLCRMGASLDWNQSYYTLDPKFSSAVTKAFCILEKDGLITRGKRMVHWCPTLSSTLSTQEVNRIDVPSNSYISVPSADGKKRKIKFGQMHLIRYKVVDSDSEFVEVGTTRPETLFADVAIAVHPNDDRYSKLHGKYVWSPVTAGRKLLVITDSAVSIEKGTGAVKITPSHDPLDFDIWNRWKSQNSTSEIEEFSCIDENGKMTNCAENILGIDRFEARERVIEMLSSSDDYKGLIKHEGAQVNICSRTGDVIEPRLTEQWFLDCKDMFIRSAEALKTGQIEVFPEYQSHRLIEWFENQEPWCLSRQLWWGHRIPAYHIPGLLFFRQEKWIVTADEKEARQQLGDQFVQDQDVLDTWFSSSLVPLVKNGWLEGEQIQIPSLNVMETGWDISGFWVARMIALNLKLSNGESPFGKVVLHGLVRDNEGRKMSKSLGNVIDPLDVLDGISYEKMVERVKESALEQHEIENAVTDLTKMFPKGIARCGPDALRFALLKYDVLATDIPLDVSNVSLDGLHFCNKLWNLAAYYDQLAEKSEVIKDVDSDRLIDVWIMARLSSTVAAVDGHMNKFSLHLALSTLHKFITSDICDIYLESTKKALWSNDIGRIRQARSTLQRVLQPTLVQLNAFMPFVSEYLYERIFSREPGSILFDVVKPSLFIFHRNEELEEAMKLITAVMASVRSTRQKLQLSPKLIFSGILEMKPSDMTPSDIKRLADEVTQTCGLELQKVCREVDEDVKKDFIACPVPGHDAKLWLRIDSESKEAFIDSLKKQLEKIITRQEQFEAKVVSYESICANPNTKPLNALKTKRKAENARKVVENAKEEATRIEHLISSQSK >CRE05469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:450036:452875:1 gene:WBGene00052973 transcript:CRE05469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05469 MEGTEWIVIIVSLVHIILAPGTKVEESFNVQATHDLLFHLPTNLSNYDHSQFPGVVPRTFLGPIFLAALSSPMSFIFRFWAIPKMWQLLLIRSTLGLMNTMAFLYFARSVSRCFGRDTAMFLRIITCTQFHYIFYMSRPLPNSFALVLVMIVYERIFDGRYESAVRYATACVILFRCELLLLFGPLFLGYMILGKLKTFGFDGAIAIGVRVASACLAISIPIDSYFWGRPVWPEGEVMFFNVVENRSHEYGTQHFLWYFYSALPRCLLTSLALIPLGCLVDRRIPQVLFPSVVFVFLYSFLPHKELRFIIYVLPIFSLAAAVFCAKMFINKWKSLFRTILYFGVVMHLFANILCTAMFLLVASKNYPGFDALNYLQFQHRFDARKPVTVYIDNACAQTGVNRFLHSYDAWTYNKTENLKPEDLEHFDFLVLGTYGNTLKTEVETKFMKWHRPLFFVNSFHKFNIKHSKKFPYVYPEIVYTEKSAVLKNRNYR >CRE05675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:454530:459095:-1 gene:WBGene00052975 transcript:CRE05675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05675 MEMKSIKQINSNNELLEIEVFKITDAHSQLPFIQLQTPSKQKLLALVDSGAQTSIISTAAAERLQLEVVGKRMTAYTGFTGKSEPALCKFYKLEMTDLDGNNWATVTPSYPEMSTMFRAPNHSKEDVKFLQERGLDKEGITDLMQFDGTPIDMLLGNNIINKIRRMQKTEMAYLPSGRAIEKMFIGFINHPPILDDSLVPIGNRFNINVLDELEQVWIHTVDSLDIDQNQMKTKDHSIVSNRKLEQQLEQLWNLELLGIEPPPAKADKEALNNQLLQEQKALIIIGKDGILRIALPFNGKQKRLHNNLPVAKKRLISILGHELKEKKERDAYDKILRKQLDEGIIEEVPTDAEEDGPEYHVPHRGVVKNESLTTNLRIVFDASSHMRNELSLNDTLYPGPSILQPILGILLRSRLTKYMMVADLEKAFHQVHVQEKFRNVTKFLWLRNPDKGYTEDNLVTYRFTRLPFGVTCSPFLLAITILRYMELDVQEINPRIIENLYVDNTMFTSNSKEDMEQCYKQSKAVFKKMHMNLREFLCNVPDVMDIIDEKDRSPQQSCKLLGHKWNADNDELTIKIAEPPEGIPTRKEVTSFQAQNYDPSGMIIPILIKLKKFISLLCERKLKWKDKIPNDLIPSWLELKKQFTGTTFTIPRQLVTAYDYKTVQLITFSDASKDHYATAMYMRYGYEDGTFQSRLIFAKSKIRPSNSGPEFTIPRMELMSMEIATNAAVNITKELHIKLDNVVFWSDSTCCLYWILSKVNNDLGSIWVANRVNKIHKNLAELRDLSPQLRYVPTDKNPADIATRGCSLEELKVNRLWNHGPKFLEETEESWPKKLEGTLADPYAFREYAINLGIIKKIDHAKANKPTPTIYESIVPYNRTNSISKMTIWMAKVMDFVCQLVKRRNKRSPKQPILFQGRMKEYNDAIEAKEADARLKLAKKLIIRDHYVDAEKRLKAVPPTEFYPILHEDGTWRYQTRFHAAEDQRLTPEMRLPIIIIAEHPLAKLLVMESHEKLKHQGVQDLVCDVQQRYWMKNLITIAREVRRTCATCRRKHAKPFKYDYTRILPQSRTTMIAPFKFIGLDYIGPLQYKRSSGCGKMWILLVTCLVTRGVHLEVVTDHTTLGFLNAVKRVFARRGVPSHILSDNAPEFKLGYAMINQDLRTLVNRDDNLTSFIAQNEITIKLITPLSPWQGGIYERLVALVKNILTKELGKEIRPFLEMETLVIEAEAIINSRPITPNKRDGNDTKAIRPVDFLNPDACLSLPESTEEVVNRFKTGETERITRQLLGNLGRVKEHLWDTFAKSYFQSLRDVHLTKMPRSKNYPKQGQLVYVDLPTTKSRQHWPIGRIISISRSLDNKPRSAMVKLGNKILEKPINQLYPLEDPGNFDEEADGKIAVPEAESSRATILHQEDKQPEQSTAPEKPKRGRPRRVVNAEVPEDVPKLTRETLNMKSRKISSRHPDEKPMKGGHQDISERTKRYLRRTTKEAGPSEKQTTSTSLHSVDLPPPECRGYTPLDISST >CRE15225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1947:871:1836:-1 gene:WBGene00052976 transcript:CRE15225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15225 MSQDSLLDKEVVTDEDIESIVYDEATLLETEPEITSESLIPIGESDVRASRREDDQSLKNSKDRNEMMAEAQKQEDVKEAVNAFMRSIDDRIESSSSKSSKKLKEAKKKLEEAVDQYKAGESSEAEAKFLRNIIRYQSAAQQVLTETIEEELEDQSKLIDEVMTASEELAKKNEELVQKLKEMKTEAQEKDRPACFFCESTKHSAIVCYQFKDHEERTNMLIAEDKCLLCFQKGHKKNECADLARYENCKKCKQEKHHVSICPKYATKERTEKKQGRGPSQKEEVKQGEMSGRHMEDGKDLDGPKQSASEAKKQRQPILPP >CRE05470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:471745:474044:1 gene:WBGene00052977 transcript:CRE05470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05470 MKEVSCKNIRKGIGKNGKKIRRVPNRQFREVFKSLMISKRQPTISADKAYAMKMKKVGGKHENFVKTDLLGDIMDNKAHKVGMPIRYSSTIYAPPAPLILKKPHYLYKTYNFLDDLTKYDIYRRAAHYSNMKNTFRKKRALEEYKLAGLAVCANFEVRRRREKIRLRGRWRPEYSNYGKRMLGAARKMVFKLQKNSQPYFAQLIVSKKDQARTMMNTMMSTIKFNLQNHQKETRARIERFKKHNQDYIKDRKKCFQNPIMLKFTRDHLSSQIVNRHHKMPYRRRGNQDLFSEFNYFSSHLAFSAHFCKVIRSFRVDRAKEDEVIQKSVCLTPLIRIRKSRYTNFPVTSVVSYNENEELQLTLPRVSKKAPSIVAVSGYSSDSGASAATDTPLDWELDWKYTDKKLEHRMALIGDTTRYISQTASEKHYNQRRWKEETRRKMLLFKADNRLELSNKVPIFKEITKMHPPFIYCNVKGMELKKLRKSKFLGCQDSEKYESIVYVIKQRMHFLEKTLPCKDDPRILLNQGWKNFGIRQGTSKFEKVAKILKQRSTWVTKSCVSRAATVPRCDKLPMVDEELVENK >CRE05678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:474199:475334:-1 gene:WBGene00052978 transcript:CRE05678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-43 description:CRE-COL-43 protein [Source:UniProtKB/TrEMBL;Acc:E3M0Q0] MDSSDGRTKAYKFVAYAAVSFSIVAVLSVVLTLPMVYNYVSHVRQQMNHELSFCKVIFSPFLSLYLPFQPALLTFPYPGSAKDIFAEVNFMKTHSGPAAPGNRTARQSGYGQPEVNPSANLQCEGCCLPGPPGPAGQPGKPGKPGRPGAPGQPGIPGKPPTAPCEPTTPPPCKPCPQGPPGPPGPPGAPGDPGEAGTPGRPGTDATPGLPGPRGPPGPPGEAGQPGPQGDPGSTSSIRATYPRSTRRARRCCIPLQSSSFFRTSRTPRTTRSTRKRRTSWTTRTKGSSRTRRTTRSRRTSWTTRTTRTSWNSRREGNLSKVLRSRRRSLLRGRYSPLNGVFFSLIVQFSFIYLSFHMIWLLKKK >CRE05471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:476741:477387:1 gene:WBGene00052979 transcript:CRE05471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sth-1 description:CRE-STH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M0Q1] MSQISKKEDAVASSKIIFPVAELRTKWERLWPKDERGVTVTSQESIVRSRVQRYSVHDSQQISKQIGYVNTVNTARVYSDGDVTWCWVVHNKLTPTVKSTPSGKIYTSTCYIQPAANQ >CRE05679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:477657:479610:-1 gene:WBGene00052980 transcript:CRE05679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05679 MPKGGASSLIPVDAGLRGDLDSFLRAFLDLKSQRFSDYKKLFQHRKMINLHNGRSESAEIIEFNEQLLVHCLPYMEENVCIGTPRSLEERLFGLYSIYTFFYTQQVEHVVKIRVDPDTARNFRRFTEFLLENNIFDAYMACLKLLEDKAFKHVAFVPVFDPSLFKRFGANDQIDRSKALVNVNDPLVRVRILHNSDVFKKLGLIHNEYNRLKKSAGVDLGGISMNNVQDTCKHILENYSQTIKEEKVPLIATVSPSSDKAKVTRSKIREKAYSSELSLSRFRRHYTTDNIRIKKERFEYDSKEEKNIEANPEKHLKKVGIIKTESLEYKKASQIKRETKINTLSQSSKAESITVKKEVSHSVEMNVEFPVAHCLKSILKKSPIKKKNNGLRVTIKEEPEYEREWAQFFDSSGTEENNGSPAPKKARKPIKTETPDETNEEITGENTNDSITSGTFIAASIVATSDNDVRSALETKKVIKIEPIHDHLDQLEETTSTSGLQRCVVDNDYECANEEIHDCMLFSTTFESGILNTNSSVFGDEDIELLSDDERNVSMIIDF >CRE05680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:480043:480847:-1 gene:WBGene00052981 transcript:CRE05680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdz-23 description:CRE-SDZ-23 protein [Source:UniProtKB/TrEMBL;Acc:E3M0Q3] MNSSISALLIFLLLIPSCSTTGILWIRMSSSVNVVARVEFIDRENSSRYGISFDTQLHSHHKEFVIPMPSPSSNSSIPILNYGIRTKKSITGNNFGKKYENILPLLVNETWIHKTLKDENNIFIHVSIRSECLPNYYGSICQYYCSPGEKCPIMDCREKKCQNYSKCHFDGTTSECKCQQGYFGELCEKKTIAVAARNPVESLILLATFIIFLTLCVVFIFASYVLKAATSIRNGAEPMKFSVVPELNLSEK >CRE05472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:482554:484918:1 gene:WBGene00052983 transcript:CRE05472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05472 MFIFQGYSTSMPFSQIGINGIVNLKNLHVKNYRSPQVSVLNFLPPRHVVLGLENLDIGLGSDFTANAVPIVVEGNLVGTITGMTVALTTELLTDVTGKLNAVVRNCSALIANSQITINPTGPMGIFVKTFEPMINDSVRQRIPEIFCSRLQNLIEKNISKLFEFITKINLEDYFPKMNKLDTDVMNIFISHLAKGMYVDNRMITQPLITMDYIETNHQGEIQFESLSEQTPFFPRPMEQLFREPLSDHMAHFYVSDHLLNSMLYHAYQDNRLALKIDESNLPQEMKSFVSTQCPSKKHQTFVCVGYLIPEIGKLYPDSTTSFAILPHGLPYVMFNRDGMAVEIKNRILTYATPDDDSHSRNRSQILVFSINGQADITFSPTNETLNARMNLNRFNVRLHRSAVRGIDETSIARLSPLSKTFIAPRLSTAVEKAARFPMQDTIKFVNPVIKNYDGFISLSTDFHLERKKIDAIVRKSIEF >CRE05682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:485960:487959:-1 gene:WBGene00052984 transcript:CRE05682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05682 MILHHSKFVFLFRRHFWKLILVATVILLGVLLTSPLKRDELPPRHKLAKYNMDVSEKDPTEVYLDIGGGTIQMGRLQKENFTFVEEEDPSFVMTSTTCREEPWNKVHSDRIPSPELHDYWIRNNTSRKDYLYHTTPSPLAAFVHPEHIAVTLTAENQFGKKVYCRYFDCKRREIPHVFESVVFPESTVYCGRRVGAKYISITETKYDTPETPVPIVNRISNGPQHYFTVCMSTLYGDEPKFIQIVDFIEYHKLQGATFFHIYIRNVSAYDRMLLDDYVRTGEIEVIVLNDHYWRADYMWHMMQINDCHMRNVNFAKWTSLLDIDERIEMKKDWKIVDFLDTITNPNVVNLQFKVQWVLKDSLSPARYENDNQFFDNLVFRKFHNTSKVQNWLQPKTIIRPESIAAMTIHSPAALYKGLNKIYVSDVIGVTRHYRNVEGGALTNNNKRVYEVGPYSMTDVEPNLKFKLTDACLRRVKDVYDTVNYSCQKMQEMYHHHGLTHPCVHHKRH >CRE05683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:488746:490096:-1 gene:WBGene00052985 transcript:CRE05683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05683 MNIGIHTVQYIGFSCAQLTNIVLLLLIHKKAKKLFGSYRYVMTIFTVYSIIYTWIEIIALPLMHIHKSMFIMMVDSFLRYEQRIGYYVICFYCASYALCISFLATQFYYRYVVVCRPDDLGKLKGFRLFLIFLPGSFFFVIWYSLVFFAMEKTEEKEEIARQVILDVYNEDSSKLAFIALQFWDNYSDGSRHFRWIDACGYFGCLCIMGICFSTIMFCALKIFFKLKCEQTTMSAKTKDMNRQLLLTLVFQTALPFFTMYSTVGIILTLPIFEIEVGRKGNIVASLACVYPAIEPLIAIFFVKDFRRVFLCKGKVNTSVNDFPLQEAQDNSRRSSNMFINKSLI >CRE05684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:491334:492580:-1 gene:WBGene00052986 transcript:CRE05684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05684 MTLSLKLCLHIAQYSGFIIGQVTNSLLLFLILTRAERLFGSYRHVMTVFAFYSLLYTWIEFISQPVMHIKQSMFIVMLDGPFQFQISSGNDITCLYCASFALCISLLAAQFYYRYIAVCKYKSSIFQRKCHNFRPNNLERIRGWKLSVIFVPCLICFVVWFAFVYYGMHNTVEKQKFMRYQDMNKIGNKNLFRDVMIENYDADITQESFIASLYWTYEENGQRILRYRDILGSAGCTFILVCLSFMRREKTSDFRLPVSPFPRITPRFQTLLPFFMMYCPVGALITLPFFEIEVGQLGNFVGAAAGTYPAIEPLIAIFFIKDFRNAVLCKRI >CRE05473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:493649:494824:1 gene:WBGene00052987 transcript:CRE05473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05473 MSDKTSSKQSTSKVDDNRMIVVETQMGVKERRKKVKKLTKRIASSVKVEDETRLQLGELTAKCSEQEADAILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEESREACAKAARVQVEENLLTECWNEEKEMLGEQIREIQREKEMLGEQIRKIQRGKEVAEAQVRKLEKALTKLRKKLDQEERKPNGLRDEAQEIAVETVTGDEKTSSEEKKVFMIGNLGIRVENRNPYRETAEKSKEIAVDTGMEERKEGMKPKKTMIRESKILITPRIGVKGKSIFEYRKSALNTWKDKFDFANVESIVFLFKMDCAKSGLVESWKRSWITAGHVKWSDSAASADETFKTWEQLLEFLEARTTENVVVAQLRKESVTSEPRIKEDKWSHQ >CRE05474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:498318:501896:1 gene:WBGene00052988 transcript:CRE05474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-catp-3 description:CRE-CATP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M0R0] MIIPLTYLGFLLGVIRMLRCRGAKNDTENNSVKEATHKDLSASFVEHQMHIAQLSERFFHSHIDIVEPKRSKGLTKQEAAHKLQTDGKNALSPPKTISNMELFFRQFKNLLWLLMFGAAALCFLTYIYDPTDALNLYVGIFIVAIVFLMCIVSFFEEKKGVEVVRAFQTLMPTSCQVIRDGKEILLNPEELVVGDIVVVRSGCKVPADMRIIGCTDFFLETSSITGEAEPLEFNAKDAAANVSIFESYNIAFNGSFCVDGEGYGIVIRTGENTVIGQIASLTLGQKDKKCKFETEIERFVQFITIMAITMATVIFSIGLIVNGGNDVIRLFVTGFLMVIIANVPQGLPTTVTTELTIIARRMAKKNVFLKKLEKIDSVGATTVIASDKTGTLTKNCMTVTDLWYNNSYNSARPENTGRTTKKRNLNAMNTLGWYDAPLSDILSVICVCNKARIESNLTTKIRPPRVDSELDLTVYRSQPVKEMLISGNPSEVALLRYASGMLDAKEVRDNFHVVFEVPFNSVRKYHLILVTTESTWNEMDERKRMNADVEFILMIKGAPEVLIKSCSSLNIDGVSMELNEKRMDDFNEAYETFGDEGCRVIGFATKKFRAPASSVFSIKSNTVPMDGWDFLGMSAIMDPPRDDTPRAIKACKKAGIKVYMVTGDHKSTATAIARQIGMIDSEEVTNLDHHRQVIRRTNSQDWAVITGPELPALNEKQWDALLEHRYIVFARTTPEHKLLIVTESQKRGECVTVTGDGVNDAPALKKADVGVAMGLAGSDVAKQAADIILLDDNFSSIVAGIEEGRLLFDNLRKTIAYTMTHMWPELVPVMLNFFFGFPLGLTPVQILSIDLITDIPPAVSLAYEGPEADIMLQPPRKKETHLVTKGLITYTYLFMSIFISVGGVVAYLLSYYLNGVRPLELAFTANDHFKVGASNFTTSSGVVLTAAEQVHMAAQAAAAFHIAVVVGQAWHLWMCLTRRVSIFVHGMANIVAILAVIIDLLLICLFTFVPGVQYVFGSAPPPWECWLVPVVVGIWIWIFNEVRKYGIRNYPKNKLVQIVKW >CRE05475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:502260:503669:1 gene:WBGene00052989 transcript:CRE05475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05475 MGVELFGKRSPNQEDVLAERIEQLERELEERCRKLKTLEEELKVLDEKVKLLSEVQDSGEGAMNGGGSNTGVKVGGGADQEKLEDPGEMERRRSVVVAGVPEYGGNDRMRWNWDYHCVGKLFHFLDIGSPPVSIYRLGKAVPGKSRLLKVVLPRSWDQQTLLARAPKLRYFQCGTTPVYVRASLTKTQREELRNKRKAKINDPVTSNVKNNYNTLHSPHPPSSPPPTSVPQQLEENMDTGN >CRE05685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:507832:509335:-1 gene:WBGene00052990 transcript:CRE05685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-131 description:CRE-STR-131 protein [Source:UniProtKB/TrEMBL;Acc:E3M0R2] MMYQILHSIQFVSFVVSQFTNGFLLYLIWTKARKVFGAYSYLMATFSMYAILYNYVDVITQPLVVIEQQMYVVVNHGPIRHVPGVGFVFTCLFGSSFGLCISLLSTQFFYRYLAVCRPKSLACLEGHQFLLIFAPALFVSVTWFFFCFFGLDMTPEKQEMLKIPFMEYYGEDSKTMSFVSGLFWSYDKNGMAHWNTKDCVGSLGLAALMIICCSTIVVCAVKTYNKMNDASNSLSDRTKELNRQLFITLSLQTLLPFMLMYGPVGLLFLFPLFQVNIDFLSSSAAASTAIYPAVEPLIAIFCIKTFRRSLFCYKNLGKISSAAVSTVRSG >CRE05686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:510680:514226:-1 gene:WBGene00052991 transcript:CRE05686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05686 MRGIHIAQYTSFVGAQLANSFLIYLIITRSDKQFGDFRYIMGLFAFYAMFYAWVEIFTQPVVSVLSYVHRGPITGSRCGQSNEISKTDWSLRGLSVLCLFGLCISLLATQFYYRYLALCKPKMLEKVSGRKLPLIFIPAVLVFVFWYINVLIGMADTYEKDVFLWDAFQSDFSIDSYRVAFVACMLWKVDENGVKVWNLLDCVGALTNVFIIALIPFILMYCPVGLLYVFPFFELEVRWLVSIPGLSCCIYPSVEPLIAIFCLKGFRNIVLCKKGSSSGYSISNPVDAISRSIAPKYTTSNKVFVRIV >CRE05687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:514510:515615:-1 gene:WBGene00052992 transcript:CRE05687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05687 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LZH9] MASGYKNLDGRNSWKNSAKLDIDELIIKILSVGHPEKSMTKTVKEQDLIHLCHLAKKSFMLQPVMLEVEAPIKVCGDVHGQYSDVVRLFHIARFPPHSNYIFLGDYVDRGRQNLELITLFLCYKIKFFDRFYMLRGNHECPAVNRVYGFYEECNKRYASTRLWLAFQEAFAAMPFTGLISGRILCMHGGLSPKLTNIDVLRDLTRPMDPPSPSLHIDLLWSDPDNSISDWAPNVRGVSYIFGANVVKQQIETLGIDLIARAHQVVQDGYEFFAEKKLVTIFSAPHYCGQFDNSAAIMSVDENLICSFHLCEIQVLRPSTKKIRTPSMH >CRE05688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:515811:517280:-1 gene:WBGene00052993 transcript:CRE05688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-148 description:CRE-STR-148 protein [Source:UniProtKB/TrEMBL;Acc:E3LZI0] MLWLHIVQYSGFFLAQITNSALVYLILTKAEKLFGTYRHVMCTFAMYSLIYAWIEVLTQPVMHIKGPCFIVFMDSPLKYHSWIGNMITCLYCGSFALVISLLAAQFFYRYVAMCRPELLAKIEGFKLTYIFIPCIVCFVLWFEFVYYGMANTVEKQIYMKEELENYYEEDSERVAFIAPMYWSIGKNGEKIWKFGEIMGSTGCVCIIAVCFSTIVICATNIYLTMKSVNCHMSARTLELNHQLFLTLTFQVVVDFEDRIHFKDFQTLLPFIMMYSPVGLLITLPLFEVYVGSIANFVPASLAVYPSLEPLIAMICIKDFRKTILCSSWRKISPRVTAYITSSGAIL >CRE05690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:519556:520810:-1 gene:WBGene00052994 transcript:CRE05690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05690 MAVSPYLRTCQFLCFFIAIFANSLLLYLIKIRAGSSFGRYRVMMISFSIYAIFYATVEILTLPVMHLHGSGVLFYVNSILKDHLKAGVMVSTLYCGSFAFCISMLATHFIFRYIAVCRNNKLYYFEGYKVYLWFIPPCILFIIWGSSIYFNFGPNQSKRDYFRNVTMELYEEDIDKIAFVAPLYFIRGQDGKRQFSVQDLFGASLSCIIMSSCFTTCIACAYKTYKKLNDFSIQMSKRTRALNKQLFWTLGLQTLLPCFTQYMPVGLLFILPLFEIEVGKAGNLVGVTCCLYPAMDPLIAIFMIDRFRKFVFRTESPSTTKSSKVSAVNSDGYSSTGK >CRE05691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:521248:522494:-1 gene:WBGene00052995 transcript:CRE05691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-129 description:CRE-STR-129 protein [Source:UniProtKB/TrEMBL;Acc:E3LZI3] MAVTPLLRIIQFTAFTIAISANTLLLYLIKIRAGSSFGSYRVMMISFSIYAIIYSIIEIFTMPVLHVHLSGVLFYVDSILKFHKTAGVLISSLYCGSFALCISMLAMHFVFRYVAVCNHQKLHHFDGLKVYLLFIPPFLLFTIWTLSIYFNFGPNEIKNDFFRNVTMELYDEDIDKIAFMGPLYFTRLENGCRIFRFPDLLGAFISCTIMAICLTTCVICAFKTYKKLNDMTIQMSERTRSLNKQLFWTLGLQTLLPCITQYIPVGTMFFLPFFEIQFGRIGNVVGAACSLYPAIDPIIAIFMIDRFRKFVLRKEGKTVSNTSKVSTVNVDGKFSNGLIEQ >CRE05692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:522818:524442:-1 gene:WBGene00052996 transcript:CRE05692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-146 description:CRE-STR-146 protein [Source:UniProtKB/TrEMBL;Acc:E3LZI4] MFYLHIIQYAGFVGAQITNSILVYLIVTRAKKLFGTYRYVMFSFAIYFSLYAWIEILTQPIIHIKSPACVVFMESPLKHNMLLGYNITCLYCGSFALVISLLAAQFSYRYVAVCRPTFLAHNERLILLSIFISCLICFTAWYAFVFFGMSNSIEKQMYLKYELREYYDEDSTTVPFIAVMYWSIGDDGKKIWRFWDLMLLVACVITIVRSIRIYPRFKIKKLQGGCFAIIVFSAVKIYWKMKNAGNNMSKRTIELNRQLFVTLSFQTILPLFMMYIPVSLEITMPLFEIETGHLANFTAGSLAVYPSLEPVIAIFCIREFKKAVFCCSKPRIKLATTNRYSAYYSSREKRKSPSKGVNT >CRE05693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:525646:527070:-1 gene:WBGene00052997 transcript:CRE05693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-145 description:CRE-STR-145 protein [Source:UniProtKB/TrEMBL;Acc:E3LZI5] MLYLHIIQYFGFISSQLANSLLIYLILTKARKLFGMYRFVMLGFAFFFLFYSWIEVLTQPVIHIKSPVCIVFMDSSLKYYKSLGFVITCLYCACFALVISLLSAQFFYRFLAVCKPHLLSHGEERLLFYLFVPCFLCFVAWFEFVYYGMANTVEKQLYMKNELSEIYNEDSERVAFIAVMYWSVDQNGQKKWKLCDIALLLACVATIVGGGCFMTIVYCASRIYKKMKDTSCHMSERTMELNRQLFITLTIQTILPLFMMYIPVGLATSLPIFEIKTGRIANFTAASLAIYPFLEPLIPMMCIKEFKNVLLCRSKRKVASRTTFSTPVSGIHSSSANAIL >CRE05476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:528210:528940:1 gene:WBGene00052998 transcript:CRE05476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05476 MVWIRISSSVNVVARVEFIDRENSSRYGISFDTQLHSHHKEFVIPMPSPSSNSSIPIPNYRIQTKKSITGNNFGKKYENILPLLVNEPWIHKTLKDENNIFIHVSIRSECLPNYYGSICQYYCSPGEKFPIKDCREKKCQNLSLRRNYLRMQMSTRIFWRTLRKENNSRCSSKSCRIAYATFIIFLTLCVVFIFASYVLKAATSIRNGGEPMKFSVVPELNLSEK >CRE05477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:529355:531326:1 gene:WBGene00052999 transcript:CRE05477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05477 MPKGGASSLIPVDAGLRGDLDSFLRAFLDLKFSDYKKLFQHRKMINLHNGRSESAEIIEFNEQLLVHCLPYMEENVCIGTPRSLEERLFGLYSIYTFFYTQQVEHVVKIRVDPDTARNFRRFTEFLLENNILDAYMACLKLLEDKAFKHVAFVPVFDPSLFKRFGANDQIDRSEALVNVNDPLVRVRILHNSDVFKKLGLIHNEYNRLKKSAGVDLGGISMNNVQDTCKHILENYSQTIKEEKVPLIATVSPSSDKAKVSRSQIREKAYNSELSLSRFRRHYTTDNIRIKKERFEYDSKEEKNIRANPKKHQKRVFKTELMEYKKASQTKQETTDNTPPKPSKAECITIKEGMSDSVEMNVESHPLKGILKTSRITRKSNGLRVTIEEEPKNESYKREGTPFFYSSETEKNNREPAQKKVRKSIKTETPVEINQEMTGENTNVNLDFRENSLFNFTIFFQDSITKETSIAASVDPTPINPILSAPETKKASEIEPIHDHLNHLEETMSTPGLQSCVVDNDYECANEEIHDCMLFLTIFKTFMIDTIYSGFNDSNDTHFFDEEIELLSDDEKNVSISFDF >CRE05478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:534742:536266:1 gene:WBGene00053000 transcript:CRE05478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-90 description:CRE-STR-90 protein [Source:UniProtKB/TrEMBL;Acc:E3LZI8] MLLDYQQTYAHVTFAFSIFTNCLLLSLLLFRKDRNLGSYKSLMFAFSFLGLFFSIVDFLNKPMVHIYGGAYLVFSLNSLGLPHFLANWFNALNCSCYGMTISLLAVHFIYRYLAVCRPNQMNWFMWPHTIVWFVFCSEISFEWWITAVLYAGETPVIDELIKESMKTNYNLTKREFIYASSLYYRTNEKGEKSISWPDVLFAINIVKLIAICMTIVLFCGISTFRRLRTLRHTSKRTTNLQQQLFKALVTQTLIPLVTMFLPAAVMMVSPMFEMTLGPYEGLIMTVITTYPCVDPMIVIFFVKDYRQALWQTIRCKRCLFSRETYSLRGTAVSFVTEPN >CRE05479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:538307:546253:1 gene:WBGene00053001 transcript:CRE05479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-myo-5 description:CRE-MYO-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LZI9] MTHEADPGWQFLRQSPEQLLAATTKKFDSKKNVWVADPEEGFIAAEIKSSKGDTVVVVTSKGVEKTIKKDDAQQMNPPKYEKTEDMANLTFLNDASVLHNLRQRYYSMMIYTYSGLFCVVINPYKRLPIYSESVCQMYLGKRRNEMPPHLFAVSDEAYRNMTNDRENQSMLITGESGAGKTENTKKVISYFAMVGASQQTNKQKSKKDKAQVSLEDQIVQTNPVLEAFGNAKTVRNNNSSRFGKFIRIHFNQGGKVAGADIEHYLLEKSRVIKQAPGERSYHIFYQIYSDAVKGLREKLFLTRPIKEYTFVSQAEVTIDGVDDKEEMMITDEAFDIMKFTATEKSELFAITAGIMHMGELKFKQRPREEQAELEDGKEGELACKLYCVEAEKFIGSLLKPRVKVGTEWVNKGQNLDQVNWAVGALAKALFARMFKWLITRCNKTLDAQDLSRDFFIGVLDIAGFEIFDLNSFEQLWINFVNEKLQQFFNHHMFVLEQEEYKREGIQWEFIDFGLDLQACIELIEKPLGIVSMLDEECIVPKATDLTLASKLNDQHLGKHPNFQKPRPPKGKQAEAHLAIVHYAGTVRYNVKGWLEKNKDPLNDTAVTVLKANKGNQLMADLWADYSTQEDVAAAAKDGKKAVGKKKGKSASFMTVSMMYRESLNKLMHMLHQTHPHFIRCIIPNEMKKAGMIDANLVLNQLTCNGVLEGIRICRKGFPNRMPYLDFKQRYAVLAADAAKSGKDPKDSGEKIAASLIKDGSLKQEEFQCGLTKVFFKAGVLAHLEELRDEALGKIMAKFQCACRHYLAQCEYKRKLDQKVGLIVLQRNIRAWCTLRSWSWFKLFGRVKPLIKGSKKNEEFEALEKKFKVLEEEKTQEERKRKDMEAENARLEAEKQALLIQLEQERDSSAEGEERSAKLLSQKADLEKQMANLNDQLCDEEEKNAALVKQKKKIEQDNEGLKKTVSDLETTIKKQESEKQAKDHQIRSLQDEIQSQDEVISKLNKEKKHQEEVNRKLLEDIQAEEDKVNHLNKTKAKLESTLDEIEDTLEREKRGRQDCEKQKRKVEGELKIAQELIEELNRHKHEQEQVLKKKDIELNSLQSRLEDEQSLVAKLQRQIKELLARIQELEEELENERQSRSKAEKARNEMQLELEELGDRLDEAGGATQAQIELNKKREAELAKLRQDLEDAAINAETSMAALRKKHNDAVAELSDQLDTVQKMRGKLEREKNDKQREVDELQQSADVEAKQRQTCERMAKQLEAQLTDITLKSDEQARLIQELTMSKNKTHSENQDLNRQLEDAESQLSALNRIKQQQHNQMEELKRTLDQETRERQSFHSQVSNYQLECEQLRESLEEEQDAKTDVQRQLSKANSEIQQWRAKFEGEGVSRAEELEETRRKLTHKVQEMQEQLENANQKIGTLEKNKQRLAHDLEDAQVDADRANSIASSLEKKQKGFDKVLEEWRRKCEALVAEVEQSQRETRAAATETFRLRNQLEESGEQTEAVKRENKALAQELKDIADQLGEGGKSVHDLQKLRRRLEIEKEELQQALDEAECALEAEEAKVMRAQIEVSQIRSEIEKRLQEKEEEFENTRKNHSRTIESMQVSLETESRGRAELLKTKKKLEGDVNVSLFVFIGNSNLLFQELEIALDHSNKLNVDGQKSLKKLQDTIRELQLQVEEEQRSLNEVRDHANLAERRSQVLQQEKEDLAIIYEQSERTRRQAELQLAEVQDSVNELSNTNSLLLATKRKVEGDLQHLQSEVDEALSDAKVSDDKAKKAIMDASKLADELRSEQEHASNLDKSKRALESQVKDLQMRLDEAEAAGIKGGKRQLAKLDMRIHELETELEGESRRHGETQKVLRNKDRKCRELQFQVCLMTVYDEFNHVFFRLMKTRNPLKECTISLKNSNKRSKLTNDRLRMRNHWLLPTLENTVNSNMSSKMLKKEPTQLKMHSRK >CRE05481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:546854:548765:1 gene:WBGene00053002 transcript:CRE05481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05481 MLGRDVFCRSILLRNEVSDPKRYHLFFVLLVLLFLLTCLFSYPDAYSNIPKKVTDEVARYIPITLQSSSPTIVTSNFQNSHLKTREDPYFAFNSSDCPTEEWNNIRTSSIPNSKLHREWLDKKLKTIKYLYHTSPSVLAAFVHKDQIIVTLTSENQYNTTVYCRYYDCRRREISDHFESVIFPQSTVFCARRPGAKYISISKTLKENPEYSVPIVPRTQRPPHYFTVCMATLYGDEPKFLQIVDFIEYYKLQGATFFHIYLRNVTDYDRVLLDDYVRTGDIEIIKMHDHYWRDDFMWHNSQINDCHHRNKYFSKWTAVIDIDERIEIRDDSYRTVANYLDTVHNSSIVNLHFLVQWVIKQNNTPARYESDEQLIREMIFHKYQNTSKVGDLWNQPKCIIRPEKIGAMTIHLPTAVYEGEQFTPVPPSVGVVRHYRNVEQRVFAGALQRMMSHGPFTLLPIPHWIDEELTKTIVRRVKWVYNPVDVECIKKQRTYNIHGGISAPCWLEEQAMND >CRE05482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:549421:551366:1 gene:WBGene00053003 transcript:CRE05482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05482 MLSQWIFLISFIPLTYSSISVTFSKNLKTIEEVKNAKNEIVHYVNLNEKTELPDFVLLENSTSVNFHNRHLTEKEESMFAISSSTCFVDEWNNIRTDSIPNQQRHREWIEMGIGKRNYLFHESFALLGAFVYIDQIIVTLTAENQFNKTVYCRYYDCRRKEIPDQFESVVYPESTVFCARRPGAKYISISETLEEIPEYSVPIVPRVEKPPHYFTVCMATLYGDEPKFLQIVDFIEYYKLQGATFFHIYLRNVTDYDRVLLDDYVRTGDIEIIKMHDHYWRDDFMWHNAQINDCHHRNKYFSKWTAVIDIDERIEMRSDSYRTITKFLDSIHEPNIVNLHFKVQWVIKDSNSPAKYENDQQLSKEMIFHKYQNLSQIGSFWNQPKCIIRPEKIGAMSIHAPLVTYSGIRRSLVNESIGVVRHYRNVEQRVFAGALNRMMIHAPFNISPVAKWMDELLTNAILSRVKWIYDIVNVSCDQKQETYQKHGGINASCWVQQQNNNSILKV >CRE05483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:551886:553693:1 gene:WBGene00053004 transcript:CRE05483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05483 MGLSVFNKKPGAKTYRKRGGSKNQKSCNPSSEASKIEQRDPKKKSAELRNDGGSREQPQSTAPMSEKKSEKKQTKGSGKHKKKSKNAHKAGELSVDGGDASKEHANQMMKQLAENHGTPPETLQTPPGHQNNLKTSREENPRTMKSPTCVKVPAANHKDVVNGDTTSKKVRGSKMLAVTPAYDTERSQKLKVNSHVPNSTLTPNSTLSTTDKWFGEETAKAWLEKAEFVKMKAEFEKLQTMTVNVEKECKKWKSNGKLNQSMDDFPALDANLVTFENVYVNMNLVHVPLQRDIYLGQIPVKGNEESFWKVVFDRKTTFIDIITEQDSIDFFPKRSGDHVYHGTMFVNNRRVETVSEDVHRFLIEVLPEGCSNSIICNITVIKNWYRDSVPKKKALVVKEVNEMLNFLEIAKDETALVISKHGAGRAGLFAALSVAMHLMNKGSEPSMYDIVKSIRSQRPKSVNSLLQYTSFYTLLFYYIKKKLAKGDGPKKIVSHPSDAVCQKAIQLADQFDKATLAETNKGGGKSTMTILK >CRE05484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:554118:556483:1 gene:WBGene00053005 transcript:CRE05484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05484 MGRDVSYSPFTWQNQSAAQNRFLKFLLLVILLFILSFFIGFPNTKVDVPKPESQASQEKEISYTKNRDSATENNVGAFNQDSRSHGFTNFASESSNSFSEQTSANEKENSVFDNSVVPSGPDPIDMEESYKVRLAKLEEEERRITESEENLEDSKREKKEEAEGTLRGDVEEKLTNIGKESEAVSEQTFRDHGENKERTEVSESQNTIIDVTDQENKKFVKEGSTSFTDASNSSLNNSATNILNDKHDFNTLRENTTSEETVTPSKEGNTTIITSEEAKNPEIINDFGNVTEQSNSTETVSQETPIFENLSNCNVEYWNNVTLEEVPHQSVFKQWIEKKYSESNYTDFDEGTPTVLGAFVYKNYIAVTLSARNFSSELVYCRYYDCKRKEMVHQMTSFIFPEYTVYCPRRSGAKFISISENLEDKVQYPVQIVKRLLPHHFFTVCVAMNGPEDNILRIARFIEYYKLQGSTFFHVYFKNSSHYQRMLLDDYIRTGDIKVVRVDDNQSDQDCLHRNKYFSKWTAFLNLDDLLEVKDESETISSFLDSITDHKTNILKWSSSERNDTTRLIVRPERIISINHNPISVYLGNQMNENDESSGLLKLVSSFLDSKRNPTFREFVTSLELSPENFSESVGDNVPFKDELIENVISRTKYVYTTIEVNLQKMEKARSEFPSINQNLEASLGSESNE >CRE05694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:559660:562728:-1 gene:WBGene00053006 transcript:CRE05694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spr-1 description:CRE-SPR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZJ6] MDIYDESGEVSRTMNEDVDETLDSILKKSETTMEQEGDLDMEEETEEIENGEADSPEDVDSPRASLQPTKKGKLKTRKRKRSSSDDSSINEPGLDAAQIVQGPLSNINKEINVGDAYQAKIEEDEEDPNYMEDREEPEVLVWECPNDNDKDKIFKFTNDASDRFLLPKDSILFILRQNDYSFDKALAEISKRRILQDSWTDEEIAIFDNSFPHCGKNFSQIRATVPHRSLTSVIKYYYNMKKSINYKQFANSKLNSSSGAEDEEGPSPYPEALFDMMCENCGEKAEDMQPTKKINRYECRACRIYYKMMGVPRPTSLRIVLTERIKNLVPCPEVYREAMKDYHRLSSTATGATFLNRKIGKRQTLEDILGVNTPAMFSKSKNERARFKKLAYRMSATLAKSGMSNTLKIMKDHHDSLKEYRTEPEETMPEVTELFTSTESSNSAEALECKVEMVNSEIVMEHSSNIEMTSEESMKSSESLDANSEKPIEVSVNTTVEGQVVSDNTTEELASSADIIKGSSETTELISTATLAVYPEKLIEGTQAFAPVPTTISNSPSESQNDTVESSVVSKEMSESVNDQKEEVNNPTEVTVHSSISNGVTNELSEVIFQSSKVPEKSANASLQASESSSDSAGSSSEGVRIWVRQRTVCMEEMEALADDSRRKMYDACQHYGSVNTKKVALWKSDMESLRNRLERKNYDLDLNPSFLFSKERVPYCQEWTETEKELALRCFSWYGNNFAQIADVLGTKTFDQVKLFHDANEKLVNNKHGEYEKSMKSLTKTINENRKTGKEE >CRE05486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:564111:565929:1 gene:WBGene00053007 transcript:CRE05486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snap-1 description:CRE-SNAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZJ7] MADNEARALQKMAEGRRKMQGGGGFFGRVFGGGGGSAEAPDLFIQGGNLFKMARKWKEAGEAFLEAAEFYARQGDSKHDCATQYAEAANCFRKIQPERAVQCLEKTSEIYTDMGRFTMAAKNHVSIAELYETELPDKEQCVKNYQQAADYYKGEEQKSSASKCLIKVAMFSAELEKYPQAVAVFEEIAFYEADHSTLKYAAKGHFFQALLCHLCIDPINTQQAIQRYENASPSFSDSRECKFVKELLAAIEEKSEEAFTEAVANFDKISRLDNWSTALLLKVKRTIEGGDDEDDLR >CRE05487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:566301:567093:1 gene:WBGene00053008 transcript:CRE05487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05487 MVNGEEEDPNVRYWKTKYDLLHEDYGRTKERTEDLESRLLEVVEESERKDHEKDKRIRQLEIELEEANRRIEQLEEACFRYKNQNKPPTTSSEFKATEPIKLPMESEETESNELKKVDVQRTMSGISTRSSIDGITRSPEFHAGQHMICEYHKLPILAKGEGFIYGFRWNFANKIHII >CRE05488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:574685:576105:1 gene:WBGene00053009 transcript:CRE05488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05488 MNRSNNSTAHVVGPWDISTLQIVIFLSSKILLLQIVLGCGGNILNLIVLLSRAMRSRTNLIFAAMAFADLFFLILHIPTVLFFVGTIRDAPWYRNGFSQVIAGMCNWSSAVSIWFMMYATIERVQVFRSPFRTSKRSASTRFFVTLFLIAFFCLLLTIVHFINPKTRAANKYARYVVFVHMIFVVIIPMCLSTSLNILLVCALRKNSMPLRMLNDSHVHRSLIVQRTRTERKVTAMVTVILSSFIACNLPGAVVFVMKESDDTFDDSRRHILMQAVCNSLAVTGKVLNFLLFCLSSEHFRALLKKRLCYLFHLHHDSSRRHNMSTTATKTFSVPLNDL >CRE05490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:585147:587920:1 gene:WBGene00053010 transcript:CRE05490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sul-2 description:CRE-SUL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZK1] MSPVSLFLLLIFPLSTFQQREECTVQPPSPRHPNIVVLMIDDLGYGDIAAYGHPTQEYTQVDRMAAEGTRFTQAYSADSMCSPSRAGFITGRLPIRLGIVGGRRVFVPYDIGGLPKTETTMAEMLKDAGYATGMVGKWHLGINENNATDGAHLPSKRGFDYVGVNLPFTNVWQCDTTKEFYDKGPDPSLCFLYDGDDIVQQPMKFEHMTENLVGDWKRFLMTRLAQDQHERPFFFYFSFPQVHSTQFASKRFRGSSVRGIYGDSINEMSWAVGEVLDSLVNAGIAENTLVILMSDHGPHVELCLNGGSTAGLKGGKSNSYEGGFRIPFIAWQPGTVKPSRVSHEVVSSMDLFPTFRAMNEECLFEKEALRSDGINIADELRGETDDVEGSLGKARPIIYYCNTHLMAIRIGDYKVHYKTSPIFLNTSVDPNLDYFCPNGKPKSDWYVSQVCPDEHLQKHYPPLVFDLIRDPYEQYPLQNTVKSQEIRFQAMQRLTEHKSSLVKVKNVLGNFNKTLIPCCNPPSCKCDKLSRPSESDDGRPDYVGLVPDLDRTEYELLHRFF >CRE05491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:588265:589559:1 gene:WBGene00053011 transcript:CRE05491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05491 MSETRPDGGNKRNFYERIIQDHLQRGPIEHDYSFGFLSELMEDALDMIDGECRVEYLSPPVNICGDIRSRYGDLLDIFRNCGWPFDQKYAFLGNFIDGGKFSMETLVLLICCKICYPQNFVILRGNFEHELVKTERKFIGELRVRYPDSQKFQALNTSMKSYLKRLPLVAILNRKIVCVNGLISSNIKQDSNAVLVKNGNSFENHNTRLEILFPESDYPSVVTPMAIEEESKTLKELLKSMDMSMIINSNNVIKQGYQFNFGHQLLTITTGTKLAKAFNNRGIVMMMDRHNKVNFKTIQGLDGEESLDLNDSALRV >CRE05492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:591937:593412:1 gene:WBGene00053012 transcript:CRE05492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05492 MVAEFKVVEDVGTGKGMLSCVKLVYEEQYGGNVILKIPGSDSTRERFLIDDIMFSRLHNTEVDSYTFLQQHPDKNISYPKIFELEKMDTTVEPIKQGHIIMEYMSGITHLYCYDNLKPNELEEAVKNLARFHSIGQELTKVEADLVPRDFLKVWFTKLFIQSNKDLFIGTWKGEMTDWLSSEVAEQAIGELDGLLTPEIFTKLNDDCQITGVQAVLCHGDYSFHNLLYQKLSDKTFKFKAIVDFQSVNWGNAAQDLSRLFVTALSGKDRRDSEERLLKVYYDELIEVSNGKAPFTWDQLKQSYIRFFQLHGAIVCAVTPGLFLQNLHEKAEGVEKDEFRNKMMEKYVALLEDLHRNLKA >CRE05695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:593636:596819:-1 gene:WBGene00053013 transcript:CRE05695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05695 MDSRRVSLPASLAVSHPYFATYHHQPRTSSVSSSNSNSVNANSTMLLSPNFSPSPCPRRSLPSTPLPNGSRRSLPPTPPTPTFSSTSEHEELFVNDVQNDDEPEFPPRSTRLRSFDLRDGHILDKGFQKKPSDKDLLNVNGTGFRRGDSRRATCPDIFLFDSQNCLMKHVVLRIYGARNCGKKSLANRIHHFATSMAPEKVDPDENGNDYTRMTTFLLNGREVTLEILLESTLENSPFRQSKTMYIVMYNVDNRPSFIYATQILERITLANLNNPIPLQLFLVGNKCDLKRNQVISTNEGKSVAKTFKCEFLEVSALLGMNTEETWITILKELQEPSERRRPSWVERLLNRGKGVAKSAEEVFHRMLA >CRE05493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:605599:606527:1 gene:WBGene00053014 transcript:CRE05493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05493 MIEIMCWLVMVVYLLPLCAKKKSETSLSKLSVNEGFERNRKNENKKKIIEEQKKEGQPDVKNKDENEKQKSGGRSKSKSKKEIIKSTLDGDTSSGKKKKESKVSKPAEEVQGDVKAGTTSGKEKIAALDGDVIPADLCGEMDKTINDSENPAVHDRVTRIAETDLIFDPKVVKYRGNVDEEKNKSEVEQLEKEMEAACAKHERRVRNKTRKSEESVKSVDTPVPTDRRVKMKPDACVLFETKEQLTDDEAIDRVEEDDKEQ >CRE05494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:608353:610100:1 gene:WBGene00053015 transcript:CRE05494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srp-7 description:CRE-SRP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LZK6] MALLLQSETDFGLGLLRQQNLTESLVFSPLSIALALSLVHVAAKGETRDQIREALVKGATDEQLEQHFANISAALLGAEKGTQVNIANHVFSRTGFPIKQSYLDTVKKLYNAGASSLNFQDAEASAEAINKFVRENTGEHIKTIINADSISDDLVAVLTNALYFKADWQNKFKKESTFKREFFSSADSKREIDFLHASAVNRNYAENDQFQVLSLPYKDETFALTIFLPKTRFGLSDALKNLDSASIQHLMSNVSNELVNVRIPKWKIETGLGLNEALQAIGIKKAFDQSADLGNFADGVYVSKVTHKAMIEVDEDGTKAAAATTVSISLKSAMFPVEEPKDFIADHPFLFVLSQNNHPLFIGLHH >CRE05696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:610668:612866:-1 gene:WBGene00053017 transcript:CRE05696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pck-3 MMKETDCPTCKFPSIQTRDRLNEVCQFIVPRQSNTMIVPNYGQVPVLKGDLSWLSPEVSIFLNECVQLMTPSAIRICNGSVFEAQELRDAIANEFGSEELKTLDRLHMKISDVGFDDVHVVTKDRMDADPGNPVNPSPGALSNSSSGENSENVRLSSHYMTTKLFDFSKEKRFNGCMNGRTMYLVPFSMGWIGSRHAVVGVQITDDPVLVLNLRTTFRVLSSVWDCIAATTNFLRSVHSIGMPRPIIRRIVTPPPTETPIGSFIVLKHDDQQIWSHGYTFGRTPRFGKTFSIHAASWLGTKKGWLAENASILAITNSKNETINVCYSGLMSVTSLQLPAGQSAGWKVEVLSEKTVWIHWHNGKMYALCPENDEMDDMGSPKNLSNLLTGATSDYQIQSFNPQKTKWSTDVGVPISAYIFANRRHDQYPLILEANSWEEGVCLAAGMRVICIRCQKRFHEFFVFPDTTDSNKRYNLIECPMLRADPINFSFAKYVNHWLEMGIGVKSPSDSSDTYEAPLPPPIFFTNLYQETDGKVIWPGGVDNMKIFEYIYGRCTNPMETSNTTPSGIGKVPKSLELSALVNLPPLLQVDIRFWLTELMKFRTFFNIQMECCLPPQLDKVLTDLAGNI >CRE05497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:614935:616038:1 gene:WBGene00053018 transcript:CRE05497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05497 MGLHYSVNTVILFVVFGGTVLLKRNFDTRDNVDGLHRSAECVQETIEPLMVGNLWQFWDRFPYVVNKCSPSLKDVKLGEFPNSDEFKYHFLPLRKLPNCSIISLGIGKDVKAEKKMKSVLPGCQFYGADPVDEDNNELFSSFGKFFNMAVGDRNGSFRSYVLEEIYRYQEVLTIDLATFIRTNIKQQTIDQLMVDIEHAEYPVFPFIEEKGQLDDWGIHVCQVFVYSHLRFTSFVFQINIEIHSPTDEDRETFASFLRKNFVTHQWIFVNSEIHPFFKHIRLFMVNGRNRECLKRYFLTDNKKRVTSKTRDVHIEDHLFQ >CRE05700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:622751:625875:-1 gene:WBGene00053019 transcript:CRE05700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05700 MVDRLGSVNPPKPANAAEAAQLAVRQKNFNRTCCNMCHIKLGAAFLGVIEAIIAVSVLIGAVQQVLWKNQHSSSCAKNIFRDCLIYQFNHFNVTLIFDYVVILMMVLILFSVICLFFGVASDTSCLILPHIVVQAIFLLFSVGYFVLYAFSYFYGDLIVHHRTFMIQSMFERMWLAGLLLVLAALQSYLFSSVIRCSLYLADIEDNRRRRESAFERCSERVRIAKENGLWRTTSWGGGFQQYKGQYDRPKKEVKNKGFHVQWNTDVEAGKRGSFKKDAMELARIESVETPPLSPIPEDEIKEPSPSKVVHKLNRSSSKSEERRGSATSTGSHRVNRGVSETPTKKEIKQQPRSVKKTASEGTSRIEEDLRRMSSTKEHHHKEHLHRAESKDSPSMPKRHAKLKPTESTRDSQKTPTTTRRPSLKKNRSVDSGDQYDEVAVFYKEKHHRRRSSDHGHGAHSRSKMSRSPEKKEIPIVKKVSITASSVPFC >CRE05498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:621216:622421:1 gene:WBGene00053025 transcript:CRE05498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05498 MSAEPLNMSAEKRRAFRAGKFPEDIITKDMIREMTCSIDCPPGTPNPKEFKVTEGMLLTKVPADMTPPLEYCDHLLKINGTSVTTKKEMQEAFFKLAKTNKPHYVSFGQKIISKIRKIQLSLTVRRIISVERIDARSVPSNASIKKPPSKDKYAKPTKGYLYYKVVLIYFPRSKLGINVKSYGNVVYVESTDCSWGSTTRRFLYLGDAILKIDETEILDVQTTQSAIRSGFQKNGIITMIIERASEQVSNKFVRSVLSFNKIRDPFVPVDVVKSCADQLAHYEKHGFVEPTPIFKGPTKDYSSAKRVTVAQNLVVNKKIASEVFNPDSLSAVPDWNDPKNR >CRE05499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:631783:632522:1 gene:WBGene00053026 transcript:CRE05499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cri-2 description:CRE-CRI-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZL7] MSKLTLVLALLSVLLAVTLACKCKEQSTKESFCNAHWVSHVKVKIRVGKQGLPEGSERKGLNNLRYTVQHVEVFKKPSNMTTLPNEIFTPSESPACGLTIAAGHEYLLAGRVEGPNALYTVLCGQVLPDDRSQTSYENVLEWKNVPQSLQTQIKTIKC >CRE05500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:633022:635069:1 gene:WBGene00053027 transcript:CRE05500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05500 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LZL8] MSPLFYLLGLFLVPSAFGAVKPKVTTPYGPIVGFEHKSSVTGSKYHVFLGIRYGNPPDHIYRFQKPEPVEKWPHINHDATHFRASCIPSLRSELEEQVNYSEDCLFLNIVTPPDAFVTFTFQRQKKLPVMVFIHGGGFQFGDSSMVGYEKAADNFVSQDIIFVSVQYRLGPLGFFTTGDSEIPGNMGLWDQTLALQFLHEVLPDFGGDPDRITLAGHSAGAASVSALLYSPHSDHLFNQAIQLSGSIFSESNLDRNVVEDSKKLAKAAGCNQEDSKGLRDCIEIRTVDELLDAMEQIGELMPGPRNKKFHPFFDKDFFPYDIEKMSRKAPKKKTMQGLVSLESGLSVLYPVKLAKLLGVPKESWATYSKDNLVDFIKSQVAVEQEFGTASARFSSLVEEFYLNGPLTGNSSFYLNAFALLLSDLQYNIPTLHEIELKLQHGWETYFYVIDYDSETTKDPTHPIRGPFHASELRFLFNFDGKDKIPFNEKDTAFESHFVNAIVNFINTGNPSTETVSWPAVSRSEPFANLHLNDQPSLQPSFRKDAYELWQSDIAKTVGAELMKKRLPASKATFRHSEL >CRE05501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:636337:639957:1 gene:WBGene00053028 transcript:CRE05501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05501 MSHTFLFSVLFYFCLLKTFESCSCMDFQTEKEGYCATSWISKVKVLSKETDGLGLMMSYRLEHLKVIKAPENITLPEIMNTATQESACGQTGFKVGKHYIFGGSAANNDSLLITFCDWRVPLKYIDEPKLEMKPTWKKFVDSIGKCPTPPSRP >CRE05702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:640305:642073:-1 gene:WBGene00053029 transcript:CRE05702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05702 MFHFSIVTVLLTTCWLAQYMEAKKERIDPYAENELWDSMKDNKTQIEIPKLNEEGDINSPPTDGTPYLKVVCISDTHEQLHNVTVPDGDVLIHAGDFTNNGKRAELIKFNEEMTRFPHKYKLVVAGNHELGFDHDENQSDRQDADKGLGTEEGYNLLTNVTYLQDKEITVIIFAAKSIHIEGVKFFGSSYHPLRGFPFYRTRAKELAECWKAVPNDTDVLITHTPPLGYLDQFGDERWGCRDLLSTVERIKPAYHIFGHVHERHGCLFNGDTYFINAAQCNKGNVIQTRPFVFYIPKKTSS >CRE05703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:646026:647337:-1 gene:WBGene00053030 transcript:CRE05703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05703 MNELLAIIAIVIVCCVMFFLWLLSMALCPDAMVNATSGRKDKYCESRDSKELIARCEEGDIRHDFIKNVVSAEKMPQPLSTRRSSDKKLSVVPEADEQSTVQSTIHSIRPTILRQPSVPVKLEEEVTETSVENA >CRE05704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:648504:650670:-1 gene:WBGene00053031 transcript:CRE05704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cogc-6 description:CRE-COGC-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LZM2] MSVIDKTSSAVNPLREKVINACSKKLYNDKDCIKIADFITPLVEDMSLDSNLDRKLHNKLEKYELRLNKEYLSEFEKINNIVQKFDELCVKMNSTCTNLSKQMETVKLKSVDLVQKTASLKDKKANIETRCNLINEFLENHSLTAEELRELEECEQTGHLSEFFFKVLERCHEIRENCRNMVQEQGHLAAFEVMEKMQKIDERSHAIICNNLKREFQNLTVDSHQKKQILSKAFKIIQQNDAVFQLAIDQYISSRSQDLLNQFVEINKMAVQMPEGLAEPLKAVGDMLTSIHELTEQEKQLFASICTSENLPVVLDECLKSLTSPFKIRVEQLLSTEKDAITIFKLGNILIFYADKFESLIRKDSHFTQMLGELVKTVRQVCIAGINHHVDGLMRKMTAPHYDLLPVPEVRQCLSLYHGLISIAVKTGNLNLLLEPERIYEYVLEPLIQTVQLSATRLKSDVDVSVFTINCLTVIRSAISEITAFKKKIEMIDAMIEGNSDVLVSVQVSEMLEKSGILDLYQKFNAASPDEKKPLSTLPGLESATVGDALVKFTQFLHLNAASDHTYDLDQQILASEERYSFEYFKKIGIISANEFAKETLWNSLKYTNLSSIISETQPTTTRIFITFRLRTWKVF >CRE05502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:650900:652989:1 gene:WBGene00053032 transcript:CRE05502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-air-1 description:CRE-AIR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZM3] MNGKENSAPMCTEDQKAEVISLTEDTIPHKPAQAKEETCWSLDDFDVGRPLGKGKFGNVFISREKKTKRIIALKVLFKTQLLQLGVSHQLKREIEIQYHLRHPNILTLYGYFHDDKRVFVILDYASRGELFNVLQSQPGHKVSEVIAARFVRQLANALKYCHSKGVIHRDIKPENLLLDSKLNLKLADFGWSVVADHSKRHTLCGTMDYLAPEMVSNQPHDFNVDIWAIGILLFEMLVGYAPFANQTGDKLIARIKECKIYIPSGVSDGAASLINAIIKKEPQERLPLNDIMAHPWIKEMQAREDVEVPLFIATLTKSSSRSNSSSNQ >CRE05503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:653949:654902:1 gene:WBGene00053033 transcript:CRE05503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pax-1 description:CRE-PAX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZM4] MNCDAAQPWMMSYHPTTPDVFWTTSSSTSASTTPEQYLGSGTASGYASTNSPVKTAEINQLGGVFVNGRPLPIEMRCKIVELARQGTRPCDISRQLKISHGCVSKILTRFSENGTIMPGTIGGSRPRVTTPKVVEYIRSLKRADPGIFAWEIRDRLISADICDRANLPSVSSISRILRNKNGSISTSSNSQLRYIRNQLEEQQQQHQYMEFNNNDLNQMNGNVGYNVTAPNTPPSYDSYHYMPH >CRE05705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:654996:655810:-1 gene:WBGene00053034 transcript:CRE05705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05705 MGDLEDGVLHTALGELNISMATETGEGNERFIMAHGVKLEEKLWVDECYLFQDESIAPIFCKPKLIPLKTITTQKLEQMQKEQMEKLQVPEGKEDDTVSVESEGAERDDAQPSTSETKEADVWTADD >CRE05706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:656211:661035:-1 gene:WBGene00053035 transcript:CRE05706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rol-3 description:CRE-ROL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LZM6] MAFIGEDLYAVRKEGESAQPVLVQIDTNHIDNTVHKVSIGGDVSRIDAMTSDWVGNRLIFVAGTNLYQLSLEPFLSTSLLNPHKLITLTSATDAKQLAYDPFMNTAYLLTKNGSLFALDMNKNTEANLALTVPCLASQTVTWMMTEFAWNRASSPKIYALTWNGLIFVDLGEDFQCNEVRIDWTKFGDKGLKSISSFAIADKLFAFVTSSEMLIYGRDTVTPITIANPPLKQILAVSQSSQPYPERSCFELPSSKGIVFSIVNEGKTGALLEVTKSSSSSACQEVSMPQTQYEIYFTRKNTDKVKHVRSFSDRIHVENGILDKETDYDVTVTWLNRYSPASGVSASKSFRTGFGYPSAPRDPHAIPVTPDTVYLYWSLPETLNAPISEIKYKISQQAAGISVPTSIAVIPLSETVSSNISSDTTSCLINPCRVKIANLRPSNEYKFWVTATHISHVDAATILKDDDAVSPEAVARTLDVPGTLRPDNVTGSSLLLRWNGLEPEHRPSSISVQYRESGGASNDWQTPTNTSFEPDVSTELVPITNLLSATTYDYRFVATYTGTYTIDGKVLAFKEDYLQLTQQARTKAGVPTAPQSVEAKIDEEGWIVTWKEPMSDGGSPITSYAVETRINKTAEWEIAERGLDGWKTWWRPGKSETSSSMSSSTEVSEFRIRAANIEGFGAYAYTEEKKEEKEEETSAILPYLLGISIILLLAAMILVGCFWCELFQTVILQTHPYIISVRSRRRQQLKKREAEDDRNCIRLDVVANMNFSNSRQTLSPEYESEMRSETIDTHFLKFENSFSDLPTVSYNDVKILELLSDCSYGTVHVGIAEEVPLSWEKNVKVAVKKLDPKNPDDDFAERMMFMKEAILLNNLDHPNIVKEFGVCVTPGKELILLEFMEGGNLLQFLRDSAPNEDHSSELSPKDLLAISVDIARGMNYLERLPHVHKNLSAKKCLLSGHPGVTKLEMGIPKVLSSGGIRREDLEGMDNVRWMAPEVLKDFNFSSKSDVWAYGVLLYEVFSFGEVPYKEMDSKRVMTNVRSGMILPVPSYCPSKRIYKVIKQCLATDPTKRANFATILKIFETLREDSQCQDDKPIQLNDGSENINFNASQDSNSSREPPSPSHRMRDFPPIGVDMEPPSPSPLNQSFGGFEHPYEGDRPATMWNASGARNSAKNSIGRSMKKEKFRNPVHSMDDLVARNQRPLSIHSEDTESTDYGGASSSMHSPSSSNRTNSHYEVPMSRLSAAPAIGIVNDAFESSNPSLNLSRSWTGLSGDVNQNPAGGAAVNTGTLPHHANSMGHLRVPGAQPPGRVNRNSSGGNTGGPSFRNRVSQV >CRE05709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:666499:672068:-1 gene:WBGene00053036 transcript:CRE05709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05709 MKTVGSAYHRSTRRNSKTSVFLIVFAIVCFLLLISVHYNSNGFYQVSRDYIKKKNHSNYISPSFILAFIPINVLLLFQKIDTSQNEKENGKIAIQASNSMKSFDIPTEKSSESDSFKLKNSVSTIRSKSTSSVIFNEGTTDCYCKSESSGKNYNFCYVDPQNSSSIGKQFDCVHVNTLERLSKLWFIFGNYCFLSEVLNYPKPLPIVSELIEIEEDVVFVSTTSDDHYSHSSKSYESVRNYYPTHKYILYGLHLSPGYVAQLPNDTNFEFRQFNTSEYPEYVNHWVEYRFKPLIMAEVLKEFPNVWWIDAHIKMKQSNVIERFFEEIATNRSTEDFSSITSFLNTGHSNFATLFPGESILVRFTHFNNFRFIAILSVELTSVAEKVSSSGCWNNPYSKNRKDTSNFQMVHWLLSKLSQPSIFFLIFVTSLFGFVFSIYHTDSSVSQAITEVSSLIQRERLLRLEARAPIWHKLLNKGEDNAVSSVLTSTLFDRKQGKLDCNCKSKQYGKIHDFCYVDPQNSTSMGKKFDCASLSILEGLGTKFQCLLNVFINFPELVDNNGPFVDVSTLLHYNPEVVFVSAASDNHITQAIESISSFYKYNPDGKYILYSLGLGKYQIKKIKRNFKNLEVRIFNTTGYPEYVEHWMEYRFKVLILAEVMKEFSNRWWLDANIRVEKGNMTHLLFQEIGELVERKGAKDVNFSYLFVRNFPVLFPGEIFEKEKENCSDSDLLTYFPTHSIPLLKSSKHGSQVGANAFFFMKTEFTIELFKWWVLCALDETCMAPPGAQKKVLGKPFLLILVVVFVLVTFILRSISKTTRDNYIDKRNNCQCLSSKTGEFHEFCYQDPQNSSAVGKQFNCVHLEALENLNVLGDNKRSLNLSESIKNESHVVFVSATSDDHFDFSMSSFKCIRQYYPDHKYILYGLDLSSNFTDQLPDDPSFEFRVFDASPYPDFVKNWNNYHFKGLVLAEAVKEFPVIWWIDANIALRKPNIIKILFSEILEYRLSGNFSSIISFRPTDHSNFAVLNPDLLKYFPSNNQLTQKFSQVGSGILYVARTEFTLKILKW >CRE12286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:144384:145169:-1 gene:WBGene00053038 transcript:CRE12286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12286 MRENTKENRKTSTALLNTELWWRIADGLGRLLQRNEDETSIHHNSKTSVSYQSILQKAIVPFFRNKRRTHVFQQDNASIHKSISTQNWLKAQKITDLEWPAVSPDLNPIENLWGLLVRRVYKHGRQFNTIQELKDAVETEWNAITAAELRALVASMPNRLFEVIQNNGGETSY >CRE05710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:674157:675612:-1 gene:WBGene00053039 transcript:CRE05710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05710 MKSSLRGPFLFILGVTFSGFLFSLHYTRNRDYQVSDEKKEWRKMHTFQAPITIPRLEIGTEELKGKSQVLQSRVISSTSKSKLPPQAAPEAVKDKEQGECDCVSQKTGKVHNFCYMDPQNLTSIGKKFSCNHLAILERLKLVDNPGPFVDLSKSSENSKEIVFVSAVSDNHFNEATASISAFYKFNPDRKFILYSLGLGDLYIKNIKRDFKNLEVRIFDTSGYPDYARNWMEYRFKPLILAEVMTEFSNIWWMDAHIVVKKPNMIDVLYKNIDEHVKKADTDIPVPLYFFIHSSHSNFATLFPQVLSYFPTNSIDLLKSEKQGAQLGANTFYVARTEFTVEVFKWWILCALDKACMAPPGAQVYCHFGTNRNTEFAHCFRFDQSILNLLMLNTYQDHNKYFSNLGWLF >CRE05711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:678648:680150:-1 gene:WBGene00053040 transcript:CRE05711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05711 MVQCGNIRTLICKTDDNYNIYDKLYSLGLLQDELDSLGSLKKPRKKLLLSSVIITVLFGAVLLTVLLLTRDKTPKATYDRSNDHNLQNLIEVSPAAQKLPKKGKLFCWVQTSTIYHDTRSLAINETWIHRCDHGQLFTSEKFNDSRIPYSTVFAGIPDNYYNLFFKSRYAFHHIYTNISSEFDWYLKADDDTYIIVENLKAFLSTLNPDEPHYLGYVLKPYLTNGYNAGGAGYIISRAALKIFAEKLYPNATLCPDDIYEDVGIARCLANAGIYPEDTRNSLGQNRFNTFSPTETFHQSKASIDWVKYLEKRGYEAFANDLISFHHLSPDDIRLFDILLYRVDRKKV >CRE05713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:688670:689901:-1 gene:WBGene00053041 transcript:CRE05713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05713 MDKIFQYGGVEAIPLYNCSSMSSDSWTTQFGLKRPVLGIMSIIYGAIFEILFIPCLWAMLEKELWRMSCYKIMFFVGIVDIFALAMNSISTGYLAYEGAVFCTHPVFIYITGLWGLGLWCCSCLMNILLLVNRTLNVSNSNLSDYVFEGHRTYLVLLIPIIYGLYFMFFTRTCVFSSKYYAWFYDPFIFPDKSSEYTNVAHGINNLMIMCGTFIFYGYISFALCKSSRAGKSPRIQVQSYQILYQSVAICFINQVSSSVYVIMNFISVPEWIIVFAQLLWQLDHGCPVIIYMTMNPTIRSGFWRKITFKKRRISSMQTTSIRPFPYRDV >CRE05505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:691347:692995:1 gene:WBGene00053042 transcript:CRE05505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05505 MRFHLLLLLPIATSSLRMCFDKTPNRSLFVRPLLSLRNLSIDKCFSACLEMPRETCKSITYTKRTSNCQLNGKSKQDVKIVKNPMSDFYHRNCYDKPSVAIRRDTVATESGCFTSTPGKVLIGIVDQLVRDVATVADCQMQCTNSQTKYDVTCKSAMYYEKDKECILASQSKADIPDLFIDDDKSLYLENGCLDKSDKSVVVKTSDVFKGSSKFSENESSKTDEELEPLKTEKTTLSSILASSTHVDIQLSGYEGPSDSVSNQNREDLLTTRPTTTTTTTTTTTTTTPKPIAPKVIDNYNVVNTPKTEYGRRLRDTRVKSCFREVRPIGKMEALRVVKAYSLEQCTDMCRICSKCLVKQKKCQAVAFDISRELCALASKRLTDGGSFNDDIIYHNRMDC >CRE05714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:693258:694507:-1 gene:WBGene00053043 transcript:CRE05714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05714 MRILFSLVIFTLIHLAETRGRYEFIEEADLPAFYSMRSAQMAQKAQLAPHMAAPIPADPYQQYLQYQMYQQMDMPQAAAYAPFAMYSPVYRGQTPVAPPPFQAARPAPVQYHPMSYYHHTQAGQPPIRKKSAMT >CRE05506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:695195:695433:1 gene:WBGene00053044 transcript:CRE05506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05506 MQSTLRILVVFLLFLVLVDAQYRMMVNYGPAWRQKMWRKTRVAPPAYAEPGDNGLIDMLAN >CRE05715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:695598:697875:-1 gene:WBGene00053046 transcript:CRE05715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05715 MCSPKRLMMQNSKRRIKDGVRKWEINHFSFSIMRSVWLQSFVVGLCCLVVLSSGAFHQSRIAWRPSKRMEMMRTGEWATYKKYLDRQRKLSPWQLQSLPQNVNDFADFEYLGNITIGTPPQPFLVVLDTGSANLWIPGLSCKTNCDSKRKFDSSKSSTFVKNGQSWTIQYGSGAAAGIIGQDTVKIGATGEDQLTIPKTTFGIANQISADFKSDATDGIFGLAFTTLAVDGVVPPLINAIKQGLLDQPLFSVFLEHRGTLTNVGGGVFTYGAVDTTNCGPVIGYQPLSSATYFQFKANRFRLGKYLNAKIVDVISDTGTSFLGGPSVVIDEMAKQAGATYDILGEAYIIDCDATPGPLGVTIGGHDYQIEHYNYISNVGDGTCVLTLFPMDFGGFGPTWILGDPFIRQYCNIYDLGNLRMGFAPSLQPAPTKAFIFYFCFKMKNALLILLFLFLEPFNSQNHDGGRLMMMDKHMRRLNLTDIHFTDPNGVVRVDTVMNKYNSSREELIRILKDNVNETAYNMVKNKPQRVDEWNKKAVDLPHPIHSSQYNKTAKG >CRE05716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:697941:699480:-1 gene:WBGene00053047 transcript:CRE05716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asp-6 description:CRE-ASP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LZN9] MKTLILLAVLGLASAAVHQHKLSWRPSKKIQMIRNGEYAAYLEYQRNLRATSPEVLANLPQNVNDFGDFEYLGNITIGTPDQSFIVVLDTGSSNLWVPGPTCKTNCKTKSKFDSTASSTFVKNGKSWTIQYGSGDAAGILGEDTVRFGAKGESQLAVPSTTFGIANKISADFKNDATDGILGLAFTSLAVDGVVPPLINAINQGILDQPLFSVWLEHRGALNNVGGGVFTYGAIDTTNCGPLVAYQPLSSATYYQFKAAGFKLGSYSNTKAVDVISDTGTSFLGGPQAVVAGLAKAAGATYDDFNEVYFIDCAATPGTLDITIGSNVYSIQPVNYIVDAGNGQCLFAAFPFDFGGFGPSWILGDPFIRQYCNIYDIGNKRMGFAPSLQK >CRE05508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:702456:704117:1 gene:WBGene00053048 transcript:CRE05508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asp-5 description:CRE-ASP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LZP1] MRTTFIALVALLGLCSAAVLQHKLIFRESQKIQMMRRGEWGAYVQHKEALRDANPAVYANVPQNVNDYGDFEYLGNITIGTPPQPFLVVLDTGSANLWVPGPNCDGSCVGKRIFDSQKSTTFQIDGKPWSIQYGSGNAKGYLGQDTVAFGAATEKQLPVPKTTFGIATHISADFKSDAAEGILGLAFTSLAVDHVVPPLINAINQGILDQPIFTVWLEHKGSANNVGGGVFTYGALDTTNCGDIIAYQPLSSATYYQFVASGFKLGSYSITKNYQVISDTGTSFIGGPKAVIAGLADALGATYHSDDQSYYLPCATAKGTLDVTIGSNVYSIKPVNYIVDVGMGDTCIFAAFAFNNFGFGPSWILGDPFIRQYCNVHDIGQQRMGFAPSLQAST >CRE05717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:706985:708233:-1 gene:WBGene00053049 transcript:CRE05717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-135 description:CRE-STR-135 protein [Source:UniProtKB/TrEMBL;Acc:E3LZP2] MTCAMELVRYLHLIHYSGFVGAQFLNSILVFLILTRSENLLGNYRYAMLVFTLCSMLYSWVEILVQPVAHMKGSMFVVFMDSSILSLNNPLGQFLTCLHCVLSGFVASLLACQFIYRYIALCRTHLLTYLQDSKLWLIFIPSLFVFTVWHVGFFHGMPNTLEKQIYLHEELMTCFQMDSFVTPFVGPMYWSRDSNGDIHWKPFEVLAALSCSAVLGACFFTIIFCASRIFAKMRNSKHHFSAKTLDVNKQLFRMLCIQTVIPMITMYVPVALFVILPMFGKDIPYLGNLTSSSLAVYPLIEPIIAITCIASFRKAVIGE >CRE05718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:709792:711932:-1 gene:WBGene00053050 transcript:CRE05718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-17.5 MDTKDAEDGFPPEKQSHPFLHIRSRRLHVVLLLMYAFFCMCQMTAHIGLSLSCMCNSTAVAMMSTNTTKLIEGTESALLKSVFSNTTNDIENNNESCSTLEGKVIKDYGGTFVWSVSWQGYIVSAAFLGGFLFSYPAGFLVDRFSARHILSASVLMLTISSILMPFLATYFGEGGAFASRFVMGISETMLIPSLNSMVTKWIPVNEKSLAASVFTSGNQLSGMFGNVLVAELCASSLGWSSIFYSGSLFGVSWLILWHMTVRNSPHNTRWIHKRELDYLANHIPPKHNSGGSRKKATPWRDMLTSKVFWCLMYNSIIGNMMIALIFVYIPVFFKDVLMLDVQSNGFYSAIPHLSNLVVKLFWGFLMDKLRRNNILSPTSTVKLSQFSSMIGISITCFFLRNVNCSTPIEALVLLSVVSGFFGLSISGFYTSLLSVAPSHIGTLTSLGTVIGFIGRMFTPLLISHYKTVGTAEEWGQVLLIYVFASASGGIIMALFGSGEVQDWDYSKRHNSARPNALVAPESDKSLEDF >CRE05509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:717844:719111:1 gene:WBGene00053051 transcript:CRE05509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-33 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LZP4] MNSLSDMFTFKFGTTLLYSIPSMMLYLMTFIMVKKFAKDFSSTFLKVYMLFFVFVSYKIVTIVDIRNFQNNITFFNSFITVRIPQNTCKDCIMSFLFKGHTAQNPSWFPLNFFYFIHFSMAYVQFFMIFLTSMNRFTMIFWSSTYEKVIRRALTLLLFHLNQTHSFLNSYNIQAWNRVFKYIVVFVIILPIPFTWTILVSSTYYIHTESLDCYTVSTSVNREFLYNQLLPYFAIVTITTAILNIASFYRLSCMTYKISVAERNLLFVSGSLFLVQLVADVNTTINRLVVNDNNKNSLWSQIAVTLLPYVSDGLTLIHPWLFLAFSTKARRCFMLMYFPKHAKIGNATTNNSTHFVSITRRSQAQFNTSKL >CRE05719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:719429:720178:-1 gene:WBGene00053052 transcript:CRE05719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05719 MVRPTFVNIKFPPRPDPMSQESSTNGSTGPPQPPQSVRSTSKAPLPRYTSIDLENEIPEMYNTSLNPAIHDPDMTMFFNRNAPPFHTNYAKRHSPPPSYSSRSKQGSISSTSTLPTKAPVSRKNFLAGLFLCVFIAAPIIFLIVFYMIPRVVILDRHARF >CRE05720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:721197:723691:-1 gene:WBGene00053053 transcript:CRE05720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05720 MLSKVLPKSQILFRVAQPKDKEKIIRFLDNHFAKEEPCARALKLTPETSRGIFSTTATRCLNFPFSTVVLQENGDIIGCLLASVWSRNDPIEKADFDAAGVPENIRLFIQFLNNAHANFWKVAPPHINSVIHREIGSVAPEFTRRGIATRMVYTNMTKSKLKKYNIGGVISETSSLTNQIVLEKAGFRVHLLFECELMQLSKVLRKSNILFRVAQPKDKEKIIKYLDDHFAKEEPCARALKLSPEMTINSFTTTVDRCLNYPFSTVVVQENGNLAACLLASVWNRSDPVENADFNDAGVPENLKLFLQFLNNAHSNFWKIAPPGVHSVIHRELGSVAPEFTRRGIATKMVYTNMTKTNLKKYNIGGVISETTSLANQIVLEKAGFKCLKEMPFSAIVDSKGNQVLHLDDGTTALRLNYKGIEDFENLPE >CRE05722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:725091:726383:-1 gene:WBGene00053054 transcript:CRE05722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sel-9 description:CRE-SEL-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LZP8] MNSLTWILAVLFITPAASYFIHVDANEEQCFFDRLTSGTKMGLMFEVAEGGFLDIDVKITGPDNKEIYKGERESSGKFTFAAHMDGVYTYCFGNKMSTMTPKAVMFTVEITEPHQQAPGAAANQDAADNAKLEEMVRELSSALMSVKHEQEYMEVRERVHRNINENTNSRVVMWAAFEAFVLVGMTVGQIFYLKRFFEVRTMV >CRE05723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:726677:727037:-1 gene:WBGene00053055 transcript:CRE05723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05723 MHNSKEDLDRFYNSSRRTSSITVPPHGIIPAVYSIETGYNPDCPQEQNGIHKSVKIIFVLLILTVLLVGFIFAILSVTRLSRH >CRE05724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:727573:728405:-1 gene:WBGene00053056 transcript:CRE05724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05724 MNPSTSLDIETPKPITSPRRTLPEAPPSYYDFPPSYTTNSEIYTDELPAYCPREFPARPCIQEQQNPSSSLPRLPPQRVFTVLPRQSYAIRMQRTRRPAPPHRHHLRQQLNKLFGLAFIIILAIVILILAVLMTVSLV >CRE05510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:729688:731204:1 gene:WBGene00053057 transcript:CRE05510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-218 description:CRE-CLEC-218 protein [Source:UniProtKB/TrEMBL;Acc:E3LZQ1] MGSAGLFEVGSNVNSVFGYSRVGSDYPDKKGVRVSVVTYNSIATIRSNLSDFKSADELTSMIYSLKPGDSYDSNLQADSDEPSISQLGDDLKANRVKIVTVADITRNDRQQISKLKSLARNGDGFNINDDYVSEEIQQAMCRANCFCPRMYHQFMANQNGTVHAYDLFSSLASQADPLKYHIGLHFVNNGYFWEQSDGSTNDSTISYCLETSFHSTMYFQLGEYQHNRCDVAQ >CRE05512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:733038:733608:1 gene:WBGene00053058 transcript:CRE05512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05512 MKITVTLPFLLLILVSKSYSETSSAMCKCPMLKQVDAEIYGQMRGTPNMPSNKIPNFGSLDIDYGNNCTKVTITCNYPRFTRHRSSVYYTNGANFSTVRRYDLADKYSIRCNQITGVWLSDENSEITYIQCAYRPMFVNKVVTGIWEEFVRFCEMVVKVIRTNFGFAKFIVGYD >CRE05513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:737651:741043:1 gene:WBGene00053059 transcript:CRE05513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tmd-2 description:CRE-TMD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZQ4] MEEELQQLRTNASSDLMTDEDFIATIESMGVEAQEREINDLLKAMHENRIVSWDEAERILMETDQTPIKCSLPEQTRPTEPDNEIDVDQCIRKLLDNDPSLKEINLNNMKRTPVPAIKRLLEALAYNEHCERISLANMGLYDHDINVLVTVIEMNQAIKKVNLETNYLSGRIFRLSYFFSNLNISGDFFSKLFKAALVNESVEEIKAVNQGVSFSTQSEKEIIDAIVKNHGLTKISINFRLPEGRHKVENATLRNGEFKRIKRREAAQKARLEAEELAKNPVPKLVPESKKEPEPKKIAPKIPIGPKSLVSPEPRPSAAPKTVPVVATAPPKSTILMKQLSFQRASRESEEDTELVAPPPIPEPPKKLAIPKTFMKNEETVTLQKVAKRPTRQWGLKKQESLEKEDVKEEPKKLEEIKKVEMKREEITKKEDVKLIEDIKNIPAKVEKKLWEPKVAPVLDGYAPILDVSGNAAATVAKPKLDGAAAVKVKRPPITAKNIWEQRMAKIEKKEEKKKPLLSIQKIREMEKKDEIKKEEDDRLEQLLKKEKEPKRLNNEHKKLFENGGESVETAVKPERRHVQKLVGKKPNSILNHSKLTKIEGEKKEETRPTTVIRRTVMRKKEEPKESEISEIEKPKRRLVRKKKVVEGDETNGHQHHLTSAPPQAVH >CRE05514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:744226:745017:1 gene:WBGene00053060 transcript:CRE05514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05514 MIHARNHSTIPSVTSSDDVTIEQVKTCCESMALSDIFPIFIVAGALWILVYCILMTQKIFMDSQYQEIQPKQHGDEEEDEHHAPPQQHNQGNNHLDHPNVEKENHKHDHNERTFFAYYL >CRE05515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:745466:747782:1 gene:WBGene00053061 transcript:CRE05515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05515 MFGLSQPTWNICQLGAVFFFNFFSFFTLSALSQTIIENVAESEGINQHAGYYSAFLTYLVFTFGHFVATPIVEIISPKWSIVSGLVGYAMFEAAFLLMNEYFLYFSAACAGFSGSLLWTGQFDYLAQNCQPHTLDRNSSNLWGLSQISLIFGGSYLLILYRFQTGNEFQMPLIRLVVGSFLGCTLISILIGFFLPKPVFKAEKYKIPYFKHLAEIAKISFDRNLLFLLSTFLYTGMELSFFSVVFPTMVSFTKALGNTRDLNACASIFVGIGNVSGCFALSALGARVREIGRKKMVLLAAILHMTCFLLSFLMFPDESPLKPTDKLGYFEPSAYIVLICGFLLGVGDTIFNQQCYTILSDIYEHDKRIEAFAVYRFYQSAASCVVMFYSAHALLKTHIIVLSTFCILATVTFFGIRVPEKYPASPSSEMLEVKESEEKKSMIY >CRE05516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:748547:749746:1 gene:WBGene00053062 transcript:CRE05516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05516 MPESVVPVPLVLCFLTLSVVGIIGNLTIVLVTIINKTLHSRCCILIGIMAFFNIIVGIYVTQLRIMMLFGIYNILNTTCFLYSFYGIFAMNMQSILGLFIGLDRLYNVSSPIKYEIFSDSNQSICYYFCVLYNYFFVSYFFKICFSGRYSTISDITYSRSFSEEIVIVVCIPVTALYETSLQIWLCFNFVIAVSVIIVYGWTHIMCRNLRNNNLHIKTVESVNRILKSLIVVIAFYVSTWFLAMAALFIAEVLNLEGEPVYYIRRWSGWLVICNSSLHVFIYFWRTPDYRKAIIQLYRLPIRSEANSKVSVIPNNQVVLI >CRE05517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:750888:753161:1 gene:WBGene00053063 transcript:CRE05517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mom-2 description:CRE-MOM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZQ8] MHINTSGLLALICLHVCLPTPVNSWWLLSKADTSSPNSASSPILCKNVPGLTPQQKRMCHENPNVIKYLMFKFQISGLRSALHTCEYTFQREAWNCTLTLPGVGTSPLQIASRESAYVYAISAAGVSHSLARACSKGLIDDCGCGETPQGSDSVSISSRSRSPSDFVWAGCSDNVKFGNSFGRKFVDQYDRQHATEPRSQMNLHNNRVGRRLLANAMNRECKCHGVSGSCVTKTCWKVMPKFDEFAARLHEKYQLAKLVTNNDQKLFVRSTPSAGLSGRTERYVKTLEASSKQMRNELIYLDASPNYCAIDVKDRECGENCQNICCGRGWRTTREIVDEPCHCQFVWCCEVKCKTCKKLVERNFCL >CRE05725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:753278:754191:-1 gene:WBGene00053064 transcript:CRE05725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05725 MSKLVHDAIQSLFPGNCFEELLINFNFFHPTCPKAVLSRGLGFAITLGSILLFVPQILKIQAAKSAQGISVSSQLLALIGAIGTASYSYRSGFVFSGWGDSFFVAVQLVIIILQIFLFSGQTALSVGFLGVVSAVAYGVISHTIPMHVLTMVQTAGIPIVVVSKLLQISQNYQAQSTGQLSLISVFLQFAGTVARVFTSVQDTGDSLLIISYSTAAVLNGLIFAQFFMYWSSSDAASRKKRN >CRE05518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:754834:756311:1 gene:WBGene00053065 transcript:CRE05518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05518 MNHVVLPGCSAPERVLFKPNSPFDNFFKKFDELTRSISLKSIFFITILYFIFNVFHLIILSRPPMRSLSVSAEMILIAICGVLTGILGVFKSIVEIIDNDFACTHSYWYYVLVLVHFLIFQVLNQIILWEIVYITFLRAAVILDVRYGMKTDKLKLYMKRFIYTLFLIFLLNHFFRIGFHRIEEVTDKAQIAEALNGKTCVPKKVYTIAAFAGNCLRRILLTIAGITSLIVPILIMLILSVFLVFELRTSISSTIISTESSNRQNTTARALLLFLCFYVMAELPYVLLFSIGLYNPADFEQLDEYTYTQVDIFIYVNMCLGILVYCLMSSQYRATVLETFWRKNQVSTIDKTSRMTA >CRE05519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:763965:766752:1 gene:WBGene00053066 transcript:CRE05519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-2 description:CRE-GPA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZR1] MGLCQSEEEKVGSQKSRAIDKEIKQTQSNDERTVKLLLLGAGECGKSTVLKQMRLLTSKQYTAEELETQAKLVFTNIVIEMDHLVKAMPAAGANFSDPLREHDVHMMTLYIKDMQHKDFSKDASEHVKKLWADPVVKKMYADRREHNIRDIGDNTEYFFENLDRISKKEYTPNAMDTLLLRTKTTGIVEVQFEIKKVKFRVFDVGGQRSERKKWIHCFEDVNAIIFIAALSEYNEVLFEDETTNRMIESMRLFESICNSRWFHNTNIILFLNKKDLFAEKIKHEGIQKAFPEYRELIIDFSGQQNYEETVAFIKQKFEALSNNPKKTFYVHETCATDTNQVQKILDSVISMIIQSNLHKSGLY >CRE05726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:767129:768423:-1 gene:WBGene00053067 transcript:CRE05726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05726 MQISANTTFTLSYSFVSVLCLCPFLSVMSSRLPLILFSFLIPSVFSQIDLCEPAVNPSPSCLCTRLDFLDTFSFRLRFGNSTFAGANYHVSAPTYNPNYDCISEQPLQCSPTSVNGKTSAFAVFNMKYILEIPNRIPSVFCFPSIRMFDMDKSLIQQYQTSGDLPTEDLDLHLTEVGCIEYGGSNLEGSTKLPVTQVTLPSTTVLPEDCSCSIPPPIMTQSLFDMQYAFNIPQTEFKFGVPRFSYTVGCQHVSMNCPKSQKALMFFNDQYIINGNDILDIASKYNLHCDSGTSKWKFESASEKKFMNLLGISSIELTHLGCASFAPKA >CRE05520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:769639:770940:1 gene:WBGene00053068 transcript:CRE05520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05520 MKLELIFLSIILTSSDAKSPAKRVAVSLDDDGFLQLLEVEIARTLRSELSSDDDLQNLEASGENLEENDEYLKKSDSSSPSTTSEPTTTTTTVETSTTTVTTTTAAPSTTTTVIKTTKKPVPPQCVANKDCYKDSECPSGKCFGSELGLCDCNACITNKKCENDSDCGGLRNACDPTGYCDCVKAFHSHGFELFVKVLLTFCHQTKCSQDSDSCYGLPCKVGKCKCAPVTASRLPVHYFKPGGK >CRE05727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:771097:772302:-1 gene:WBGene00053069 transcript:CRE05727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05727 MSEEYEDEDVDFKPNAEITSRKNTYVVDKLLGEGGFGAVYKVKNKQTGKFYAMKVERKQEKKPSKLKMEIAILKLVSSERKNSHFTEIVDRGKKDKEGYFFLVMELAGSSLGDLKKKRGKPFSPPTGLSVSLQCLEACEDLHKYGFIHRDLKPANYACGADKKCHTIYILDFGISRKILNDRKELKTPRVTVRFKGTLKYCSIACHKGQELGWKDDCESWFYLMIDLMVPAGLPWRGSQDKGTVCKLKEEARTKKDTYNGIKCGAEFEKIILYLDKLQYQDHVDYEYIYKTLHEACAICGGRMDAPYDWEKE >CRE05521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:772698:773537:1 gene:WBGene00053070 transcript:CRE05521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05521 MWSRVLFVFLSVVAALTEAGATCDLTVQPATWARIMARNVFFNAWEPDSYFNLPGFCVNNDMMSHSLNYSSHVNLADPSVQVKQDVATNTNFTDSLINCGQNNSRVQWCQSHCSNKQLENLIVSTAVINGSLPLATAKQVYSTLGSPNDTTIASIQVDLYAQNLRIDYDYYDDVNFCSVYVKVKNPTMFPYALFVTVQKVLGL >CRE05728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:773584:774568:-1 gene:WBGene00053071 transcript:CRE05728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-17 description:CRE-HSP-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LZR6] MDRRFPAPFSPFFNHHRRFFDDVDFDRHMIRPYWADQTMLTGHRVGDAIDVVDSDKEYNVSVDVSQFEPEELKVNIVDNQLIIEGKHAEKTDKYGQVERHFIRKYNLPTGVRPEHIKSELSNNGVLTVKYEKNQEQLPKSIPITIVPKRN >CRE05522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:777028:781783:1 gene:WBGene00053072 transcript:CRE05522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-7 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3LZR7] MKHFMFLVLFLVTTIPSPTHLQVATGTTGNIIRVGFIHCRDYQSAPITVGYRTSASAASIAVDRLKREGLMVGWEFNFTIVFDDCVESEAAGMTVDLIEKHNVDVIIGPTMNQPTLAAFIVSNYYNRPIISWGLVNAAQLDDYERFPNAGIMSAGQRSLGVAIRAVLTEYGWNQFVFAYFTEEDAEKCVTMRNDLQQVVSYFGDIILAYSIQVTDISNEGMISALRKIQSRGRIIVTCMKDGIGLRRKWVLAAEEAGMIGDEYVYIFSDIKSKGYYVPILGGGERPAWIPSSGSDENDTRALKAFKKSIFVCDMMGQGSIATNYTVFGTEVVARMKEAPYYCTDDCEGKNYSVAATYSGQLHDAVYAYGVALDKMAKAGQMVNYRNASAFMRYFPQSFVGMSGNVNINEKGTRNPTLFLLSLDENGNNTLRATIYVENMSATFSPLYSDEGVMWSSRKGNVRPNDVPECGFTGTGCPKSFVEEYLIWVIVAVVVLFLAVLAAGSGIYFSIKTRRQEIERLNQLWQIPFIHLHQINSKQKGKGEHSVRSLQSGTSTLSSRTTVSFKTETRNFLFFSLQRESDYEPVVAKKHAYRPRLDDEKCAFMRSLRTLDHDNLNRFIGLCLDGPQMLSVWRFCSRGSMADVILKATIQMDNFFIYSLIKDIVHGLVFLHSSIVGCHGMLTSKCCLIDDRWQVKISNYGLKDLRSIEMYEKKDLLWSAPELLRAEDIKGTKEGDVYSLGIICAELITRKGVFNMEDRKEDPEEIIYLLKKGGMKSPRPDLDYDHTIEINPALLHLIRDCYTERPSERPSIETVRSQLRGMNSSRNDNLMDHVFNMLESYASSLEEEVSERTKELVEEKKKSDVLLYRMLPKTVADKLKLGQTVEPETFEQVTIFFSDVVQFTTLASKCTPLQVVNLLNDLYTIFDGIIERHDVYKKFLIFQVETIGDGYLCVSGLPHRNGNEHVRQIALMSLAFLSSLEFFRVPHLPSERINLRIGMNCGSVVAGVVGLTMPRFCLFGDAVNTASRMESNGKRRVSIKVFYLNHVISAGKIHLSAEANRLLTETVGGFVTESRGEVIIKGKGVMETFWLIGPNSGIRPTSTKKIVKPKKFQMEELHRQETLKSDDVLSD >CRE05729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:781899:783249:-1 gene:WBGene00053073 transcript:CRE05729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05729 MSHMFSLCFLLTLFSSTYCANLIMMQAIWRHGDRAPGDLPYPKDRYNETYWPRGWDQLTNKGIWQSVELGIWLRQRYGSTVLPIFNKDKVFILSSDSERAIETAQGVSAGLFPPTDDRVWESSYLRYWQPTPIQTAYGTIDALLRPTKVKCPNYDLANENEESPIAAQVNSEYGQMFKWLQNTTGMESIDFWNINDLYDIQREIDHNMPQPSWLNQVFNGTTIMDHIRELKRITRNQEFNSPTKAKFRGGMLVNQFLQNMEDLKANKTTKNALMYSSHDGTLSALLYALNVSNDQLVPYTATVLFELYDDDTVQVFYKNTTNTAYPMTIPGCLQICPYSQFLELLEHVRVRSLDALYSVK >CRE05523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:783537:784564:1 gene:WBGene00053074 transcript:CRE05523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05523 MSDPSDNPESNQERNGEEEEKEEKKEQVDVEKVDEEEEDDEAKRVEKQEQQRIQEEKNKKEKEAARRRAENTPMAFRKLKKPVISKPGGSKTSYESFSRMGHYPKERDPNEEREEIPPVPKPPPTGDEPGTSDRFKEAEFDPFGLPPIIAKEKEKQRIEEMMRNQKQIEEARAQILSERNILKKGFDYVSDKIDNMGPKKKKTPEEMDIGSTSHSGETSVITLSPRNDERVVEDGGSGTETISNQDRNGARQEENVADAPPEPEIQNDNTQVDPVVENQRGQDDQNAANNSCFDSCVLL >CRE05525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:790217:791373:1 gene:WBGene00053075 transcript:CRE05525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05525 MADSNKKNTEGGEKGKRANEAMKKTSQGEDLEMDSLEAGSAGTSSNEQSTRTVPIDTPRPESTSDQPHEYEASDTASSSSHIQRTSTRKSSDRRGERARTKSVPSMMYPSSSSSSSEPSTPQTVRERPSSESLPMQSNLSRSQPINPKGSSEQTKASSSGSFKNLIMVKPKAEKGQTGTDEGESGLVEDGAESSAPATDPAPVVEPELVADPAPVTDPAPVVEPAPVTDHEPVADPAQVAPPAEMILAPDPIDVPAPDPAVALPPVQNDVPDNAQNDQNHVVDGQELLDGIVLRFPDPDPEVQVAQQNNAFSILGCIRDAFSKLSKICN >CRE05526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:792106:792892:1 gene:WBGene00053076 transcript:CRE05526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05526 MSDREESEELEEARKRKDDAELRDEELANMNQPSDSQSQASDQLGPSSDRRPGPSTQPVPPNRPAPSRQSVKSIRSGPTTVPVLGEFKEPAPQEPIFTKVPLRLPGRPATPIQEMPIEGIEFNQEPEQAEQDPADPMAHADPADPEPPADPEAPADPEPPADPEAPADPEAPADPGAPADPVGQGDPAVPDESRCCSTM >CRE05730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:794248:794904:-1 gene:WBGene00053077 transcript:CRE05730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05730 MSSSASTTSSGSSTIIHEEFDEKPTSNFSWPPGQAFPFEPLPPYPAGYYLPFKVFILNTFVSGPNLSKIRYPREVTCPRYVCPHCDEQFLFHSTNGLLTCPFCYTSIAIGTYNRKQMYLNFVVGTIVLIISMVMTVLVFTIAKDQVYLSIPAIMLFFGAIIFFTKALQSKDSYEEAKILIEDMDV >CRE05528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:804967:806026:1 gene:WBGene00053078 transcript:CRE05528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05528 MKFTILVLCVCLLKIANSLNLNDIKKVRTNPTTIATTTTMKPTTTTKTTTTTTVYTSTTKPVEHSVVDPGPMLIECPDACPTGWQYYNSNCYKVGVQVRILCVIYFQKFDSGVTYSQAVSACSGLGAQLVTIDNFDENDALRKAFDTNTLFYESQETWIGLKFVSGSWTWSGGSEASYINWAPTQPASGQCVQMITDALINETYKYQRGGWKTYDCSKISASYICERPAGSTELLKATTVEIDWD >CRE05529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:806258:807229:1 gene:WBGene00053079 transcript:CRE05529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05529 MKCLTAVFCLQLLTFSSALDLNDIKKMRTDPTTLATTPTTTTPTTTTTKPTTTTKTTTTTPTTTTVTTTTTKPVEFSIVDPGAIMIDCPDACPSGWQYYSSKCYKKFTSTGTYTQAISACQALGAELVTIENSAENDALRKAFDTNALVDESRETWIGLKASVTGGSWIWTDGSTASYTNWAPTQPSSASQCVQMITDALSDATYLYQRGGWKTYDCSKTSASYICEQSASG >CRE05530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:807583:809029:1 gene:WBGene00053080 transcript:CRE05530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05530 MKFIILLLFGAIFPPTVSLDLNEIKKMKTNPTTIATTTTPTTTTTPTSTTTTPTSTTTTVMTTTTTGEPEYITFTMTYPGAMLTDCPGGCATGWQYYGSKCYKKFNQATTYDQAVTACQVEWAELVTIDNSDENEALRKAFDTNALVDEPKETWIGLRSTSGSWKWTDGSSASFTNWAQTQPSSSQCVQMITDALSNATYQYQRGGWKTYDCSKTSASYICQRWATI >CRE05731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:809164:810792:-1 gene:WBGene00053081 transcript:CRE05731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-18 description:CRE-VHA-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LZS8] MVFGENQDLIRTHFQKEADKVRTMKTNWGLFTKSRMIAQSDYDFIVTYEQAETEADRSTVLSVFKEKAVYAFVHLMSQISKDDYVRYTLTIIDDMLREDVGRTIIFEDVAKLLKRSPYSFFLGLLHREDQYIVHITFSILTKMAVFGNMKITGDELDYVMGSLKEALTRGTGNDYIATAVRCMQTLFRVDSYRVSFVNINGYDSLTHALYSTRKCGFQIQYQIIFCMWLLTFNSHAAEVALSGNLIHTISGILGNCQKEKVIRIVVATLRNLIASNEDDYMKKQASLQMIQNRIPIKLDHLENRKFQDVDLIDDMAFLRGELKKVVQVLTSFDEYENELRLGSLHWSPAHKCEVFWTENAHRLNDNRQELLKLLITMLEKSNDPLVLCVAAHDIGEFVRCVTLKYTYTYFDFRFYPRGKMHVDLLGGKEAMMRLLTVMDPNVRYHALLAAQKLMINHWKDLGL >CRE05531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:811257:812481:1 gene:WBGene00053082 transcript:CRE05531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pos-1 description:CRE-POS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZS9] MTGSETLSSDSILSFKKENYETADSVNLLNQSLPHITAPPGLSDLEKSFARDFQPFVQQDQMLKTLVSQAARNALASQDPCTIPDDLREELMRQKRKDDAFKTALCDSYKRNQTCSYGDQCRFAHGVHELRLPQHPRGRNHPKYKTVLCDKFSTTGNCKYGTRCQFIHKLVNPTLLAQASGMLNNTSTLASATFNQSLFMPPVSSDLSMDLNQSLPIRQSDLARAFARANQVDSVDDSVTRMARIFGNQFHRNLGYSGLRN >CRE05733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:822397:833575:-1 gene:WBGene00053083 transcript:CRE05733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05733 MGSFGSVSSAPPGSAKIPAFQMDYTITETDTLERVAASHDCTVGELMKLNKMASRMVFPGQKILVPLANSDDVFDPPSSASSVKSSGNQISGIENAEDGIRKGPGGAVPAHVRRGSFTKTQSAPIPRGSNEEADTDCLQRFLKIKVKQVTESDGTVSGTLLVTPNCLMFDPDVSHPLVKENGPDLYGMVANMDEIQSVSVYKEISGLTGDKEEKKRDIFDPDHLRTPEDSPKKQQKNHQEEVEIPRNGSNSEVTFEAGSVDSNHSPKFGSDIALPAISEETKDSPCEESKSNETRNRAATLGDEEVQLRARSRSRTSSQASSNDERPRSFSELDTPSEGKNIGRFSPNAARRSFGKLGRTLSARAKSIQGTVTSGAEKVVGTAVQGTKTVAHGVVTHTKSAADTLQSGIENGAKAAVDVAGRVVDKGQSLMSESINGVTEIFTVPDFEQPAKSPMAMKREQSLAKLQDLKRQTAEARENSAKENRTSVFACATSNEEMHHDLFAAVDEIVERSRIQSNDSTGSQPILPFYMAVRLTRNKKKKKSSTSSPSYDEDIAFGNKLKREFWFAVPRSQADNIYHFLLQWSPDKYGLDTTNNTTNEELSLVMVSDGQDKGFIVLGSNADESLGGTFFFFFLFLFHAHVFSFSSS >CRE05734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:839997:840978:-1 gene:WBGene00053084 transcript:CRE05734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05734 MPSFRFEAARGPVILGSFQLKHVFQAAMSMPAAGVVMAFIIGYSIHASWMYDYYWGCRTVYLPSVSRLLNLPLERILWNLLSLSSVPLQLFVVLRQYFLTFSTSNKLQFLRIGLVISSVFQSLFLTLLATVGERESGDFHVAFFSGFAVSTIFNYSAFTILMRFTARDENPKYGRRRVTVLLGLMITLPTIFIAFILHNVFCVQGAYEAFAVFEYLTIILIYVFHVSNFYLFSDPSHKILICQRNGKTSAVRI >CRE05735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:841777:842049:-1 gene:WBGene00053085 transcript:CRE05735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05735 MADKSAFVPVDAIGNHKNTDLDVDIDDELFGKKPPKATASATKAAAPPAPAPPSVIKAPTSPAPKPAAAAPAAGKYQYKKSTTYQKTYAK >CRE05532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:849030:849969:1 gene:WBGene00053086 transcript:CRE05532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05532 MLFYFYKFCLSLLSKCYFFLSFIPHTDGHSQRHFIKYLSLEYLFLQPIQIVPYFQNNMRLQLLIFVLFANLVISRKHYKGRKYDLTLFVRNHNVSDATFFRLVGVDNTADFNVSAGNTFEHTFEVRRKGFWTLFVEFPGDRYAKSPRKIISRDSYRKWVMEVYYYPGAVGFSEWHKLSRRGEMHIHG >CRE05736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:850516:852879:-1 gene:WBGene00053087 transcript:CRE05736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05736 MAQPCSSTSFSNEPGSDYIVNLNVGGRIFATSCNTLSWIPDSFFTSLLSGRMNSVRDVSGAIFIDRDPDVFRVILNYLRTKQVDLGGLKVSTLKHEALFFGLTPLIRRLTLCEELSSTSCGSVYFCGMIPPPDMPVEALPNEKSRSPMYSNSSDSNDSKNKTNGSPRHRVHSKKQSSDMSRYVSFFRSSFNTLNKFQIKNELSELAKSKSLLDRDTEPLKVRMIRAHICSIVVAYSYFVCVYRVTEWNTYVLLWKSPRTRTYVTHIAVNTKISATVAERFVAVAFQDDTIGLWHIDDEGKTEKKGECCIITPISCYDTAGSSLILGCANGSMNHIDMQKFPLRMKDNDLLVTELFRDPNGDTITSLSVFLTPKTSACGNWIEIAYGTSNGVVNLILQHPETAGHQPVLFQSYNIHNSPVVRVSLNTAHLISVCSEYNHVRSWNVTRFRGMINTQPGTVPLASFKVLTLDSTDETTDKQYNHPGPYGDQDSEQVLVQRLIPNAQKLFVRLASTGERICTVDSVEDSPITAFCVHELDGQKLGSRLRKHLFCGNGNGSVQVWDLTSALDQFHLKNGSNPGHQQVNPPAAANQAELIKSQLAQSPRSLINLSNYSGGPTPQELLDVIDDNDICCSTPSLSRCPSAAFQ >CRE05737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:853615:854593:-1 gene:WBGene00053088 transcript:CRE05737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-22 description:CRE-DNJ-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LZT6] MPLKPGSNPYKILDLEKGCTEKEIQKAYRAQCLKWHPDKNLNNKEEAEKKFIEAKEAFDFLYDKEKRVSLITMHCINLLFCFQAEYDKGEERIRVAQENYNKRMAQADGERKRLIEELEKRENEVNGKRPADGSAPMTSAQQAKKKKTEQRNFKEEIEAIRRQLEKEVNEEVQQKNALMKTEREKHQKNREKTMPQLLVKWKISEGLDYSEDDIRSIFSNIFFQFGPISNISSVIRKKDKRKGIVEFEAGTNAWGAELETGNSPMPELTAEWIQPPVDTIKKAENPVKPNTGNGSNLEHMSLEDLEAQIMGGF >CRE05533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:854919:857986:1 gene:WBGene00053089 transcript:CRE05533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-natc-1 MSDEKEAKSEKKEVPDPEKTVFGGRKPLTVSNDVSAEFFELCSGLEVGELVTVPMFQLSDVMSAIELLEPKMDVGVNSHSIKTLQSAIQQGLYEEDMPMQLAIMDATIAMLVAWLEGSSLGTTLWTNVMLTNVHSMDHPMFYSFSAGMNLLVRNIYSLINNVGNLEELPEDFNPQSHFMGTKYTPRDEIINLMRNQMECIRSAGEFWNNKTGSLRVLQISSAVASRLDFIAILLEIMAVLVPPEIEDPNYAHKIHLGNTVIDEKDYEAFVEGGQVGKTVEKAAKNKDKTEAAETVQQVKTKVEKNNKKGNGKKGNKKKDKKKGKQAGGEKVDKNVEEVIDSIHQDKLQQKKEQEKPKSSPKSLEKKIQPGGKKNDQNKEEGEEKPKESPCCSGLYCDEANDVDEEEIDDEDYEYEEIIQFTPDFAKAGMLAQKFIKCCEHIEHTYHLGRRGPDDLDLDYTWLMPFDAKACVRLIPACFPRNVKIPTRKEAVRWWRNCAQRILDISHCTPNSVKDVYSMFYFAQMFTFNSCVFTKSLLQVCMFPVDNHLLGKSMTIGEPIEVALKTGFIPQVLVKDSPVYNDQIACNLYDTFMNNMMKCIITSYSSFGCNLTRQRDRLEMAIEELAQLQFHAGKLETRTDEVMLASNMIDEKQQNVSFHSVATFVFHNLLAIIHYYFELGFYMDLYVPYEFPYMFWFLGDVDARWMLTTLERSQEIQINYWKGCSLSTSVNPRHQKEKQQKEEQLKKRLAGLQCSIMNQFAMTMISKGIIKMSVVLVRKGMVKIPEGGEEAERLRFERRFECLQNLGPPLTVTYEQYKLTSGIDALYEEDISLLISSACDHFASAKAQLEKMDIAIEQNRDTMFVDTVWSVKRLLNNFSNLHRIAKANLVATNLLKLGLKDRKMEWGFYEDYPIYPYLKISN >CRE05534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:858474:859552:1 gene:WBGene00053090 transcript:CRE05534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-2 MRRVISLATHAERAFSSRVSALQKPTPSTSSSVPDRATLEKGTVQPTVLKPFVSASLQHEDLFNFEKLVHVDEMFKARIHYGHKVGTVNNNMKWALYGERLGVCLFDLDITKQYLVRALNFVAHVSMRGGMILFVTSNRDTMFDVEKAAEEVGQYSHVRKWQSGTLTNTRQLLGASVRLPDAVCFLSTLTSLGENHPAIIEAAKMAIPTIGVVDSNSDPAYLTYLVPANDDTPQSTEYLLRMFKEAVRRGQDERKRLQQGK >CRE05535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:859664:860395:1 gene:WBGene00053091 transcript:CRE05535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-4 MEIVLFEMQINRMNRVNYHKLFLLKPDISFTFITILCIVKLQMLSRQIRSLISSRALSVAVQDATSSSSSAVSDTRRELWRKPDSPFVKTPQAWVSSLETIEDEKLGLVDLHPDIFRATPRIDILHRNLTWQSVYRNVQMTKMLTKAEMPGGGRKPWPQKKTGRAHVGSIRAPQFIRGGFANGVRGPRTWFYMLPDAVRIQGLCVALTLKHAQDDLHIVDNIQNLQNEDPKYWIDVSYELSNH >CRE05537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:860971:862259:1 gene:WBGene00053092 transcript:CRE05537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phf-30 MSSSDSEDTSIVIEEGQEEKKKVPEEAPFEANAYINQLIGFIKSKEKDANEKFAAFVKKTWELPADSANFYTDSYSLDSGGVSKLPPNMMMGAHKRIAGTQRLMFPPPPGLGKSLGGIDKSGMSSAGGPSSSGGLMRKPKKQIPVIDDSIYCTTDQPCKTCGGVSLAGNQVLVCKRCRDCYHMKCSIPPVSIEEASVSTFVYHCKTCLISRKVMHSSRSRSPSPAVIDAKKMKIAGKEKKLSKP >CRE05738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:862368:862884:-1 gene:WBGene00053093 transcript:CRE05738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05738 description:Putative glycoprotein hormone-beta5 [Source:UniProtKB/TrEMBL;Acc:C6SUR7] MLLLPVFTLLHIFLISVESGRECEFAMRLVPGFNPLRQVDSNGKECRGNVELPFCKGYCKTSESGTHGFPPRVQISKVCTLVTTSTRKVVLDDCDEGAEESIKFVNVPHGTECECSAVPLEQNHSK >CRE05538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:864819:870950:1 gene:WBGene00053095 transcript:CRE05538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pxn-1 description:CRE-PXN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZU3] MMVTFEKSSLPLHISHSVYFFVLFLKFSILQAMNLLLLLLATALWNSSLGSEEGCPERCTCDKKGFTVDCSSAGLIGIPKGISRNVRSLVLRNNRIHTLKRSDLAGLTQLESLVLTHNKIKVVEENILDDLHELKRLSLSHNELIYIPPLCSDSRPLASLNLKRNRIQFIDEQLLQYFPDLVQIDLSHNRIQSLRTKLFENLPSLTHAHLHANPWNCDCRVSKVKALLRKVEWERQAYCTNPIELRHQALDEVEDSLLKCAKPEEVSWTGEDFKLICAKNSTKPVVWLYENSEVDSSSLEGYEIHDSVITLPRKTNVNSMRCTFDYDHVPHHRRLRQTHNHANGAPQFTYKPRDNSYREGSEVKINCEVMGTPKPNIIWYHNGQRFVSSRKRQLGLSNNVLRIYPFLEEDSGRYTCEAVNSIGKVSHTFSLDLISSIPPNIYEGPQSVSQNVGGQVVFVCKAKGNPKPDYTWSFDGSTIGHIKGRFMVSDDGTELRISNIEKKDEGYYSCMAGNPVGAMSADAKLTVIGGETKKASAPQIDEELLRAIAQKARQNVESAVEKTRKQLNQDKITNTNDLKRLFRFSTPKQAVELSKAREIYEESVRLVREHVEKGLILNVDELHPKNVSYESVLHVTHVQALMGLSGCHTGQFKNPCTDTCFHKKYRSFDGQCNNQNKPMYGVSLMPLRRLLKPVYENGFNTPVGWEKGRLYNGYPMPNVREVSRQLVATETITPHQKLSSMVMQWGQFVDHDLTHTVTALSRHSYATGSFCNKTCDNLDPCFNIPLSPNDPRVLSGSAKYPCIEFERSAAVCGSGETSLVFNRVTYREQMNALTSFLDASNVYGSNEVQAQELRDTYNNKGMLRYDITSSAGKEYLPFEKDSNMDCRRNFSEENPIRCFLAGDLRANEQLALAATHTIFIREHNRIAKKLNKMNGNWDGEVIYHETRKIIGAMMQHITFKHWLPVVFGSQDQVDKYVGKYQGYDPAIDSSVTNAFATAAFRFGHTIINPTLFRLGNDFMPIKQGHIALHKAFFTPELVLTEGGIDPLLRGLFASPLKHPMSTQLLNMELIEKLFMKGHEVSLDLAVMNIQRSRDHGLPSYTEYRKLCNLPVPARWEDMKGYIKDDMIIQKLRGLYGVPENIDLWVGGIVEEKIENGLFGPTFACIIGEQFRKMRDGDRFWYEKDGVFTQEQLKEIKKVTLARLLCDNGDEIDRIQKDVFMYPGKEKENYGTCEETEMMNLMAWNKCCDDVCPTMLDRILRSRHRGSRLHGCNQNGLWRPEGAKWIPPNEYCTECVCQGSRVWCSTKEDCSDNRSPFK >CRE05739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:871103:875387:-1 gene:WBGene00053096 transcript:CRE05739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05739 MVNYLPGATHLPICRSRSEKKKKLNHNNNEITSLFENKLASQDKSVLNQTFTLDTNKEERCREFCIEDAINGFLPRARSSGPRSSVKNKNDENVRRVRSETASLFSSTCKLPMLDGSVASEKKTCTIRPWSRTQDELRKFKETLKSTTVTSTTASSN >CRE05539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:877388:878931:1 gene:WBGene00053097 transcript:CRE05539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05539 MMLKTEKESIRTRRIVICIAIISLLLTTGYCLYKPLPDGFTVTKWDRTVMHVVEPALRVAYYYPSRMFSKASDMVYWTRGVMNVLTKVLGVFTSTNGQLDIQWHNWKGTPVKSYRPINNKTSTDGAVIFIHGGGFALGNVEMYDSLVGRLALEMKTLFISIEYRLSPETVFPGGIMDCEAAIDHFLQYGTTQFGVNTSKVIIMGDSAGGNLATVIAQRRAARQKLPKLAGQVLIYPLLQMADMQTMSYRYFNSHLQGYALVDPESVAYYYMFYAGIDMDEKAYLVPSVTSNGHVSKKLQSKVHRIMSHNSIIEKTHNYKNISVSEKWEIKPSVEAQELMESFLTNPDFSPLMREDLSNLPPTLVITCEFDILRDEGLVYGYRLKTSGVPTTTIHYENGFHAMLNFHSDLDEAMKTVEDIEQWTLDTISRA >CRE05540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:879281:881728:1 gene:WBGene00053098 transcript:CRE05540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05540 MFLLPKLKISILLFLLFLLQKNQISSAKKIQITNGEIEGRELTATYSLYGNQTSVVFLGIPHIEPPVGKLRFRKPKTFKKKWNGVLETKEFKPACMSNSVRTYFNSSGGPISEDCLYINVYTNYFCLEHKNCSVMIAVHGGQMLRGSPAAYSNEIITNNFVGQDRNIVVVTVPYRLGIFGLPNLPGELEGIADRNLILYDVIEGLQWVKREISSFGGDSNRMTYFGHSGGATIGMMIGFLPEYDNLYQQAILMSTPLLMHSKLSNSKMFRKIAKRVGCFTSIDNQQIFDCMREISAEKLLSMQEYIFKLEEESFADFAIDGEMLQDNPRRLLESGNFTKKPLLIGTVPYELRYTRYFIGKEENFKYDELLKMCELFGFVGAYEKPYSFVESCVEFYMKNETYEFLLDDYMFHVPAANLARHHASEQPVYLYSYKYPGVGSAYSSAPGIPNNALGGVPSPAHSEDYVYVMGGHRSPNFTVKDLNIEHLFTGIIANFVNSEAPNGQEWAPLNIEVMNYFDIDFVEKSDGQLTMSGMKYEFYKKQMEFWNEIVPQCGGKFSFSEDSSKSFDVFPVREFVEMKNLYYKSKFWKDEKSEEIARKLELELEIFIAERKRKFDKLQQSSSVRLSYFTSIFFLILISAARSYLNN >CRE05740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:881819:882546:-1 gene:WBGene00053099 transcript:CRE05740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05740 MTSSFQIFEILDVLDSLKHLKRTGWVNCGVPEPETVACHMYRMAVLAMTLEGQIDGLDTVRAVKMALVHDIAESIVGDITPHCGISNQDKFDLESQGSLNPVSNSTSVFKFQAIKRIATYVPNVGEEWIMLWREYEEAASLTARVVKHLDKFDMIAQAEKYEQTHGINLQQFFTSTSGVLTMEPFLTWDRELREKRNQRINQ >CRE05741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:883331:887997:-1 gene:WBGene00053100 transcript:CRE05741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05741 MPKDKKSKEGKKAGSSGQEVEIVVKRKSSIVDSSGGSSSSSSDNSGNSSDHSQSGDSDGSIGFDNEKGTMTNDSQEGTSSSSLEPQCYVTIRDRTDEMHRLINNHVQSESIRVVGAENGIPVTESTHFVPIQNNTPNKDEPSTSASINVAQLPPPVPLVPQVAQIVQIVQVCDLLHTLILNFNLQQAVNSKPATIAKPIKNNGKQKQLTKKQKKAEKKAKENYPGQKEQRAKVPLEFILNSTESGDEDRISQVNRAVHAVQATDGQPNLHVHHFDERDCTGDSVQDGEMVVRATNAAAQTMLGSQNRVTMPFYRREEDLGQLVHFTDLHKNSQMRYLRHVHSLGKYMELPPNIKLLHRMGYGISASGCPDPEPLKLTINGAKPFMKHTYSDYVMSCGKVTNWRSEFAAHIDMDGTVRPHEYEEVQIQLRNAIQQMINSITDDFERGTLIDLRDRDLKYVLLRFGEMERSIMRNENKFQNRVDGRRFSNESRQTRIACLSNLTLASGTRYYLEKFEDNICENRAVSILMHLASTAKSEKPCYADKHRKCAVLHFLLQTSNDKEKYLTQKFSGGNTLLHFAAMTGSPCQIDTLLRHGAALNELNDLFKSPVSLAVRRHCNLVARQLMWHGADIGGCLSLIHIHPRTPRETANKELSDYQDFCASIIPYKAREFLSLRLRALTNTFCSWVEALLIDAEARIPLTVHSVKSSLHQIRIGRDVMDPMIEVGGKVYVKKTLHMTIEKGEFTDEPIALFLLPCQYTKFDTTMGAGNPHIIRIAMVTDAVSISNNIAEKEKIRLANGLPRVEYKDDFKNCRPIKLLGESVAISFGDTCVDRYENLESFFSYEHNGCIYAYNLPKTVTHNENRFTNITIRLTLSESECNRFKDSFFMVQALQIVSRSQEPNVFNAGETSFSNPIDPRAEAVAEQVAVRKAELIAAEAHRVKEAEMKDKLRENRVRGMVSEIQSGVALRKSQKESEAAASTSQKVSASASTSTCVIYDDPDSERSLNKKKNE >CRE05742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:889153:890710:-1 gene:WBGene00053101 transcript:CRE05742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05742 MQINRSRNTENSSGYSSIKTSPQSSDEDLVNARWNKIRLELKPRNPLLINAETEEASTESDDADIRDDAIKETTEIDGELEGDFRPVWTPNELDPHREWYDKLLTFRIEYQKGERGAFPPFPPPPLPSALIAASEATSYNSFDAVKQAATVLSSKAPTTLSLEVRAYRFSPADFQPLPPPHVYIEMIKTLAPHQYIDLTYALAGSALLDMGVKVPERYPPLPPKIEPFRDLEAEERAHMTEMSSQSSSEGEYSDASEHSRFIEKLKKRKNRERRAAREVRIAAKKLTINESAGIYFNIVEPKRETFSRESIYKEKTLSMFIPRRINRGPPAPPFFCPPNVHNKRGAIPPLPFTPFLPMMIPVPVPISTDPTDFKMDYPYLFPMVPISLLSPPETPSSSTVSLCNNTEEVLPDSQEKLTRNQLELEDE >CRE05541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:892004:895747:1 gene:WBGene00053102 transcript:CRE05541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-otpl-7 description:CRE-OTPL-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LZV0] MEPLREKESIVSVASTSCAPPGHLSLPDTLISPSPSEDPLLEHPQWIRNPKATRFLVGLLTSMYVLLLTIVSCLIALSSAWNSPDLWLAETVGIQIYFQIFSLLMYGIATLFFMYTYFVVIYPKPINTLILFLNRKTSFIPRPDRWLIPPALHNGEGAGTLYLRLGALLFGTLGSVLWGCEIYLCFAGECVHRLVVSKHIAAIIFTFLQMHFIVCNSKITFHRSNHLASFGMMHCVAVNIWTWFSMCLVKAQVKRLKKQKKEMEYHKNSTDSYSSSSSSSSSSSEEEDELESSTVLEGLVEAVVEKMKPMMSSYSSSTSSYPTYSYNSTSNHTLLRMQSMARLGDFSSFLLTCLVEYSLIGAAVCFIIWKYMGATSIEENVDKKKKKLRMDCTSTTVGLFAGIFFMTAAFVTIGIYTMLYNKKNAAGADLVIGIVNLVLFCVALVAVIFGSWRMRVLQYRLHAHGEVIDEILLIIGLVGELVYCSIGFDMIINGRRTGKNAPNLAIAVFTFRIVQVIIQAMYILIASRLRCLSTANTQYQPGKQTLTFLVIINISLFVYHAFEGMKSTYGFPSIMESKYFELLNISSPLVVFYRFHSSACLAEIWKHTYSTKHNHHHHHNVLSPISSPMSDNSHHNHV >CRE05542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:897153:899743:1 gene:WBGene00053103 transcript:CRE05542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-otpl-6 description:CRE-OTPL-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LZV1] MADKERLRMKTSIESTSSDVTVLSDYSSTLPENLPPWMENQKAQSFGLKSLTALYTLILTIVAFIIEISPTWQSDAMHIEYTIFCVLMYSIAIIYFIYLYTVILYPIVINTILLYLERMHILSVHSIKRWVRPEPVFTGEGAGTLYLRLGTLLFGTLGSVLWGSEIFLCFFTNVRHNIYVVKYILAFVFTYLQMHFLCCNSKIDLPKNSFIASFGMMHCIAVNLWVWFSLCLAKAVYKSDKKTLKLQKNEEKWKKKNMTLTDAVTEAVTTTVAYIASSDGEMVSNFSASEKQLRSLYRLGSAANFLLTTLVEFSLIAAAVYFIIWKHEGEENPQEARKKHVRFDCKSTSVGIFAALVLLVGSFVSISMHYIYNNSDKPRAADEVIGIAETVLFCVTLLAVFAAFSRMRKLQYRLHAHGQVVDEILLIVGLAGEIVYCSTGLDLYLNERLGNVPGTTCLIVVAFVFRIIQVVVQSVFILVSSRLRSLSASNVANQPGKQIITFLVVCNINLFIYHTFETIESNFGFPHKMSSIYSALLNISSPLVVFYRFHSSACLAEIWKHAYSTKHNHSHVHNKPLEDHHIA >CRE05543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:900330:903079:1 gene:WBGene00053104 transcript:CRE05543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05543 MQKESLKNKVSSASTSYTVSTEDYINHDNEPQWTKNAKAKSFCINMLSSVYALILTMVAFVIEISPTWRSEEMWLPYSIFCVLMYTVAVAYFTYLYLFVLYPNVINTCIYFLFRKGYIMNPCKWILDEPVYNGEGAGTMYLRVGTLFFGSMGSVLWGTEILLCFFESQRHGIYVVKYALAVIFTYMQMHFIFSNSKISLKRTNIIAKFGLMHSVAVNLWTWLSICLIKSSIKHAKKEIKYAVEEAKNKTRTETYTTWSYDFTMDDFGPHDKQLRAIIKLGSNSNLLLTCLVEFSLIAAVICFIIWKNDDPNPGESPKKEKKRSFRFDCSGTSTGIFLGIIIHIISTVVIGMHGILMKSQKSMAADLLVGFTDLLMFLVTLLACFLAFFQMRKLQYRIHSHGEVIDDILLIVGLAGECVYSCAGMDLYLNGRLTKQRVSWITIPSFVLRITEVLIQTVFILFASRMRCYNYATRESHPGKQVITFLLVCNINLFIYHTFETMESNFGFPVNLPDNYSVMLSIASPIVVFYRFHSSACLAEIWKHTYSRKHHEHLIHEPVDLAEKNVIVDKL >CRE05544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:904216:904599:1 gene:WBGene00053105 transcript:CRE05544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-61 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LKS0] MSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE05745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:907156:909589:-1 gene:WBGene00053107 transcript:CRE05745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05745 MRCFFLLSICLFFSVSSDFEEDLQAVLSYYRCNPNCTFKHSEITSKTLPFYPLSCSSICGILVVNENTDLPYFQLKALFEPLQTLRGGMRIENTSFQNLSFLSSSETTLNYFCYPAGTSIVNNSQLIDAKAIYNTYPISTPSEECPFYVLNNTKLDVSTLCESSNYNQFLEIQVYNNKKDCGCRGDQLTSGNMKSYKKCIALFNGLELTNMTTSPDLKSLSKISVIKGNVEIAYTNFTDLSFFKHLEKVRGRSFDNPETVILDIHDNPNMIRLGLNAVSVNKLGYYLVGNVEYQWEGSMNLENLHPDFCLTYQEVTALSTVRFKNLQAKFCETEMDTGYTKSCNFTSMKNLDSDCVIIFGNVVIDSGDEEHVGKLTETIRDIYGSLTIQNTNLEDIRFLNSLNYIYFLHETIPVIRIINNPKLKKVSLPYMMSIFAKGKKEVVIDNNAPNLFRASISCLAFQHHIGANVTYNGRNCKAIDETKTSGEFGIFHVHFALLTLLVY >CRE05545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:909875:910467:1 gene:WBGene00053108 transcript:CRE05545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05545 MADLKLSFLGFLIINSFFLNLTGIFTSNWVIGSSWNQGLVLNDDNVNFFAAIFMFVTLAVSVILVIMYSFIYFQTRDGDYPDGLRKWFRINSLFSVVNVILTSIAIILVRPVAYRSEYYTLGFSAWLCLISSVMATAIAATSVYIASEEF >CRE05546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:912094:913494:1 gene:WBGene00053110 transcript:CRE05546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05546 MARTKQTARKSTGGKAQESELAHPRLPATRATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE05748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:914208:914591:-1 gene:WBGene00053111 transcript:CRE05748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05748 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LKS0] MSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE05547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:914723:915214:1 gene:WBGene00053112 transcript:CRE05547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05547 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3LZW2] MRWRMTVCPHRSPAPPPENNSNKNGHNPIPYTCHQVQSLITMPPKPSAKGAKKAAKTVTKPKDGKKRKHARKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE05548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:916234:918183:1 gene:WBGene00053113 transcript:CRE05548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-otpl-4 description:CRE-OTPL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LZW3] MVIWKHFQQHLAPYLFVIYTLVWAIICFMIEFSPTFQSDDYWYSYSIFGCCNLSISIIFFVIVALTTWEKWTFLRIKDSDIVGIGASVFFLRLGAVLFSIGAIVLWGIEFFLAFAQSVRPGLCILRTCLYIVFHAAQLIFIFKSQRIIFHCNRLLVFFGLAHTIAVNLWIWVSLCIAKSGISNNNNDVYHINYDRSSGWILHATEPPTVVDIIFERHEKQLRAVKLFGNTAITLLTGNVEFCLIAVGVCLSLFYTVAFSEETHNHRKAHYIGFDYRNTGVSMVLGYIMIILLCLSIAFGDILRNSQYDKAAGMIMGIFGLSYYLISIVVCLIVYHCLFAHIARNQDFVISPNRDAMSHEKTINVIFLMVGASGEVLYCSIGLLGVIRGDSLSDDKGLVLGTFVIRAIEVILQALLLFYLLKKGSIIEPCDTIGKQSITFLIIFNMILFGFHTLEGSIRSFGFPEKLDDTSKVFLKISLPLVVFFRFHCSVCFAEIWKIYYHLDPKTRTSSMRSTPNSQPDLSVNTTAHALTSTSTQLSAEALVDSDFLETSNDYTDINQILSTHS >CRE05749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:918458:922143:-1 gene:WBGene00053114 transcript:CRE05749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-17.8 MVVLTKNAVKINYDDVAKSPDQLLRSMSSVHPISDGIPSQQRPLNYRWFPSWRFMTSIMLCFCFGCVHLMNSNMGMAIVCMVNSSVTYDNETYPENAAPLLDWSSDEQGYIFSAFNAGLLVMLFTGGMADKFNAKYMILVSVAIASLANLTLPFMAPISVYWAIFSRFLVGFADALLQPAMNSLITRWFPTSERSYALGLATGGRQIGTLIIIPAAGALCSQTEIFGGWPSIFYLSGFIGIIFIFSYIFLGADKPSKQSCITDNELKFITISNQSEDVGKKRTERKVPWKHILSSGAVWASVISLVCHEFPLMTLIMFLPSYLHDVHHYHSTENGILSALPTVSLWFAKIGSSYLNTWLQQNTTWKKDTICKVLNSIGSIGLGVFLLAATFLDKSHAWMAVLFLCLSMASAGLHTPGCQLALVSVAPAYSGAVTGFTFFFVAVSGIIHPILTKMIVKDRTAAEWNLVFYISTVIAIFPIVIFNVWGSTEVQWWAKSKASMQQDPNNKKMSKASKAPSTPSIDSIDMA >CRE05549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:925429:927415:1 gene:WBGene00053115 transcript:CRE05549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asns-1 description:CRE-ASNS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZW5] MCGIWAIIGEQVTPQQQDAFMKIVGRGPDLTVLEEVQPNVHLGFHRLAIVMPGDTPSAQPIAGGGLSVVCNGEIYNHQSLKIDCPITLKNGGSDCAAIITSFLKHKEDLKETCASLDGVFAFIMADSKNVYIGRDPIGVRPLFYGYNSNGSLLIGSEVKCIEELCERVEYFPPGCCATISISSLNRPVQPQQYYTVPSVADRFLSIECTQTLVRDILVKSVEKRLMGNRNFGFMLSGGLDSSLIASIATRFLKQKPVAFSVGFEDSPDLENARKVADYLKIPHEILVITPQQCIDIIPEVVFALETFDPLIIRCGIAHYLLCQHISKSSDVKVLLSGEGADELFGSYAYMQRAPNALHLHKEILRRMHHLHQYDVLRCDRSTSCHGLEIRVPFLDKRFIDLVSRLPPTYKLMPMKLEKHLLRSAFEGWLPDEVLWRSKEGFAEALGKTDLGDILADHCDKLIPKDLYEQRAERFPDRTPETTEEYWYRQIFEETFVYDKMGHLVHTKVYR >CRE05550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:930108:932131:1 gene:WBGene00053116 transcript:CRE05550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-15 description:CRE-ACR-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LZW6] MPHSNFILLQLLLLITQSNGSPAEVRLINDLMSGYVREERPILDSSKPVKVTLGVSLQQIINLSEKEEQLEVNAWLKFSWRDENLRWEPTAYENVTDLRHPPDALWTPDILLYNSVDSEFDSSYKVNLVNYHTGYINWMPPGIFKVSCKLDIYWFPFDEQICYFKFGSWTYTRDKIELEKGDFDFSEFIPNGEWIIIGHRTNITVKQYECCPEQYEDITFTLHLRRRTLYYSFNLIAPVLLTMVLVILGFTVSPETCEKVGLQISVSLAICIFLTIMSELTPQTSEAVPLLGVFFHTCNFISVLATSFTVYVQSFHFRNQHVHERMGFWMRFILLEWSPWVLRMKMPDRDNNVKTLKDSWKERNRRESMARTAFEYADGPVTQIHTMGIVLKENFEELIYQVKQDKTADEKGIERLRVLQKIYDHVKMIREHDDDNDEDSRVALEWRFAAIVVDRLCLLAFSILIVIVSIIIAWRAPYLFA >CRE05551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:937464:939494:1 gene:WBGene00053117 transcript:CRE05551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-16 description:CRE-ACR-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LZW7] MSVCALLLSCAIFCATPAFGSLQERRLYEDLMRNYNNLERPVANHSEPVTVHLKVALQQIIDVDEKNQVVYVNAWLDYTWKDYNLVWDQAEYGNITDVRFPAGKIWKPDVLLYNSVDTNFDSTYQTNMIVYSSGLVHWVPPGIFKISCKIDIQWFPFDEQKCFFKFGSWTYDGYKLDLQPATGGFDISEYLPNGEWALPLTTVERNEKFYDCCPEPYPDVHFYLHMRRRTLYYGFNLIMPCILTTLMTLLGFTLPPDAGEKITLQITVLLSICFFLSIVSEMSPPTSEAVPLLGIFFTCCMIVVTASTVFTVYVLNLHYRTPETHEMGPWTRNLLLYWIPWILRMKRPGHNLTYASLPSLFTSKPNRHSESLIRNIKDNEHSLSRANSFDADCRLNQYIMTQSVSNGLTSIGSIPSTMISSANGTTTDVSQQATLLILHRIYHELKIVTKRMIEGDKEEQASNNWKFAAMVVDRLCLYVFTIFIIASTIGIFWSAPYLVA >CRE05751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:940679:945181:-1 gene:WBGene00053118 transcript:CRE05751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05751 MDKDCVLEHMDLVTIKEHLAYCHPLVKAKGFSYLADKILRNNFYSDDKKAADHRVVLFDCFCDYGDVALELLLELLPTLKERVMPREEIMEEFSLRLSRMGDKLQRAYPIVLDTCLPPFSKFLELASRIDSDIMTNMFIGDGLQREHYRYITILLFSGLLNENQKRLLGNMGLVQDLFLNHFPHLYPIDKKSVYDPETFLRECPTEELEQSLLQNLDNFQKLHLMNERPLALRNPNLGRHVIFMPFMFLMLQYVPAVSKVLAKKYEVQLKNLREQFQECRDQIQFVEPHFYKDVLTFELFLSHVVTKKNIGAFATFLEYCFDEMTMFLKAHFVPGLTALLPHISDDPILQKRVVRLIVRFLKKAPEHADSFVLYFAGSIGKDGHSVSKDLVMDTLMSLILNTFTLSPITSFMGMLTDSKGDHRVKAYDCITKIVTMHRSAVRVAFKHLRLDNKDPEEIFYANMMMAKECCIAIEENDDLLKEISEFLKDNGYRLAAAIDAAIDLYKHDVVEMKSVRLMVGERVKYVGNQAACASYCRLLGLGATQHEDDDDVTFEGLKEIFIEELYGFTKHSNNDIASAAWTSLGNYTMDDIARVTELTSAQYGEEFVKGGGDHQLGFIEFLRKHLSVEKESYQRPLYNTTVQTDLPPLLSKIDAYKDHLAVKNKDKAWFWPATLPLSASIFQLVAPSNKAQTVVRFLKSCLMNVPPAEDHPGMLRLIAGWRICVREALNALSESKNNDILWARDQICNEGRISLTQKSESVDCIMMMLTVLVDVIEEKLRSLDDQKKVEELTENQKPWILSVLEFVATRLPKEIKEKREPKVNPIYQVITHSNKSSLFTAIFCTRLLYHNTTISDFYRDEAKLRLPEDKMFKYLLHNSSEKLEEGDVPDRNMLWITAEAYGVDILAARAFEVEHQAMVDKKTEKYVEPSLESSADIDLFFKSLLVSSMSQSIKLQNKNRKALENFWINGTEDVKIKIYKGLSNFALSNPAGRKKTTVPVEKLADSSVLKGIVKQFDIKRKVNPTILRPLIKTLVNHKRTDGRFLPPIDWMKLLEKAEWKKATDDIRLALVTLACEQKIPDILFHFVGEFTPQELYVIADNFRTVMQLVPRKEMLIILRQMTRQARKIDEDEENISKIVNIIVDNEKDPIVHFFLKEDLPTLESTIDAADPVLNALKEPNAFVGLISTNYDVWIEAHNGENMNMKRICDVINKEHSSLRQYQMFSLLSLETRKLSLSKRIDKILDMITASRISRNESAELGNYFPIYLALIVSVNAEVDIPVCFFKNEAEFVPMACAARKPFFRALLKCKEMRPVAKHIGEFLRMYVDGDESEFYESWQKTAAADMLRDLLANFGADALPKMFHEDDFFWQSILPVE >CRE05552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:945740:950364:1 gene:WBGene00053119 transcript:CRE05552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-symk-1 description:CRE-SYMK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZX0] MSYIHELDDDKESATERIGETLKEARDADTIDKKIASLSTAMHLLIGPNISNNTLDNFLTEMLEFAELNDHRVLCLIIDFLLKASAKDFTICNKTVERYSFYLTPNNSISRYESVIKRVIVASTSLYPIILEFAIMDKNDRAESCWEAFNELKARIITLVDDVHEGVRTVTVKFLEALILCQSPKPKELTADPKSTWAIEANTRFHKISLTDVPRSHRFLSYHKAQLEAEQNFSSLIKQTSVAGITSQNLIAVIESLCMITRCRPQWENALPRVFDVIKTLHSNVPPMLSTGQVKFLRKSFKYNLLRFLKLPASVPLQQKITTMLTNYLGASPREVNQSIPQELIQRAAPPREPATEPAAKRAKLQNPIYEDDDDDDEAEASTSTGAKDARTQAVDITSKYILERLNHETVLNLVKISLYTLPTEMPPAFASSYTPIANAGSESNRQEIAELMAAQMVHKEVGPGFEWLQQKKKKEYEERIKARNEGIVIAQTPLHEPIRAPVPVVKQPVPETAKAPVIQKAKKAFNLVEETEKIDAMEAMEMFEMAFESVLQAERRAVAGGAKTIYQKLVVRLATRFWEDCAPYENKLVEFILSDHKKRSDLALLWLCELYAQYQGYSNCALRIKDLIAEQDGMTQPQRLERYDFSICKILDAMLSRNMHKETLFYKVLLETPLLTPNAIERLKKVCLEKENEHGMFMLRESIMVRNRQRPQLLQFLFGLCFLDRPELRSSCLEVVKELSHLPFIRSSLCDQARMQINDCLEDKPPMYMRKPDGATEWTNEMYRNALAVYTTLMPADPMLLLPLAAVYAQATNLYKRAVLGTLEPVFRQLSQENVIALIQDCPHGAETLVARLVVLLTERITPSSELIQKLKILHDERKMDIRALLPIIGGLEKEEVIALIPTFIFRPEYQKSVTTLFRKLYTVRDHQTGELVFDPIEIIKEYHKIEPKDDNEAELLVNNLEFLFDPALLKPDIASGGIEAVFKWEKVPFLFLHSLYTLYHKFKTFESFVANLFFKVTEKKMYQQSIRWKQAFFKCVRELKSKAYPAVLTLISFEEYEELKEELGAEVVVDFKAIYSTLSTLQQKNMDEKIKEELYDKERENRERDRRLRREERKEKEKERSSRR >CRE05553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:950408:951585:1 gene:WBGene00053120 transcript:CRE05553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05553 MFISLALYLRIVSLIEFFSWLLLKNIFSEQMSKAPQPLFTFFGVDGGATCSCVHEDHLFVGSQKGVVNRYGLDSKINEQVVYDDEEDRRIQSVEYSKSHLFVHIRSFAVIQLKESEDSNDTWQIVKTVEVDHIGFCNSLILGPQLLFVSADPRRVPTLCWHNIEENKKNEYKCTQIQDKPDITPMCMIIGDKEENEVLIGMEDGQLSICYGDEKSAFKLQGLEPLGREPIFCIASSSKFVAAGCARSPIYLIEKVNLSKKEIHYPPDSAGCSAITFSPNEKQILAGFWDGTIRVFSRQRLNVLLALTSSHSATISSLHWLPPEKGELVIATSSDSTITMWKLK >CRE05752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:951928:952676:-1 gene:WBGene00053121 transcript:CRE05752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05752 MFFFIQKLPIVFREMGFDASLLKSVLEQFVDEIGEESVDLIEDRPRFAHAVVVVTDDATGELCQASCIRQLDDVILHETSVANVTSEWMDEEIQRALRELTESRGLLDTPRNSVFVEEKHENQKTVTTTTTSTETNRSSSSRVEFPSMNRRTRSSSAARRFH >CRE05554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:963543:965022:1 gene:WBGene00053122 transcript:CRE05554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-260 description:CRE-NHR-260 protein [Source:UniProtKB/TrEMBL;Acc:E3LZX3] MSPFGNPSLMVNPEDVLILPTSSSTSSTSQSIQKISPEVCEVCGDRVNSRRYGASACLGCTVFFRRAIVNKMQYRCLRDQNCLISHSELDLIVLNVNSLLSAYRCACRYCRFQKCLRVGMRPEAIQRRDLVGPRKVSRDEKSGSPDLIIIDDQSDNFLQEWANFQRLQNSEQLPYFAEHHIDVAFHKDQSNFVKFRRRARAPDVNVMLKLCLKQAAEWGNRLKPFKKLSMESKKSILAEYCLAFLLIDQGFKTAKEADLGIWLLQNGSFMHPDYFFGLSANNISVAENMRVKTQLHHNFVTELLHCVSNPFRKLEIDEIECAALKTILLLSPSCSKRAIYAGQEGILAGFYTKCMEELMDHVMIKYQDKGAERFGEIILLIGSIRCGVKTIYNQTRVSDLFNFMRFDDSVKDILLT >CRE05753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:966452:967625:-1 gene:WBGene00053123 transcript:CRE05753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05753 MNTSFLSTPDFIAGVVHILTFIELPINLLAAYCIVFKTPKPMKCVKWEILNLHFWSMFMDINLSILTRPLVLIPALAMYPQGELKTLGVSSVVQVYLTVTMFAGHCQYEHSFLNRESILFTVRKTNLVALFSLSAIILELLGCVFFFFPAVSRVPDQDEAFASLLENFPNIAISIDSESIFIFSLDLYYIFFILVKGRALSESFSGPGRAFYGPARHLTSMIFLSVFLTGFFTVSQINIFCFLLCVNMRKLSRQKILSPKTYKLKKKFLITIVIQIFTPLIVMFGPATYLDLCLAFNYYNQVTNNICIILISLHGWVSAIVLFFIHEPYRKFCKNLFCYRSFRKNMVLASFRSR >CRE05755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:969743:970051:-1 gene:WBGene00053124 transcript:CRE05755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05755 MPIKLFLYWLFILIIEIYIVGEESIDELVSAGVSENAAAGIVKFDKKLQEPFEMEKTDPEGAKELEQVLHKEFTEYIENLSEYDQEAYQQFIKDKHAQLSIN >CRE05756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:971275:972016:-1 gene:WBGene00053125 transcript:CRE05756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05756 MLLEHALLYIAVPIISFSLLVYIFYILKRRHRSSLNVGYPYPPQQRGPIPTAPVDEEAPPYVEAVTCRTDNIFAITEPDLSRYYNMHYLDECYPPNFIAPPTEPPPPYPGLEKGPPPLDPIIYHRDDIC >CRE05758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:982073:983514:-1 gene:WBGene00053126 transcript:CRE05758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05758 MKKLEAGITSYVVHIKQFRFSSYCTISYLPQLQLTMFFPELSKMSFDLESCENSESSGCSIEDKLPTKNCLIRGKDGVYAVGKKVAQGRYGAVYEVLRRADGKPFACKLEICEAHSHGLDQDYSVMTKAAKRGAENLVRMIDRGKIEEHFKFIIMPLLGENLMNLRFLFEDGRFSLSTGLRLALLAIQPIQALHQIGYVHRDIKVVFLLIKIKINLWFQASNFCISDPHMLQQNPESLKLCLIDYGICRSFKDKSGELKTPRTDIKFRGTNRYASLAAHYGEEQSAKDDMESWFYMMIELISGNLPWSFMHRDQNKEVAAMKEACRTTDGSLIMMKFCPRIEFHRIQAYLMGLKYQNTVDYTFISEMVHLAMRNNGVRMSEKFDWQED >CRE05759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:986696:989421:-1 gene:WBGene00053128 transcript:CRE05759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-60 description:CRE-UNC-60 protein [Source:UniProtKB/TrEMBL;Acc:E3LZY0] MSSGVMVDPDVQTSFQKLSEGRKEYRYIIFKIEDNKVIVESAVTQDQLEISGDDYDDSSKAAFDKFVADVKNRTENLTDCRYAVFDFKFTCSRVGAGTSKMDKIIFLQICPDGASIKKKMVYASSAAAIKASLGTGKILQFQASGVKVDPSCKNAYDLLHNKHQHSYIIFKIDKNDTAIVVEKVGEKSAPYSEFVEEMKKLVEDGKECRYAAVDVEVTVQRQGAEGASTLNKVIFVQYCPDNAPVRRRMLYASSVRALKASLGLESLFQVQASEMSDLDEKSVKSDLMSNQRI >CRE05760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:994004:995622:-1 gene:WBGene00053129 transcript:CRE05760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-304 description:CRE-TAG-304 protein [Source:UniProtKB/TrEMBL;Acc:E3LZY1] MVDRPRHLVEEVRMDDGEDSSDDDRRDRRVDVIEMESPGEFDEDEDNDDPFYDEPPINSTSESTDEEDEEDNDDAMTWDTLSEASAPEAEPDDDDEWYPLSERQTQFPNFALPTTTRPAINSAPQYLASSSRSYSPTGSEQFSDQEDVEFNNFVDVMRPEPTREELNRTALDYFLHHGHSEVVHTFCNEMGIPLPEKEIREMNERNEIRNLICEGKIEEAIARLPAVVMEDEAVHFAVRKQHIIEMIRNEQAQEPVEYFRTHLMKDGKRPNDERMEVIEGIFTLMVFADDDSEFHVYLEQRERELTAKVVNSALLGQMGQSRSSKVDLLAKSLAWARNEVSQKHGQQKVTTTVQWADDMFKTEYNMNDLVEKALRHSPLDDTADLC >CRE05761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:996196:997359:-1 gene:WBGene00053130 transcript:CRE05761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-54 description:CRE-PQN-54 protein [Source:UniProtKB/TrEMBL;Acc:E3LZY2] MRFTSLAIAFLACAFVVSGNVIREKRQCGCAQPQQSQCSCQQVQQTQSCSCQSAPVVQQAAPVQQQQPQQSCSCAQPQQTQTVQVNCDLSTHIQSFLPQVQATQCAPACQQSCTQQCQAAPSVSQCQPQCQQQCQAQCSPSVATTTTTQAPQVVHIQLQVQQVQQAQAQCQPQCQQQCQSSCAQQQQPASQCNSACSNQCSDMCQQNAQAPQQIQQVQQVQPTNTQMYNPYNNNNQGSQSCAPACQPACDNSCTSQQAQPAAPMYNPYQDTTTQASASSQAVIQIVLQRSMAESSQCAPQCEQSCQQQCVQQQQPAAQCQTACQDSCSSSCQAAQPATTSCQQAPASSPSNSCSCQANYSPCGNGQCCKRK >CRE05763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:999428:1000729:-1 gene:WBGene00053131 transcript:CRE05763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05763 MANSTLSDPLNWFTSVLMTVNAVFGIVCNVIIINNVAKSATERTSFNLICAARAIANVIILGLGFLSLFVPLTIYGDTLFPPVHHAIVITIINSLYTGLQNCGVLIAINRFCAMFFSIQYSRYFTPTVTFFAILLLLSYRIFRMGQDIVQNIETQCFSTYSSEFLTWFPTRDPNCRNKYADVVDGTVILLVALVLINIATFVKIYLFYKSTEMDSKEIRNRIRKNRIMFSQTIIQDLNYCIDMLFTFKLSTISSSRIWTFISGSLIWECVHSSDGLIMIMFNEKLTFLKRSFFSASSPSSSVAPQQSPVILVSSPRSPAPIG >CRE05555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1001586:1003511:1 gene:WBGene00053132 transcript:CRE05555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fpn-1.2 description:CRE-FPN-1.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZY5] MTFQQPSPQNNRRTSGTLSRTSIFLYCAYISTCLEDRAWSFCVSLCMVLMGGMRVVSIEQLFEGVLQMILSGYLGKLFDGLSRKRAILTVVPLNNLSICAAAGLIITCLSIDATSPWYIMCLVLAMCMCAVNRLFLNAEKFITSRDWVMVLGDDRTLSNMNATLLTLDQFTNVIAPLFTGALVTWVGLRETVGIFGIASLVSMTSKSIFLRLIYISNPLLQVKKDKKEEITTDPFSNSRLNESVVKTYWRQASFSAAFGMALLFMTVMGFDGLAVGYGSSAGLPEFIIGAFRSFGSLTAILGAFSYAFFEKRYSVATSGLLGLVVQQFFAMMAVVSVFLPGSPMDLGGYFGNFTAGGWWHDMVHSFNGNNGTNFDPHVDWNHFSSDGVSLVSIFVFLIAIASARYGLWCLDLAITHIMQVTVPERERNTVFGMHNALCNTFSVLKDVLVIILPLPATFAICIFISYGFVTVGHMFFVYYLVKTNSIWTVGRRISQIEEKEQTEEYARL >CRE05764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1005712:1006846:-1 gene:WBGene00053133 transcript:CRE05764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hacd-1 description:CRE-HACD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZY7] MVQTEMEKSKPLVAIFGAGMMGSGIAQVCIQAGYPVNLYGRSEKKLLEARETIKKNLIRVAGKKKVDIPMEPEALEQIALMQLELLQIHTDIPSAVEDASMAIEAVAENLDLKLDIFQTIQKTCPEHCMLITNTSSLKLSQMLPVIKNPSLFAGLHFFNPVPVMKLVEVVSTDETSQDTTDFLFNFCKEIKKLPVAAKDTPGFIVNRLLIPYLMDSIRMLERGDATKEDIDTAMRFGTSYPMGPIELCDYVGLDVLQSTLKIFRETVPGDARFAPIQLLDKLVSEGKLGRKTKQGFYTY >CRE05765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1008728:1010531:-1 gene:WBGene00053134 transcript:CRE05765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chil-14 MIPRILLLFSIFSTASAATIEQLTWQPELVTKESILKNYLKLTNETIFEEMTTLAFVTIWNPRGFYLARKRVNRLSYVSNVWFEVEPTFGMYVMSDVAIVGIENIDRDFIKFLRKNNPNIKIVPRFMFTKFTEEVADYFIRTEHIVQRVAQTLANFCHKNGFDGLVIDSYSTFGESLMKHRRNTVETFEQMGNVIRRNRLIAILSLPSPVGNEYFLGDENQESTLINSNECESLLNAYDYFQMWTYIDGLHTRNFIHDKYLLANMELCKFSPKIMLGMSLYGLEYELEDYLEGPRLRDWTTIDSKRFLQVLKLEDSVLTWNKKNKEHELTSKANNTFILFPSLTTLEYRLALAKEHGVAVAFWDYGQGLDYLTNLI >CRE05771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1021403:1023316:-1 gene:WBGene00053135 transcript:CRE05771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05771 MTTATQKTADNHNNERIACQSRMEQNSDKNGMNEKMEMSSKQEKHLPDEKWTPYLFFCISSIALASFQDGFQIGCINAPGPLIIEWIKQCHFELFGETLSQYKADFIWSIAVSMFSVGGMFGSFCSGYLADRFGRKSTLLYNNILALIAATCLSSSKLLNLYPMIVIGRFLVGLNCGITSGLVPMFLTELAPANLRGKCGSFHQLNISVAIVLSQALGLPFIFGSQEGWPYIFACVAVPTFLQLATIPFCVESPKYLISKLNDREGARRVLEHLRGHTKVDEELEHMVQETMMTAEPTHQPGYLALFKGENQWPMIVSILMMFSQQFSGISAVTFYSTLIFKRNGLSGNEPMYATVGFGCIKLIATFGCLFLIDHPKFGRKRLHIAGLSGMCVSSILIVITLTLSNAGFRWASYLNILFILSFVVTFAFGPGPIPWFFTSELFDSATRGRAAAVSATSNWIANWMVGLTFLPINNIIHQYAFLMFTFFTFTFAIFTWKLVPETKGKSPSAIRKELIFMKKRLLS >CRE05559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1026423:1028542:1 gene:WBGene00053136 transcript:CRE05559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ges-1 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LZZ7] MRALLVSSLVLGICWAGPIVDTNYGKVEGFDYEDAEVFLAIPFAKPPVDDLRFEKPVSPEPWEDVYQATQFRNDCTPHYRLVAQFSSHSGEDCLTLNVIKPKKAEKKLPVLFWVHGGGYEIGSGSQHGYEFFAKRYATQGVIVVTIQYRLGFMGFFSEGTQDVQGNWGLFDQAAALKFVNENIEHFGGDPDQVTIWGYSAGAASVSQLTMSPYTRDLYSKAIIMSASSFVGWATGPNVVETSKQLAEILECPWPGARECMKKKTLHEIFDAVEKQGFTTGTIDILRWSPVIDGDYLPKNPEDLIKDAPVKPTLIGMSNKEGSYFAAMNMGRVIADFGLSPEELPKVDEEFISEIIGRKFLYNNRYGKNREKVWEEILDYYVREGKPENEKDLNGFYVDRYSEILSDITFNVPILREITARVERKAPVWTYRFDHYNENIWPKYVPQQARGSPHANEYHYLFDMPIMAKMDFTKEPDSWIRDDLIDMIVSFAKSGAPRVEGVEWRPVSDPDDVNFLNFESTGVSMKHGLFQEPLEFWNNLREREGFDLVDPTNSANHPSTHEKNEL >CRE05560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1030293:1032050:1 gene:WBGene00053137 transcript:CRE05560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05560 MIRTIIFTSLTFVSVFGVIDICEYYRHIGKLHEHPECARQFSTPAPTTAAPRIGFCSSSAALIQCTADAQTCPLSGQVCIQSDGNKCCQIVTAGIPASEINSKSGSCPRPLGISVLQDTTIGCWMDSNCPGIQKCCVEPNPVTNSATRICRDPVGIASKKSTSICSLPLAVGSCTAPAVRFYYDASSGRCNQFMFSGCGGNANNFQSLASCQATCGQSGVTGTPACPNDANAGLNCLFAHADACNSDSDCLGRENTAQPSCCMTSCGYKICYQY >CRE05772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1032921:1033589:-1 gene:WBGene00053138 transcript:CRE05772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05772 MRGTRRGAHVEPGTRRGAHEDPGTQRGGHKEPEEEPTRSPRGAHEEPGTQRGADEEPGTRSGVLEEAGTQRGGHEEPRARRGALEKPGTRRGAHEEPGTQRGGHKEPGIRRGAHKEAGTQRGAHKEAGTQRGAHEEPGTRRGAHKEPRLGRGVHEEKKELGFSTSAEADSRETTLYLVLQMTNDFTEKKSYDGKLARRKYIQRSTRVFL >CRE05561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1044150:1055087:1 gene:WBGene00053139 transcript:CRE05561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snt-5 description:CRE-SNT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M000] MDEWWWWVLVAILSFCALILSSCALYFGARICVRQIKRKVKYSEVPTSVPEIKVSVPQSTSTLSISKMNGSYRARVLSRNGCSPPWMDRTFDLSNRSTPCTSPAIASTSDSCELLGDDPRSASSLRNSMFLFNVDGNHEVIDEMEDIDERSVMHYELGFYDKSDGGCIRGTLTFALRYDFIHRVLMLHVIRANNLPVEDKGTTVDPYVKMYLLPERRGHCKTRICKKNNDPEFNEMFSFDVSFNNLANRMLQFTVYDFDRFTRHGLIGNIIMRDLFEKSDLYTWTEYTMHIVGSQNKNDYGDLLLFLTYSHQDEKLSINVSKAYNLRPMDITGASVIPDPYVKIEQTFNGKRFKSKKSSTKRANLNPVFHETLIFDIPSSQIAETNILIQVMDWDRIGKDDLLGCCILGKDSPTADGRTQWENCLNAVPEEGQQKNKPVGQWHSLLSEVPEAYKNMPKAKK >CRE05773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1055505:1057928:-1 gene:WBGene00053140 transcript:CRE05773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05773 MTTDDFNLLLRSMEGQFQINLARPWAKESDQGGVQRMIADDAITVKNKELAEDIRGIVNTNSNKKILSTICSYIACQDIQGQCVDFFKPFGHCCYVCGTQINFFASELHLDKSRAMVFNVLSEMEVTDTVFSTFERKTDDQLGSEYEIAVISSHNITFDEDFHKKARDEIVRRLLSISSNKFPTVSSMKLFSSKIDRTIRTLSKVVACLIYFSVVITLVGVYAYKNMELRVSRKNLFNPVIKYRRHQDDVAIEMEGGVEEETENVEPREEILENQKEEKTIENPEVIVKEEEKLENVEDWRNINPNFELTGSSDDVENQISYEMTETVKRENSDVDVKRESPDCTELIRETEKSYVAPFESIDTLETDYLTSFENPDVQEKDEEEKEVEKDMGSAVEEQNEDVANIFDINPNFEEEEDTSEPDSDIARDVELPQITVTSGTSSDVDPEAEPEKTVGKENKDVTESEEVELMDLL >CRE05562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1069976:1072297:1 gene:WBGene00053141 transcript:CRE05562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-33 description:CRE-GLB-33 protein [Source:UniProtKB/TrEMBL;Acc:E3M002] MTTMDLIPATTIAPILNDSDDEFSHFNRSYCFISEHSSTYSAFSLMVNGYLTCAVVILGTYGNLNGVKSVHVTSLDRNRGVVLAVSMLALAFWDTVLLWSSLFYYSIRRIGLSFGCNLDQLNHLVPWFHAFSHTANTASTWCVVAITLQRFMATRDPFRTSRSTVIVQSFRSERRISFIYCATYRRLFRMPLLLSLAAFVFNFPVFFEIECVPCFDLETNENTYHLLPTWLRTDTSYSMYRMFLRMFFVSIGPTCLIIFVSIATLFFLRGSNRSRRQLFQMTDNLLERYASRESMNTVISALLVIKFLLFRSMTFALDIMEVTVGVTNYYLIDTSNFLVLINSATNCLIYLKATEWLSARMNERMTIKRKKTICDSGQLLGDRLSILISSWEKAKEMTNEEIGVRVAWNMFRKHPTMCKKDEPEKVSLLNGSCKRSIDHAKFQEIGGRISGFITELLNLMQSNQAESYIIMRIRRVGAVHFDKQIVFSSSAWKEFKNTIQTIISEVQFTSPQEREAALEAWNIFISFIIREMKMGVWAIDDAIGQMP >CRE05775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1074936:1076194:-1 gene:WBGene00053142 transcript:CRE05775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snt-3 description:CRE-SNT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M004] MSVSKKKDEDKEKKFLGRLQYKLDYDFDKNALTVVIVQAEELPAMDLGGTSDPYVKLFLLPEKKKKFQTKVQRKSLNPVFNESFTFKIPFSEIAGQTLVLNVFDFDRFGKHDQIGQISIPLGKIDLAVSIEKTDLIESPPENRLGEVCLALRYVPNKNKLSVVVMECKNLKKMDVLGLSDPYVKIYLMMGTKRLEKKKTTIKMKTLNPYYNESFSFDVTPEKMMRVHLHVTVSDYDRVGSNERIGQVIIGTCATGVALKQWNDMLATPRRSVAQWHTLVPFNDD >CRE05776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1084650:1088648:-1 gene:WBGene00053143 transcript:CRE05776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rga-4 description:CRE-RGA-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M005] MEHNDFRVVSSSTVSRKGSTNMGGGMVVAGGLQNSNRFRYTLGEVCSTNVTLDVNVGTGWTRIRLKEVPKFIVHAFNMISKYGMENDGLFRKEGNAPRLNRIETQMIYRGQCDISGDYTVADVCTMVKRFLRDLKPPLLDSEQFRARLLKKACQARISDSYLMTRDEMADMFYVESKSKEKQTPLLSDVHSSTLGYVMRQLYRISMYSDKHKMSIENLAVVLVGSVFGDGIHDPKKSGQMRRGSRDDILAQKKQDMNVHVAAVKLLITNANLIGVRRDPYVTSNAMARSSSAMPRCMSSGYETASSEADCMSTKTSSSTLKSGQEPGPSSHIYRRDSEAAHKIVTSRKKGEANSKKKRSASFLPSFRDLKHRLTNMKRAKSPSPDRLMTGHSATEPKLTDTSSSDMYTDPHEIQVHRKKVARNESNTASTGSTASGRQSRSQQSRRLNTNAVPRMGSNNNRNVSASSKPTIAKIFDTDMIPSNGALRRLSASDQNSDAIRFAKHRSSNEKMSATEFLMSEHQRDYRDVPLTTNTSKILKRNTMVLGENSNSKSSTRHTNRRHTAPIHNNRPRRNRPNTVGTGLPHRKDSVLNGGSSIEEKENQADCETDDALEPAKNVDHSEWLLEQKALEARSRRAGRKQKQAPRNINTESTIGLNGSMAEEPTTSVMKVETMDSVKEESPQKQRTSVMVVMRKDLDEVNTKQFCDTATSPMVVDTPKVRYSGHLGDCIEHSSLQKPSHENGHVWVGTKQVVTVTPTPTIARRQSRARLSYSKANESSPLDMPSGASRRESSPLMSPILKHVTITNNSPQAQVVTSSPRSSLTPKSHVLSPLVIKMDKHLDTPTPPPVPPHSPPNLTPQTSRGSMEAAAEMKRITISGVSHQPRRPPTPPSHPKMSNPFSPKLPIRSVTIDNGFELPSSAKEAPATEQPPSANLFKLPQLPITARKITSSQISPLAFQRQQNPHQSKSTLSVPNRANLRETKSIDPETLPLRSAPLHGTSSGGGQLSPRSNDYFEFGKYTNALRSATDEFALPHRKLSVEPRPSVAIIRTNNCGLVRSRVHHFQEIEQLQRIDSSMSGRLSALSQTSLRSNDTHPSSSDRFSDVSDLRHNLTSSESNRSLESTPSTPTATAVTSRVSYGSSAPPHHF >CRE05777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1095222:1095730:-1 gene:WBGene00053144 transcript:CRE05777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05777 MMSSTTFSILALAVIGVVLARPQFPGGPPPGMSAVPPPIAAVLPPETLSRLEAIHADSSLSELKKHKAIDEIMVNLPEEVHDRIPPPPGFAQLPAEVQAQLKSIHRDKSLDFQQRQSAIRGVLDNLAPEYKRLLPPLPYV >CRE05778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1096667:1098042:-1 gene:WBGene00053145 transcript:CRE05778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05778 METSESEEKCEVCSQPGHGVHFGVFACRACATFFRRSHFSREHKPCRNLQNKCSPNQYGKWLCKKCRLGKCLEIGMSTESEVSKIRYYIFVNSSISDIQYDRDSFNSSETFAMKKALEKGISYSVEVPTTVERLFGVSHLIIFLNSSGNNKSLPYIDTSQLVAKAIDIVLTPNLVRKTKALSNLEQLTQGIEDSRFSQRGRLSLKEFVSQDDHKNEFERSMCQTAKWLSCSDQIRQFDDKTKISILQSIWFIWGRLERMATTARLRMKNLCGKTQFVISPGAVIDYDEMKSDISHVSIHNFEEMKFFFSPRELYYDDIICDLIEIEPDDVDLTYIISIICFQVTGAHFGGPIEEDMERFRNVRLEKYSIGGVFNLFNKRFSNPEFFWVPPQKFV >CRE05779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1098651:1100325:-1 gene:WBGene00053146 transcript:CRE05779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05779 MEYSPSSSTSPIIIEKCQVCGFEGHGYHFGAFTCRACAAFFRRCHLAATTEHRKCRNKYSTCVPNRNGKWFCKKCRFDRCNKLGMSSANIQYDRDIFKIAIQPGSLAESEVKMKIRKDRVQSPAVSRFVFMNAVPWADAKLGKITLQMNDTRPEDALLIQIVHTIDEEETLPYIDTSELVEKAISTILTPNVVHSSKRMSDLEKLTKGFVEFQTSQKQEMSEISSLSSTDYMKDFERSMCGSANWLACSDRIQSFDDELKVELLKSVWWIWGRLERMSMTAKMRAEGSCGKKQFLFSPDGFVDYDRMSPEMSCWSKRKFEEMKFFFVPREIFYDDVIWSIIETDPDDMELTFIVCCICFQVAGKHFGGQIQEKLEKFQDVLSNDLHEYYMKNNKRMYLLRLKKLMKIQEQFLKLRNSRLEKYEVAGVFKMFGASFSHPEFFCFTP >CRE05563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1101045:1101934:1 gene:WBGene00053147 transcript:CRE05563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05563 MKVPHVIAELVSEFSGFQHTPDFKASRSTGNFEEESEEGTSSTSSHSHPEPHSEHHGHSLYFGKHFGKKHLPPVDEKDNDTHSTHSGQSGGSGSVSGSGSCSKGKHHGLSVHFKEFFHIGHHHHHHHDEDHPHSKTNSGASTPARKNSTGSQCPCHKHSINITVGADEVPTVLATPPNELNTVHTHFLKNQSPCISRVVSSSSLKEKLNKIKRTTSSDSEADFPEKKCSVASYRVVTH >CRE05565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1105788:1107256:1 gene:WBGene00053148 transcript:CRE05565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05565 MKTLLFLFVILFVGIQAGLIDSEENRQLYSSCGRKTGYERKMIHGDLVKKGEHPWAVSIYVRYLNKTINKYVTLLGPGTMISSKHIVAFNTVRRNGTTLTIIGLEDTDYEGTCEGNHLVLPEELYSRYDYDFEHLKKFNGSRQFSKNSIVKITALNGCKEGVTLGNSNPLILEISSPPTVVYEAGEHFPVCISNSPYNWKDVSQFTVYGMNQPGMLVSGKFQPVVCESEVPFSCATAVNKYQGLCQGDFGGSAVAKFDDRYIMLGLYARGNTQCNTDSETLPEFMFLNLGYYRQEICETTGVCVAPPPADVSHIPPFNGWNLTTVIYSPVGQTTAEPPLETTLINSVETTTTDEEISTDTTETYTTSVPETSVMTTETSEDTTPTNGNTKYVPIGEDGEVIEAETFAPMRINLANCSDGNIITAERIEPMKIGNNQTRGTRVRNINIHIHLNQ >CRE05780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1107426:1108714:-1 gene:WBGene00053149 transcript:CRE05780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05780 MIFGRTALLKTTGQIRNFAVASTEMSEPKVHKRPVKFPKMDGKPVEIQAVYEDSLSSGSSVGTVVAFHGSPGSHKDFKFIRQKLDDMGVRFIGINYPGFKQTDGYSGQQHCNEERQNYTNAFLKDLSLTEKVIFLAHSRGCENALMTATTFPADGLVMMNPVGLRIHKSIRPLSRLQRIEYVYDLLPKFLADAMIYRMYHSFGLKVHDGEEAINALRSVIRCGLETTLPFIQKLQKQDTKTFMIFAGRDIIVEEEIIFESLKEYKNLEHFNYDTDIPSDDFSKILKTFDSRKGASVFVKKDTHYQNKLRADLVVEVVKKIFSLKNKL >CRE05781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1111425:1112361:-1 gene:WBGene00053150 transcript:CRE05781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ets-7 MDSTTNSNISTPRTSPNGKQRLLNFLHGLLDDGEHMDVITWTNESRKEFILRKPHKVAELWGAATGNPGMTYDKMSRGLRYFYKNNTLEKLPGKDSRYRFTDATMANEDKKPLIQISCNSQGNSSLSSSPSSSSTGSTSPPPAVGAPVVNPFALMNPESLAQLTQNMIHFNRFITQYPFLQTLPIPIQLQMFFQSKTLFPQLFPVDN >CRE05568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1117847:1118559:1 gene:WBGene00053151 transcript:CRE05568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05568 MIKILLLISLLPPRYLSISEGTVYAVGQLICKGQPYAYEHVRIYEKNYVFRDSEWMETKTDQYGNFSMKVWGSDYPTVTPYVYVPNYCGSKLSVGRRCSSGGLQINIPASYITERHVPEKEFDIGSVELHNNDDSERLGLGYILWGVFAKTMECKDY >CRE05569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1119214:1119929:1 gene:WBGene00053152 transcript:CRE05569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-10 description:CRE-TTR-10 protein [Source:UniProtKB/TrEMBL;Acc:E3M017] MKQFLLFALLIPTSFSFPFIAEGAFHVSGSLSCHGRPYSKMPVYIYEKNFLFEDKLLKSAKTNDDGSFYIQSSGEDLLSFNPYVYVPNYCGAVRSPDGICTVKAMMVRIPEENISPTHIPIISYRIGDIELSEKVPYEEMGFGSILGGVLLKNEHCMQY >CRE05782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1120083:1120453:-1 gene:WBGene00053153 transcript:CRE05782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05782 MRVFVFCFDLLFLITYISGAPVETGNGTEQAVLKKKSSMAELAPPLELDPTCHVISCYRNMLNMSNNCEKGEYLHSFKKCEKKFFREDTCCKKKKDA >CRE05570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1121508:1122724:1 gene:WBGene00053154 transcript:CRE05570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05570 MLFCIGSLILIFISTFGIVVNLYCLFKFLSKPGKFSGFYKLCIVKTIPNIVICSSFLFWGGPLTLLQIPLKNVPRSINLIMGQVAGSGAYVLAPITQSFMAANRFFLLYIPFWRMKFVKYPITYLAISVGFTIAITCSILGYEGYLSFGLITQLFVSEACGYIFDPEMLVWRSEFINCAEGQSAIIFFCIVTPTVISNSLNLSSAIKLIFGKVSGITKSDERRRRRKWVRMFLQNVFQDCLHVIDLINCRYIYNLREETWFQFIFLSVSFVLIYSLDGFVMFYFHRDVQPEILRRFLTYNGVRRKSNAIEAFRSGSSI >CRE05571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1123376:1124103:1 gene:WBGene00053155 transcript:CRE05571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ftn-1 description:Ferritin [Source:UniProtKB/TrEMBL;Acc:E3M020] MSLARQNYHDEVEAAVNKQINVELYASYVYLSMSAHFDRDDVALPHIAKFFAKQSEEERGHATELMRIQAVRGGRVVLQNIQKPEKDEWGTVLEAFEAALALEKMNNSSLLKLHGIAEQRNDAHLTNYIQEKYLEEQVSSKFNYRYVHSINEFARYIANIKRAGPGLGEYLFDKEEFSD >CRE05573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1126355:1129366:1 gene:WBGene00053156 transcript:CRE05573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhx-3 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:E3M022] MSSKLFVFLLICYATADKVEEEGVIKSFRLFNWNWEDVHQVYVITTWLLVASLAKILFNLMKPLSKWLPDSSLLIIVGLGLGYILHQTSLSGAKLDSHAFFLYLLPPIIFDAGYFMPNRALFKNFDSVLVFSVIGTLWNTFAIGGSLLIMAQYDLFTMTFTTFEILVFSALISAVDPVAVIAVFEEIHVNEFLFINVFGEALFNDGVTVVLYQMFKSFALIGSENLSPWDYATGGLSFFVVAMGGAAIGIIFAIVTSLTTKYTQEIRILAPVFIFLLPYMAYLTAEMVSLSSIIALFRIAVCGMLMKQYIKGNITEAATNSVKYFTKMLSQCSETVIFMFLGISTISSEHHVDLIFIGATLFFCLIYRAIGVVVQCYVLNKFRAKKFELVDQFILSYGGLRGAIAYGLAVSIPASIAAKPMFITTTIAVIYFTVFLQGITIRPLVNFLKIKRKEHREATMIESVYNKYLDYMMSGVEDIAGQRGHYSFIENFERFNAKVIKPVLMRHETRQSFDATSIVRAYEKITLEDAIKLTKAKNTLQNKRIEKVKSMSQVAPEQQTVTPKDMQLARVMDSGENIDQLYMLFSDLLDKKLGELKKQADRIDRSSEDDIQDDYMAQLGSHANLRSMNGSSDQLASSSLFHRGGRRLSTGDLRGNVRNTSKQKHSMYELRHV >CRE05783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1129433:1130958:-1 gene:WBGene00053157 transcript:CRE05783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05783 MYPEHPDSYRDTLHYITILAIPVHILGTYCILVKTPKTMVSVKWIMLNFHVCCMVLDYGISVLTVPYMIFPALGGFPLGILTEFGIPIEIQSYFILTLVPVVSSAVITLFENRYFIVFAQNTKWRHYRCLVSIINYSCSVTWSLPAFFLVPDQKMAIKTALEMLGPIPSYIRNGPMYVLSLEIKYVTLPCFLMASLIAVQAVMFVTLMTRELKKLKKTARFSENTLKMQRNFLHAIYIQVTVYMASIQVPLAYFVVSILFKFYCQSANNIGIIIFSFNGLSSTIVMIWIHKPYRDFCYKFLRIEKWRKKTSQVISQGAISSIAPNSVRNVVVA >CRE05574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1132330:1133071:1 gene:WBGene00053158 transcript:CRE05574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05574 MIECSPQKASCLVALFLIIAVYYIFAETNLFTKDLNTFEKDVPKCIPIFDEANRMIAKEINSTKRILKNPEVYSNISAKCEEAIECAESSGSHLKSYYLDGKYNPCMFFAFYHGHFSTCADTLIGKVGDQIPCIETVFHESFNNKTEKCEAYKNSQPCLVRAILNACDVMPEEGKMRKKQTKKDFYADVIYNFVPALCMNY >CRE05784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1134895:1136257:-1 gene:WBGene00053159 transcript:CRE05784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05784 MHLRSYIFPCLIGSLVLVTYLYSYFFKESHFSVILDGRVVNFTGIEDFIPPFVNIVSDYFVATNYKIMSCGIRKSMSQLTINTMCLLKDEESFMEGNHHLNETWLSKRSCYNSPEFRKPTLELLEDTETVRFAFIRDPIERFVSLYLDKCQKEDSCWSCKSDMRCVVREIYNGLKALQNHRDWKPIPTYMDLHSAPLSWNCNFDKDLAKWSLLMMGSDAEERKSSILQLGNILKRQGVSNDVLEKVQKESMAGETAHSTHKSSRRLDAERQVREDPVVRDYLHKIYFFDYLVFPFSRAPLDAKYQTDFWKIPENR >CRE05785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1137796:1138078:-1 gene:WBGene00053160 transcript:CRE05785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05785 MKRLGVDPPCGVLDPKEAVVLAVSCDAFAFGQEDTNNDRITVESTNPPDDAAKQFRRECFQENGMVRRKNLPIEYNP >CRE05786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1138644:1139667:-1 gene:WBGene00053161 transcript:CRE05786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05786 MIKLITASFLDLFAFSTALGSVNREEITSCFGDCVKLEEIEQWKEKVFWDFRSLQQTERCIPSPFEMLKYALVWIFVAFLVSVSFGFDDKEDEIDCVISCGDNVEEWKDCAAKCYGGFMDDSDNTFLACETDCVDRFYKPDVEESELTDLEECAQKCVDDYRQDEGGKKKV >CRE05787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1139885:1140291:-1 gene:WBGene00053162 transcript:CRE05787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05787 MMFYNSTSFALYNRLQPVTVRLIFNCPNFLWSFFWYTLFSFRLFRSTFHSCFVFRMFTKFLIFFLLVTLLVSFASADFSCFFGDWICKHITCRNCEIATCVTGDCVCTICT >CRE05576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1141129:1143463:1 gene:WBGene00053163 transcript:CRE05576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srb-19 description:CRE-SRB-19 protein [Source:UniProtKB/TrEMBL;Acc:E3M030] MSLLLTTPTSLALSATDFFASDLCTVHSTNTKTCNNDWISKPGTCYEDTCCTSFETATSWYYRLAQGSHVIFSLLGLLVIIIYASKYSSKHMLPDNVRVLVNIMLILMFAHSIDMIMIHVYHISQSYKAVVSNPCAVRVQVSFCALFRYTFSFCTLGLAFCQYCLYIDRLLCAFYNDYLKYQKVIQYLLVMKWIILSAGVIAWVYREEDSESYLLSCLNIPLDSMVDLSFVTAAVFPINIICCFLSVIMFRHFKKKENRSRFEINSHFTAAVDVESSEFLYIVTISQAVFIVIYPVLSLSMRFFYEDTPRPLHLTIATLSYVFSIYCFVIPLVMVDYGRKRDGTRRTRIWSHVKLKSVGKEGADNYFGMMKSQWE >CRE05788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1143774:1145217:-1 gene:WBGene00053164 transcript:CRE05788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srb-18 description:CRE-SRB-18 protein [Source:UniProtKB/TrEMBL;Acc:E3M031] MTPVETYEAGLCTVIPNVFQKCENDWISRNGTCYQDSCCMSFEAATSWYYRLAQFSHVVFSIAGLIVIITYLIRFRPKHILPENVRVLVDIMILLIVAHSIDMILLHLYHIVQSFRVSSAEPCLIREKVSFCAPFRYTYAFCSMALAICTYCIYIDRVACAFYKHYTKNQRFILIAQLVQLVVISTSIVLWVYRNEESDTYLLSCLNVPVASVADMTKATVAVFPINFVCFFLSIGLFRHFKRKEEGSSSRFDIVRHFSAAVDVESSEFLYRTTGTQAAIMALFSVVSLAMRLVYNSLPRPVGLTIATFSYIFSIYSFVVPLIIVQYVRKTSENRKSRILSHVGLKTVGSEGAQNYFEMIKSQWEQ >CRE05789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1146390:1147685:-1 gene:WBGene00053165 transcript:CRE05789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-171 description:CRE-NHR-171 protein [Source:UniProtKB/TrEMBL;Acc:E3M032] MLTPSPSTSGDYDNFERVCTICGRPAFCRYYGAVSCEACKMFFRRMIVEKLSYKCKKINNCYVTSSGSPLKCRACRFKRCLQLGMKLPPSNYTALELRNQKDDELNQLIKSLKRSEVTPVEWSFSAIYSVLNLFRSFDFIEQLDAKDKKLLFQFNTFRSNVLCGGMRALKDQRDKMVTPSGQEIFPDILVTKFNASAELINRVCCQVVARLIELKVTDEEFVLLNLIFFCNTPDSLSDAAKSILSSRQKFYVSVLFQYCQLTYQKSAPSRLNDLLSVYHVIQKNTSEMQYIGIMIQGFIPNFPIKKLVSDTYMLGAINK >CRE05790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1148540:1150082:-1 gene:WBGene00053166 transcript:CRE05790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05790 MYSRKEWLLYSAFTCLCVEDRTFSFFTSIVLESIGGMRYVSIGQFSESVMQMVLSGMLGKLMDKEARKNVTITILLFNNISLFLSVSCFLVSISKFEYSLISLYFSIFFCSLNRLFLNAEKSLLSRDWIVKLCEPSDISRQNATLTGLDQLMNVLSPIAVGALVSSVGIFHSLLVFACGSLITLILKSIFLYSIYQTNESLHYKRQLSWLDSDSFKSHSSTSISLPTEEKRGVFATYYYQKTFLAAIGMSLFYKTVMGFDNLAVGYAKSSSDIPIFTIGVLRSYGSLAGMMGVISYSLMEKRIGLINAGFVGLIIQQVFSLIAVSTIWIPGSPFFENNSEEGHSVFIFLTAIATARYGKSIREIEHNEIYLGLWCLDLTITHSMQIHIPETERNTVFGFHMALCQAFSVPKELLVILFPDPSQFYSYILSSYFCVTIGQLLFCIYARNIRYE >CRE05577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1151097:1152270:1 gene:WBGene00053167 transcript:CRE05577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05577 MNNRTSKCTENSQLLADPDSFKLACHIMTGFAIPIHFYGMWCILFKTSSMMLSVKRLLLWTHCLGALLDLSLSYLSMPYLLFPTFSGYSLGQMNMPELQIYIEMSLAALMCTSILSIYENRYFVLFGKNENPRWNRIRMYFLTFNYILAVTFIAPNYIFCPEQTTAVKIITERLPCTPENSINNRNLFVAALDLNFTFFCLTFETFLLFIEVATFFFIVSVRLVYRGQRKMSLMSNRTHSLQKKFVMALCFQSTVPFVLIVVPIVYVLATLRLNYHNQLFTNICVLIGSSHGIVATIVMVLIHKPYRDATLDLIYCCCVRKNGKIDSRKISTNVSPVNRVMKF >CRE05578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1152572:1153732:1 gene:WBGene00053168 transcript:CRE05578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05578 MEDCISNSYDIADPRIYTMIFHVLTAIEIPIHIFGIYCILWKTPIQMSSVTWLMLNCHILNVLLDLSISFLSIPFIFHPTFSGVSLGILDFPELEVYLVLTLIGLVVVSIWGIYENRYYVLFAKGNNSMWCWIRKPIMVINYLRAIMFFIPPYFVIPNQTEAYLKTAKGLPCRLEPSYDGRKVFLLSDGQGLPLYCICFVFVLTISQCIPLFIVIIIKLILQGRKKESAISSRTVKIRKKFVIALVIQTVSPFFLISLPVEYLAIATFINYYNRSLNCFSMVLFSLHGICSTLTMIFIHQPYRDVTIDTIYKLFAMKRKTNNSRKISVIPPAIQL >CRE05579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1154119:1155467:1 gene:WBGene00053169 transcript:CRE05579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05579 MSTDHSLVSNIVARLGFCSTMIFGTIQILLTYFVSRHVFGSYKILITLFAAFGMTFAVVEVVVYPNVHMLNTGALYFTLSRPFNANLDVSKVLLAVYTWFYAFTISLLAVLFIYRYLAVFNQQYLRFFRGFSIIIWLSYCSFFGFQYSVGTYCFMYFDDVSFAYFKDEVPLRYNMTLAEIPAMGIVVYDPTTGAIRWWNVMCLLNIFTIMTIQYTIMICCGLKMHSEMEEKIKYYSASLRRHHQQFFKTLVIQISAPTMTLFAPLVFIICLPMFNMDIDMSVGVLICSFTMYPAIDALIVMYVVSDYRKVAISKSFMIHEMHTVITLFSLSELCKSIYKRVRTTFWGSKTAERSEAKSAKRADPASTSTQIAN >CRE05580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1155736:1157352:1 gene:WBGene00053170 transcript:CRE05580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05580 MSLYKLADGILETHVTWRDVEEKLQKKFGTKAAFGEKKTARNISDLKGFMSKIALIEPNWQNIENDKILPNKFAVKISSQLPFLEYSKVLKITDENGYDDQKLPFLGKILREAHNREVETYKLLERFNHPDVPYTKIYAMKSFSDESDLKGYILMKYIPDIHITSMSEHISADDLIPVIRGVATFAALGHNLSDSEKQFVLGPEFLEYYFNTFLDPTSLESTFEHLRVAFSFLESSETDKLIKIYRHYIQNVNKFTRISDLVGLPLIFNHGDLWQSNILYKTENRGKLKLKAIIDWQALSLLPPGFDMARLFMGSLSPEDRRQRTSELLMIYHETFTQSLGMELFSHQEIIDSYNLHFPLMSLMVLPGLTPFLDASHLYEPEKSEVREKTKRNMQAIIEDVFEKHEYNMENYADFMKK >CRE05791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1158470:1163296:-1 gene:WBGene00053171 transcript:CRE05791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lmd-5 MKPLILLPLLIPLAFTKDFCVQWVIIKSGDSCWKIATDSNITVEQMEQRNSGVSCDKLSVGDKLCLEISSNKPKCTEKTKVIEGDTCFNLWTSHGLSERQFMEMNEGLNCNKLQVGKEICVSVESNDVKNSQTVDDQKPSLSMVETTESCNEYTIIKNGDTCYQISVAYGLKLDDLQENYDCDALQIGDTICVSKKYTCQHRIEIKAGDTCWFLEGAFQTNQTEMERANVGVKCDNLPVGRMMCVWSADDRKLPNMTCTEWKKMDRDGKNCYDVARENTVTIDHFKFLNPRINCVEKIPKDQNYCVKSHSTQPCSSIQSVDKSQNCSMIQQHYQISSWRLMHLNPTFRCDRVEKSEQICVGSGPFKQEQCVTSQRVTPESDSCEKLSKITGLSNDQIEALNPGTNCRKNFEWGSLICVSSLGFETKTAQQLIVSNLKDIAPTLAQKFEIYNSNPNESNENRMNEQLISDLQKPSVNSKLKELYKTDEKIRTILDSQHPLPRQSYCDEIKKTKMRDDIKNCFCGNDELLLYCQVLGTKIFMDNQEEDVETQNVTALRAFRAKRSVACTFSTPTTGEDSLAVFKSLYGGCFAGGCSFPIGLVEIVVEANICAPVVSFAADTVIFCEKPENECTKVENEDVSGLEFLSERKLGGSISLCAIGSKLVKMLSKGGLSLCWEILAADYHGFIGKLSLGSNLKLVIVEVSNIATFSGISEVFQIEGGATMKVHGLAFPKMCRHSEFECEDYCRWTSEGWSNGKAYGYFKIRALKVFGFSGFKLIEEIYNQPKRIGCDTGKEAAVVIRNDRTCKDNDDRVVQRRCWSGDDKMGWDPLDKEWGFAFQPHVFGKTLFVCEFVDKNGQKLGFDVYGGKSKNRGKSTFHYVVKNDGIYFGTEKYKEDQLVGSWINGCEKLQLVESKPEPSKIEEPTGSSSVPAASCGKRIVGYYTGWGEREITENQLKKLTHVIFAFVAMYPDGSVKFGAVSEDDSGPQAAVKAERRFMDMKKKARNVNSGVRVIFAVGGWDNSQYFSSVAADPVKRKTFIDSVASFIKHHQIDGVDLDWEYPDMNGKDKKNHVTLTRELREKFNEMAKANGRTDPYIITLASAAGEWNLRNGYDLSEILKYADFINVMTYDYYGAWNSKWGAYTGTPAPLYFGSLKGFSGKLNADFSMRFYTCKTKKPSQLNMGVPFYGRYWKNVLGPIDKTDEMWRTAAPSNGVYEGGYVGWRNLEKEGWNKEATSWHEKTKTPYILNSGARMFLGFENERSLREKMKYATDRNLGGLMIWALDLDDDADTLLNLVSSASLCSGGSGDKVTYTCVPIDDVRWWTPENSDEKKQGQCGKSAPLINGFYPVCDPDDPGFSCCGAAGYCGSGKEYCDCKGCVNYRKDPNLILKEPVKPSRPIQWYTQDAPDGKRGRCGKDVPKINGEMAICNPDDDTKHCCSNGGYCGVGNEYCSCDGCVDFKKKT >CRE05581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1164558:1165219:1 gene:WBGene00053172 transcript:CRE05581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05581 MFFFTTISLSLVIFAQSARNNGWSGWNDNSFFGNQMWGGWGRDDTKCRTNQMELMKVAGSTNWKNMNEVQEFRNTCENLQNCNPRGTNGFDALEIKQMRTYCERMVFMPTKYSNCIQNVNMKNSKCWQSYQPAPGYSCFNIFGSNDCVKNDIVEVCGQEVWNNYRDDMIVLLTAAHPLCIFDRYQHL >CRE05582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1165453:1166628:1 gene:WBGene00053173 transcript:CRE05582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05582 MSAESLNLSREKRRAFRAGKFPEDIIKKDMIQETTYIVDCAAGTPNYKDFKVTEGMLLTKVPNDMTPPLEYCDLLLKINGTTVTCKREMQEAFWRLAKSQKPHYLSLTIRRIISVTRIEDRNVPANASIKKPDRTDPKDDSIKPNRGYLYFKVVLIYFPRSKLGINVKSYGDVVYVESTDNSWGSTTRRFLYLGDAILKIDDIEISDVKTTQTAIRKGFQKNGIVTMIIERATDKLSSSFVRNVINFSKVDPFIPRDVVLTCTEQLARYEKEGFPEPVPIFRGYVKVYKTGRVSVTETIELKNIRGDLLFPGSLMKVAEIDEKGRD >CRE05585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1168948:1170035:1 gene:WBGene00053174 transcript:CRE05585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05585 MSTTRKQYKINHSELFNSFEKLKTMSKKLGGKKPNDNDTLDVEIETDTSTTVQESKIIDVQFKIVDEMIKFLRSETQLHKAIVEKGEEAEEAYDESIEEIIEAMEIVLKRGIRVLKHNHQTIDWNVNLFRYNLKKMLKLYVDVNNQMKVEEKQAAKQDAFIAILEEMDKDVSEFKENLNSVRDLIGTEPATLVRSRTILSTERLANHIEQPYKPIETEVATHVAKVNREMDSLYFQLPAFDHFPSLMKPVQQRGMKVANLMLKNLPVKTVEDFIATLPRKQRD >CRE05587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1171586:1172895:1 gene:WBGene00053175 transcript:CRE05587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05587 MTSPTILTVIMLLTTSGSLFAEDPQCTRWQKNLSTYCLSKTTGFFRKFHEHYFDNPFAMNHFNATSEEIIKCFHDLPCQTNRDIKMGNRVAAYRDTIFALTDSFVKCAKTLESHNSECYYSWDPFMGIDVDGRILENFDTRDVCKNYFGPMDCVRHEIVEYCTIDAWKRFKKRAKEFGERIYGCDFRGVFAFPIRYNH >CRE05796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1183946:1184666:-1 gene:WBGene00053176 transcript:CRE05796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05796 MTNLFTLTLVIGIFLLGIGYGANVPVTTEPSDIVTAGTSKNCTPLTGFRIISCFFRLGDYMRKLYFLDLKKKSSLKEFHKSCNSLHECISSMTCGKKDKEDMDMANKIGSYCSGLHYVFNNFEPCVSKFELEKSESKCFSTWSPFLAKTNNGTETTEENICSNFFGDDNCMKDEVTKVCSENEWKRLRNVSVQNIKDLKYNFFQHFIKITPEVKDCGFEDL >CRE05589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1187056:1187722:1 gene:WBGene00053177 transcript:CRE05589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05589 MLKNLIIAALIVVSVVGERHPDCTSEHAVLTMLNCTSVNNSFITLLDMIEIAKAHPKDFESLKAYLECCEHFMTCDQSYKCQNNTKYEEDLRNHETKCIDNLHILEEFHDCERKLKISNTTCYQNYNPFKGNDIILKMAKKEKESCDTFFGEDDCMIKETIEKCGKTQAQRYQKELRIIAEALAICDITKKKTV >CRE05798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1187846:1188840:-1 gene:WBGene00053178 transcript:CRE05798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05798 MRQSHKKFDKVLTLMNSCSLVQDHTSICLKARLELLGFSFLKTIESLIFFAFRVLRIFSKCLLFFQNTASFQNMSSFLKLVVVGTILLGIAHGASIATAESKESNCTVADGFQALSCLMRLSDFSDKIDELDMNDKNEVKEFKRSCDSLHNCFATLTCKKPDVETQNAVNSIRNYCDAVVYVSSDFAECSDKLENMNSKCFEDWDPFPESIDEERDEKKKEEMKKEACKNYFGKDNCLKKEITEACSEQEWMGFRDHFISISSLVNNCDFGHLVN >CRE05590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1189275:1189955:1 gene:WBGene00053179 transcript:CRE05590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05590 MYIFVLSLISFVFAANPNKCSSLSGPKAYRCIQHLNEIRELAYSIDIYDKESSSKINKPCAEFQKCSEPLKCGVEDGVVKVIDKMAAYCDAVIFHQSKEFDDCDEKLTEKNSTCVQEWDPFPDPVPDTKKTEETQKEACQNFFGKDMCLEKEITEYCGADMWRDFKKHYLALNKINEACDFNEYGGTKAMED >CRE05592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1191167:1192606:1 gene:WBGene00053180 transcript:CRE05592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05592 MTNLGQFSIFVVFLINVEANEMIQISKCSLAEQPTAFKCVNAFNDIRDKAISGLEQNPKNEINNLCKEFSKCSETMKCAAYEKAIALIEKMVSFCHIIIFRESSEFADCDSKMDPKKSICVKEWKPFPDPVSDPKKMAEIQAEACKNYFGKNDCMQKEITENCGPAMWVKMRKIINKIRYWSLTLDMDKKENLAAISNFCVDYQKCAEPMKCQADEKTIGRINKILVHCEVIQFRHRVDFSGCADKLNAMNTTCYREWNPFPQKVDDSDYMGKDNCLEGLITGICGADMWKKHKEHYLSMNEKLKSY >CRE05595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1195369:1196037:1 gene:WBGene00053181 transcript:CRE05595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05595 MYSIFYLLNSLVLLTYVIGIDRSNCTPKVLGPKVTKCNETLRHLIEITSDKPAYKKIGKAVLKDCKSFEECSPSLKCLNNSSLDIATENLIANCEAVKYFTVEFSECKEKLKALDSKCLKEWNPFPDDFLKTGEMMNHTKPSKEDCKDINGKDDCGKKQIIETCGKLEWEHFHKHQTNIAKIFGICGARNR >CRE05799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1196205:1196971:-1 gene:WBGene00053182 transcript:CRE05799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05799 MISPFDYLHVIMLLVGPLMVIIGCGGKKKPPPPKATSKMAPPPPAAVAPPAPAPDAPAPPPDAPAAADGEKKDEDKKDEKKDEEKKDGDKKEEEKNDEKKDGDKKEDDKKEDDRKEDKKDDDKKDGDKKSEKKSEKKSEMKDEEKKDDKKEEKKDEKKEKSKKSKKSKKSNKSKKSKRDKKDGDKKDEEKKEDEKKDDDKKDEKKEDKKDDDKKEDKKEDDKKEE >CRE05597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1200270:1201031:1 gene:WBGene00053183 transcript:CRE05597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05597 MYWKLTICFIILILFSTIHGGSLATADSKDHNCTLGDGLKAISCVFRMQDFLEKIESLNLDNKADTAGFKSSCDSLQNCFTALDCKTSPTDKETSDISGMIKTYCDTIVYISTDFVECSDKLEDKSSQCFEDWDPFPEDISKETDENKKEEKRKLACKNFFGKDNCLKEEITETCSEEHWKGFKNHFVSLSNITRQCHFDEL >CRE05598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1202902:1214961:1 gene:WBGene00053184 transcript:CRE05598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpm-1 description:CRE-RPM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M064] MSYFLREIDGDRVCCTSTQLGTSIPEDRKSARRLRKLLYKCAENTCKTDILKVPAYCGKTDADEDKSPVDPQQQINFHDILNSKFVVGPPSPFALVAIAKSILARFGNPEESTEKEDRGDEEAGTSGADEEGKHYRNTDQLVGASLTCIFEVLEQLSRRDAELCVQALESLLSLIQSMPIECLQSENRLSMSAMITVLKNLREDGCPSVSSKATSCLVALSVACGEPEHLSSTIRSLFCMKKNIRMSADSTYDMIQMPENLRRLLLKVRRKALGGDNSSNSPPNWSMMDVHQHSVASSFSLPSLPDSSPSSDTPDDDNRNHSSTACDGTFLYILNYVGLYKLGTGLNETIAGKLYAANQGLKSSKNVQMYLCNGSLYLRRNYSSCISVIDTDSLLDIGEVILPPTCVQHALFTDGTYFYSATLIANSTLSTIQLNDSFSPSNEPSSRRSHRLTDVKFKSHGDIQSPHQLPEYLPANLHPQTVDLHITRELAFIQARSGKVYYAGNGTRFGLFETGNNWMELCLPEPIVQISVGMDTIMFRSGAGHGWIASVDDKKRNGRLRRLIPSNRRKIVHICASGHVYGYVTENGKIFMGGLHAMRVNVSNSMLCGLDSVVVSSLALGKSHGVAVSRNGHLFTWGMNNMNQCGRTESASVTSSPRHTVRQEYQICPIGDHTWLNDTPTVCSQCGLCSARGKACGRVPRMKGTMCHCGVGESACLRCGLCRPCGEITEPPQPGRAQHVQFSSTAVQQRSTLHPARLILSKGPHDVKVSSVSCGNFHTVLLASDRRVFTFGSNCHGQLGVGDTLSKNTPQQVVLPSDVLIVQVAAGANHTVLRANDGSVYTFGAFGKGQLARPAGEKAGWNATPEKIPGYGQGSNDSFAGWIGADGDSTIIHSHTPLLSQDNILKAQIVANKTNIFIFPRQVGKDYIVIRRKLNLFEHHPSDYNCSLISWATDPKYDMLWYYSSAVMEIKGYDIFKKTDKQVEDAFDSLTYLAGAEFAVQVYDSPAYATSMTLGMQLLSATYSANVLNLSEFWKEKNGDKDQDQERTAMDGYSVANRFEGTGGGWGYSAHSVEAIQFKVSKEIRLVGVGLYGGRGEYISKLKLYRQIGNDADELYVEQITETDETMYDCGAHETATLLFSQPIVIQPNHWHVVSAKISGPSSDCGAGGKHLVECDGVSFQFRNSVVSNNGTDVNVGQIPELYYQVVGGTETREEADNNKQLSISREMSNLFSPMALKNVTAEGIGNLLQLLEWSFQRVQVENQGEDSAEKQWSQERAGFVALLSMRLISRFVKTVYKEKGHQEEPGIDFANKIVNLHSMLLEFFFSTDMTDYINRPLIKKEEKIVEEGYTLMQCISEAIRLFTSLSHCFMGSRNLVNAHLLAVMNKGSLDHNTLILTSAVIGSLSKIDRFAHQLLSSNYNNDRFPMLSSLLLKHFNCEKETLATLTSFPNILRFLYDQTFMRNAFENTSLLAEKILVKVSKELAIPPEDMMLGPVVHQTPARFRKRSTAPTWDMSDGCSDAVAFRVDSEGVKLHGFGIYLPLEPDRRNFIGEILMLAPDSSEKWTCLLRVSAEMSADEKEVGIIRFPENVLLSPGVTYAVKVNMIKNAKTFCGEGGVPQVQLLNGGRLLFSGCSMSQNGTTVQRGQLPFLMYSVVDESSTIPVKQETINDTFILLLRLMANKIGAAITDGGALPACCQHLMSHISPHVMVFMERFPDKALELMSTMEQLIPMVSNLNGVERVFHSYDSEDSGCEAPYSGIVTSVVESQHPYKPNTSSSMVVNFEEADYVCVRFSPDCQTAQFDDQLTIYLKIDEHSYMPVERCYGNEWPHYPMILPGNCLLFVLDASSAVEGATSDQMFGYHVTVTGYLVGYSDTTMRLEQDLVWLSANACRIMTQLPINPSNIEHLSTAEDDTRHLFEKHGSLLKKGLSLSHSPTLNELCTKGQPPPAQSADLQFLREFLSGHISSSAGFLAKWLPTGPVVDASKCQLSLSHDELIVGKAVILKLSCRDQYDREVDCPKLQVEANASLGHRNPQSTITNNLHIGNLPSSLLIHQNPFQPIIVNHTRYMNIAAMPAYSNYSVEEIRLGFMIEELIKDRIPLKSSDSSVFSGSWTPTTAGRYRIECKVDGFDISHTYTVEVSERVNGKGEEVKSSGKRHGAQMTVARTVSIPFSSDFSGIRMRLGTSLASASVGVIPRGALVEFIEEMENEDGKWIRLTDETALLYGCNIGIGQVWCLAYHKALQRELIPLKTDTDRDKIVRMRRKEVEKESSGSKHHSVSIDAKETYNLTPNDILQVYSAPAPHSMIDGEKIYGPCDLVSSGWIKNRHGHWIKLSGVEKYVLHNNDPSSETSLTFSTNGNDEVDLARPVERRRTRLPNALTPSVADCIRAVFAAFVWHEHLVKDLMAAAAYLRFHQNLHNIWQSCELPSCTNAPAALQPIVKIWREICEVVETSVEQHLIMPPVSNKAMHSDSVKPASLNGGCELCDAHITVPLTVHLRMAHPGCGGDCLGYGYNSSGKFTTGWSGECGAGGRGQAPWYLLCNNCRSQYLKKTPAGHHQERTRRWREFRFSTSASDARPEVIIRQNALFLLDLNSRLQTESNNSSAATSGWTINLFPTHLSTPSTMPRSQQKRLDVPQTHSLHQNSYMKLGYSSDPGPKVNVIMSPPEQFQDQATSLNHRPGPLNVAEPAEVLQSPSAALRTLFSNTNPSTSALLKRPVLAFCVEHHDLKRIRAACVQSVRRAVAFAHAFRVWNWLLRMVSSEYSVSDIILQYLTTLTSYNRLAEYMFSAKKNTNILPHPWRLCFLAGPIAADMVTQLHAFLHTVSIILQSAGVDGRLKSLCFKSWTLQLTAQEQDLLILTCNILGTVGGILSDTSIMDVDNRFVKEMIDITKFAEISASSRQAMVICLTDGSGETFWESGDEDKNRSRSLAVQLEESARGEILSLYIDNARDEGYRISAVSFKAILEDGRRKDLTIISLEPTHCGWVKCCIKDIHHIQIQFKGPNQASRVRQIMILGYPAKSCGSPRMVPSTSHHLFFNDTQRDAFALFQAISSQAFCGELSEDDTLRERVIDLLFSRVQLYPLQNYVYNQVVQAMEKEVELLCDKSKRNYSYCCGLMSLLVRICDSRGNMDSFGQRNSVLTSITQLLIFSPVVVQRQCLNSLECIFASFSPTNVEVPKIIKNLLVVVGKVIQLQVRDKAAHTVVTVHLCVSSLFLILSSSFLNCDFQSSVLNAPQSWRVDKSIDMDIGRQTALLVENLCSGTYTPEWSVATRCELANCLLSLIQMPESVSYTETLSSGAKSKAVAVVGSKRFWTAISSLALIKNKSWLELSERWKSVQDQEDEDPVSLCENHDDGHTVAQVFCVDCDVALCKECFTVMHLHKKNRNHGVKNLVQSSAQHDINIHQGCARMKFLNFLILFHGEALNGMVEVAADTLFPSSTSSIQPAMQDSAAFLGVHPMTCRFCGNHVPIEEQNLDGTCTHDDCVNYAKTACQVMHTCNHFCGGIRNEEDCLPCITCKKSEATQDGDDVCVICFTERLGAAPCIKLGCGHIFHYHCVRLILEKRWNGPRIVFRFMHCPLCIQIIEHSGLEDLIKPLKAIRHEVVDKAKMRLEYDGLLNTQALTDPRSEFYNQPEEYALDRRTFMWWLFGYKWRSNLSETWG >CRE05600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1222312:1223192:1 gene:WBGene00053185 transcript:CRE05600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05600 MSKSVSIPQALSDLKRTSLRRLSSARGGGNKKEKTVTTSTPLSGFVILVEREEKVVRLYGTAAERAGLSIGDEIVGVNDMQIDGKSYEEVTTYIQECIRRKIIQLKVRRRALEIPAENEASVFNCTQSNRMVTDAYLVSVDKDHIKEVTKRLKKSSDKRATCIET >CRE05601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1227900:1230265:1 gene:WBGene00053186 transcript:CRE05601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-magu-2 description:CRE-TAG-117 protein [Source:UniProtKB/TrEMBL;Acc:E3M067] MEYIAATSGPPSVAPRSSIHTNGFGIERGSLRRPPEQFEMQEKKRKEQENTFLRSSLRKSKKLQALAKNVDNPEPMKVTSFANPLAEVEEVSVKKSITENGATRIAISDEVIENSEVLIDDGKQDHMREFLVSKFKDLPIFNLLIKNYVFIAELDEVIISVERIASKLSSMRGRESDVAMLRDFFAAPPIQAAIEQTVKQNGKCGTTSSGIGTDSGADSSSCTSSPIPPVIMNGKAERCPSTASSSSVSPRPNVKVVEVIKDEDSYLGATVRNENDRIIVGRVVKGGIVEKMNLFQEGDELLELNGSSLKGKQVNEICDILRNLSGPITFVVAPKEETEPEANADPATANSNSASSKKSQHVQHLRALFDYDPEDDVYVPCKELAMKFGRGDILHVLNTKDDNWWQAYRDGEDTQHSLAGLIPSSSFRQQVVLYADELEREQEQKRKECKTKKKKKLEVKKGADEENLPAIGVYSDFLTYEEVVLELPKATHRRPIVLCGAEGVGCLKLRDRLLESDRITLACPVPYTSRPPKDGEFNGVHYHFVSKQKFHEEAKSGKFVEFGEYQKFWYGTAKKDVVNVIERGKTCVMTLKAESLGAIRSPDIQPHIIFIAAPSLHILRRQREVEGTFGVKDDELKGILNQSKIIEQKYGHLFDGIIVNIDFEKSFRELKQILMKVNTEPMWVPATWTAC >CRE05800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1230989:1236843:-1 gene:WBGene00053188 transcript:CRE05800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-12 description:CRE-ZTF-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M068] MSIVGEDNEIILNASLSNSPNNSDAIAESSRVFLEKILVSMSSNAVKSGIDDIAARLKQNGTSSSSTHNTSKSNGSATTSTHNAGIDPTTISNHTPTMQLSCVECGVTKANSEEMEIHIKTEHLNWLPFQCPMCLTERASDSQMREHLHSSHQKNMSKFIYVDNVTAKRKLQVLMDKAFSLNVAKRVNASANNIPSSSTATSPYSPPSYRGMNSTPSSGRNTSTSNGSATSAATASAQAAATAIVNHHKEKEKQTAQAAADFLKLLDFSVINGETVEQKPQTPSASRTTSGRKRPYVPTSATEAITTMELEPSNAESFLATLNSLSHAQTPENEENDQPPFSLDDLNIDSSSTLATLFGGGAKKVKYEESDGPNDMMEDALDALNPISVLDNVAALFGSTPDRSTETEGTKKTSSISKKRVLGECSKCQKPVTAGARQMHMFFHLAKDEMIFRFRCKHEGCAIEHYRKDQMENHQSKAHGRIDPDMMEDRSLELFQKCQELNHELFVAHRHGHRGSPHQWSGGSADMTGYQDLSMELLGTKNGTIPGPTAAKAEIAYAAQQAANAQKNAQKSKLASGSSSTTGGPSSGSNVYTGFGPLKLVPDEDHPLQCRLCGKTMQNRIRGFHILWHMAKDKGINRYTCKYCPFGHDRSQSVQVHGKKEHGTDDCVEDRIGEYQDDVKEMSAACFGISSLFAQESKRKNKFPAAAPREHKNLASIVSSAEASPLVIMDEDASNDSLIKQEEIDEDEKPLLLIDDEMIEDMGEDEEVEHDQEQELEQEHEQELEHEHDVEPEIEEDDGEEDGEEGEEEAPVTSSSKSSSSKKKWRRAFNIRSKKSKKQKEDAVVARSVSILIGGAQFYKKKVNEFCYCEKCGKQTNSRLPEHAYTHMEGVSLYSCPACSFGNQCKDTVMKHMKETHPGCAERCVDNRLGHIKEIKSQLGECFPAFFVDHPLPTRADIEKLQVLASGGDLKIGGIEDYLKEECNGEESSAAPDEEEDLEEEDDEAVTSE >CRE05801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1247609:1250057:-1 gene:WBGene00053191 transcript:CRE05801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05801 MVSSTILLFLIAGSVILSSARTVSGRGCTHSMQCGRGYFCQEGACRKDSCSNDLQCEKGEECRPGNVNGRQKSGCFLSLVPKSALGGTSEDFCPGGGALVLSPTGTLTICDLTEDCPSTHVCNPVHGVCCTKLPTCPKTKKTMVNFITGKPIMCQFKQGRVMPCPENGFCETTTGFCCVPGAVEEIATPPPRPIENERPWRGQQCSPSTGCSGGAACICGARGNCMCECATDFGYTLASDGKTCQRVRRRLKEKCKTDMECSAAFSECSSGGCRCKRGFKRNGDGGCEPLEYRCVNKAAPLKRDEKLVTCSLKNSLLSSVASSFRSLKKSSGNSTDINDEEFERLMKEIENGTLSDFGNGRDDCPDEHYCVPVFDDASKPGYYKGFCCPSPSEIRPTCPVGEPHESSNPPDYGCSRCPVDYYCHRDAVATEKTICCPKPCVSLEDIYHEGQCFSMAYYGDSCHISSQCVYSKSPDTAEEYAEVAKMECVRSICSCPAGFSFADGQCKRIMCSIGLRGEPSIDKSGQLIRCERSSDCSMGHMCDPNTHVCCKGTNRCPKDYVETGEQCTDDNCRGINEICHRTKNGKAKICCTYDDVSMMAMRDSMNTTSSV >CRE05802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1250384:1252288:-1 gene:WBGene00053192 transcript:CRE05802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05802 MSHWFHRNPIKPTEFVKFELKGVLTTDTCSKICGELRLRRDKLVGQFKNASNDLDEVTKEFNEYLRLFAGFLTEIQSSMADLENKDAGNKNSKLIPLIRFKWGNSMLPQTATEVSDTWFEALSMIQCMAMWMTKHAASMAGKDEVRESDAKECLQCLRQAGGMFQYVKEESARLSGANEVEGSDFDPKVMETYVLTATAEAQEVIVARAIEMKHDDGLISSLAAVTASIFSKADQCLNNLPDESFARWRRYLQLKHHFYLAYAYAFLGQKQLSEDKCGEAVRACKQGIAEYGIAKEMAAMYATATGPGTRIKPEQHLFFRRIEPLLNRHLEKAERENGFIYHQKVPDEIPELDVEATYGLAKLDSFKYPPPAELWNTAVYSAFDLSKANMPDFTKVKKSKSKLEPVHEEKIYQTEKDPSNSSGCVIA >CRE05804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1255853:1257680:-1 gene:WBGene00053193 transcript:CRE05804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05804 MDVATRKRVLSASMDPHAPPLKLQAPLPEQPMSFVDAVFVNLMNALNVTEPTQRLPLVEETLSRLQWLKQYLQQSESHFKKPMPPEKSSPIGSPRQPEFKPMDFQQMKKCIQKDQDTLQNMSRLIGLPLHPPPPVMMAPPQMSNHVNGNQMSQAPKPTISALSEDQKAPGPPQLEQKKSPVTLSPQKPPSSIPTSVPPPPVLITADTITSAPSVPSTNVIPPTSTQKPTVKKPVKKDISPPPPPPNFLFNPTQQYIQSAEEYMLDVQERQRKLLSYISAAHSGTVTDEVLHEYLRHPYILLKENGVTRQQQNPFYHLMSHSAAHMKMMPPMPAVILKPTETSKPTTPIPAPPVLIPQVELPVKKEQGPKKESSPPSEKVTNSIQNYSFKFEFQISPPPQLPLQVPLQVPVFQTHFDPTKPFMMPVTRRCNQWSVVSMALPLTLMCRCSQTPCRCK >CRE05603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1264007:1265121:1 gene:WBGene00053194 transcript:CRE05603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05603 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M074] MIIFIWLSYGIPSFLLVVFFLFWLRKPEFQYSFYRVLQCDMTINILCHLNTWISRLYHVEATLPLMIWVNDNFYFLFHIYNNLTNFFYNAQSLSVIIMSGHRLFSAKSTKGSEFWNNHYGHAYILLVIVSAVLAIPNIVFGFSNPDYYDRNKCIFVASPVDENLANLSNTIFLIKSFVFFVTILVINVWTIVLIRKRFAHKSDSPKVRTMMKNLTTIALINTLLFFFVLLYPVGLSMAFNFSADMKYNFTMITSDVVRSQVSATKTYWYSFQLSLSLPYILLFCDRNVQTTLFKLKEKVSPDTIEVKPNGTSETRRKTTIAFT >CRE05604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1266791:1268672:1 gene:WBGene00053195 transcript:CRE05604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05604 MSDHLRREAEELKDEKAEKQSALKIRELNDSAPGELSQGLIHLQEQHILNLKKENEERQKFEKSKKYRQFRWIPISNILGVGEMKQEEEEEHRANILERIKLAESQSQDNSIRCDAVTQVALERMKEARLKLEAEARQREQEIVEAKAKTAVIEVDVTKRVFDEVDAQKDKDEFLSERRAQELFDQHADIQKEEELVSANERAQKKENAKMTIAEIRSDLRDQQTMGMYNLNIQQSADDKKNRRQINAKIMDVKTLLEELDRWFERTAGVLNAPPELYEKLKRNKRIATKSHLARFSEILSSISTRLSEIEQNLALLELEDVQMNEVIRKIKTQISSFGEVIANLKLTLELDGIPIDPAKSGEFSELKKSLFAAINEMELVPENRDVIKQQIQERQIEAMPQIETLAIQN >CRE05605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1268838:1270298:1 gene:WBGene00053196 transcript:CRE05605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05605 MASPAPSNVYVQREVAREEKLKAEKKAIEEKHNETYSALRAKQEKEMEVERMQSQALAEEKKKELIELRTKQAKELAELDAQIDKNLKEQIELRKTEGREEVLKRKQDLEKKQKELEELASIRRSQLHDSSEILRNGEKLRQECMTRLREDRKKEQEAMNAKKLEMNQKLHEVRLAGEERLQNLDELRIEEQKQQLLKKERIILNGITNSEALSRSLGIEDSFELFKQQCRSLRNRHRGFCNEYDTIEPELLKMHERMKKGKELGDCDMDDLLSALRVFREQATMFSAEGSTDEINFQARIGDLIELIRLLMAELNSIKATIEEYEDNEEGCNDGIAESLVKISDEMQKVGVLMQQFNVIGRDHLQETLAIQMKQAHSSRHQAIESGERPPAYSGKKVPVAITDN >CRE05805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1270887:1273641:-1 gene:WBGene00053197 transcript:CRE05805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05805 MASEPDCSQLSGPFETNPNLLIYVLLRVFHVFIETISHENSGNPSIVLSILRDYSMVSGSGKLFRMNTPLSFVILQNWDGFYRTLSQFFELFKTRLDRLNDIKITQKNYTSENKFIVQIEYIYGQKDGYTEEDIKKLCIVPIADGSFSSKNLIKKSRKWNYFFEHKSSFTVIKSLNSTRLAEQCNNILFHCARLPLRWFPKKKVPAIEDGTSIKDQKSDDEEKSINIAEVRYDKENLCDHEDNNRSLSPFCDDFFTVSRTSDRPIRVIVDSSAEHILSMEKEFENPLLELTVRAQNERTKIELEIELNRLESNEHSEYKIEDDHNLEKENEEFEKKIDEQQRQHAEELQQKKEERAKKQREMESEMNEFRVLQKRKFTVLMNCIHLSHRFDEKEKEWSDWIEMCYRRLIGITINQFGTFQEEMGGSTKKFSTILRTDADFVLCEVGELNKKLTTTLDKLNRIFHKLDKIDNDFKDVQFIRILQKSVCDVASKLIDVLEQLSVNDYTVCWYEEVLQKFSRIEISDVPSVHKLRTICKDGYQINSENLNFPIWHPKSTVVIEEVSSDEEEEQLDSLELQNTFERATSETEDDQIPGTSYSRISLFEIRTIEKTQVSQRRRRSATTSKSSIDSPDHSLVKCQEDQSCRYSRNTGELRSTSLNQSTSEISKFESTKWSDRRGGLRSHIISLYEKLMNSDDLEGTIKFVGLEREPMRIKSLSCKNNCDYSDIVPIWNSIVKDQNHFLELSQFKELVNSKIDEFGEKVILPLSETPAGVL >CRE05807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1279449:1284219:-1 gene:WBGene00053198 transcript:CRE05807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05807 MIRVIITEDMVLCFHQSAKLKEYYMFFEEYQNLLGCLIHNLKIGSFPKEYVCNFVSMHLKLCFDFLVKWNPKAPFLFQGTTFLEKYVPELLHDMKHSISIGLQTRDSTYNFKKPPSDFLELSFCRANGSAKTSEILSKKSPETAKQDKSACQEKMFHKINESTRTAACAEFQRVQVSTPVISFSRPQKKISISPTLTPISVISMGFSGIERMATTGDIGSCELDRRQTTISIRMRKQIVRRLFQKELAEQKKFYERKIADLLNYQDKLNELKEIFMKICGNSHDNTEKQRVENLQEVVKSIEKNVIAKRLELFEELISRQTYLSWQMEEVLKLFGSESNHDSISKQKEVNQNLTSVMGSGSEQSIQDNKLTVLEDLRRKYLECMEKQTETNEAYEKQLEIIKRQQDSMNERTDRELTKILKQFQEASILRPPSSYFLKMTVELEISEDMIKCFYYCNDSDRLCKILSQNCISLLEFLPKYPENVPRRSSRKITESLEKYIPEFCEDLKQAISSKNWDDFYWKYRSTADKIILEGRKQGWIQVVIEHRNFYDSVNIPSLYESFEARDSAANYRHDTKVLTLENKESKGPAPFAHEKVSTQKLTAIKSSSTISHYPDSLESIENLKKEILSQKINFEQRLVNFEILQNDMKEKLEEEIEQTESKLQKSIISKLKRNEEPLKILKEEMRIELNALRQSIEQTLSESQTEIRKFVKKEIQSLETKLNVKIETKMEEGFKKQEELWKTETVNMITAMLDKTGISQEPFESKTDQLEETTKSEKIPEEQEARLYELSNFLGSVLRTHIALENEFKRLKVLEEEYHNYLIPKVLRKCLADVANQLLEIVEAIENLETDRDGFENLEEQMENLKPSSIYSIRKLRIVCQNGHLPESYDYVHFPQMKRFVLFISFSYNLKHIFSSPESCISDVETIISLDDESEEEYRKMHEEFQRKIDEQNREYEREVKLAQQQRSDMNKKAEEELRRHGEKFKQSTSARRRFN >CRE05811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1291827:1294703:-1 gene:WBGene00053199 transcript:CRE05811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05811 MKQTRQKNSEKKLKKGSKREVPKRNQQKPEVKRIPTMAPIRKQNSTKHSDTKTMVNPQTNQRLAQRKKVKLQHGLSDDASGKTLAGGLIPPDIRVPGYRNGVEKLGQTTSTKQNGQNNEKFVSTQIQTSTNSVYGNYTSIIDPTTTMATPKNVDLLEFLGRNATLLLASILFIFLMALIGCCWCGPFAWLRRWIRRMFSRQQVIRRERGRFLDENEDERERRLMKDLKFQERLNEYENSLKPTEEEMQYNQKKDEECKKLQQETREMKKNIEKMKKKHDSTNSSFAGNFEEVDRADKEFRKNLDEQNRIFEEKMRRLREKREEKERKNQEEFDRLRYESQQNIAAFLKFIHLRLRFEEKEQEWSDSLEKLRKPLVSVVNSYYHLQEEIKNGDSSDEFSAAGVRSEGQLFAHKVSAAQNMLKLGFDNLEKLTVEFDDRIFIRMIMKSISQQGLICNAVGITLVRVMQSVDQQEELKKMDTVVSQLDPHSIPTTTTLKRTSPSARMEDYLNIERVSTPGWLRYFK >CRE05813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1297972:1299342:-1 gene:WBGene00053200 transcript:CRE05813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05813 MIEDPQMLLWPTPPTVLHQCIPLIRNPLWLLNERIEKDHYKKRRLPADPCFLLFLIPHSGIYEQIQQHQDPQCLLFPTPPTVLYQQIQQHQDPQCLLFPTPPTVLYQQIQQHPDPQCLLFLIPPTVLYQQIQQHQDPQYLLFPTPPTVLYQQIQQHPDPQCLLFLIPPTVLYQQIQQHQDPQCLLFLIPPTVLYQQIQQHPDPQCLLFLIPPTVLYQQIQQHQDPQYLLFPTPPTVLYQQIQQHPDPQCLLFPTLPTVLYQQIQQHQDPQCLLFLIPPTVLYQQIQQHPDPQCLLFLIPPTVLYQQIQQHQDPQCLLSPTPPTVLYQQIQQHTDPQCLLSPTPPTVLYQQIQQHQDPQCLLFPTPPTVLYQQIQQHQDPQCLLFLIPPTVLYQQIQQHPDPQCLLFLIPPTVLYQQIQQHQDPQCLLSPTPPTVLKWFYDFLTNIFLLFKINFIVL >CRE02326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3214857:3216553:-1 gene:WBGene00053201 transcript:CRE02326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02326 MRLTILGSNLTARHFVKYLGNNHQSVQISLWTYDEAQYPEFENIPTCLRYHGMKNLPNALLAADVVVNLHECTDFSLMPDVAKLELHNVEVVRSVLFHSNSPLIQLSTPFLQCSHRWPNVYEPERDPIVFRPQWPFPEYCASKYEAEKLVKSASIDCYIVRSVPTYGEGDNCSILTDLIYLSNDKTVLSLGDDDGHMQMAYAGNVSVALWSAVCRLLSQSTSLDLNESFDDELNDLLTSAENSFRYQQSNDKCEDNNKSGLYAIKEEDENLEGYRARHNTVRTSFDGDCDSKRGCDENLTEEIDVFDNENTTVQITSGNTEKRGAHNADFSIINDATFSNGKKRIFEIFLVNDETPKKTVYNTYGQLLYNSKRLRSATQLSFIPLYYIYLLLAMVIQFAIKLIGPLRFATMLPSPSFLYFYFHHWTFFNSTKSLLMLGYKPELGFKECVNKCAQHYRELRKKDVRTFSWQNSIIYPEGSCNQLVVR >CRE02325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3199280:3205571:-1 gene:WBGene00053202 transcript:CRE02325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptc-1 description:CRE-PTC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG91] MLTLLEPPGAKRSPNVGNYNNRSAQHLLNLAPEDEDLYEAYDENEDYAENERTGNILTRFLDRYLVGQSSSADYNDRWKREFRARPSWCDADLCLQQINRGKATGNRYALYSRSLIQKLLFALGNAVHRNAWSIIITISLIFAFCCFGLQYVKIETDIVKLWVAQGGRLDEELNFLPNMKKLMKEEANNTGTELPKENGLGGGYQVLIQTPEFEGQNALDQQPLLKHVEIMRHIASFNVSVHGVDWSLSDLCFKPAPPAVDPGSAAANIDDVIDRIVPCIWITPIDCFWEGAKALGPNPPLPKKSLGPLGAFISSLPDNDMIRWSDFDPIKVIGEIHRTFNLGSHYTFFERAGVGHGYMDRPCIDPLDHECPPMAKNHFDVCPYIDKIRETAQKYGTDIVEEKKKEEEGSDWFSWFGRKKRETDIDAQMIHPAQPADSIPVVEGAVPADIPTTIDDSPILPATTQSPEEIQKRKDRELKEHCQKYRKSAFEWLKQNRDKWAEVMTEDMYPKNVNYAAEMTGGCSGFASNVLHWPEDMILGNPRREKTPGNLTGADALQSVFLVASPDDVFLRFQQKPGRKPMKPGLDYSIWNKTAAEKVLQAWQRNFTKSIYTHVANFDEHGNERRTLHPLASTSIADMLEEFCQFNYTIIFAGYALMLAYAIVTQARFDNCLPATESSMGLALAGVLVVTFASVAGLGLATWFGIEFNAATTQIVPFLTLGIGVDNMFMLLHNYRDVVKLAGGHAEMAILMRETGMSILCTSINNILSFLTGTLLPIPALRSFCAQSSILLTFNFIAILTIYPAIISIDLRRKKAQRRDLLCCLYGDTREESYSMISKPKIQNKRIIGAPSEASIMQQFDGITQAQMASSDDPAPYSLHAFIRYYYIPLISRPACKVAVIIGCLTLLAASIYGMQQSTLGLELGDVLPEHTAPAQFLRARDKYFSFYPMFAVIKGPNIDYALQQRQIENYRISMGASKFIIKNKHQEPSEKYWLGLMRDWLISIQRGFDEELAKGSFDLATGTIIGNNVSEDARIAHALMCSHGELYECAGRVGRIRLVDASGMINYDGFYNYLTAWYNVDHMMYYVSQASFFPTPPKWTLMKNHTENYIPAAKPLVYSQIPFYLTGLTDTTVIVKAIKEIRDVCERFNELKLPNFPQGIAFTFWEQYLFLTGNLMQAISIITISVFCVISVLLFNPWAAAMVVCILGIMTCELAGFMGLVGIKLNPVSAVTLITAVGIGVEFTVHVVVSFLTALGTRAQRTSSAVDRVFVPVIHGSFSTLLGILMLGFSEFEFVVKYFFIVMTALIATGIINGLILLPVLLSWFGPKREISPADGKTTLALPPPLPKNLNSSRSGGDDSDEDDEPSGLVMYSRQAPPSRTSGGTRGTQSSGNNTSRRLPVV >CRE02324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3194643:3198374:-1 gene:WBGene00053203 transcript:CRE02324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rev-1 description:CRE-REV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG90] MMSPDPFSDDHFNDSFENFIPTPSAVRRRSEAIIAPDEESLDGFVEDEQVTVIENRANDTVLVEDDISFGEGRVDLDDTISRMLDSSEDEEERDEEPKNDALANLLKSFREVEPTGEQDEEDVSNVSIDIFGDTTREREENEEVDDENMETIVEEAILLPVYVPDGKEIPTRKRHVESTECEPSTSYKTATIPAALGIDGPVGLVSSEVGDQRYQPKTINIHGQTFEINSFNDYMRMKITKLNHQVNSEKSKPFEKVSETLKGYSVFVNGYTEPPALVIRDLMMSHGGEYHCYYQHGITTYTIASSIATAKVNRIREKEIFIKADWITESIAAGKPLDYRDFLIYEKGSQEKGQMQQFLNSARSTNTVTEKEITSPNRFQDARNPNFIRDYYARSRLHLISTLAQDMKDFVANLKMDGKMTEKCFAEEELREIGHAASKTNLGNTVFHVDLDCFFVSVAVRDRMDLKDKEVAITHSKGTVSNSMSEVASCSYAARNCGVKNGMLVRDALQKCPQLTLLPYQFDDYVLVSRQIYQILASYTLELRAVSCDEMYINMSNLCEKYGINDPTALAEHIRKVIREKTRCPASVGIGSTSLLARLATRHAKPDGVFWITEEKKNEFMADERIRDLPGVGFEMTHRLSSFFGDITKCSQLQQKTERELIPVFGPKLATKVFNQCRGTEEDPVDFWKTQIRKSVSCDINYGIRFTKKEEVLQLMTAIGTELERKLTDSKTSAGSLTLKLMVRSADAPLQTAKFMGHGICDIFTKTCNLSVATNRGETLTSESMRLYAKVSPKVEDLRGVGVTCGKLKSKIKKDAATAVQEMFGIGRKEEISRNPVNPRSKKTISSNIREQDEDDDRDMRIQMNEPRIPSCSIQHLKRIPHPTEVRISNEIDIPGILKLSRSHIRKSVKASELEEENSVDLSEKCISILEKFLQSEPTKEHVLDIHEILSTLLISGKLLTLKSVLQKFEEMSMNSEKSHEDWFTVFHSMQPYIKEESTNLFEYPLESIDGRTSKCANRCIGNSKINQKTLIPREVFVPDSTNDDK >CRE02323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3192506:3194455:-1 gene:WBGene00053204 transcript:CRE02323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02323 MSQSDLSVLSAHTATSFHEMDLEILKETQKNLELEMNDRMNVGKEIEVEEMMETPVVFVAVDDQNLKNGQDVDSFVFEKLEFRVKQVVEYGTRRVYLSVQSTTPAEWNLLVQLRIKTGSQSNILNVVDKDVFKFDAFSYPLNVEIQNNDVKFLKFEMRVLNLMYLDYPKFEDGDEVIEFDDGSTIRVHSNILALLSDFMAGAEKQYASRSGCSAIRVTSSEKEAFLELLYQAYPTRRPIYSSFRRLTAASVAYKCDILIYHLSKHLIEYNFRPVSFLWRNHESVNIQMTFIQRFQASIENRLEPAIRELAFRAALDGTWNRLIQSGFEPENFCGRQVYTKIVCPAILEARSARPDATTFQPPVQKLNFIELEVSEICLNSSHETWFFQEGDNTKSSILFRGTHFYINSGLLAAHGKEMLCIGQNGEYIARYTPEFHRECARENLIPGEVLVQLFTYMHPMGDVPHPTMIRACIVFAHDHGWNVVKENLEQEFEPPITPDEYMSQLVFGDKFDLKNLLRVNIQRAESSCRELAEALEKHGKLKMLKDRTREEILDRMCSGWGLNPMVNRLSTRFPTTFHHRTVNLERGKAVVVGEGRAIDTLNSMASEHAFGEPNELIVLE >CRE02322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3183966:3184753:-1 gene:WBGene00053205 transcript:CRE02322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02322 MSSTSSICSSNDADFIVDTRIPSSIRRDIDRFSVFINRLRATLDLNSSVVDGESMCVNVHASLEMVSESMRDLFKYPQFKTNPIILLSLQLVQAVKDLKFDTCSVDTTPVLNIIDQLESAVLNIIL >CRE02321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3171788:3181966:-1 gene:WBGene00053206 transcript:CRE02321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-341 description:CRE-TAG-341 protein [Source:UniProtKB/TrEMBL;Acc:E3LG87] MEIDKLLISRADGVDVAFERTKAWSTYSKDVIAYVRARIQLEQDHARKVHTLVDTSRRDINKPFMPLREIFENSFDTEVEMVTHTKETTEHLKDRVVEALDARRKEHDTVRNALKVEWTKAMKALHDSEEAYEKSKITLRLREDALKKARESCLRTESSPPEREASRRRRDLEKKNRAVEEAMIKKEEAERQVMTITAELRNKRRSIDKLKESIVERLRELIFQCEQTTKACTVHYFTSLAALWARLPGAFHELSNATRDYQPGTEYMAFLQTLPTRAASSSSLVRSDRSIDEGVASCDGSSSLTSLRRNAINPDDEGALPDTKRHKKTSYGIHFTSFNDPIPFFPAGRTFDNHEISTAAQSHHIQRTVQPSKCSACDTLSILYTVQCIDCGGQWHKACFPRIQQVCGQASKLVDRRTSIFGVPLKGLLEHQNRHIPLIIEKSIDQLQRRGLRAKGIYRTCGVKSKIEEICNSFERSSSDDEVCLENENPMNLASVVKLYLRKLPEPLLTFELYDDFVKLGTECCSAQASGSNCEEEKVEQLRQLVRKLPVHNYETLKFIMLHLNRVSWFHEVNLMGASNLSTVIAPSLIWMSPKRIDHTSAIRDAQYTNKSIEVMIRNSYTIFGMDRQADWQSFFSRYSVEEPPKEEEDCGNESDIEDDIEDLDDQDRSDDDEDEAIFLPPTPDILKATRKPVEQTTSLPNPKLPVERVSSGRRSNNNEPPPTVQRRTTDVARRGDMGDKRKSYTTSIVIAPRDGSPDHVDQIQIIERDVYDKRPSLEQEKVESTRL >CRE01910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3169793:3170506:1 gene:WBGene00053207 transcript:CRE01910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01910 MLKICLLAVVVVILHVDLSNACGSSTDSEYIANPVFSMQISPPVGWTYFPSTPSVTSQAIWYFVGQSNDTSTAKNRADAELNAAMLEALVAANVQTQGVNIANDFQPIQVENPQTTTPTGSLYGKVEGGALVATAPGVTTGAILTYTPYHVTLRVTVSNVGATRFYWNIVQSTFLQKMAMNYKAQFTGDVTVTKV >CRE02320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3163938:3166660:-1 gene:WBGene00053208 transcript:CRE02320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-23 MTISYSDTFLKLLFRWKGSLWKAIWKHLLIFLTMYYIINAYYRFGMTKEQQNEFIKYVMLVDGWTKEIPLTFLLGFYVAMIVRRWWDCCQLISWPDHLLYNVSALIRGQDPETRIIRKTIARYAILTSVLAWRSISLRVLARYPTDDHLVDSGLMTKEEMVMFKSILVHVDPHQKWWVPLNWIQTMMVRCFEKGTLTHTNELRVLLDALEKYRNGFFQLFIYDWIAIPLVYTQVSTISVYGYFLFALIGRQYPSKNENEEIVDVYVPIFTILQFLFYVGWLKVGEDLMFPFGADDEDFEFNYILERNLEVSMLIVDDLHNQVPPVYVESLDDEIHLLHTSASSKLSNHPQRQHLRKLKFNVDAMQVQAVPGNHLNEREVSVSTTSKLSFADLENLANGPKNLPKF >CRE01909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3162605:3163631:1 gene:WBGene00053209 transcript:CRE01909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01909 MMTRLSVQLIVSTVIVPLVFGQNLLEIYKAMMNDQAGRAKTPPINIEFFGESLCPDTTRYFRNHIMPVWNALHASTLINVTYHPFGLAECRRNDGVIRCTCQHGPAECQLNMLQACVISALEFPQLYMPIVNCMQGKSSFSSAIDDCIVNFRPRPDLDENFMTRCAQSQLGAKLMMQHGYRQREVASDLDWVPWILVNGRRSQAAENQLKTIVCGFSEPSRYEYCKTTEGLIF >CRE02319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3159781:3160809:-1 gene:WBGene00053210 transcript:CRE02319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02319 MMIGIIKTLFIYFLYLILNSCHAKDVPNTGEMVNIVAFGEGRCSDTSYWMKWHWLPMWRMLGSTGRIKFEYHPYGIKTTCVDSDDGDDVSCECHHGERECLLNQLQACVIEALPNFEEYMEFVTCIQGKPNITVAAETCFEGPTKLERAKMLECADSRHGRKLFSDHEKYVAEMAPEMHWVPWILINGKRYKEAEEDLWQFLCDRFIDPRPIHCPKKIIY >CRE01908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3157088:3159327:1 gene:WBGene00053211 transcript:CRE01908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01908 MMAARLLGTSSKLAKLNMHFHTARVAFLPIVQFKLSDIGEGIAEVQVKEWYVKEGDTISQFDKVCEVQSDKAAVTISSRYDGVVRKLYHDVDGMARVGQALIDVEIEGSVQEEEQPKKEASKSTPQPSKEAGSAPESTQSDGKVLATPAVRRIAIENKVKLSDVRGSGREGRILKEDVLKFLGQVSADHVSGSTNIRTTHQAPSPGAKSYEPLKEDVSVPIRGYTRAMIKTMTEALKIPHFGYNEEINVDALVKIRGEMKDFAKERHVKLSYMPFFIKAASLALLEFPGLNATTDDKLEHVIHKASHNICLAMDTPGGLVVPNIKNCEQRSIFEIAQEINRLMEAGKRQQIGREDLVGGTFTLSNIGAIGGTYASPVIFPPQVAIGAIGKIERLPRFDRHDNVIAANVIKVSWCADHRVVDGATMARFSNRWKFYLEHPSAMLAQLK >CRE01907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3153854:3155232:1 gene:WBGene00053212 transcript:CRE01907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01907 MDELVFFRGMAPDPNTFDRVFKFVILGGKSVGKKTLLRSYCTEGDDESIWTSLTVDDEKVLIEATVTQKWEEGMSKENDAIALVFSTTDVYSFEYTLELYNEIQKTTEKIPLVFIENKMDIVEESQMEKTLVEGELRKKHKRLYRVSAMKEFNVMHPFAYLIEKLTRQKKDVNANERKQSSSVTSSTATPPSSTEAWGEPSPSESNSTTLPLNKKDKCILM >CRE01906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3149243:3150248:1 gene:WBGene00053213 transcript:CRE01906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01906 MSSKTKEEIQTSNNQDSSEEEDENFVEKILIKDQFKLNDSFREEVDSVIKYMYVMRTLTDRFLDMCISDQSWHFDDNTNIKTSGWRYMQCFMKYVPFIEDNKSEAASLNRLHDKLGRIEEERYYDFLYVDHDSSVFRTSRDLLKDIETVYNSVEILLMRCNRRRRKLEKAAIVTDLKHHCEKYRLTVRDLDNEVSFQRDSYYSIKCNFQLIRLSNLQKFVKDNGPFHGEHFQQGLLIIQQITTLRSDMIIEQMRKRELEKIRSCKSRSKSKSKGKTKSKSASRTVRVSKK >CRE02318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3141504:3142624:-1 gene:WBGene00053214 transcript:CRE02318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02318 MAFGGLLDGFLQEKSHSHVAGGGRKASAFSQPMRKDTLSMYQNREVLTNNRKASSMSMVNHKMSTISAVSVDIIPKYSWHGSSAREFIFSKL >CRE02317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3139066:3140440:-1 gene:WBGene00053215 transcript:CRE02317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02317 MADSPTQHGDQDTQAAILKLTEQVMNLMTIFTQNQTPPAAASSTTVTSTFDSSKLMDTISSRIPMFTYVPEEEKTFEVWFNRYEEIVTKDGAQLADDTKTRVILAKLSPTDYAHYTNRILPKVPNELSFNETIGLLKTTFKSTTSVFRKRQEFLRSEYSGGSLEEYTGSILRGYTSSEFKKMSDDQLCCLIWINGMKDNSYQDIRTRALQVLEQKPRITLLEMEAEVKRVLDIRADSKAVAPSNQSPEVQAVQKKPQNQHNQNKTEKQPPSPCYRCGGNHWSKDYRNRKMEEQFNNQHGAMPRHFVVNQKVYVKDYRYPKATWIPGIIIRKLGGTIYDVRADDKTWRRHANQLRTRSSATACQEAADLLEMPIRFTQEPITEPVTPPPSTIPPLPTNPIVPSQAASPSPPPLRRSSRNVHPPKRLCMDPKKKSYHR >CRE02314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3108223:3113949:-1 gene:WBGene00053217 transcript:CRE02314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02314 MKFVMMSAGFQRRREERVKRKIWLISMTIFHQLSLITSYPQQVCDQSNPKLNFDDSDSADFSLNGTIDDEFDEPAPSSSNTATSVQTDLTLLNVEQEISEYLEHCRDASVNITEERSPKVQYSRSSYPRSRSSDADCRHGMNFIAKHFFEYQSFMNIPHKAVHLHKVPASQAGTDPSMGWAPKNMLKAGKHQPAPGARANRVHRDPRPNGTKYGRAGRHEHREWGDRHGELRDEKVACHKDAWTKFLANDDQGARTAFECDFEDRVLATEEETLQEKLCRTSPEAMNLNALYAAANKAVSCGGEACELLTILTYAPTVQFVTTTVKKARALPYNNSPFARIMLFEGRRLVEQKQTTVNPSLVHKSSVDGSKPSSSSASTSSNDNPSDASFSESFLFHVPPTKLDRSHIVIELYDHDNEGGLQKIGHCVIGRLVDGTGNAHWIQMVRQHGLPVCMWHKIGSN >CRE01905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3118299:3118496:1 gene:WBGene00053221 transcript:CRE01905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01905 MEEESRHQKQEQKGKREKALLFELCFGRSGGFIRRRKKGRRAKKETTGKMEKKKKIMMMVKMMEL >CRE01904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3107111:3107990:1 gene:WBGene00053222 transcript:CRE01904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01904 MQNVIGKKYPTVEHVLVDSSHVLLNDCFLIYNNDHFQVPIDQIIHSERFLIHLGLLYHQLLVLCSDDVKYLSVIENMFVNLKLSNGEAPEEQFVHIPISIQSETMYKIIDWSRAAKKTDESKLDFCQFFPNINIKEAIQILEASLFLGLIKLEKCAAKWIASKLEGKSTSEMAQILVVPNAGLNEESQEQLNQFKLVTPPYLD >CRE01903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3093007:3106014:1 gene:WBGene00053226 transcript:CRE01903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jun-1 description:CRE-JUN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG72] MNNSFDMNVTHTNSPYRRQDMEVEDGEKESSSQYCKGFFDALRVVQSSNKFEFNGVTSPVLPNNLSTTAFSPITPATASDMHTIVMSILGTPITGAPAIQPLSSPTLLPLVTSGDLQMDDLSMKLLASSAIPGPPIVSSSNSPDSSTTAVNTSQITTLPPLLNNFVSSTTASTSRPDKLNLTPPQSEMSIANYSDDSDGGFDSRSVSRAGGSNRDGMSWDAESMGMTPEEHLEWMSMDDQEKKKLERKRARNRQAASKCRQKKMDRIKELEDQVLCEKHRGQRLDAELVELNRALENFRRMVDRHSTNGCANNSVRA >CRE01902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3087341:3088794:1 gene:WBGene00053227 transcript:CRE01902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01902 MFIEAVAGLASAFVVTYIGMTLVAPSEITDKEAEDITTNKEESKKTGNEAAIKNLVPESKTLVILFGWAGCRDRYLSKYAQYYQDAGISTVRFTAPIAKIRSFSSYRPFARCFHRILNEILEEKSDITTIYFHVFSMNGCSLLAAYWDQLNELENGKDIQLKARGLIFDSCPAFTTPSQSAHAISFATLPPSHYHGALRGSYRAVLYTFFSFHRGVLWLRSFLDKDIYEKHYAYFKMITFENLPTKQLYIYGPADLVCSEESIEDYAKLMEQRGISISKLRLLDSLHCQHLRSHPVTYTQECLDFVKSGHLPANRSRVPLENTEGIEQNDVPEELAY >CRE02313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3085442:3086502:-1 gene:WBGene00053228 transcript:CRE02313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-23 description:CRE-DNJ-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LG70] MFLEECKTHFETECLYELLGVKKDCDSKELKKGYYRQSMRWHPDKSNLGEDEKETYTTKFQLLNKAYQILSDDERRKIYDETGSVDDEEMNEDVLKAWRKIFKKVTKEDIDNFMSTYQGSREQKDELIGHYNKCKGDISKIQEYAIGYDTIDDLKKALDSLIEAGEIETTKKYETSTTEKKMIAYKRKAEKEATEAENLTQSDADLMALIKGRQKEREEKSDSFLDALAAKYAPSSSKKAKRQ >CRE02312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3084650:3085096:-1 gene:WBGene00053229 transcript:CRE02312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyrb-1 description:CRE-DYRB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG69] MSDFEETIRRLQSEKGVVGIIVVDSAGRVIHSTIDSDATQSHTAFLQQLCEKTKASIRELDSSNDLTFLRLRTKKNEIMIAPDKDHVIMVIKDLS >CRE01901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3083287:3084350:1 gene:WBGene00053230 transcript:CRE01901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01901 MAGLESAQALCKRVDDICKNGMGSKDECIKLLDDLAKFQMTVEIIQQTSIGIKVNMMRKKVPDESLAKRTKNIIKEWKNIVDSKSKSQDDSDAPAPKKQRKESVEEPKVEKKKIEAPYKRQESNNRPEIVAQFASASFPPKHLENDETRLKSAQLLLSALRFGEMPQGTLDPEELAVQIEEKLYSVHRDTNKNYSAAVRSRIFNLRDKKNLALRENVLTGVVRAEKFATMTSEEMASPEIRNMRDKFTKEAILEHQMSVQQGTPSDMFKCGKCGKKNCTYTQLQTRSSDEPMTTFVFCLECGNRWKFC >CRE02311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3079736:3082564:-1 gene:WBGene00053231 transcript:CRE02311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clh-5 description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:E3LG67] MERAGRSSTLGSTDEVELEPSGTSATIHLDMTTGGGSSSSDFNPFGAIDDVRFKTDEDLPDALAPPFFSKYGDFHTIDWQRDLARDRLRHKMISKKKVDFPLGLLQSGWDAGAGWICVLFVGLAAGATAGIIDIGARWMSDLKTGVCADRFWLDREHCCWSSNDTFYKDDECKAWTKWPWMLNYYNSSSFLFLFLEWIFYIGWAVAMSTLAVLFVKIFAPYACGSGIPEIKCILSGFVIRGYLGKWTFIIKSVGLILSSASGLSLGKEGPMVHLACCIGNIFSYLFPKYGLNEAKKREILSASAAAGVSVAFGAPIGGVLFSLEEASYYFPLKTMWRSFFCALVAGIILRFVNPFGSNQVSSLSAKTLIFICFQTSLFHVDYMMKWTFIELVPFALLGLFGGIIGSLFIFANIRWSRFRKNSKTLGGNPIYEVMIITLITAAISYFNPFTRKSALSMIQQLFDRCEDQTDEDSLCDQNKALSIAFGQLLWALIFKFIITIFTFGIKVPCGLFVPSIGMGAIAGRILGITVDQIFRSVQATPGHSEYFTCQIGKDCVMPGLYAMVGAAAVLGGVTRMTVSLVVIMFELTGSLEFIVPTMVATMFSKWIGDGISKMGIYEAHIELNGYPFLDSKGEYPYSTVASQVMRPSIHRQVADELSMSDLRELKNELSVITESGMTLGDLEGLLRQTDFNGFPVVVSHNSMHLVGFITRRDILLALHTARKTQPYVVTNSIAYFSDAVPDSVPGAPAPLRLRKILDMAPMTVTDQTPMETVIDMFRKLGLRHVLVTKNGKVLGIITKKDILQFMRNKNSQ >CRE02310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3076926:3077684:-1 gene:WBGene00053232 transcript:CRE02310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02310 MNNAPMTYKMTPMRDVYYEEEKKVCCGKLTYKNACILIGVMEVFYWAYYGILLLFAIVHHQKAWSVVFTGVNLIMLTAQVIILWYGVVNEAHKFLQTHLIFLTLTFLWDVVLAIGFFCLSVVPYAYTNDILQYKGSEWNARLFGIIMGSILLVWFVARGFATVIIYRYWKLLRALQGYGNDNDF >CRE02309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3075988:3076420:-1 gene:WBGene00053233 transcript:CRE02309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02309 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE02308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3073774:3074387:-1 gene:WBGene00053234 transcript:CRE02308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-3 description:CRE-HLH-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LG64] MTASTSEPSSSTSSSHTKIPSSSKYDIKNKQKRNARERKRVDMVNQGFQDLQKRIQKSPGTKAKMSKVETLKEAARYIQQLQETLGMMPMAVDFPTPEQSPIYPQPMIIAQTLSPTYISPYYPAPQMTSLKYEITSQYYSSQESSSSASSTASNSGDHSSYYSQGENF >CRE01900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3070393:3070783:1 gene:WBGene00053235 transcript:CRE01900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01900 MRCLVVFSLVLVAYVASESCQDTRPTCPSLKNFCNEGDVKSSCQKTCGVCVTDTPFQCVDKLQFCPNYFQRCHDENIAEQCPKTCNVCGTTTKPL >CRE02307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3053774:3058017:-1 gene:WBGene00053236 transcript:CRE02307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02307 MIIIFQEIKKGFKLRPTKTVDKSKPIIYAEGEDENEVAVTKRAPASGILPPGQAIPKPSFIPPPIPNGFIPPSFEGGIPPPPPMFSGGIPPPPPMMGGIPPPPPMFGAPPPPPPPSGLGIAPQPPRPKTPVNPALSKLGGKTPGTVDRGEFLKGIQGGFKLKKAVTNDKSGLIVDEEMREKSVTIVEAAPSSYIAPERSPARPHSSLGFGSEDNRFRTPEPRDDSPEQRLGQRRPIVMRQKGAPPPPPPEFGFDSEKQKVTSEDIEKEIKKGSVASKMAALMGNMGYSAEKCEQIGTGTLPRAAFRRSPLRSSMMSSSSEVNNNHDNDTPKPKPVSKWHVDTNYGLKSASRSNVPIEKEERASSVMRDRSSSWLDLTRPATVERARTQSPIPLCERLELDDMDFDQLVDEVVAQEAAKAARGMATEKPPSLEKKKTTTTAKKKSKQLPEPISVTTDFCTTGARRPSTTPQIQETPPTPAQKKTYGYASTTTVSTNNSKGTNTWRSTSPSAVEQSGGMSPISSMSSASAPSPSSLSAGSVSPDSTPKKRIGANPNFEKAKEKWGAVPKADDSPPLSRGYLMRESKTPTREETTPVREKTPVKTEEPKSKKVTSTSSALNKAKEKEKSPPCFAVTAAEAKGAAAVAKAKGRKKSLKTTTTTPSVPTPITTSTTTATNKPTSTSSTKPNSSTTEHSPSPNATSSQKRDSIGTTDVKKKTPYGQPTTGTVADRASRFKQQLQADEDKGKKPWQHPFMSSSSPPTSSLSAREQLFSASSSRHPSQHVINVPISAPWYSRDPIVNSEPPSIQTSVPRLSNTSKYSPNSYRISFDEYTVPHPSQMRDHLHFNIDLSHDMPLYISRR >CRE02306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3049412:3051118:-1 gene:WBGene00053238 transcript:CRE02306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02306 MSAVEERQTEKRALDKGDENDDVVPTKEAKIENGKTEEEPKEKVVKEDAEGEEEGDDEDADDEEDEVNDEESSEGEGEGSDGGDGEEEEGSGDDEDGDDAEGGEESD >CRE02305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3047072:3047619:-1 gene:WBGene00053240 transcript:CRE02305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-32 description:CRE-RPL-32 protein [Source:UniProtKB/TrEMBL;Acc:E3LG60] MVHVSGTNIKVVKKKLTKFKRHESDRYRRVAPSWRKPKGIDNRVRRRFRGMRAMPTIGFGSDRRTRFVLPNGYKKVVVQNVKDLDMLLMQSYKYIGEIAHKVSAKSRKGIVERAAQLNIKLTNGNARLRTEESE >CRE01899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3044958:3046338:1 gene:WBGene00053241 transcript:CRE01899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01899 MASYFPEEWDDDIKMNGLMSVIKERMVNKVDYDRKIKFWTNMINASCIGEKNAVFDLLTLKRRFKRKDRIPASLAVVLEKMKRDGDVITVGEWRDRHSGWVGWGISKTKSWMFGGPSDSEELLHLPTVKELGKKLIEIYNEELRSEVDCTGEVVTYKEMFERAKHIISTVETFDIVLEHLTDVGELTVGNTKHGEKILKFKDHGSEDPVKFTEADASVVDIRKAMTKLDREIQQLELKVKKYDEQCRACLRSGDKGRAQNFLRQRKRAEKDVADKDMQYQKLLTMLHQISAAKNNKDVLKAYQSGTAAFKATLARQGLSPDKIHETMDDVANSMDEYREIEEAISSPFAGASGLNDTALEQELEDLLTDSKKNDSIHLPEAPTNRFGLFDREITPEEDQLEQRLARLRQAV >CRE02304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3030902:3043745:-1 gene:WBGene00053243 transcript:CRE02304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02304 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LG58] MILCSRHSHGHIGCRQAAIILDKDGLEPDSGDVAHSPMSPEAESVDLDMPVGESASSPIDGDTAPLNVSNNESGDDRRERDRQALLHQIDAMGXXXPTRKKCRTEWHHCDIGCRQAAIILDKDGLEPDRGDVAHSPMSPEAESVDLDMPVGESASSPIDGDTAPLNVSNNESGDEGPRKRSASPSTPNQSQWGDNPAKKICTEGIDLWVQSTSEAAPPDVSQTYQENHDMLISMGFNSDEIDTALRMSNNEVEKAVQYLTREIIGLDAVPKPMSSAEKPKSNYPITDMLRSQFVESDEEELAEDMKTVWDEESMARIRVIIENNHRYVIFHQNGTLHRRIIVATNIIRCGKHEKMPDVLNFVDEFLSFIIGRHVNCSDVRPGFELLRQLLELQPPYIGEPEAHAIEQFYLAITPLLCARLTVTPNSVKTVLAIDEMFNYRNKFFVQGVQYIDLLEREYPPLKHIDIERLERLEYKRIYAYLCDVFVDALIPMIDQKIDVHPDEEFFDVTLLDLQCLLRTLNIFSNWMHRGNDLFLCKLETWVSKALDRFRIVNDKEIRDALIRRQGLDLLQEIVLLCDRFGLDVATTTQSARLDYLRKWIKCPQMESILHALEELGTIADRFHRSGGMTITRPKSQVNEEFFKKWLEENKVLQIVLTGNMDHVVYVERIQPILQYMTPELTLDDMSKYIVYSFLRILNFTEFVWSLRKGRMGVSVENFNKIMEFISKSVNNEQIEWLIELFKKSFRSRETRLYEPIFNFSYTIAIQKDRDDECKLKICNIIWELIHIARGPPRCNSFKAIEHGMKKHCDLLNMMFDKSERDAFLDNVMDACHSDDGFNEVIIVYLFIMFEKLRRMFTPKRSFSTEDNVTRINELRSHLRERQLAEHILNRLEYIRHIAHEQFDSLQQVNQDSQSNAVFESTSDIFGGYHADNILSTVIYGCTDYSFILQNALRLMKWLHDMDASVVDVEYVDRLFNIFIQCFDSTSQEKTEIFGFLFEIKMTIMRPDTSRAIITHLCGMDMFTLQITGLRCFCKYWEELPILSNEETRPVEAFIVAKDNDCKLFVWKLILFNQYDDVVEKCMETFCERDLVLVESPESVRHHTYSFLSVFSFYVEKLKSELYKRSGKPNTEIKYDTSELTLNNHEIAQHDVPLDGMLTETVSRALNRLVRFMTRFVELGNEKNHMIRENPSHGSSISGHPVTFNIELKNDDDDIDYFQCGLNYWKTFVCDSSTTVGEFKVRLAKRLLFHEGMTEFTVHKREDELTMGEANLRYDFLTLQSVKLASETDSIFLSEKLRILVKQKKSSNRRSDQQNQQYNQKKALREDYLPMSVISKCNFYDLLHELASNGDKHIRASVRRLLLLLPTQPSLLKQLTTETTGEDDEPSLTERSLTVKIKQMCEEYMTPSEPGRMLYALEAMSSIVAPTRLIQASVTDATELTNAILDLNVIKMLIDDVLTKPHVIPINKCSPGDRHSIFERILQIIRSVYTGRNTIVKMINNEFRVREEMEKTCQRMLQSRQTPRPLDPPMDYALTKQQVTPQAYINIRTSPANADITSLSEIRNAYTEYMRRVNLSLNNWKHSELMPFFESIRNWQWIHVATEGLISKEVEPYKDGAYETDPVKQTASEMIRAVIKGERWGDECRLIIVKKAFSLVRHMVRVWVSNHREKCLPLLETIFLEKSWVQFYQDVLVNSQQEAYRKYVQENIVKIGKDSYEITTVTLKMLIEMFMALPLHDGHTADLDELQKRQRQHCDGIVQTVVEIFYFESPRRCRDDQRDIEWSEIGHMPIDIICDQIKSLLNFKPKFSTTNNDIALTENVYAAAKMRMINCLLPYCSQAEIENYSEPFLEAIINDFLFPELPDIEDSMFEEDSIRWEYQARDAAIQTINAFCERSYKNSYQLLRYWSKFSVAQKTYDPAYRPITRPKAFDKVGMKNDGGTCYMNAMIQQLVHVPGLCKDLISLQNIDPSQRWGDNTAALLYELQRVFAQLNFAQCQAIVPYGLWREFRFEPDVPLNTKQHHDAIDFYSILLDKCDYVLKKLELPALFQNRFFGKYSYEKICYGCWHRYKSPDEEFNCISLALSGDNLGEALENFLAAHVMEGENAYHCEKCNEKKTTLNRTSFLELPTTMTIQLKRFTYDLVNNMIRKDNQFFSFPFEIDMEPYMTASRHVPDEHVQDLFDEMLYGNSGNDEPSSPQHKNGAPEKANLGSGSASTPSLDSSQKKIFRRHRSSTMRLSQSFANTSGLDTPTKQKPLIYELVGVLAHSGIATAGHYYSFIKERRDEYKDSPSYGKWHHINDLSVTPMSHTNIEDIWYGGTFTQEGVFIGMDERVRHWNAYVLFYEKKREEPSALIPRHIFDRLNEAKPKVTFDVSDEQMDDGEDRIREAEEALRKDMSEARKLRINMFNSLDQSLKRFLNDEYCKFLDDRDFFSPDLYQIYINSLLPLLRREETVEYTVLQIEKRDFFKMAFEYIASYIIRVAWTMFDDARPKNFPRGATDLIKILLQRHPDNKIFFFRTLEANNSEMLNRMLETTEHDIRTSFWQCMRVALRLWVVENGNKDDNMLDPSPDSTDLDDDDDEDDEDDEDDDLDDEDSETEDMMRPELIRANNPLAIVSQLVMNQSRPPQLKPMLPVDLSKSRSQRVSLIDAMHYLNCHFQLNIIRRIVQVLPYRIHRMEGSGRHYSRNLVDILYMIARLNEFGKSVLQLCQALPLVADFLWEDYSTVFCRLRFSEERIKSLGLFPILPGLYFELLLDTMNRSLQHIVDPHLHLRHVVMTTQGKFVNETLVLYCASREDIETTEGKQNDEKTLHNRIIMCYVRQIQIITRSEFPESAKEYIFNVCQILLKAFLKEYSYMFTSIEHWSHVIDFFSELSTRLVTEGMPALGASVLKNFLWVGVAEDDDAVQHGVLPVMMVWRDEDPQKLRKMQEALFSIRIIKDRELRTTLSRCARRFRTIFSITNVEDDSDEPMDDDEEVIIGPQMLRRADNSIDLRKATMTDAVATARVIEKKELNLEEDLKPDISDLKPDNLEMIEQPSLEEVLNVDTSEEDLDMAIKEELPDEDLDIAIKDELPDEENEN >CRE02303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3028999:3030159:-1 gene:WBGene00053244 transcript:CRE02303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02303 MIDVGWICVAAVRAVYWLVMLVCNFLGYSPLWAQIAMKNRKMENVRIRIPSHLAVCFTEKRLVDLSEVIQMMDSCVSAGIRQLSLYDPYGELVGQIGQIEQACRLFIRADLFCDGECLHSNGLANLQVNVLSRKMGKTALVEACKTLCREDEKITTERVSKILEEKFHLSDPDFLLQIGSVPTLCGYPPWNLRITEFLQSPRLPCSRRALDYCVEAFSQRDIRVGK >CRE02302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3025489:3028138:-1 gene:WBGene00053245 transcript:CRE02302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcs-1 description:CRE-GCS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG56] MFWVYDNDKLVYRNTISSNVTGFQSFRPKTAQNNLLSKFFFSCSLIETDATFSFDVSSSVILSHYRMGLLTKGSPLTWAETIPHIEYIKKHGIKQFINLYHRLKSRHGDQLKWGDEIEYTIIKFDHENKKVRVSCRAEELLNRLQAEEQVNAMLGTANRFLWRPEFGAYMIEGTPGMPYGGLIACFNIVEANMKLRREVVKKLLKKDETCLSISFPSLGVPGFTFPDVPADKTNDDAANSIFWPEQAVFLGHPRFKNLTKNIKGRRGSKVAINVPIFKDTNTPSPYVEDLSAFGGPDDTRDAKPDHIYMDHMGFGMGCCCLQVTFQAVNVDEARWLYDQLTPITPILLALSAATPIFRGKLSNVDSRWDIISASVDDRTLEERGLEPLKNSKWVIDKSRYDSTDCYIYPCSVGYNDIPLQYDETIYQQLIDGNIDEPLAKHIAHMFIRDPHQVFRERIEQDDEKSSEHFETIQSSNWMNMRFKPPPPDAPEIGWRVEFRPTEVQLTDFENAAYCCFVVLLTRMIISFRLTYLMPISMVTENMKRAQQKDAVLSQKFLFRKGLAECKSAPENLKGSEKCGPPSQDIEEMSIDEIINGKKNGFPGLISLIRQFLDSADVDVDTRCTISQYLNFISKRATGEISTLAHWTREFVQSHPAYKHDSDVNDTIVYDLMKKVCSQDLNYSTQIFSDGCHLH >CRE02301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3017846:3020057:-1 gene:WBGene00053246 transcript:CRE02301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02301 MTSEISRIMFSLLIVLVALLTSTNTAPAFCRACNKNCFMPINGTEEINSTAIDVDLLHEIETNGVESSEGGTRRTKREVEFRACSRFQIEMLLIPLAIAMIPLVLCALFVCTCCCGPKESRGKLPAEFLAALPMSKKRTDKLASEEIELEWGDGYVARKDSTKTKFSTTVSYIEARRESIWSATSVASDTVRAMRFATKYNHLEFQAAENLKHESAKCETQAQLAASSSAPKLTKSVSCDSIKRTRFSDTNLFHCYIRVSFPLLLPRHILVIQCHFWVHVVVIESWICLLKTKTYDTSSIS >CRE01898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3010966:3011274:1 gene:WBGene00053247 transcript:CRE01898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01898 MTRKGEHEETEKERESDRIGHRKEDEDEVFITVFLSFFQLVNGSKLTVLEYSVLKKEEERTGTLYRIGEMSSGLFTVNKRKAGRRKKEKSSWVELVVSWEEK >CRE02300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2999587:3010867:-1 gene:WBGene00053248 transcript:CRE02300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dep-1 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LG53] MIRWKYGLHYLIWLLLVLHLSTCQSDSLTTSAEQHELFAIKKDSLSPWSQILVSLPRRHPLYQSFAAKIQDVTENISDDIRDSNKTFVSSDDSPFNIRIHALRPGHRYSIAIHGQKDASTSLIKEESVVMDPRAPDFRSTDSDIQVAEHNITMRTIKNDSYLQDSFSIEYRQINPDKKFPVLQILDIPEQKNLEFYLGNLNSGFDYSVRVIAHKDGMSSRPWISTLTTKPSPIKTVNINQNSGSCVEVTWQTDEFSGADFYTIQYALQSNPSNSTNMTIPSTESSISICDSMLQGEAYQIVATVQKGGQVSEPLVTKFQLRPLPPIDFRVRADLKRGKYKMLAELPTSSKIDKCQITVAGDDVERSINYANIEQTKSGHRICWFNFALSPGERFDFSISSMSDESTSQKLQKSIVLTPAFDFNAFGLTLQESNGGIELIWPKSEVFMSRVKDIWNKVVGADSLLNMRITPIGNNDETDKTLKYETSPKNLDPVFAKNLVKGACYRVQLFTVTKTGIISETRHNETIRMSSPAVNVSLESVTRSSATLRIVFATHHDSTSISNCQMHIVVRDMNGKSVFDKRMQLSSSFAPLLNLDGLRPFHKYTVNTQIICGGGGHETPQCPAATRTMRQLSFSTRQDKPAAVQNLKVEPLNSYSVMLTWLPPALPNGILTHYNVNVTKVGSDEIRTIDVGVSSNRSDHTVQVVIDELFGGHTYNFSVRAVTEAGFGETSPVIPSVSMPLMAPPKPIAAPVIMKESIGSHSMIVRFPTTIFDNRNGEIKQFAIIVSETTADESINRWLETDNGTYTWQQVQRFDVWPSYVAKLQDIQKVKQDADVSIFEEIGEDETCREARADRICNGPLRSASKYRVRIRLFTSPTLFTDSPPSQVMTTGSATPAIPLLTIVAVLIVIAFAGIVGTIFLFFWNRTKKARLAAAAFKNGPSKEKESQWEALKMMMAERAADCLAKLGLDATTPPPSSTTSSNSPTSTSTTMTDCGSNPHLGAPNANAGGHRRTRSLRERTGVEHRLERLSSGPIHRTPLYTVVGGANTNKSRPVRIEDFAEHVRLMSADSDFRFSEEYDMMRNVGVGQSVAASELPVNRPKNRFTNIPSYDHSRVKLSNPNNIEGGDYINANYVPGFSSRREFIAAQGPLPTTRDHFWQMTWEQQCPAIIALTKCVEKGRDKCHQYWPDHENVPVLYGEIEVSFYFLISTVLDAFLFQVTIVAEKEYDEFMIRDIRLEKSGPDGRVTRYVRHWHYMAWPDFGAPAHPNGIIQFSRMFRHHLPHSPHNAPTIVHCSAGVGRSGTFISIDRLLQTSSLGEPIDVFGTVCEMRYERCQMVQNEQQYIFIHYCVLQVLQGSSPSPTTSSSGAHHNAGFVQDGHLIAESGF >CRE02299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2995829:2998214:-1 gene:WBGene00053249 transcript:CRE02299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02299 MSSREDAVEAILNAQNKVWNTLPKLIQRAALPPIAPKTTPSMLVKNESIDMSRPVTSVGSRPPTNSIGFPPLPKLGNLSLKPLEPVAEKPQTPLYPIPRTNISYMLNLNDIDENEVLRPDSAASTVIDSGREIIRKFLRIPETFAEFRELSVDLDQVSMEDIEKMLENTRAHDHHNSGFVSLTSVLSSIRIIHPSDTWQHLLDWLIATTMDEDKLNESETESTSTTSVILFIMDYQLFFEILQNDKQAREVLVNIPEVLDSDNNGSVFSHLPSRPTSSQEQREKGRVRLLIDLEFILSINPDIDIERFKLATEKKIISIEELKMLFQIYGLAEHIQHLEQRIIDCFLTHDRHFCFSAFVGCLNQINPSILRVLSPSPPTPKIAPWSKPPLRKLDDSGVIPDLDTPGSSFNS >CRE02298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2994431:2995554:-1 gene:WBGene00053250 transcript:CRE02298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02298 MQNMHYNLGRCLSIIHFLCGFFILMTGVGSNYLSHDQLSMSFAFIYILMSLICCVTTRNFDPICLRMCVLFSFLMTIASGYLVYQHASQTEQVCLRKCVRTEDYFHTQLAIICGIQVLNCVISLVYSFLCLRKSPKCQSYDRVKMEPEELPVTI >CRE02297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2993716:2994233:-1 gene:WBGene00053252 transcript:CRE02297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02297 MSDSVENIQRKMILLEIEKNEKSSFDDVITGAESDNGWEDCLKETEYSVTSQWISESDNTVSSQTIQESSEGPISYYELDDLNHKPIGNNSRMARVPSQYQLDALSEMFIFDLPQHIAMQRMENACDPVVLNSIDQEAVNRGTTPCFINSEYVSEMI >CRE01897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2991796:2993487:1 gene:WBGene00053253 transcript:CRE01897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tdp-1 description:CRE-TDP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG49] MTENSPKAESTESKSEDNVKSPLDEVKEIKKEAELAQTGSAEKKDADPEFITVQDPSGEEPIELPTVDGIVLMSTLQASFPGATGLKYKNPKTGANRAVQVDPSGLKLLAPTDGWENKTFHVIIASQSERVRALSSADATSAKRRKVGSSDDSDSDDGRDGRSGRKRAVERESQPVDLIVLGVDFKTGDECFQKYFEDIGTVVFCEIKRKSDGSSKGFGFVRMASVGEQNKVLAIPQHMIDGRRCDVKVPDGRDKQGRPSISRIFVGRLTDKVDENQLRKVFGDEAKTYVETAVVTDVFIPKPFRGFAFVTLSSAEAAESIVSKGSLTVNGLSVGLSIAQPREENQPFGPDYGLPAGYRNRRDRPDRRPQNESPLPMPFVRQDFGYRPQQSSPLDRRYWSPGDTSRPPW >CRE02296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2991154:2991621:-1 gene:WBGene00053254 transcript:CRE02296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02296 MENSKNVVMSSNPTGTAKWKIRLHEILRYPMRRTGPAIVASTLLYVAMYNIGVRWWKGENHPINRFMWRIQEQNGNIDPVLRAKKEAVLSYQEDRFYRPRDTVPDLGV >CRE01896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2990325:2991028:1 gene:WBGene00053255 transcript:CRE01896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01896 MLGNKFVAQLALQQLRNRGLITSAPRLTSVRHRFAWGSDAVGPNVPVGGKMGASENPELHTYDGDYRGTISKGDKPIPDYFYRTPTTGRTYIDRCVTYFISAVIWAWFSYHMYYHSGHLLGHWYMPYLTEFTDEELGIPKDSADDPEYWGNHKKEYGTYR >CRE01895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2988753:2990145:1 gene:WBGene00053256 transcript:CRE01895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01895 MAPKKSKKSKQEDSMIDFVEEEVSGDVDEEGFEEAEDIVVDSEEDEPPKKKKKVIKKEVKQELELTDEKLQELLEKYEASKSTATKTKDDFKHLPKSQRGKALKRALRKDKRARQEERTKIRDELGESAPQKEVPKTIESMREYDATMVDEQDDEVEHDEANDEFAPYFNRETTPKVMITMTPKAKITTFKFCFELQKCIPNSEMFTRKNVLLKTIIEQAKEREFTDLLVVHEDRKKPNGIIFCHLPEGPTAYFKINSLTFTQDLKKFGESTAHFPEVILNNFNTRLGHNIARMLACLFPHDPKFTGRRVVTFHNQRDYIFFRHHRYEFKKEGTKAALLELGPRFTLRLKWLQKGTFDAKWGEFEWVLKRHEMETSRRRFFL >CRE01894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2987310:2988502:1 gene:WBGene00053257 transcript:CRE01894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ooc-5 description:CRE-OOC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LG45] MRTYYALLLLLQFLGVNSELISLLTGKVSSLTLNDIYLDTSSGTAIAISAGAFWGLRDRLRCHLYECCHEPDVNFNHHSLDADIANLLYGQHLVKDVVVNAIKAHWFNESPRKPLVLSFHGYTGSGKNYVAEIIANNTFKQGLRSNFVQHIVATNDFPDKSKIEDYQMELRNRILTTVQKCHRSIFIFDETDKLPEQLLGAIKPFLDYYSSVSGVDFRRSIFILLSNKGGGKIAEITLKQYENGYPREQLRLESFERDLMNFSFNEKGGLQMSELISNHLIDHFIPFLPLQREHVKSCVGAYLRKRGRSDLVSDVEFVERVLNSLQYFPESSKAFSSSGCKRVDAKTDLELAKMRPPTSHLQFDDEL >CRE01893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2984956:2986375:1 gene:WBGene00053258 transcript:CRE01893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01893 MNRALSFDSYNREKLKNEKQQTKKDAISALYPPHILVTTQDRTFEAIKQLGSGNFADVLLVKENGKELAIKLFRNERSEEECYKLWKEESDMMRAIGYFKHPNLLAFHSVGQLESETARYRKNFIMMDYAGLSLFDIMEATKARNTHLEQFAFRISTIRDAGSQIASGMKALDDKKILHLDLKPENVFLKNPKFVVNYVVEEGICYVEISDTSVVVGDFGCSRVSLVNETNELAQTQNYRAPEVFIGLPLTKTVDVWSFGCIIYEMYTFELLFYGNNNLSDSEAVQFDMMQRTVRQEPTPRMMREASERSTELSVRDYNSVYMIEEITSKPELVMPLYKNKRPGDIAACDLFGTLEKILTFDPYCRPSFAEILEFPFFKLK >CRE02295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2981680:2982491:-1 gene:WBGene00053259 transcript:CRE02295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02295 MVSERQILNFQFWNFRQKMGYQEMKEMPQVLKPALLLLTLLQIIFMASPGLRAWGWFVCLTAILEFITAIGVFGAVFFDLLFTKSGQWVLIELGYSAVFCVCSALNTIYYFCNIFYHFNFWFLLATIISVLLVLAYGLNTLVHWTARTGTTSTSSTSNQATAGPPPSAYPAGINPA >CRE02294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2975126:2979278:-1 gene:WBGene00053260 transcript:CRE02294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02294 MFLLIFLLASYNSIASASSILVDFTSHAIQPTPQAYIIEYSPPVGYPPSGSAVEGLVPIDVNDIQFNDFRTTFHSLINGTISATEYTVSVSAILMDGTRQNVGDLAVFSSPDAPTLDSIETTEHEATISYFPPQGQNITYHIEYYPEEHKEYSNAIDTKASLVRLRGLDSGTTFRLRIRSVYQGVFSQELIDSTFVTQGTANYDYSSSAELYSVRTLPPNFNLGLQTTTEMTSYEYSKEVEEDSTVTTPLVAVITTTTSMEPVTVPPATTITEETTSTQFITETIPTTTTSFETSAETTVRTTTRRITTTTTKPKAAPVEVNSGDLGEDHPSETSNALVDLSEMLQEYGQPSEVFLSDENEMLRLDWEAPENANCEAFFVNYTILTLSRPRSFSLATSDEHANIKMFPEHTLDIRVFCMLAGALSKTWWAHRIAHLSKPKQLENVRVSEVNTDEFYVASIKLMWDWPVYHDFERYKIVISYGIGRAESKEMEVTNKEEFVLLDKLDPSNLYSISVKNASTELSLTSKSTQLDQVTAPIISSTVYPGQISSNSININFGDSDPEQGRFDYYLLTFSGNNKNISKKVEMEHEKSFTFTKLIPGKTYQFSVYTVYKGVRSRPVSADITTYPLKVNQLFPVVGKDYVVLYWDIENFADSDCRFRLSYNADNIPTVSVELKGASRHRFSALKAEVYYTFTITVIMGLGQAAAESESEMITVAIPKGRLQPSIHRQGSRELVVGFDNDLSVFSLLNGSPDNFAVIVSDDTSLNDDNYELKSWFEVKDEDVWGSYRASPSTWNPFDSERVRRASFTVGTDDCVKRNLDEPYCNGILRAGTDYRVKIRMYTDTKVAMETDWGKIEGEKNSDDDEDEEEEEDDRRFPCHMYLNGCRRKAADNQFSLIPLILSIIFSFFLL >CRE02293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2972764:2973996:-1 gene:WBGene00053261 transcript:CRE02293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tomm-40 description:CRE-TOMM-40 protein [Source:UniProtKB/TrEMBL;Acc:E3LG41] MATPGESEFAAPIPQTNPGSYEELHRKARDVFPTCFEGAKLMVNKGLSSHFQVSHTLSLSAMNTGYRFGATYVGTTQVGPAEAYPILLGDTDVNGNTTATILHQLGIYRTKLQGQIQQGKLAGAQATIERKGRLSTLGLTLANIDLVNEAGILVGQFLRRLTPRLDVGTEMVYQYGKNIPGGQISVLSYAARYTANHFIAAATLGASGVHLTYFHKQNDNLAFGVEFECNANVGEAVTTLAYQTELPEEGVTMRASFDTNWSVGGVFEKRLSQQLPFTLALSGTLNHVKAAGKFGIGLIIG >CRE01892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2971090:2972313:1 gene:WBGene00053262 transcript:CRE01892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01892 MSSVSEKDHEVLDDFDYGDDVSIIDRSEIVDWSTIIIKICLGLVFIGFASGCIIYSVITSEEYTHPASTNSSFFVYDVSQHCDNGTLTWTNRTGTGNDYDKVITGIAWLQDSPRKRIYHRIGIASDNKDWQVTHYVFMNHTFFVDRNGCTRLSYGYDEYLRRLGFQKIRMQRTESITIEEDDTVKVNFYEGEPSRDIQIEGMHPTIVRAYTQPDNCKTNVRFQNLSDSKLSAFTYAWEYIFPQTPDETGLFRKDYWYPEMKAGVNDEGVFEELPASCYKQTT >CRE02292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2969453:2970255:-1 gene:WBGene00053263 transcript:CRE02292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02292 MFDQIIKNPDEMMDKYTELIAQFNKEKQEHEESKKKLSEKDLALWKVHDTARIASIDSRQLQYDRQMILRNIAHDDENSQIIVEDFQKTINELKRELLNKYEIARAPLLKLGKQKDEQIRELKKELKEMQEFDPTHHDAQIVDKLKNEQKETKKEIRELKKRLITFLDRELEIFDRFEIILAEKNVWIQRLLNAHNQEPHVSSIINYGENGQMNLNQQIIQFEQEILQNKENYNEDVQIENNKQHHERSN >CRE02291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2966064:2968075:-1 gene:WBGene00053264 transcript:CRE02291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-szy-20 description:CRE-SZY-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LG37] MSKENVIADSWEDADADPARELMEKFEKVKLLQRNEEKKEAYFEKVKAEGNSGAVPKLQTEEGLGPSVEEPKRVLLRRKKDGFSTENVVESSPPSDSSAESQPTLRGRSHHKSIQKDSKQPAQTYEERQAAYQAARNRILGTEYKPDNQEIKEIKFIDRSKSPETLKMTQQNMVEHYGEELSRELMHPPVHETECPEPQYIPDFSQPPPFVVQPDVSVPFNAPPGFQQMQPNFQPAHQQHFEAQYYIPNNHMPVQYTNQGQMHQFISHDSTSMPSNPQKNFMEGQNEQHAVYYCPPIQQQQMNYIPNNLPNMAYPPPSFPPPKQQVISNMNQPQPIQMHATFANVQMANQYQGQSGLGRGKNGSTRGGSNNGSAGRGQNRQQMTYPGNTGSSSKPPALMNTMVDRGQSNHGQNYSGWNGGNGQRQFQQPAQQNGQYCHQNSVQNNMANGRKQMNKNGKTGQTPQNTNFNVPHSRMNQNPIPFGCPPPYVNAIREQHTGSLPLNTGAGLLGPHPMMAATQWPALSQKRPQ >CRE02289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2956129:2962554:-1 gene:WBGene00053265 transcript:CRE02289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sftd-3 MSALEQFINDQKKKGTGISGSASFSSLDSLRSKLPTSIGGFSLLSRSETTDSQQLLVGDDSGDGQLPTSRNRKNSGWFTSSTQDESMFGMTRTQRVIAFFMCIIGAIFCFSTAAVLIPVILVSTRKFAALNTLGSVLMLLSFAFLLGPKSYLTHMASPQRRLVTVSYVSALFATLYSSLWLKSTIFTLIAAIFQGFTLVWLVIFYFIPGTQITEKRRKKNERRKFN >CRE01889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2959553:2960358:1 gene:WBGene00053266 transcript:CRE01889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cal-2 description:CRE-CAL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LG34] MSNDPRRVSLFNRWASKQNNEPVSGFEGLNEEEIMEYKAAFRLFDKDGNGSISSKELGVAMRSLGQNPTEQELLDMVNEVDIDGSGTIDFGEFCQMMKRMNKENDSEMIREAFRVFDRDGNGFITADEFRYFMTHMGDQFSDQEVDEIIAEIDIDGDGQIDYEEFASTFSS >CRE02287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2945581:2948690:-1 gene:WBGene00053267 transcript:CRE02287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-15 description:CRE-CUTL-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LG32] MNWRFSMLLLLLLCFDGPGIKCQEEETLPRNEVLGEPTVSCAADAIYVKLRTKSTFLGHVDVKYTPDKSCFQSLVTNNQIEILIPHEECMVPRRRSLHPTGVILEVSLSVSFHPEFTTVDDRIFNMQCFHQKKTNGTSNSLTIGSPQPPPTDSKGPSCSYEVLSSPDGLPAGRLALGQDVYHSWHCQNVYESCIMIESCQLIGGEETHEVIDSSGCSKHESIMPQLEYHNRTHVGASVKVFGVSHTSIVYFACQIRLHPQLPTGECPKPKCDLMRRKREDSSSQFPSIDVRSQNLEISQLINVTTPTDSLPKIDTVSQNTCPDIHVESAPIEDSSESKSVEGERICADFRSVLIISILLTMALILITTTIVVLIVRRQKYEIASMS >CRE01888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2943508:2945084:1 gene:WBGene00053268 transcript:CRE01888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01888 MRKQLLLAEIIILIVACTATSNNLLSVEEILQTNQYLLIAQQDGVTYIQRNVTRGICPLFMSKTKKSFHSDFRLVGIHLIRDPLARRPPLLMIIKDGKSHFSLQMLAPNVTEIFQNSGPSVASFYDKSQGFRIEIGHAEKIKTTLFDSTSHMLYIELFVPNKFKMMQYFVQNLFTNDIRLHRVGLYSQDSSSSRYDWEEDHYNKKFYYKEKVDNEIGLFEIPMGALIRTAYEGEAGLKIGSLTWDGTLSGANGGAFYTVNTNRQGNTTTIDSSLVPTSLSAGFRCSVNRTSSEVPLFNKLVIVRNQDYCMLRDGSNYDADECAHEQRKFLGLESEGESIDIVKWLLVTCIIMFMIIILLFVYIYWLRSTFVSDYDRTHPNEYETEASLFVAKQRSFPSVYQDPALLDVSVDRWN >CRE02286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2941469:2942949:-1 gene:WBGene00053269 transcript:CRE02286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-17 description:CRE-SET-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LG30] MNGIEIPGLGFPDTFAVKKEVERKSYKLVLDFQDGAISIIESRNLVKELHKEIQDISEDEVESLGTEIADSAYEESRDIHCERCNKFYRPYCRIHPLYKIPDREPRKDEMSLLPYSHQTLPILFRIEASRLPNAGLGVIAEVFIPVGMMFGPYKGIRVHRKSDFYKDGYAWLIKSGDKKIYIDGSDPQRSNWLRYINSPRHEDEQNMVAFQTSGRIYYRVIKPIRIDQELLVWYGPSFGGEFVETEVGGKPKKPSKNPFICISHNGSRR >CRE01887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2936750:2941275:1 gene:WBGene00053270 transcript:CRE01887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01887 MNNPEESAVRDLGRFALAVLNGMVDLQNSAAELIRYEFSFRTVKDVIRRGSEDPELINQIFDHINHLVIYLNEEIVETSRKATPVGMEDRHFTELQKRMQLMYEVWLGMKMNEAYQVPALMNHVEASREAVKYAYGTCEDYHRWKKLPSELREFFLDEQKEVKSAVEEVEPIMFAREDGVVSSIAHEKANSDDEGKKKQFVAKTEKVLSNYRAKNPDYKTMRELINVKTKYRLEGIYCDNLINEFISVWDMATDPEAVNPKEKYAFTALLKKVFLMMVDKRRNDFAAKLFDKYNKDAGVLPCLENFVEHFQSGLTEAMNQLPLDSNVLSNNMELFEKTKDPIIWFLLVSPAYTVQQLLTICVDNKGYIAIINRIFRVLPTLFERSIHVTPLPFEEEGKKEKLLLTILHRVFMIGRTTWKSASQWENAGMMTMSFAKNRNRKEGQPEKAENKALLDPFSLVNFALTELLKEHRKPLKSVEIFVKMLQRLLANNNNARMSSNYMFATSFNEEGENTISTPILVHLLFELLAEYDELSVEVCDGARDVLKSVSSRMSSGQVVFDSDTCHYLTDDNFNNAPWWIKYALYTWFSTALRNPKKQVPSGVYKTIPEQFLDEFDQIKLDETASLPDCFMRSLFELGLFDVELAIELLKFGYGIKFETSVVERMALALVDSYGKKMSKRQGGLEIGKLITAMLETFDPIRELAPLTAYCTCYLESLKKIEYILVLFMAARISKEKQLSAAKVRTGEIKQITQDELFCLNEIAEQLMDIFCETTKTHIEREASEVEKLRREADALIFSPGCTPDQFKALIEKEEREQSLTLQLTMIYLKCSHFCRLYQNVPIKLQQLMNSTLEKQYTSQKLLAKKVMDDVLTEQRKTEVVYAFVEQKGVPIEPKELDKETVQPVKPSDLYTPKQPTQPSNVESTSAENPMFSHSNGDKRQGRDNYAGNRGNNRRFDNDRPSSSRGNVRSERCNSYRGSRWHPVNDVQTFSSRDRDFVHKKTKNATNSDFERTFSRDLKNSYDQDKLYYEDRRSPFNSPAGKMPDDVRRYSESKDSNYDPWEDQSRRFTSESDYSHDDTENRYLDQTIRSVRNFSQRDQQQRYSRPGTSSITPPKASERKQPKKGFASGEPNRYQSNTRKPADRKHRYTSHSYEQEEEMIDQLKPGQPEREDLADALGLNNREQIPSNSRRGGFHR >CRE01886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2933492:2936179:1 gene:WBGene00053271 transcript:CRE01886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-enol-1 description:CRE-ENOL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG28] MFVTFLSAAHSLTSFIRGGCHSTKSSQSDGQRMPITKIHARQIYDSRGNPTVEVDLFTEKGVFRAAVPSGASTGVHEALELRDGDKAVHLGKGVLKAVSNINDKIAPALIAKGFDVTAQKDIDEFMLALDGTENKANLGANAILGVSLAVTKAGAVHKGLPLYKYIAELAGTGKVVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFAEAMRMGSEVYHHLKAEIKKRYGLDATAVGDEGGFAPNIQDNKEGLDLLNTAIDKAGYTGKISIGMDVAASEFYKDGKYDLDFKNEASDSSKWLSGEQLSELYQSFIKEYPVVSIEDAFDQDDWDNWGKLHAATTIQLVGDDLTVTNPKRIRTAIDKKSCNCLLLKVNQIGSVTESIEAANLSRANGWGVMVSHRSGETEDTFIADLVVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGADAVYAGQNFRNPQV >CRE01885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2932131:2933078:1 gene:WBGene00053273 transcript:CRE01885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-50 MFKTVPRLVRSQGNLLKGIFGKGGEKEAVKHLTAEEQESLRKTLPSIRARESTQVQVPSESVTDFDDDIFENRIDQDSIRARGFLKYTQSYTPSNEVKNQVLKTASECLQKAGISSENVEQYKFVEGDNSLKFELINRLGKSIKHWPTNGKLLHLETVADVVKFYQEPVKNITKYTEMARDETKPKNVSIMEQAVRFHPEDTHMYHGGITAFPGSGGEVISLRQKRLLRQFQPKKEWFDYDDLTFDYSRPDKSMPWDPEVAKQMDKYTDKRYNLNTKQFTRIKQ >CRE01884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2929997:2932040:1 gene:WBGene00053274 transcript:CRE01884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cct-2 description:CRE-CCT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LG26] MLPVQILKDSAQEERGESARLSSFVGAIAIGDLVKSTLGPKGMDKILISGNPESAGGIKVTNDGATILKSIGVDNPAAKVLVDMSMTQDHEVGDGTTSVTVLAAELLKEAEKLVNQRIHPQTIITGYRRALGIAQEALKKSSIESGDNIREDLLKIARTTLGSKILSQHKEHFAQLAVDAVLRLKGSGNLDAIQIIKKLGGSMNESYLDEGFLLEKLPGMYQPRRMEKAKILIANTPMDTDKVKVFGSRVRVDGVAKVAELEAAEKLKMKEKVDKILSHNCNVFINRQLIYNYPEQLFADAKVMAIEHADFEGIERLALVLGGEIVSTFDSPDTAQFGYCELIEEIMIGEDRLLRFSGVKLGEACSVVLRGATQQILEESERSLHDALCVLVTHVKESKTVAGAGASEILMSTAIAMEAQKVAGKEALAVEAFGRALAQLPTIICDNAGLDSAELVTRLRAEHANGRHNFGIDIEKGEIADVTKLGVIESYNVKLCMVSSAAEATEQILRVDAIIKAAPRPRAQDNRPC >CRE02285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2927440:2929069:-1 gene:WBGene00053275 transcript:CRE02285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02285 MRSIPLGNNLLLLAVFSFWIVDARPSEHFLSFSKTELCAAEPHLKVCQSNGNNKAQSSKIINGDGLSADRLEELSRHSNRGFIEEEGTSGRSSGGSDVAADPFEQVSTRAPPSSRDYDEEQYSRRRHRKKHHKHHRGYYGSPYTQYYQQRHDPSVYRVYPGLHPKDGRYCSDMKAMFAYTCAPSKPLRIDLVEFCKDYAAFCNVPNFHRLPGPRMGPPLTDKGVGHVDVNGHFGFGVGAVPGLEVGVGWGVDVGPIPGMGESVGVGVGLDLGIMGSKTPEAFRRGQDDPNAKGGGIVGINGGVGVKAPGTGDGVGVGTGLGVGK >CRE01883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2925576:2927265:1 gene:WBGene00053276 transcript:CRE01883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01883 MKHFFLITFLSFPVRKPRPFSLPLITLLPLNVFPQPHSFSFLPVMTATTSTSTSSHRFASEPRPPTLTKRRDDRIRNFNSFDDITESEEEDIQEPTSSNSSTTSERSPYAVYEQEKLSAAKMRSFSLTNNPFLSPKAIRQFFPPTPRLSRGFSDPVVRRKSSLPTILSCHSPDHSDDFLGLPPIPEATTPASPSTNAPANNPFQRNDST >CRE01882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2906554:2916351:1 gene:WBGene00053277 transcript:CRE01882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lat-1 description:CRE-LAT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG23] MRRNKSTNSCLLTLLATCLLTVGSTSALNKPTTDKYGTISHTICDGEAAELSCPAGKVISIVLGNYGRFSVAVCFTDSDVVASNVKCENPKTKSILEKKCNGHSNCDFSVDKKTFNEDPCPNTSKYLEVKYNCVVPATTTTTTTTTSTTTTDSSLILNEEEEEEEVQKDSGNSDKYVKSKKNKDLFCSATNRRGVNWQNTKAGTKSSAPCPEGSSGKQLWECSANGQWASEFPNSAGCESDWISAKTSSLTGVITTEDASGIPEFLRNLDSETRRPLVGGDLPKVLHLLERTVNVLAEESWAYQHLELSNKGVIQVMNTLIRKPDVWPSWDVLKRKEFASRLILASEKAMVASAKGMQESQQSNVIVQPSIIVEVSHKIKMSSQPTDYILFPAAALWDGQSVDNVHIPRDAILKVSQDDTQVFFSSIDNIGQEMTPLDVTVPITGTDKTEVRKRRVVSRIVGASLIGNGKEKRVEKLDQPVRITFYHKESAVRHMSSPTCVWWNHHELKWNPSGCRLNSHNNTMTTCDCNHLTHFAVLMDVRGHELDEVDETLLTLLTYVGCIVSIVCLLLTFFAYLMFSRNGGDRVFIHENLCLTLAIAEITFLAGITRTEDSVQCGIIAAVLMYMFLTALTWMLLEGYHIHRMLTEVFPSDPRRFSYLIIGYIPPAIITLCAYLYNSNGFGTTDYCWLTTQNNFIWFFAGPASFILCLNTLVLVKTLCTVYQHTSGGYLPCRHDVDSGRSIRNWIKGSLALASLLGVTWLFGLFWIEDSGSIVMAYAFTISNSLQGLFIFLFHVVFAEKMRKDVGHWMYRRGCGGSSNSSPNHKRNNGQRDLMSPGMNSSTGSEFLYNTNDKYLSNSDTTNRLAFSNRMPHPNQMSIYQQHPQHPQNQIYEPQPGTYDYATIAYGDMMPGHMNRVAAPPAYQRLAVAEGRYGSQHQLYQGWHHRPPPEFSPPPPPPSVPSNPRHYGTGSSGRRPPSSKMSDDSAYSDGSSSMLTTEVTPQGQTVLRIDLNKPSMYCQDL >CRE01881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2894040:2898138:1 gene:WBGene00053279 transcript:CRE01881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddx-19 description:CRE-DDX-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LG22] MSDWGNAFDDQCRSEGLEVPEKTEEVQSNSEMDQNEENRGFGGAGIGRGGMFGGGAKMNAPPPQTSFGATSGTRVGGSFGTPSGPDGRGAAIGSAEELNGGGNIISVGYRKAKPACSFTKSGSFGTNHSPPSISPSTIKTNHEGGRFGVLSPKTFDFVTKSSSDQPKPPSGGRFGAQSSISRTSVGGSFGSKPSSTTTNTTPIFGGIFNGKVEDVAPTKSFGGSFGLSTKSPLTAGRSFGSSIKSPISTDGNYGSEVSVTKSESVESTASTISISSRTAVEEVAVAKPLVETVSKPVVGGAFGASKSSSGISVGGAFGKKPNIPQPVTAPTPPPQILLGQTARISQPSSVEEIKIQTTENVSVGGSIGSKSFGVQDGQKTLGGSFGRAQPSNTLNAPPFGCPPPSFVKSSEEKLNETTSRSEENSEKTESSTLNSGTNFSEKSRSFGGGFGGNTFIPSNNTTVREGDKTEGSNVPFMSGPPPSMRGGFQGGYRGGRGVGGGQMDFGGPGQGPMGRGRGQSNQQFIPQQRAPPPSFPSHQNSQIVKPDPKYKYTKSTDDDHEKVPIPADIALLNKFIQKEVKMMKDTVVDVQRQDPKSPLYSISSFRELRLKPEVLQALDNLNFQFPTRIQETALPLLLMEPPSNLIAQAQSGTGKTAAFVLTMLCRIDVNLKCPQCICLAPTLELAKQIGEVVEKMGKYMENLKIHYAIKGGNMAAMRGRKLTEQIVIGTPGIVSHLFIYKTRDYLQKYKCIDPSQIRCLVLDEADVMIYHQGFTDISTTIYNMVEEASESVQSMLFSATYDQPVIDFATKIIKNAIVVMLKREEQALPNIKQFYVQCACRDSKYAAIVNLYSGLAVASSVIFCHTKASVMWLYENMKARGHKVDVLHGDMTVVERADTIIRFKRGDFKVLITTNVFARGIDVAQVSVVINYDLPIKYNDENNPMVVDGFTQPDCETYLHRIGRTGRFGKTGIAINLIDSEDSMNMINVLESHFQMKIARMDPSNIVELEAIEAA >CRE02283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2887830:2892551:-1 gene:WBGene00053280 transcript:CRE02283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rha-1 description:CRE-RHA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG20] MSRDIKEFLYAWLGRNKYGNPAYDTKGETRGARSRFKCELRVPSFNYVAFGNSSNKKDAATNAALDFCQFLVRDGKMQQSEIPSLTSSSLETPTWQDASSSEPGTIFCGGEDGMSMPSNDTQGSQYAQPKKPRYPWSNAYQRDEGTHEEYVTQKADEITASETVDHKSSFHGGWTMENSKKALNEYTQKMKLPQVVYTTKIKEANTVRTMETTAQLYVPQLNKTLIGKGSGSNKKVSESGCAMNVVRQMFHLNIMQAYTGPTKKNKVSTLPDISVSLPEVLSTRVVDYVKSCGLELPIIDEATSSAEAPTTLLTDIKLAQFPISENCTASSISWAPPLQNWNPWRASNIDEPPLAFMTMEQISQRINEKEEAKLGEPLDAINAQRRDLPVAQFRDDIVQTVANNRVTLIKGETGCGKSTQVAQFLLESFIDKKQAAHFNAVVSQPRRISAISLAERVANERGEDVGETCGYNVRFDNATPRPYGSIMFCTVGVLLRMMENGLRGISHVIIDEIHERDVDTDFVLIVLRDMISQFKDLRVVLMSATIDTNLFTNFFGSAPEIGPTPVITMHGRTFPVQGAFISLFNNTVSSFLAFYLEDIIQNLRYMPDEPEQRKKKKGAAPPEDDEGDEEVDDKGRNMNLLTDPSINESLKVAMSRISEKDIPYGVIEATLVDIANRGVDGAVLIFLPGWAEIMSLCNRLLEHQEFGQTSKYEVLPLHSQLTSQEQRKVFNHYPNKRKIIISTNIAETSITIDDVVYVIDSCKAKERMYTSNNNMVHFATVWASKTNVIQRRGRAGRVRAGYAFHLCSRMRFESLDEHGTAEMLRIPLHQIALTIKLLRLGSVGDFLGKALEPPPYDMVVESEAVLQAMGALDRNLELTSLGKMLARMPIEPVIAKVLILGTALGAGSVMCDVAAAMSFPTPFVPREKHHSRLSGVQRKFTGNKFSDHVALVSVFQSYREASQMGNSAAIEREFCERFSVSNPVLKMTEGARRQLVDVLRNQCSFPEDILFDVQVNVNGPDRELNLMRSLLVMALYPNVAYYTGKRKVLTIEQSSALINKYSVLVPMNNRQEMELPSPLLVFTEKVRTRCISCKGMSVITAIQLLVFGSRKIECIGEGLVRVDDMITIRMDVPTAAALVGLRPCIEALLVRSCENPESLGVMNSSDAELRQLLRDISSEDFMSQAGPIRDSLLTDNAIIQMPTAPQNRSNNSYSDWGPTSSNNSSFQADSSYQNIPGSQQSYSPAPGGKMFSSRGYTRGRRPYAQNRPYPPPRSGMGYHHFNNSGYGGAGGDWNSNSSRGAYGGGDSGYGAPGSNDGFRGGRGGRGRGGNRGWNASQW >CRE02282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2881752:2885207:-1 gene:WBGene00053281 transcript:CRE02282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02282 MLSPALLKVSLNRRSSAPVPQDEKMMFPSRSRTASYLQPMMEDQELVGFNRDRRRSSGSIIIDSFELGNASPSRRSSIASGLPLDKKQRRKLSNPVPLHQYTEDPTLAWEMLKEKRPVKPVRQMRLDTPVKPDHVRFVCIGCTHGEQMDLSRLPPGDVLLVAGDFTSCGLPNEVHNFNKLLGKLKYAYKVVIGGNHECTFDDTFLKLNKESEPKEMALKQALLSAIHSDSKGGISAKDLLSNAIYLEDSVIELFGITIYGTPWQPKVDNWAFNLSRGQSLLDKWNMIPTGVDVLLTHTPPLGHGDMMNNGQRMGCVELLNTVFKRVRPKYHVFGHIHEGYGCTTDGYTKFINCCLCNENLDMKNEPVIFDIPVHPHTKQFYVQNVKKILKRFQKKK >CRE02281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2876452:2878304:-1 gene:WBGene00053283 transcript:CRE02281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-20 description:CRE-NPR-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LG18] MLNDTFRIVSNLTYEDDPCSISFLDQTFVKYPLMFIYSVVFILCLTGNLMTLLVMTTHPTMKTPTNFFLANLAAADLLVAIFCILQNMVHIVGLDHGNWPLGLVMCKLYLGLTNIMPCTSAGILVLVSMEKYIAVLHPLSGLKWLNPDNRLAATIIIWILSITVNFPYFLYAQNYEYLGVSACFRGAMPIWNSFSFVVWYLIPLMCLVIIYSRISHLLWTSDSNRQSSRQSHDSKRSLEEGNGNGYANTSAPGASWQMKNGRVVVYKQESLLNVPIKKKEPKEVKRPKDTEGRRKVVRLLVAVVVFFAVLTFPHHARLLYTSFQTGTICNSHWSMLAQPLSYILLFISSAINPFLYACLSKKFRSALSDVYNCRKGIFYRISRSRNRTLVSDVPLEDSRCPSPVPAIRMNRLR >CRE02280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2874902:2876081:-1 gene:WBGene00053284 transcript:CRE02280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02280 MYQLQQPFSASHGVFNPHSSDSSSNILDQYWFNPDLNQIVISKLAPSSTSSLVIANIIPGEALPSSKDIYEQFLAQQRDAFIPGYRKDAGTYTSVSKKIERQEYYNNQKPQTTLGKVHHGVSIQNERNPNPRGTLGNSNYSLRIQFWMPIVTPDKFSYTTVALANVSKEGVLHCLIRHGHQFKALSGNNKLKRDVIDILKSGTLRNTAKAIRDKDPKGQTREDRDRDHNIFMNETKKLSDEEFEGAELKLLILQWEMRDSIQNTVQQSNYLGETINENGQQRVYWKAGPREVMVICLSEQLYFGEIGADSRGRNRNIRKIITIYIIDSQDFERKKKNSWNVAKTYA >CRE02279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2866559:2869282:-1 gene:WBGene00053285 transcript:CRE02279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02279 MLLGIYLLLLSPVLVSAGLEEYDEPFILQFINRSQTLDLSSKCKQSLALVYDYLNDIETLTDQKICYFESFATGPNDLFLSRDQDRWVYKGYECLLSAGETVYSKSEHPMHYCYTHDDDKDKRVPAFSVCIPSPCADENQKLLEEWRRITHPEATEPITFTACTRSRHEKQWFELPIPIADFGFNMGLAMLVVMATIFHNMRGEDAKTWSARILLAFSAKTNFKKLIALPKDPQSCITCLLGLRFGSMVWTLIGHSFIFVQAYMENVEEFKVSMVDNFFNQWITNFTLSVDVFLTLGGTVLSYSWFRKWLKNTSEEEPTWTSWGYWLRFYRHRVVRLYPAYLYTLLAVTLRISVTHFHPMWPPTDPAIQCPKYWWQNVLFVNSIMDNQCMPWTWYIGTEFIYYLLSPIFLLSLRKAPKVGFILCFVVIMLSAGLNVESIVRNNFPPTQFLWKQPEIFNPNFIQHHLELYIKPQYRIGPYLIGILLGYQLARYQRIPVKPQQSNRYIITMWSIALFGIFFGLYGLYPALQGWDSIAWRAYHLLYGALHRDVFSIGVAFLIYICHTGIGGPVNVFLSSNFFLPLANLSFSAYLFHMIPVVLTYMLVPFPIYFNTQIPLFIHCFVQLVITYFFAIICTMVSELPALNIERLLLATPQKTTLKPIPPTDSELQLKTSEKA >CRE01880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2862717:2866037:1 gene:WBGene00053286 transcript:CRE01880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01880 description:Serine--pyruvate aminotransferase [Source:UniProtKB/TrEMBL;Acc:E3LG15] MISTRFLRPSVSIFGVGITCSMSSRAPPKALLQDMVVPPRQLFGPGPSNMADSIAETQSKNLLGHLHPEFVQIMGDVRLGLQYIFKTENKYTFAVSGTGHSGMECAMVNLLERGDRFLVVEIGLWGQRAADLANRMGIEVKKISAPHGKAVPVEDIRKAIAEYKPNLVFVCQGDSSTGVAQPLETIGDACREHNALFLVDTVASLGGTPFDADALKVDCVYSATQKVLNAPPGLAPISFSDRAIEKIRNRKQRVASFYFDALELGNYWGCDGELKRYHHTAPISTVYALRAALSAIAKEGIDESIQRHKDNAQVLYSSLKKHGLEPFVEDENLRLPCLTTVKVPEGVDWKDVAGKMMTNGVEIAGGLGATVGKIWRIGTFGINSNKTKIENVVELLSKSIDETRSKL >CRE02278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2857089:2860899:-1 gene:WBGene00053287 transcript:CRE02278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02278 MVAATEMDGESRIKHGPLDDDDEEDMKEPPVMKLDFEKLFKMAEDPDLEELLRSPLDQALINLEDGSEVQKLTSIRTFPDILDSSDGDKCLLKMLPAIQKCLKVEKSNLDLHCEAAVVYKSIIQNEDLVKKFQGIVDLLLENILQNIQNQKEHLSAAAWLETIVEVADKLSLSSIKQFIIPVIQTQAEPVQRVQRRIIATKIIHKLALFLPPNEIRKDLCPIVTTLCKDANSNVRVAVAQRLFVIANSLKNSHDVVSFLLPSYVHLLNDEEMNVREAAMNSLTDAFSLFTRDARKHTLFSTIKKLTEEALEKKNEGLAVISNNFGKWSWELNELLDQLDKSWVLNTYCKMVQISDELCEGPQKNIRNVLKKTCCFNYPCMLTMFKKQVDRLLPFLEMFCTDHDEEVRVSIAASYHEVLSMFPDKSDLIPPFIELLHGGSSDVIAKISHNLSKILPILYENVKDNPGRTTVQQLDRLLIGCNQILRTSSSWRSHEAFLKSLKTLSNCIEEETIVETFFPLLKKEVLNVRAIPCRIAACEALLILMRQISKEEIRNEIIEFFNNELSTHNCCYRRITYIDVAEIVLSNFSKRIFIDNFLNDTLRLTTDPVSNIRIRAIKFLPKIKAKLQLPEDEEILLKIENSVKEQLKSTELNGPTRAIINQAAVDLSRCETCSDTQDEQKIKEEEDLWSKKKIDKKKESKIKKPSIRSRIRTKETKLDQKEEEEEEEIGVGEFVRSSSLRIPTNSIRATSPWRTERKAIAVVRPQPVITVRSQSPSPMSYRSPSPAPKESIRPSRLPLSSSERDKLRKAATDAPPPPARSARSASVVASRRSDAMTSSTSSIESSNSTSSLSSSALPSSGYGLRRSATSSSSFAGSSYTSTSSYSLMNARSSSNIRRPGYGLSHVSSLSTFERKPSQLSCRVRNLD >CRE01879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2856197:2856962:1 gene:WBGene00053288 transcript:CRE01879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01879 MSSTKAITDQERRNVDYLNSSFMPVWYRTAFVYKCELAFSVLILICAFTELIVYDCFVIFLLLLIGSFVFVLLFLEFYFGSVYNCAALLHLHTLSAGFMSFVCWLGVLIPIFFGESVYIASRSVVHVIYKYYGCQVIFGSLLASFAVTSFSRRKEIKSVELSHLDYMKRLIRTTSGVAADVQKEAREFNLKPRDIHSFS >CRE01878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2855023:2855850:1 gene:WBGene00053289 transcript:CRE01878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01878 MTLSDPRRCTTLLILALEIFDVVDSLACYSCIALNYRQNVLSRNDALFPPQSRENLTALFDVLSENKIGNVEVSSSCADVTLTTQPSFLNTPISICDPNDKCVKMDFYYSGEKVVLRNCLSNLMATPNSRKFKHHCPMYSDDRSEM >CRE02277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2847506:2848787:-1 gene:WBGene00053290 transcript:CRE02277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02277 MSRKNGFSVVSVFIISVIAQVTCEEFELENTEALVSYKSHKILNSFETIQVECVQCVQLWRSASAKEGKVCTSGASTCKGNACFMRQCKHCPVYQYMSGCVNFTPWQLADLEMNRRTSELRMRRVGAVLLCEDTFNQTTCVCNRRDKCNSIHSRLPFATYSEGLFRGIVNFDTIIAAMDPRYLEVMSGYHFRFLASSSSFSFSSLFSSIAIIILFLLSL >CRE01877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2844977:2847376:1 gene:WBGene00053291 transcript:CRE01877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-25 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LG10] MKEEVEQLEKSLLKYQLSWHILRRREGSDFGYSCHIMRLDLGITTCLIVFLTAIDCAIPYYRTHSNFGSLGRRKVRQVQRDLSYRWPNNTVPYFVGNVTSNIKKSVRMAIEELHAWTCIRFQNVNERSSNGDSVRIVDLGSCSSPIGRQQIGIQDVSLTKNCWGMGTAIHELMHSIGIEHTQSRSDRNRYLDILAQNIDQRDLPNFELLSPRLWANLVPYDYGSVMHYSADSFSNKDDEQTMLPKDRTFIETMGSMIPNFYDFDQINQYYSCYDSCRNSGGQVANCANGGIPNPNNCQICNCPMGYGGDLCDQRPEGCGSTLFATDRWQKQKLTVRFSKNDDQYFTFCNSWIVGPTGRTIQVIYEITSESTRREICSFGCYEGGIEVKHLEDPRITNDRDCCLNTPLNITTTVNPLPVILYTSGATVTYDFSYRYM >CRE02276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2841081:2841836:-1 gene:WBGene00053292 transcript:CRE02276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02276 MQSQTFFTTSILLVVIVAFCSAAPMIEEPKLEKPTNLEILFAKIVKKQNESKQHRLINFISRAMPGGVDAPVAMMAEYETFKSDKSANFLNDGAGHVVFRQYRRARLSDILHNVNRRAGGV >CRE02275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2839138:2839809:-1 gene:WBGene00053293 transcript:CRE02275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02275 MMRFVVLLTLIAFPFILAAPEIDSADQASGLDVLYKKLMKKQETVKNARLIDFISRGAPSGVDAPIPSIAEYETFKSDKSVNFLSDGAGHIVFRQFRRIRISDLLGSQMKSRAGLRL >CRE01876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2835625:2835744:1 gene:WBGene00053294 transcript:CRE01876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01876 MFWAHPGLGSSWFWAVRAPPQILVLLIFENCLVTRACFF >CRE01875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2833431:2835005:1 gene:WBGene00053295 transcript:CRE01875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01875 MMRFINLEFSDETTFSLFKLYLFVKRTKNALDSIENRKTRFPLAKLPFVPMKEVIRSMTVQERIKLALSSYQMEYFVELARVKASSYFISFKDQKSYIQLEVAGEMDGFVYLNGGRDDGNIRGKEISKWCCGKSMSENIARALERIQNLFPSPNVILTFHTNKMTETSIKELLSSLDWKILLIEGDKIEATDLDIIMNMANLERKIMITLNSFPENFTHEKVDSFNFYKLRTIIFQAFQFLDMEYHEAHWVRIEDLFSLRNSISVTLMLNHFNCPHLNQLICFWMECTHSMFRQLTIHMGNTSPFLPNLIFKDVTYLTTSRRGFQEFVMLVSFCVRYDNDMIFRHSRSATCLEFPIAVISWIDSSFKMITTRLDGEYSKEYSILKLLSRKNELEEEIAKTDSRLPVGDRKDDLSNQPMISRKSDLLKKIRYLNNQLKDLQVELIDGNVVL >CRE01874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2831783:2833106:1 gene:WBGene00053296 transcript:CRE01874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rer-1 description:CRE-RER-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG05] MDDGLRDRPGVTSRFFHSLEVKYQYYLDRLTPHTAFRWVIALISLVFFASRIVLLQGFYIVAYAVGIYYLNLFLLFLTPSIDPALEFDDEDDGPVLPSKTNDEFRPFMRRLPEFKFWHSFMKATLIAITCTFFEFFDVPVFWPILVMYFFILTFLTLKRQIMHMIKYRYIPFTVGKPRMAGKEDTGKVVVG >CRE02274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2829300:2831108:-1 gene:WBGene00053297 transcript:CRE02274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02274 MIDIDNVSLPMLIGGFIGFVTVMGAAIIYVAKQEKKGEQEEVDVVMDEVTETVTSEEPAKKNKHQRKNDQWKTKEPSFSHDWNVAMLKGHTKDVTDIAFASDGKKFVSISGDRTVILWDVRDFENKEHKSIRQIVEYDTPTRVVFAPDCKSVVFSVKRENKLCVYKLAKKTEGSGSHHFVHIDNLEFERVHQVDIQNIGIAGNAKYLMSAALDNKICLYDLRGQLLKSIDAKVSSLYDCRLSPDGRFIIVSGFTPDVFVFEPVFTRDGVFQNAKKVFSLSVRRSSSFLSYSSRFRVTNQEFSQLHSTLLLLVQSPSQETASGEYLTQRFDMKRVRTRKLLESEGSWEPLRGATSEKVRLEMSPSGDSFAISFMTDLKVFCSEDETKDYPELKDICSTTISSIAYSSDGKYIATCGDKYVRVIRNVPEWHSRVVKLSRELPECTQEGARRRIKEQIEEAQKLLKQFE >CRE02273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2827952:2829130:-1 gene:WBGene00053298 transcript:CRE02273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02273 MAHAPRNMGSRAGARNRRSVDVEARIVQSGNFEYTSHNDEVTPGGGGRPMLIERMIHQKNVSIDVMDGYDKNVNSTLNHHSIYHLYEYEAQTMFLDSLIPSLFGFYEIQTRFLCCRYVICDIDDWMGRRKSIELLAIRKNGDIYIGSNKNMDGLVFLQLSNIVFHNSRRNDTEENNAAAFGGLNFAKKVTKEIFPSTFHKHHSVVKHWCIENHRFHRKMNVFISSVARAFDSSGNVVELKTVSSNSMGKVQNLSRTKARMWWLRALLSGANRIVYGLRMDNLIVNEILEASIDEFTKGHFRFSEADLFSEVFKIFEKIDRTIIEEESMCLVSSFFQFQD >CRE02272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2825788:2827450:-1 gene:WBGene00053299 transcript:CRE02272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02272 MLYFLIFAVAWSSAQRADIPPVKDGVMELKMVHIVWRHGDRSPTKTFKADLFQENAWTFGGGGWGQLSPMGMFQHLTLGKKLRNRYVNDVNSTYNFLPSVYDQKTMYVRSTGINRTLISATSNMLGMYGQDGYGSAAGTDFPDAQGWPRGFVPIPIHTVDYDSDHIGNMESDCPRREWLWNLAQQSEEVKNWRNSEAVSSTIDELTSYVNETWSLEDFWIVPDALFIEQIYYNASLRANNTWFSDDFYNRIVAVNDQIYMFQYGIFNNTVTMQNMNIGLELLKVRSGPLMNDMIDRINTKSDCTYSNNATGCHWINGLKYFVYSAHDETVYAVLVALGIERFAIIPHGYPLYSAAVSVEYWRNTTDNNNYFKLVYHKQSGDGFEVMTSEIEGCSGDYCSMDVLEQIARKLKPDQPIDKWCLITESSSSYSVSISFLMFVATTLNYLFM >CRE01873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2822212:2825661:1 gene:WBGene00053300 transcript:CRE01873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01873 MKTNYILFLFVLGVYLVESQQSLITRAKRQCPCAQLSQKSKCNCYPIGGGMQRCKCSNEPQSSSCSCANKAQTSVCQPQCQKSCVDSCTRNSHQPQILCDKTCQFTCNKACTPSSSSSSSFPPIKVVVNQQTKASQCPQACAQSCSNSCTTQPNKSTCINMCLKSCEPTCIQAAVSINVKEFMRTSTTTAAPVNACVPACQPTCDAQCIDVMKRYEVIIQKLPVVQQCPSQCQPTCSPQCIQSVAVSIQTTVQPTTASCIPACQPACTPQCVQAVTTTTESSCQPACQPQCVERQLQIQIVTAGTTRAPETCIPACQPACQPHASINTYRLESQLFHQSLKIFSTSMCLSNVSQHVFQSCTENLHNDHLNAKNNVFLNASQHVKPSALWHKLQYSPPAASCVPQCQPSCTQECVSAQPNFAVQIKMIDDSTTTTPSPQCIPQCQPSCEQQCIQTYKIQIQQMNAQQNQQRQYNCVPACQPACEQSCIQSQYQVTIQQSYSKVNQGNSCPSACQPACEPLCVQQITVQTTIPITKSCVPQCQPACSQECVQQATFSISIPMTTVAPSCSPQCQPACDPQCISFTLKRPAVTTPTPSSCQPQCQPACQPSCVETYTFTLPMSDQSSKQCAPACQPSCDSKCIQNYQFEIVIPQADNNCMPACTQSCQTSCVQQNSQSVPQCSTACTDSCRSSCVEIVKESAEPTFKLEIVLQKPMEETVTCAPQCASQCVDQCKTQLLTQVEFCLPACQNACQQNCPLQVEPCAMSGSQCNCSTGFSVCGNNQCCRKRRR >CRE02271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2814369:2815590:-1 gene:WBGene00053302 transcript:CRE02271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02271 MVHHLLPVLLLFVQVTISHADLMRTVYCANKCNDYLKVPEANIPKCEERHLKLNQCGTVISCSWADVELEKQRPVANATDDIVCCYNVVLKEKGDCDAQQTLSSSKKNTHRPVHSNDCLSQTPALFSVIAVLLILLAAQSFYIMWTCAFQRRMYHQKVCQLEESTATHSQGPLYTAKPICESHLLSFEHLPN >CRE02270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2813554:2813971:-1 gene:WBGene00053303 transcript:CRE02270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02270 MNSSFLLLSILFFLPTIIHSCGFGFGGYGGGLGMGCGGGYGGGFFGGSYGLGYGGYGGFGGYGMGGYGLGYGGYGMFGGGYGGGCGYSMIGGCFGKKKK >CRE02269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2803031:2804274:-1 gene:WBGene00053305 transcript:CRE02269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sgn-1 description:CRE-SGN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFZ6] MRGYPVYAMGPPDEPVWSRNEQSLSRGVSHQTMVQPVSSTYPTSYSHSQAYPSQTTVIHSTTKPVADADIYRVGIYGWRKRFLYFFILILAIGIVLNLSLTFWIISVLDFSPNGIGTLKIEEDGIRVEGRAQFDRPVHFSKLSTLDEETLTIDSFRGVNLQARKPNGDVASKFSLMPEGKAQVTCERFEVFDEDQKLLFFADSDEIGLKLENLRILDDGGSVFEGAIQTSSVRPQPDSPLRLESPTRSVSIDAAQDIEILAAAGEVSVNSLLDISISSKQGEIRLESSSIYMEKLARSDGRGSPQTQVCVCHNGRLFLAAPNADCRADRDICSN >CRE01872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2791562:2802503:1 gene:WBGene00053306 transcript:CRE01872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-19 description:CRE-LET-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LFZ5] MLSSKEGDKDALKNKLKNAKRPEFINGGSLEDCLSNVYSLLELPGIKWKCFRTKPNAPRGVPLTSDLVLKAYSRCVLDGILCTWRRKPSAPTDNDELLPATHFFSNDSPKELWVFWYDDEPIDLPKLCEGLESDEELSSANQMNIVSYEVRTLIFKALHVVLERDLTKDGFVRFGRWFTVPLLARDNYLHFMYPTHSPAIRFNFFVHGTHTVCASVQAQRQPTLISLAKRHFDCKTAKRFPVVVGPWSMRGYLIQDQMTLLADPKIQEAAEKEWNQWKEYLQLEEKEPENVVDERQKSPDSEPTTAPPPAPRVLLASSSDEEQPKSDGNDDVANDNIPQKFRWMYEPDYRQEKPKEETPEEKEKRMQAREVRRIRRKNREERLKELERQRAETTNPEDYDSDVVTDVEVEVKEESVSSEVPRMILIEIDNVRLLYPSKFICITVEEDRQVLESMGLKTHPPPQELQVQGRRPRNKNVVSASNPILSTMAVYQYCERQRMIDRERLNKENAHEDQYHDRHLRAVFAERKAIIDKKEKVPNFPPVPSPPPFELYKHKETYLSWKSARPASLMSYRPRVRSHMPTYDPNNDEETFNKEWECYQALATARMKCRLGTKFDFHSYHKKRLLKTPKERRKTKKMKIPKISRKKFESEMAYNNFLKKKKTRLKQIGEKRRSKRSRPSGSIRAESEDEAYQMDKLSQQDWANLEDDHPKVIPEIEVEKEELFNEIKLPKAPNDDTYKPKPFFPVSLLTTVSSRSELIPLAGDEEMSEERFNAVFKDPNNRWKPGMSKGEKIDNATYVFDPSNRMPVSPRNYHLGDYLKKPPYDRNIDAPRNNKYFKGDICRKGLRGFEAICAEKEEIESVKKEKWLKKHRRKESKRQIKTARDCHLEMEKQLVKRSLKRSLKKLRISAKKDSLSDPIIQEEEVDPLLLNRVDVKALDKSVKLMENELDQLNMNTFCINREKAGPHGVPSSSGYIPADYTLSPHCSFEEESDSEIDEQRTGLGEGTSDQYEEGESVDMVESDREQITDHSLDFANIGHIRTVGEHIGPDGLLSPPASNEMPKGGPLSVGPPSIESQGLNQIYPTPPSVQMLQENAAQGHSPVMMHGKLRYTANAGDDDIERVDVPGGCGKIVEIPDDDSETKHMKKLNKLVNQPKLTNFLVSSCHNPILKGRKIRYDTPITDKFKLQSETEKVTAELVYEPMVKRNFECPPPRTFGVLAQKKRSGKRAPLPSSLPSTFSALNPGFANPMGPPIFQSPTLPPHHIQSPFSMGPMGHHPMGPPNYPATPGPFPPTTPTYPGMTPRPGSNFGPGFGYPNQQMMPPAYSNQIGSMGQIGQMGQMGQMGQMGPMGPMGTMGVQRQFSNPQMYQHQMQMRMQQMRQMSGQGGPPGYGGPGVPPFQSPVTPAPGGFGMSTGPSSVQRLNNFVNQSQFGPSSGQSYGSIPPMIPQSPNFNQPFPGMGNAMMSPMQQHQFHHQQMMQQHQQQHQQQHQLQMQRNQAQNQNPQTNNQQAVQPFQPSAQFLQQQQYEQVNAAFNQLSDREKQKFNRRQIQLLHGIMPTSLQPASIKALRNPPDANIPFKKPDPIYRHPDLNIPRGDSLTIAIVLSDTLLDLHFDSVFDACPICSCSVSIRSRDLGMYITPHMVLSSRERGQTNMREYTVGTWSGFHVNSATNCTCGFSAIRHRYLSCCSGLFEEDADEATTSEHAMAPVIPPLNYPKRTGRDMTWFDSKSVHDMALLNQIRQMAFSNSIGKAVSQMASGAEHARNIAIAMDVGTDVTVPSEYTLSHVDTLELLMLGMSALGPMQKSGIGNQIASQNKFLSYFHPWGFQTANEITELEASEWVDLLGIVTPTLEVSMKHARHLPAEAPSTVEGPMTWKQIVTKAIRGKPLSDDDEDYSLTEPVPAVMRALTKEAIRAAPNIEQYSDQASLGPIDQPKDVMYITIIPDDPTIYEKAVEFMDNVTATYERMRLGRHIPFPVSTGTATKFRKVLEEQQNQFTFSEKTPQPENEEKREKDRKDYDDYFGREPVETDLDFWIRRAKRKFESYEDLRKTEDTAIPPPDPFDKEMSKNIVYRHRMSGFPFREDSYYEREGILRVGTPLERSRLQHTVTNTPEFENMTRHLNDKNGFVTKLRLYLQQMEDLVHHALIENAEAFERTGYRYQLAVEGRLKRRQHRKGINEEWLRYESAKLLEDEVTIPVQPEEADLCTGVEVGNEGSVPWVNDELQEKKELKQKENEQYPPEGHQAPSPVPAGMIHIPETLSKQERMVQPSLNDIFADPSTVAPMNAQNISWKKRDTHVPNPFPSSNQPPVAFEATGSLDTDAISTLPHVIVLYVVNPFSYGPEGHSAIHMRIAILAFIRAFNSIIGRLPFQKRPQLQLEIIGLESLDDVAKSIPDYFNDPKVPFDILHDNQIKFERTAEAEQAEIVRSLSIAVYTHPRVFTPDVYKSVSARCMTAFGPGSQIINTINNIEKINQDSFFRMSKRSKMALETIDGFRHPGMMAPQQVEKKNYIAYRVPSNILCLAPPPPVYQMDEDGKPVLNQLDEQTLFISYCLVGADFLVATATDAQGKLIDNCVANIKPRRQRNQVYRYRNKTQILDGMGKLWSFILGVMSSDIKNWRLVVGRLGRIGHGEFRAWTHLLNKTSLLRYSGSLKDICSACRSMPTAVGTPAILSACLITLEPEPSIRIMPDFQDQSATTKKSYIFQTPGDLSCTHILTFPVGTEINLEVQDQTADTKADENWDFNDLDIMEGLDDGDTEIMKDLGLETPSSAAIRQSGGTSMFFSEDSSSIEIQNQPLASGYYISTAPAPELPSWFWATCPSAKRHSPVHLKSSLHINISEVKNDDIAMESAKEKDKDKEKEEKDVHPLESRQTEEVLRHVLESYNALSWLNLNRQTGERYSCLPIHIQHLLRLYHSVARLLV >CRE01871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2788691:2790292:1 gene:WBGene00053307 transcript:CRE01871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01871 MEKGNIDDIWTFQKVGTPFPSNVAKAPGETNKYVALWYKHGKPVMGRAWNDSGVVKCTFVTDNKIYSGPDVGGSIQLLVIDPGEKPCPFHYDWVNYKKAQSLTIEGKYEMVRCEYSASVYWPEHGLLGCVSTNRLVAYFVDNDSQIISTKKMDDLLVLCKIAGAKEAHAPEKDKEKSSVGYSLRLSTHINDWEDFNWGSPWPTNKNVMSTPKNISPVGFDQFVALWYRHGKPIMGRAWPKNGRIEASFVDSNREFTGATVGSLQLLISLPPTTVGYDYVWMAYGQAVDYRDKDYVPVHLSYICPAVVPVNGKLILGQVNMKTECATVALDGTVTQLDGGPVKSIFVLCRREMAETMLI >CRE02268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2784046:2786254:-1 gene:WBGene00053308 transcript:CRE02268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pah-1 description:CRE-PAH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFZ3] MPPAGQDDLDFLKYAMESYVADVNADIGKTTIVFTLREKAGALAETLKLFQAHDVNLSHIESRPSKTHEGCYEVLVEFAEAEDHHKIEEVIEHFQQKAVKKVLVQDWNTKDKQNKDSVPWFPQKISDIDQFANRILSYGAELDCDHPGFKDQTYRERRKFFADIAFNFKHGDKIPAIEYTPEEIATWRTVYNELTVLYPKNACQEFNYIFPLLQQNCGFGPDRIPQLQDVSDFLKDCTGYTIRPVAGLLSSRDFLAGLAFRVFHSTQYIRHHSAPKYTPEPDICHELLGHVPLFADVEFAQFSQEIGLASLGAPDDIIEKLATLYWFTIEFGLCQQDGQTKAYGAGLLSSFGELEYALSDKPEVVAFDPAICCVTKYPITEYQPKYFLAESFTSAKNKLKSWASTISRPFQIRYNAYTQRVEILDKVAALQRLARDIRSDISTLEEALGKVNNLKIKF >CRE02267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2783265:2783582:-1 gene:WBGene00053309 transcript:CRE02267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02267 MIFCERQSEIITVYNVFFYGNESLITSDIVRVVYIEKRKFSTDPYDSYAIRSHSLFSWIKIQVLINTPTLLKIYGLFCGNIVEKKRRELGEMDEVRMRNATGSGS >CRE02266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2780060:2782537:-1 gene:WBGene00053310 transcript:CRE02266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02266 MRLYIISILIFDVVFCLEPLRVVERTHLPKRRSLPTRKLNYGFHRDLPAVMDNVIHSPLDNKRIYTKDNFYINAIRPKEKEAILYESMLIDGKGSINDIDAFFVTAPPARTTLAPKRIGDNELPDFVQVSEGSVKEDEQMVKERPQRNDLKLVRLPPQPTSTVAPFKHNSPVRRQLIDSPPHPPPLPQLLQPSPVRFPAQPWQIPPLHSQQQSTPNPLTQFFQPIQQPPSLIPPNPFFPQQTPPPAWTPPPLLQPNPIPQPPTRNDTFDPYIPIPVGQPPYQFQQNIQQPPPALESHRVRDSKRYLNIKKVFFQQPLRPHGFARTFGQQPIPLPSSAAIDTAFNQAKEREFSIFPPNQIQKKPTPPIDYSVDSVAVVTKDQRPPPFVRSIPEKTSEQLRNFVKVHPHVTNNLQKPLIYRGKEMVIPKMFNFTNTPPSAPPSPRFPQQPLPPQPPQPTAPQFPPQPVSVLAPQPTRQSTYVPLMNPNQKLDLCCRKQRIGPLCQNLCNYDTFNDKTLVAAFLTNQCPGPQLGQAYDCASSKANHSPCCERAGLVSFQGGKCMPFCRTHVATPSNVFDYFVCLQVFETIKGCYRDYSFTHPNIFGD >CRE02265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2776383:2779744:-1 gene:WBGene00053311 transcript:CRE02265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02265 MTMTTEACVALLSNLTLGEDVVVRTTPPPFPHRFSIFSSSFSSRKPIEVERERGKFLKTEMHFYLLLYIFACSLVISAVVSKSSLDCDFSEDCCWATTREEEQWEIQSADELDLNEFRKIFLTGKSRPPPSGNYAIRVENKKKSSLISCAVCSSTGQTTVKFRHWQSANAMLKICWQLAGDGSPTTENCQVARHSKQSKLNTYKFRDIDKNKNFRLVFIVENADAEMDSGTEATIIVDRISVDYDSCDHAPTHEVEGNVTKKKTRHSKTRQKARSSVTLEKLAQKDQKMKENLKKQEDKQAYLKNVDQQIAKVVDEVNAKQAAAAAASSSAKTIVPASPKTPVDPLTDLLGSEFVDFLDPNYESNDEEENDESDFDTVTSQLKSRLINFLQKDSKTASTTTSAPKSSTQTPLKSGTVGKPPVKRVETSKKSIDTNGQVIHKTLKPVEHLPIRPIVSTESVVKFLPKGKPMQVTPQNGVFSLPSQQNQQIPLGIPSTCSTAGGCLFEKDFCGWTTPAGITNKFHLKKVHVSSFAEATVPIGEISVMEAETKMTQAHTIIFDALEFAMGTRLIGCCLADGQLTCPFSTPSEQTGVIWTFSKFECPINTSKVLNISSSSNSIHFLFQIAFICENFGLAESICAVDNIRIHTSTDVFFLEACQKDKLHRS >CRE02264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2769475:2773114:-1 gene:WBGene00053312 transcript:CRE02264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-20 description:CRE-PTR-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LFY9] MRKLEKFFDWYGQYVARKPFFFILVPALLTIVSTYGFLSFSTQDDIWDIYAPTNGLSRVEEAGIRRFEYASGSHHHRMQILVSKKNRGNILTNEALDEVSQAHKFVADNITVWDGQNQIAYKNLCGIYCNDSNAAVFAFLQATLNDTSRSSFKLTFPNAEALQKKIFIGYSIGDLKFEKGYVTEAKMIILHYMVDTSLPNGRQLAADFETKVRNFFSSITDISHHIKYSVLSRTRELEEQRAITITSLPFLGLTIIVLTAFMLLTLVRFPLYTSQHWQSIVGVLSPGMALWTTTGLLWGLGYPFSNILTVVPFLVVTIGIDDAFLILAGWRQSTKGESLEVRLGQSVAISGASVTVTSVTDVACFATGLFSNMPVVQLFCLYTTVALAIDFIYQMTFFTALVGVFVRKQVDIEKELIKTETKIEKTKDDESSSSSSLASLLTFVPTISQEAHTRNILEVFIDFLHTWMAKFIVLVAFFAHIMICLALVSKVNTNFDMENLYLEDSPLTDISRRMQNFVLGEAFVVNFGVFPMPDFDNAEMREKFEEMVKKLETMPGYGAGKENTNLWTREYSNAVAFWGETEDFWHKEDMVSNYREYGMDEKYITMKNDTNGDEIIDGFFFTITYQNFSNFLEVESFLEDRRAILKNYSSYFTISSHHPFEKVPTESAASAPFNFISTSVSAVVLMSLLVLVFVMNFEAIISVVISIVSICLGIVVYLHLWNVNLDAVSLISMLMSIGFSVDYSAHVCYHYFAHVHEDEKLWRSHNYAETRDRLLSTFRGVAWPVMQSGLSTILGMFPLMFVRAYVVAVFWKTVILVGILGMLHALVLLPVIFILTHDVKLLFRRKRTVNPSPHSMELAS >CRE01870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2766850:2767620:1 gene:WBGene00053313 transcript:CRE01870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-21 description:CRE-RAB-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LFY8] MPDATAEQKQFKFKVVLLGEGCVGKSSLVLRFVENKFSSRHLSTIQASFQSKTVHIDDCKAELHIWDTAGQEKYHALGPIYYRGSNGVLLVFDITDRRSFEKVKNWVLEIKTCLGKTAEILIVGNKIDLEDERQVSRQDAEVYAETEGALYMETSAQENMGISDAFEALTSKMIDHARTNTTETSTSNRSIRLIDGEEEGRRSRSKKCCR >CRE01869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2765346:2766451:1 gene:WBGene00053314 transcript:CRE01869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-11 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3LFY7] MTEYDKFAEQLRHPDNPIVFLEVTAGGAPIGTIVIELFSDVTPRTAENFRQFCTGEYKKDGVPNGYKNCTFHRVIKDFMIQGGDFCNGDGTGLMSIYGSKFRDENFELKHTGPGMLSMANAGSDTNGCQFFITCAKTDFLDNKHVVFGRVLDGMLTVRKIENVPTGANNKPKLPIVIVQCGQL >CRE02263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2761593:2764778:-1 gene:WBGene00053315 transcript:CRE02263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02263 MSTRKGKASSHITHEDDNEDLIDEINTFHNNDRKIEKGALHKRKAFGRPEEVLNVEAEASDSSEDDGSDFDDDDVNDITDNKWGKKRKDFYGTGFVDKDWGGMREEEMEDAQLEEEDALTRQKQIDKSTAAIAELFDDEEEVENEKEETVEIETSLEFNEENAKKKNKKIVKVLQQFEARKRIFDLVVKPLENVIKQIPKCALRSQLVYVAQTYASYLMNTAFYLNLRAKQLSKEKLEDPFVDIHPVVEALKRLEKKIVECEDFMHANSDHLDELKKWAEANPENMEELISKVGDVQLSKKTFGAIMHNNHEDEESTKISSGNPHFTPMDADEKRKATDKISKNREYAERRGKKKAKTSKTKNRRKVHAIEKRVKSQIGNVRREMQKYSGETSGIRASTIKSTKLIA >CRE02262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2756900:2759441:-1 gene:WBGene00053318 transcript:CRE02262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trcs-2 MSKILGEGDVRKTGRACVIVEKTQGRLVDLPDSALRLNYEKKYYEPEHGDHIIFSAQLQNGRYCAVAAIRPSDLLQSYGTLSYVDENLKFAHVAINGKTAKVFVPFSTRTVNGACWYGNGAIVDKPYHVYMFRQEESHGCKYVAWAIFDDNRKLANLPPAHLDIHQSPQMKKLGAPNAVQRQSDHQSVEFVKSQWGVIYDVSDTFVVSVTSPTCQNVEFAPSLYETWMTPGRFMTFDGRRVSDVKTSKLMGSNPEDMGSVYQIRKLGPLTMSISAVFNKVSHGKAGWAWNDHVGRIYVPWNCGDRYIQASKPLPFTPVHLEIAYNGKHDDIPWVACGASLCEEHEFDDVFDENSRMLRTEDNWKIHSIVDGPENPSIFLQKNDENGRKRCAFAKVIDLNSGNIPDAGTLVRITYYAQERHGRHAMRCVLVTSMEKQPTPKKKVAPEPAKRVQAAPPPTKNCWNLKNLGTPNTQPSVQDFPSVTGEDEKENYPEVITETEVQQPSAMPPPPPGLEPPPGLQVPPGLSVSALSYAMDFQNEYRSILPTSAISRSTNGMGSSVWSGTPAESKPDEPTSFFSNENMFHPGFGPLNSAFSPSGDVFFNELGATISQPSTSSVANSGVVSDLRNTQSEASSPGLKSDVNEPVEEQTNCWSSFEPPSNTNTYSGSFSFGFPHVDLPIYQRMMPHSNEILMTTPAAENGVETTPSGSDTNADPCSCGTEQLIWDLWQNPRFIAAFSASDPETFKRINAKRQTFSSVNRH >CRE01868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2755612:2756305:1 gene:WBGene00053319 transcript:CRE01868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01868 MRQSTCHLFSLLLLLILVFIPFGDAYKNYESSRSRQAVGYHVDNVMKLRCHQCNQPHDCATGVCYGDICVKSLVNNHYVSKGCENLTISSSVYEPHLKMRTYCKEEEVLGVDTINCYCRDADFCNSSPSVALSSLLYFFALFLRL >CRE02261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2748383:2749588:-1 gene:WBGene00053320 transcript:CRE02261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rol-6 description:CRE-ROL-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LFY3] MSSFCFCSLFFWHSSKFFQMTLTTATSGAIVFSGATLLVSLFAAASLYSQVSNIWNELDAEIANFRSLTEDMWVDMVKLGAGTASNRVRRQQYGGYGASGVQPPAPTPNPYGGYGASQPAPPEKFPDGIPNGGNQPKFPGGGFPDGPFPNGGGPRGGNQCQCTVENSCPPGPAGPEGEEGPDGQDGQDGVPGFDGKDAEDIQNTPPTGCFTCPQGPLGPQGPSGAPGLRGMRGARGQPGRPGRDGNPGMPGDCGPPGAPGLDGKPGSPGGKGDDGERPLGRQGPRGPPGEAGPEGPQGPTGRDAYPGQAGPQGEPGIQGYGGAAGQDGPEGPPGSPGLPGKDAEYCKCPGREGDAGRTARRHRKFQL >CRE01867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2745662:2746256:1 gene:WBGene00053322 transcript:CRE01867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01867 MTTSSTIAVLVAIATIVAVCQVQSAVLPVSSTELATVGTDVSTPTTAIDTLQNSMSRVKRQGGGCGCCGCGCGCCCCRPRCCCCCRRCCTCCRTCCCTRCCTCCRPCCCGCGCGCGCGCCGCGGGGRKRRSLQNLRIDEANRAFGIKRKSSKSERC >CRE02260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2735613:2738690:-1 gene:WBGene00053323 transcript:CRE02260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mak-1 MMFEYEEDEDPMELQKQEELTHHSTDHSGSPQENPFRFSYDTGKRAASMFVTPSSEDLIAYGTKHLLDSPTAVQRSLVLNATTSLNIDCDLSSDEDLSPTTQRKICFCASQNPIETQEQGLRPAKSTLTVSFPYHQHQITEDYTISAEIIGIGESGKVMACYKKDSGEKFALKVLRDSVKARREVELHWLTNAHENVVSILDIYENTFDNVKCLLMVVEFLEGGDLLSQFESQDSIPYTEKKVGEIIRQIGNAVMYLHDMNIAHRDIKLENILCSGTGDNCIYKLGDYGFAKRPERNVLMESPCCTPFYAPPEVLGRERYDKSCDMWSLGVAMYILLCGYPPFYSMKGVALSPGMRSRIANGYYAFPHEEWDCVSKDTKDDIRCLLRTNPSDRLTIHELMATPLVTGEPIIPGKQVTTAIAIPGAEESDMEGGVFEGFIAEEEEIPEINEITDTVGEILTVPKSVRFLRDGVKAPRLHSIQEEVGRAMEIMRMGHDQVYVKNPTSSCNNLFARRRAIHLSIPRVHC >CRE02259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2727360:2729339:-1 gene:WBGene00053325 transcript:CRE02259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atf-2 description:CRE-ATF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LFY0] MDVDSVSLSPSSRLSVVCSASAEFSSSSSDSSNFSEGSPPESRRNSVNESVIKDEHYWERRRRNNDASRRSREKRRLNDQAMEEKIMQLSAENERLKSQLENRPSSVITTAAATPQPTAIEPPTALIIPNVAKNLFPSGPVAPLQASSMLSVPLLQTTPHFPSMIQLCQLQPTTIQSPVYSSTQPASTSTSSLFSSSSSSAFHPFRPSEGVQQSFPTSSVIMKVERRSPDSSTDIKLPQPLLQPGTNVIQQIGQPASSASVIQQVNQPAQQQGPSLLSALLSQRRPSPTVPQSRTEHISGLNSPPRHTGNKSDCESVSSSASFSPSHSSEDHSNYSNKSPQYADRRRRNNEAAKRCRANRRAVFEYRSRRVQLLEGENEELRSQIENLKAEIAHFKSVLAQRASVVTSLRP >CRE01866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2726070:2726986:1 gene:WBGene00053326 transcript:CRE01866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01866 MDKKQKKNIKKSNVPHSFYFYLVLRFLAAICCIIVIFVIGLSQYWTNKVSAVFGLLFVIVCLLFSASAVSILFIWDVNTTTVVKKCLFSFVYTLSILVAAVMFLIANDGCDSRIISQYGCYQSISTGTFQVASAFCFLIFIFGLLDLGLNGFYYYRNSKRPPIYDIPPPSVAVVETEKTIHDIYMEYQRKGQTTSV >CRE01865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2723258:2725242:1 gene:WBGene00053327 transcript:CRE01865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fut-1 description:CRE-FUT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFX8] MTARNIKLFFARWKYLMFACCITYLLVIYVPLPNSNVKNWKEGEIELSNDHEKEETKIEIVETNEKKVFKFNPFGKEPFDVEEVLTSSDIKLEERMTANVKPGEKRVILSWNAGHSPDNLQGCPDWNCEFTQIRSRAPDASAVLIAHNDPEFKPTPDQYVVYFSQESPANSGIQLPTSDFINMTLGFRHDTPAGSPYGYTVKLGPNSRQKGQVVDENIVKGKSKGGAWFVSHCQTNSKREDFVRKLQKHIQIDVYGGCGPMKCPRGNKKCDTMLDTDYHFYVTFENSICQDYVTEKLWKSGYQNTIIPLVLKRKIVEPFVPPYSFIAIDDFKSVKEMGDYLNYLMKNNTAYMEYFDWRRDYKVVFLDGSHHDVLERPWGFCQVCRMAWTDPRQRVMIPNWDVYWRQTCEKDGELVDSIPEVD >CRE02258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2718694:2720475:-1 gene:WBGene00053328 transcript:CRE02258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02258 MSNDIMPDSLCTNLTEPLSKSVTKVQTLPNISAWNDSNALLRDFLSIFFTKKTTFFGVKQRLPDRKRVSILTPNDILLIYETNCTGYSFDVSEAVRMDSLCYRKDKQQLDEKTCTITLKYKFGYVNLVLKKHQIPVWRQTLFAIFEEESFNENIVNNLSLYTARDEDIDDALLTNRSHLDYTNSVSVISLKSSHGFMNLTPSEADFTVDSTQIENSSLKTNSNRSSTCFPLTMRSNISTPSLCSNTSKTASVDNNANKNMISIRQGSHAVSYLAKKFEAKLGIGRKTEQKTKEQPSASSPLEILFPPPPSNQQKTDEFEIQTDEKPRRMSILSSILSKKTMKHPEEIKTELV >CRE01864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2717510:2718679:1 gene:WBGene00053329 transcript:CRE01864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01864 MKVQVSLISILLFSVISIEAVYRVRKVIRRAETRRSAADDDLDMAAAELIFKEKNVLKTGSDRIEGPPRKLPFGTEHASEIEKTKEHIGAPSAEPTFSELQKTHLEDIEKEEGTLKSSMVGEGPEKLEESEGFLQTEAPRAYSLEKNVFSGGVNDGETSSVSSGFASWQKPLQSHGRLIAFDFNVNPEYPQFAPRARGLVGIPDGWNLDKQIDEEFRSGFRKVDFRRRFFN >CRE01863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2715606:2716988:1 gene:WBGene00053330 transcript:CRE01863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01863 MDYDVIANQPVVIDNGSGVIKAGFAGEQQPKVRFANFVGRPKYHRVMAGGLEGDIFIGPKAESNRGLFSIEYPMEHGIVNNWSDMEKIWEYIFGPEQLQIFPEEHPVLLTDAPLNPLKNREKSAEIFFETFNVPALYIQMQAVLSLYATGRTTGVVLDSGDGVTHAVPIVKGFAMKHAIQRMDLAGRDVTEYLRVLLRREGYEFHRSNEFEIVREIKENSCYLASDPTKVESNAVKHVYSLPDTTKIELSNSLFRAPEVLFKPDLIGTEWPGMAHLVNQSIMKCDIDLRQTLYSNIVLSGGTTLFKGFGDRLLGEVRKIAPSDGKIRISASQERLSLTWIGGSIVASLDTFRKMWLGKKEYDDVGASAMHKRFF >CRE02256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2707204:2709826:-1 gene:WBGene00053331 transcript:CRE02256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xpf-1 MLDIRKVEIFDRRAHKWRPGPSMLNVRSGAGVTVYDKKIIAVGGHKGAEIHRSAEILIGDEWIEIANMSIPRRNTAAAALNGLLYAVGGDDGSSNLSTIECIQLSERSESQWKIVDMEDEDAGESSQLNESFGNKDGLELLEYERATLAKTLPASVLFVVASGLGLERLFLEHLILFSDRRLLAIVLNTNEHDESYFVSKLKEHSVDCDPKVINSDVSVKDRQSIYLEGGVQFCSSRVLLVDLLQNRIPTDRVAAIFVYRAHQTLNAFQDSFILRLYREKKPDGMVKAFTDVPNTLSSLGQLQRLVDRLYVRHVELLPRFSNIIETELNKYQLKTAIFSVDVPTPLRRVHRTLIEFIKVCVRDLRTSSLAGKHADDQLEEMVHVPWASTLLEKRLRDRRGNISEKQQRLLNDVASLREILQLSENMDVATVLSRLQVLKNDRTVLEEHSGWLLSPSFNRIMEDLLTIAGVTNGKGDPKKFSVPAKWTVLTEVLREIKNIQLEKKEYKNNNPSVLIITSSEDLSRQVTDVVRYGMNKMKWMTWRQLGYKTNQEMPDDDPLWDPDTISQLMRSSLDVESKSEVIANVQKSQKTTARAAQKRRKHAEEMSGFSSDSRIQTSLIQFGILQYKRRKSGNEVREQT >CRE01862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2710171:2712781:1 gene:WBGene00053333 transcript:CRE01862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tars-1 description:CRE-TARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFX3] MRLNSFRILFLSQKSKHIFKPFSFQFYRSFCSAAPDKYHFLNGHVRTIFLLLQILQKNNLSCFQKMSKAPTELVPWPAFIEERIKLWDKLKLEYDAEIAAKVSEPIEITLPDGKVHDGKSWRTTPFEIAEKISKGLAEAAVISKVNGVVWDLDRPFEGNATLELLKFEDDEAKQVFWHSSAHVLGEAMERYCGGHLCYGPPIEEGFYYDMWHENRTISPEDFPKIDQIVKAAVKDKQKFERLEMTKENLLEMFKYNEFKVRIIKEKINTPKTTVYRCGPLIDLCRGPHVRHTGKVKAMAITKNSSSYWEGKADAESLQRLYGISFPDSKQLKEWQKLQEEAAKRDHRKLGKEQDLFFFHPLSPGSAFWYPKGAHIYNKLVDFIRKQYRRRGFTEVITPNMYNKKLWETSGHWQHYSEDMFKIEVEKEEFGLKPMNCPGHCLMFGHMPHTYNELPFRFADFGVLHRNEMSGALTGLTRVRRFQQDDAHIFCRKDQIAEEIKQCLDFLEYAYEKVFGFTFKLNLSTRPEGFLGEIETWNQAEADLTEALNATGRKWALNPGDGAFYGPKIDITIQDALKRNFQCATIQLDFQLPNQFDLSYFDEKGEKQRPVMIHRAVLGSVERMTAILTESYGGKWPFWLSPRQCKIITVHESVRDYASDVKKKIFEAGFEVEYDATCGDTMNKQVRNSQLAQFNFILVIGAKEKENGTVNVRTRDNAVRGEVPLEKLLSKFRRFADEYVADTEKAEEWE >CRE01861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2705377:2707200:1 gene:WBGene00053334 transcript:CRE01861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inos-1 MSSAQVNGISKRLVVESPNVKLEDGVLESKFNYRKNHFEYRADGLHVTPKEHEYTFKTVLKPRKTGLLLVGLGGNNGSTAVGSIYANQYAMTWKTKEGHNKANYFGSVTQTATVHLGYDSATQNQIFVPFKDIVPILSPNDLVISGWDISNSNLYDAMARAKVFEPELQEKLRPFLEPIVPLPSVYYPDFIASNQGDRANNVIPGDNKLEHLEHIRADIRKFKSENDLECVIVLWTANTERYTDVRDGLNSTADEIMESIRTNQDEVSPSNIFAVASILEGAHYINGSPQNTLVPGIIELADRHNVFVGGDDFKSGQTKFKSAFVDFLVSSGLKPESIVSYNHLGNNDGKNLSEARQFRSKEISKSSVVDDMVKSNNILFPDAKNPDHCVVIKYVPFVADSKRAMDEYICSIFMGGKQTFVVHNTCEDSLLASPLIYDLAILTELASRVTYKVGDEFQPFHSVLSILSLLLKAPVVPPGTPISNAFMRQFSTLTKLVTALAGFPSDTDMQIEFFTKLPKSQ >CRE02255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2703264:2704931:-1 gene:WBGene00053335 transcript:CRE02255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02255 MIICTARERERYVLLKLLEQKKPSAIVLYTMSLQTLRQIEIYRSTHPDRQLHVYWLQYTESTEESRYLESINRETLSFEMLIREQGTLMISREFNVDREEAPRLKTSTRDGRGLVRREVDPRDQIESDADSERPKIIVDMREFNSELPTVLYTKGYDVVATTIEIGDYILSPNIAIERKALDDLTQSLQSGRVFKQIEQMLEHYDCTVLLIESNRKFETKIVNGGPFQGELSRHCREIRSLFCSLIRTNPKMRCVWTTSPTNSAEFFSELKLSAPEPDVDRAISLKADQMEASSQETDDIETTAGTKSKKGKKWKPNPTVVRTLTQSFGMKVSEAHNLLANAPIKTLADLFSSGITSSLLSEYIPTASADFIKEVSTFNFGRK >CRE02254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2698214:2702729:-1 gene:WBGene00053336 transcript:CRE02254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02254 MNLLQRFSLFTCGHISRYQSVIAIKSVDKKTFNRRQYSTEMAAPDESVVSKDEMRRFMVDCMTTAGASESHATQLALVLLEGDIRGHYSHGLNRLDMYVRDVQQKVCKGDGEPVILKEKAGTAWVDGNNLLGPVVGNFCMDLAIKKAKDAGIGWVVAKGSNHYGIAGWYALRAMKQGMLGMSMTNTSPISYPTRSAVPALGTNPISLAAPGTGDDAFVLDMASTTVAIGKVELAARKENPVPLSWGVGAGGKETTDPSHVLNGGGLLPLGGVEVSGGYKGYGLSSMIEIFCGILAGAHWGPHVRKWMSTSAEADLGQCFVAIDPEAFAPGFAERLQDFMQTMRALPTSSPSFKVEVAGDMERRHELLVNDLGGIPYHKNQIAFVNDLASKLGVKPVALVQ >CRE01860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2700096:2701913:1 gene:WBGene00053337 transcript:CRE01860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01860 MASEVSSRNMKTAKSSSASPPAGNFFYNFIVTQLCIPSIRRFFATFLAKSSERLILQIPAVSFDAEFGNVAETNNNNAPSKPVLFTIHNPIHFCWLMLLDPKMGLGETYMADDWSCSPNPTEMLRLLIRSKKQTQAAYKAQYGTKPRTKSFGSQVSQLAIDIIRKIAKVVNYIQHWLQENTLTQSTKNIQAHYDLGNDMFKLFLDKSMTYSCALFDAEKPVTDVDFVELEKAQYKKIDRLIDQLELKAEDHVLEIGCGWGAAAIRAVQRTGCKWTGITISKEQLEWGQKKVVEAGLEGRIELRFQDYRLVKEKFTRVLSVEMIEAVGEKYLPQYFQIINDVLADGGIAALQAITCPDAYYDQYRSSSDFIKKYIFPGGHLPSLGAISESLPKTLKQTDLFSMGHHYSMTLEHWFFAWMKAKEEIETKFQLPDDFHRRWQFYFCLCAALFSYDHIDVVQLTFKKDKNI >CRE02252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2696427:2697825:-1 gene:WBGene00053338 transcript:CRE02252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02252 MFRPEDEEEGRCTKYKPTMRFASIVVATIILISEISLAVFEYIHCRLDGNPLSAVFAGIFTVHSVFTTFYIIGAFRLIECFMVPWLTLQLIFLTTFALFVIVWWIATLLSIFNLVQYYHTTSDQGLTNAEFFVLTGVILTIVLVVSIKLSHILYRGFVTVRNQNMARYRITEAIQRKDSVLKPSYV >CRE02251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2694560:2695455:-1 gene:WBGene00053339 transcript:CRE02251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02251 MNFNSFLILKTVFHTYLQLIKIQLYSDFMKTCSYFRSRRGAYMSSSYSRPSYGGDQWNQNQNNYNPYQNWNQNQNQNDWNQNQYGNRGYGSSYGRPSSYSSPQSSYGPPKSSYSRPEPTYSPYSRPTSSYGRPSQFYPAQPSPVVPPVVTQPIAPPPPPEVAPAVPVPAPVPVPPVPSVAVPPVPEPAPGPIAPTPTGPLFGGVEPGAGSLNPGIVPPPPPTDEEMTRVDAAEKENEVRKARGGPPGINVDTIVETGTLPPQAAVPDIPMPPV >CRE02250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2691501:2692621:-1 gene:WBGene00053340 transcript:CRE02250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ldh-1 description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3LFW5] MASTIKEVFAEIAPAVENSHGKVTVVGVGQVGMACAYSILQQNIANELCLVDVVADKLKGEMMDLQHGLAFTRHCTVKADTDYSITAGSKLCVVTAGARQREGETRLSLVQRNVEIFKGIIPQLVKYSPETCILVVSNPVDVLTYVTWKLSGLPRERVFGSGTNLDSARFRFLLSEKLNIAPSSCHGWIIGEHGDSSVAVWSGVNVAGVTLHDVKPDIGEKTDNEHWEAEIHKKVVDSAYEIIKLKGYTSWAIGLSVAKIAQGIFSNSRNVFALSTNVKGFHGINDDVYLSLPVVLGSAGLTHVVKQHLTEVEVEKLNKSAKALLEVQNGIVM >CRE02249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2689456:2691141:-1 gene:WBGene00053341 transcript:CRE02249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02249 MRNLQQFFVAVLFLTFTALICAGETSHSISDILQTEQYIFLAQDGNNRFSEVNISHGNCPQVMNSAKLSSDSTHSKNVHIHLMRDPSGILPPKLLIIKERADYYTADVSSLNNLKSFKSKLSPTLENSDEESADLNKYKMKMFIRNPKEKPQSIFDTMSHVLYLFYPSAEGFDVTSYYIREVFTNEFAMLTLFNRKLNITQNRYGWVEDPYSNKVYYKEKVQNQVLLFEAPLHDLLHLLSGAKSGKHYATWGATQLQQVAPKETLMGASKSVLFGYENENDDGDSRILSSFITSPKSELTISCEMDAKVKNLPKKRTILIIRNNDYCMLRDRSKYNRIQCEKEQEDYMKLRTPTPIEPFNAVMWLMICCLILVSAIVLQCVYIYWLRSSFVTADDVAMLNENETEASLFIAKQRSFPTSYQDPALLDISVDKWN >CRE02248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2686651:2688727:-1 gene:WBGene00053342 transcript:CRE02248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alh-8 description:CRE-ALH-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LFW3] MLSRLARVQTKCNQFAYFSTSKSAAAVPTVKLWIDGQSVESKSTDFVELTNPATNEVIALVPNATQAEMQAAVDSAKNAFNTWKNTSPLTRQQCMFKLQALIKRDMKKLAESITIEQGKTLPDAEGDVSRGLQVVEHACSVPSLMMGETLPNVSRDMDTHSYRIPLGVTAGICPFNFPAMIPLWMFPIALATGNTMVIKPSEQDPGAAQLLVELAKEAGVPDGCVNIIHGQHSAVNFICDNPDIKAISFVGGDAAGKHIYERGSKNGKRVQSNMGAKNHGVIMADANKEQTLNQLTAAAFGAAGQRCMALTTAVLVGEARAWLPELVEKAKNLKVNAGWKPDTDIGPLISKHSKARVLRLIESARKEGAQIPLDGSNITVPGFENGNFVGPTILAGVKPNMTCYREEIFGPVLVVMEAENLNEAIEIINNNPYGNGTAIFTSNGATARKFTNEVDVGQIGINVPIPVPLPMFSFTGSRGSFLGDLNFYGKAGIQFYTQWKTVTQYWNESLTELKPQMSFPQLK >CRE01859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2685803:2686548:1 gene:WBGene00053343 transcript:CRE01859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01859 MRCVVRIILLTCFIFIAFIYLAVSHANSKIVVAFDANDEEARRILDSAIPSPTVASPFNNTGPQSMSVSVALVLIVSLISVALLITGIIIIAVTLDPTSNSEEDEESDLEIDEPSNPTRKKDKQIADPNSYYPRFDYDFNNSNTILSEL >CRE02247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2678988:2681004:-1 gene:WBGene00053344 transcript:CRE02247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02247 description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:E3LFW1] MVSRTLALVALLGLAHVCQSALITNLPGAPNSNFKQYSGYYNVGTKKNHMLHYWFVESQSNPATDPVLLWLTGGPGCSGLSALLTEWGPWNVNKDGATLSPNPYSWNKNASILTLEAPAGVGYSYATDNNISTGDDQTASENWEALVQFFNEFTQYKNNEFYVTGESYGGIYVPTLVQTILDRQDQFHMNLKGLAIGNGCVSENEGVDSLVNFLYAHGVVDQAKWNTMKTNCCHNDTDDCPWHSFSEFSSCGEFVESTQQTAWNGGLNPYNMYADCVSYSSSFRFAMEYERRFNKKYTPEVLGTVPCLDESPVTNYLNRQDVRKALGIPSSLPQWSICSNAISYGYKRQYGDMTSRVLNAVNNNNLKMMLYNGDVDLACNALMGQRFTDKLGLTLSKKKTHFIVNGQIGGYVTQYKGGKVTFATVRGAGHMVPTDKPPVAEHLIQSFLFNKAF >CRE01858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2673390:2678147:1 gene:WBGene00053345 transcript:CRE01858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpb-1 description:CRE-GPB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFW0] MSELDQLRQEAEQLKSQIREARKSANDTTLATVASNLEPIGRIQMRTRRTLRGHLAKIYAMHWASDSRNLVSASQDGKLIVWDSYTTNKVHAIPLRSSWVMTCAYAPSGSFVACGGLDNICSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIVTSSGDMTCALWDIETGQQCTAFTGHTGDVMSLSLSPDFRTFISGACDASAKLWDIRDGMCKQTFPGHESDINAVAFFPSGNAFATGSDDATCRLFDIRADQELAMYSHDNIICGITSVAFSKSGRLLFAGYDDFNCNVWDSMRQERAGVLAGHDNRVSCLGVTEDGMAVCTGSWDSFLKIWN >CRE01857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2662424:2664231:1 gene:WBGene00053346 transcript:CRE01857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01857 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LFV9] METKFDFRITNDMIDINLLRYPFFHGFVLQELQMILLKTNGDYILRLSHQPEKKPKSIGPKKTSAENTGVVVDQHSLTRVILVLSVNITQKMDNTRSFYGDLDEVKQKENMDMHVCIEEISGKWVSFFVERRVKFTSIDELITYYKTNPICYQQIRVFLKRPILLQDWELRKDGLELIKSKKLGEGAYGEVYFGKWAQPFSVDQKEFHKWCDVAVKMLKKDDSRAALFEIMHEARLQLQLRHKNVLAFRGVFLLKKPIMLVSEFCEYGSLKDYLQKNKVNVDEKLKFCLGSSCGLEYIHFKGLIHRDLATRNILVSQDKTPKIADFGLAKHASSYKMRRNTKIPVRYLAPETLTSFIYSTKTDVYTFGLVIWEIFSNGQEPYMKAQPHQESVVPKNTVLKAKDIRELIKKELFVKFNAEAPLALQQFVTNKLFVTDENKRPNISEVTTFLASMLKVDLTKMRFSYKIQ >CRE01856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2659698:2660143:1 gene:WBGene00053347 transcript:CRE01856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01856 MEPMHRFPQRNTKGKTEINDWKLLLRLLDFDGFQGHGSRYPTVTKVSDCAQRVSSNAPGTGQARFPLQFYSLSFCTENSAKHEKKC >CRE01855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2657487:2657868:1 gene:WBGene00053348 transcript:CRE01855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01855 MADTVRGIWQSIVQTKRVYLNPHEQFGRANICRAALASYVGMYFLFKWNQNRKAEIARAERKAAKAIAAPVHH >CRE01854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2654147:2657018:1 gene:WBGene00053349 transcript:CRE01854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-patr-1 description:CRE-PATR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFV6] MEEVKKYGKTLEEIEGGLMFDDFPESLADSDEEHNIFDDEFDAANDETFGGGLDNIGENTELENYATQTARLRLDDPVWQNPSSSDHVAPDASAIPFPTFGNGEPNDAFSSSFEAESPFLKKSIWGNGTDSSYNIWGSDFGLSTVPASSNIEFEFSSMLPSESRAVQAPKDTKSSAQIPSMPSTALTLQDFERMQLGDKTDSLSDAFKDLQLGSTPVQPSSDTQTVLSAPGTSAKNPLPSFPVSALSLEELEQKMMKESQTIKDKSRQQPLPISPGNNRFSHPPPGFNQNVQHRMDPMVSPAAMPGMQMPPPMGFQQMGPMMPPPNMSLPRLPPLHPQFLHMVPMWLNAIIGNVTLPMGAPPVPPFLFQLMNHYRNPQLVHAMILQSSIPPNVRPNGPPYDQSGPHSPGNRVQRKHSGMPSSRTIYDLALDSFAGFMSFKEREWLIKVQFVQCKGSGDPNVDDYYYVKWRENKIANGWTPGPKSDVPKKEATSESQKEYLERIRRSNYREMQKERARERDRERQKERQERIDRGEERKPRQTLSDKFASSLGLPSKSSTHNPRHVLDMKAQIESIDNQAKKMSDEERKNAVQKKLRTMLLRLEGALALLMEIDELRKTNPSEKSQHKDLTSDEKEQEVEKRTSLIINELMGDDLSKLMQMSKGRMVITRTLKVVGPRDQARIVLALMSAGGLVSKKMYGEIVLDILPIVHQKISNLHPDQFKYLVGALNLDNLKRQLLDSNMFVRDMMLTLFFISEKNNQQLLEWSKATKFSSLKMPSSTPLVIWRKALSAISDSDIKEFAEDIKYSGIVDCHDVAQLIEQSL >CRE01853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2651687:2653978:1 gene:WBGene00053350 transcript:CRE01853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-puf-3 description:CRE-PUF-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LFV5] MSQQNEINSYIAPNKPINGRIYESPAPNQAHNESASATFGGCFNSYSSSWTPARNNGQPFTPMFQSTPKQTGAPTKYPAWRNNTPSATPRRQNNELGAVRLRLIDLELSDATNSSNTSHNRSLRSTLPVWAIDEHGGVSANVTLKEVLANEALIEFATDKNGCRFLQEQYPAVSDGGIHDEIFRRMVEDRSMFLSMCRNMFGNFFVQRMVECSNTEEQEIVMEHLVTDMFALCLDKSACRVIQLAIQKLETHLASRLAAELREADLVRLSIDQNGNHVIQKIIKTLPVSAWDFVVNFFCNDDNLIAVCQDKYGCRVVQSTIEKLSEDPHTECYNQRLHLLQQLMVGITRNCGQLASNEFANYVVQHVVKCGGAMETFRDIIIEQCLLRNLLSMSQEKYASHVVEIAFLRAPHRLAFEMMEEIFEGYIPHPETNRDALDILLFHQYGNYVIQQMINICCTAILGQSERHLEQKEIEQYTKWLERIKERVTRNSHRLERFSSGKKIIEALHASATGTQLTPPNSQYH >CRE01851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2642027:2647808:1 gene:WBGene00053351 transcript:CRE01851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01851 MDEKTPRLGAPFPFMCPTSSPSSAVFPTLQIFPNMQNMQQQFHFYQLMQMVQQQQHQHQRLSAGASPVNSDQASSRSESPQSKKLILDEDGCATCSVCTEKVAEAEWNSHIELEKEKLITYITSTKEKRERENTPDHFDQKRKRELELQRIRNNQHKRQSLKRGLVRDCLTPFSRQSNDETGSSESPDMKKDDEFFMKCSTCHQPCPYAIVISAFDRPKCQLCFDLVRAAVLTSSGSPVSAAIKEDHEQDSMPVCKKMKIENMIDASA >CRE01850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2633202:2637153:1 gene:WBGene00053353 transcript:CRE01850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dna-2 description:CRE-DNA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LFV2] MESRKRQLTSLDSQIPETKRLKEEIKENVDDEDSNDSFENFCTTAAKNPSEKKASPRARSQRITLAEVDDDDSFDAPICSKPLPVSTKSTKLESGVDGFPCLFDEDNVIYLTVKLVDKREEGCIDLECVDKNGKTRLVYLQDRWSELDIEVGNTINLIGPEKWGDDDYIANDTKGIVILNPNALVPCTAVASSLFCSRKVILNDKFKFGNASNKAMLLGTIIHEIFQTAITSKKRPLVEDNLLQIWNTQAPKYAEELVALSFTPSCLNVELKPYFSVICDWINKHYPLSNSFFTKREQLPSKSELLEVYDIEENIWDSKLGLKGKVDVTMRTKSKKGMESLELKTGKSNSSSEHSGQVLLYCLMQSSRYEQPIGFGNILYLKDGISRCVTPRAAELSGILQQRNMLSVFFEDPTMSRLPPPRLESRFCDKCDHKVMCSFYQRAEENYGKSSKALKEFAENEMAHLDHTHIDYVSKWIRWISAEWKCERERMQTQNKDLWLKTIPERVEEGACMQELTPISEEMSNSQRIIISFSRKSSSNPSPFRPGDVCLLSNKKNVAIAFTVIDYVSDDVIKVSSDKIVKSRYEAPFHLDKYTSMSTHSTTLGNLIYFLQNDEIGNRLRNVLVDLLPPSIVDTSVFNITPAVKKIIVKAKLNNEQRRAIILALSTEDYMIVEGLPGSGETIQILTGRNDFISGKTTLISVLIQCLLATNKKVLLTAFTHSAVDNILGKLTKEVSPEIILRLGSSSTINEEIRKMTLKAKLESESSDNYYASVRRVMKTSPVVACTCHHVPRELLFSYRHFDVVIVDEASMVLEPLLLPVLATSKKFVLVGDCKQLTPLVVSRKAKQEGAEVSTMEKLQKAHSNVVVSLTSQYRMNREISMLSSKLFYENRLLCGNEAVSRSSLDRTGDYSVPEGLDEHIRKTLSGDISDSCVFLDTQSSLNHSMQCNDEDGGGMSNDGEAKIISGLCKRFIQSGVKSSEIGVMSAYRKQVDHIKTVVQNDSLEVNTIDSYQGREKRVIIWSLTWTDNSSKKSELLRDERRINVALTRARQKIVVVGCKKSAESIPVLYRLSQMLTNNLTIHH >CRE02246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2632317:2632866:-1 gene:WBGene00053354 transcript:CRE02246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02246 MSSSDDDHSHRIRSRSRTLGSEKFIEFDSSSSSMDSTRSRWRIVSKKKLSRNLKLLRGYLGVVQREIAERKLGILKFAVYHQLPSSPLLENMKTEITLACVFRSNRGKFTHFPIVEYINTHENIEYYVDTGSSKITKKFGSIEDLLVNYCRQ >CRE01849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2628197:2629396:1 gene:WBGene00053355 transcript:CRE01849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01849 MDSERRSREYTPTSGTSSGSSEDGRDSRNSSVLTYEEGEVESQPGSPIRFPMNFFGDGFVVAQPVVPREMFLPPPPRMNRNDDRERSPMSPEREYSPARSDSTDGTNWEQELIIEDQRDEIHDLKRKLGESRRECDRIMYEYRQENSARKDYEDKYRDATQEVHKKDMKITKLNYDLIITKEDNNVLVDETVNLTDIVEQYFESKEIEIRLVVGSVFFNSFVNYRERKRVERKLTRKRQENKRLRSKIKEIKNGEEYMKTIEKERRRADNYRKMMLNMSSQLREDGADKKGEVPAWKTCEICLREYSEQRDLIPRVLSCGHTVCHSCLVNLYTDPEGIKCPFDRTLTEIKNNDVSTLPKNYSILQM >CRE02240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2607167:2609555:-1 gene:WBGene00053357 transcript:CRE02240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02240 MADAVFPTQATAQTRYISLFGTRTRFVIMVLILLCLTSIWSNILAFNFAVICMDDDDSEVETSLNGTRKTHFTSTQNSLAMSIVAIAALLGNFPIVQLVGMVGIRTVFAGLGILSAVSTLLIPLSIRMGFYYFLAVRFLQGIAFAANFPVIGSFCAKWSYFKQNGLFVSSLVAYVQLSPAITMPASGALCSAFKWPSIFYAHGAVSLLLFVTYALFYRNSPQKHPFVGNVELKKISIGKIATVDKRALKKVPYGPILRTPAIWAVWVAAIGNFTCVNMMFLFSPVYLSKVLGFPVHSTGITAAIPPFLQFMSKLFCGAVSDRLTCLPEIIKFRIFNSIAFIGSGAFLTVLAFMGDEHKDYNMIVLGCAAAMLGATTGGFFKAGPVLSKQYSHFVTGNISLGITITMLIVPFFVNALTHNNTQEEWKYVFLITASVMFICNIFFFIFVKGEACEWTSDSFHRASSVADLERNSVRNPTISTVSRNVEDVPEKL >CRE01848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2598999:2606416:1 gene:WBGene00053358 transcript:CRE01848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clh-1 description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:E3LFU3] MGDVGVVDEELHHRRRGDDTSSDQSSLPSDIVQFKARPHMLMDDVECLPIEPTEKDWNDYRDRVQENADHMELLGDDESSDTEDQNEIDEEYDTYYADMKSSRRETTKEFLTRQFQNIVHFFVEDWFISAALGFITAIFSIFIDMGIEYLIHFRNFLLETLEQYNNYAAFLGWVFYITGLVYIAALVCYGFGKQAVGSGIPEVKVIIHGFQLKNYLSGKTLIAKMIGLTLTIGSGLPVGKEGPFVHIGAIVASLLNKVTAACQYNAFFSNEGRAMEMLSIGCAVGIACTFSAPMGAVLYGIESTSKYFAVKNYWRSFFATTCSAMLFRFAIAFFVPQHIAGTITAYYQTYFPNEVFVVEELPFFIGLGIMTGLLGALFVYYHRRIAFFKRRNRIFQAIFGKSPILFTVCCTAIFAVLVYPNGLGSYVAGKYTFRETLVDFLSNCTLSKTTNGSDGCPPHVLEHWSGPEGDMNPINSLLIYFLFYFIIVPICITLYIPSGIFVPCFVIGACGGRIFGEIISMAWPHGLRGLGQPQIYPGLYAVVGAASFTGSVTHSLSIALIVCETTGQLCALLPVLIALMISNAICAFLQPSIYDSIIKINGYPYLADLPPSRMSVHQMKVERIMVKDMYYITRETTYRELREMLLETPTLRSYPFVTDSRSMTLLGSVARKYLLYLIQRKLGPEPELFGHRRSYRTPAIKSKIVSRTASEIFSTIHNLRRGSLAANGGHMSSGNALMTDRNISGNTLLPQSPLHEDQGDRSPLAPLLYAQTNQHEPIVHSLAKRAEILSKKLDMEEVAIDPAPFQLVRGTSLYKVHTLFSLLALNHAYVTEKGRLVGVVAVKELREALSNIYSRGAVVPRPRIRTSTFRLNPDQDLEQQLMNGQMNGENTVDATTVRTQRVTIETPDAADDEEKRNN >CRE01846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2592779:2593682:1 gene:WBGene00053361 transcript:CRE01846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01846 MTLDNLDKVLLVIDDCGFDDFGENVVLCRPISNGGSRATFKESVRVAETCYHYIRQMTKRAEIAGYGKGIAANILLATAVKLHDEYCYSFSVIFVEESIIDIKKEFEESHWIGTEFMISWFRKKMMAETYQQYGLHKAEFGENIKKLEKTQIIVFTDDDYDSIYGKYGNLVEKGKKKKLFTHMKPANSSNPDSGKSHYTFRHSNEGECAENAKIPLTSVMII >CRE02239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2589050:2591484:-1 gene:WBGene00053362 transcript:CRE02239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02239 MVRNIFWSEPENCPVVYIAGVCERPRTTKAKATYGVCWGENDSRNTFGRVKGPQTELRAELTSLKMALETAIRLDASKVLIASTSTRIYTELEVLEAKDSDYTSSLNSDLLSELQRLLSMAFDLKYYLISVPAFPDIRANGYRLALQLATRGETLGSPIPMGFDIPTVAILGACKQEEQGNTVASFAVYWKEDNGGNTFGLVEGKQTELRATLLALRVALTTAIDKGLFGVMIITRSQDVAKLLATIRSTDQPDFCGKSNSDIVVKCRQLCLNIEKMTVKHYTAKEESFVLDKAAEILHEGVKMLEKSQELNETADGTVPLVVDSNEVAEPDNRAELVNAPISTKKVYTVAVIGMCADDGNTKSSYAVYWGHEDSRNKFELIDGKSSEIGAELHAVRDVLKTAQAQGISRVHLKTRFKKFQWWFEQNKDKSDGEGAVQECRAVMAECQAAVKKLRKVTIGFLNPELPERKSAMSYAELAKLRIESETELNTSTLVPLQPPINDELMGKFVSNVLPPVEEKISLAHKRVEEHRLLQHSDSNEYVEEKLSDMNTSIGQQGPADPECRSEIPTVYIGGSCRGRGHQNAIGIYSVFWSLGASGSIQGPVTGNVNNIRAKLMAVKVAIDQALKSEFFSLIVRTNDHMVFDTLHHLNSPIGNKDLIEDIESLTTKMENVTFEMSTGDDIKCNILTDKLAEAIINSFVI >CRE02238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2584634:2586837:-1 gene:WBGene00053364 transcript:CRE02238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02238 MLDPRWENDEGTPIVYIFGTSAHEGQQHARAGFGIDWGPKMAFSKGGTAIGDKTELRAELTAVLTVLETPIIIEKADESQIPIFKNAAICSRRVAGMHSTSVEPLFDEHHNDGYRIVDIYGSCNDGQSNALAGYGVYWGDGDVRNECGGVVGLQSSYRAIFTALYRALKTALSASYDEIIIRSCSTDVDRCIKDCRVPMTKNKDIISEVKTALTCFKVRSEFFDRLNPDLQNVFHVRLSRNNSIKGHQEAEALANCRSGNRSAHISIFGAETSNDGIARYGIFWKHGDQRNGRGWIDGKHGQLCAELTALEIGIKFVLKENYQHLTIYTHSEHFKEFLKNWRNWKENDWFPATGRSIECKKTCNNLNLALDKITIHVGEGPRSCVEEARKLALSIPGFITVRTFGSIQTGNNESVARYAVIWTADPSKDEYGFVDGTLCPIKAKQTGIIRALELAILHNISKLMIETDLIEAEGVDQKFKKKLKVLESQLEKVHYKTHASDEFTRLLETM >CRE02237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2581245:2584538:-1 gene:WBGene00053365 transcript:CRE02237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02237 MVNVPQEYKNEDNAPIVYISGVCSHEDFHDARAGFGIDWGPNYSFCKGGPSLGAKTTIRAYLTAVLTVLETALANDVSAICIRHQSEKLQKEMRMLDHTRNLCNNVQLNGSLNVDILEDIRALMPQLVIPYKLFSKRFSFQKVNIERKHSDFFSLFKTADISAKRVAGLHSTAAEPLNDKHYENGVRIVDVHGKCNDRHKNPIAGYGVFWDYNDPRNRSGICGGRANTNQAYFTALYFALLTAHTSNHDEIIIRTRSDTIRCYLKERQANKLKTQCSRLYQELNQLRSRFKLFEQFAINESGLQKLECVYVERNVRGVRGLAEAEQLSIIARYQTAHVSICGIETSSDRIGRYGIFWGEGDEKNSFGWFGGYHSQLCLEIAALVIAVRQAIEKGCMHLTVYTDSDTLLPYLTTWRQMFKADNWPPLTINHETCVKNSKILDGLLKIITVEARSGHEAFIKGAREQAELVEGCTQVQTFGMLIPGNNEPTAKYGIYWEHRDEKNFVEIIPVEHCLKRTKLTAVRVALEMVSSFKKWKARLLTLFKALKHHITRLIVKTDLEKHEEIENEIAAEIQYLSTRFEVYSIHYIEYQVHDASNFYRQHKDLS >CRE02235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2577597:2579606:-1 gene:WBGene00053366 transcript:CRE02235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02235 MFYLRRILLPQVPSTSTRTFFQVSAAFTYSNESIRRFTTSSDQTEEHLGKFVRVETTGYSFTDPNGKRVAKYGIYWGKDDPRNGIRTLPDGSSNIAAMLTAILEAINIAREEDPTPSLLIYSDLTSCETLLRDLSVWAKRDFYSRNHAMKLKNAEILNELFVAVQGLHLKIKHRATNTPEEPINRVTSAILETANKKIKEKTEIQVDKEKEEVNVGTTLDKTGNLAKEESQNWQKVYVAMRCRNNEGFVSAGFCTHWLDGSTARGKSFRYAPFPVTPFRAELAAIEEALKEAVDAELENVTIVTSSAHFMSGWRRRWVRTSEDHVPFTGRVFYERICDLCSRLKQVHFRYEEEDSESELGKELEKKCNQGLSYALIGKDTSEYELQIDDLVREKEYRQDGIPVVRLFKTGTDLNAGYVWEDDEKKDGVSCCGTPAVLIRILEEAIDRNEDAIIIRADSEKLIKSFEAHLEVFTVWRRNGWRNSQHKRIGKSAEWEKAWKLKQKVHVTWEIMDTIDDNDRQQNERIPVYEKRSVL >CRE02234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2566525:2566981:-1 gene:WBGene00053367 transcript:CRE02234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02234 MLRSTFVLALLILSIVGLMQCQPISQDNNLYGYNELEEAEAPYLMNLLKRTAVFFPSGNHDKMIKAILKSRRY >CRE02233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2560808:2563170:-1 gene:WBGene00053368 transcript:CRE02233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02233 MGDNLVYAVRSSQGFYLKRGLGTDAVTVFEQNNSSKEVACNVFAYSNNGQLFAYCDNQVTRVFEIATNKEVLCLELKRTRKILFSPKDNFLLTFEPWAIYGAKTSENQKPEPNVRVYSLADGKHVSTFSAPKESSWEPQFSDDETLAARMVGSEIFFYTNMSFERYDHKLVEKGATSFALSPGSAPNHVAVYVPAVSSNPARVRVHKVSASFPVVANRTFYKSDKAVVTWNQRGNALLILASVDVDKTNQSYYGEQSLFLINIQSGDSVIVPLEKQGPIYAAKWNPNGREFAVCYGYMPAKVTFYNQKGVPIFDLLEGPRNDVFYNAFGNIVLICGFGNISKGKMEFWDVENKKEIISIEVPNTTLFDWAPDGQHFVTCTTAPRLRIDNCYRFWHYTGRMLAETHFDSPKEELWEVRWRPMMGYNKFAIKELTKTDKMAAGLPIKKKDASHPLNNVPAGAVRQAGAYIPPHLRKPLGGGGSAGPPTAVPVAPTNGNQNQRPPQRFNANGNGNGNAPQPFRPQQSEQDRKLFQLKKKVEEIKVLKQKVANGDHLQPNQLDKIKREEEYIADIAKLSI >CRE01845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2558779:2560778:1 gene:WBGene00053369 transcript:CRE01845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01845 MDTTTELMSAEEEEVICGLYEGYSTQRFIIITICTTVALFGVFANLLLMAVFRRSLPSSIFLATLATCDCLICLTYTLLFGVDAGIWYRKNTVIQRSSSFIIDTLSLFSSSPKSFNSRYRSFSFSLLLRDIYGLAVNREGLILLYFRTITHLFQTNVLSDIQRKRTNCHCNLLLYAMKVSGFRSLMHSNYTRVQVKTFPGCDDYFRSESVAGTSFAATEAYEIYDFHVITAIQMIFPFVVLLLLNFTIIKRLVAEKRENMYPILRSAGTTTEVKKTSFVQGNLPENYVLLQVAADVIKESLIHSSVAVSSEIVQNEDAEDDDIDCSVDVDMPRHRPSIFHTFVLALRESSRSKRSQLRNAIYTMLAIVTSYLVCNGVHLFLTILERFDPSYLYDSVDSSQSSTFYIGEFDMIKPECFVFFSVLSDTVSICYMISSAIRILIYAKCNPKLRQEISDYVKREKSIETNSS >CRE02232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2545041:2548206:-1 gene:WBGene00053370 transcript:CRE02232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cut-4 description:CRE-CUT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LFT2] MRHFIGLLTVFLLPTVCFCGYSTAPSSTVSIDNSLIGEPEVVCETASISLLFKTRNSFNGKVFVKGYVSEPSCMTVGDGKTAHRFEVRHDSCGVRRQREINGVVISATVIISFHSIFITKIDRAYRVSCFYVEGTKKVHNHVDISALTTQLLESQTQLPVCRYEIMNEAGGSPIKYARIGDQVYHKWTCVAELENVYCMKVHSCTVYDGQGGPPVTVIDANGCSVDGVILQNLEYTSDLTAGKTAPVFKFADKAGLYFNCQIQLTIKDGNYGCANTQPQCPSSQYVVEPAQKTTETAEPYPYDSHESGYPTRPANYQVASSRYPIPTTEAPASYPSSPAPPPPGANIDNSYPEPQPIYISGIPDNSYDAVVGYNDTEQPFTTSAAYTEDGVYSRLIKRNVVESSEQISSDGKKRPVTVGDIDLPERGILVFGLEEMEDGETTNGGDHGATRALREARRSQEKTCFSTSRMYFTLILLCLLFAATVVVFIVIVQKQRQILAQTAFFKP >CRE02231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2543548:2544573:-1 gene:WBGene00053371 transcript:CRE02231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02231 MLSLKFSLCLAFCLALSEAVDTTTTDITSTGGSTASPGTTVSGASDATTAASGATTASTCADDPNTDCSQYKSLCSNAKYTPLLQQFCPKTCGFCGGGSTSAPVSCVDSSTNCANWDKNGFCSSTFYNCAQKKQYCAKTCNLCSTTC >CRE02230.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2538559:2539809:-1 gene:WBGene00053372 transcript:CRE02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02230 MYRVLLSGAAGSGKGTIARMLVREFEPLGFNYFAAGDFIRDHIARGTEFGLRAQSFLNKGEHVPDSILNGAILAEMLKAGPRVVLDGYPRNMSQLKMVEEQAPLNLVVELKVPRKVLIDRLSKQLVHPASGRAYNLEVNPPKEEGKDDFTGEPLFKRSTDQLEVARRRLEVYDKTENKVLDYYKKQNKCITLSGESSKAVFESVAEVMRRDLIPAPRTAYA >CRE02230.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2538802:2539809:-1 gene:WBGene00053372 transcript:CRE02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02230 MYRVLLSGAAGSGKGTIARMLVREFEPLGFNYFAAGDFIRDHIARGTEFGLRAQSFLNKGEHVPDSILNGAILAEMLKAGPRVVLDGYPRNMSQLKMVEEQAPLNLVVELKVPRKVLIDRLSKQLVHPASGRAYNLEVNPPKEEGKDDFTGEPLFKRSTDQLEVARRRLEVYDKTENKVLDYYKKQNKCITLSGESSKAVFESVAEVMRRDLIPAPRTAYA >CRE02229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2536425:2537855:-1 gene:WBGene00053373 transcript:CRE02229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-56 MPRVGQRGTMPVTAEHVRYHRTTTLLITQFLQYHKLSSETVDDHSFKSLCRHLNPLVQIPSPELVDNMHIELGHDQLLYNRFPPCILCGIESTKHKTITLNDEVAAIFLTTAVISDKISIKQAKHAMLCSKLNLCEVHVSPLKTAMYECIGGDSCSDFDDIPDYAFLGGLAVFIKLMTIRQIFDEEPVKKISEVGYRDLLRCWMSQDLSKAPLQTDLMYNAHSTRPAPSKPSTSLKQSVAAFYESLRKTAPETVPGSLNVPDEEEGPGLKKVKLEEPDFNEDVSSSEPSSSVPTPSLIPLNVAVYTLKNGKKVVKAPAGLAPAAAMLTKNTGRLQPTTIELTTIILQFIYTVSSDLNYLFQV >CRE02228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2528543:2530108:-1 gene:WBGene00053374 transcript:CRE02228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02228 MFCCTRAQRKKAAEKISGSLRKHDSGPMFARLEEFDILTPLQTTLAASIASGTSYKNYGSSSPSTSSGSDSRIQLIPPILSSSSSDSPPPPRRPNHGKTLIVPLNASRYRSPMTMNIAGTPDSRLTSFECGTTKSCATIPEDDEDEMNELLSIDRTSLSVYEQPSGRFGYSAYNLTLPQPTPEFQSSSSGFSPMLPAPTYSPPSLPSESPATKPTKIDIPEVLENEEDLDQDPVSVYEFSRVSDPNSPHSSSNSISDSLYFNNFDVISSAANSQIFEASLCGESDVASKFQLHRIEEESENGDEQSGAGVQEPQINSMTNSASQFFPRSISQQFDMMRLQ >CRE02227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2526849:2527910:-1 gene:WBGene00053375 transcript:CRE02227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tnc-2 description:CRE-TNC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LFS7] MGDVVADALEQLSADQIEQFRKYFNMFDKEGKGYIRATQVGQILRTMGQAFEERDLKQLIKEFDADGSGEIEFEEFAAMVANFVVNNENDEGLEEELREAFRLYDKEGNGYINVSDLRDILRALDDNVSEEELDEMIAEIDADGSGTVDFDEFMEMMSGE >CRE01844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2524827:2526599:1 gene:WBGene00053376 transcript:CRE01844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-143 description:CRE-CLEC-143 protein [Source:UniProtKB/TrEMBL;Acc:E3LFS6] MTLETIYRRIGKFGLADFEFQKPAFTETIAVSVALVLIAIYVYVSFFIYSGVFGSPIPQNSDVYADPLCPGNIKNLWLDVVVVVDKSQLMTNSQLWQVRNTISQVFGAVSNIGPTKWPADPRSTCVGVVTYDNSSTVNAEVDASKSFSDLYNVIQSSLVAVDTTNTSYLSSGLLAAEKAFKDSRQRTYRYKFQQVVIAFAADYQGSGTIKDAKPVANRLKNNGVSIITVSCTNDPNASNEIQSLASPGFNFIDEMNTAKLVKQLTNALLSVNCFCPSDYTQYRADYHDPTSTQYGICIKGYIETGGIIDPYQHAIDWCEYEATNGILVNEFTKQKHDFITYYMNDAFGVNKPQEYYIGLRYLKNQWVWEQPTGQERIPLDPNGWTNWAPGYPQANSTGQVIADQPWTKGSSEFVWAPPQTDDFYFVCQAVASSTENFTGQL >CRE02226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2523351:2524349:-1 gene:WBGene00053377 transcript:CRE02226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02226 MTSKTKEETTQTSVEKDSSELEDTNFAGITLTKDQFKLLDSFRDEVNSAINYMCLMGVVTDGFEKFFLSGMSYHKDPKTEIQNSGWRYMAAYLRETKFLKSNQTEATMLGSIHEKLGCIEEEHFYDFFYVEHDSSVFVTSRNHLEDIKKVNYSVEKLLRICNRRRKKVERAMILRDLRAQCGKLSDTVVKLDMKLLQLSNLQRFVKNSGSFHCSHFQHGLSLIQDTILMRCDVIKEEFKKGQQEKNRSTKSKSQGKSKSVDRTVKDLKK >CRE01843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2521720:2523230:1 gene:WBGene00053378 transcript:CRE01843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01843 MKSLAISLLLLVGVSDALFLPIHKLDDVETTTTTVPTGYADRQCDSDLENLWLDVVVVVDNSHGMTSQGLTQVDGNIATVFGYDTRIGVRDYEPKTTRVGLVSYNADAKILAGLDTYQSYDDLANGVFDSLNSVSATDESYLAKGLSAAEKVFEEGKSTANRTQYKKVVIVYASSYKGTGELNPVPVADRMKTAGVKIITVAFSQNNDDGLLKDLSEIASLDFDFANTDKGVVEEIQGAMLRSNCFCPNGWTQYRESYPVVDSYPYGVCISATVIAASWKTAQLSCRNQWNHAYLVNEYNINKHNYIIEFLQNNTAFSQPYTYHIGLSYSSGTWKWDQPFGISQLPIQEWSYWSPGFPKTDSTLTGVTNVEGQQNGWENVNQQRSPQMYICEVASCDTKNFCAQIDSVF >CRE01842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2518082:2519637:1 gene:WBGene00053379 transcript:CRE01842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-60 description:CRE-CLEC-60 protein [Source:UniProtKB/TrEMBL;Acc:E3LFS3] MKLFSLLVLIGVYGCFSQYTDSPAYYDRRCGEDLGNLWLEVVAVVDNSKGMTNGGLISIAANIASVFSNNTRIGTNPNEPRTTRLGLVTYNAVANTVADLDQFQSLDDVYDGIFGGLAQVSSSDESYLAHGLAQAEIILEAGQTAVNRSHYERVVIVYASTYKGSGSLDPIPVADRLKTAGVTIITVAYDQDGDGALLHDLQKISTPPYNFANTDQAGNTIGEIQGALLQVNCFCPNGWTQYRASFTDVYSYRYGVCIQPTNLNAVWRAAQSACRFKWKNGYLATEFDANKHDFILEAIRNETGFIQPFSYHIGLNYVKGVWVWDQPVGWPQPQLQTYYAWNPGYPITSSTLTGVLNQQRSSDVATGWQNISPLSTGANYICETASCDTENYCSSVKSD >CRE01841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2516165:2516694:1 gene:WBGene00053380 transcript:CRE01841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01841 MFSQTMMDSDSIPELYHILLKNLHFFIPAFVLFAIEIGLSSYQNQYQQILTIEYSTLLLILMIFSIPLSVINPPIWFTLYMTTFIHMLHFKEHYLLSIIATVIMFLSIFYHEMRSISKKKTGSKSENLRITIKLKTS >CRE01840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2513251:2514968:1 gene:WBGene00053381 transcript:CRE01840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-61 description:CRE-CLEC-61 protein [Source:UniProtKB/TrEMBL;Acc:E3LFS1] MNPDLLSVNSHFFNMTCNSFLLCFLVAVACKCSWETRKRNAMFLVTVCLGGPVSRASNCKDSYVDRVCGEDQTNLWLDIVFVVDNSEGMTNAGITSVSDGGIYELKETGNVAAQISSLFVAGQQLGIQSNNPRTTRVGIITYNQGATVAADLNKFTSVDDLTDGVFNVLNKVSNVQDSYLHAGLQAANDLLEQQSFNTDRGHYQKLVIVYASEYKQSGTQDPLPLAIRMQQTISISTVAYGQDDNLGFLAELSKIATPGYNFTNQQGENTVSELRSTMLQVNCYCPNGWFQMRQSYANENSFKYGVCLLPVTLQATWTASKFSCRNHWNKAYLVNEYTQTKHDYVLQIVRNTSAFTQPYTYFNGLSYVSGNWQWEQPDGQDPIIVSFFHYVFCINIAIQLQNWSDWNPGYPTASSTATVGVNVQTSSSSLTTGWQNTRKDLTNMYICEVSTCDSTNYCKAEDI >CRE01839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2512368:2512859:1 gene:WBGene00053382 transcript:CRE01839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01839 MKKMSISPIQGDIRPDVEELMEIKEEEEEDKITEEQSSRTPKLVLVITIPYVIILPLFSRNLHDWYTTSLLCLFICFTLDLVAIGLRFYPILRPLSSLEAASLTAMLLFYAKYICLKYQSPLSYSLFSLFFLIILPAYYRCFLLLKLKTTHKKMFKAMVEDDF >CRE01838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2505965:2510690:1 gene:WBGene00053383 transcript:CRE01838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-myrf-1 description:CRE-PQN-47 protein [Source:UniProtKB/TrEMBL;Acc:E3LFR9] MSNSDLLKGEFDLSNTDNFNIMQYLGATEAGYDTNEEDGSMVSPTSSAGSIHQNQGVQQQMLLRQQNQNFPGYFQNRRLPDSPPMTDLCGNASSTSSSSHHSDPMFSPNEFNGYNGANNNNNQSMILGVHDLPHQQRGNQMMASQQALQQQQSRQQQSQQQSQAFWNQTSAQQTPLPQLALFNILQDSGMSSPVLDMPRKRSRLDTPCETPRNVTSYSGLDGFTDENYSQQQAIRFSKFQEDQWNPLFDVNAHVLQQLQVHVVADKGFNYSHSDNCFVNQKKNHFQVSVNVEASDVMPPKYVSFNGRLVPIRDFKLAFSGVKAEMPTSEITIRQSRADRKPHPHTPVLFEIQERRMTKVCVPRLHFSETTLNNQRKNYRPNPEQKYFLLVVRLFASIDDETSVLIQSYASEKVIVRATNPGSFDPPDSDVAWQRSGGTLYTPGQVAIGTERPADNARLTVTGDIYCSGRVINPSDIRLKEGISEKETAEAIENLLKLRVVDYRYKSEVADVWGLDEQQRQRTGLIAQELQAVLPDAVRDIGDYLTIDEGRVFYETVMATQQLCRMTGDLDNKIDEKVAEISKRLSEYAIRKKLASSMGSNLNGDNKSLSFSRCSLTSTATNATSQVNKRRSRKNKIIKQARSCGSRISQGTVVTLVSIMAMCLLAMSALYILDWHNRNYGYHQHREHGPKPDMANLVIPCEFSRFVYLNVTCSFTAIDMQPSALVILEKCFTPTCKTYCCTDDPPEVVDTGAIETHGLQNDVKVPAEQSAVNKTNALARSQLLNPISFGTGVEIKIPMLNITIDQRYCYMRSCNKKRGVYNLYIPVSKYMPDGGIEIEINTPMSKVIGNCGALPSAEFVPKECTINKRQQSKSPTSIRLFDNLFEINMGSFIQSAYRFRVGYSTESCFTDETNGMYEEYNLIFYRTCIISPP >CRE01837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2500901:2501694:1 gene:WBGene00053384 transcript:CRE01837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01837 MALGTPCTPKFPHRTVGPTTPQRTNRLITHFPKATNDICQTPPKYHVESTFDAPLRQNGSQRASCRQLYSQTNANDSPTAKRSHTQVINTGSQPVTNRFNNNVFAFLDNFKSTVGLVCRDSNKENNFKFHSPKLPKTNMSSAVNGKGTSVFAVFHESEPMDIEFNENYRNYMNDSMEIDNDDDISTMEVDSIVDMESFMEYIPMH >CRE01836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2498387:2500414:1 gene:WBGene00053385 transcript:CRE01836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01836 MRPSSASTPLSSSALNYLESLERNAKALRGNNNRKDESSSITSTSKPTSSIAPVKRRESFSEIINQARRQASLSRTDSEDFDFLNESLKSSVFSDSSDSESEESHEESHEGKRILPLGTPGQQVGRKSLKDIRELRNSIDQSLIKTPELKMLRNKVTEDSKKHAHFESIREEEVPEVIPKTQLPSKSPFKKAIQSGSESSDSDSIIFDEVFEEVLPSPPRKPTPVRSHTAPAAVVQPVTAQKPMVEKKETKKKKEKTPTPTSSSSESSSESKSESSSDSESSESSSSESSSSSSSSPKSSASKASTKQDYTSDFGSEKSSSSPIPKVTIEKPPLKNSNKPKENPKKPSPVPYEDFIPKIGSSSDSGKSTVRETHRTFEESIDKLKLEKKKEKEEKNPAKEERNKSFEKKLQIKERTARRSSSSASSSTVGETEKEMEISKRRQKKFRSRRMRSEGIFTFLKYFQFIQISEPQTCQTNIHNMIESVIDTHVQMLDEFNQMEYAAVCEWTQVLRRFDRHDGPTSQRLREIVQQRLNRKY >CRE02225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2495710:2497348:-1 gene:WBGene00053386 transcript:CRE02225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02225 MSNSRWDGYQQATQAPALNRQFDTQSNFLAADSFVRSGLVGQQINTIDPSFYDCIYGVANSANTVIERCYKDIGCCSDGCCKNGTWHNRYGWAVALIVIFCILVIVAFVIWLVVWLFNRSKDKQQKRELYEHYEENNYSGLPTPQPTPTHFPAEQYSYDPARDRDNYRY >CRE01835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2490755:2494644:1 gene:WBGene00053387 transcript:CRE01835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01835 MANYHLMVPNPSRNAQIPNDTQRPMYGEPHPSLFANMNNKKVVVAAACTLPADSLFAVMLYLIYPDSFLPSTLFIVNFSLVLLALLGINSKVSSMILPALVWKCVLLLFLLFLGCISVDAYQVPSAELEEAHVPTAQVFLNILNRKQENCPFQESTSPHRSMMVWKDLAAKYPMLPFIAVACTIVLAVEARVFFSAWQKICCPAVVNDDANLEKSPPSYNACVRATASEKDLPSYEDALKTCSNSSSSQNPSSSATSSSSSSQRPPHSVYTIPDVKVHKSPSTQNCRSSPSSSKMTVISL >CRE01834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2488465:2488975:1 gene:WBGene00053389 transcript:CRE01834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01834 MRSLILLLALFVFCAAFDKFSISKDKGVRANLKHPISRRVLRYERSVAYEDFPTPGEMAPKYVPIMDFAKVRPEIPSKTKHNSEFADAEYQPDLDF >CRE01833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2487296:2487577:1 gene:WBGene00053390 transcript:CRE01833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01833 MIVFRKIFFEEHVKMTDKPAIDQLFDEVSQIFWIVLFFYIIVSLIWIMYCCYINKMELNLVEKKIEENGVARSRELQ >CRE02223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2477587:2480418:-1 gene:WBGene00053391 transcript:CRE02223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mab-10 description:CRE-MAB-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LFR1] MPSSSSLSTSSASTTTSSLATSKPAIHHLESILNTSTSSSPSLLSSITASSTPSLSTTPLSSQRQPSSSSSNTDRPVSPKPMPTPTTLSEWQLLAVLSKANLVQYYDVFIAQGGDDINQIMACEEREFLEIMNLVGMLSKPLHVRRMQRALTEYSQDRTAFNLAALQQIGPPPPLNYTPPGTDPMALLLPGIAAATSPNFPSLRFLSQLSSAASSATEKGTTSSEAADTSSSSPSLNLNTSSNSVPLALKFPNILLESLATDQQQSRPSIATSSSSNPSNTELTSGITTVPSSRATANISSGNSIGLNFSSSSIARHIVPSSSSGTSTPTFPSSSFGRPPISSQEKEGSSSPFLTGFTSPYGNDFVSLGDFDPNNPSQTDSPVLSPAQIARLAECALAASKNLPPLPPRLVQNKKRVSKEVIELLKCTPATPSLINSFRKYSAIYGRFDTKRKPHKVLTLHETTVNEAAAQLCLLVPSLLTRRDELFPLARQIVKDAGYNYAKSRKRPCDPADLHSPISSPSNSPPPNDSEFDEQPSSSSNVFKEDDKAIPPEAWAALIEKMKDEIPESL >CRE02222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2475254:2476565:-1 gene:WBGene00053392 transcript:CRE02222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02222 MPNPPPKEDTWAFQPIGAPFPPSPVKCMGEQNMYVALWYKHGKPIHGRSWNNGGVVECSFPYKEAELTTKQQLEGQIQVLQYLGDHNNQGFWYEWIKYKDRIEKLDDKHQLVRCGDSFPIFWKRAEGNLLGYVDNKTEEAWFSFNGKVLKQVGPQLNDMYIITRNCVGGPPHCPCAECGQGPPKSVPRVERDEWMDIREGDPWPTRTLVKALDKTLDTLPGVNPDQYVALWYMQGEPVMGRVWNEGGKIAANFSWFNNEYCKNVGSIQLLVHLPDSVRGFDYGWIPFPEAAKFGDKEWHPVHVNNHKGDISVGVVNVSGKQILAKVDVRNEKYGYGYQGKEHSASAKACADNTIVLCRKAKPGYKLDG >CRE02221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2473791:2474674:-1 gene:WBGene00053393 transcript:CRE02221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02221 MFGQCIPKTADPVEIEIKYAELCLLVEKEQQEHEETKNKLVDKDVELNAATEFARIATEENRTLQYDRQMMFRNIAAIKDRNRVNAIEYNRTINSLREKLLDVDKDARAPLLELNAQKDAEICELENTIEEMRKHNPVLQELQIINELRTEHEKDKEEIKNLNEKMNKVRNREVESNERFHREIAERDAWIQSLLHYQEPEVEEDFKENYQLDANQQHYHSTQNNLQEQQLYQRDVRCLNELNQDDEQLARNFGNQLCIDDAQFAGNNFHNIQ >CRE01832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2471482:2473535:1 gene:WBGene00053394 transcript:CRE01832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01832 MADAVAPAAGPEAVPEAQAGGVWQLIKQAVTIYFFVLMVTSFFRPSTPGANTNVTSSAPGNKAANLFHNNQLFDLYVYLDENDLPFKQFDSAPEKLIWMRNAMKYDDWTSGDNEDGSFTIHKTFPTPEALLRNESMYLHTFIVKTGQSPNPRDRNYFKNQVIYKMHQLNKYKKKYYKKTANLLTGESDQSEEDLAKAHIMKYEVLNHWHPNISISLIVDQTPWVRGSIPPPLNEDIEFNEDGSVYKPILFYNNWWNLGADYQPINETVKELNLTITYYPMSVFKYQMYASQKMQSKWQSMLSMEGVDSDGEEHDSMKQALLETNPILLAVTMIVSLLHTVFEFLAFKNDIQFWNNRKDLVGLSVRSVLFNIFQSLIVFLYICDNETNTMVKFTVGIGLLIECWKIPKVMNVSLDRQNKWFGIIPRITIADKGSYVESETKVYDQMAFKYLGCALFPLLVGYAIYSVIYVEQKGWYSWILNMLYGFLLTFGFIAMTPQLFINYKLKSVAHLPWRMLTYKFINTFIDDLFAFVIRMPLLYRIGCFRDDIIFLIYLYQRWAYRVDPSRLNEFGTSLEKEIGAAPVEGSQPDSIQGQEQNSGGDNVVEDKKTK >CRE01831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2470447:2471359:1 gene:WBGene00053395 transcript:CRE01831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01831 MTLANIEMAVYCFDVINAQLNRQKEPAVPREIPNVKLPLFVTWKKGVHHDLRGCIGTFSDLKLGDGLNEYAKTSAFHDSRFKPIGKEEVPSLQCGVSLLVNFEKIHDFRDWTIGRHGVRMNFDDGHRTRSAVFLPEVASEQGWNHVETIDSLIRKSGYGDRIDDSLRASLRIVRFQSSKIVLDYKDYVNYKQSHGLPIPH >CRE01830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2468305:2470246:1 gene:WBGene00053396 transcript:CRE01830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pro-1 description:CRE-PRO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFQ6] MAYLGVDTSRIAGTQPLEMLLVSSGSPDPHSTIIIDPRTGVSSWSYKGSELQGASTGLVEPLGNHGESIVVTTKERPLVHVVSVNPKGRIHQKCVLPGPVSAICSDSSGRFVLMSIKRQLYCWLLSTGELLSVIDAHYQNITNIVISDDDSMVFTASKDGAVHGYLFTELVSSDRDHTVAPFRKWASHTLSIADLKITHGSNPRVLSAGADHIVCLHSISMDSIILKASADRPLTACAIDSAETRIFIGTEVGNIAQINLFQLGPEERDLLIQAGDEHNTKFRVLNGHSDEVSKLTINTDGTLLASGDVSGKYCIWEISSHQCLKVSTMRSTISTLRFIPYWDSISGGDLNFKFRPVWDLRREPTKCDRLAIEVSEEFNSDQKHWSNVIEETIDQMLLESGSKSSAQLQWDAEDPMRKEVEKAEKAAEDPSKSVITLGDDEDDAPEVGNQRRKSGKNNKKNKKLQKKLVAEQALKNDSIVEETEPIVIDDGEESNQRVSELEKSMQELKAENERLKEINRQMYEFVAKEIVDG >CRE01829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2461083:2465664:1 gene:WBGene00053397 transcript:CRE01829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mnk-1 description:CRE-MNK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFQ5] MTILHAGYPQSPIVHFGRHHNLNISHLDLSKLDQADNVFKQVNNSAVGGGGAAFHHLHTSAAPRHVASSEFYDDEEATSPRGGIEIGSGNDKMMANLRRHRQRERQAYEDEEWSSSDESGRPIPRYAGRDTDHVFGEFEMDDDDVEMRSGSGRRQEEKHYYGEETDEDEMEEEEESVSELLPLGGGTRRVPRTPRRKNSSKCGFFDYYKLTDEHLGSGAYGSVTTCKSIKSGQEFAVKIVDKQGETHSRKRILREVNIFKTCKGHPNIVQLLDWFEDDNKFYLVMEKMRGGPLLQHILERGYFTEEEARRVTKDIASALKFMHDRGIAHRDVKPENVLCTDPNHVSPVKLCDLDLASQRQPQHERHPLSQVASEPDLASPVGSAEFMAPEVVDAYVGDALKYDKKCDTWSLGVILYIMLAGYAPFQGVCDDQDCGWSEGKPCEDCQQDLFHRIQDGYYEFPEEEWGMISEEAKDLVSNLLKRDPVDRFNADQILSHRWLQQSAASTILQTPSNLIYRKDSARDVQQMSEHFNLMNRLTDTRLSARFDNKMTMSECASDLGTATYHGDGSFLSMGGEPFGTFPRKKSVGIAIEKSRSGEFTPPISRASPTTPPPSMLDLSQDLTDSPVKRRSADDSGTYSLFSPASSNGEDNIRSPPILFLEMPSIQLFGTDATMLNSVQMTPRHTTEDEASLNSFEDEHEAPNPIHRVETQVNV >CRE02220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2455033:2455347:-1 gene:WBGene00053398 transcript:CRE02220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02220 MTCPYRSTSSAPLIITEQPRRETQREKEPHQPAVQQTSQRIITTQQSQIASLSNTMTHSCVECQLFVVRIRQYRRPGMMCSWYWAWRSRGWEWTSNLCFPGRTC >CRE01828.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2444680:2448452:1 gene:WBGene00053399 transcript:CRE01828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-48.1 description:CRE-CDC-48.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFQ3] MASVPTHQSEKEKKNDELSTAILKDKAKPNRLIVDQSEQDDNSVVSVSQAKMDELGLFRGDAVILKGKKRKESVAIIVSDESCPNEKVRMNRVVRNNLRIRLGDVVSITPAPNLSYGTRIHVLPIDDTIEGLTGNLFDVFLKPYFLEAYRPLHKGDIFTVQAAMRTVEFKVVETEPAPACIVSPDTMIHYEGDPIKREEEEESMNDIGYDDLGGVRKQLAQIKEMVELPLRHPQLFKAIGIKPPRGILLFGPPGTGKTLIARAVANETGSFFFLINGPEVMSKMSGESESNLRKAFEECEKNQPAILFIDEIDAIAPKREKTNGEVERRIVSQLLTLMDGVKGRSNLVVIAATNRPNSIDGALRRFGRFDREIDIGIPDAVGRLEILRIHTKNMKLAEDVDLEQIANECHGFVGADLASLCSEAALQQIREKMELIDLEDDQIDAEVLNSLAVTMENFRFAQGKSSPSALREAVVETPNTTWADIGGLQNVKRELQELVQYPVEHPEKYLKFGMQPSRGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVRDVFDKARAAAPCVLFFDELDSIAKSRGGGAGGDAGGASDRVINQVLTEMDGMNAKKNVFIIGATNRPDIIDPAVLRPGRLDQLIYIPLPDEASRLQILKASLRKTPLSKDLDLTFLAKNTVGFSGADLTEICQRACKLAIRESIEKEIRIEKDRQDRRARGEELMEDDTADPVPEITRAHFEEAMKFARRSVTDNDIRKYEMFAQTLQQSRGFGNNFKFPGEQRGSDAPAAAAPSQDDDDLYN >CRE01828.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2444680:2448077:1 gene:WBGene00053399 transcript:CRE01828.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-48.1 description:CRE-CDC-48.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFQ3] MASVPTHQSEKEKKNDELSTAILKDKAKPNRLIVDQSEQDDNSVVSVSQAKMDELGLFRGDAVILKGKKRKESVAIIVSDESCPNEKVRMNRVVRNNLRIRLGDVVSITPAPNLSYGTRIHVLPIDDTIEGLTGNLFDVFLKPYFLEAYRPLHKGDIFTVQAAMRTVEFKVVETEPAPACIVSPDTMIHYEGDPIKREEEEESMNDIGYDDLGGVRKQLAQIKEMVELPLRHPQLFKAIGIKPPRGILLFGPPGTGKTLIARAVANETGSFFFLINGPEVMSKMSGESESNLRKAFEECEKNQPAILFIDEIDAIAPKREKTNGEVERRIVSQLLTLMDGVKGRSNLVVIAATNRPNSIDGALRRFGRFDREIDIGIPDAVGRLEILRIHTKNMKLAEDVDLEQIANECHGFVGADLASLCSEAALQQIREKMELIDLEDDQIDAEVLNSLAVTMENFRFAQGKSSPSALREAVVETPNTTWADIGGLQNVKRELQELVQYPVEHPEKYLKFGMQPSRGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVRDVFDKARAAAPCVLFFDELDSIAKSRGGGAGGDAGGASDRVINQVLTEMDGMNAKKNVFIIGATNRPDIIDPAVLRPGRLDQLIYIPLPDEASRLQILKASLRKTPLSKDLDLTFLAKNTVGFSGADLTEICQRACKLAIRESIEKEIRIEKDRQDRRARGEELMEDDTADPVPEITRAHFEEAMKFARRSVTDNDIRKYEMFAQTLQQSRGFGNNFKFPGEQRGSDAPAAAAPSQDDDDLYN >CRE01827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2443153:2443705:1 gene:WBGene00053401 transcript:CRE01827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01827 MTKFLILYGMLLAVITISQVQSAVLPVSTTDVTAITSETSIDTLGSSRVKRNGGCGCCGCCGCGGGGGGCGCCCCRPKCCCRCCRCCTCCRTCCCTRCCTCCRPCCCGCGCGCGCGCCGCGGGGRKRRSLQNLRIDEANRALGIKRRPLNDKC >CRE02219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2442343:2442903:-1 gene:WBGene00053402 transcript:CRE02219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02219 MTKLILASSLALIALVAFTQVQSAVLPVSTTDVTAITSETSIDTLGSSRVKRNGGCGCCGCCGCGGGGGGCGCCCCRPKCCCRCCRCCTCCRTCCCTRCCTCCRPCCCGCGCGCGCGCCGCGGGGRKRRSLQNLRVDEANRALGIKRRPSKNVEKC >CRE02218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2441623:2442079:-1 gene:WBGene00053403 transcript:CRE02218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02218 MPDENRVADDNVSFTDVGDPTGGGARQGSSGMLDLLGSLEKKKEAKKNKKKKATKKKKKEKTKYKKVRKVDKYESQNFLYRVEGSMFCAGIIVGLIMILTFIILGIVFSVRTNGNMVSYMSPWWGTADEAKDDSGED >CRE01826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2440620:2441180:1 gene:WBGene00053404 transcript:CRE01826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01826 MTKLILASSLALIALVAFTQVQSAVLPVSTTDVTAITSETSIDTLGSSRVKRNGGCGCCGCCGCGGGGGGCGCCCCRPKCCCRCCRCCTCCRTCCCTRCCTCCRPCCCGCGCGCGCGCCGCGGGGRKRRSLQNLRVDEANRALGIKRRPSKNVEKC >CRE02217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2439434:2440370:-1 gene:WBGene00053405 transcript:CRE02217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02217 MTKFLILYGMLLAVITISQVSVIFRAVLPVFTTEVAAISSETSETSIDTLGPSRVKRNGGCVCYGCGGGERELGSLQNLRIDEANRALGIKRRQLSAENKFILFIIFLFHFVILDFNLQMKISFPSIFLSVSSVLTRIYSSLFLLSHILSTRFSCSSNPILKKLPKCHPLSIPVLFSFFPGVKREREENIEKE >CRE02216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2434583:2435008:-1 gene:WBGene00053406 transcript:CRE02216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02216 MTLCGWPTECTEISCCESYIFRLYLLIFTFAVVIIAIVVSALWMLFEFRPNYRNRLRRQDTEEQHRIDERSFEETKYLRRFSELK >CRE01825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2432155:2433892:1 gene:WBGene00053407 transcript:CRE01825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adbp-1 description:CRE-ADBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFP6] MSFVNELQPVPFETTFGGCDGERMSRENCVNNSNTNAQFESHKRRKSTSVTAKKRAKMTRGDENITDETAKQAATELLDQIAKTPGQITLNLESPEAAGICPLPPLLLQQSKTRWSISLLEEGQLRGLLASNPKNCQPLGLKNIHKGHVETQILPRQMNLKEAIETLKNETRKNDSYVGFGVAVANILRRCNDVSAEKLAQVITAAIETEATSLINK >CRE01824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2430648:2431856:1 gene:WBGene00053408 transcript:CRE01824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ebp-2 description:CRE-EBP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LFP5] MVVNVFISAVTTDTLSRKDAVAWVNNLLKSHFTKVEEMANGAAYCQLTHLLFSNSINLRKVKFNPRSEPDILTNWKVLTTSWKDLGIDKPVDVEKMKKGKFQDNMEFLQWFYKFFKANLNVEPEDYDAVGSRFGEDLPALKGASGPRPAVASVRPVVAQTMKTKTTMQPVAPVPATKAAVAPTVRNGARPAPATSAGNRRSETSPNEELLKQEIEKQKTLAAEWETMAKDMESEREFYYNMLQRVEALVHEADELKATTIEVETLKKVLYDGNEETVENGDDNSQLNEALNANIDDTDTF >CRE02215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2429038:2429451:-1 gene:WBGene00053409 transcript:CRE02215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-6 description:CRE-RPB-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LFP4] MADDDDYQDMENDDFVDDNDMEDVIEEDPQRADNDDEDDDNVDENFELFDQGKAVPTSEHVTTPFMTKYERARVLGTRALQIAMGAPVMVELEGETDPLEIARKELKQRRIPIIIRRYLPDGSYEDWPTEQLQLADW >CRE02214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2427771:2428869:-1 gene:WBGene00053410 transcript:CRE02214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dohh-1 description:Deoxyhypusine hydroxylase [Source:UniProtKB/TrEMBL;Acc:E3LFP3] MTTTHKFSEIDNFGQALNDTKRPLKARFRALFILRNIGCDRSVEWIGKCLNDESALLKHELAYCLGQMQNKSAIPTLVSVLEDEKQEPMVRHEAGEALGAIADPSVKEVLRKYAQDPCPEVSETCQIALGRVEWVENSGKDTNSPYDSVDPTPSASISDVGELAATLVNVSLPLFDRYRAMFSLRNINSDESIKALAQGLYCEDSALFRHEIAYVLGQVQSPVAIKELGDRLLLSTENCMVRHECAEALGAIANEECTNILKQYVNDEERVVRESCEVALDMAEYENSSDLQYAHV >CRE02213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2426379:2427671:-1 gene:WBGene00053411 transcript:CRE02213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dap-3 description:CRE-DAP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LFP2] MKPFGRLAYTTARRIGTCKRNVTTSRHFSVESNVQTNIESGTLYEMDLTVAKQLNMKTHLPSQLAKQMDTLGEIVTLVREPTAEVASCMRVVDKHLPNLRLVLWGAFGTGKTVTLNQAVHHAYKNNWAIVNLRSAMELTRRVKEIEMSSFVAGRINDPSNAVAILQNFKQQNQHVWKTLTDLLTEKDYEWSKSERTLKEKPITEIVEMGISAPFLASDCVGAIFRELRRHAKNDKLKVLVAIDDANSLWGKTLVKRADRTYAPPSDLTLVVHFRRMIANDWTNGCVLMVADKKEVADARDELEVSRHTPLELFGEEGFEFIEPFVPIETSNYTESEADTIYNYYVSKNWLASKSGKHSFLPQYILNLPIFLARSEEGRKQLMYLSAFNPYYYERLCAFN >CRE02212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2424851:2426211:-1 gene:WBGene00053412 transcript:CRE02212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-22 MNTVTIQTSMLKLKPKISAFTRLSTEVRQSSQWVKASGSSTTDDVNIEKLFVEKNVQKLLTDLTDIDLEHKVFRPRRTSIQQRSHFALMTDERLEKTRERMREEARRFLQFVPVKEPRQETVQTLAKDAELKDFDTSKFVFTDITFDATDQDRTVVVREPDGTLRTANPEEHDRMNRTYYQKPNRPVNPPPLFSDPYLQQALDKNEHEFVLDWSCWFYEPDDPAFVRLSQLIFDRINETNKFHVITSTRHFGSFVFYLALNDNIPKLLNYFGSFGRLSDCANLIRLQKAVKPDWRVTIAQGDSEEKIVKDFIKQNARFRDQIGDLLNFINNGKVKQDQDLEKQTFGVRERVGRMDRRKARITSANVRGVEGPLAELSEEYPVKVVKSEGSGERDAVEKKDEGRGKGRWRSRDQNSKEDKKKD >CRE02211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2423489:2424668:-1 gene:WBGene00053413 transcript:CRE02211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02211 MTTRQRRGGTAKTSISEKEPAESGGDIKTECLDNYRCPMFLALFLVRLLGACSQIINDSDEVYNYWEPLHLFLYEEGFQTWEYSPIYAIRSYFYIYLHYIPIVCLDHTRFSKATVFLLVRGFLAIFCCLGELYAYKAIAKKFSIRTGRVFFIFTMFSSGVFQASPAFLPSSFCMTLTYFTLGAFLNERWTLGIFCVAFSTLVGWPFVAVLGLPFVVFMLYVKDLKFEFIVTSLLIGSSTALVQFLTDSYYFGKPVVASLNIVLYNVLSGPGPSLYGEESIIFYIKNLILNWNVAIFAFFAGVPLSFIAIRKALKEGIIIQIS >CRE02210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2417666:2419269:-1 gene:WBGene00053414 transcript:CRE02210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crn-3 description:CRE-CRN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LFN9] MKKLKYPKFSLAYLAFRFADVVLDKQYQLADWRARPLRNAMINYAREDTHYLLYSYDMLREQLLKQDKKDLNVVYSECNDLCVRVYKKPVFKPKGYLTDLKLRFTFNSRQDHALTSLYKWRDVVARQEDESPQFVLPNHMLLALAEQLPRDVGGIYACCNPLPHFVKKLAGQILKIIVEAREVKLEKVKVTAKENNDAQEARGVMNDSMDHITSILKSKIDFTHTKFDEERGEIHIDKTEESSDVFRKDELQSLISVLQSSSIPSTEAMVVAEKGKKGDVKKIKKLVDELDKFVTPFECYQMMMITKQKQEEEESKEAERKRLEEGDKPKTLFSHHDAVVVRKPQFDSKLLNVDTVKVGDSDDTQQQRNSTMEQDKEPPVFDPSRFSDDQLMSKKAMKRKREHAKRNIDVSVVLGESSSSDPKKQKTDAELEEFNYEEADSSAFEKPVKDNNAEFDPFHQKYRLKNKSKTNKSMKKSSNRSGTINYKK >CRE02209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2416739:2417479:-1 gene:WBGene00053415 transcript:CRE02209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crn-5 description:CRE-CRN-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LFN8] MAGRLREMRCELSFLKNADGSACFSQGSTCIWASCSGPGDVHASKANEEAMTLDISYRANCGDNKFSVLNNIIQSTISKAINLELFPHTTIAVTIHGIQDDGSIGAVAINGACFALLDNGMPFEAVFCGVLVVRVKDELIIDPTAKQEASSTGRVLFAVFNGTDGHPEVCSMDAIGQWDFNQLEAAWSLAQPSATAIFEFYRSVMQRKHSIDEH >CRE01823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2415594:2416484:1 gene:WBGene00053416 transcript:CRE01823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01823 MPLNVSRFKKLPELVKVMSLLTSLSLLVYLGTIKFRPPGMSVIWFTIGCSIVFDICTIAVLLKEYDVSVMAIRMLPYAAIECVGSILGLIFYVISMAISISSEEVTDDFGFMVVAVICFISALIHVSNLVVNIRRWNNGSHHLNPSGVYEYSNYGSEA >CRE01821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2409566:2411825:1 gene:WBGene00053417 transcript:CRE01821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01821 MRILSLPLVITRAIFANFKLEELLFLSFCSKRFNYLIQSIQGHRLKQIKTITYIFSVGKVCISAETLFSLTLSEVNHGITQMGVFGMSIETPCCWKPLHCYSSYIYDKKERDYIIRGVHSCIQKFFGPSILYRVISENVLPTSLENIRSSFLKLPENTKPEELEACFENSPNQINIKLEGHLNGNLCSDSVIYEARNLDVDCFRIRESEILLHFKGEHLRCTNTFLYNPEIVEFLNAWNSDQRSENLKFLAIHSYFDLDKDQILGKVYHKSLNPPEFIKWNIRYKAYVVITQVSVHLAVYGSTGGHVNFESN >CRE01820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2405222:2407814:1 gene:WBGene00053418 transcript:CRE01820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-9 description:CRE-CUTL-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LFN4] MSTSTVLLGQPSISCQRDRIVIEVITERLFTGKVFVKGDYANPQCTKTFRNGVVTSEKVSNDEKPFLGTVKKSREPYVENQRTTSETDSDIFDPCPNPSNPNCNSNSEKLETHENDLNTPPDIASFVLRPKNETSFKSTSEEEDEWHGVGGVSTVGNGVFGGTRGEELRVFGKQGGGGNSAATRSRPGTTASPLSSDCPPPTTCEPCSCEERRSRRATNSIRLEVPLNSCNTKRDRKLNPPSVVVSLIAVVSFHDSFITKLDKAYHIQCAYAEAEKTVSTDLDVNMNDEQEINGTMEPPSCDYLISDSNGNSVQNSLVGELVRHQWTCKGGIASKLKMLVHQCFVKDGAGQQFEVIDEHGCTLDRIMLQTPTYSKDGMTAQVDAYIFKFPDRSTVDFRCTITFCSVDDAECQIMTPPKCDINNNLLRRKRSTHGLSSMSLHANSLTVFDIDSSKSDTNQLLPTPSFLQKTLKEYDSTFCVSVASFGILISASTFFATISLGVIFSYIFLRFNHKLTIDD >CRE01819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2401887:2403879:1 gene:WBGene00053419 transcript:CRE01819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01819 MAAAPPAPKPKEKGPACKLSSIINGQFVMVQMLGKGGYGVVYEVIRRDSPSVRFACKAELALAHNNLKTEWDLMTLLKDNKSKHNIIGIELGSERNYNYIVMHLVGPSLADLRKYIPSKTFTLYTTTVCGIQCFDSLVEIQKLGYIHRDVKPSNFAIGVLGSDEEKLVYVLDFGLCRNMFNKSKELRKPRMKAPFRGTIPYCSLNIHQRMEPGRHDDFWSLLYMMIEFHLSDLPWENMTKENTKKEKESKIDSLLAKCPPEFQMIRCYLLTLCYSREPDYAKIRGVLCQIMITNKFTPDMPLDWQNGGPYENIFKPLASAVKHKRSKQKVSLAELLDLPKPGTAPLYTEKDFPHPGELEQPRDESVSKSDDTIIEKDGPPPAPKPAPKPIIHPAPVKTSGENHHKPGTTISMKPAVPRSAGEHNSVKPVAPASSVLTRSPTGHHLSLKPVTLTPRPPGEIRQSVRRTATVTPRAKSDNDSLKPAAVSLKPAVSTMTTKAQHPTPPLAGSMAAPAMTMPPFKKL >CRE01818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2398875:2401700:1 gene:WBGene00053420 transcript:CRE01818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01818 MKNDRKYWYFLLVLGALALLNAIVSTMMKPFELMHHFSVINIHWINTIILLAGISPFVFPQKTLKSETAIVVVNILSFCICISCAFADLGNLAIKSFGQPNNTGFFSNFFSDDEIETRDHLMVYNCLDLAICVLAACISHKLIVNRIEASPNVHLTDSRRFQGYGVALIIMTVTGIVNHMWQRIIMVNHKQAIFLDMHTIDEFSWLVINLATGIFVFLSSKSNQIVQSVSFVLSGATIYPSFFYAWLDYRLVLVSQLIRFLFSRIMTSAHSNFLFRQAITSLMISIPHMFVLLTIFLTFIMTRRDATRVQLTHLNKLIFTGFSVFFLIISLSLINVNLYALFTKQFYKIFHSSEQKLPFLTAFLSLFTGLSVASRFNYITIASSLVIGILAINATYLHIFSYIYLQINGYFIDGTSSEFLVLPADKYDNGTETSSVHTIETSLNVISFVGSSVLVLFLAYLARYIKLFQLRKPQFFISRTALPPPDTSEDSAEELVAKKRYEKKVLGLGVVMLFSAVFVLSLAFYVFFRTHSPHPLVTIYSQLFHVVLALSITSYALFQVLVAEHLAKYPLLSCSLIILSVMRALDILTQIDYKDIGNQPVTWTIHALVEYMAIFFHFATIAIIFRIEGAGRPLIEPAEVANLISSLHKNTSFQIPMSFENPLGNATDENGYIMLRTAENEPAD >CRE02208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2392698:2394074:-1 gene:WBGene00053421 transcript:CRE02208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccm-3 MFADESIKACARFQLNLSASSYTHLVLVFSSFTMNEEGGYLGAMTYQCLYNPVMEKIKHQHRDEPRASLALNKLHTALTTCEQASPSFLYDFTKVILDDSELNVNLQESYLRMHDTSPTNDLIVSGYEQNADYKELTKRAIELRRVLSRVPEEMSDRHAFLETIKLIASSIKKLLEAINTVYRIVPVTAQPAVEKRKREFVHYSKRFSNTLKTYFKDQNANQVSVSANQLVFQTTMIVRTINEKLRRG >CRE02207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2391949:2392447:-1 gene:WBGene00053422 transcript:CRE02207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-13 description:CRE-TAF-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LFN0] MDDDEDLFASDVEDDKEKTVNPEDKKHALRRDLRTMVYGFGDDKEPYDKTLDVLEAIVLNYIKELCQLAMKVGKPDRMALEDIHYLIRRDQKKFSRVKDLLSMSEELKKARKQFDEVKPIL >CRE02206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2389248:2390908:-1 gene:WBGene00053423 transcript:CRE02206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-2 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LFM9] MNYPLIIGIQSFYIAFSLILYSLIFLYIMWSGDLEFKTAFHRLFLLRAPADFLQVLVSLFAFRCPLAGWEEITHIPYLAKVGFMISQYSVLVEMCAQLLLSVNRLSAIVYPIQHNKFWTKKITLLLFSLGMLISIVPTASRATQPAAFMNVNGSFIPYLVNRGDQEKNSKVTCLIYSFFCFASLLCNLLACCVHRKQKRMQCFETQPKLASTVQTNLLIYACLSTVIVVAMTCFQSLLALHVFDVTAEAHKVVLLFLTISADAFALSNPWLLICLSSTFRHKFFAARKISRIFAAAPSTNETS >CRE01817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2388340:2389032:1 gene:WBGene00053424 transcript:CRE01817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01817 MISAVVLFLSAVSVSNACVPTTTTTTTEPCCTMLSQNILPRRAPSSSTQQQCSVLQRVSSTCPIDGIVVCDAAQETNPTGILIEFFNNAGTVVRTQRITGSPATSLTVKVVCTNGVWRVATTSGGTTFADIGSVSCSQSGSVGTDRGYVIGTAV >CRE02205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2382967:2386184:-1 gene:WBGene00053425 transcript:CRE02205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02205 MIIRPLFFLIVSIFATTSAQQQPQQCGLESPEIKSMKPSFGGISGFYNIAKGISDGLQNTPNSKTYNDLNNLIFGSGAVTYDQVITDMVVNQIGAIIFWSVGFVFVLAALVLGIATCIWQCCYSCVPKETSTRSEITGYVYAVLLFSAFSFILTGLVLFNVAETNLIDSVDNGMVYTNQISGDLNNVIQNGTDQITCEVTATTSQTFTNMNSLIQNYSSNVVDGTKDQVGITEVNNVSSFQNSNLKLQIILQFNQTAFANANTATTNAANELKTSLQNVKSNDETCKKNMETLNGQFTPVDTTLTGLTAASQEVTKSDDLTKLLEQISAIQTEVQLQANQASGAINGTQDQINQSMSSITEMLTNVQQDINSVINSLKSAHRDLVTSSAYTAVKIGIRLAVTIPACIGCCFCILAFVVVIMSLREPDGMAMKLSVAVLSAFYSTIIISIILLLFSSLAFVLGWFTSAMCVPIFEDPNYQLFHLMNQTIAPVQPNGSPDVINIGDVLHSCNDHSMTLYTAIDGQIVISANSISQQLNLDTYRIAADKQIMQQPNVSFTLNPAWQVPQLINELDANTQNAKAAVLDSCGDNDASAKYKTYVDNLDISNSMSAQFYGNLQNLSTNSPNTTVIATQQNDNYFQQGDLSINQSISMLMENLEKNVFKCRPLVDIYNNGGFVMCEQFGKPIQGMWAGIGLAGIFLFFLSILLLLTYRWLKTNSEKTSGSGADYIYGQHSNGTRKLGEHNKIQTSDE >CRE02203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2381095:2381867:-1 gene:WBGene00053426 transcript:CRE02203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02203 MQLLFLLFVPVVVIGFDSSFNYGCQNGKCTFQLVVPKVNSGGKYISSKDFYFQEVAQFIDEETLSADVQTIASSITALNSTITSFSDSGSANFTSKFDDSYAPVFAQAQLLNGSVNVAAQNTSDLLASSNNANTTATLLYNSIDCFKQNNASSYTCFSPPVVPSTTQSAPSTTGNPSTDDVSTVSGGSTDAPISTVSFTGSTLPAGSTGADGTSSSAAPSPSVMST >CRE01815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2378190:2380062:1 gene:WBGene00053427 transcript:CRE01815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nphp-1 description:CRE-NPH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFM3] MSLFGSILSLQDAINRLPQFEHQINKLEKDKHQASSRASFRNNFVRQCQDLHRQNYLFFSVDSQSEEEKPKPPKLESIDRRKSVMYDDSEDDSDNDSQIIETDVQLEEPTPVPVSTSTTVPPPQPKPRQPIAITQPSLAPAMTEKEKIDNEIASLQNPTGGDSSGVMEPVVVQGNVFMAFEDWDPEAEGDLKLIKGKRYRITQTRADGWWTALDENGQRGLVPKTYLQHVKEKPKTMPSKVSSRLGVRDSVIALTTTTTPKRREDKKSVPQSECLGKAFDDDVHLSLVCHMAPRLSTSNIGFHDLFWSHDKDQVFKRTIHISKIIRLVRFEKMPLIEHKALVRLALVDITNPKSTQVVSNVHTLVPRVKSSTWFFEKKESNTRSCIEFSDFVLRSNYSSPTVVLVIEASHIVKTPVGFEEKSLGHTYLRLIIDDKAVPSRTNVLYLDDEIMSKMKMPEASKRRVLVQVMDVPKDKISYVE >CRE01814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2375333:2377736:1 gene:WBGene00053428 transcript:CRE01814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lact-3 description:CRE-LACT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LFM2] MYASSSIFKLFLAAALVSVVYRFVCDRLYSNHKSDVSGTVDERFERVREVFKKNFENGWEIEGSAFAVFVDGKKVVDLWGGYADKQAARKWAEDTITVTFSTTKAAAALAVALLYEQGRLRYDDPVSKYWPGFGTHGRDNVTIQMALSHMSGMAWFDTPITEEIAADHDQMRKIIENEEPKWAPGTKTGYHAYTYGWLVDQIVRHTDGRKRGIGQFFREEIATKLEVDYHIGLPLSEQHRVARISTPNMLNRLDEMWTDVRVVKYMKSLIKLMTDHPLAHIVKNPSWLEAVSRCTINNPDYHRLEQAAALGMGNARSLASLFDKVNRGQLVNQATLNTISKPFVNESDFIFDDTVAKGHGFFHLPINRAGTQFGFGHTGHGCQMVITDLKNRVTIAYVTNGLKTGLYDLCRTYWGLQTSVYDVIEQINN >CRE01813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2374372:2375004:1 gene:WBGene00053429 transcript:CRE01813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01813 MADDGVNPKAFPLADTNLSQKLMDLVQQAMNYKQLKKGANEATKTLNRGIAEVIVMAADAEPLEILLHLPLLCEDKNVPYVFVRSKAALGRACGVTRPVIAASITQNEGSQLKSQIQKIKEDVEKLLI >CRE02202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2369971:2372699:-1 gene:WBGene00053430 transcript:CRE02202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsp-7 description:CRE-RSP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LFM0] MSGEEKEKNKILHVANISTAATRDHVYNMFNYLGKIQEMRIYPSEGNITASTLLKTAFIKFDDERCAEVGQHLTNTVLIDRAIVCLPYPNQFIPDEESFFNSGGSTTAGQRQLPPHVTNKVQELEDGTSVLITVDPTLEQLGLPAYPPLPADTDYSKVEEIRRTVYVGNLPKGIDGKEVLEMFNMYFGEVMYVRMASGPDALPCAYAYVEFSQQASVSNALQNDGFEFKERPLKIQHSRVPIIKPQAKTDEQALGEVEEAIRLGRSADDRDRRRSRSPRRRRTPSPRRRRDSRERDRDRDRDRERDRDRDRDRDRKRSRDRRSRSRDRDRDRKRSRSRDRKKRSRSRDKDRDNKDRDRKRSRSRDRKRRSKSRDRKRERSRSKSRDRKREKKRSRSRSHDRKRDKEDRKNEKKENENETVLREKLLEKKAARKDSSDDEWEEKPVTINGDAKKEEVGNGDSDTSFQ >CRE01812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2369268:2369787:1 gene:WBGene00053432 transcript:CRE01812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01812 MSEQQPSTSSEKEKISDEPITNQEQLIDPFIDFECHICRMKERSLFGELKVVDGSYDSPVYFIRDPFKPPSKDRIKKPCLDDFLVLGAPCSACNQPVCMSDACSLFFGTNFCAPCVSRERRRFPPQLIRGIITKYEAKQSSK >CRE01811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2367972:2368558:1 gene:WBGene00053433 transcript:CRE01811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01811 MFSSVLFFVFLVFGSMVPSEGNMRGRFLFKRSKAVFAEPTVSASETRTHRVGAMRDEVFGARCWDKEPCDQCVCCGTCNPDTNMCHSPPGSVEYCDRNKGHGRIVAKIPYSLPDDWPVEKRL >CRE02198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2348396:2351605:-1 gene:WBGene00053435 transcript:CRE02198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptb-1 description:CRE-PTB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFL4] MAAPQVLTSDHPYHHQHDATAVFRAPANTDSVANGSVSNFEVGTQQQPNSVLRTIIENMMFPVSLEVLHQLFARFGKVLRIITFSKNNTFQALVQMSEANSAQLAKQGLENQNVYNGCCTLRIDYSKLSTLNVKYNNDKSRDYTNPNLPAGEMTIEQTLAMSTIPGLQNLIPANPYNFAFGANPATTFLSSQLAVSSAAAAAANDSANAAALAPYLNPLGLNPANLSSSNLNIRYSMLNLTPVILVSNLHEMKVTTDALFTLFGVYGDVMRVKILYNKKDNALIQYSEPQQAQLALTHLDKVKWHDRLIRVAPSKHTNVQMPKEGQPDAGLTRDYAHSTLHRFKKPGSKNYLNIYPPCATLHLSNIPTSVSEDKLKEMFAEAGYAVKAFKFFPKDHKMALCQMEDIETAIDALIKMHNHKLAENAHLRVSFSKSGI >CRE01810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2345690:2348212:1 gene:WBGene00053436 transcript:CRE01810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gbh-1 description:CRE-GBH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFL3] MLSALLIRNIRNASKLASVAGPNADRIVNVKWSNGKIGEFPLIWLRDTSPDAATYTISPAMTARNLTMLEFDVEQKAKKLWIDGDEDSLKIEWESGLLSTFPSEWLQIRNPSDQEAKKRRRKVYLFPEETWGKEEIERKLKRFSHEEFMKNDRVVHDFLEAVCIDGIAVLKGAPKGVRGAVEDIGDRIGMIKRTHFGMVFEVSTKADASNMAYASNGGLPFHTDFPSLSHPPQLQMLHMLQSAEEGGNSLFVDGFHVAEQLRKENPEVFRLLTTHSMEYIEEGYDVHEINGKTFRFDYDMCARHKVIRLNDEGKVNKIQFGNAMRSWFYDCEPAKVQDIYRAMKTFTEHCYQPRNVLKFRLEDGDTVLWANQRLLHTRDGFKNATGKSRTLTGCYFDWDIVKSRVRYLRDKLTLEQNQPSA >CRE02197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2339807:2345548:-1 gene:WBGene00053437 transcript:CRE02197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ggr-1 description:CRE-GGR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFL2] MYFDLCFVVLYLLNVLGNSSSSFFCFFVLPCCRPPKLRPFLFCALPFYFLCSTLCQSQLLRLLLRLHTLLFFYFFFYYSWTFYFRRRRRRMPSLFLKILIYSSIQCALGQANFWDYDENVTQIEEDFRIDDVTKILKRLGNYNRNAYPLLDQDLATHVDIQMYIEGMSSFHAQSMDFQVDIYFQEKWVDHRLQHNNTKRILVKDPKLFGLLWHPDLYFANARTASFHDVTQPNFLVWIYPNGTVWYDCRISLTVLCMQDLARYPLDSQNCGLRILSYAYDEEQLIIRWNGANPVEVNRGIRMPDMHLKHIKFYTKRDKYATGIWSSAVAEFHVDREITHHIIQSYIPTSLIVIISWFSFWLDVEAVPGRVSLSITTLLTLATQSSAARMALPQASDVKAIDVWMGTCMAFVFSAMIEFTVVNYCIRRKVRTKPKPRGLSEQVHDLVAQYKEKKDKFSNGNCEISYELALQPNEDSAAVQRNFEKKEVREMNQAPLFVRRSLLPTSKRKTIEERINRVEENRKYAQGIDRYSRALFPLAFIIFNIFYWLYYLKYAVSNSPELLL >CRE01809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2338912:2339584:1 gene:WBGene00053438 transcript:CRE01809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dph-2 description:CRE-DPH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LFL1] MRDLCKSAGKKIYVISVGKINVPKLSNFSTDIDVFVLLSCPFGVVLDSSDYFRPVVSFFEAEIALNPAKTWAADFGWSAEFAAFLEDKIETEVPEDAAGDFSLISGKVRVQTKEDETAGDGPRSVVIYNPGYCNDRTWKGLDDGVVTSEDSTTMGEGRSGIAQGYSGK >CRE02196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2337775:2338684:-1 gene:WBGene00053439 transcript:CRE02196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-79 description:CRE-COL-79 protein [Source:UniProtKB/TrEMBL;Acc:E3LFL0] MESRFITYFGSAVSATVLLTTMFVCWNITDDLITLQDMSDRNMQDFKVISDRTWDKMMFKQQSLPLSTPSLIFGRNKRSGDKCNCSQEPSNCPAGPAGPAGEKGNDGADGADGIPGFPGESGGVALEQPSDGTCIKCPPGPRGPPGPVGEEGLAGDAGEDGEPGVPGNDGADGTPGKSGAPGNKGPQGPPGTPGRAGQPGRKAVGEPGPKGPPGPPGTDGRRGEDGSDGDNGADGQPGDEGEAGKDGTPGEPGPQGEQGTEGQPGFDGAYCPCPPRSISKVAIQ >CRE02195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2337054:2337403:-1 gene:WBGene00053440 transcript:CRE02195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02195 MTGTTCLTVLAGLSGLVVFGALFSVAHIYNDINSFVDSAHRELGDFKGFANDAWNSMVNHEDSARVARSVFGRRHKKHSQCNCGPQASNCPAGPPGPPGAP >CRE02194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2333682:2334650:-1 gene:WBGene00053441 transcript:CRE02194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02194 MSSTTFLSVMAGLSGIVVFGALISVFHIYTDINSFVDEAHRELGAFRGVANDAWNSMVNHDDSARVARSVFGRPPGSDAAYCPCPARSSDVFRHRNVAVNRHVAVNRHRAVARKRVVAKKRVVAKKRVVAARRHVQA >CRE02193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2329828:2332867:-1 gene:WBGene00053442 transcript:CRE02193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bli-1 description:CRE-BLI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFK7] MVKSYTLAGTAAFACLLLAICAVISTVHILHDISDFYNEAQGELVEFKDIANNVWDEMVFELTPEEMREAEDLEREKRSYEEEGPYETETRPTTTTTTTTTTAATTTEAVGDETGYDFGNDNGPPSSRPRRPVPPTMPRTIQGFRAPPPAATSTYRPPSGSNYDNYGREPASSRRPHPPQQPYQASSTVTPYSTPNNITLYNPQQPLKTAVYPSNPNPRVPYNPPQKYTQPPPYPGNSRVPYNPNYTNSPITPPPRQPSGGYDSDGQTPPSWPRVYNTRRPNGPGYPEDQVPTGAPPLPGQQRVPPTQTRNPSYPDRTNPRQPTRPVPPTSDGHISVTKPPFNPNEEFTPGKTGSRPGFGPQRPRPGTRQRVNPCDQCSAQPNNCPSGPPGPRGRPGPPGFPGQDGPRGLRGLNGGYSGVHPSSYDPVIGCVQCPVGPPGERGPDGPPGVPGEDGVDGEQGSNGQDGQPGAPGAPGYHGMNGSPGTAGKPGVPGRNGQSCRSIPGPPGQPGVMGVPGRDGDPGTDGEHGQDGSPGIQGPPGKDGRPGADGQPGVSAPGAPGTDGGYCPCPKRSSKFDFTDPGYTDDEKRPQEQRPREYVAEREEPRPRQPSRSENYEEPAYERQRKPVYEPSAEIAPPRRNRYEDEERIRETPPRRQPPPQRQTPRSEQHRYPEEQYERRPQESREYGQNEAPRRDGHSSGYGGDERRPIEPSRYETDNVAPSRNRPLKKVEINRQPDREYDHRPPAYEEEKPRQEDSRRYATESEPLYEEAPRKETHPPGFGRPKSEEDRREHRTYPEDSMPPVQPTDRYSGDKRKKNQPEYEDISKPEEDKDKSTDKHHKRPNKFQEKQWEEHRKSQELRNSREHGGHAPIEDNAPMQQVKPEERPRRSSAEEKSSVSSVHDNYQSSEKRAFSNENPLPSEQIPYRRKSRFYRNHYYEKFFI >CRE01808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2328735:2329527:1 gene:WBGene00053446 transcript:CRE01808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01808 MSESVPGPFFTTATPTDHVHEEETSNAGYFESLSENDIYLFFEIDNTSEWIKNGKHKRIALQFPDSLLPYSERVTRLIENKIRDSEAPDDVKKTFVLADTSYRSCCVDEVAAAHADCTALVHFGEACHSAPTDKIDVKFVLGNMPTFIDEFGAQLKKVVDQLSSENIIVLMDSCFAHEQNQIVDIIKDIVPPTRHVSCANLPSEKSLKEHRENVSSN >CRE02192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2324810:2327467:-1 gene:WBGene00053448 transcript:CRE02192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02192 MTFPKCILILFFPILLVAETDFHVNHCVLRCKDNHMREFNSTSTPARLTESIPETPDKIYHERFQMDNEWSHDFTLPLLNLLRTTGNETAAFIKAQAICTSNRLLEVCVRNCNTSQEASIILAGIRSWHDACNNLEEVRAQFPCWKENGERLSSVCRDQTIRLEMDMLKFAKNQTQENIETICIDFEHFSHCFIQEHGKYCGYRSEVITARMFENNREAMFKMLKIRWNTLPASCKYTQLRRDTYSSDRYNENNSVSVTISLVFLLLPFVMVTARYPIETWSRPQLEDRFHGIVAELQNAQKKVKEQEKQITTFNSRFRRSMLERKSREEEVVERSKYEDVVKENKILDMKLKAAKQQLLIYTAPSARAATASLMTGRSTFRQPPSTFRQRQPRTAGTGSIDRPPAAQMVRK >CRE01807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2324118:2324717:1 gene:WBGene00053449 transcript:CRE01807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01807 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE02191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2319742:2324109:-1 gene:WBGene00053450 transcript:CRE02191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mks-5 MERLTGSIFSLPLTSVFIILTIFQRQSSNHSAPPSRQSSSSKASSNNNNDDEEKDSELEEMSDVTESGRSTPIIEEKKPRRGSNGHRNTSSVSHPPPRIPDQNEKVLMDKLKIAENDLSMLQEECELLKKANERLIQQSLSKSTEYGARESIEEKKKIVELEENLKETEKRIRESEHRRREDQKKFEAMRMHYKTKYEAVKAEKKQLSSSSTVTAPPVKKVEEDDGHDSPPPMIYEPVRKRPSQSEISRSRRADDDLLQKLYKEVADILQSHDVGIAEMSNLGPGENNLSRWQKLYSELYEELEKVRNMLVVQYDINQKQTKEIQLLKDELERLKTVSADILSKSKEEIEEKQKKIYMLEEQVRVIAYSGQQPVKLLSNQINIPTPKINTNLFVKLVNVKPSPSLTSKFFFSMEFFDFQLETTPILDPKEQNMEFTTVYDVLVSNLLIHYLQTNGIVIEMYRPASDCYKLLAAATISLIPLFEDNVLQKFCSEIVMKSVESGVDMCTLRYEIEVSQPISDSFKKFKKSETARNMLPLKLENGDEDENNFEPLTIMVNRVIGLDSLGRDSSAEFCVVYELLSFSPYFTDFSSNSEIRSKRDCFIPKNEIARNLFSSSSISFFLIENIPKQDGVIATLHLPLHPLCKLGGSIKGTFSMLDADGHSTSVSLDLCLIWKHEIPSFFLKPEPNTIIDPESSKKDTPILPQPVRRTSKQFDVTPVSDNEPILCEDVPVPPKALSPKQESPKRKDSSSSSDTSFSSSSKDLFSPPKTTPLAFDYELPTVSSPPVVEREEEDRIVFDEDDEIESVSAVSTTRDHEAPTFPDDPTPPLHDVRLEENEEKPKSPSPIQTEMPQPPKRNSIQPEEAPQPEPTVDVQNTPHPEEVESRESTPTVQKSVEEEEIVEPEPNEPEPENNNRKELKTEELKSLLGILPPIAKPRNIPVAPLSLNEEPTPSRPGNSTKGIVFNDPIHSSFPTSDSSATSSPRQKPPVPLPDYEGHSLIRVPKPLSPTDKDVLEPNMKVTIQLESFELIPGSSMTSWTREDTRICVDWLFLNISNEQSKSPVFHFPRRPQERVDIDFSKEYTLTRGQVQLLKQWIQLSIKLEFAIIKVSPGEEEELGFGSLILSPSNSNFRSFVIEVYDQSPMTMAQAELTVTIQFSRALLEQLNKNEM >CRE01806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2318240:2319593:1 gene:WBGene00053451 transcript:CRE01806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-12 description:CRE-GLB-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LFK2] MGASLCAPKKKKTQVGASWVGNESENPFDAALNKKDRTLLRETWQRLEDPKDIVGLIFLDIVNDIEPDLKKVFGVDRAPRAAMLKMPKFGGHILRFYEFMEQVSLTSMLGTSENLTGAWQMVRKTGRSHVKQGFLEQNQNQMEKNYFEVVINVFIERLIPFLTGEQELPPAEGKEHKKVRFAQNYTTSQIADVWKKFLNIVISQMTDAFELERAKQKSAQTTKALAPHQHVEISERKKKKVAEKQSEIENTVASNEPKEQEQMFEDPF >CRE01805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2310990:2313674:1 gene:WBGene00053452 transcript:CRE01805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01805 MILRSIFYLVIFISVTSYAEQKCNISSPKIKSMKPSFGNMEGFYDLAKGISDGLQEAPDSSKNKTFASGDEVMKKMFQTQTDTFLFWSIGFLIVLIALVFGIVTCVCQCCCSCSPKETSFRAECTGYVYAFLLVAVFSFILTGLILFNESESDLFDSTDNLVVYTGDISRDLTNVVGNGTLQIACEVTGMTVNTFEHMNTLIQNYSSNVFDGTKEKVGINAVIIVSFKKKQGLHESNFQFSVKNYESANTATQNAANALNGKLQKINSKDQKCTDNVKTLTDQFDSVNQALTGLTAAALAVKKSKPLLDINVQIDTIKNNVQDQANKASKAINSTQKQINKSMKSITKMMNDAQKNIDKSITSMESAYQNFVVVSFYFFQHFLITHFQSSGYSSLKTTVHLLVSIPACIVCFFCFVALVAVLVSLKKQDGAALKLPCFIIISFYLTIIICIFLILLSSLSFVLGWFVSAVCVPMFEDPSYQLFRPVNNTVSAIGSGDPVKIDFGNVLQLCHKETMTLYTAIDGKKVISTDSITRQLKLDFYRDNANKQIMKQKNLTFVLEPSWKTFITTLDKNTQDAKSAILDSCGDSDAVDKYNSYIQNLVKSNTMSTEFYRNLETLSRNAPNTTVIATTINNDYFNEADLSISQSISVLMTNLEKHVFKCRPLVDIYNNGGFVMCEKFGKPIHGLWASIGLAGVFSFFLTILLLLTYRWLKKHKQQLD >CRE02190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2305125:2310274:-1 gene:WBGene00053453 transcript:CRE02190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02190 MFIPNDRASVLQLPKHEKDSPTADIRSIVANRERRLIAVATNDAVYIWLANPQLLLCSIGVIDQTFRETSGELKEVYWKPDSSSIAVTTNQNKILIYKLELRDDEQCYNFTDPADPYFQRNSPELFIKGSRPVAHLHPTIIINLADVPTCCVPSRDEFLVCLRNGFTHHVTWNGEILSSLSFRASAIPFSVDQLQSKSENVTSKSTYIIDSVYAPLLGGFAIVLSDGKGALLTSNDPNFAPNAILGVWAPNLKDATCCDVNHKFLLVLFGCQNGDVCAYNIDELNGSLVQSFRVAPKVTNGPDFTNRLGPVHKITALMNGYGFAAVWAPKKREETSTVADSSPLPRLVAVFTPFGAQSFCNLEGVIEDHLADNENDDMYTAIEWGPEGFQLWLGTKNDLMMQSFVRSASCSNPIMEHCDRAILMSDSQVLISAARDREAEACAPHSVWNHIDVAHEYLSSNWPIRYASTDRQYKHLVVAGDQGFAYCSLSNRRWKIFGNETQEKNLLVTGGVFIWNDDVVGVVGVSADTDKSHLSFYPISQRLDNRFASVIDLEHKSVMSALRDDVCAVFDITAQITLYKLTAHEETGRDAFTKVSADIVTVIRINEIVPHPTCIVSLQMTQLNLDQRGKLAPAFYSSIDTVLVNISGRLITLSLNEEGKLHQPMVIASYVEKMWHDRCIVSQSSLAQSLESTWKGHRRNGSNVSMHSVSTASEPSSPMSHSASSHLSNALWIACGAKGIKVWMPLVPGKRNLATQEMTFIAKRIMLPFELDIYPIVISAKDCLAMGVESQLQHVARASRSQSQMESITMYGLHRNSEVFVHHLLRQLLKRNLGVFALELAGACRSLPHFTHALELLLHGVLEEEATSSEPIPDPLLPRCVAFIHEFPEFLKTVAHCARKTELALWRTLFDVTGSPNALFEECLELKQLENAASFVIVLQNLETTEVSMDQAARLVKEALEEKEWTIAKEMVRFARSIGSEDIDALTPPPSAKTSLSRRPTVSSPSADSSTEFVINRFQAGAAGRLNKVRHSQSTDQKDPQRKDSIGSSKDKTALSRGLSGELSPQLTVNRLAARMTTILEEHAWHLLNNYWIVDLGTKLNNLFFVVVESFVGFFWAELQFDLPGLLETRRKQISLSPKSTNDKCYLVDDFALALTRLHAQFSWPYPVIGSQFVHQIEKKLGNIRVSQSTASLNGLLSSEPPEVTKPKVRRTEKTIVDLNGARPIRETDNETSEEDVEIQEAVLERVRGSASELVPILDRSPSTSSSMNPLFQSQAPATPSSCDSRSLAGDWQNTDFLVGEKSSRGNVQSSRQIELMLYLFSQTATIDWIFLLCLISRDERKLRQEINVSMVRRSGEKSFARIRFACSELGRWAVEKCCGYVALLQAFDAHLAVVAEQAGVADVKYVPDGEKRKNSIKSKAEGRGRKRVDSGGTKLNDSFTKPVMNGKGRERSRSADRAHKSVKRYDDVVCAEDALQKTNEDGCSIM >CRE01804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2304276:2304749:1 gene:WBGene00053454 transcript:CRE01804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01804 MRSWIPLVLLLALLAIAAHAGSSSESNESRPPKKGSRKSSESDSNSDESSDSSEEVDTVEQEFPLNLLFFQNNRSSSASTGSLSSVSTGAPSSESTSASVEILMAEPDVLPGAGDNRVKRSLPSFFDIRKKRGLPSAYDIRK >CRE01802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2299654:2302013:1 gene:WBGene00053455 transcript:CRE01802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-62 MLPPSAFHPYTRPTATNNLSQDMSLSEENLRLLRSAETLLALSQLQGSAKLALFNEPTQQMSPPLPAPVLTPNPTNSPSSPVLEYAIHSPAASIPNEKSRRKRTTFSPEQATRLESEYFGDSYMAREKRLLLAQSLSLSENQVKTWFQNRRAKDKRDRKTENSSNHSRKSSPSRKSSSDSSPTPSLMTPTTTPFILTSSHQIQTATSPTTVDLLPSTPPASKIQKIEQFTDSSQSLIPSFDLLNTYLQSLSAANVPFQSLLTSSPPIYDPSLLAGLQSPLQSAII >CRE02189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2292865:2294281:-1 gene:WBGene00053456 transcript:CRE02189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-19 description:CRE-NPP-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LFJ6] MFSNISHNTSEKNHSIDFNNSHLSNFGTPLDQSTPALLFGKRKGNVPSTYTNSPLSAPSAPCEDIFAVASNPVPQHVKETPGSKSVHWSPALVQSNEKPAPISQSNATNVSFGGNSSFSTSQPAKPAQTSSFGGPALNAPPLRSLRDKVEPAKKIARRNTFAARSTPVSTPNSQRVTSRLAETDEAPIEEETDATDTWVTVFGFTPSQVSILLNLFSRHGEVVSHQAPSKGNFMHIRYSCATHAQQALSRNGTLLDQDTFIGVVQCTNRDVINGSASGIVARTSTTAAATNRSISMYNSFVDGDVADQSSHHNENSVMNSSNIFEPNNSLNSSRISVRSGVGMRPLVSDQRNNATTNRKAQDGLLNKLWNTMGLN >CRE02188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2290803:2292509:-1 gene:WBGene00053457 transcript:CRE02188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-14 description:CRE-SET-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LFJ5] MALPPDALHENIYAFALAKHQVELFCNQCLTNMVELKKCSACRKVAYCSAECQRADWKLHKRECKAIQAHGEVAIDSIRLVMRIVGLLNQNEVGQFSEEYIPGGIRSFLTLMDHGNHLNAEAEDFAEQYLNFALPPHSHPETIKSIFKKVSVNSFSLSNSTGNSIGIALCVKLSAANHSCKPSTRVCYRGRTAMLVPVDDRLPTSLEGACHSYIDELQTLSTRQATLKKKYKFDCACEGCTDDERNGRMEAWACEICKTGWIRNVEGASCNPCGYVLTRDQYELCRTAEEAAIASRPKLENDSIPLETRRHLCEKLLELFQDTLHAYNVHRIPVLRCLFVATLAIRDIDATAKTGVSLLSIMLEYQSENDPAILFQKYQLSHIFCAGGAHSQAAKFLNDIKGPLERIYTTDASIVRSVYCMILKTRHPS >CRE02187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2287473:2290697:-1 gene:WBGene00053458 transcript:CRE02187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-11 description:CRE-VPS-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LFJ4] MTEFGWRRFNFFDRTIVFDKEDPKQKFAGLKDVAVDCWCSSGGSVYLGETKGGIFQLTSQFGEYFWKAYQKSLASLHSADKYLFSIGEDDDTVNTLLKIWDPERVEKNTPHVMRTIRMSPLNPASASPACSIAVHSSLQAIVVGYADGTVLFYHGDVLHDKGLTSKWIRVRDQSISEGAVTGLAIAALPGSKTVIFVITQKHVYSYVVENGKTVSSPKKHDANGATADCWTFDESTGQLIVASREMLFFYDADQCIDVDNGEIGRCLQLGRGHEKLQLVANGQYLALLTKHHSLIQKEKDSEFMTMLSVYDIKGQYVGFSCSLPNLCRLFTTGNTMLILSHDGLLSELIEKNIATKLDILVKKNMFDVAVLIAKNSKDGSDYLKGIHAKYGNYLYGKGDYENAIQQYKETIGMLEPSYVMKRYLDSSKIKELCIYLECLHDAKKDNEHQTKILMNAYAKQGEKKKLMEFVNKITDGSRTARMRDVFEILLKWNYLPEASLLATKFQMHEDALSVIIHHMHKYTMGVTYISKMPVENVIEMTGKFGRELLLHARDDLMHMLWEKIRENTDSRNNFMRLFDIFMGDMDATQVFLSYVLNQTNGKEQDNFILLIIEYHMRTFKTKEWTEEQLNEDIYRHINKRNEETVLQMAQLFDCTPVIDHILMRCHKSRELMMYHQKKGDLKAIIRLCQSSSLEEKRRLWLDALTFIGKHANAGDEPMIVDLLKEIESSELIHPLVVLELLAKNEHLTISSVKDYIVAWLRKQQIIIEDDRNAIKENNKEMGELDTIVESLKFNAQIMQVTKCSACDTPLQLPTVHFLCKHAYHVHCFESYNTDGSDKCPACRNTPDSNLNEEISYHKFQKELSEATNGMELIATYLQRGLFDEKKAKKKSDVKFQSATFNLLVISFQARKDPFSSSGRISTNTNPFDDDEVTTISRTMSTVSSNMAPSRQRSTTRKDDDASNPFFNSDVGSKKNSYDESKNPFGAPTTSTNPFD >CRE01801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2284972:2286386:1 gene:WBGene00053460 transcript:CRE01801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdl-1 description:CRE-CDL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFJ3] MAPSPRKQAPSTPKKISQPSPTKGTRTSPRKKAWGSPAKATAKFRSDIFSSDEFAELSNKSWAEITEEDEELSNRLEAERGCKSDSRRKGQPKRASNSQNKSKFIRSLELTNEVFKSTSTRRSQRSKSRTRNGNVVETESEEVMETIVLETSSGPISRKRCLSNASTINEEGSPSKRRMDTGKTNRKAPRARLFNSSDSSSVTSSPSRRDHWEEPTLGWCTDDAVLKRRSREIDRAKEKAVYQRYISEVPIRDRVKGQHPRTPNKLINFSRRSWDTQIKKWKRSLYEYCGEEPSESVNTSFCSYSDDAMSESGDTDKETENRNILRNLEIPVPMRPEVDSMASLLGKFDVDSQMGIDESTLKASTNSDPSAPTDFSKLSHQH >CRE02186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2279476:2283045:-1 gene:WBGene00053461 transcript:CRE02186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02186 MDVSMLQSPQKRSRSIQKLAVWKGDVKEHKEIFDMLNQQKEKRKVEVVPYEHLDELDSDWSEYTFFCGDFTSDLFHTLTKTQDSGASAHLIVGPAVLKHKLQTTQDFMLLRPCRPLYCELMKNVTMKLSTEVLNKRELVEMVHFMGGSVRKDTVQRTNVFIAAKVEGKVQSMTLVGVPTLRADWITECWKKRDDPYFDVFEQDFIDKHRLGVFEGLSLYFHGFKKNEIDDMMMNLNNTKGALADDPSKATHVVYNPINDDIEPLPVSSNQVHVTQEWFWVSLHRGCCAFEDNFALPTGTLRRKPLDNSNINSPIGKSLRSHNSRSLSSMRDCSGEGPSLNMTPDYIYSNDDVENMCKSPRQPSKRLRVCMEMVETEKNYVVLLKLVVKFKQALETEISQNEFMTKSDVAMIFGKLDPILELHEKIYARLTTVLNEANNLLSVVNGKKNDDKSLDFAQVWIDAKEEMKKAYPQYLNSFDTIKRLFDKQDKENSKFHTFCKAKESNPEFHRLKLTDLMVKPVQRLPSVVLLLKEIAKKSDSKLKHTAEEAAKAIDEVLKIANKTREKNDNLISHLSKFTDIENVPPILVAANRMFIRELVVSPIASTSSRLSQFSKMKLFLFHDVLVITKVRSEKNTMQRLARHASFASLHARQRRPYKYIDQIQLITMRSAFRIRAPEDVSKFLEAQSHVHGTKPRDVFVWCLIHRDEQGGDTETVFESPDSEEVRDFLDEIHVKIMSNCGRYFFLPDPVEVNELNDAQVVDLTNRYFRNLCGSKPQNGHGSQMNITVSERTPRNEWSHNDTIMGPPQSQSRMRRAFSNAQLTITSTLGFGRNPSRNNLARISENSSFMASPRVSCDPAALANMTSVGMPGCNSESESTPKRGLRARLTSATFNRTGALGRNTSMRRQTHGFSHSEKEPSRSRTSSHLDL >CRE02185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2247519:2274828:-1 gene:WBGene00053465 transcript:CRE02185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mpz-1 description:CRE-MPZ-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFJ1] MPFQSEEERIREVLRELKSRKEAGDVKEDIERIERILRDPLFKQLSHRPTSSSSQEVFKSDNRRNSEFFFVFVVPFLRLISLHILNSGGAEKRVVELSKRIESSDIRKNLKPNQKLLFVYLDAKKVDPVILETKEKSSRLLVVGPKDVKNRSAGKLRIGDCIVAIDASGDFSSTPSTVSDTNGAPTKSHFTHISQTIVKTQFESAVRHSINSNQHLVIGVIREATPMVLSGDWTQVEVIHLNTETGGLGFGIVGGTSTGVVVKTILPGSPADKDGRLQPGDHILQIGNINTHGMSSQQVATILRHQHPTVDMIVGRPIAYADKPVDTPECFTLATKAALCATTLEEALQRQMATSAPSTAANQTPNTVSPSISCIPIEEEKEEPEPTTSKEQPIKITTYIPDTIDLRKPCDCAPNYNRRDKRKSSCDDVGDGGGDCKKTKEDDEIKENGEEQETKANDCKEDELGEMVRSPTVETGQSDENSIVNSVSRSSKRNSNSITSRISLKSLQEMALTVFLRENWESTKFELIDVALHRDPALGLGITVAGYVHKKEEIGGIFVKSLVPRSAASSSGVIKVHDLILEVNGTSLEHMSHADSVRTLVKSGDQVKLKLVRFPQNSPQAQCLKMLQQQETETQVIDVKLSNPDLVKEWKTRIGDDIEIVAAVVKPDRQSVDGGLGISLEGTVDVLNGAQLCPHHYIESIRQDGPVAKTGLLHAGDELLQVNHSPLYGESHVTVRQALTRAVHSGAPVTLIVARRSQNLHVFEPTGNEKQLPLSFPFLAANQETVVKAKSDLDLTSTRAETTHLLHRVSRRLRSKSLENFHGLAVWNCVPLVIYLCKDSRGLGFSIVDYKDPTHQDESVIVVQSLVPGGVAQADGRIVPGDRLLFVNNHDLSNSSLERAVAVLKAARMGPVRLGIAKPIPVDQSQFTSHSPLCSRSERLLARGRSPRGRRRYISGTTSSQETVWIGTAEQYRKLHPQGYYPSRSPSAARSERSIDGSEFSMTSWSPCSTRSVSPCGSPISLRGSWAYDVVFLPTHLERTVKLQKGALPLGIVLDGDKDKGVNGCVVKSICGKKAVALDGRIQVGDFITKINTESLRNVTNSQARAILKRTNLVGTFCNVTYITSADAKTWKERFQRPSESSSPIINRLSPKVFPKFYRSPFMQRQESQSKTEMTDDETEAPSIMTDSMSEIGQLKNIDLAESSGSKSIDAEKEVRNRMSRLIDGVEVDDFVNLIIKEAIADATIELSVLHKTKDWSSTRNHKREERSESPPFPLPPPEVISSPPKSPIATVQPIPREPESLLTRSTNSAEYHTGQRTSQLHILSTEEEVRQATEQNTSSPSSPENKSQVPPSISPSGIKLAGEEEAEKEVVTTENPSEKKEEKVETEVVKEDVEDVAGPTTSTPTEAIGKDNESTTTSISQQSVALQTTQALNNASEPNSSMSRVTSRTPSTGSESLQNQARQLVRSKYWGEARTVVLNREPNKSFGISIVGGRVEVSQKGGLPGTGNTVCGIFIKSVLANSPAGRSGQMNMGDRVISVNDVDLRDATHEQAVSAIKNASNPVRFVLQSLHTNQQNMINSASNSTVGSVRFENAKPEEVIEQPSTSLVTPLKPMVSGPPSRQVTSFPPPSISTSTTTSIESESKEEECSSPEIQRENTVKRKSTDQAAVEKIEDKIEVEEKKEEKKEEEGPIKEKTPPPRKISTKEKSVERERQMSVESKKSVKSVKKKDSIKKSPSNETAPLIVSDVSSSETHDDEPQTMSPSTSFDTMGKLAETMKSMGIDEDSAAFQIKSEGEELSKFFYTSGKIERKYDSEGGELVLVACERPDGGLGISLAGNKDREKQNVFVVNVRPSCPLAIRPGDELLEINGRLLNKISHVAASAVVRECCDQHQNIEIVLRRRNGALVSLLGKKRFIHNLLKNECAVRSDTVTSSQSLPSPPVSPTATVASESSKSTTQMPPTEGIENAAPNQEELSRKKSFSIERTQAIENGRETMIEIDKDGKGLGLSIVGGADTVLGTVVIHEVYSDGAAAHDGRLKPGDQVLEVNGTSLRGVTHDQSIAYLRRTPPKVRLLIYRDVNLQLSLLDPTQIYNIFEIDLVKKTGRGLGISIVGRKNEPGVYVSEIVKGGLAESDGRLMTGDQILEVNGKDVRGCMQEDVAAMLKTITGKVHLKVSLGRWKITETANRVHAATQALAKSATTPRVGRKTNENNIDPARPVALPTNTPSPLATTPSTSSATPATATSSTTVPEPNVTVDTTPTPTSAREERKDVPPPAPPMRPIITHTSPEGCEIQQEPAGLSPVTEEPSSGNDFMSVQEEERERPSPVASSSNNNNSLAIDIIHDLKEEGSDTLLVELKKVMSKPPSLQHSSLFQIIDQQLGMGIGKRTRGILVTSLQPGSAAAEKLKVGDRILAVNALPVTDQLSAVTFVKASGERLYLQIARPHSIPQQ >CRE02184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2245027:2246376:-1 gene:WBGene00053467 transcript:CRE02184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ech-4 description:CRE-ECH-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LFJ0] MLRNLSLAARSVLYNPQTAARSFSSQTDFEQAQKKLKTLKEEPDNDVKLQLYGLFKQATAGDVQGKRPGMIDFVGRAKFDAWNSLKGKTQDEARVNYAKLVGGLVSEEAASTPESTGPSVEGLENVDGLTVSKEGKIFKITLNRPKKFNALTLDMYKGIQKALEVSNNDKSTSITVIAANGPYFCSGNDLTNFKAAAGGTKEQVAEMANTAKVVLHDYVDAYIKHEKPLIGLINGPAVGIAVTVLGMFDYVIATDKSSFHTPFAPLGQSPEGASSYTFPLIMGSLRASELLLVCKKISAQTAKDYGLINEVVPDNEFLTQSQKATELFSQLPPESLRINKTLLRSFHKDQLLKVNDIECDLIAERWQSKECHQAIAAFITKGAKK >CRE01800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2242035:2244759:1 gene:WBGene00053468 transcript:CRE01800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01800 MSSIDLVIDSSEKEETVTSSENLIRQPEEEEVIPGSSPEGVFPNEDGHIFEPIDHQNNIPPQHIPLQIEDLKHGEEIVEEQGDILVDDGEQQYMNMVHITPEDMYEAGFEFEDLNHLTEEQLNVVIAISQQRQAKQNDNDPIGGDGHTGAHHIIHGMIGNEFDGTGGDGNEYGADDDLQVQMSEASMQIILTHDGGVNITDSKQQNIYISPNEIANLNIDLNNLNNDHVNQLLQIALPSMKQNDDKNHGEAYTRDETPSTSYHHNDQINTEKIARPSSSIIGETVQIRTSDGRLQDAVVKYHRGNSEYKIQFMDGEFAYATIDQMLVPQRGRGDHDGYQVAAPMLIRRRDVGHLTSQKRAASSSEDMCPPLLKRSYQLAPVVDGPHVHTPNFCCPICDKKVFQKEPSYIVIRLPACDSCTREKIIVLDEQNS >CRE02183.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2238907:2241845:-1 gene:WBGene00053469 transcript:CRE02183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02183 MYIKTILLDGFKSYQKPTEIKGFSPQFNAITGYNGSGKSNVLDSICFILGINKLDNIRAKSMSELISHGGTKATVQIRFDNSDKSVSPFGMEHLDEIVVQRTITAQATGKGCATSYTLNGHASTNSKIQDFFRGIGLNVNNPHFLIMQGRITTVLNMKPEEILGMVEEAAGTKMYDQKKKDAEKTMFLKEVKLKEIDRIYDESIAPRMNKFREDRKNMVEVTRLNKVKEIAQRKLEAFQYYQALENVKRDIEASEEVKKQLDELDQKIVSLNEEMVKKEEEKKELERLRDNPVEETALAADHKEKHSIAMRLEQEQRATIDSIKILKKEIERIGKNIEKEKEHMVEKRDALEESKKKNNHDIETHKNDEELVEKLRNELESITRGTVANEKGEHVSLESMIQETRSQVSKLETNVKMAESRKNRFISKRDQVKGELEKITGNNAADQKAVDEVAQQTDELSGRIQALGFDSDEDNRLKDRKQVVEEKIKELENLNSRLLSKTMNGRYTGNFTNPPVLGFNAKTDVLGLLVHLVKIKPGCEEFGVAIDIALGGSVSREIYLFMCQLISMGHKKRQGKS >CRE02183.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2238907:2241832:-1 gene:WBGene00053469 transcript:CRE02183.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02183 MYIKTILLDGFKSYQKPTEIKGFSPQFNAITGYNGSGKSNVLDSICFILGINKLDNIRAKSMSELISHGGTKATVQIRFDNSDKSVSPFGMEHLDEIVVQRTITAQATGKGCATSYTLNGHASTNSKIQDFFRGIGLNVNNPHFLIMQGRITTVLNMKPEEILGMVEEAAGTKMYDQKKKDAEKTMFLKEVKLKEIDRIYDESIAPRMNKFREDRKNMVEVTRLNKVKEIAQRKLEAFQYYQALENVKRDIEASEEVKKQLDELDQKIVSLNEEMVKKEEEKKELERLRDNPVEETALAADHKEKHSIAMRLEQEQRATIDSIKILKKEIERIGKNIEKEKEHMVEKRDALEESKKKNNHDIETHKNDEELVEKLRNELESITRGTVANEKGEHVSLESMIQETRSQVSKLETNVKMAESRKNRFISKRDQVKGELEKITGNNAADQKAVDEVAQQTDELSGRIQALGFDSDEDNRLKDRKQVVEEKIKELENLNSRLLSKTMNGRYTGNFTNPPVLGFNAKTDVLGLLVHLVKIKPGCEEFGVAIDIALGGSVSREIYLFMCQLISMGHKKRQGKS >CRE01799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2236532:2238877:1 gene:WBGene00053470 transcript:CRE01799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01799 MTSSENVITLNVGGTTYTTTRSTLSKETDTLLANIASGSLSDDEQVTVVTMPDGSLFVDRDGPLFAYILHFLRTDKLSLPEQFREIARLKDEADFYRLERFSTLLSAASSISPRPRTANGYATSGAETGGYITLGYRGTFAFGRDGQADVKFRKLHRILVCGRATLCREVFADTLNESRDPGGPDDGERYTSRLYLKHQCLERACDLMAEKGFKLVATCCSGANGLAAANHPILTSNMGNINQTELMNHRNCGDYEEQRWAHYTEYVFFREPQTGYITPSLREL >CRE02182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2230271:2235016:-1 gene:WBGene00053471 transcript:CRE02182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mix-1 description:CRE-MIX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFI6] MEKKAPAEPPTYSNVIVKDQDTARILIDSKAFAGRRTMIPVTENHRNYNKNAIINDSVVARAQKVADEYHEKVFKLIDMVDFPDYINNTFLNTLGQILVVQTLDCANKVAYADGVKTRVLTLRGDDVKPTGCMTGGVTEHGDTSILTLIAAMHPRKEEINALSKELDVIVARIKATEAIANQHREMTGRLQVLQRHLAQLKNKVNGSPEGLLRQEIADAEEEIKKAMAENENTSKELKTFTDKMKMLEARKNNDKATQAKRKKELTSELQKLESQASKIKDKADQARRAVMNLEAAVDDIGNTIRKYETEWEAKKKELDELEEKLPGLTVETETANGVQKASMAALTEFKNHQRTLTTRVTKVAKECDLMRKEESKTRGKKEEREKEVVRLYESGKANKKHSESLLRKCEWLADEQVHFNKRGGIYDFDGYSVNRGTAELKETIDRIEAIERTLCMKNVSNLDTCEAKVMDITNKREKLREDFKMLKKTIAVLDRKKVDELVRAHKSVNEDFGKIFTCLLPDASAQLVPPEGKTVCDGLEVKVSFNGVVKDSLHELSGGQRSLVALSLILAMLKFKPAPLYILDEVDAALDLSHTANIGMMIKTHFNKNQFVIVSLKQGMFSNADALFQTHFADGHSSCNLLTGEALLKAKNDTKLAKQAQEMIDAEKAAKKAAKKPTAKKSKPAPEDDDM >CRE01798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2229121:2230089:1 gene:WBGene00053472 transcript:CRE01798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01798 MDAVRTNADFRFFMQWPFGVLMGFQTLFSLISLIVFYFALFLYEGTSFVIFMLSLLLVCSITHNIIHVFGLHRRVFVIFGRAVFIPATLLVFLTSAVCCIGMAISTLIVLIGFIDSLRFRARLIVVYAILTVTCAILTFACTKIVMLLFRAAPNGQIKGLVQVVIEGDRTSKITAAHTTTTTTTTTTTTTTTNFV >CRE01797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2226496:2228980:1 gene:WBGene00053473 transcript:CRE01797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01797 MDQIMAMVKTVNSSSPIQPRSSLESTSSIEQRVEVKSEVQEAVLSQVFPHLSTSSESTSEDHSMSFEYLESEPSADGRPQQLSYRHFVVGDPREKKLQEKIEFVKIVLKHKSSLFGDCDGHEITPRSKEDAWKRVAAEVESASLESYRGKPWARLRDHDWQYVRRHALSRNENSTRPGGKLGYYSELDKIVLEIISTTALANAFSQATSSLISQGSTNSHTSQQSTDSVDIWRSIIFNSVNQDSTDNPDFESKDDQLIVPKTDDIVVNTSLTIPVPIAPVVSNTAAENILDYIKATHVSPSLSVDAAIQQARALTKSPISKKITCRSATAPSVYAITSSTASPPQLTTTTPIQPPAPKRSRVESEKSPGSSTVTSSFEQKREALTLRKLEVDIRHTELLNEKLELEIRAIEAQEKRNQELHAIELRAAKARMLRGAAIDEPSIDTNQRVLVRQPNGYNLE >CRE02181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2222894:2223286:-1 gene:WBGene00053474 transcript:CRE02181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02181 MHMPVLRTRNVIHKSLIFEILQDSENNSSECSFGNKSFEVFGNVNKEDDMSLNPTIFQNFVRFPEDDILIIRFKEHEKKNISNPPRVFIECGRPKIRRSRQTPPVNAYSIIFIAVAMDRHCAKRKKRNES >CRE01796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2222164:2222709:1 gene:WBGene00053475 transcript:CRE01796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01796 MEVLKQRVTMLTNQEVLSFLNAVKKEEDNKLHGDRCKMLSTVVYETKKYLNSSPAATQNEAVICELIPKLAPYKLTGAETLQVVNMRPANTTDIQLLIEETEERFPSEEELEKLVTTVSECLPPPPKR >CRE01795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2220380:2221758:1 gene:WBGene00053476 transcript:CRE01795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01795 MTSSSSAHLPADNKVVIFVKRPGENNPPTVDCFDVIPIDSPTEKDITSEQCIVRTLFLSVDPAQRCRMNPSTGVDYLGPYEIGEPVDGMEGVGVVERAGSGSHLHVGDLVTGCIRLWTWTKYFVCDSSDLVKVNLPPSFSPSVILSCAGISGITALLGIRKKALIDRSRPQTIVVSGAAGSCGSLAGQIARIEGCSKVIGICGSDDKCKVLKEEFGFDNAINYKTEKVSDRLSELAPEGVDIYWDNVGGTISDNVIQSVRFIMFIKCIPICFKMNTGGRIVLCGQIAVYNTDLPYPPPLPDQTVQILKERNIERERYLVLTYKDEMDEAIAQLSEWLQQDKIKVKETIYDDLSSAPSAFVDMMTGKNIGKMLIRP >CRE01794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2216008:2218480:1 gene:WBGene00053477 transcript:CRE01794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gmps-1 MNGSHVTLVENLPVEKIKSGDRIAILDFGAQYGKVIDRRVRELRVQSEMFPLNTTAKQILDLGGFKAIIISGGPNSVYAVDAPTIDPEIFTCGLPVLGICYGFQLMNKLNGGNVSREHIREDGACEIQIDTRVALFNGLDDVETVLLTHGDSVSDSTVAPDFQVMAKSGHHVAGICNEQRKLYGVQFHPEVDLTTHGSKMFENFLFKIVGCCGNFTIQNREQACIDEIKNIVGDKKVLVMVSGGVDSAVCAALLSRALGPNRVTAIHIDNGFMRHEESDAVEKSLAALNLPVHRYNYGTTFRSSGEHAQEGEMSLDECDDPELKRRIIGNTFIRVKDLIMKDLNITHEEYFLAQGTLRPDLIESASALASGHADTIKTHHNDTALVRDLRNLGKVVEPLKDFHKDEVRELGKDLGLPEQIVQRHPFPGPGLAIRILCAADRRPEFYFDLPVFDEEVHGSIQELTEKLISAAVNPSQGIYEYHRLEKARTDTLLSLSQRDRILAEKQTFQISAHVLPIKTVGVQGDARSYSYAVALSTDERPIPWQLLFAYASVIPKLFHGINRVCYAFGRKIENSIEDLTRTLLVPQIVTKLQMADHIASNVLFAREPAYTGVTLQNVGHKIQQMPVVLLPIDFDREKCAAGSYKHSIVLRPFVTSDFMTGQAAIPGVHIPEETLLEMDYAIRSNVLGISRVLLDMTCKPPGTTEWE >CRE02180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2212896:2214148:-1 gene:WBGene00053478 transcript:CRE02180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cap-2 description:CRE-CAP-2 protein; F-actin capping protein beta subunit [Source:UniProtKB/TrEMBL;Acc:Q4TTM6] MGEQQLDCALDLMRRLPPQHCDKNLTDLIDLCPHLVDDLLSTIDQPLKIAADRETGKQYLLCDYNRDGDSYRSPWSNTYDPPLEDGQLPSEKRRKMEIEANAAFESYRDLYFEGGVSSVYFWDLDNGGFAGIVLIKKEGDGAKNITGCWDSIHVIEITERARQAHYKLTSTIMLWLQTNKSVSGVMNLGGSLTRQHEMDAPINDQNTHLANMGRMIEDQESKMRLTINEIYFGKTKKVMSDLRSSEKQSELEKQDEIVREISNAVASRGTN >CRE01793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2210673:2212557:1 gene:WBGene00053479 transcript:CRE01793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-4 description:CRE-CYN-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LFH8] MGKKQHQKDKLYLTTSEWKSIGGHKDDTGTRLQRAQFKRLPINHCSLSLLPFEDPVCARSGEIFELTAIVPYLKKHGKNPCTGKPLAVKDLVHLKFDKGEDGKFRCPVTFRTFTDHSHILAIATTGNVYSYEAIQELNLKRNHLKDLLADVPFTRADIIDLQDPNHLEKFNMEQFLHVKLDLKTSEEIKKEKEAMKDPKFYIRRMNNACKSVLDQLDKEYVPKQNEVVSEQTADAVNAAHYSQGKVAAGFTSTVMAPVTSNKAAVLDDDTVRYNRVKKNGFVRLVTNFGPLNLELYCQKAPKACENFITHCSNGYYNNTKFHRLIKNFMLQGGDPTGTGHGGESIWGKPFTDEFVSGFSHDARGVLSMANKGSNTNGSQFFITFRPCKYLDRKHTIFGRLVGGQDTLTTIEKLETEEGTDIPTVNVVVMRAEVFVDPFEEAEKEVQAERAEILKKSRLHFRRNNPFSFFPASKDTALMANKKERDSKPKAVGTGIGKYMQSAAAANKRQGQMDDIPLEAAKKKKFARAGLGDFSKW >CRE02179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2209391:2210374:-1 gene:WBGene00053480 transcript:CRE02179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-copz-1 MADFDTNPTSLYSIKGIVILDQDGNRVLAKYYDRNTFGTVKEQKAFEKNLFSKTSRNTSADIVLLDGVTCLYRSNVDLYFYVLGSTRENELFLDATLTCLYDAVSVVLRKNVEKKALIDAMDTIMLIVDEICDEGIIMETDAQAVVQRTALKNDEVSFSDQSVSQIGFSFIESANKQFKWSLLK >CRE02178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2207680:2209274:-1 gene:WBGene00053481 transcript:CRE02178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-orc-2 description:CRE-ORC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LFH6] MPKPKILKRSAVVQPGIQAVPVKKATPEKTGQKKVSGKENSSARNLTTKLEEDLENLVFEDESVTMARSAIENYFMQGKSASMNIAKARRGKRAENPDDNDDEDGDERVNKMIAEFTKCDLPGLRDYINNKDSSAFDKQLENLADTEFQKWKLYLASGFNILLHGVGSKREVLTEFEDELSGYTYMRVDARKDGINMKALLNAINENMKLNCPLKRSQTTISWARAIKRKMYGQQLILVIDNIEAPDWRNDQEALCELLENKDSIKLIATVDHVYSTFIWNSRQLASLSFVHITLNTFEIPLQEMMTGDSRILGLDSGSSQSVHTLSSLDAFWKSLASNSQKLFKLFFQMYFDTKKPVKFWDLFNAAKDDFIASTDSALRTQLVEFKDHRVLKWTRGDDGNDQLSGIVELKLVTEFLESKNMPLDEKKDE >CRE02177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2203576:2204580:-1 gene:WBGene00053482 transcript:CRE02177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lurp-1 MLLIFPLLLILPSATLALVDCLSGFVGRMQASVEDNPFHLNDTAMCSAMYCIKVIIHSALDDDGIFQQGISSRCAYTGGDRQVCQKNDGKCQDISFYDGMKGNFSFCCCQENKCNTATEQELNSIYSMSSRSSRKVNSSNEISVFSIFLLSILYAFIGF >CRE01792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2173797:2203022:1 gene:WBGene00053483 transcript:CRE01792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptp-3 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LFH4] MFQFRNTNYSMNRIARHLRNVARRKGSLLLVLLMINTVLITGTTAKEDGKLGEEDEDFGWNDEEPPDGQSIPDGGIPLVRKEEFTNNQLYAEAYQSYIQALAYPARLVVRPDSSTVVDESKISFFCRADGNPLPSVIWRVNGKSISDHNRYNFKFFFSILPKNTFRISIKSLSTGLSTLRFERVSVDDNATVVSCSADNGVANPVVAEASLTVVPRDKLPSGFPQIELHPSLKSVEQGKTAYVSCRVRGDPRAKVLWLRDLIPLDIRADGRYSVSTIGNPGALMIQHAREEDQGKYECIARNSLGVAHSKAANLYVKVRRVPPYFSYKLERQYVVGVGGNINLTCVAVGYPMPRVFWKKTDLMVLDDPSTAPIGKNVLTLTHVESTENFTCVAVSALGNIEATTTVIAKELPPSPLNIVVSSVTSESVVISWKPPKYNEAINKFIVNYRLKSDKEDAALFTKGGTEEDDSERYSESRSSRGKTVETLENSLVIDGLVPFQVTSFPKSEKKLNFMFQTYEFTVRSAGPVGVGLESLPVEAQTMASKPASAPVSPQARSLNRDSILVKWGPCEQPNGLITGYKVYYTNDVATTPLRQWKQHDAKSDEFMTTINGLEPDSRYFVKVVAHNSEGDSPLSELVTVSTRQGIPGQPPMLKIKALDSRRMQLTWDTPLHSSPVVGYTVRYNTSDGEKELTLTSPHEKHVVTGLHPDKYYYFRVAAYSDRGQGEFTEPMISKTIASIPLSSPTILSGAATSSRSVEIRWKAPEQKKLNGVLTAYRISFFRLEDSTKPLDDSEESDEYDEEMKHSIEKISVVVPSDVTSYVLSDLLPYSTYEITVAASTMDGYGPESAIRVVKTLEDVPSAPRNFNAELTSATSVKLTWDAPASANGALLGYYIYLDRIVNGEPVVEKNSKKRIVMIRDSSKRYYELDSLDPNTEYSFRLNAFNRNGDGEFSERKNVVTQGIPPEAPEIVSVSLDRDEPPVVSRIEWKMPKMKPNETPIEKYNLWLRPQGYPDSYIKSKTVDGTDLSTTISGLWMGVVYDVLLGAENREGRSQNATETIATPVGSPDGEPIGVQYEVMKGKIVVSWRPPPEEKRNGNITSYKAILSAMDESTDRFEKMVPAPSTSSTFEVNVRRAYLFKVAAATMKGIGPYSPVLTINPDPAALVGPPTNVRVEATSNSTAVVQWDFESQKADSFVVKYMHEPGNRMDTEKWKQLPVVSIDKENPKRFAIVSELNAHKPYAFCVLAVKNNRQGPCSDPPTVLENVTPTFMVQNLRVRWKTSNSVQLTWEYNGPRNVGFYVNHTGKKDYMNHELQEKTMSTPGFGQDLDEKTREYLWTNLRPHMMYTIHVGVRTLPPGARKYWPQEVITVTDPTGPPFVDVPQLMDSSGTQPGQQMIRLTPATEEYGPISHYWIIVVPANYSTEDVVNLDPIELEKATAEKRAQLARSLSVSPSKKLKRKAEYTEERSHPKEKRARRGAVPGAYVTARLSADRVKQHYRNNQPFVVGDSQLYDGFTNYPLEHNIHYRLMMRAFAKNDVRAKDSFEQRAPMSEKLSRMYSDSLLTEPFTIKSALRGASQKSSPWVGACIALFILLSIVGMLVCWWLRCNKKSAGRHPRHGSITKVALTGNIMNGGGMPGETSKLLSTSNEYGRQIMNPYEQMNGNQHMESSLDLYPLPRSHSRTNGYAPVPVPLPSLPNNGNNMTTVSHPAVPIAELANHIERLRMNNNIGFQTEFESIETGQHFTWEHSSAEMNKEKNRYANVAAYDHTRVVLSNVEGYPGMDYINANYVDGYEKQRSYIATQGPLQNTFADFWRMVWEEQSVTIVMLTNLEERSRTKCDQYWPSRGTAVHGDIEVTLLESVHLAHYTMRTMRLKMIGEPEIREIKHLQYTAWPDHGVPDHPTPFLIFLKRVKTLNPQDAGPIISHCSAGIGRTGAFIVIDCMLERLRYENTVDIYGCVTMLRSQRSYMVQTEEQYIFIHDAVLDAVNSGSTEVPASRLHQHVQALMQPSVDQMSGIDMEFRHLTTLRWTNNRCTVANLPVNRNKNRMLSAVPYDSNRVIMHMIHGIEGSDYINASWIDGYKERGAYIAAQAPTDETAADFWRAIWEHNCPIVAMLVQLTERGQEQCSAYWPPEEGVTVGNLRIEMMSEYDMKHYHLREFRLSDIYTHEMRTIRQFQFMEWPETGRPYKADHFLDFVTQVHNTYAQFGCTGPITVHCCSGAGRTAVFIALSIILDRMRAEHVVDVFTTVKLLRTERQNMIQEPDQYHFLYQAAYEYLAAYDNFS >CRE02176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2155568:2156582:-1 gene:WBGene00053487 transcript:CRE02176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-81 description:CRE-COL-81 protein [Source:UniProtKB/TrEMBL;Acc:E3LFH3] MEDKRRLVEKEVESFRSIAFVGISVTIAAAMIAVIAIPAFYSYVQHVQSKLDSEVDFCKHRSLSLHNQYEVVEELTGVPSKFVVKREAHRGMSKRRVARRKAIRRRQAECCSCGVGAAGPQGPPGRPGNDGHDGPAGNPGSPGQDGSDNYEHQQNRQFCFECSEAPAGPPGQPGQPGAPGNDGRPGQSGGAAIPGPPGPPGPSGQPGQDGNPGQDGQPGQPGESREVPGAPGPAGQPGSQGEPGPAGPDGRPGQPGQAGQPGGPGEAGSDGQPGQPGQAGDDGEDGDSGSSGSCDHCPPPRTAPGY >CRE01791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2153710:2154856:1 gene:WBGene00053488 transcript:CRE01791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ram-2 description:CRE-RAM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LFH2] MSSTDNEKKLWVEAESLRKVAFFGICISTVATLTAIIAIPLLYNYMQHVQSTLQTEVDFCKHRTNGLFDQYERMQQLKGVRGKLIVKRQAGYDAPADAAVQATTQSSGGSCCSCKTGAAGPPGPPGTDGQDGKDGLSGPDGQPGADADPEATPSEADFCFDCPAGPPGPAGNAGPKGAPGNAGADGQPGAPGNAGAAGAPGAPGPAGPDGQPGSAGQDGAPGVVEEVAVPAGPPGPAGAPGAPGADGQPGAAGQPGQDGPQGPAGDAGSDGAAGQPGAAGEQGPAGEPGAGGGCDHCPPPRTAPGY >CRE02175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2144435:2148796:-1 gene:WBGene00053489 transcript:CRE02175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-858 description:CRE-LET-858 protein [Source:UniProtKB/TrEMBL;Acc:E3LFH0] MSSSRSPSPGSPPAATNEEKDEAATEQPDSPKSGSEKSRSASPRESRESSPESKRSGRSDDEDDDKQPATPPSDRSREASPEPKRHRESRSSSPSRSPAPARQRSPIRQKSPVRRRSPAREKSPEPAPKPSDEKKKNDSKDLLRTRTGGAYIPPAKLRLMQQQITDKSSEQYQRMNWERMKKKIHGLVNRVNSKNLVQIVRELLQENVIRSKGLLCRDIIQAQAFSPGFSNVYAALVAVINSKFPHVGELLLRRLIVQFKRSFRRNDRGVTVNVIKFIAHLINQQVAHEVLALEIMILMLEEPTDDSVEVAIAFLKECGAKLMEIAPAALNSVFDRLRAILMETERSENALDRRIQYMIETAMQIRKDKFAAYPAVIEDLDLIEEEDQIIHTLNLEDAVDPENGLNVFKLDPEFEKNENVYEEIRKEIIGDADISDGEEEESEDDDDESDVEEAPKKTTEIIDNTDQNLTAFRREVYLTLQSSLDYQEAAHKLLKMKIPDNLQNELCAMLVDCCAQQRTYERFYGMLVERFCRLRLEYQQCFEKLCQDTYATVHRIDITKLRNLARLVAHLLSTDAIDWKIMTDVKMTEEDTTSAGRIYIKYIFMELVEAMGMLKLHSRVTDPTLAHCFTGLFPRTDPNSARFSINFFTMIGLGGLTLELREWLAKGLKKKKGMLDELKAAQSSSDSSSDSSDSSDSSDSSDSEDSSSDSSDSSSESDSSVEPPKKKKKKSDEGSKKNQREESSDHHAKRGDDKAERRKDEREVKSKSEERRRDRSVEKKDSRRDRRNSGEDRRDRGHRDRSKEPEDRGDKRRHRHDSDDDRRERKSRDRRDDRDDSTDKRRQRRDSDDERRERKDRGRRDRSEERGSRRDKKERSRSRDRRDRSSRSRDKVERRRYDDDRRREEKVGSDDRRRRH >CRE01790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2142782:2144148:1 gene:WBGene00053491 transcript:CRE01790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-18 description:CRE-NLP-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LFG9] MNANVYSIVCFLSFLVLCISAQLHADNAGEVEGVVDKRSPYRAFAFAKRSDEDLEFLEKRARYGFAKRSPYRTFAFAKRASPYGFAFAKRGQFSSFA >CRE02174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2136549:2138653:-1 gene:WBGene00053492 transcript:CRE02174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02174 MTMMTVIPLLISTIPTVFCQSYTFPLGPNQPYPQYNNMYESRYPYIPNQQMQQGSSMGQMNQMNQIQQRLPMSQMNGMNQMNQFNQLPIQNPIQQRFSFNPMTRIQVQPPMQEASQFPTSRITSPIGASEYQVDQRVPLPKSSSSLSSQPSISNSPTRIIPPFLESASVAEQDKFYEIVQHPTWSAAEKNRRIEEFMRTMSDDRQVCILFFDSGQFHQSFQSMYTNYRRDVVDKELEEKRRNVDRAVSSMSKEAVDEFQRVVRIMHDPSQTEPEKLKKIEEIYSKLPDAIRKEFDIKLKGFK >CRE01789.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2134819:2136326:1 gene:WBGene00053493 transcript:CRE01789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cey-1 description:CRE-CEY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFG7] MAEKNDVAEQPIEKPVKATKVKGTVKWFNVKNGYGFINRTDTNEDIFVHQTAIINNNPNKYLRSLGDNEEVLFDIVEGSKGLEASNVTGPDGGPVQGSKYAADRDSENPGRGRGGRGRGRRGRGGVRHDSGSRDAEEGAPRGGGRGGSRRGGGRGGARHNSGGEETSRDTEGGERGGRGGRRGGRGRGGRGRGGRGGQQTEA >CRE01789.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2134819:2136141:1 gene:WBGene00053493 transcript:CRE01789.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cey-1 description:CRE-CEY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFG7] MAEKNDVAEQPIEKPVKATKVKGTVKWFNVKNGYGFINRTDTNEDIFVHQTAIINNNPNKYLRSLGDNEEVLFDIVEGSKGLEASNVTGPDGGPVQGSKYAADRDSENPGRGRGGRGRGRRGRGGVRHDSGSRDAEEGAPRGGGRGGSRRGGGRGGARHNSGGEETSRDTEGGERGGRGGRRGGRGRGGRGRGGRGGQQTEA >CRE01788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2129660:2131411:1 gene:WBGene00053494 transcript:CRE01788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-83 description:CRE-COL-83 protein [Source:UniProtKB/TrEMBL;Acc:E3LFG6] MTWQKTEDLEIQSLRNVTLISIGITVTVLLLGLVGSIIIFSKTYAFQTELEYELKYCVSKSHDLWDKLTQLEITKGVKTRRKRQFFLDYCNDVSNCGGPSNCGQDSGCTVHAVHSNGCGDNNGCSQPVQPVQPVIVQQIRQENICIFGPPGPPGFPGPDGLDGEDGSEGYPGTPGSNVPEPVLPEIDDFCFDCPPAKRGPPGQQGPKGLRGPPGPIGTSDYYVTAGQPGPPGPPGPPGDPGTPGSRGPPGDPGVSIKGHQTVEGPRGAPGPPGAIGIPGPQGAEGIARHGSVGPPGNRGANGAKGKTGSRGSPGPMGPPGPSGGCSACPIPRVSPGY >CRE01787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2127765:2129397:1 gene:WBGene00053495 transcript:CRE01787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01787 MVHSEEYKAKRLARKQRFQQQSKKNNVFKARRRNNFSKRPHFRNGPQKENTHDHPKQDSTALRQRGFFQVLPTDEIPQVPQCSHGPCLLFEKKNGSEVEETFFACAIYRNQTEFCDFKLQFNRETGEIEVKEGEDAEKKKKKKKIFGYNRIPKALTEMKPSDTILYCKECINVFPNKHECVCEPIEAEALARPTNLLPPVSEQHGESQFFFSDETLGVIVKAVEKSKVDGILCIGAPRIFETIRALHPEKNVFLLDYDKRFAKFFPSKQYAQYSMLVDHFFDKNAEPKLMEFFQNSKSILLITDPPFGVFMEPLLKTIEKMKKRFESTGKDITSFYSMIVLPIYIRKYVLHDNFWMSDYRVTYVGHKLYQYPEKTIVRLFTNLPKECIDLKKVNGYKFCESCDRYVTEKNIHCERCDSCTSVEQGKWNHCDQCDKCVKPRYVHCAECERCHLYGRCIQK >CRE01786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2126724:2127663:1 gene:WBGene00053496 transcript:CRE01786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdhd-1 description:CRE-SDHD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFG4] MAASLRHMAHFQKALLVARSAPRLSTIVRATSTLNDGASKVPDHSMHFKLERLWAVGMLPILPASYFIHGPVMDAVLTVALTLHIHWGIHGVVYDYARPYVIGETAAKAAHVGVYIITGLLLAGLLHFNTNDVGITKAFELVFSL >CRE02173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2125395:2126529:-1 gene:WBGene00053497 transcript:CRE02173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02173 MDCRKRSAEESIDSNEHAKKSKAPRELKNILAAFGCRKGERADMQDTHILSPKFDLGEDKLFLSRSSFFAIFDGHAGPRASEHCQNQMGRTVKEKLVKFSDFASLTKSLKQTFTESYKAVDDGFLALAKQNKPQWKDGTTATTMIVLNNVIYVANIGDSKAVVARKKEDDSFSPVCLTADHNPMAHEERMRIQKAGATVKDGRINGVIEVSRSIGDLPFKSLGIINTPDLKKLTLTENDL >CRE01785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2122578:2125284:1 gene:WBGene00053498 transcript:CRE01785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01785 MSSHLSIRKMPIDESPEDEEEVPTAQTTITSYCSSDVINEVMNPLIEQVKDVAHLEEANHEEDTPNDKIKLESIFEGLGSFGRFQQIQFILICIPIAFVSIHVMSWTFVVSSARKICNETNETLVDGCEELAYSASDRWDMNGDNAWIRATVQSVYLVGQMIGSFTCGVMADKIGRKKVLFWCLVLQVTCALLLIIAPTWWIYALLKAGTGFTQPGIYGVAVVLGIELVGKQYRSFIAVIANVFGVVGGIILSTMAYYIVDYRLLHAAIAIPSLIFITYYWVIHESARWLVSQEKYDDANVVLCATASMNKKTMPKDWADKMEKKVDVTATKKKDSFGAVDLVRTPQMRKRTLANFVMWPVTTMMYYGMTMRSDVGGGSLFVTFVSSQLMELPAVIIVALLIDRLGRRIMYSGSIFTAGVFLLANWLTHDLIPNQYAVVMLMIAKGAVSVSYTVMYTYTSELFPTVIRNTAVGCCSTMARVGAVTASFIAFFLVDRYGRIVMIIPFTILAMCASIVSWFMLPETVNKPLPDSISEIEGNKI >CRE01784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2099567:2121239:1 gene:WBGene00053499 transcript:CRE01784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-53 description:CRE-UNC-53 protein [Source:UniProtKB/TrEMBL;Acc:E3LFG0] MIKWEPVLEKSYGILDLIIYTDWANRHLSKGSLSRPIRDISNEFRDYRLVSQLINVIVPINEYSPTYTKRLAKITSNLDGLETCLDYLKNLGLDCSKLTKTDIDSGNLGAVLQLLFLLSTYKQKLRQLKKDQKKLEQLPVAVMPPAVSKLPSPRVATTTNPSNPNSNFPQMSTSRLQTPQSRISKPDSTKIGIKPKTSGLRPPSATSSNTNINSFRPSSRSSGNNNVGSTISTSAKSLDSSSAYSSISNLSRPTPSSQIQKPSRLQTQQVRVATTTKIGSSKLAAPKAVSTPKLASVKTIKTTTTNTESENSGGMLKLKLFSSKNASSSNNSPQPVRKTEQSRIVPPAKTGLKPPTSSTSKLGSATSISKLCTVSFYKITPKVSYRKPDSIHQPESKRCSKSSEEESGYAGFNSTSPASSSTEGSLSMHSTSSKSSTSDEKSPSSDDLTLNASIVTAIRQPIAAAVSPNVINKPVEEKPTLAVKGVMSSTKKETATVPPTVPERSNQPAIGVVSPIMAHKKMTNESASSDKPESPPEKLQSISIDSDLPPPPTPLKSFERVPPKMAPIRQPPTYDLLLKQGKITSPVKSFGYEQVESSASEDSIIGHVQVTPPVQKSTGNHHSLERRMQKNKTSESSGYTSDAGVAMCAKMREKLKEYDDMTRRAQNGYPDNFEDSSSLSSGISDNNELDDISTDDLSGIDMATVASKHNDYSHFVRHTSSSSSSRPRVPSRPSTSVDSRSRVEQENVYKLLSQCRTSQRGAAAATSSFGQHSLKSPGYSSYSPHLTVSADKDTMSMHSQTSRRPSSQKPSYAGQFHSLDRKSHLQEFTSAEHRMAALLSPRRVPNSMSKYDSSAAAALNASGMSRSMILLESLSPRPPRRHQSPAASCIVTASPSTRRSHSPRAPTARIPLSLASPVHVNNSNNIRGSYSARSRGGSSTGIYGEPFQLHRLSDEKSPAHSARSEMGSQLSLASTTAYGSLNDKYEHAIRDMARDLECYKNTVDSLTKKQENYGALFDLFEQKLRKLTQHIDRSNLKPEEATRFRQDIAHLREISNHLATSSMHPNEGAGELLRQPSLESVASHRSSMSSSSKSSKQEKISLSSFGKNKKSWIRSSLSKFTKKKNKNYDEGHMPSISGSQGTLDNIDVIELRQELKERDSALYEVRLDNLDRAREVDVLRDTVNKLKTENKLLKKEVDKLTSTTRASSRASIPCVHDDEHVYDAACSSTSASQSSKRSSGCNSIKVTVNVDISGEISSIVNPDKEIIVGYLAMPANHSSWKDIDDSILDSFEKYLSKIDMDHQLDLSAKDAILGYQIGELRRVIGDSSTIITSPPIDILTPTTTIRMFMHGAAQSRVDSLVLDMLLPKQMILQLVKSILTERRLVLAGATGIGKSKLAKTLAAYVSLRTNQSEDKIVNITIPENNKEELLQVERRLEKILRSKETCIVTLDNIPKSRIAFVVSVFANVPLQNNEGPFVVCTVNRYQIPELKTIPNFKMSVMSNRLEGFILRYLRRRAVEDEYRLSVQMPSELSRIIEFFPVALQAVNNFIEKTNSVDVTVGPRACLNCPLTVDGSREWFIRLWNENFIPYMERVARDGKKTLGRCTSFEDPTDIVTEKWPWFDGPNPEDVLKRLQLQDLAPSPANSSRQHFNPLESLIQLHATKHQSIDNF >CRE01783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2091575:2092962:1 gene:WBGene00053500 transcript:CRE01783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01783 MSISGVKIINDSGQELFALRESGSIVNFGRDKKVCHITFDPHAARVSRVHASIEWTNEDLFLIDKSKEGTLVNGKRVKQSKEKLVPGTYHLEIGGIPMSVEVEEEELEETVLESDTSEITEPVDIQPTITIAPGNIKKSTSVSRESDDDFDDVSSITTFNVSKYKHSLKRKSDATLFDDSQPRGKRGPVAPKPINFDDEMENHGKKGTRARKADESVVFEDETPAKKSKTVENKPAPFSSQQLMKKQKVECDEEMESIVDKVAAIPSVSQESNIEQLEDLNHSEMHFDRGPAAPNETIKYANLIFHQPDHSRNVTIRNDPSVPNFKRFIPKGMRGDGRTSAASMYSNNTSYNTTIQMVDARKLH >CRE01782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2090897:2091483:1 gene:WBGene00053501 transcript:CRE01782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-41 description:CRE-RPL-41 protein [Source:UniProtKB/TrEMBL;Acc:E3LFF8] MVNVPKARRTFCDGKCRKHTNHKVTQYKKGKESKFAQGRRRYDRKQSGFGGQTKPIFRKKAKTTKKIVLRMECTDCKHKKQLPIKRCKHFELGGQKKSRGQVIQF >CRE02171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2088085:2090343:-1 gene:WBGene00053502 transcript:CRE02171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nuo-1 description:CRE-NUO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFF7] MASAAVSLGARLIGQKASKVAVRGVVTSAQNSNAQVKQEKTSFGNLKDSDRIFTNLYGRHDYRLKGAMARGDWHKTKEIILKGSDWILGELKTSGLRGRGGAGFPSGMKWGFMNKPFDGRPKYLVVNADEGEPGTCKDREIMRHDPHKLIEGCLIGGVAMGARAAYIYIRGEFYNEACILQEAINEAYKAGYLGKDCLGTGYNFDVFVHRGAGAYICGEETALIESLEGKQGKPRLKPPFPADIGLFGCPTTVTNVETVAVAPTICRRGGDWFASFGRERNRGTKLFCISGQVNNPCTVEEEMSVPLKDLIERHCGGVIGGWDNLLAIIPGGSSVPLMPKNVCDTVLMDFDALVAAQSGLGTAAVIVMNKQTDIVKCIARLSLFYKHESCGQCTPCREGCNWLNKMMWRFVDGKAKPSEIDMMWELSKQIEGHTICALGDAAAWPVQGLIRHFRPELERRMAEFHKQVLAEQGAKQISQ >CRE01781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2085822:2087586:1 gene:WBGene00053503 transcript:CRE01781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01781 MRFPITFLLLLMCMFVATANKLEDVERMQQVLRHRNLFKMDISSVSRDQFAAYMATFCMTVSDWYPPDYLASYISWEKIEFLNSVKNVLEESDASDTVANYFHHLGHNVVSNCSIKNEKLVRDSHHEISQDTLFDNYMYDMMTRNEWNSIAT >CRE02170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2079775:2081206:-1 gene:WBGene00053504 transcript:CRE02170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02170 MTRRRSRSENYEESIAFHRGVQPITLIEQECTLVWRFPIDISQSSIGGRTTLSNACTLIAIKTAELIHIHDIEMPVPQSKNRASKRVMGGAEMRERTKTTVLSSQNLEVVDITKESETKSCPPRIVSCLINGIIDGNEAYRKDAGENSTRNYNLPDAINACDLSFSEVDFKLSTGSLQETLPKLIKLAVRNPLFRSERRLVFILISCVRTVLIVFDRSQHSLTLFDAHHHLYTDKRSKNNFIIFCEYGYFHAETKKHGALIGTCRYSNLNSFAQWIQNFVFPDVKNTEEAFEISLIRITEVGTNKAQGVCKYIENVSTPLRTSIFEPEVSQENKENLKVFDYPVLRSILSKPPQIVAKIGAKRLLDSTNGKTSVASGRIKKQKK >CRE02169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2077215:2079061:-1 gene:WBGene00053505 transcript:CRE02169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nasp-1 description:CRE-NASP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFF4] MSTEDIIDQTKVSAGGDEPEKENSEPTQEEKEKRLAELLANGRRELRVNNNAEASEILSEAAELSVEIYGEGHENTFDACYYYGMASLEVAKLESALLKNPDEKQRNGVSGDEEAASGDEEEKTAEDENDDGSEKEDGEESEDDDEDSMKLAWELLETARCTAAAKIEALEAERSGVQAIEQWNLKLADVLILLGDHGIADENYEQAREDLGRALGIQQNILPPSSRIIAQTYILMASACSSSMNFTDAVTFYKRTKDTLVAREEELKKQLPEIEDKEKKSEIEDELKELEEMIPGIDEMINDANASAEQVEQTTKEIKAQFAGFTTILSKLPQETEEKEVNDISNLVRRPAKRQTEITDINADTTKKTKSAEKEEENSQI >CRE02167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2067944:2074283:-1 gene:WBGene00053506 transcript:CRE02167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mat-2 description:CRE-MAT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LFF1] MKSNNDSHIWANTPNTPRVNTRGGLERNIHTRTLARMVNDEAPGTSGVSPNTQSYGRLQTTASPFHRTHLTQVCRRGDSNAELLRDFTRMIRDTPRNFTKNTQVPDHDIDFGDLERDPDVDLLLSKVCLECVYVEPKQGAILRANKVFITNFLSDMYLNLVSSAGKVMKIIPVWKNATTTRNNLSGGKKHEPVTVDCVDATYVKKSGVTIILGPEYTTTMFGGNEKIAPVFIQEVFSRNFSRNKLFQFQISNQNHSVDFHFYPFMENAILLVNEMRVIVLEMPETVICKSSSDFMKTCFTHLDRDFARKVLRKWKAVKRDIDLEKLDIENKELAEIAVFMLESVGVRMNKVTDEPRAETPEGHGGKQMRPRMSEAEVMTMMKNFFEEMSFQQKSEIQRDTEDEFRCRFAVELDPTCEGIEHARTLLDAFHTQCEEWGIRTMMHTGMLELVPYVYVLARVLNFHDYEEYYKDLFRSFLNQISIEFNISQEVHAQFITKVTAPIPCWSINGIISHLINDQTTAENLGNLPKPVAKESVQLLSVLAAGRNLIGTNVDLDCQMWIGQDWKRRLGLTIETYKSFRRIMKDSRSNAPGRAHQLMHLFEFTTTYTDNLLTAIKVLLLKIQTDAFAGARTIAPKKCIYATPEEMNCISQLRWKHDIRKNNVELMLNSTRPILIATNILRRNDEENMKELQDRFLTQTAFRTFSQPFGRAFFDFRTAVPSLLSSIYIPRLCVGGMVYPARVTCDPPSTDQFKLGLEWGNFYNALASALRIGASDTVRIDNEWIVMVSKNIKSTAVVGGLTLGFGLNGHLAPFNMYHAHQMLSTFDKFHSIALLIGLSASNFKTCDLQIHKILATYLGFLMGPTPLEIKLDYTIQTAAVSGLGLLFADSGNMNIAKKLVNEVGKAPSKDEEPVTERASYKLSAGFSLGLIMLGKGNGAASNVMPFKQNIPPMSQRLIYMMKGMRRDKCVFLPQPVNPVVNDTPNLPFSNGGFMSSTQTANHVKESDNINIHQSAEPASIALGMMFMKTHNEFIAEALALPATITEIERLKPDSMYARVLGYCLVMWDTIEPTHDFVKSLIPDVIKEYALAALHFGIPIEKDVDGEDIQEPMNEREERYWADMIDTSTISQTYLYTVSAACMAIALKFSSCGGPNEQSITNTAFKLIEFYTKIVLPDGKMAKDTGSVRMCMYAGAYTRSSCLSMLITAMSILRVGTGDIEVMRYARLLRMSDKPDGDWISMGKKHFEQMVAHQALGILMMGEGRYAFKKDSLSIALTIISTFPTIPQNVSDNSHYHQPLRFFWSMAVEPRLLVPFDSAENCVVEVDVYIVMKPKKEGEEPIAYRQKAPSLLPPLEDLLSISVGGGHYELVHINLRTDDELKVMKDVMSVGQGRVMLKRYSIESPESKINETIELYADPYDINDLLQKEDTAYGLDENEIANIIQKLEEELSLNSSDDYPNVQVQLNSVRDITERVPIDLALLQRRALHLLGGSLDLWRDEVNVSNAIRGLAESIQTMQI >CRE01780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2074631:2075929:1 gene:WBGene00053507 transcript:CRE01780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-4 description:CRE-GLB-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LFF2] MGNQSARQLSTHRKHVVHTWNTINSHDPQLLNDAISEAWLKSAELTPTWVWALVDLPETMHATYKENAQFLNIINQVRDFLNILIKVHKCDPEMIKTLSFRLGARHRHYMNEGNDNCYWAPFAQQLPIAMSKMYMRVVTEDSKIRRILRIRSRAAEKSEEEEVCESWRQFSCMLIESMKRGYEGCASEKTPLRLSITNSIISMASMRSTRSRSASHFLEPNMSPAIAPMCALLGSTESNSTTTTTQPSSPITPYRRRSMFRAGGHLQRNSQDFTALR >CRE01779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2066430:2067372:1 gene:WBGene00053508 transcript:CRE01779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-9 description:CRE-RPS-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LFF0] MPRLKTVQSKVTKSPRRPFEKERLDQELKLIGTFGLKNKREVWRVKYTLAKVRKAARELLTLEDKDPKRLFEGNALLRRLVKIGVLDETKMKLDYVLGLKVEDFLERRLQTQVFKLGLAKSIHHARILIKQHHIRVRRQVVDVPSFIVRLDSQKHIDFSLQSPYGGGRPGRVKRRTLRKGDGAGGDDEE >CRE02166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2065349:2065955:-1 gene:WBGene00053509 transcript:CRE02166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsm-1 description:CRE-LSM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFE9] MDLPDPYLPGAISLFEQLDKKLLVVLRDGRKLIGFLRSIDQFANLILEDVVERTFVEKYFCETGQGFMLIRGENVELAGEIDDSIPTGLTQVSPEEFRRIEDEYLAKNPPKFLKRQAEKTEEHEA >CRE02165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2063924:2064842:-1 gene:WBGene00053510 transcript:CRE02165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02165 description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:E3LFE8] MHNVVFVLGPPGSGKGTICSKIQENLNYVHLSAGDLLRAERQREGSEYGALIESHIKNGSIVPVEITCSLLENAMNASGDAKGFLVDGFPRNEDNLQGWNKQMEGKAVVQFVLFLSCPVSICIDRCLNRGQGRTDDNEESLKKRVETYNHQTYPIIEHFEKSGLVREVKSERSIDEIYADVVNVFNAANKE >CRE02164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2063357:2063819:-1 gene:WBGene00053511 transcript:CRE02164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02164 MNKSSVLAAVAGMCGSMGAVSGKLAFDSELEQYTVSYSISYLLLQLSILQRISCFAVFIASNVVMWATYTRSLALSDCSSTPMIINMACNFALTGILGSLIFSESHSYLWWFLLVVLITGLTMMLSPEKEENLKQD >CRE02163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2062687:2063205:-1 gene:WBGene00053512 transcript:CRE02163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02163 MRALILALLSATIIFVSCQSSSSSGTPSSSSTASVTSTTKYANSSPSSSGTTSFPGNTGTSESSGTSGATNKMYSTTSDPDSLNLNNVEKLVTYTTKQKTIFFACCGGTFVLLIALAILSGMSDTIARSRQKNTRTHA >CRE01778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2060721:2062586:1 gene:WBGene00053513 transcript:CRE01778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01778 MAYSGAYPWDANYNDYNSYNNSSGAWSTNKQNYPTQSEQYNPVYPSLNGTSNFFSSSAQEYDAAPYHPSSTSNSGNRTNRIPKTQQRGRRYENQRKSKQTFPAKKFHLVTIDPNSNEKPIVSKIAEPAPNPVVKVARGNTKTVNSPRGGRGINKKMPNFRLQGNNWESNQYQGGTRGGRGGGGKGRGRGGFHRGGKSYGPPKPTNDKDDIKYVHLPEDSPAILLTVSSDNIVAFHGFDSVFTTQHSFPVLVDGKIYKSCDHYYQICKVTDLTGISSDKLNSGVRNEDGKLILEAQEEKDKKAYSAIAKEIIKAAGIEKDKVDEWRNTKGLEAIQRALHAKAAQSAHLREALKETGEQILVHAFPRDSIYGTGCAVPAIKKWLDDMEKSGVKTLRIPANFPLNQDTVQHCPVFAQGRNILGVILMQLREKIRNGGIEIVDMSKIYNALRNNPTEPMDTTPKVPYYSDDKSFGTF >CRE01777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2058404:2060235:1 gene:WBGene00053514 transcript:CRE01777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01777 MIVRISLLASLLVISTIAFECSPDTILRMATNKREKQLERECHEVKTGQGVCETKDELEKSWKEFQEVSDDYKKAVAECRKYVEEKSRPKRFLDEKESGPVDSEEANEKTREKRRKNYEDIDSKLYDSQETETIGKVYETIEKARSKTKRQLDGTVLDGDMGDPTDGQETNDHARRRRHHNHDGHQNHGEKHHENMDHHKQGNHHGRVRRNGDHDPNDPNIDHTGHNHRRKRSTDDDPSDTTIDHTGHNHRMRRSHDDDPSDTTIDHTGHNHRRRRSGDHDPNDPNIDHTGHNHRRKRNHDDDPSDTTIDHTGHNHRRRRSGDHDPNDPDIDHTGHNHRRRRSHDDDPNDTTIDHTGHNHRRRRSGDHDPNDPDIDHSGHNHRRRRHHQASRIRRTVCSTAGSEQLSAMSSKFSKHCERENICLPDEDLPKGKQQDAIVALQKERAKKYSAYLTQVSKCYGKL >CRE02162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2046907:2053478:-1 gene:WBGene00053515 transcript:CRE02162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02162 MFDAGTDAFRNPLTIANASETVEFCALIGYSAAKTVFSAHDDSRKFVIRKARRDKNLVRFSIDNPKEQPTDGFWHSNGRIRFTAKTLGGGVCGRKVGGGVNAMIETLICEGAELRLVARLSRDAPDDVDFSDDVIRVEQRKPFETELISDGFFSLMDAESNGKRIIRALYGGEKITEENYCSYQKNPKENYIFPANPSILLNEFQAEYVRKVLEDLDNIELDCLWLVGIVIDLSKLRFPAYIQLAKPMTSGKQKTVKLPMRKANLIALDTEAGKLVIVRPTENGHDYIEVLNISNNNHSLPCQIISPENGSIQNVIIVDNGEFVIVFSNGSVGFCDEKHYNSMKIIQNPGSEIVASYVHDTSTSTICLITGTTLNIFDLHSNNVLSSIIVASKCATSPIVSDGYKIAIGLAGDIILVEEMKTKVNLKLSPRCECEENAPRSLLFMDSKILVCGDSYGTVTFWNLDDFTLIQSLPCLLSSILSMVTTADGLLYILERKAGPVEAVRSMATSGNTVYVAGDDKSIYVSQDDEYSKVAFYQMNFYDQNETDLVLANAGDNCVDIYNKIKNDEDEYSSKRPFDYEFSQKINTPLLRTLNCCERTRLDLTVTAMSMTTDSKLLAEQPNLEILRFSLYNPESKGELFFELSMLFLFKFIYFSERIGVCDCQIVQFQVSSCVSKITFLTSNRKFFMTNIEDVDLREFEITYPIQVGILFRSVFHPISAEIATDDGDDVSGCLKGGWNCTGGASLFYEDLSGQDEDDWDYVFRNTKLFLDAINLLRKNQTFKETALKDVLAISTLNAVKQDLEVVDFGGKQYSITYYIH >CRE01776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2045615:2046437:1 gene:WBGene00053516 transcript:CRE01776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01776 MKHLLLFSLVLGFSVAYPSSSEQLELLIDQVYPDISTYLTRDQIRTLFQELSIVVHKARTLEEIAKAMSKPIINTLTPEQKSECWESIQKAQKGFGGFQQLKVIFFHSLDAVQDFMGDSMEKDRAEVVGKSSGITEDEKLTLIYEVFRQYFNIEFGQKAREMVKEFKLNKLFQVMDTVKYSMTERDWLIATADAAQYLKVENFNLVY >CRE02161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2037063:2039500:-1 gene:WBGene00053517 transcript:CRE02161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-6 MDYISSFDIRLNKDVYYAGETISGSVLLENTENIKIRGIRVLLRGKVHATLKVVKSGERRTLKDDQYVLDEKQLLWGKDKNDESDSVPILARGVHQFSFNFDLPQSSLPCSLESRHCTIRYYFKVQKTKTRHQKIVIIQVIIDIPYASSPQGIKYFTIIGPHIDSMEEKYLSPLTAQDRKVNCCWCCQRGALALRIILERTAYVCGENIRVRAQIENRQSSAQSLVIRLVQHVEVFVEKGLLGENKMMSCVVFEHKSPAIAANSQGKYDSTLEQPIRLPVVPPTLVGVCRLIQIYYVLRVCMEDEKGNECLHLDFPLTVATLPYRIPNAPPPPVDYDFCSNHVEGGKYVSPEFRLGQVYDGEGEEINKEEEIVLYRPVYVKLADRRIGSPHVTKDFRSGSFTRIADSSLALVTEPNGSRRRSIVIASNPCLAMRDESMDEKLMMTGLNGSNSEGDPLVA >CRE01775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2034995:2036874:1 gene:WBGene00053518 transcript:CRE01775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqm-1 description:CRE-PQM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFE0] MSFLNNDFGSPPTATSSPTSMPKLPTIQDMLNNIGASTVNLMQPNPYLQNQIPLSVPQLAFNPFLHLNPAISQEIIQQFLAMSFNTPNVLAGMANMGEDEGSCNPKMRRGSLLKMKSVSMDSTEDPPSISIDNNGDMIVPNNDKEGWCRNKKYIERTENGYMCTVCKKVYGRYNSVSYHVTIYHRNPPIKCNMPNCQFTTREARYIHFHKYYRHGIPLPQSIDQGSRKCPHCRHVSKSPAMLEKHIRRHQLKDDIANGSIDLTEAMRERTSTICDETMEIEAEADAEIVMETKPRSCTL >CRE01774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2026553:2030856:1 gene:WBGene00053519 transcript:CRE01774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-13 description:CRE-PTR-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LFD9] MRSLLMPPYSSEMLLRLHHRQIQMNWISYYLGNHPRRVLLAVFCVLIPLLSYFLIYPLEIECDIRRGFANKHGHAVEELTKFSNFYNISVGGLEIWGVLARNKSTEDDLTINLKLLNEIDQLHQFVWNYTTEYKGETIRFKDLSSEDINYVFNYYRKLLAIEWMPGVNLSYPLASAFGHSFYLGSQFFGVNNGQKSKEGPIKTAKFVALWYMSKAETFEQKQKLQAVQLGIFKKSAVKPNNLLFDFEMFGDQVANSEMLRGTLTTVKLFFIGGCLMVAFMACTFTELTIFSKIMLIIGAIGSPIAATGACFAILGWIGHPFNSIMCITPFLILGIGVDDAFLLLNCWRREETKDKSAKEAQNQLARVIREISPSMAITSLTNTLAFGVGFLAPTPQMSSFCLGTALAIVLDFLLEFLIFVPCMVLFYEKKPTTEIKDDKRNEAENKLHLKTSPKFSWRSFTSWLLSVPGRGLVICLYLAIFTATYLGVARMETTFDPSKTFPSDSKLVDSLASFTSIQEEYSPINFLSNVPDLQNETDVAIFDEMIRRLETREGCYGNLASHNMYRDYKEYLNYTKTEEKNYNQLENFLKTRGMADVGTIKWHKEGNETIIDLINFVVVCQGRPSWSERAVYVEKTRQILVDFPQYNITLFDYDGTIYDLIITVKGELVKSLAITFTCMTIACFVIMPSFVAPTIASVATVSISFCLIGFLSIWGQNLDPVTMIDVIMAIGFSVDYSAHVCYHYYCARQQDLGSKQQVITRVLQAVGRPVIEASLTTLLCMAPLFVVPVYMIQSFAKTVTLVTTFGLLHGLFFLPVVLYFIPLNTPKHSLPTFTPSTQPLVSMTDEEK >CRE02160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2015944:2016986:-1 gene:WBGene00053520 transcript:CRE02160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02160 MVISGEIKAVVYDFGGVLLSYEGVEEKWKAMSRALGLPDGAVHSESVGIEFSQWLGPDRSLFLGTLTVDDLEGGLFMQYLKHKYGDQLNDNVVVKPYTECLRGENVRIHKNMQKTVEILHKKGFKTAMLTNNMFLDKEHKETRLPCDLTHFDEVVESCLEHMMKPDARFYQLVEERLGVKPEEIVFLDDLHENIEAAEKLGWNTIMVTDIEKAIKELEKFTNVKLI >CRE01772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2014445:2015855:1 gene:WBGene00053521 transcript:CRE01772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lir-3 description:CRE-LIR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LFD6] MDPKFLVVEIDSKEQAIQLVQIMLDKGFARLMLLDDLSKHPNLAKPPNDSRETACSPIVPNEKSFPVEEEKVFPSLSQVSNPMVTSTVVKQEPSSLIGNEDEEEEEEEMVLTSRHSVPSKKRPYEHANEYREQLMNMLCGEPEIVPIGVNSTSDEPSGSNEDSPTLKKSKNGEYHECRLCGVRVKSPRSGRWNLQMHVIALHCVGRQYKCKECNYLDYRKSTMRKHTISQHGSDIPPHNITDDIMRTEWHEAMKKCFPEFAHRTGFLS >CRE02159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2012075:2013008:-1 gene:WBGene00053522 transcript:CRE02159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02159 MLVRLVVSFLLLGVVQAAINCDVIPTSLWCSSKNIEKECGFTQQCDKHRSATHNQKINITVLIEALCPDCQNFLVKQLYPIVFKNFADYVNIELVPFGNAKVLADGTIKCQHGEEECSINKFEGCFIDSMADQSPLPTLACIEESLQKKVEFADAVQQCFEKLQIGGDIQRLTQSCLVSKLGADLQTKAAATTNNVWPEQHKFVPWIVINGVSLTSLQGFQNQLPTLLCEWYSGDKAIPFCEAALKLKYKNASVRHFF >CRE02158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1984408:2003023:-1 gene:WBGene00053523 transcript:CRE02158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srap-1 MNCYIFILIRAPHLHQHFILFSACADNIYVYVNETTADRSPYIFVEIKTATIHDCINSCFGNQFCYSLRYDQSKADSCSLFYFAAYNCTGQALVPAKSVVYNGGAVTIDCLRCPSNGDFVTAPPFTSFTEQTITATGKSGETLIEKPLVEEITHNIDSKLESTTTTPSGHSTAIVDLHVEDTTTVAVTQSTIRVTDLFMCCVFLHGFLVLYYLGVAFTESTTWTGTVEQRKCDTHLKFLISSEIDWETVDLLINETNVESAEECAHFCYSYQCGFALFKPTEGKCQFTVATDDLVDRDHCEEERNGEKMVDTVEKSNIPVQITCSYCLEKGPEATTSSTFAPEPIASTETAPTVQEDRKGNYYPACYINFQVEDISTQPNFDHYTVKPAKSANACARFCFVGLCTVAVYSPSSGECRLGRDRREKCTESENKFSYQGTDDVVLQCFRCSSRKLPPSAKTTVSFQEEGQVTTQATIDESTTTNQNPEETTTQSESSTTTASTSGVESTTLAESNDPEPAIATKVEMAKDNAGVKTTQRKHCVIKFQARPLTDRPENLKAKFELNVPVDSIELCATRCYQDGCSGARFDPADRSCTLSYDDPQFCARGNVFIHYEAKEATWLHCVNCYTVKQSDIDEVRTGTTPAPSKQVDSTTASSQTSTAVDSTTDKSAETSTEQATTTSKPHETTTVAISTTKEPEGSNDADFQRGCLIKFQARPLTERPREFSAKFETEIKVDSVEVCATRCYQDGCSGARFDPVWSTCSLSYDEKHYCARGDVFLQYMAKEVTWIHCVNCYAIKSTAAAELPKVPSKINEDNEITTTESAPVTNPWGETESTLSGKEQETTTSSPKESTTLKTIGQETEDDSLLKGCIVHFQSQPIEERNPEFTAPFEMNLKVETAELCAHRCYQDGCTAAKYDPESNQCSLAYEDKPFCSNGKLVNIDRSEKTVWIHCLSCVPLKHAKLSENTDEEVTHKPSDGFTEEKLDVTEASGEETTTAAVTEASGEETTTAAVTEASGEEATTATVTEASGEEPAVSSTSVPSELSKDDQVTEASGEETTTASVTEASGEESTTVDVAVASVEETTTAAVTEASGEETTTAAATEASGEEATTAAVTEASGEEPAVSSTSVPSEISKDDQVTEASGEETTTATVTEASGEESTTVAVAVASVEEATTAAVTEASGEETTTATVTEASGEEATTAAVTEASGEEPAVPSTSVPSELSKDDQVTEASGEETTTATVTEASGEESTTVAVAVASVEETTTAAVTEASGEETTTVAVTEASGEETTTAAVTEASGEETTTAAVTEASGEEATTAAVTEASGEETTTAAVTEASGEEATTAAVTEASGEEPAVSSTSVPSELSKDDQVTEASGEETTTATVTEASGEESTTVAVAVASVEETTTAAVTEASGEETTTVAVTEAAVTEASGEEPAVSSTSVPSELSKDDQVTEASGEETTTATVTEASGEESTTVAVAVASVEEATTTAVTEASGEETITAALTEASGEEATTAAVTEASGEEPVVSSTSVPSELSKDDQVTEASGEETTTATVTEASGEESTTVAVAVASVEEATTTAVTEASGEETITAALTEASGEEATTAAVTEASGEEPAVSSTSVPSELSKDDQVTEASGEETTTATVTEASGEESTTVAVAVASVEETTTAAVTEASGEETTTVAVTEASGEETTTAAVTEASGEETTTAAVTEASGEETTTAAVTEASGEEATTAAVTEASGEEPAVSSTSVPSELSKDDQVTEASGEETTTATVTEASGEESTTVAVAVASVEEATTAAVTEASGKETTTAAVTEASGEEATTAAVTEASGEEATTAAVTEASGEEATTAAVTEASGEEPAVSSTSVPSELSKDDQVTEASGEETTTAAVTEASGEESTTVAVAVASVEEATTAAVTEASGEETTTAAVTEASGKETTTAAVTEASGEEATTAAVTEASGEEPAVSSTSVPSELSKDDQVTEASGEETTTAAVTEASGEESTTVAVAVASVEEATTAAVTEASGEETTTAAVTEASGEEPAVSSTSVPSELSKDDQVTEASGEETTTAAVTEASGEESTTVAVAVASVEEATTAAVTEASGEETTTAAVTEASGKETTTAAVTEASGEEATTAAVTEASGEEPAVSSTSVPSELSKDDQVTEASGEETTTAAVTEASGEESTTVAVAVASVEEATTAAVTEASGEETTTAAVTEASGEETTTAAVTEASGEETTTATDSTTTEGPAFVTGSEIEIPSSSDSEPTTTHDPSIPVITPKPAVSSTALTTDTVESSTSSTEEVAQKKIVSDPHTGKESGDKKEDDDLPAFVTDDGITSEEVSTSTVTSQTEEATEGTGVEDENIKMAKELGKQFAADLAKLAANDGVNLTATTDSEGSGEVALSEDEKVSPSKDDQVTEASGEETTTTTVTEASGEESTTVAVAVASVGETTTVAPTEENETTTSGGEDDDKPAFVTGAPTEPSTKTTDTSEVTTPFSVETTAQTIIEESVKVSTVSAEETVSSTTKNTESSGEEETTTLETSQASIATAESSGEEHIEATTGSSSSESSASSETPVEEGSGEASTTVSSSSTTSDVEGSGAAAAESKDEESSTTESSSFVTEKTTDSDEDGSEEEGSDTKAFLTGIDETMFNKSLIGDTHREDLPNNVGFVPSSEPKPKSEDEEEEDEESGTKTNDYEDNVSKKDSTTAEPTTTTEVSESTTEPPVQLVKDLIDALAAGGLDFVLGRPRKPTSQAAQDLINRKLTDEKKAHDITSFSVDEPSSSENAPIHQGNCLTRVSKYSIIFTGVSSKCDGRVEFQVIPVASLPKLNITNDVPASSPADCARKCFETEHCKTAGFIPSPSGTIAQGVCLLTSDDVVCGNLADFVPQHAALHPFVVSCIRCTSCTYNIRPVTPTRTMPTMKVHEKAENVQDCAKLCSDMKCTMAKYENNTKICSMTREPVTEETCPQEVATQIHDSLLPISIECVKCSGN >CRE02157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1977188:1982177:-1 gene:WBGene00053524 transcript:CRE02157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02157 MSVPPSRAHSEVFSASQYGGVTTVPAPRPRAYINYFIFPSAVLHVVGIACLAALWYYLRFTSVFPYHHRVFYCRDVHLYKPNFVPEDFNVYVSYPLLYTLAFTIPPLVILIGEVMFWLFSTKPRKIVYANCGECPVHLFTRRLFRFVIIYLAGLLIVQIFVDTIKLMTGYQRPYFLSLCNVSITACTAPLEHSPSPSPHLACNYRGADELRYAWLTFPSLHAVVSSYAACFASLYIYYMINLRGAPLLRPLLIFGFIGLCIVDSFSRINGYKNHWRDIWVAWVIGVFMAWFLCYCVLCFQEVYHITVERTPIVQEERVSPFFSWFRLPRVQAPSVKEEYEVYEEDVVRADGTMPRHRRNRDRQYEVTTTTESFHRTISPPQQTQQNGGYQY >CRE02156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1973988:1976792:-1 gene:WBGene00053525 transcript:CRE02156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02156 MQLEYVVTHIGGIPNTDRQYLVEKQWISEKSLSLPVEIELHLRQKSEIEKIEIVAHNKFIRKSPPRDLNSTLFSAKKIGIFSERDEEGYIGEVQFKSRKTDDQKYELKNIFLDEKCSKLILNVSEAHIDVVNNLDSLVVGLVDVKLFGKYLPVENELSVSLDIDPRAFKPGVTRNVIPDQKYSKELQAMIHAIEKNKQTAVANEDFKLAKSAQLAVRELKKSTKEMEELENDKNEAIMDEDFQRANDLQDEITALRSKILASVDPQLLEDTMVIFLINLKTFSFFQPSHDEIHRPKNLFTENSEVPSKPKLYQPVGRFCLFLQWFSSFFRVLDLSPTEVSADPSTAFLLPPRPPSNSSKVLVTPRRPSTNHSGSTRRSSASWEVPIRPTTTNSQISRKRTPSPVVKKAPSTASSSRRRSNSIGMGTNKFLEKENMIVPAALNRKRSSSVNYETPEFVDKSMEDISEDQVLAMVPPDQRGNVRSAISLFGLETIAKIYSKHFENRKEGIEEIREKIDTLNSDKTSKYFESVNCLLAHLLKEPLFNVYKDVLLLWHHFCTSRLLELNLERYIQRVASESSDILATRVLSSEKRLVSETLTVFRDASKVPSIGKAYATKLLGSNSKNLKGRAILVEVITKLHAVPNEQIGLTDKIVAGFSTKCIRTSDPQVRTIGKDLMVKLYKNGSAKIVRSELDKFASSDSNNPTYQKIVREIYNFDGSRPKSEKKKKISFML >CRE01771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1972445:1973823:1 gene:WBGene00053526 transcript:CRE01771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cox-15 MWLLARKQLTKFSQLPRVSLLNQGYQNVKQGFRRNLGISVKDVDEKSRKRIGWWLMGCAGMCYGAVALGGVTRLTESGLSMVNWDLFKTMKPPFGQKQWEEEFEKYKAYPEYKFKSSNQEMTLNEFKFIWSMEYGHRMWGRAIGIVFLLPCAYFWARGRFAPDMKRRMALATTLLLAQGGIGWWMVKSGLDPSQNSSDVPRVSQYRLATHLTMAFVLYSIFYWNGLTHLLKPHDLTAVRSKLGALRGMTHCSKLMVFSTAIMGAFVAGLDAGLVYNSWPKFADKWIPENMLSRSPAWKNFFENDVTVQFVHRNLAYLTVVSVMATFLIGRRAPIPKRTRMALNLTVAAVFGQAALGVFTLINYVPVWLAACHQSGSMALLSSVLWLSHELRRLPK >CRE01770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1970908:1972107:1 gene:WBGene00053527 transcript:CRE01770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cchl-1 description:CRE-CCHL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFD0] MGSSQSTPKVQDKVLNDSDRIRQAQHHMAAAGGGSQCPLTPEQQQQHQAVSGESCGSASGGCPVGADKGGINPLNNELEHPNQKPAPDQPFPLPTKRVQSTIPKAGTEKDTWVYPSPQMFWNAMLKKGWRWQDDGLSQKDMENIISIHNANNEEAWKEVLKWENLLHPECAEPKLKSFKGDAKNISPRARFRKLVLGYAYPFDRHDWIVDRCGQKEVQYVIDYYDGGAVDPESKLFTVLDVRPAMNSVGNVWDRMVVAYWRFKFETLGMAPNLPIPPTEGHSVNH >CRE01769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1969742:1970250:1 gene:WBGene00053528 transcript:CRE01769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01769 MTELSKNEGSGKSGKGVSEVVDTVLYYVVDLKKQPPMAQLGVGAGFGTVTGYFVTKGGRLVAATVGISFLLAQFAIHKGYITLNESKIERDIKNLQKSVMKKVSKRDTINIPSSFITENRWILGGFAAGMLIGFSIA >CRE01768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1968184:1969631:1 gene:WBGene00053529 transcript:CRE01768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-9 description:CRE-RPN-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LFC8] MAIEKVDVYLSRKQNAAKGPIADDWKTLNDYYSKKLWHQLTVLTRSLVKKPKFVADVDLAEFYDNFISEWELRANPLQLVEICIPIAQSIATKDRPKSLEFLAKIGKVVNKDKIAIARLHTGEIESKLETKDQNGQIVDLKGIRVQIDSTQHEVDSLVGVTEVHAPFYRVSSLYLREVGDFAGYYREALRYLGVEDAKNLSEEQKQVHAVLLGFAALLGENVHNFGELLAHPILKSLDGTRERWIVDVLLAFNAGDLPRFFSLEGDWGSWDDLKRQKDFLTAKIRLMAIMELALARPTKARTVSFKEIATKCQIPFDEVEFLVMKALSKDLIRGDINQVEQVVYVSWVQPRVLDNAQIMLMATRVSEWRKDVTLMEGIVSKEAREILTQN >CRE02155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1966082:1967239:-1 gene:WBGene00053530 transcript:CRE02155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02155 MISRLTTSFLLWMFLVLTVVFLETSASSDKVLLRDVSAITLNKGKMTTGRRVAPTLQLKCVGGSAKGAFTPKVVQCSNQGFDGSDVQWRCDADLPHDMEFGSLSVSCEGYDYSDDPYILRGSCGLEYELEYNSGSGNRSVSRKSSEDRWDQFATFVVVAFIAYIIYVMWTNRYRSPENSGYQSGAGGSGGPGGPGSGGGGGPGGYPSAPPPYDDNYGKPPPYGFRGDSQSGGGCQGSSSGGASGSGRSGEGGSFWTGASLGAIGGYLASSFLNNNNAYARPRYNRGFFQDTGFSSSDSWASPSTSSTRSSSGYGGTTRR >CRE01767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1960491:1965816:1 gene:WBGene00053531 transcript:CRE01767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01767 MRRLVLYLLVFGGSSVYASNIPCGKSFIPCENDILNHQQGLIDEGAFVSHRVPDGLLFVAAKEGERESAKLFDFRDVAFQYSGHPLSTSTSQFTRIHKADKKASDRSRSAFTSLAITKRLTALGLRERELKYGLPPNQISSTISNCPIPTIDHCFATNYRSFSGICNNVAHPEWGASHTPMARIMRPDYSDGVSEPRTAAASKPLPSVRSLSLTLFTPRGEVHSEVTTMMGLWMQLISSDMVNVVSFQAVNEGTSSALPCCKRGFNHSECDAIDIPAADPAYRTRLNCIPHARSIIAPREACRLGPREQANFASSYLDASFIYGSNMEKAKQLRTFRNGQLRTAGSIGELPATDATLQCQATHSRCALSGTDEVNILPSVAAIHTVFIRHHNRLADNLRSINRHWTDDKLYEEARKIVSAQVQHITYNEFLPVLLGRENMRNYGLNLHSAGFDSNYEMNLEGTTFNEFAVTVPYYFWALLPSEKSFVDFNNPSRLYEQGPIQIIRQLLNTNIYQPTLRANDEVKSGFLKDNHEFGLDLISIALKQGRDHGIPGYTALRASCGLGRIASFNDLREIFLPEVKFEHLSSAYQRVEDIDLLVGVLAEKPLKGSLVGPTMACIIGKQMQRTRRADRFWYENYFAQSGFSGGQLSEIRNTKLAEIICSNIDIRRIQRNVFFREDVFDNMAISCNSTVLSSPDFNEWRDAEGKPVFPIRQETIEKVISLAKRNLKDQERREISNLKHNQGRFQKGDPLFAYSNMMRAKEGAKQVSQISALLLETTKLLIKGEGLDTDEQLPKLDTNTLQKILPDIDVTSFVNNYTAFLSEDGQASQEECSPKMLPCDHTTRYRTFNGWCNNLKFPEYANSFAPLRHVLPPQYDDGFDAPRTRAKSGRSLPNPRRISNLVCEDKDVSHVKFTHMVMQFGQLLDHELTHSPVARGPNDEILNCTKCDSPEKISVHCMPIRVEKDDPFFPTNYPNGEPRCLPFARSLLGQLNLGYRNQLNQLTAYVDGSAIYGSTKCEAKALRLFTRGLLNFTDFGHGQMMLPQGNQEKDCRSSQEKRSMPCFVAGDERNSHQPGLTIMHTFMVREHNRIAMQLSALNPHWNDDTVFEETRRIVVAEMQHITFAEFLPKIIGLDLLNAQNLVPKKNGYFGGYDDTCDASISQPFATAAFRFGHTLIRRMFPRMNYNYKNMSEPVDLAQHFGHVGPLYEQEKGGMDAMLMGLLGTPSMAFDRHITDAVRNHLFMRRGEKTSGMDLIVLNILRARDHGVQPYNDLREFCGLRRAVKWDDLKSEMDQDNINILQSLYESVDDIDLFPGLVSERPLRGALLGTTMSCIIAEQFGRLKKCDRFYYENNNNAAKFTPGQLNEIRKVKLASIFCSNSKYLKTIQPNVFDVTDELTNAQVPCSDIPQVDLSLWKERKTCEMNGRSIALGDSVHMTPCVTCTCTLEGVACNPTKVDSCEKLTHKYLLTDIAKDTSCMIQCTDYMKRV >CRE06313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:200598:202468:1 gene:WBGene00053532 transcript:CRE06313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06313 MLASVDFFTAQIGGVLMIFMSLIGLLFNVSAFYQYLSLEKTSFHIICISKTISNSLHLLVYLVYNGPSALLYTQIGPELLNRYLNQAIAYGLYCQGPLTQALITINRFLIVYFSPIIIPWYSKWITFGSLAACWIIAFYFSTLIGFPDSCLLRFSHQTLTWIHDDCPYFIHYILQSDFLYLILPLGVFSNCMNFFIAFKLFLLSKNQSLSTEASRQRRNTTIRLFIQNCFEDWIYVLDTVNSLFIRDKVNDGFVIFLVTLGSNLITQVADGSVMFISNYHHTRKQRVNSAKIRHLSPIVPNKPIN >CRE08804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:785882:787407:1 gene:WBGene00053533 transcript:CRE08804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08804 MFTVPCSPNGISTNITFFAQYAGFRKVCSINCGGRYTEYFKITGTLKFTGSMWTSIYRIIEGKTTLMNEIAWPDLSHLAQCFSDWIQEDCQMDAFLFRHPAYSMSHDLFFCPAPMPTIPSKDGQTTCLRVVTSATLGVPSKIVKQFKLFSAMDIQEILRAASTIKKHAKILEKIENEKAADKEKEIEIHLAPKWGIDPTKSTLDEMEQFIAQLKEEGAEFQKDLEFAKEEEKVAHQKYVIHLDTSKMKKIENLTVKRAEELNKEADELEKQVNMANAVIGDIEAMIGFKNDVLKLVEKWTRNATFEFHRTGKKPDESHAQFLARTQGGEVPQVEKDPRTEKAIKTTQRQEKEDRKTDPMEHKHTLQSVVSKPTKRPAPSREIETNEIKRQQKIRRITSFGEDKPNMKCSFCGGGHFSNQCPQHPSIADRKDIVKRDRLCEHCLLVKTKDPCGCRERTCYYCKSTNHHSALCSLPQTIID >CRE01766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1954553:1955358:1 gene:WBGene00053534 transcript:CRE01766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01766 MSIEGGNYRRIRNFEDRACAKECIEDFSCFGYEWNSEERVCYLKSRSTSGKLVAKPNTMVGFCQDDEDEVRYRLQDHVIVGPIVLEADDVPDGEECKDNCRKVGSLYYSWKPNSDNQRSNVVPVEEEEEEEQEVLGHCECISTMNELRLEYDAFSGFIPAPRHKHRRSIFLRPFEV >CRE02154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1941616:1943820:-1 gene:WBGene00053535 transcript:CRE02154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cut-1 description:CRE-CUT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFC4] MTWKPIICLAALVLSATAIPVDNNVEGEPEVECGPNSITVNFNTRNPFEGHVYVKGLYDQAGCRSDEGGRQVAGIELPFDSCNTARTRSLNPKGVFVSTTVVISFHPQFVTKVDRAYRIQCFYMESDKTVSTQIEVSDLTTAFQTQVVPMPVCKYEILDGGPSGQPIQFATIGQQVYHKWTCDSETTDTFCAVVHSCTVDDGNGDTVQILNEEGCALDKFLLNNLEYPTDLMAGQEAHVYKYADRSQLFYQCQISITIKDPGSECARPTCSEPQGFGAVKQAGAGGAHAAAAPIAQAGAEEAPAASPAAAAVPAAAPAAAPAAPAVARANLAQLRLLRKKRSFGENEGILDVRVEINTLDIMEVKLKQYSETNQKSIQGANPSAPEAAALVSEEDVRRRVSSSGICLTPIGFASFLGIGTVVATALSATIFYVARPTSHKH >CRE02153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1932102:1933877:-1 gene:WBGene00053536 transcript:CRE02153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-130 description:CRE-UNC-130 protein [Source:UniProtKB/TrEMBL;Acc:E3LFC3] MLFSMESILATKPKKDFDNPPKLEPEVTFNEEQQEPSRSNTRLSEPSTSASLEDVKPELESRKRSRSLGDDPTEDDDEPVRKSSKRNNSTSSTAESSSDDLSGKDEKEEEDDDEDSSIPPTSMSGHRKSSHSKPPYSYIALIAMSIINSPGKKLTLSEICEFIINRFEYYKEKFPAWQNSIRHNLSLNDCFVKVPRGPGNPGKGNYWALDPKCEDMFDNGSFLRRRKRYKKTSEDFPEMLAHHPMPFPPFLPPGICFPPRMMHPMAAMPMIGPPHPMNARNLPAYLFPPPIDSQKLLSMMASRVLPLDTNVDSSGRKRSSSNSSPNENGSSPRSE >CRE01765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1925520:1926654:1 gene:WBGene00053537 transcript:CRE01765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01765 MALASSSNAIPMEELFANPFRPKKEKVVTEEKPFVYTPSAYVQMIDQMIGIKTRPWNPERTPIKPIQMLTLPEMSREERWIQWGMENCGVKATISGVLGVGVGFAFGLFTASVDPQLSMVGGDPTKQLTLKQTWKEMSSRMKSYGKNFGSIGLMFSGTECALETIRAKSDWRNGTYSGGIVGGLLGLRAGIMPALWGAAGFAAFSTIIDHYMRG >CRE01764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1922914:1925333:1 gene:WBGene00053538 transcript:CRE01764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01764 MGTVSLAVQLFIVFLLTSYLLNKYSTIRKQNPIVTISTFIGWYFSLIIVFVLPLDVAITFFHKCETDRQRILNTTSTPAPVVPECELPGGYVPDDVLFDLWRVVYWSAQILTWLILPLLQSYVTAGNFTIFGRIRAAVINNALYYAIYSLCFLAILIYAMLKGVSINIENLKVILVSASNTWGLFLLVVLLGHGLVELPRSLWHHGNRHYRLRKTYFDIEKLASEKSEAEESVKEIYKFVSIFSASESKMYYFRKVRVLFNSMKNDSNGQRRKVRTILSKFSDDVIDQLFPSRQVIDNANMEETGDFCSEAKLISLHKRTIYAVQTLNNATAQWKVLVDRALFLENLAFSESNGYNLDLARNTCVPIGIRRFWYTRLQTPFCRVFGVLTVFMTFFVLFSECTFFVVSYTVSPAAFVTEYASNRFHYKYTQFVAFGIIVYLMTCAYFTIFRLQIYKYYHLDPNGHTDENSILFSAILLCRLTPPICLNFLGMIHMDSHISMAKSFGVETQFTKLMGHLDVIPILAKGINIYLPICIILLCAIHYYRVGAYVLHNIGFDQFVESDEMTNDMVNSGRSLVQIERNSIKRSNERNQRNQNWTNSIGNNANASNPVPKYKRSRKNEEERPMLEEEEMEERELTSSTRISLSPTEHPSSRGFFDDM >CRE02152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1919501:1922489:-1 gene:WBGene00053539 transcript:CRE02152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-saps-1 description:CRE-SAPS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFC0] MFWAREEEENSLIRLLKTDNFTLEDVLLNEFVVQESRYGKAELVKYITSRENMKALLELSLNPVINPDLPMKQQYRLSFIASEILTIRGTDVFQRLIVTTEETKKCLLDFLNDKAPLNHLVAGFFAKIMECLLSRHFVLTFDLLKGTPFFEKCLQNINLGAIECLLENLVRIPNTSEGTKTVKKWMISENLFEKIVDQMRDATNDDDRECLAEVYCEILRELRDKLYMLECKEDELHDKAMDENLIAKIADNLIVEEDISAEDLVKKSSLISSSARILEAFIKTNYVADAPAQHLEEVERNLIEERHYSYGLMRPCNDNDAYEHSYQPDPERIVEGIVSNRVFNILQTILRDIEVNKNVWVVFKIIILLQANGSLWQPLLRLVIELCNTNHLPTHEKLVCAFRQLPFVKVCHKYSELVGICHKLQLIDAAKKLPRAGVLHSLLVKVVNLLLHSVFPSNELSPAAEYLLTEGGLIKNVYDTATSFHTSGDLIACSGLRSFNQNLGDVINRAKKRAVPNPGLVAILKADKRWTELEDIVLNYNTKHRPQLQHDFNDSSVLSSIKNDSHGFNDSEEWTDASAKFAEMDASSSANRAFTGFGSPFEADLNRFSEFEGQFETPDEDEFKKLCSERANSSTCSGSQQGFETSHIQWPGEQEKEEEKQTAETVIEPSTYPQETEGSESIVEQDDEWVWPTEPPLGETEVITQAGPRPETNWVDDSAPDFSYLGKSPPAQEDMWADFSSFPTVSPSTSTAVVAPVVNNVASAWPGADTPEQGESSDWPLSSSHDSKPSDPATLGLAASISHPEDSSEA >CRE02151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1917605:1918744:-1 gene:WBGene00053540 transcript:CRE02151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-raga-1 description:CRE-RAGA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFB9] MSSKRKVLLMGKSGSGKTSMRSIIFANYIARDCSRLGPTIEVEHAHVKFLGNMVLHLWDCGGQESFMENFLVSQKDQIFKNVQVLIYVFDVESREFEKDLRYYQSCLEALLQNSPNAQVFCLIHKMDLIEEDKREETFKRREAEVLRYSELAATPLQNERQNAVCQCFKSSIWDETLYKAWSAIVYHLVPNVGTMEDKLKKFGAILDADEVILFERATFLVIAHAVLREHKDPHRFEKVSNIIKQFKLSCTKMGSKLDSIQVRNSQFSAFIDSFTQNTYVMIVVPDGNASAPMITMNVKNARKVFEAIETKP >CRE02150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1915075:1917124:-1 gene:WBGene00053541 transcript:CRE02150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-samp-1 MEVAAAVGVIASVPILYKTIRPRFKASVECWFCHTTTKVDYKNQNSFTCPSCEQYNGFTEDGDYNRNIPGQTYTSPKRFCEPLKQQPEKSIGFLNRFSGVNMSPKSANGLCTECNLGQEVIMKKVAEFEPEDEDRWNEELEDYRYKLERRYQLCPRCTIQVHGKLEEDKKKYSYLLEFKYKLKHAIGSTLKEVMSSHKKSRRQFFAGGSVCESLHFGCLISSIILFLANIDFLQQDAGASLINFPKYFQDQLPIVFAHSFFINFVIFSTHLIAAFNNKCRVTLPDLLLPVLLIIAMLTYLAPNEVSSEKQSDQYKCNCLFQNLSQDIALIRGACASFSSILSMAVTLLPRKRLHKKRPNKIISSAFSVASTPVSQCSSQNSRNASLLEHEKTILERSRGSPHSFSGSPDRQNTTPPLLRDITNGANYSMRRRDNKENSMVESMDWDDSISTAQSTRTTQSLFRPGLLSRQQGSALTPQQLAPSVASMNLFGNSRQFESPTPSIFSRQHRQITQQQNHTPTPARSMVFGAPRSMVASQMERNQYMPPETNTRPGSVFTSVSQQDGHSVVSGAWQCRVIGILFALVFIVLIMQIGLFYVLFTRN >CRE02149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1909642:1914088:-1 gene:WBGene00053542 transcript:CRE02149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsf-1 MLRSVVNNNDKSKESDSSVRVATDDPTYQSYAFQPSTSSSNVSWFGGLRTKLSQLGLFPDWMSQLGIRSEDEKMDTSSTSSPQSEQSIGEYDESTLVFRPVQLDHCGQEDDSEQVDIWDFGATFLADLDSNHVWNDTIERQHFGPLKDLITTGGGANKINNHNFKTHSLLHPTWCDKCGDFIWGILKEALKCEHCNYTCHARCRDLVTLDCRSPGSSLASSTDFDSIYPQLDGTLGTIPKGLILPPAMSSSTGSDKENGNGSTAGTSAENPIFSVKNSFTLPKSFSPVDSIKKEPSAPPESRHTTLRVVERYVKEDTPFEWTDEYKELDLERKIEVYNSIARGMEITLHEDGINFGGHIHVNMNLSRPISVVQGVVPPTVYDVVNTAKSTTKTTSLRTITSFFLPRNTTKVINIDSKTTARKMIVTLLKKFRVADNPRKFALYECEQQTDEATCTLTRKLTKISDEACPLKVVLNWPSPHCGRALVLQENDTGDILWDAFEIPELENFLRILGMEEKQYVFQTQQKYQQYRYHLDAELRQRGHSVPDSEVQPVIQTNPFLDEDFLRNQDEYGTSDSMLFSGTIKNAMMEDPEYVNLEYLKKQNMDQSTNL >CRE02148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1907888:1909094:-1 gene:WBGene00053543 transcript:CRE02148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02148 MTSEPIIDDYEGPTPWEQLIPVCILTAILLILLLACVKECHFKYSRSKAAENASKRDLGPLPKITVTNGRTGEERVIQE >CRE01763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1906796:1907877:1 gene:WBGene00053544 transcript:CRE01763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01763 MANCPPHWTGAACDWPICVHGRANPATKLCNCYNYYSPPFCISCLPGYWGESCDRQPLKGVTSASDFPIRIPPFLVKPMLFAIALLFVVLILFLVYRVRIYIRNRRPPRYDDIAKDLPPPYSS >CRE01762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1902694:1902939:1 gene:WBGene00053545 transcript:CRE01762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01762 MRFLILALFVLFAITQAYPSSDYQPRYRKSQTQEANIQPFIRFRKSTQPQQNWMFRPDAAPYFE >CRE01761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1895265:1900130:1 gene:WBGene00053546 transcript:CRE01761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-180 description:CRE-TAG-180 protein [Source:UniProtKB/TrEMBL;Acc:E3LFB3] MLFILTILFRLSLSHLPNDTIEEAPPSIAKFSSNILRDFETQSRFSIVQTEFEKLKPDIKSKKEDAAEKLREATEHLDRLVSSRVQALKKLASSAEASAAVFDEYDDQAYAVPQSDKRCEAYMKKMNESDMHFVSNMVEQNSKSGIHITVESYQCDPRVMRDFDWTGTKFIEKTMTENKEKDPDMGQQYIGTYSGLTRMYPRRHWKVEPAPITIDLFDPRFRPWYVNAESVPKDIVFLLDYSGSVKGPTMHLIKITMMYILSTLSPNDYFFGVYFNNHFNPIISCANRTFMPATTSNKKVFFEELGMLEEKDQAHFSTPLKFSLDVLRGNLDSNQSLFADYRSEGHKLLIIFTDGVDEWPHQILDEEFQTRNSELIRIFGFSMGYGTSLLPLQQYMACKSHGGYSEIDSIMDVKPQSRTIQNVLSEVRGDELKGTKAEKREPSWTQLYMETQGSGPTVTLSLPILTSDQRIWRDQSLAGVVGESIFLIIYQNRLFLAIDISIKELTKHLPTSSEQMYGYIVDNNGMLIYHPQLQIPKTEVHCVRRSACYDAQQVKQKAGSGLRVHYGFSDERVYRLVGLIDSIPTLDMYDLEGDSTAIRDLRRRITTKTCYEEAIKDDTKEFHCAHIKDSPFTVVIVNNQELKTVYYDDEVPDLELSNNKLVTFFYPRRDVCQWKLDEYQPYDRFRVWSDISDKEICAQDDMRLARAFTKALGSWTQSWPQSDIEHTTCLLVQFPDNASIPHYVNSFVHTRSKLTAFYPTCSSHDMKAVNKKFDEEIKVTDNNDFIQFSMRSGSLLIYRTIADYENNRLAVVGTQWKENYFDQYFDNFTRQHSDWKVCRKQECSIITRNGHVIASSANRQPAHLATFDPQLFESLIKINLVSTNSWVDVQSECKAKRIAPWSSAAPASSSILRYFVNSLFKFAQTSFWKNLLESAFTLVDAQPSMSGKTCTFQKIKPFERCFMKFFHYRMTLNITKQLQLTGMSTCSRYAKLYPVPNTTLSLIIADRACSQYRPKRTYEAEPKKMEKCEVVHSHARRRPNSLNDWKIELQNKHVDCINESLPHHSIFFTILLVIISSFRIF >CRE02147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1888960:1889756:-1 gene:WBGene00053547 transcript:CRE02147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02147 MNARNGQKSVQSSASDIIQTFNHFFMNVPSNKSFDMTYKVYISDLADTEDRTTLSAHSSLVNVNGVTPTTITSYGTVPTLTTSTTTLSQPSSIPSAKSSTSSSIIKSSEEVSMRQIEELCADNMARKERSMVNLNELTKELKGFQQPPPRPPQTKSPTTVYENLTTLESSKSSTSSMSAPSTFTNKDREFKKIVASDMEGDDGSLKLFIKVTDCFN >CRE01760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1887842:1888874:1 gene:WBGene00053548 transcript:CRE01760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqt-1 description:CRE-SQT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFB1] MSVKIACYVTASVTVATLMVCFMTMSTIYSEVDGFKEQLDTEMNVFRESTNGLWKDIVVIGRNSKRVRRQYEETNATPTPHGDGSPSAPPGSPPAVPPVFNQPKTPNGANGNGPTCNCNADNKCPAGPAGPKGVPGVPGLDGIPGLDGVPGVGAEDIAPQRESVGCFTCPQGPVGPPGALGRPGPRGLSGPKGQNGNPGRDGQPGHPGEQGSSGPIGKIGEPGPPGEKGRDAEHPIGRPGPKGQKGDQGPPGPPGQNGLHGPPGEPGPVGPEGPNGKQGRQGPDGTQGETGPDGRPGKDAEYCHCPDRAPPSEAVNGNRGYRNF >CRE01759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1884704:1887172:1 gene:WBGene00053550 transcript:CRE01759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-20 description:CRE-LGC-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LFB0] MKTSRFLLLFGVLLLMIPFSEQMIQCRYTKNLTNRADGGDEHCLFYYLLNREYEETSNVNSLSTMPPKTDEMKITIENVLVKYVELVKGSSYQFNVFGDIYLNWKDERLKWDKKGEFENYDHLFIFNSSAIWIPHIIDHTLCSDGECSSSVDDIDVYDDGTVYARIQFRYLASCAVDYKKFPEEDDSCCIFFTAFETNVERTKFEIEGKAKEKLNRPVYSQKMYEKEEKLNEVAHEHSPWVVVERTLSVAHLGGIETLEVLQVCIKAEKQMSTVRVALLFPVTLATYVMLASPLFGDLRTQIFVKLFTLHIQTICFLYLCTITPLNGFLGVRPRIYIFYELVFTISFMSILVTLVVMALSRVKRNVPPSHRLFLSAKLINRVVCCIEPERSDAYHRYVEEENPEQRASNEVNESVIRRGAELDYTQDWRHIYLALNNMFSALMFSVFLFMTFLEFF >CRE01758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1882878:1884777:1 gene:WBGene00053551 transcript:CRE01758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01758 MGHGEEPLTATYKTQRPPWIGIWSDREYKGRPDWWKEPGLEDSVARSGKLRLYRARFREEDPDWYDPKVKDGESLAGPFGLDSKKTKNLTKKGDQPGYLADFQNKVYEGSHQFKSIAEKFGLSKEHPGLDVSPTMSDLIKTPKGELGRNAVLTNSWYHFGPRFFDTPLNEGAFWKGLAAAKYAGILLAPYTILEIRALNTVSVADFSPRTYLKRYLQLAPFPLAVAFAWGFTLSAAATIRNKDDVNNHWFASAAVGSTVATMKSNVALGTSAALFTAILGAFWQYQRHSETGLQGMTAHPQSAGIWGGPLLWQKMQFGDADVPKTRY >CRE01757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1879798:1882415:1 gene:WBGene00053552 transcript:CRE01757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01757 MDDVLDSRRDPGSSTGEDINSSSSLLDILIKTEEPSDETDPLDQFGDISEAVIGQISGSLEMSEDLMQNALKMFGDAMDYKPITPITQKRKRIHRESQIIDVKPTLSPLPCQPVESVKKIRKNDPRSVNCPRSNYSVDYTEIFQKEQKTDFQFQTFHQQLEKERTRRIDDQVNKDVERLLNSATRIYGPKEWTSEEKTEFSSYCRNLRTGSLNEEDRNNLQNFYAKAYQQDYQSLLGASSPSGQKEVKETLASSSAICLPVKEDNHTFVERVKQEVINSMPAPLTLSCLLNHLDEAQKYKFDPGMKYEREKQFRYAATKIFKNVVNSRKIFTPEDISRGPDNRRRFESRDIKLLVTNINGLMNEQNKVWSPDQVQQLGDYYNIFIEKQHFTIVQTKDLGKFLRKIYGRLQQYEIERENDTVLQEKRKYWQEYAKTHAPPAKKTPGRKPIKWNHPVRAYNKSNVDTTGETLEEKKLRKAAYLRQWRLAQKEKKYYSNMFGSVEPLQK >CRE02146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1877512:1878980:-1 gene:WBGene00053553 transcript:CRE02146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02146 MQCVRSFVKNETFTRNRILLVAFIARVTLILYAHIHDYLFKVNFTDIDYHVFSDAAKHVSKGGSPFDRATYRYTPALAWILLPVVNIPDYGKILFCIFDIIVALLYFKIMENDLNKTKGDDRSEIESDQTINVVLYWLANPLTAIISARGNAESIVSAVVLLNIVLLQKGYWKSAALVHGALAIQLKIYPIIYLPSVFLSLSSFGAEKDIVSRAKSLVTNWKGFVYVLITLISFGVVVAFFFQIYGQLFLDEYLIYHIKRRDLAHNFSPYFYLLYLYESNPTVSQLIGLGAFIPQLVLTVFFAFKHYDDLPFCWFITTFAFVTFNKVCTSQYFVWYIVLLPLLAHKITVSAHSIIFSSFIFFQFSRTRALTLLAAWFVTQGIWLLTAYLFEFQGWDTFFLMFLASCLFLVTNSVILKQIIIHYVPIEKQKTE >CRE02145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1876245:1877292:-1 gene:WBGene00053554 transcript:CRE02145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02145 MVFYLIGLGLGDVEDITVKGLNIVKNCARVHLEAYTSILCYGLDKTNLEKFYDREVIEADRTIVEQESDAILKGADKEDVALLVVGDPFGATTHADLVLRAKQQNIPVRVIHNASIMNAVGCCGLQLYNFGETVSIVMWTDEWQPESYYDKIALNRQRGMHTLCLLDIKTKEQTVENMMRGRKIFEPARYQKCSEAASQLLTICERRKAKGEECAYNENTMVVGLARVGWDNQKIVYCSMKEMSEMEMGEPLHSLIIPGETHPLEVDMLETFKP >CRE02144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1861652:1870557:-1 gene:WBGene00053555 transcript:CRE02144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clh-2 description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:E3LFA5] MDPLAKVSILSPLAMLQMHHHKPKKSWIRSVMSDVKRSLLDMSPGGKTDDADEETDDHIESNETFAEFCTRQAQNVVHFLVEDWFLSALLGIITAVLSVGMDVAIEVLQHAHVTFYDKMLAISTYLAFSQWVAHIVILTMFSAIFCQIVSKQAVGSGIPEVKVIMHGFKMENYLTTRTLVAKMVGLTLAMGGGLPIGKEGPFVHMGAIVATLLSKITSACQYSAFFSNEGREMEMLSSGCAVGIACTFSAPIGAVLYAIESTSKYFAVKNYWRGFLAATCSAIVFRCANFFVTAEQSGTITAFYQTRFPTDCFLVEELPIFLLLGFISGLMGSLFIFIHRQISIFRSKNRVYKLIFRNNFLAFTVFMAFVVGVLTFPNGLGRYFAGRLTFRETMADFFNNCTWATNDSRRCPDSILTHWTGGTEGDVSIFTSLVLYYILYFVLVAICISINVPAGVFVPSFIIGAAGGRLMGETMVVLFPEGMRGPGGPPIHPGLYAVVGAAAYTGAVTHTLSVSVIICELTGQLSPILPVLIAMLMGNAVCKFLQPSIYESIIRVKKYPYLPDLPPSRVSVHTVKVEQLMVTDVIYITKDMTYREMKDILQLAPHLRSFPIVTDHENKILLGSVAKRYLTMLLRRHVLVNQQDSRNIGRITPAEIFNTIRRTSMRLSRRSPSRRSNSSQTRENSIPETARSDAQSTNDQVEFVSERTISGNTLLSISPLHCPNNIPLQAVFTRPSSADLSKAETNADILLNRNIDLDEIAIDAAPFQLVLGSSLYKVHTLFSLLGLSHAYVTDCGKLVGVVGLKELRDAMANIYVRGAVAPVKPDRKLTSGTYLDMSQMHRDKLQSNPPMTPPANGHSISMESPKFGNSLTVPPMAL >CRE01756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1860200:1861306:1 gene:WBGene00053556 transcript:CRE01756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01756 MTRGRYRKRDCEKWKREPKRDSNDFTNCRQRSLVASDATMSRQFFLFLLFLALINNVLARAPFVRDRPRGLGLLQLPTGMTDERSGPFFPGLYIAGDKAGQKPQTVPDVSLPGQTASFTGRSAFNPFTHMLSAVYTEDLVDAWGAGFAVNGVNNHGLNVRKNFDAFADAPLSSSDGMYQPFLTAASVGAEYDLSKIREVSGNFNLPVPGLNELFDFDGRFMVKGGGNGILNSAMEFPLTLTDPNERAPYTFKYLNFMADRHMHYGHVVPNVNLFVVGKDKIMDRLMQNRLNPTMVG >CRE01755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1856066:1856322:1 gene:WBGene00053557 transcript:CRE01755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01755 MSSNLVPPQVFVDTPRPHNQGSSADDFNGLISVSLSNFVGQRPKSPKPRKSPSPRVSPMASPRMKKK >CRE02143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1850798:1851545:-1 gene:WBGene00053558 transcript:CRE02143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02143 MSHSFSISLFFLLCFTMIEVVDNLVAYDRRNEFLNIPENEIHVITDAIDNYKPKLSVQFIEFQHVKRRKATVTKDGKVRILTKVKHQQKATIENVKVDPEVVQLRFGGGSKSHYPYNRVVDWWRRNDQTSEESHEETTTTTMESAPPVTPKSWAKTTTTTLTPYSAEPALILPSRFHRKKEDVVIVFKKKQKS >CRE01754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1845121:1850366:1 gene:WBGene00053559 transcript:CRE01754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-shn-1 description:CRE-SHN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFA1] MNQEEDPVNLQIFVPELNVRKFLAVTQNDFIWDVKRKLLATLPQALPQAFNYGLFLPPCDGRAGKFLLEDRTIRDYPFTDCVPYLELKYKKRVYKMLNLDEKQLKAMHTKGQLKKFMDYVQQKNNEKVEKMCTQGLDANFHDSLGETPLTLAAGIPNNRAVIVSLIGGGAHIDFRNSEGQTAMHKASFLSSFENVKTLIELGASPNYRDPIGLTPLYYNMLTADSNDQVAELLLREAADIGVTDMHGNHEIHQACKNGLTKHVEHLLYFGAQIDAENVNGNTPLHVCAVNNRPECARVLLFRGADHLTVNKQGQTALHVSHIVGNPAVADVVQAHNPSSSVPYRGTPQYSTRRRLSSTITRRRSMSQSSICSQDVYRTPQSIRKAPMSAAPSPSPSRSSRTTITPSEYGTMRRSGMDSMRSMIAAGHETNIARILVIPRGVKGFGFILRGAKRNFLLKKCYIFSFNFSDVAMPLNFEPTAQVPALQFFEGVDMSGMAVRAGLRPGDYLLEIDGIDVRRCSHDEVVELIQQAGDTITLKVITVEVSDMSRGGTIVQRAQTDTHDAHGVDYYAPNDIRNAYSESRHASVRQRPGSGRRISAAELENLMVRQRVPSVQGNTYQMQYDQESLNGGYSSKKYNSVSDMKRRKGQRNAVASSAGLNRSTFEPTPSATTSFEYNCSSRSTPQLSRMDSFDSFDDEEDLPAPPPVTYVPVDLSRDSSMQRSEYSRPFRPTSRPKTPPPPPPMQHQQLHQQQYQQQQQYQQQPHPSLPRSASQPQQAHIQPQQSSIPPPPPPPPPQLEPTMVQVEFTPPSTSSVPPPPPPPLPPISSGAPPPPPPPPPGGLMHVAASAPVIMSNSKGISADALKSVQLKKAEPRETSSAASVNNNNNKDSTTDFQMDLKNALAKRRSKVAHDVDEDEERESRFEGLSLRETVRENVVERGKGIQNIGIVNKKDSGYTSSRTSLEPSESEDKDHRPHFSLDHSPNVQRVTLISQHLEDNYGQKDNMSVASSSTASTSSTVDLSKPGCFVVPSHVIPPVDYDDDPDSGTGDSDGEIRCSEISSFEHKKVDVWTVEDVVGWLSSLHLSEYSPAFRIQRIDGRCLRQCDRSRFTQLGVTRIAHRQIIESALRGLLQ >CRE02142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1828393:1829560:-1 gene:WBGene00053560 transcript:CRE02142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02142 MLEVRRASLVRQMAFRQDSNDAELAIRHGHLAPPNSIPDSPRPQKPNNEHPLSNTSCLSVDVPTNKTQSATTKEKTTDAEKKK >CRE01753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1825714:1828007:1 gene:WBGene00053561 transcript:CRE01753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01753 MSINDFHHKSCLFIFLFLLLDQSVSSFSFDQCNVTRSCWFHPPECNADNSAACQSGVEWQMLPEGLFLQLEAKVHDLDQTRPFYAALGFSFNQRMDDDTVFECVVPHTGNGKVQISFNDETFNQVLPQASSVLLTEGTTSYIDGVLTCSAKLLLDNRPLISNESQFMVHDLESGSYHLLFARGSANKYTLEKDIHSTNDGQQFPWMSDEQVSFCRTNCSSPNLYHIGAMRQTYNVSRYWRYRIAVWHGILLMFAWWVLGSTAILISRYFKPLFPRNKLLGTAVWFQLHRDMMILSVIIQVVCVLFIFYQAGWVWYQCSYMCTSDDFSKKMHAITGFTATVLALLQPVFGFLRPSPTSNVRPFFNWGHWFIGMFSWAVASATIVLSIPMGKTGLNRLYGHVPNWIVLGYILFFIGCNLILEIITIASNKSKIEGIQPHRFTVGPMGMALANLNTPIKDAPIEVPKRTKARVTVVLVHLVVSLSVNIAFAVMLFRALWSHSP >CRE01752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1811270:1812420:1 gene:WBGene00053564 transcript:CRE01752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01752 description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:E3LF97] MGSTHRSENEKRFRIVCSQDSAYQYVAELEEMGLTQFIDLNEDELIFEAPFRKEIIKCEEMEKQISESKILIISVKMFFLISVSIEIQLKRESCHIPDYADHVPTPPQNGVEILHKILNKTEEELNQIRKNISDLYVNHRKLLDLKTILENIPNFGTTQDSYFKVRLEDQQEFLTGVIKSAKKTDFDTFLRRMSRAQIFTKLIPIQKTNSMIEPREKKVFILFFSGDKQREKVKIICEGLHAKCYTIPNSPEDRTEFLKKVTEQSDQMKSVIRNTEDYRGKIMRSVGRNVVKWRIMNQKMEKIFHIVNMFHLDSDRNCLIGECWTTEAESDNIRNVLKTASLKLEGSKCPVFEEIKIQEDEEASIKM >CRE01751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1807721:1810614:1 gene:WBGene00053565 transcript:CRE01751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-6 description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:E3LF96] MGSIYRSEHMKLCQIFFQSESAYQCVAELGELGLAQFIDLNEEQNSYQRKFVNEVRRCEEMDRKITFVEEEIKKDEVAIPDYDDHIPAPQPKHMGEMEANLEKLEEELVQINKNTKVLKTNHIQLLEMKAVLEHVTSLLDHQSKREAAMSISEAARGEAGPLSFGLKQEFDKPVRDENELKFVTGVIKRNKSIAFERFLWRLSRAKVFAKFVEIKEKTDVFSHDYEDKCVFILFFSGEQLRSKVKKICDGFQAKCYTVPENPAERTKLLNNIKLQANDMKAVIEKTLEYRTKCISAAAGNLRKWGIMLLKLKSIFHTLNMFSVDVTQKCLIAECWVPEADIVQVKNSLHMGTIHSGSTVPAILNEMETEKYPPTYFKLNKFTQGFQNIVDAYGIASYREVNPAPWTIISFPFLFAVMFGDAGHGIIMLIAASAFVIFEKKLISMKIKDEIFNTFFGGRYVVLLMGMFAIYTGFIYNDFYSKSINMFGSSWQNPYPKSLLEQMDAQGVESGNELSLTFAPEDAFNHAYGPYPFGVDPVWNLAINRLNFLNPMKMKTSILLGISQMAFGIMLSLMNHMLVFSFFLYDLINNFSGNRSVVDIVFVFIPQCLFLGCIFVYLCLQVIMKWIFFYVKPAMVFGKFYPGPNCAPSLLIGLINMFMVKSRDVRFGKNPNIRKITAMNFTLNGKPVTYTDYDQCYLQQWYPNQSLVEVILLLIAVISVPVMLLVKPFYIRWRHGRGLPVDLGHGPDDHGEFNFGDIMVHQAIHTIEFVLGCVSHTASYLRLWALSLAHAQLSDVLWTMVLRMSLTMGGWGGSAAVTIIFYFIFSILSVCILILMEGLSAFLHAIRLHWVEFQSKFYGGTGIQFEPFSFTKIIRVYEGLDQ >CRE02140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1804253:1806605:-1 gene:WBGene00053566 transcript:CRE02140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02140 MKHQCLLIVFIAIVESVSQDPFPFGLDDRPDPFSSNAGLKQREHSQKRSDRHRYHRPQEYNQHNTWGFEDEENVQEQKETSQAQLDRIASKPRPAPVPPTVDPAEDLKSAVRDAQLGITTTDLPPDPKHNGLLKDVGTIGVGFGVGVGVPGNDPVSVGTGVSVGLGESGPAGGLPPFTETIFPRQGEQISLNDYDGNKDLIVSGKALKYIQAVRLGFIQLPKYAPQKSLVGVNSGIGILGAQAPTGG >CRE02139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1802322:1803929:-1 gene:WBGene00053567 transcript:CRE02139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02139 MTKIPQSFTLYVVSTVLLCSVAYGLKDLGAPNWSCDASVMAKSKKVPTSAHSVRFADIKVIGALGDSLTAANGAGAPPGDPLAVILQYRGLAFQIGGDKSLDEHITVANVLRKFNPNLVGASKGIGSENVWEVAHLNMGVPGAESKDIIGQARALVNTMHSHSEINVKEDWKLVNIFIGANDICVYCEDPYFNSTAPHGKTTFENNIIAAVKILHDNLPRTIVSLTGMFNMRMLRKIDKKKYFCEGLHTFECDCESNQKFTDDDIQGVCFGYMDGEKDIQTTGLFDDKDDFTFVVQPFFNGILDPPYASPGVVDMTFFAPDCFHFSAYGHGNIGMHLWNTIVQPVGFKQTSVNLSDPTVGLHCPSTSCPFFPTTKNSKNCAAHFTLSELD >CRE01750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1800803:1802316:1 gene:WBGene00053568 transcript:CRE01750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsp-2 description:CRE-RSP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LF93] MVRVYIGRLPNRATDRDVEHFFRGYGKLVDVIMKNGFGFVDFQDQRDADDAVHDLNGKDLCGERVILEFPRRKVGYNEERSGGGYRGREPTFRRGGERQFSNRYSRPCSTRFRLVVDNLSTRYSWQDIKDHIRKLGIEPTYSEAHKRNVNQALVCFSTHDDLRDAMNKLQGEELNGRKLKCTDETRDRSRSRSPRRRSRSRSPTRSRSPPARRRSPSSDRSDRKSRSASPRKRSEKRARSESNSRSRSPGRRSRTNSPPNRSPSPKKRRDNSSPRPGSASP >CRE02138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1798239:1799914:-1 gene:WBGene00053569 transcript:CRE02138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsp-1 description:CRE-RSP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF92] MAARIYIGRLTSRVSEKDIEHFFRGYGQIRDVLLKNGFGFVEFDDKRDAEDAVHDLNGKELGGERVILDYSKPRGGGGFSDRGGRGGGRVSSYGGGGGRDRFDRFDRGGPPKRESRYGRPYSTRHRVLVENLSSRISWQDLKDQVRRQGVEPTYAEAHKRPNEALLCFASPTDLKRCIEKCDGMDLNGRKIKMIDDSQAGSGRSRSRSRSRSRSRSRDRRRSRSRSSSRSKSRSRSPVKRAKRESKSKSRSRSRSRSADGRKSRSTSRSPRKAERSESPPRRSRSPSEKRSPRRSRSASPMENGDGDN >CRE01749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1796011:1798058:1 gene:WBGene00053570 transcript:CRE01749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01749 MNLVKTSFLLLFLTLNCVFCDTDSPNTDDPTDGVVIPTKLGYLRGVRVPTKTGFLVDYFKGVPFAEKPERLKKSRLVKRWPDIYRAQKYPKKCIPATRPLNDSEYSEDCLYLNVLKPSGLNTHLLPVVVFIHGGGFQEGDGNDASCEAFAENFVSKGMVVITIQYRLAMLGFLSLGCTDPLIPCNLGLWDMVTAFQYINKTISDFDGNVNDITLMGHSAGSMAVSLHSLSPISSIYFKKYIQLSASSWSLTRYKQQNIDVTKRILHDLNCNISSSKQILDCVNYSSLDSMYSAQIDTNLWPEFGDDLIPDIPDNLVNTTQNQKVLMGVMTLESLYFTYLKSNPEMFPNIKRSTVEEYINSSVAIQYGVNSDAAYDNLTEYYLSGNVSESDYSYFMWQRSKIDSNMVFDMPILREAHARSLVSPNLYLYHFSYFNPAEFDKDFPVQATYHCHEFPYIWGVYKDKIFEFNDDDRKVSAFLITSLTNFIMTGDPSSSDFKWPRYDSDVTHTVIQPIPSTDKSERYLFQSDYNFWNSMEQRFQFDLITGLTVETRYETTTRVSSSISTLLIVLPTIIIALA >CRE01748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1791854:1795457:1 gene:WBGene00053571 transcript:CRE01748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aars-1 description:Alanine--tRNA ligase, cytoplasmic [Source:UniProtKB/TrEMBL;Acc:E3LF90] MCQMYCVVRWEVSRELRKSFFEFFKSKNHEILKSASVIPAENDSSLLFTNAGMNQFKPLILSSSEPKRVANIQKCIRAGGKHNDLDDVGKDLHHQTFFEMMGNWSFNDAFSKQEACQFAWDYLVKTLSIDSDRLYVSYFGGIERLGLPEDRECREIWKRIGIPSDRILPFVAENFWEMGSAGPCGPCTEIHYDRIGGRNASSLVNIDDSVVEIWNIVFMSNIRDSSGHIHNLGKNHIDTGMGFERLLSVVQNRNSNFDTDAFTPILDKITSLTKNKLKYNGTLSSREDAVFRLVADHVRAATVAISDGAIPDGTGSGFIVRKMMRRSFLQGNSKLGIERFGLSDLVPVVISTMVPFLVRITSDKEVYPEIEKSSNEIIKTFKDEETQFWKTVDKAKKMFDGVANENKSPVISGRKAFNLFETHGLPLSVTVEMARNIGKEVDEKEFERCQLEAQKLSQKASQLKLPVSASDFPTHTDNDKYSYTYKNGRYGKSISFTLTNFNYLFLEFPKVQTRILEVYKNQEKANCLNENEKGFVVLENCQFYGEQGGQTSDTGKLLIDKKEIFEVESAKKLENGAVTVLFGRALQPIQRDLRVEQQLDEKKREGVMKAHSATHLLNWALQKSGLGCGQKGSSVDCNRLRFDYSTKDDVMEKEQRSEVLKQGEERMNEFIRHGGETIILETTIEEAKKIENLQSDVKEDRIGNSTVRVVSLGIGRDVPVECCSGTHVHDVKVIEDIAIMSDKSMGQRLRRIIAVTGEEAQKCREYAETSYKDLKSKEPKERSKIAKKIDWKRVPLVDQSRISALLKQKR >CRE02137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1790676:1791656:-1 gene:WBGene00053572 transcript:CRE02137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rga-1 description:CRE-RGA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF89] MDTTHPSEPHPNLGEFEDPVDGYADDDLLTPDDLSVSVTGMTPSRSFLETEEFETELGGIEEPFEDIFNDISIHEIIQVIADGDRVGRPIVVVYAYRLPSSKEIDHTRLLQYLTQIIDKIVDQDYTIVYFHYGLRSHNKPPVRWLFQAYKQLDRRFKKNLKALYVVHPTRFIRIIFSLFKGFISSKFENKFHYVMCIDELENALSVSRLNLPSPIRDHDKNFSNQAARPATPPSQPLPTQQFGVPLEL >CRE01747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1789004:1790564:1 gene:WBGene00053573 transcript:CRE01747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-17 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3LF88] MEGLKNPNGRFDDRQDLLSRIEKYERKVIPSYEGKVHELTHKLRNRPSICTSVSCIDVSKRIGDFEKDSKMNPELDKIRSELKRAEEVIREKDNEIEAKNKELREMTNMIQKMAATFNTEMSKQEILIRELNDEVVDLRGQIRVAIRVRDYEKDGSNFEITSDNEVKFQQNGQSNVFEFENVFKPTTNQPQVFNEIKELIMCALHGKNVSLIAFGPTSSGKTYTMRGDEENEGVIPRAINFMLEHSRHDLATIGWQYSFVASFIEVYNDEVFDLLDEKKKIKITGEAVTSNKIPIKGMRDVNHLLQLADAQRSVASTACNLHSSRSHAIFQIYIDGVNKSGETIKCSLKLVDLAGSERAKESGAQGEQFKELTKINQSLSTLKKCIRAQKNKDTHVPYRDSKLTILLRDSLGAGSSKTMFIAHVNPNDVCETDRTLKFTSDVISVIQIRKCTSFDYFQLRSTRIGKATVQTGQ >CRE01746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1787574:1787961:1 gene:WBGene00053574 transcript:CRE01746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cisd-1 MSCPTQISGRCVASTVAVLAGGALIGYIVGYKLGQRTARCNYKIQLDSQKIADTVDIEDIGEKKAFCRCWKSEKWPYCDGSHGKHNKETGDNVGPLIVKSEKK >CRE01745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1784441:1785601:1 gene:WBGene00053575 transcript:CRE01745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mfn-1 MGGGGEDEYESLPTHSIPVHLAAGALAGAVEHCVMFPFDSVKTRMQSLCPCPETKCPTPVHSLMSIVKREGWLRPLRGVNAVAAGSMPAHALYFTVYEKMKSFLTGNTAGHEHTLAYGASGVVATLIHDAIMNPAEVVKQRMQMAYSPYGSSLECARCVYNREGIAAFYRSYTTQLAMNVPFQAIHFMGYEFWQQVLNPEHKYDPKSHLIAGGLAGGLAAAVTTPMDCVKTVLNTQQAAEADPSNRRIFLQDELQARYRYRGISDAVRTIYSQRGISGFSCGLQARVIFQVPATALSWSVYELFKFMLSFEGGHSS >CRE01744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1781634:1783132:1 gene:WBGene00053576 transcript:CRE01744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01744 description:tRNA (guanine-N(7)-)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LF84] MEVNPVSTEMELDNKPTCETVPGLPQKKHYRQRAHSNPHSDHDIEYPLTPDHMDWSKQYGEFATGRRVEFADIGCGYGGLLMRLSPKFPDTLMIGMEIRVKVSDYVNEKIQALRKHHAEVGHYRNIAVLRSNAMKYMPNYFHKSQLSKMFFLFPDPHFKNKKHKWRIITPTLVSEYAYVLREGGLIYTITDVKDLHEWMVKHLSEHPLFERLTDDEMNADPVVEMLYDSTEEGQKVTRNEGEKWPAVFRRLPNPAL >CRE02135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1779616:1781176:-1 gene:WBGene00053577 transcript:CRE02135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02135 MRTSHCIIRSFSPKRLIIFVVASTLIYVMLLRNSTLNDERRIAATEEQVRSVNVIQQSKNVGVAERDHEIKDPVELEIARQTLTFLNMEDAVTKPKPPVKIAQEDGSCPIVERIPDLQGALPQATLLIQNLQEGEVHAVHPEIGPGGSWKPNDCQARDKIAVIIPYRDRQTHLTRLIDFLIPILQRQRLDFRFIVTEQYGNDLFNKGRIMNAAFIFAESLGVDCVVFHDVDMFPQDDRNPYSCPPGPRHLGAFVSNLGYQLWYKEIVGGVLAVSMADYRAVNGYSNQFWAWGGEDDDMGQRILSLNYTIERPNPETGRYSMLKHVKRKRTAPKLIYKLLGNSANRVAYDGLNETDKWSIRKVTTRPLYYHLYVDVGPVPEEWRAKA >CRE01743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1777103:1778588:1 gene:WBGene00053578 transcript:CRE01743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01743 MTSQETRATWSSREHTQPMQSTGSMYTRHHSTLSTPRHTGTQPRNSYMPTRSTPHQTSTHYPRKRTEAKRYVIEDFRQAFERKGMDLDTTRKLGRGKYSKVYKAVDKNNNRLVAVKAIDVLELTSDVKNKFLPREISCWRKLKNQFLVGLHAQYEAQNMIFLTMEYGSQGDLLRYVQDKGGIQERKAGLFMSQLMRGLQYMHSKQIAHRDIKLENIILFDNCVKLSDFGFVRQVEQNTMSLTFCGSKSYSAPELLRGIGYNPFLSDVWSLGVVGFVMVTNRMPFDEKKPNNVIVELQRTRQYVIPQTVHLTVSCISSFEAMMTFDAKERPSSNECLALPWIIPHIEMVDRRSG >CRE01742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1775586:1776740:1 gene:WBGene00053579 transcript:CRE01742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-5 description:CRE-TWK-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LF81] MVKFLRRIAPQAIIIFILTAFMVIGVFVFQSIDPVLAKQSFYEVIFFEFITISTIGYGNQYPQTPSSRIFSIIFSIIGIPLLVVTLGNFGKYLTKFYWKARGWICSEKTDRELVNDADMPGYMIGILYLLTFSIGFLYIPHSGEAYSTDDCYFRFVKNYKLFSETNFSFISFATVGFGDKVPQIDTFLKFCKVTSYLMWGMIVNIMLISYMTTWFNYIFARTPYRGRDVEVLIGGQCITVSEITSLVAQQFHASPHDVRSILHDIDKMMNNLQAKETSDDDSSEALVQ >CRE01741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1774252:1774888:1 gene:WBGene00053580 transcript:CRE01741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-18 description:CRE-TTR-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LF80] MRQLILVALFVSTASSLPFIGSVQSVRVTGKVTCNGEPAENIKVKLYEKEILLDKLLDEKSTDRQGSFTLAGNKKELTAIDPHVNIYHKCNYKGVCYKKLKIKIPKSFISEGETAERTFDIGELNLAGSFSGESTDCLN >CRE02134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1771662:1772111:-1 gene:WBGene00053581 transcript:CRE02134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02134 MNSFFLPIYLSLFAIFIGNVICQNGGIARMQELRTKIDPIRSFDLPEEAPRARIISTDFLSRSSKKMKKVYKDDSRQEDFRRWKLDELSERDMRSSKEVKSSARSPFVSGSGSEEKEENIGEFFPSKKFFRL >CRE01740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1768868:1771483:1 gene:WBGene00053582 transcript:CRE01740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01740 MSTTRFLATSLVNATSRRSLSLMVRRSRFSLAPQRGLISMIDKQQCRQITDYSAKDSRINSRKLRKHDGSNMDGAAITAAALKSQGVEYMFGVVGFPVIEVGMAAQAHGIKYIGCRNEQAAAYAAQAMGYLTGKPVALLVVSGPGVLHAIGGLANATVNCWPVVCIGGTADVDLENRGAFQEWSQQESVRNSCKHVSRPTSLHTIPAHIEKAVRCAMYGRPGAVYVDLPGNLVLTSTEEEIQFPEAVPLPPPVSIPPIAEIEKAINTLKSAKKPLVIVGKGAAWSERGATQVQQFLTKSKLPWLATPGGKGVASDLHPRFIGQARSLALREADTVFLIGARFNWILHFGLPPRFQKDVKVVQIDICPEEFHQNVKTEVPLLGDIGETLAEMTPRLGEWAYDESTEWFKKLRDNAEKNRNAVEKLVDDHSTPLNYYAAYQPIREFLANNDVIVVNEGANTMDIGRTMMPSRLPKRRLDAGTFGTMGVGQGFALAAALWARDHSPKTKVLVVQGDSAFGFSAMELETIARYNLPVVTVIINNSGIYRGLLPEDDKAIEGDRTLALPVLSLTAECRYEQMCQAFGGEGTVVRTVPEIKAALEKAYQKTDGPTVINALISTDSERKPQADHWLTRSKM >CRE01739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1766606:1768346:1 gene:WBGene00053583 transcript:CRE01739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ooc-3 description:CRE-OOC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LF77] MTIIWLTLLLFLQYVHPQEGEEIHIDVWRDPNDPTSEHRTYQSKDLLSIPPPVQKPDQSLRLILRQLLQDIHVDYQIDGPVQREVQIKISRHSMSVLSKYLNNHEADNPDDREAVRSALSNIFKVLEAAPDPTWHDTFLFLQPFIFTLNIFILPAAAVVVIRSIVRPRNFWILVFTTVLIISMYTGYNKKYQEAESRRFAQFQESSRDACAPEGLLSRMVEIVASPFQYRKKSNCLKYIESQTISIFHEISIIEVFSETISSGFFAFLSASAKHFNHFFRQFFDFTEDNVKLKMFQRSLRRCSIDRPNRYDILSHFNGLTFCSSSIGKIAGWLEGGEVEQKPAIQHHQTTKEVKRLEQEKKKKTAMIEYPRSEKEDVLSGSGNGKEKKKESEELMKMSEDSVDSDYTQDDDDLILLEESSDSEDREGKVREALNKTINTTSLSESSF >CRE02132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1763288:1765107:-1 gene:WBGene00053584 transcript:CRE02132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02132 MRRSTQLSIIHHLRQPGQSDVSRIRHATHTEAATTSTTKFLSNSQRPSIGGDSLFRFGFSRITASRQYSTKSSPFADNPNHNSNHNSNDMKNKSSRSPDFSNIPGARVPKSITKSNRVLEISKKQADEAFRSCLEMVRKHDVDSYLAILTMNKKAQPEIVALNALNVELASIRDKVDTRRGDTSAMYRLQFWKDAISSIYGLSPLPVPRQPVAIGLCSFASRADHNMLLKLVETRQSTIGDRQFPDINALSEYGKSTIGSLLCLQIDALARISADTKVLPMAYDVAKDLGAAYAIANIIRATHPLLARGIVLLPVDVMSLNGATPDSLYKKKKLDEACGVTRDLINESKRLLNDARSRIDMVPKAVRPALAATGTITDYIIKTVESNKYDIYSPHLQRRNPLLLWSLLVKKLCSKF >CRE02131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1755594:1757464:-1 gene:WBGene00053585 transcript:CRE02131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02131 description:CRE02131; CRE2131 [Source:UniProtKB/TrEMBL;Acc:E3LF74] MDELITLEGASQSEQIIGSHDFCNFSNITHHEHDEQSILIVWWSNVAVLPIIALIGLACNLLNMAVLTSNKTARRIPSWNLLIALAICDSLFLIFATLDVTPLSIPSLAFSTSFNHFYSRVVLYIRTLASTFYKSSVLIVVAFNIERYLCVVCPLNSHRWCTSRNSKNAIATAVFVSFLCSIQWPLAYDTIRCFESNSNEYYYVILMSTNRALQIYYRTMDYISLFAFNVLPIIALLYMNSRIIFTLRRVVDEDSRRDEETKLSDGLIQNEAHNNRTMRANAMLFAVVFMLFFCVGPQAPARLLFDIYGQYHPKAILYVCLSQQLVFLNASLNFCLYCVVSKRYRTLMKQTLKKFLHKLEGVDRPFQISLKQTKSSSAHVTSLEDHHAHLIQNV >CRE02130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1752907:1754828:-1 gene:WBGene00053586 transcript:CRE02130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02130 description:SRH-44; Srh-44 [Source:UniProtKB/TrEMBL;Acc:E3LF73] MNSSCSTVFTYWDTWQFQATFSHIIMLVTLPINIFGGYCIIKKTPRNRRSARWYLLHLHAWTIISDVLIGGLVCPYMFFPVLVGISVGVFSWFSVPVWIQVFCAQVGLGGLATAIVMLFENRHNTLVRGKFKIEADWIRYTFYGVNGIYALLFLLPVYFRIPDPVWAKPILLKVILPCPHPSYFQDNVFVFSMEISTVTGSAGVFLLFFLAEVFILCIHSSYFLLSLKTHMSAATRKLQQKFFVDMIFQVSVPVGVIVLPMLYCFYSIVWQQYNQMLNNIAIMCISLHGMGSTITLIWLNPTYREFTLSFITCFKYDPMIRRSSVMTVSPATITQQHSPKI >CRE02129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1751820:1752866:-1 gene:WBGene00053587 transcript:CRE02129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02129 description:CRE02129 [Source:UniProtKB/TrEMBL;Acc:E3LF72] MTLSVIVRENSKSQYRIVLRNTSIYDVAVKIVNPNESPKLDRLECLVLSNKYTDINVLETPSKAVLCGNKLKPVLIYCRAIYPFNRKNIRHWIEGHVTDKPHHLVQSLNFLVDDAEYSANTVVVDLPGKSTMVESTGYSLKMNNSNTVLRAFLENDTASACHFDSKSVLKCLPKVAKPDNLKSSKSVAKAPTEDKFDDACFVVPLFKALCNPKTKVQTLAHGNSKSAE >CRE01738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1747451:1751461:1 gene:WBGene00053588 transcript:CRE01738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-age-1 description:CRE-AGE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF71] MSLGRSSSTTFRNRTGSNSSRDLPATRKNSTRVSQAHANILHPQLQAMLEQWQMRERPSLETDNGKGSLLLKTGVADLINLCPFGEVITVAFPWFLANVRINLDMKLYELKYQLFQRIGPMKWAPFTTRAQDYRFQQLNGFGEIEEIFNEEQQISSLEIHGTFPILFLFQPEGANADFQLMAHISECLEYSLEKLEGSLDEELRQFRASLWARTKETCLTRGQEGTNHYAFPEEQYLCVGEECPRDLDSKARSANLTYQVYWRWRQEELNESCERTMKISIPFDCNYTPYTLLKRCLKEFVRLNVYDAEDMDDEGWILQLAGRHTYVTNADVKLTSYDRIRSELESYRSPAFIICRESIVMKNYARPKPLYEPHYVRVHERKLALDVLSVSINNPATDAEPRAKNEDKVLTDFRPTASLQHISLWDLDANLMIRPISITGFNYPKEKEKDDKTYARIEFSVYVGTLVLASKSTSKTNAKFGTWKNEMYTFDLYMKDMPPSALLSIKVFSGELCKNNEKEFGWVNIPLTDWHDELRQGQFEFGMWAPEPSSDRSRIGENGGRIGCNVSVKFEISSYGGRVRMPSHGQYTYLVEHRSTWTEAADIMGDDYEACISDPGYKVLQQLVKKHDDGIVLNEEEQQHVWTWRRYIQKQEPDLLTVLSELKIVWTDREKFSELYVMLETWRPPSVAAALTLLGKRCTDRVIRKFAVEKLNEQLSPVTFHLFLLPLVQALKYEPRAQSEVGVMLLTRALSDYRIGHRLFWLLRAEIVRLGGGDSTSEECRRISLLMEAYLRGNGEHINVILRQVQMVNSLTKISAIVKGLSKEAATQRLRYELRSISHNLENIDSPLDPAYKLGKIIIDKAIVLGSAKQPLRLVWKNNNPLSEQHLEFCSMIFKNGDDLRQDMLVLQVLEVMDNIWKAANIDCCLNPYGVLPMGESIGIIEVVPNCQTIFEIQVGNGFMNTAVRSIEASFLNKWIRKKCGLEDDKKKKKKKDASKNESVQKIDNAQATKKYFESVDRFLYSCVGYSVATYIMGIKDRHSDNLMVTEDGKYFHIDFGHILGHGKTKLGIQRDRQPFILTEHFLTVIRSGKPVDGNSHELQKFKTLCIEAYEVMWNNRELFVSLFTLMLGMELPELSTKEDLDHLKKTLFCKGENKEQARKFFAGIYEEAFNGSWSTKTNWLFHAVKHY >CRE01737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1744338:1747270:1 gene:WBGene00053589 transcript:CRE01737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-8 description:CRE-MDT-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LF70] MNFPYQNPAPPVTANYQGEPEKIAQATDMMIKRVTDAKKMIEELLQMLDLQEKCPWPDMLEKFSSLASAMSSLQTSVRKSGLPHGHEDYGQFLRSHVLVTQRLQYENDEALMRATQGRVFSWNHALVPEYLRTKPNPEMENEEQMLDGERSAKAADLVVRQIVAYNKNIEGLLNNLMNIDRLHSEAIIEKPTHNREETTKIVKSILTGEGIRTQRVVAPPPSTTPMSVPPGSAGGISTQTGSQIMNQTPGMQDYQSSQLRQQLMGSGGGPQQASQSHMGYGNAYQPQYPLQQQMHPQHPNQMPMMAPGQLNMGHQMQQRPMHQMPNMTIQRQ >CRE01735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1740065:1743126:1 gene:WBGene00053590 transcript:CRE01735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01735 description:CRE01735 [Source:UniProtKB/TrEMBL;Acc:A0A097F0Y2] MVLRIAALLASLAVLCHSEISHPTSDKIYGKYIELSMNLTILLKDTNIDEAKIHELSKDMVSNDGNEDKIQKLLDKFMASLPEDQQKDFGRLYLDKEKLDYITRKVHRVLGYYLTRHQIQRLRDVLDTKFKQGATRPELVEAMVEELTKDVKREKAVKAIELTMKDLKMFNKKNPGLIEKVEVLFGHALVHDEM >CRE01734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1738304:1739920:1 gene:WBGene00053591 transcript:CRE01734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01734 MLKLIPKIRPRRPTRSYAAVLAPRNESYAKVMQSDLLAFENFLGKDAVQKDDITNHTTDWTGQFKGHGSVVLYPKNTEDVSAILAYCSRNKIAVVPQGGNTGLVGGSIPVHDEVVLSMNKINKQFTFDDTMGILQCDAGFILEDLDNKLSKLGYMMPFDLGAKGSCQIGGNIATCAGGIRLIRYGSLHAHLLGLTVVLPDEQGSVLHLGSAIRKDNTSLHTPHLFLGSEGQLGVITSVTMTAVPRPKSVQSAMLGVESFKKCCEILKMAKSSLSEVLSSFEFLDEAIMECLKVNLDLHPVLNKSTPFSILVETSGSNEDHDMEKMSAFLEECYSKQLIVDGVLAGSSADATKMWKLRESAPLAVTRDGYVYKHDVSLPLESYYELTNVMKERCGDLAKRVVTYGHLGDGNTHLNITSAKKNEELENLMYPFLYEWVVAHGGSISAEHGIGQLKLPYSTLGKESEERLLTKKLKNIFDPNAILNPYKMI >CRE01733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1736993:1738073:1 gene:WBGene00053592 transcript:CRE01733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01733 description:Transcription initiation factor IIE subunit beta [Source:UniProtKB/TrEMBL;Acc:E3LF66] MDPELLRQQKAFQKHAATTVAVQNKPSASSNSHTTYSSEAAKAKKKKSSGPSQNLSKLPDFDNFTSNSNALSNATNFSTMAKIVDYMKKRHLNQQQWPLTLQEMLDELQIYDLSKRSLAFLHEALPNNPRLIMENDKFAFRPPYKIKGKTSLVAVARKHYQDGKGGILVSDLAECVANYDALLQQVAADVIVVPTQVNKKKDRVVFYNDKDFTFPELEDDFKALWRNVSVDHLDEKKIEEYLQKKGLDAMKDLTPKVRMQAPQKRKAAKRRLNQKVQNEHMDGVLEDYE >CRE01732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1734677:1736614:1 gene:WBGene00053593 transcript:CRE01732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01732 MFRQTEPIVRSLTRKRKNIESTPPITDYFTTIKRDRSQTEAKKDVSQKLDEKLDDTCARSTPDYTEHAPLFSLEDLRILLKVPLKPSLTDIPDESLSVGLENLPGKIYSHIFTILDMQSVTALSLASTKMSSRVRCYVSTHDFYRRMQLDHLDFLNESFRPDDDEFFENDPFVACGALIKSITITLNTETRARVFLNICRNLRNQLGGSLQGFGRMLETVTDNWKFSERRIMIKAAILIDPDLRRALIKVLTAKPGQCIGLEMKVRSGLTQLFLTKNQDVDEITPQEVISFGSWLSIVIRNVVEKYQGKLYFILFGPTRSSSTGELVDWTYFCDEETQKHSIRKCEPNYKKLLKTLLNGIRALRIMNNSKSSDDWWTGQKIYQLFLRIIEACGQERWSIKASSMSLSIDSPGLLSEYLVNCLDPQRSDYQSLLTEAAEMVCLVRSHLYRWSSTPATYLAEPLHHAFNHLAQSDGHYEGGYKSFLDKIWKVQQIRLKELVKNAKNTTQASNRIREELDGQLAMARLLCEFATTISLHNQHAIQLPQDVGDEIINEPREEDEQLEEI >CRE02128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1732399:1733963:-1 gene:WBGene00053594 transcript:CRE02128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-13 description:CRE-DNJ-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LF64] MGKDYYKALGISKGASDDEIKKAYRKMALKYHPDKNKDPGAENKFKEIAEAYDVLSDEKKKKIYDQYGEEGLKDGGPGGAGGGGGGMHYEFRGDPMNIFSSFFGGSDPFGPGGAGMFDLGGGAGGPGMFFMNQGGMDDGMFGMHGGGGRRPHARQDPAVLHDLHVSLEDVLKGTTKKMKITRKVMADNAQRLEDKVLTVTIKPGWKSGTKITFPKEGDQHPNRTPADIVFVIKDKPHPKFKREGSDIKRVEKISLKSALTGVDILIPTLDGVDHRLQLNEIVKPGTTRRLTGKGLPNPKSPTHRGDLIVEFDVEFPSALTPTQREAILRNF >CRE02127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1730705:1732242:-1 gene:WBGene00053595 transcript:CRE02127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02127 MLTRGFGNVASIGKIATRGLSSSFYQDAFQLSDQLTDDERSLMLSAREYCQERLLPRVTEAYRNEKFDKSLIPEMGSMGLLGAPYQGYGCAGTSTVGYGLIAREVERVDSGYRSTMSVQTSLVIGPIYNYGTEEQKEKYIPDLASGKKIGCFGLTEPNHGSNPGGMETKATWDETTKTYKLSGSKTWISNSPVADVMVVWARSSRHGNKIKGFILERGMKGLTTPKIEGKLSLRASITGQIAMDDVPVPEENLLPNVEGLAGPFGCLNNARLGIAWGALGAAEECFHLARQYTLDRHQFGRPLAQNQLMQLKMADMLTEISLGLQGCLRVSRLKDEGKVQPEQISIIKRNSCGKSLEIARKARDMLGGNGIVDEYHIMRHMVNLETVNTYEGTHDVHALILGRAITGLNGFF >CRE01731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1727224:1730592:1 gene:WBGene00053596 transcript:CRE01731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01731 MAHRSAPFISNKYPPSTQSSTNNSSRMFCVSYASSQQQQSANTPTIHEQQEEIYSSLKKVRTADDLTSSQLSIDKHVTMIPLGSPHELHRETTANGTMIRVTSGIPQKIEMNSNEKMRELVPKMRQENVFHQPPPYQEPPRKVEIQEPKKDFESWSGRAMEGRVSGGNVRGTNNIRGYWERNIQIEQRQRAEEERRQRPKSYGFPKWRSTDALSASLVASNSIQIPVDSRIPEERLRKMEETEREAIEVKKEIHEEAEMASGSNTRKLSKGKSLDSLSMQIDYQPWYDTEKIKSAVSRESIANIATSREFFETASTRDWRTRDSAANSRRDSMCSLSMAPPLPPKSDAIQLRQAAANHSTRPYHQNGGQPYGQQYPPNLSSVPIQNAPQNVNVLTISSGYDGNQGVQKHHQTQQIQPQTSINRLIQQDSPQTADPIEQQEVLLMLYLKQNLDIVHGLGIHIPSELLAEMEDLQMLPVELRITDEETNRMFSPVNKNGRYQRKPQMNIPPNRQIIGQKYVGGRKDVPISTRSRQNSEMSGSNMDLSYNQHHHHHSSNSYGYH >CRE01730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1725125:1726763:1 gene:WBGene00053597 transcript:CRE01730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01730 MAGILRTLFKSKKSKKEEADNNEDLAREVSNRRSFRAPGTSSSKSQRRVVAHFADDGALSATAAYHTKTAPKFKKGPQSCPGGYREESDVSYESDKYSQKSNSHRRRDVLHQSMRQNYTIDEGRKPSKSGRRHHGSKSDFRRHGESSEYGSGDPSPVGQYSSRHSHYEDRIEESENDDFSEKAQFAVIERAQQHYMQKYKESEQKRREEKRKLKDMEKECNDAKNAMFHYMNQLDKVKKERDCYRKELSRCKKQLELMQNNGFDAFHHHRQPQMSNPQQFPPALSMGYNYMNQPMNSSHLMQASFMNSAPPSSNTPMTMTSGGAGESLTNPSDVSFLQNSFMQPSSQVLSGITPSPGFKVPINFGDDDADEVKDYRTELNIETARCRKPSDDISISPSSSADSQVTLLDQSTLISNNKENRKPPTRSFSMMSI >CRE02125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1720823:1721702:-1 gene:WBGene00053598 transcript:CRE02125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02125 MNRFVILLLAFGFANANFCTDGTGHWFMSLAEGVTDYSYGGSRLNTNYYCFEGCLNTGTALQNYKVALSGNNGTTLERSRVVKEIVSLHAQVKIHDDDDPNAEFCVDPVLRQDIANALKKTTQNSCKNIVNTLVLNLNRPGWAITCIQFDEFALDGVISDMNFCSYDAVANGEIYTIRLAKLDMS >CRE02124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1718832:1720592:-1 gene:WBGene00053600 transcript:CRE02124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02124 MEEIEVDPWIDNKVCAFETYRIHGSLFTIPFVVADDLTLTKSRTKFFDESRKIPRDYLKVFNDKISAPITLTPEIKIGNKCKVYFKGSSSQHMSTILEGVEKTDVSKHADILVASETLHKIANAVFKETLSWKLRAYHENIEGRQIIVLCDDDFEEIELFPLRSAQFFEKRRDSINFAQQLCERYEERSTNHYLHGSRIVISSLSYKDSTVRLAVVGEVDMAKEQEPVNAAVMTGRTQRVSLGADEDSIDDSFQYLWSRCFWLGVTTCLIGMRCSEDDPVTKVHRFDIKDYVQKHRGRIREYPDVATSCVAQVLNRLYEAVQSNPNVNWTIEYEGRKKDWEPGNIRISVASEKLSLWSVCEHYYLIKNHFKKRKREQALKKIEEARRKEKKPSIIIREVRLIPQKERKGLMKIRTRRNLTIREQFRRFIKHLST >CRE01729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1717285:1718804:1 gene:WBGene00053601 transcript:CRE01729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pcs-1 description:CRE-PCS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF57] MSQRRGLKMSVTAKNFYRRPLPETCIEFSSELGKRLFTEALIQGSANIYFKLASQFRTQDEPAYCGLSTLVMVLNALEVDPEKVWKAPWRFYHESMLDCCVPLEHIRKSGITLQQFSCLATCNRLKSAVSYGETNPDFLQKFRKSLVNSVRSDDQVLVASYDRSVLGQTGSGHFSPLAAYHADSDQVLIMDVARFKYPPHWVKLETLQKALCSVDTTTKKPRGFVELELKKGTRPLIMYGLKAYVNVNDSDFATSVISWNEFLLCDPLEDDEEEFQLCCRKFGQCFAPHALCCTQKTFDADQKNSCTECSSDQSEACKLICAEIRKTRFAEVFSSSAVAALLVAWPFKHGYSERSDRIGNLAEKYKKGFSAETLNEMDQLTTQIRTLICCSKPPVVININKPDTTHSKCSKNKIGESCACANDVHL >CRE02123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1711378:1715257:-1 gene:WBGene00053602 transcript:CRE02123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smc-6 description:CRE-SMC-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LF56] MNDVSDLSASLPIITPVKGMNKRDASSPNENKAPGITMQDDANTSACPAKKPRLVEGRIAVSGRVASVRLINFMCHANLEIDFNTKENNCFYIGGPNGSGKSALFAAINLGLGGRGSDNDRGNTVKSYIKDGTTQAKITITLTNEGLNSHPDLDSLVSVERTINQSSSTYVMKSIKVSPSGHHTERVISKKKSDIDRIVNRFNIHLSNPAFWMSQDRSRSFLANFKPSNVYKLYLESTNLENIFQSYCRFSEAVEECAELVENKQREIAKEHKKLKEMQEQRALQQRIDSDMALIKVYKWKLIFCKVRDYDDDLEHNLKLQEVHKRVYEECKKEYAENRTTREAVQKNIQNVCDEVEVQKDELDEANERKNEKSKAVMMLNEKINNFERQITRKKGDIRAVNQAIEDADKRYRTLMAKQGNKKLAEKLKTVESDYHRLTEERDNMEMGGEMDRLKDKFELIQKDMKMKEEANYTTKRSIRKLHDQINERQDIIRRARAAKSDSVNKFGNRMSEILTEVNRNKSTFAKMPKGPLGKFITLTDSKWAFAVEECLHNVSNSFLCHSQKDAVALREIFNRLRLHLNDRPAIIVSAFTNQRYPKLQEPDCEYPSMFRILQISDADVENILIDKTNFEQFILIEQKTEAMKIMGSNNPPHNASRAFTLDGSQAYANGPNSQYRFYAGRPGRASGLFGSTQTNVDEATLTREINDMKAEVSDLESKTSVLETEYTELKKDSYVAKKAIEDFERKLSNIRSEERKHQRLFEDIKSEMAQATNEDQLESIADSITELKNKIPVVEQELQGIQQQLDEANRQMRPAMAEKKEAEDVLAEMKQETQDLLQRMQKLHADLASFDDSGDLLQVRLNKMKGEEQTIFHNEAKLKTEKDEAQQLLEQNKLTTEMPPTEQDPPDLSDFPITSKAEAKLAELQRTTKLASLGCDKSITNDTVKEFKDKLKSNKFFCRNLEESVASMRELSEARFKTYPLLKKWTDVKVCDKFQELLEIRGHFIGGLEFDHEKRTLNVNVQSCKDKDAMADRRNAEGDNIDDEEEEEEENSGDSDDSSDAPRKKKAKKQPKKKKVRDLKGLSGGERSFVTAALVMSLWEVMEQPFRMLDEFDVFMDMMNRKLVMDLLVELATQKFPHNQFIFFTPQGIKELKMVNGLQVFEMNKVRD >CRE02122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1707805:1711028:-1 gene:WBGene00053603 transcript:CRE02122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02122 MLSGTAEAQLVLDKGLRGTPTEQLATISQLSRLIEDHPVPTFVNAMLLRVADAFKDGSLPLRVAIARALSQCGSHLTLAFSVPEIFRRFLTVSHSNDPNARETVLDVLAELSPLLPESNQCHHLIRESLSTDNEGEYRATCRALKAFASLSHSFAESIVLQIGKILEEDEVSESRKVLLCSSFSTMSATAQVVEQVFEIANIILRRTISDEYFNAFLSSTTSLCIEIRYAISKQIALLLDLLNPSERDGPLPSKARRSIILKELRRLANFSNIWTEEQINIFVRSLQPGMNDRSLMDFFEATTSLSKNCPQSNLSALKGLVMSNTGYGSHVNPEVSIRFVYFASQVFCSPRAFENETPEFIESTMTAFTVVVVSTCTCGKLKRRLASKLYRAVGDLLLTYPHTQYPFALMIISAVFSAFDSPITNDNNQKERLELMCRLVDSEKLYILEIQKWACSVRSEKKRLFDAYPSEFSYLCVAVGTSIPPDFPSVFYNDCSVLKYDTARSAFRNGHWKKVAAPNLESIDLTIMPQFERNWITALRNIAESQLTELGLDELEKQQSHLISALAALKSEKTHLRFGGDLRFPIGMVSAMLSSSYAYFHMVSVMQPFLTCLSGALKPDAFFNPVMSKRFLVALTSCESSLNDALAEWSSLCRASFCADPTSFDLITLFYLRISILLVAVKVLLKKQSPDTIIQIPALRNARTCSLLQRERLEWAINKIRTLQSISTPNINIIKTLYTITEQLATTPYMLPRFFFQQFYFVDFKLSTTPQAERNETVTVMSGDTVPVRVDGAITSTHPSPIRSIIVFAEVVCPTSHTKSQILKEVVDPTDNKYFTAQFLLTFKTTCEVKFRIEFIDQISRKQWNADGGLTLPIMVREKPQPTVPEMRRGPVSIPTDPRQMVRNSMFPLPDHI >CRE01728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1705465:1707652:1 gene:WBGene00053604 transcript:CRE01728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01728 MRYGKVYFYDRMGNREPNEADKIREALDNFDAPRFRPPDEPRMMKNYTTQFSPLDTPPPISGNGTPPGAGMHQFLSESNREMMMDLPANISNFGRDDGSLILPANLMGGDETSIPNIDRYRMDLPSEIYPNDPMPRGISTPPMDMKFNLGENIGRDVDRGVMKGFGGERQYADPMIEMEQKLGNIRFETEEEKRGKLITKFEENDYYGHEICAQCQAEDEYMERMRRQAEEERRKYDETMKRAKMYEDLTREKEETFKREEAERNKALRDHIDRVNAEMIELKKRRPKSPVVSVPLLNFQNICFLFQQKNYIFRHESPRIRDAEQRTRKDVYRAELDRQVEEKRLRRIAEFEKNEAIDATSNARAALEFANAREAERKSIEHAKEMARKQLEFQMEMARVGAPSDKNWLWWAERPDEHGWRDARLKGLKHTNQVERNQTIKQSIGMLEEFKARQAHDDMVMRDNRRAKYDKLRDQLHENSKMLYPLTKTESRPIIVQPDPRVEASWREAHEKYDKKFAVLQDNAMKSISGAALDGVAHATTSCRRCARCARPLERKTTIVVNRGETILPHQTNWHRS >CRE01727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1702098:1704937:1 gene:WBGene00053605 transcript:CRE01727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gfat-2 MCGIFAYLNFLTPKKRSEIVDILVQGLQRMEYRGYDSAGIAIDGSNDIESPHSEVALLRKAGKVSVLNDYIKESSDDLDMDMEYNIHCGIAHTRWATHGSPRDVNSHPHRSNDKNEFLVVHNGIITNYREIKEYLEKKGHKFESETDTEVIAKLAQHIHDRYPDFSFRQLVETVIQQLEGAFALAFKSSRFPGQLVASRRGSPLLVGIKSNSRLQTNHFPVSFSKGRRFMSNHATHLRDETSFVETPNNILDLSIAVRSSNGSAKLEISESTTAVRPFDSADWEVEYFVASDAAAIIEHTKQVLFLEDDDVAFVEDGALTIHRISRHADNGEQKREVQLLEMELQEIMKGSYKTYMQKEIFEQPDSVVNTMRGRLLPSGQVVLGGIKEYLPDIKRCRRLIVVACGTSFHSAIACRQILEELSELPVVVELASDFLDRETPIFRDDVCLFVSQSGETADTLMALRYCKPRGALTIGVTNTVGSSICRESHCGIHINAGPEIGVASTKAYTSQIISLLMFALTLADDRISMEKRRLEIIDALNDLPNLIREVLQLDELVHEIAQQIYKEKSLLIMGRGFNFATCLEGALKIKELSYMHCEGIMSGELKHGPLAMVDEFLSICMVVCNDNVYKKSLNALQQVVARKGAPIIIADQSVPEGDLAGMKHILRVPKTVDCVQNILTVIPLQLLSYHIAELNGANVDRPRNLAKSVTVE >CRE01726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1697663:1700590:1 gene:WBGene00053607 transcript:CRE01726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-5 description:CRE-NPP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LF52] MSDLFASGDQSSGSLDGINDDIARKNEAAFKYTSIFHTNLCEKLYQELGSLAVGQFDIPGGQISPLMWTRLHEIYSELEAQTRKLPTGAKYSVASQKYANEAVQIASVLSIYTALAHEYDETLEVSLLSKLVVDDVEFRRVYALLLWSEKAISEKQYEPEFRAKFKKLDEAKSIRLSSMMSLKRPTFSTGAPKDASLDPDAPGTKEEQALEQLAFNMFFELVRGGETAKAAELATTLGMSAIGAQLQLHSMLRNPLDVPLEATTKNFGEHKRTRRAKYYEMIQKQIEQSKGKEDDAYWTLISAIRGNISPMLKAGKTVIEKVWAYANSAFLARILAAEGAMSQEKISTLFNVPLTAKSILEELRSQYDGSNEVYILLRVIDDMLNDDIEDLYKFANDTVGEYVPNDKHCEVNVLALDIFFHLVAVSYASGFEPNDDGNAVIVLGFDDLRARSGNTSHKRMAAFYSRFLPEDMKLPEIVETMKMVESEKEREIFVDSLRQSEIDFGRCACTLIEQIRKEDASKVITLEKQIDHWNWLLVGGEETALAALEECNRLIRKVMRKTPLNEALVRQIIRKALQFELPKLLSLAVENETTVLSLVQDGTLYEQDKGSQIATDKIEHAAFEFYGLCSIIDVNNFVITIALKLGILLQYTPITEEELNIMGGVKRMDNTTSLDWEASLRVRARAEQTLRDDVFRKTAADLNTRLGMIQQHFDTVLPMFRGLVNNIGIRGEYFLSPRINGDPMCAHRKEIQQIRNIFLTKFFITIADAAAKLKQSTRFHEVVTSFNDDFALDHENVMKIKETFARINIKVE >CRE02121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1690039:1695093:-1 gene:WBGene00053608 transcript:CRE02121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02121 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LF51] MRKNDEGGGSGRLSSSCSSIRSSNYSVPRSYTPSFNTFKYSPSSYTPNISRNLNSTSSGSTADSHSLSASAPRSRLSFTTPSSTSTNLSRTTSSSHKTLYSSPAAARVSVSTNDSLSHSTMAPQNTYRGISKYSTIERSTVGESKPYASYSSSVTSSLSNSYDRRPSRSDRISSTLPDVKIESGPTTRTHMVHPTSQHIRSRSSYGRTNTVAMFAQQARERADREEKDRDYKEWMQREKDRESELGIESLHVTTSTPSSAQTEFRRSVAPNTTSTSTSSSSSTPYRTTRYSSVTRGSSVATSSGQPLEDVMTTSYSSVAATPPPAYSQLSTSTSTLLRNRREKLEREEESRHSSMTPQPSSFASVAAGPPPPPPPPPPPTKGGYLPRSASAAVITSSSVYKPTAKVSPYEEDREKVCIVEQGHTGLRNIGNTCFMNAILQMLVNNIELREFFLRDHYQSEINESNPLGSEGRLARAFADFMHQMWSGRHKAIEPIQIKNIVAEKASQFANFAQHDAHEFLSFLLDGLHEDVNRVKKKPLTGTVESHGRHDLDVSNEAWKNHILRNDSIFVDLFHGQLKSHVQCPNCDRVSFFFSVSITFDPFVYLPVPFPKRKKSTSLIFWPLENQAKPYKLTVSYSTEGTVADFLSAVSEVVRVPSRNLRACEALCHRFDRIYTSDMKVSDIISPDYLFVFQTHDESECNEEVVVLHVVQRELYRSSLKYICHECGNSKVKLKACEECYDAVYCSKECQVANWSTGGHREVCSKRMSSDLVGHPLIVSLPRSQLTYQHLYRVLEAKSRHTVTLFQQPQYEGEGPEGESLQAPSSKKRNGVGAPPTFLQPPGESSSASSVSSTPRRRSVAAEPRSRNQKMFEIRKLASQNDSFGAHSIGDDEACHGLETGGYISINWINQRNGKPYITIDNRPEIDVDVEKTRQMNSRASSSFERSNNSESSPHLTQMLGLFSETERLKPEESWYCSTCKEHVEATKRLQLYRLPPVLIIQLKRFVYTAFTHQASMHRRSKDERTVEYPLESLDMSPFLSDTSPNHNSTIYDLTGVVCHNGSSYFGHYVSLGRLPDFDSSKTKIEWRKFDDSMVQRQPSGHLQTDDAYLLFYKMRDPMVTRGIFKRHYSCDPGSQAEVKI >CRE02120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1688085:1689269:-1 gene:WBGene00053609 transcript:CRE02120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02120 MSSEKQRIVVFGSIVQDLVSYTDRFPRPGESVRGNVFKSGSGGKGANQAVAAARLGANVALIGMVGEDMFGDTNIKDLTQNGVDTSCVGKTNKTHTATATITVNKEAENSIVVTLGANLELSPEVADANSSTISNSKMVMCQGEIDEKGNRRAFEIARKHGVTTFLNPAPGDAKMDKTILELVDVICTNENEAEFITGIPQNNVDDAKKAARAMVEMGPQHAIITLGAKGVLLASKGKDDIEHMSVDKVEAIDTTGAGDCFCGTLAAHLVAGHSMTSSIRSAANIAALSVTRHGTQSSYWKLDEIREKYPKFLP >CRE01725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1686682:1687915:1 gene:WBGene00053610 transcript:CRE01725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01725 MLKINSSIRSLVSRSITTRQLVYEKYGAPQKVIQMKTVELPDKLEPEQVLLEWHASPINPADINQIQGMYGVRPKMPAIAGLEGAARVLKTGSAVKSVKPGDQVISSYEVAENWADYGIFNQKDIIHVDNDLPIEHSAFLKVNPPSAYVMLTDFAKLKKGDWIVQNCGNSAIGKQIIQVARILGFKTFNIIRNRENLGELVKEMKDLGANEVVTEDDLYDKNKKMKLPRVKLALNGVGGKSSLYLANALDQEGCMVTYGGMSRQPTQAPTAPLIFKNISLRGFWLMTWIREQKDDRARQKVYSDLAGWMKSGEIQPTAMVKRSLEDYKDALSEAQNKFDKKQLFFLKK >CRE02119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1682211:1685460:-1 gene:WBGene00053611 transcript:CRE02119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02119 MVDKNMVLDRNQFFERAGLVYENWEDGKYGLNNIKSLLIVNGGSINPYSKTAAFQYWLFGQELIDSIILFLKDQISILVKDRKVSFFKSIVSNEFNGKVPPVNIISRNKSDNDDGNFQKFVDLIRAAGGKIGTVMKEKTQSEFGNSWNRVIEQNGMEKTDISMGLTNLLSVKDEKEIELIRKSSEVTCKVWSLAKQRFVKIIDETKVRHSFSLNRVRHSELSKEISKYIKHSESEKSISKYEIDSCYDPIVMSGGIYSFKWSHESSKDYLLTQTGTIITSFGARFSNYCTNLTRTMLIFPTSELTNAYESILSTHEAVISALKPDVKLNEVYEIGLDTLKKKNPELAEHLYKKELGFSTGIEFRESQLTISAKCNEVVKAGMVFVVYIGVDNIRNTKNGDEEAPAAIAISDTILVKNDGKNEILTGNAKSRLKSNVISMIETEETVQTQKKQLGRGQRNVMMNDKTRNKITNEEMRREKQKELYEKLTEDAKARMIGKESGKEKEENVNNENNSLVAYKSENRFPQDSDIQKMLIHVDRKNNSVILPISGIPVPYHISMIKSSMISTEENFTYLRINFVTSGGTIGKKNEKEPLISADFIKELTFREDKNHHNLVNADRQIKEIQKRLKQEKEEKQETEGLVKQEKLILSVNRVSPKLKELHVRPTIIPKKLTGSLEAHTNGFRYTSIRNDRIDILYNNIKHAFFQPCDNEMIILLHFQLKNAVLWGKKAYTDVQFYSEIAEVSMDLGSYKMMQERDEMRKEQMDRDMRRRLNSAYSSFCEKVSRLTNGKIEFDSPFSELGFLGVPHLSTVTLKPTTSCLVNLTEWPHFIVTLSEVELVHFERVGLQLKNFDMVFIFKDYSIKPKKVTDIPISSLEKIKEWLHTCDIWYSEGKEPLKWAYIMKTALEDPVGFFEIGGWSTIGTDSSGHDIMDSDDSDAYETEDEGKNPDESSSDSESESDDEEEEEETDDEESMDSDEDSDMEEDDEEMVDRKRPSSSDTGPSSKRRK >CRE02117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1678942:1680456:-1 gene:WBGene00053612 transcript:CRE02117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02117 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LF46] MALGTNGKRSIRSDIDNAAGDNNINCGVKKSYEESSLNLQMAERQTVLGKENHPGENRYFGGLVGNKEIFVMTMIAVALYLIEGEHAKFVCTALTSVPPAIFSYKVLMNSNSTKEGYKQGKVFQHSILFYWTIYGLLAVLDQFVGSPQGYNLIKGGLLGSVFLHSFRSNPHAFPIPWNTVDQTTGGMLTSIFTRYDSQGFIQKTESSGFDPRSPTITQFSSDDESEYMPTLLENELIDVSTACSFQPSLPMQSTQTLSPDFIYKTAVAKTTPIENEDGEPCSTIRIHYKKPSENQFETMSAMTMTCGGVADIVTVPSDRISFNSQNQEILIQITNVSPLHIMFALKTNANTHLIAAPTTGILLSGQSMKMRVGVTNNFFRTCTDPGAFIDKLAIDYASIPQHYSSSISKFSPEFFQSHNRRRHAIRVFYQ >CRE01724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1674082:1677695:1 gene:WBGene00053614 transcript:CRE01724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01724 MSADESATTVATATPTPPATSTADLVTMLQQIQAAQAAQQVPVVTTASASNPLLNLEALISTASLANLATGAALNPLSMLALTSSLNPSNPVYQGIARALLTMNMGQMLANHQTSEILAASMNQQDTLMALLAGRNGMPFPLPQANQQQQQQASQLQGGFAIPQGISHMSLKRNNKEQLIVGASDRKKSCPPLAMVSAQGQQSSQQPVVATNVAPPAPPPPPPRSPSPPRKSMFENLPPEMKEKNEMFRKEILRRLDIILLEELGAEDEEVEKKPDLKQIPTSEEDIDDTKGDSMGSEGSAFRRILSRSSTMGGNSGSPSASGTTTPSTTSSISSGPESPPLEGDPLSTDFLDMLASVAQKHREQSNSEALSAKIIDEAAFTKNFPMVWTGRLALKSTETMVNLHLINGSETFLNGVLGRHLTNDNPRRDSVKILQRLRLDNGQVEHIYGILTNPEYACCLALSSVTEYENLARNESNLKASFIDYLTKKQIAGISSLEEVDAKFKSARVHVFAPGEIVNKYLSELAASLHDYLQNTDTRYLLIVFTNDKVDPNMDGPPPISSLAVPPPPISSS >CRE01723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1660534:1671970:1 gene:WBGene00053615 transcript:CRE01723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-din-1 description:CRE-DIN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF44] MVQVPSTNTVKESRHVAVYGLPNTIPDDSLQSHFARFGPVQRLYRQDDQKDVIFISFMDSRSASVACKTDSQYDEDTPYRITYHNPDSLSNRPGMASVPTTPSSAQSSSPRNHELSPQRYVDGDSDAEPTSSCFRSQVEVNGTRPPAPPLPPRIVRDANGTVIEFPSESIVCVIYEVQCGSTSERDVFELVKKHSKGYGVPIGISFMVELEPGVRKVKVHHHKFNEQKLRNDKSLLLGKPLKYKIIYPPEGNGTPAPLKHPICQPSTSNGTGPELKGDALLKANCAVFVPHTDRQAIEYYYRRFNSYGQIIGVDVVASHEGKALALVQFTNVEDAFHALMDPHLPKPMQCVSRISLRIIIFYLPKTMTNEEIMLTIRGFSNKIKDILVNWIERSAILYFDNLDDSIAVMKKLKIPGKNNFGEYKVAVDYCPEKLNNYFKKERLDQIEQAKAAKAKAQNDTLSEPSTSRIQNHVKEILKPKLSVDPREIQDDCMQPSTSNSNSVSSDEEEGSSTARENSDNEDNNDEDDDEDTEFHDDMVPGRSQDSGESQSPGVDEKKPECNGTREHDESSDRYCQPSTSTHQDSPVSRGPEKYRQRRLSSPPFRSGSPHYDNAKQKQEIKEEFSSARASLERSSPNLKIDIDLSNTNLTKMLKRMKWKNDTEIVVFASRIEEIVDLNLKTRAIYEKITGRPFPKFTNEDELRTRKLYFHENREYYYDNHNTELDIRIREWRKLADIVDLEEFRSQDPKELLREIPPSSNRISGRPSLDESRFSRVSLSFDSTHHPAELAQRSHSLCIGPMTPATPYPPTQPLLVNTSQQHSTSQPSASGVTTPRSAQPPMLMSPVSRHNSMSSTGRPASIQTMRHHSVMFPPDVSIPPPPIPPSHDELMAPKDTPPSRRSSETLAPLKSPPFGNQIQNLMSMPIIPPAHMIAATGTHSVSSSAHSTPRHSISGTPVHCEPSNSKSNLPQTPKSSRPEKVHARHDSISKPGPSNAVNALQARSQSMISVDHKKSSTPSTPVVRDAGSDLIAQIMSNQPSMGFKKLPRIEKKPSALQNVHNSQTHSHNTNSTPSTPSTSNQAMLAKDKEREKEKRRKEREMEREREARKEMKRKETKEERHKRKEMERAKREEDERRERKREKQRIKEKEERRKEKEKERRKAEKEKMKKKKHRKEEDSDESGSTSNEELDLDVKKSTKELTQEEKDHQLALILSKGSIYDNLNSRRRSDRKGHDSLDKLRQKNQNASGPTLQRRVLIESSEDDEGDDDEDKKSESSSGEASETEQQRFLAPPSIPSTTASTSETVKEQKHKNHKEREKGELSSSSEDEENHGDEFLRHQKQQEERENRKRQKSLTNYSSDEQAERIKRSRREDNEDVMRQNMQRTMEEQKLRKRKLAQRLSSEDESKRKAKQRDFRDIPHEDVSDEEEIEEASRIRRQSTSSVTSNHGRKEKSGKTPLRVVTPAVTPLQSPKILSPKIISPKILSPKILSPKTSITSTKRSSISDTDPLISPRPRNRTTSSTSTATTSSKPDTSSVTEKLFSPPASAKNSVSSIDDLSIREEFNANSAVASPMSTTGKPMVLTKAAMKAFNSTPPKKQSSSSGPHDSSSASSSSSTSEGSSSSDEESSDDETLKTMEPVAKSDEVAAKSGVSQDDSPLLPPSSSADSPVAPFVTPPEVVAPEKSGEPELAPVVLPAPTVSPVSALELVEEEKAVSPALPILPANEVEEEDQNGSAKETDESVLNVESDGIQEEVQKPAESDPVIEEPRPEADPEPESESFPSPAVKLLASPTQSEVNSTEEPPPPSRTIISDQETDQAVQSIFDEEEADEFPQYPDFVMTNEEKEVTEKELPAPVEKTKSLTSSSSGSTSSEDISPKPSTSSVEPMIDIQEDMEKDENSSFSHKLNLTEQVTNTLDSANEPTPMRIVEDDEEEMRVDKDKEAEKNVVDDDDEDDDYGEKPLEIVESSPERTPTPELIPNEKMVESVEKPVEVAIKENHQDNHQLVQAPVQPTKPLEVIVSQSNSQQQSQHQVIQPSPRPAFTPIPQQSTAQPVSVVQQPQPSPAAGTTQTFDPRIFDELVKNGKLQELAALLESNPQAKQALRPETAMVLQQLFLNAARASGNVPLAAEMTQAHVNYLAEGQELHASANAKMLQELKDNRRVEEESFKRKKEENDRKVEQNRQESVRKGEDKVKMQAMRATQNVQQSERLKMDHAMSLIPPEIRKLYSSYTLSSSREPHGLVNGTSQHHQLPRPSSTASTSSNSARTPLRPSSSINRSSVEPKFSEEATFQRWFYNVSLSFHFSISHFLSSSPDQYLL >CRE02116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1648124:1649818:-1 gene:WBGene00053617 transcript:CRE02116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ghi-1 description:CRE-GHI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF43] MTLDVTFLNYCNNSFSQFHLYFSFISHSLFPTQTDELSLLMFSLLHMLILFLLTTTLAAEVVVKMTPKAVAHVFKKEMSALHKSVLHLKPPPFQATYLGLEVTIDHLQVVDLKMPRLTYETSTSSSQMTFKLIGGSARLLGQYSAVYKTKREGQFEMNLEHFHLSVPVQRNSLTKFAVSSDLCTLEVDESIITMRPVLPEQISEKIRFDLLEQLRLASCTKATSLFGKMNSKLMHFAEATDISQGGHPSHINVELQFQNPTAEDDSAIHVDVSESVINAELSKLYSTANTRFIWNDVPEIKNLLKTCKASECKFFEDGDIVSSFFDRPSVRIHSDDSISLRLPLSTSLTTTGGQELFRIQTEVNLNLEDVILEQPENGDVSWSAKFRVKDINILQTSSSRNFQKFATTMESWIDGHKGFLENLLNTYIRGSLPVHLRSPLVWTHRLHARPNFSTSSLNFLVHPHFSPPLRVLA >CRE01722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1646887:1648000:1 gene:WBGene00053618 transcript:CRE01722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01722 MSGKISEEAMQEQAERAEYVKNIGIEYRFGCYEEKRPESCQLLGEYMEALQQNFSSALAIFKKNCDEHSYPKSCFKYGMYMLAGKAGDDSNLTKMIRPMKIACDANIPQGCRYLSLVHWNGEKDRKADSEKAERYMRRACELEDGEACWLLSTWYMGNKEKFKTTASGEAKELDRSELGQLSRDMEKSLQFAIRACDLDIPQSCANVSRMFKLGDGVPKNLDQAKKYANRAKEIMDTMRSNDTNAGFTG >CRE01721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1645329:1646794:1 gene:WBGene00053619 transcript:CRE01721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rad-23 description:CRE-RAD-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LF41] MPLSVAFRTLTQLNFHLELNEEQTIAEVKALVASERGDDYAPELQKLIYNGKILDDATKVGEVGFDSSKFVVVMLAKKKVTAAEPASTATSSAPVVQENAPSTPAAPAPASIADPVPAAPAAAEQLTSQQEDTVSAITGMGYDREQTIAALRAAFWNADRAVEFLLTGIPEDVVDQEPLLADAPAVENEEDANDDLNMLANMPQLGEIRNMIQQNPEMLAAVLQQLAAVNPRLVQTIQNNQQAFMDILNGSAPPAGGVEEGNAQARQPRRHVIHLSPEEAEAIARIKSIVSNAPEALVVEAYFACDKNEEAAINFIFSSLDEE >CRE02115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1643843:1644869:-1 gene:WBGene00053620 transcript:CRE02115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-12 description:CRE-RPN-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LF40] MSVAHKNLLALWAKEPKDLVAVEKALNDLTTALNGCNDFNEQQSALASKDLYEISVLLAILKHDFDTFDDYINQAHTYYTMVPENSENKHLMTGLHLMFLLASNRLSDFHMVLEQVPQKEQTSNAYITTPVRIEQSLMEGAYNKVVLTEKNIPSPFYSVFIHIMLDTIRREIASSIEKSFKVLTAKDATVMLLFDNDQQMLQYAEERKWHLDGERFVFEVEVAQEKPVNLDTVRIATQTIFYAKQLEQIV >CRE01720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1641107:1643505:1 gene:WBGene00053621 transcript:CRE01720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-1 description:CRE-NEP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF39] MTTPYGPRVVVLIALLCLVLGGTVCALPRVPFVNDDINKTATAEKTEENTVIAEEKKTYTVGDSEGYLEASRLLQKSINLTLDPCEDFFEYACRSWVDTHPIPDDLTSYSQFTATREKVLAEMRKLYEDNSSIPTSKSIALIKQIYHTCMDTEKHNAVGARDLLEKIKTFGYWPMVHNEKWRESSFDLTKLLSNTIQSRDVSVFFDYGPAEDSRNVSRRLLSFDQGSLGLGYSTRDYYLDEKKYEKQMKAYRKYTIGKVRYYTEDAGMTVNETKIESDVDEIIAFEKKWAQILVAEENRRNYTKLYNVRHFDDLKEYMPIIDWKKLTLSTTPFLVHSYLKTNPTIIISDVEYLEKMNTLLQNTDPRIVTNYVLLRWAGSWSQEIGKKYEDLQQEFAFQMYGRKQRQPRWKDCVSSAGGKLSYASGAMYVRKYFDSKAKSTTLDMISDLQEAFRNMMHANDWMDAETKKFALEKAEQMLKQIGYPDFILNDEKLDDWYKGLDGSPEDTFSQLVEKSIQWRNNFYYRRLLEPVNRHEFISSAAVVNAFYSPTRNAIAFPAGILQQPFFDAGFPKALNYGGIGAVIGHEITHGFDDTGRQFDNFGNLRDWWDNTTSAKFTERAQCIIDQYADVKLRGTDLRINGKLTQGENIADNGGIKQAFKAYKSFLEKHGGQEPRLPQFESLSNEQLFFVGYAQVWCGAKTLETKTLLLLTDPHSPETARVNTVLSNQPEFAEAFKCPAGSPMNPTKRCVVW >CRE01719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1624513:1638857:1 gene:WBGene00053622 transcript:CRE01719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trr-1 description:CRE-TRR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF38] MDPGTSSPIHRSMPPESRGISLSELENRIQNLVDGQQRDDVKLRMLQEIWVAIENHFTSHTHAKVVEKLILSFLALFCNTSPQFISENNTQMLRKLMLEIILRLSNVEAVKVHSKEIIKQMMRLIAVENEENAIIAIKIVQDQGRNSGKMQYCAEVQSIMQTFKTMVADLTASGRSKEMFLNREMTTPPQTSSDEQLITEYLKTCYYAQQVNLNGKDPKKPVKYSLIPSAHQSTKVLNEIPYLIIFFYQHFKTAIQTEALEFMRLGFEFLNVPIPADKQRVNEALCDDFVSAQSRLLSFVNIMAKIPAICFELLLLFQFMDMIQQNGEALVSGTMQMLEFCPPDLISVRREVLMALKYFTSGEMKSKFFPMLPRLISEHVVLGTGFTAIEHLRVFMYQMLADLLHHMRSKINYEMITHVVFVFCRTLHDPNNSSQVQIMSARLLNSLAESLSKMDAHDAVSHHQKYKILNSLKLQTRDLLIEILETNVAKLKILAVYHMPILFQQYGTEIDYEYKSYERDTEKPGINIPKETIRGVPKRRLRKLSIDSVEELELATTSSVPKKPENQLPTPTKENTKKTSPEDILTNMCALTPPPLPIGDARTLIKYIMHTCKFVTAQLRTSRPAKDMYHCAKERDLFERLLRYGVMCMDVFVLPQTRNQPQSHSSMRTKDEKDALESLANVFTTIDHAVFREIFDKYMDFLIERIYNRNYPLQLMVNTFLVRNEVPFFASTMLSFLMSRMKLLEVSNDKTTLYVKLFKIIFSAIGANNSGLHTDKMLTSYLPEILKQSTVLALTAREPLNYFLLLRALFRSIGGGAQDILYGKFLQLLPNLLQFLNKLTNLQSCQHRIQMRELFVELCLTVPVRLSSLLPYLPLLMDPLVCAMNGSPNIVTQGLRTLELCVDNLQPEYLLENMMPVRGALMQGLYRVVAKAPDAASTTVAFRLLGKFGGANRRLLNQPQILQFSRHPDSFVNMEFSNLGLDGPHSIHLPLSELMRVAADQMRYPADQILNMNASNIPSTQMKKWCMELSKAVLFAGFGSSGSTVVPDKQLPKILKKLLAEFDVNNRTTKQYTCIKENDRELFVNALLTMAYGIWNKDGFRHTYNKFFNSVVRQFALIGVIEYVGGNDWMADADINLSLCLDSSVLVDALIICLSETTTGFLYAGITVLRLINDVMTLALPDVNLMSKVPLCRYLMEKVFKLCHGPSWFARCGGIQALSCMIEHFPRKFVMDFVIDVVDSIIEVILGVVEEISSGAAESAYDCLETMMCVYFIGNEGEEESNLTLASIFIASISKHYFHSNEKVREYMARLMDRCLTFSRLAPDREKFYYRFKSYFEPELIRILTTLPTMSLTDASGSLDSLQSFMFICPDGFEFETDTNLYRRYLVHLLDIAQTDTHTINQRNGFKKCETCPSHFLPPFPITYHIDSMRASAFQCLVIAYDRLRKQLDTSKDIQGEQVMSEILALSSPKITVDQIFENNESWRRLMTVLLRADTDKEVPPEIAEKVHEALMKIAPIPTNIIATFGANIIRNISRVHDDDDSDRTISYHDIRKFSILVELNPRILVKNMALNLANQMIQYKMNEKIAKTFVLPVGTPEAEVEEFEAEKKKGIRELDKIAFTAKMLAGCPMPIITEEIIIDIARFAAHFEYTYSQDVLVNWMDDVALILNKSPIDVWQFFLSPESINDPARRSLIRRIIVFPASEPLREEFMDNKDYLTRLIDADGIYFKNDDTVKICAREMFALSLVDRISKISPEWLSSELSPILELKNFFKSKEFNERYSVRTITEDTDDKHEIRVISMTEDKYKVPKLCAKILIRYLRDNIKEYDTFFDLVSVFMGRFQTDFSFVREFFEVEVIPKMPLWWRREIFIHVMVLFEDCPKKVERDLRMVKALQYLVIPSLQWAFERYDSDEIVGAAPIDDTESSLDADSSANKDNLVARLTSVIQAHRLNLSDGMIIVFYQMCTLFVQHAAEHIHNNNCKKQGGRLRILMLFAWPCLQSPNRQDPTMRYTGFFFLANIIERFTINRKIVLQVFNQLMTTFQQDTRDQVRRAIDILTPALRLRMEDGHTQICNHVKKILIEESHCLQHIQHMFHMIVRNYRVYYHIRNDLLTALLSAVQRALLMPNSAADNWQTRRQALEICEVIVKWEMFKFQKQDHVITDEEALEVDRQYELLRSASTSALLDFEDPQSKRDIPDAQRVIKQEHADTVVNMLMRFCMTFHQSHLAFMSNQPQGGALVKSCQILLRVALRSSIWGDYVNIHVNSIEKCLHVPLELAPKPNEIQSIPLALALSSSQYSMEMLIVIIPVMPKHTLMAMMEPLQRALCICLNSSQIVHQGQILRYITQIVSRLCEKTAVSATGFDELGILNQHIFKFLQDSFMAIGKNPASPMMSVVGSFSLARAICAHEPSYLDTVMVPFLKVMIRATKEHLSYVAAGQQGPIVKNIAELLSVCMDLMRRRTKSIALDTKKSMVNDIVAELIYKSSSDKILQASVKLLGALLSVEDMDFSLNTLLPHIADIQSKIVQKFKTSKDLIADFLVVVLQVFANNEWRTSEHGSLLWEGFFWGLKSGDPQTRENFSIVWEQTWPHMATVDLRHRMKYILQDQDWSRFKHTYWLKFALWAVLRTISKRPTDSNTQKKKVVMLSCATPWRTIEYAARLNQQQSMEVDEPVKLEEPEPMEVDETRPENVDDAKENETLTLDRLLEEQQNLLEEASQFDFADVLDTVSQITFGLSDNSITSKIWIEFFKSFWDSLDSSEIQGFKDMVIPFMSSGVHNCYQTGNQDSSVLAVWLEAVGEKVTLPSRLIEFISTKHECWHTGIKLLEDVIWAIPKQLNNHYLGDLNCDPALIENVETLESLASIYNEISEFDQLATVWERRAVFQETLRAMSAMQLGDMEGAASILEVAMCKEAEKVSSHTDNHITPVYDKEYDHWMDMYVSSCSELLQWHTVADVCNSRDMQNVRGLINAASHIPDWNVVEECKNQIAGCIPPDFHLDYTIYNLMCTVMKMDDRTSMTEAKKECKRVLNECIDAHISKWRALPSYVSYGHVKLLQSMNLIRDIEESTEIRLALIEPPSRVDTGLMQDMKTLMKAYRNRAPTTADEMGFVSTWYDWRNQIHGMMLQRFEWFDKSGFNNTGNGNQSIVPTHSMAQAQINVARHAKNLGFYNLTKDLLNKLSGLTTIPMMDSIDKVCTYGKTLREMAMFTEDEKLKNELLNEALEVLEDVRIDDFQKEQVARLYYHRANIHSVLGQTQVADKTFSAAIQLIDMQTSSNPTGVKLMRNWGHHLNKLFFQSPVCKETSENYGRQALVCYFVAARVENDIKARKPIARIMWLAKHLSACGADETLNRVIKKQLHSLNLFNWLYWLPQLVTAVRHQPKSNFVFILCRMAAAHPLQTFYHICEAVSVEDIDAVLREDYTEEEMSMDVSDDEAFANDPPFARILKICLKYRPTDIRVFHRIMKELDEMNETWTERHLRFALGLKAQLFDDFAEQMDANFQTVRISDTAHSLIQTWKKQLEEDFQYFEENYNLDFLEIRAKRKCTVTKGYMGDEKSQIMFEKELTQVFTDPLDNIDELTFVTNQTREIIPELDIRSLQLNNPRAFCGKVLEWIRKIRYRFEILPKRIPLESSSPFLARFSHRTSCIEMPYDLFNVIRAKNPTLMATNQTGQYISMLSRFEPNFEVTVKSGQVMRKIYLRGQTGKSAAFYLKKSVQDETTNRVPQLFKHMDHLLQNDREAAKRHLHVPTFLQMRVGKRTTLYEIASVQPYAMPHDCARNYPASQIEIVHPYDVFSNTFNGLFSPDDMVLHYFERFAAMADKIGTPLDDFMAPQDNRPTSKKVEIHHLQHYIYNDLIRDITPFRTLTMHLSAQYTDPVMYYAMRKQFVHSFAVLSTIEYHCNLTPMGLHQMLITLNTGVLTNPWYRFELGKKPALPEIEHFAHEVPFRLTPNLSILIGVAQDGDLLWSMAAAAKCLMKKEPEVVMRSLLWDEYANNVDSTDMVSETSNHPALVFHIFLFQIYVCHATNSYVNCFENKVAMTHRPDAKIKKDDCISLIIRAKDVDNLSRMPPTYQPWF >CRE02114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1621640:1624100:-1 gene:WBGene00053623 transcript:CRE02114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02114 MGSAITKETILQDNVYLKKLSGLEPVDDYDPFWNKLLSFSLKFDDEDEDTRNALESALDDHLQCLMYNTQTTGNFAAFIRLFLRRATELKASEQCENKIYLWQTSNALLILRYIARFLTQRMTEKEFVRIFAKNRESEENESSSTSSSSDEDADDDEESSNKQENGNYNNNEQTKEPSIVFQNTAEEFTYELVSILINISVNDTTLAIHVEAVRCLLTLLSSQLYNESIVNTSIVFRFFIDGACAQHAAGLTKTLLLNYLIHNSEYHMTVQKPQESIVFGLASSMWSMVQMATGLDSAEEEKKPPLTLGNLSILLLLNLSCHQPLNASNPFKETLALFQNAQEVSTLPTQVVSFKIDYNGLYERLCATAGQEPPMLLLYMLLQANSGFRNYVLSRINLENLVVPVLRILHDGVATSNNSHHVYLALIVALILSEDDIFCKIIHETPIKDLGWLDSDFSVREISLGGLTALVFIRAIQKNALKTKDRYLHTNCLAALANMSAFFKNLAPIVCQRLISLLDLLTKRHAKMVDHMRVSSQNDVAGGQPINFHDDITALEEGIRTLLEIINSALCGGLRHNTHLIYNLLYHRALFDAYVQHPMFQDLLVNIAAVISHFSSKVIHVPAGDGVTMLQIIEKEANVWPTDKLAKFPELKFRYVEDEYTVDFFVPYVWRLSVQHSGIHFETSRIKIFNAQSIA >CRE01718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1620386:1621503:1 gene:WBGene00053624 transcript:CRE01718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sfxn-1.4 description:Sideroflexin [Source:UniProtKB/TrEMBL;Acc:E3LF36] MTQVLSKCEKLPDISRPRWDQNTFQGRMYHFFTTANCLNLFASNSKLERARNIVLEYKQGKYDPNMTVNELWKAKTLYDSAFHPDTGEKMFILGRMSAQVPCNMVITGGMLTFYQKLPHVIFFHWINQSFNAIVNYTNRSGTHKQDDRTLFLSYCGATTGALSCALSFNFLLKKWKNAPPILARLVPFAAIAFANAINIPMMRNKLVDIIIFHTTSLLVFREFTNGIPVEDADGRTMGFSTVAPEYAIPQVVLSRVGMAVPNMVLGPVILEQISKAAWYTPPMAAPLQTLLCGFMLAFSTPICCALFPQKSSIQVDHLELSLQEHINKLPNPPKVVYYNKGL >CRE01716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1616703:1617497:1 gene:WBGene00053625 transcript:CRE01716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01716 MTWAQLLFLLFLANVSGFQAQELLCKNCLFGNFHHVNTFTQSRLSKAISPLNILGISCDSDSVITRPCTGVCVDFIVVSKCTNITVEAQVKGCSDDLIDIDPEAPPISYFVEDKEDLTTSVILTENSTTYVFEVEYCGDSFESTTENWKKEKIKSNSYEIEYIIMLISIFIGFIHIFELIIQDYERMNELELVHRRLNPTIPARRERA >CRE01714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1612013:1613341:1 gene:WBGene00053626 transcript:CRE01714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01714 MSSLSVFPILKLPILCIESILNQFEVYDKINISLLSKKCHWILKRLTKPTLWCLKVQIKKNYAEVIFVLKTNQNYGSWIFDFGELEKLTPIQHTINGCNMDYGEHLRFYPFRFTDPQQTLKSAISYFMDLFNIHVRRVNIAPDEFPNTRKFIFPGCNQCEKVRITGNIPIKTYMLKHFLESFKITECLSFNIPFESDFYLDPIHFAKDEIHFLNSSSNWITRDVFFNLKNQRIQMYDCDISKVNVKDFELFVDRWYHSNDTEFEILVMMWNVFPGILDIERFNPMPWDKNKRSKSIIVHPDFEIDCSEGMDIERSDGSLATVSKRINNMILFYVWNGPKTCF >CRE02113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1607324:1609701:-1 gene:WBGene00053627 transcript:CRE02113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-efhd-1 MASPELAEKLKKRLDGSEKPEETSSQQEPPPAPVMPQKVSCFVFFNSDFSIQIVENPYIPCTDTSIDDLIFKALEKKEENNNNQSTKPLQIKTDSEPSTSPIPMNQHQNPTVVDPRSPPPKRSIQELLEMERLENEQQQQAAPVPKTPVMVITKGAFPRSSSPRRQQFVRENEDGNELEKRLAAQRLKKYTEEMEAKKPVDVLDEIMKSPPPPPPPTSPPQLPTSPPPPAPPARIASVRSEESIEEEERRRKEIEDTASFEELEAEIMRISRSPVPPPVLSIPPPPPPNIPLPSIPRVSPSPPSPRPTSVPPPIPSPGPSENVNMDELIESFSDSVIINSVSPPPPLPPLRESSLEAEEVNEKTPEDPTPEIDRKPEKKSPEKQVPTQEKVEEAKPEQPPTEPEPTELPAPIVQVEVPEVSAYAVSDIVPKPLEVIEERPKAPTPIRDSSLPPPPPPKPETPLAIRRATGPIPTPQLIEMIHQEDFARPASPTSSSVSHSRPQSPAVPKKPSVVISPLGLLCDPHLSHEKSTVTTENPEEVKPMDPVPVPPVDETELTEALERRNKIIEGEISKFIIPNIV >CRE02111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1590776:1593169:-1 gene:WBGene00053629 transcript:CRE02111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ace-3 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LF28] MRRRRVLLLLLSTTTFLFVSAQQDDQKVGVVEVQTKLGTVRGTETDHGNKRVRSFLGVPFAEPPINEHRFKKPTPKRPWNATLSANTLSPACFQGRDSYDSSFWGSEMWNANTPVSEDCLYVNIWAPADAYNLTVLVWLFGGGFWYGSPSLLLYDGKELATRGNVIVVNINYRVGPFGYLYLDHDDVPGNMGMLDQQLALYWIRDHIFSFGGNPSRISLVGESAGAASIVAHLIAPASKGLFQNGILQSGSLDNKWSMDSPKRAKQKSVALANLVGCNQTKITDQTECLRNTPAQLLIDNIWSVGLNFLEFPFAIVSKDRNFFKHLDGFIALREGTYSNDVNLMFGINHDEGNFWNIYNLAKFFDKQTVKPQLDRDEFHECVETAFAAQPELVRTAAKYVYSDPKCTDPKKKTDFYTEQVNQMVGDYFFTCDSIWFAHNYPKVAGNRSNVFVYYFDQPSSANPWPKWTGVMHGYEIEYVFGVPLHNKSAGYTKEEMDLSEKVIDFWTTFANTGTPSLRKRSVGTTHKIQWDRYDGTDHTTWMNIKAGSFRMIQEIKKVECDLWRNAKDMEYSAYKEELATTSSSPLTRYTIILILLSGILYF >CRE02110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1588693:1589760:-1 gene:WBGene00053631 transcript:CRE02110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hot-7 description:CRE-HOT-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LF27] MIRGIFNGFVMIGMVSASCFVCSSPNIENHYGSFFDNPREIQSAYIGRAIDCEKNEFKTQDCPGPCFSLNVSSSSIDSDFGISFVQYSPDLLFSGTSYGCSTGIIPDDVDTDEQCSTKTIPIRTSPIYSVTATYCLCSENNCNPPIHPVIRRRSKPLKSSGKTVYFANQQEESNGSIRGNSVLIAAVFMIIVVLSL >CRE02109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1585863:1588141:-1 gene:WBGene00053632 transcript:CRE02109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02109 MRALLLFLLFFIRIVKSQSCNNNGKYCTDVLASSLLRYSSLEYKCQFPIYIAKNDILSLDPTVYLTMKIGEYCQKSCCSVIQTTTVLPTSTSTTPSTTASTTPSTTPTTSETTTPTTTTIPTTTPYRTPLEKFNDAMNNDGAFKSLMDVINFNSTASEGLKRFKVYSKVKKEVDEHNVMYTLGMSSYKMDTNQFSVALDGEIAPLTLNLDGLTPTVTFVPEATLPPSRKKRQSVDNVDWRPYMKPILDQLTCGGCWAFSMIAMVEGYFSIKGYDTSSLSVQQLLTCDTKKDATYGLANVGCKGGYFQIAGTYLEKTAARDADDIPFDMEDSSCDSSFFPPVVPTILLFDDGFVSGNLTAAQLITMEQNIEDKVRKGPIAVGMAAGPDIYKYSEGIYDSDCGTSINHAVVIVGFTPDYWIIRNSWGPNWGEQGYFRVKRTSGKDPCRLYSYWSQATGIGANETYVPPRASGGEFAIPSTSPPTIESTTKSSDECGDGLCEDDCGCEYDDEDEDDYKEDVDEDNEEEAEEEISSSSSSSSSSSSTTTPTTSSTATETTSSSTTSSTTASTTSTTPTTSSSTRTSTTRKPTSTATTTPSRSGRTSTTTTTRRTTTTTPRRTTTTQRSIFDRWNDGWNNFWG >CRE02108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1584804:1585408:-1 gene:WBGene00053633 transcript:CRE02108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rmo-1 description:CRE-RMO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF25] MPVPSGYAAAPHGNQPSCFTKIRMGLMMGAMIGGATGILLGGFMGFRAGLRGKELLLQCGKTVAQSGGSFGVFMGVAQGLRC >CRE01713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1582788:1584637:1 gene:WBGene00053634 transcript:CRE01713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-16 description:CRE-FLP-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LF24] MNFSGFELSSIIAVFLLFVQLSSAAVLPVDYASQYGVASADEMTLPEEGSLFAERPSKRAQTFVRFGKRAQTFVRFGKRGQTFVRFGRSAPFEQ >CRE01712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1567270:1567951:1 gene:WBGene00053635 transcript:CRE01712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01712 MTESPKDVDPCLASLTHFVDHTDQLAEHTMNSVEWEAIEVLMPRILRGKSKDDVKQLLLAEIDGMSKKRLMAVLAGEELEESSSSEEEDEVMEEEEETAEGSRTSVDSEKPINEEKQEESSPEPIQADNNEVEEEPEEGEINDNEEMNRSITPPDPSPPKSEEKEEELDTDEEIDRQLERELEDFI >CRE01711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1561290:1566922:1 gene:WBGene00053636 transcript:CRE01711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-btf-1 description:CRE-BTF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF22] MSADTSARLLQLDPLLEAKNPNVRNEAAAAYGKLSILSEDVKESLKKHASSQAWDTRVSAGKALQALLQNVPDFERKKKKNSLIISYHEFLQENENSSVSERIKNIVVLNVQRNFKPLLSDDEKSLKAGKERGNQKTQREIVDQHLEYNRVTGISSQKFLSDDEFLHEGVSEPELPSTSKELLQVTKTEIIEGEIDENFNDDNERLKTFWKVLKEFVNMVAEQQWYARHGASIAICQIATTSYDRLSYELIDTILYLLIHVLILDKFNDFISGRNATAPVREQCAQALVHMLRNTDEKRREVVLEIVVQMVSTPGDDNWNIRQSGLLILKYYFAIARNDDDDHKKTFDRCFDLVIQSLDDPVDDVTGCAVKTLASILSNKEIPQEEMSKLVEKVMNHVWKLLDIEAAKEQLRAGLDALCIDLLEIVELWLRWNQELGISRQSLLTICSTIDAAFPVRCEKIVQLLDADIDRPQHGKLTAEDVFIIIKQLYRILLFAPPSDSLVFLEKTFITFSKVFRTYQHILIEKGDVSQKVGNWLGCLLLDHRNPQIDVFACDVDGISSNNKTSTELMCSEEMRFLGEKEKDKVYLTRKILCAKFLAIILEALYESDEEIQGQKVDIAVQLLFIPFFQSRSIMHNLGVSIVVNEWAALYRAAVNAKITEKPPPMTIIQLADSIVRGPGKQYDEMTTIVHGLTKDCNEFIEYCVVRGFDRTSTEATGGAPEDVSKAAYSTVRPNLKTDKQREAIDTRYNTLCRSIENAKMNIKSNGIRINALLSSTLFYFGNAPEKLTPQIRPIVETMQTEENDAMASEVFRGAVPLLVMFSWPRNPRPYVKVLAKALDSFSGCSIRMPKVGVTSPGSQTTIISMRRIWGKETEDGFEENNGMVSAESRNAELFLTILCQFNATQLAEFYSHFDLSEDVDLNTFLTRLELHNSLWSRVGSRLSEQSTDKIFNLLPSDDPAIRYAFAKAIETFCKSSCGDTLSKCYTRLVILSQDLNNVNSRLATVEVFLRLCMMDTCYLNGWATLLAPPVFQLLTDQCEAVRDAAGEAFRRLIPVVTLENPNFVIEGISEELTKKRAEYSNFINVLGAPSSLPRVIREDITGGFDTSMLREYQLEGITWIRFLRTYGLHGILADDMGLGKTLQTMCSIALSVDKDDLDERNKCSLIVCPRTLVDHWCLEWNRFFPKRIPAVKNIIRAQRAEICVIPYDDLKSAYMSDRVWNYIVLDEGHVMRNSKRRAWKFAIELVCKSRLILSGTPVQNSPADLWSLFAWLMPGYLGTEKQFRSQFLKKIMKCRLPKANELDLKAGSAAISQLHKLVLPFIMRRLKTEVLKELPEKNVQDYECELTDDQKDVYRFIVDRCTSSYEELKNKTGISSLVTLISLRKLTDHTMLVYDTLLKIGAPQDILAKARTARSGKMEALKQLLIECEICKNPDDEVLPEADELGGLNEAGPGHRALIFCQWKTSAKLVSNALSSGEFGSVVSHLVLDGDVPAGDRMKMVNRFNEDKTIDVLILTTHVGGVGLNLTGADTVIFLDHDWNPMKDLQAIDRAHRLGQTRNVNVYRLITQGTVEEKVMSLAKFKLNTAQALIGADNTSMMTMETGELMNMFTLDGDEPLKKGTGSSEPAAKKSKKASTSGGASEEINLASMWDESQYDDFQVDNFLRNT >CRE01710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1558742:1560656:1 gene:WBGene00053637 transcript:CRE01710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-1 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3LF21] MSTPQFLRWYEQEKPVPYRSVLQEKFEPGQTLIVKGSTIDESQRFTINLHSKTADFSGNDVPLHVSVRFDEGKIVLNSFSNGEWGKEERKSNPIKKGDSFDIRIRAHDDRFQIIVDHKEFKDYEHRLPLSSISHLSIDGDLYLNHVHWGGKYYPVPYESGLANGLPVGKSLLVFGTVEKKAKRFHVNLLRKNGDIAFHFNPRFDEKHVIRNSLAANEWGNEEREGKIPFEKGVGFDLVIQNEDYAFQVFVNGERFISYAHRADPHDIAGLQISGDIELSGIQIQ >CRE02107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1553305:1554484:-1 gene:WBGene00053638 transcript:CRE02107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mecr-1 description:CRE-MECR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF20] MLKILSLRSSIQRAASTRQLVYEGYGNPPEAIQLKSVNIAENPAAGEVLVKWIAAPVNPADLNQIQGVYPVKPTLPAVGGNEGFGKVISVGSNVTSVKEGDHVIPNKSGLGTWRELALHSESDVFQIDQNLPLEYASVFQVNPPTAYRMLKDFINLKKGDTVVQNGANSAVGKHVIQICRILGFKSVNVVRNRDNLDELVKELKNLGADDVITQEELYGRKKKFPGVKLALNCVGGRSSLFLASLLDHGGCMVTYGGMSKQPVDCPTGPLIFKDISLRGFWMSRWYDIQKTPEKRQDMYKELAEWMKSGEIVKQEFVNNRLDDHVKAIENAQNKHDKKQLFLLD >CRE02106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1548207:1551372:-1 gene:WBGene00053639 transcript:CRE02106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02106 MKGSSKSAPYQSNLKPLTIRARAGSTPGLDNKQMHSLINKNNKFSRMLCKDSDTVDFFSIPDSPTPTLPSSRSPSVLSITPATPTENVVNSGRIIKVAPAKVRPSKSTSRWQGFCHSVQDTVSILSDETDIYETPRTARSFSCAELLDSDDDSPDYGLSPTSPPFSSKDGPEDVFERVKTASVPAINTLKEMKAVHPFRSLYLDEVVWQIDDCVFASACDAVNNNSLMCRLNIEFICEIADETAEHVQEARRQNRGFECPCFCNRAANHFRYYLSYSLPETEQRCMQLTEDTKLNEMFDGFLDLVQRARRANRNVLVCSTRGRNRAPAFASAYLMSKEQIPRQQAVAKVRQAMGTMRPPVNISDFMQRKLMRYQQHLGIDLSNAYDPTHTLPLFHVKRSAWT >CRE02105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1537774:1540002:-1 gene:WBGene00053640 transcript:CRE02105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-moe-3 description:CRE-MOE-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LF18] MSKAKEDIGKSDKRPPSSMSTGSADSGVFSSGVHVSSPSNSQRSEGSSAGSQSGPPSPTSHLNSLLFETANLIAVNEQLRKEIAENKQIQTIQMRAMGRLPTNPADNIQAFGGSPLVSPHGFPQRAPRGERRMQKPESYKTVICQAWLESKTCTFAENCRFAHGEDELRPSKIEPRQNNKYKTKLCDKYTTTGLCPYGKRCLFIHPDHGPNAYIRSDKLYEVSQRHALADLRDHMETQIMTGNGKSVFSHLPPSVPEMQQLSSKSIPDSRIPSINRNSMRSPPPQMNCESTRPPHPSWPLDTSSFFLPPETSINNNNHSMSPFDSAFSGSASIQPYFVTAKQGAQFSSMSHNSRSQQSDDDSMSTIPGFDHLAEDMARHLELW >CRE01709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1535904:1537200:1 gene:WBGene00053641 transcript:CRE01709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01709 MAEAEAAATHPDHGRTNPAAVTTPEGGKLVDPVDRYTALAVRGAIVGVGLAGVIIYLRNSRLFHKFQHVNQIPKDFIRKELELKGRVREVLPSGELRVEHEPVMKIPFLPKRKNVNSGLLNLRLAGVELSQSGQQFLAKDLRLTNKPVTFTVIKDVEGAPDVVDADVTVKRTAFGRTNLNVEVVRRGYARVPGPEQVKHLKYLQSVPAYSRLISRLLMSEKVADRRGVGVWERDTWVESVQSYPSQVSGIIRSAAITKAVVLFYNVTKDVLLYGVKLTQQTYYVVLAIAAHLQNGYRRFATGVDRLTDKYNKVRQRIGK >CRE02104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1533701:1535586:-1 gene:WBGene00053642 transcript:CRE02104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpr-17 description:CRE-HPR-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LF16] MNDNDLLTNETAPKRRDELQIHNKKLGEVSNWLKSAFSEDHSAPGVLYLTGPTGSGKSTSVEVLCKEKNIELIDYTPELLHDDFLEYEKHDFSQLIRFLIRRHASLMGGSKKQILFVTELPDQSYQDAERFREEMYEALHRIRHPVIFCLTNDIACWNLNPDKLFTREFIRKADISTITFNPVADTFMKKALIRASNLLPSPLSDTKLNIIKEEARGDLRTAMNMLQMNSVGLNANRRTGMKVICASKANKEEAFHMLGRILYAKRVNPNAPKLTRFSQKRRSSVPIPEPTERTDLENDPSDIITMSSMSSGKLVDFLFENEPVFCPSISNYRRVVDMFSLCDVLAGDWNTARSFPDEYAAQIATRSVMWNNFQGSRPKTMFCIARPTEKDLEKQMTATRNEIRRLPMIGNKHFSSLDAPYRGIIENITDPQRIELFLGRPMEIGWKLGKDRIEELIDGRHYIAYKGRKHPRKARKNATPLVVKQAEEEDETYTIEDSSDDSFDEF >CRE01707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1529958:1531932:1 gene:WBGene00053643 transcript:CRE01707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01707 MPEKKSVVQRVKKTLRQRNRSSESNNTKKKTSKADGTEAPSKISRLKAAFTNRKRNPNTLTKSVSKENNTSRSSQSRETEPRSRMNSIMSSIDMEEDAEPFGQSTTDLLAEIKKEELKEQKEQNERKEKEKKDERKEDKKEEKKEKEERKEDKKEEKKEEKKEEKKEEKKEEKKEEKREDRKEETKEEQTRDTKDDTVRYDDKVSAPKAPTKRSKVWLGMPAALNFFNNNKDIQKIRSEYEFLDSLVCNKTTEAFDANTVRNRQGSPKIYDDNRIKLNRPGHDDVNYINASLITMKDFDNKLIVAQLPQFEHESFVEDFWHMIYQEQITLVYLMVPDKALKNTPTSLFKEESGEYQYVGKMFINNRRAEVSGDPKEYTIEILPEGNSDSVMCQVHHHALWEHLQQAPKTRPIIKMIHQFLTEKQIQNAGVCVVSLFGCGRACSFIGALYAINQLNNGIEPKICDIMRDMKQQRPSATESFAQYAGIYAVVLDYISRKRGSKKDPINKDINRFIDELCDITPASSPNKSKPVY >CRE02103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1524263:1526796:-1 gene:WBGene00053644 transcript:CRE02103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mltn-1 description:CRE-MLTN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF13] MINLININYVLLTALIIFLFTSPCTTLKIKSEIDVTKFGLTQQEILKSPHYKDKVFNVPMSTESGEELLEHWAVQGFSGVVAAIATRRLTLVEEYQKKSHEKCASEAETLAEHAKCLLLLEENAHKNRLINRKRLFVRKMRRRIDKMESKTTMAPVTEEEIKKEKEWVGSFRFRAKREIKVHTRESYSLKGHKEMTPFGLVTKHLTSAVKLLKHTDKVSKWQDTIERIAKKADEIKEQKKMDDFMQRRLSIFTDAASKMKQNKKLAKDDSLKELNDLEEFIDNDQIKEVLRNKKNTMTEQEKMMMVPMKMIRDGQSYIPLFFNLLNVSAAKLSLGMTGYNTTNFDRKIVRIISPRIMSVIPQEEEAKNNEIDVLSPSLFALHEDGSGVEKKASLGNLLGSLTDNKDSQDFLDFIVEATGVNEAMERVERKIGEYRRLKDDAMGRGPEGQPLYFTKENVTEKFPHEAKKIDMFEALDQTYSDEQLKEMNRTGYTIMRHDQMDLIYGKGSVGENEKFLKTAKALTRPQIDRAIMTTIKDLAHEKVKFEARRNDIVLSPITFSNFILDPVSVSQPTILSPVMLCSLILSPAIYGVMIMSPWLMVPVIISPRILSPVVVNPFLMVPIIISPLAFNPFILCPGSMNPFVLSPLVFAPFILSPQVLTPLILTPFCLGPIILNPLALSPLVLSPFVLSPTILSPQYVTAVVLSPYALSPAWGSDGAMVTVFASPSWLS >CRE01706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1522080:1523220:1 gene:WBGene00053645 transcript:CRE01706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01706 MDVSSERRQILARIEQIKKDLEKAKGPLGVLNPSTYTHIFRIARCSTDEQYERLGQLLRDSNQVGIKKLEEALEQENEKLEKLDEREKAQNETLGAMNPFEEKRIEIRVLIHGVVLSKRRVRRINEKLLSKMDQEQAREDLTHDERRELADVFIEASYKIRQAYWSLSVLHDELKDRIIEVNNNEIIHLNLAKFSL >CRE02101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1515572:1516812:-1 gene:WBGene00053646 transcript:CRE02101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02101 MTDASTSANPRTTRLQQPIQPVTPTTSSMGYPQSRPGPFAVFAPGTNALHPTLPFPYWSPQPFGQSIGNNAMSLYGLQPSPPQPGSSKPTTSTPSPHLPNTQLAIPNGSNTGMMSSMMNTPPGMGAQSSMDNTIRTILAFQARENAIFHQDMQKRQTDLNKMMIDVTKMLIEEQQATRAFHREFLETFSKSMAGLIPNTPLPVNPATPSPPPKPSVHQQQATPSPAATDIHEEKPQLLATHKRVSPRTVIEKQQNEPPKKRNRKTLPRITVMLNRKLIGKGRLYDEAAGDFAVFDCKFCGELSSSRDELIQHSQRYHPRG >CRE01704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1512205:1514684:1 gene:WBGene00053647 transcript:CRE01704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gfm-1 MSPLGRFSAVAQSRRQLNNVLRRFASNEAPSVIVPGVRPIERIRNIGISAHIDSGKTTVTERILYYAGRIDSMHEVRGKDDVGATMDFMELERQRGITIQSAATYVDWHGTNINIIDTPGHVDFTVEVERALRVLDGAVLVLCGVGGVQSQTFTVNRQLARYNVPFICFVNKMDRNGASPLKALDGLRNKLNHNAALIHLPIGKDSNFNGIVDLVEGHALYYEGEGGLIVRKDEIPKDLRVEAQDRRDELIEHIANVDETLGEMFLNDQKPNVQQIHEAIRRTVVKRQFVPVLSGSALKNKGVQTMIDSVVKYLPDPSEVVNKATVKTESGDAKGIILSPERNNDKPFVGLAFKLEAGKYGQLTYFRVYQGQLSKGDTVYASRDGRKVRVQRLVRMHAADMEEITTAYAGDICATFGLDCHSGETFSTDQNLAPHCESMHIPEPVISMAIKPVNRKDADNFIKALTRFTKEDPTFRREYNQEAKETIVSGMGELHLEIYAQRMKSEYNCPVELGKPSVAYRECLGAPYKFHFRHKKQTGGQGQFGEIEGVIDPLPADRNTVVEFSDETFGNNIPKNLFPALKKGLDAIVAEGPLIKSRIAGIHVRVQDGSTHAVDSTEIAMINTMQNMMRESFEKANWLLLEPIMKVEVTTPAEFQGNVVTSLTQRNALITTTDSTEGYATVVCEAPLSDMFGYTSELRSLTEGKGEFSMEYSRYAPTSLEAQERVQAEWRQLHGIADPNEKGKKKKK >CRE02099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1508324:1511318:-1 gene:WBGene00053648 transcript:CRE02099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02099 MDSRRKVYHKTGNNAQRIQEIRESIRIRARSKKESENSDKRRERYRNREDVEKLMSESDPYLAAPYEEKVNFLNGISKTIMETTLESLTDNEFFKYVCHLMLKFLRDDHPCIRAMCFHIVRKCTMNEKNLAVILTTHVDIYIVRAIDLQMENQTERIEAFKLIIWMLKIYETSNLKKLIDSSAAQKNGKKYAFPKSVMQPIISIALSAFEGQQSNGKDKLSLPCIGLFLELSLTEPSLILEMAGTDWLVKVLTGVSCMSKRIVILASHVLIFWLDSPMIRIKAHLDLVMEQIFAPLVEFGMFQKRGSMMNNDSAHESFHMNDFLENFKCSFLCIIRSWPGLFACAAVGPNSNILPSSPFRLLDYLGLGTVANDNLVRIRDAIVDLCCDFVDVPYASKTFESWEEALAFYKAMHLPDKFKSNLKHDFVIAQNDARLKNDTERRKPTIDLLAAFRVLSQFVLINAQLPLSLARLILAMPDSSSGLKATLLMADMLRQAPSHVPAGYRAPVLSMPTLVQSACESLSQSHAVSAINGTFDINAIEQYTFLHATNAELVLNRFDKLNQSWIRSASTSSTVLKESDLMLFAPHMSEPVLVQAPIRKSKSDSSFRAGASLKRNTSPDIYEDECPGEFNETVLRGKYDKNALMMMDDADDYDYSIPRIRDTFDDEEENAKKFFVDSNGAFDWNYMEFYVENIEKDGYEKLVKEFSSNTIEDHIGNVRFQRIFSVNIFILFFQMFQFLSPSEQEKNKRMLDRQHVIVAKSIIKLLLRVFPNNEGISEDYVPILQKYVANFRLVLESRSNPNSYFGPRNLSYNQSMLHFAIIGTFTMTTQGLNILNNVGILHM >CRE02098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1500438:1504521:-1 gene:WBGene00053649 transcript:CRE02098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rict-1 description:CRE-RICT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LF04] MSNDKRLHVKTRVQKIAKHIQNASNGIDNLYVDMMTSTSPLEFTKVIVASLDYTNEGLTRVILRKALTSTSEASRKWTTRYLAVLASSDLPMFSDWGIQLMLRQLADESSKVVRHTIRILNRWLPEHPSRNLRKVEWSVFGEAGDLLKAHVYAMESECASDEDEVKEVVRLWMSDFNKKYLQIIDEEMKEMMFHVKRSIDGSFSRSSSDRPDTSVGVHAPLHLFAALGGHETGRRILIDENICEDLLLVCRNGKCFEEIKSSLLAISSIGSTDDGFEILPIDAVPTVLKIAEEHTVLTVRGIAFWALATFSQCVEGAKRLAAFGWESNRFRYAVDIARGKISEDETMVSTPAAGTIAGSISSSMRPGRKITIQHHRHSSLFDSQVNIKQSRAKSESAVSRRGNSKGRQRSQSEGDIQEKCQKRESRAESFFSQRLWNSEKYLYKNSGTSDSSSVPYHKRTVTNSSSGYHIQDELTVTVSPAANLNPDETVAKSAATSRMSTDRRRANTTNSLFDEEEIPKTRSSTVARCIREGLKITSDELEAEGVLADTIMEPHFSCRLREKYHLAPFRVRACLQINRHVGDPVRYVFMTKEEERHFAEYRRQVLHDPWLYNELRKEDNAVKKTINVVPLQTVALPTEIEIMCGNIFPAKPKVDPIFSLHEQDDSAGVEDRGARTGHARSGIHIQPHSAYRCFHCSSNEDSVRAYPHPDAPMLRKEVLGQVDMLEIKEYPAKRLIGLRQHHPWLFEWPCMYADVLELLDEYRFKPHSRAFLQQIFYDALQL >CRE01703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1505461:1508116:1 gene:WBGene00053650 transcript:CRE01703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-32 description:CRE-PQN-32 protein [Source:UniProtKB/TrEMBL;Acc:E3LF05] MSVSTIRAISILLLLASYTLADLPSCARAKCVHCAVDFIDRMCPTACAGCKTQHQAIQAVTIQRPPQPPPAFNTQPQFAQSVNTRQVSNEGGPQVRNPQVVHPQIPQQPQQQQQQQQQFQQQQQFQPQVQTQQQPQQQQQQQQQQPLLNIPLQPHAQPVQFAAPVYQGNQIPYPQNQIQTATLAPLVEPPKVSSFDPNLSRQPADLAQPLPEYQTGQVQFVQQQALNPFPQPQQQGFGLPQAPQQAQGYGQQVDNIFNQPNPFQLPQASQQPQGLFGAPGAAPAAGQQGQQAAFNPFQPFLQQTNAALDPFNLFNLNANPLTVNQQGISTLQTQQQQYVAPQQHVQQPQPQQPLPQPVAASVDSRPVNFEKYVDPGKGTPLAPPPQAQCPRQPGWQPCITKDTANDRFRNCCSRLGEGCAPLCNYDATLATMQLAVLTGRCPLAKVGDVMICASGYQDASQCCEAYGVFEPGYEHCRPYCNPSAGLPEGGLLTEKYKCLVKLSHIQQCFFVSQKP >CRE02097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1499117:1500271:-1 gene:WBGene00053651 transcript:CRE02097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-86 description:CRE-COL-86 protein [Source:UniProtKB/TrEMBL;Acc:E3LF03] MDNKVKYLLNGIVFSCSVAIVVAIFGCGFILSDISEFQNDIKDDLKQFQFYSSDSWNVMLSGKSIGFRVRRQYQSAPVGGGGQIEDGTCQCAEQSTGCPPGPPGEPGTKGYPGDSGTPGEPGQPGAPGVAELHESMKNGCISCPVGPPGTVGPDGPPGPPGPSGNAGNSGPAGPAGQPGPPGGLGPPGPNGNPGLPGDAGAPGAPGSRLSNPPGPPGIIGPMGPPGPPGNDAQFSNGPPGPPGPIGPPGNSGPNGTPGQNGSAGADGPPGDDAQYCPCPSRTPNLAVNGYHNEQQHHEQQHHAVDTAFGFSKKKLFRMKKMLKNTQKKFTSAIA >CRE01702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1497994:1499021:1 gene:WBGene00053652 transcript:CRE01702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01702 MMYFSSIFSIFLFEFFVLSTCYQTRFKRDFMLITDGNLTDAKCFEDCQQEYRAKFEYTLNQSLTEFYDFPFHPVVLESSSFQLYCKLSEQKTKCFADKCNDYAAENSFSPSNFVCLFKRTLFEKALKCLAKTEPITFLKCDHECHEEIVRTDRLKQSSLNNQNQIFISSELATYETELDKLCRFQSCYMSCMAPVVKEMCGEEESKSAIEIVEAYVQWHADDISDWHSITGNDETLPKSCQSLVKPHSKADDPILQIIGNVA >CRE01701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1490156:1497284:1 gene:WBGene00053653 transcript:CRE01701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01701 MTKEPVPTDQDENRIFQEGEKEVVDSMYLGQVWQPIDCTIRLQPLQSCLLDGDPLGKSNLSVVLRVKCSKEYPKRKPLVELLEPRGLSNDDVRNLLNILRQRVDELEGTAVITDLAGIVSEFLTDYNPHITAGSFHDDMLANKARTEAEKKQISERKRLDTEQKELDLLEEEMRQRNAIELEKTLNGTRLEGETRIIGGRRIVVLTNIPVKRQKPCNACHEWLGFWENTQLLISEWTFRYTSGRNSSEGKKRDFEPFFQRFEQVYNDIKKLCEIKGLDQNLVEYAFVHQQKISTTPDSVLMQLFVAQKIHSSEESLLDTYELIVPKPNLLRLLAVQAICGLRYLHEASMTHKNLTLSSVWTRNSTGDCVFRFSDFGSMGPLISLSKLFSEICSGKTIPHGEDTEKENDRRRKDLFQLGSLLDQLVVDYQRTGSTYSRRVSSPTELSRISINSNSLLSKFIVKCQEAKNIDQLVEDPFLKGCFSFFYKFSKFRFFSEECQSESENIFTPFGGAMNPEGRMLSDNVIIRVIGKGGFGDVVLVRNKMDSTDYAIKRIPLNASSEKLNRKIAKEAKIFAKFSHPNMVRYYNAWSEELIPISDDSSDESSYMGAVPIPGKEKKQKLKTGKSLEDDEKDVLGGGDSLMPANLKMLAKEPSKGPEAKEWSAGPKCGTTRRSKQTTPSGGLKNLSECSSEYEEDADSTSSEIDWEAESDEEEEEESSDDSDETSSVCQKFSELKTEKSEGEDSVFERSNKTGDDDVEFIVEEKELKEVKEHENPTPRNPRILCIQMEYCDRQTLRQYIDETKNLYNNPTEVWRIFSEVLCGLNYMHGINMIHRDIKPMNIFLTSNGGVKIGDFGLATFDLMNSKVKISSAGAERSTSLEAAFSPPGIKGSEVQQTRDIGTQLYMAPELFVDAKAKSDQKTQPYNSKIDIYSAGVVLFEMFYRPLAPGMERVSTLNNLRDQIKIPIDFGKGLASAMSALARKTVESMLQKNPDLRPTAEDLLNDEDLPMHSKEDATFRTLAEKVVKRRECRMNQWLLEKQFKEDVSTLHNYRYDYDLCQDRLKYNNRETIVEILRSEFCKILNVHAFEKLHTHTLMPVSTAVAAASVRTKPAEFLDQSGLPVALPMDLRQNFVRLCVRTSTQRLKRFNFGRVYSQSSKHVHPNEKWECCVDCIGPQSSSTSLELGTFLDRRGRGRKATVVTPDRIKAVNQGIGRIAHRSIRKMAKGMKISRRLLGRIVKDKLKFICYRERKAAILSEATTKKRLERSKKLLQRTLNGEHLVTVFFDEKLFTVQAEFNPQNHRVLAETSEEDFANGKAIHQGSHPASVMVFGAAELLLVACEMISGSLPGMKVTLKIGHAQLLEAQIRHLKLSDDVRSELLDVLHIISVSDRPHSHKEKLEMLSPKIGEKAANIITKLLIPVEDNFNAFRDKVATFRKKLKVDAARVLADKAIKDLEEIVATFKFCRTEELEKISIVYDSQTCYRPRTFGDGLVFQIQVEKPTSVPNKKGRNHTVLAGGRYDSALLRERHPRDFVYEVPLCISGFGVAMDTVAQLRDSNNKATGVPKTVQCKILICSLVQEDNNNLITEKFKIAKQFWSMNMSADVFHNAVDDLESLNEHRSRNSITHILAVCNSNMEVLVKTDATTSEMMDVDSAISMVCRGSQALEHAIVMTPNGGPIASSSTPGETNHHDDHHCGTPPVASKSSSQSTITGIRPFAATTANLNVIFATTDKNHKVKEKKRFETQIKNHLNDYVNQFNSKTKIEVLVCDIPASVIKFIVGEICKMSSDAEVRKCTRFKIFYIIFQIDTLFDQLIQKHGKVDLAPLRRQLHATLHNQSTTGFGTGQIVVIFYRLADNFFRCLT >CRE02096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1488702:1489648:-1 gene:WBGene00053654 transcript:CRE02096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02096 MTDGSCQTPYNSTYETLIPKNQKQDAELVCCKHGCQCSYGKKTTVENQYVNLASPKEQQVAEKLRAELEKLQVKSVKVEKNQKKKKSSSKEKRKKKTVEDNHAYEMMGPTTEGSTNSSAPVTTESLENHVRADNTAFAVYIGASSSSDAESRVTRRGEFAIYHQYETGGCIDHLTPGLPLMLVYYTTTKKHRHYPIRTSGSDGELHYSVDCGYPMVRKHFSLTQLVQYYKTFGSIQTNTDETCSEAFSWWLE >CRE02095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1484389:1486963:-1 gene:WBGene00053655 transcript:CRE02095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02095 MLSSPPIFDESLGSKVFNNPHLLEAIVSFLIPNCENNLTTRLINKSFNSMFLQLIRRSHRKMKLEFIGDGELVEGCEKDWIFINYRKIKKSLIPGYFRFLNKVVGVKVEEIITKNLWMTRYTFFTHLHDVIHSLLIGSNRGSVRKLIGLEEICVFDGCQDCANISRKCVEYGPLNFKVLQAIKHPIHYKRLYVSDGLLETIANYCTRRSTNKEGCFNVLDETILPSISCETLVLWINERRDFWENGEFRRGDRFPIPREVLDVIIKKWNVNSIEIRMIYRACESKCNGEWLGTGYFTKFKFNDPYFTIDKSDKRIDNIYVNLSVSSICTRSLGYSDAVPWEDTKFKNFFPIIRRLFPTRKLSIVCSHWRYGDCGSLEGFMKNVLNVIQLEKQQKFEVDVQFFTDVSKLKWGNSEESEGLAEIPSEYSVTSDRFECILKSLPFDVEHGPERYDIIKWIGRRFQVKNIEMDFTLNLDIYVKQHELRELNINKRLIEKNPNSLIVFFM >CRE01700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1479678:1481144:1 gene:WBGene00053656 transcript:CRE01700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01700 MSYLKVPGVEQEKKKSRSILRQLSQLSRRSIDSLSSTLSSPRTPSPRATFSDYPNPCELILLSALQAHVISGDDMRNCATEIQSLTFSEKQKLVNFFKSDGDAAGILENNAFKAFNCSNLSSDLLKEDGAELLEQLWLKLNNLVIPSLQSMCFPLSEIDTSFDVQTLILTSFRDRVLAKLLQDVDQEIPQLKSILLTIMVETEEIPASLRTKIDICLGKSPSSSNNNKPRYQKKTRSKTDSCLSSKRKSVSWMDDMQDPNSRKSSTFCA >CRE01699.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1468345:1477826:1 gene:WBGene00053657 transcript:CRE01699.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zyx-1 description:CRE-ZYX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEZ7] MGPPPPPPPPPLLPSGEILPSRKWKTENAPRRNNNPPPAPPKPSRPTVDAQALQHAAARLRKTGYNEPVRGDIENLSDGRVDRSDQRMSDGDRTYRAQLQQLVEPKARAEVGNGHLDRLIYRQTDFQIHAAPTYSNQPRPLGDFHRDPVALSQFQVTNISRLSILRLFDFQQSREALLASNSSPSSHYSPINKFSSSTLTQYANKSPSPPSVRDDVTYVSPYSSKYSYPTNFRSYHKDDDYFTNTATTAAASTTTAMNNYNDNNNSNNYGNKETVLQWTEPYDPSKIRRSQSPIRNAREMIHEYSTTNYVTEIEQPPPPPPPSSDLYQQKTQARNFLQNSLARQLRDEGLTESQRAANRNQTSALSASSSSTLPFDVSRIVKDSYNGDEVDHLVHQMRTKLNQDTTSSSSTSPSIVQYPRRQQPSSDDLRSSVANYSTTTTASTTSTRKIMNINICVGCGKEITGDQPGCNAMNQIFHVDCFKCGQCSKTLAGASFYNIDDKPTCESCYQNSLEKCTACNRPISDKLLRACGGVYHVNCFVCYSCKKSLDGIPFTLDKDNNVHCVPCFHDKFAPRCAMCSKPIVPQDGEKESVRVVAMDKSFHVDCYKCEDCGMQLSSKLEGQGCYPIDNHLLCKTCNGNRLRVVNSA >CRE01699.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1468345:1477960:1 gene:WBGene00053657 transcript:CRE01699.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zyx-1 description:CRE-ZYX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEZ7] MGPPPPPPPPPLLPSGEILPSRKWKTENAPRRNNNPPPAPPKPSRPTVDAQALQHAAARLRKTGYNEPVRGDIENLSDGRVDRSDQRMSDGDRTYRAQLQQLVEPKARAEVGNGHLDRLIYRQTDFQIHAAPTYSNQPRPLGDFHRDPVALSQFQVTNISRLSILRLFDFQQSREALLASNSSPSSHYSPINKFSSSTLTQYANKSPSPPSVRDDVTYVSPYSSKYSYPTNFRSYHKDDDYFTNTATTAAASTTTAMNNYNDNNNSNNYGNKETVLQWTEPYDPSKIRRSQSPIRNAREMIHEYSTTNYVTEIEQPPPPPPPSSDLYQQKTQARNFLQNSLARQLRDEGLTESQRAANRNQTSALSASSSSTLPFDVSRIVKDSYNGDEVDHLVHQMRTKLNQDTTSSSSTSPSIVQYPRRQQPSSDDLRSSVANYSTTTTASTTSTRKIMNINICVGCGKEITGDQPGCNAMNQIFHVDCFKCGQCSKTLAGASFYNIDDKPTCESCYQNSLEKCTACNRPISDKLLRACGGVYHVNCFVCYSCKKSLDGIPFTLDKDNNVHCVPCFHDKFAPRCAMCSKPIVPQDGEKESVRVVAMDKSFHVDCYKCEDCGMQLSSKLEGQGCYPIDNHLLCKTCNGNRLRVVNSA >CRE02094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1460973:1461477:-1 gene:WBGene00053658 transcript:CRE02094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02094 MVCLPCIFLPIMMAIYMKFIMPYVYRFLPERWVNFLDPILYPTCPVKIPDNKEETKEEGNEKSCCATTETTEETKKDQ >CRE01698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1459277:1460922:1 gene:WBGene00053659 transcript:CRE01698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01698 MSPNPKEKEAILRIIDSIDARDDDYCAHEFNVYRWLVAYGNDEEEAAKALKRHLNIRKTIDLNSFVDKVELEEDELNKYVPIDVIGQNHPDDNKVLMFERTGKIDISGLVDNVLMHKFMQIKLKMMESVHQKVVAAERKTGRQSGGLFVMDLDGISFSPKLISVLTGPYRIMWGTLFDHYPQLLQKIIIVNAPSFVNVLHQACSPFLPDDYKEKIIITSESAIDAIQKHVDKSFLPSDLGGELPRKTSLPSAPFPKTNKKEEKEKVDLVAISVPAGKYVIQKFEWKKGNEIEFFLNNESSFHYFMFHSEDDTRDMETWREMTVGCERPALSQVDSWKYTVPLDGYYFIRYGNHNSWYFSTTVNTNHFIYNENGERIALTPIETFNI >CRE02093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1447708:1454056:-1 gene:WBGene00053662 transcript:CRE02093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-max-2 description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:E3LEZ4] MSTAKSSKVRIRNFIGRIFSPSEKDKDEEMKPSSSAMDISQPYNTVHRVHVGYDGQKFSGLPQPWMDILLRDISLADQKSNPNAVVTALKFYAQSMKENEKTKFMTTNSVFTNSDDDDVDVQLTGQVTEHLRNLQCTNGSATSPSTSLSVVSSCSARPLTNGNTFSTSSTDASLSLSERNNVPSPAPVPSSESAPQLKSFTGEAPKLHPRSPFPTQPPVLPQRSKTSTPVMTPPSSSNGAPVPGSKGPPVPPKPSHLKITSSTVSSGCSSPIHHSSARSVGNSLSNGSVISTTSSDGDVQLSNKENSNDKSVGDKNGNTTSKTTAEPAAPEEPPVRVRASHREKLSDTEVLDQLREIVNPSNPLGKYEMKKQIGVGASGTVFVAHVAGSSDVVAVKRMAFKTQPKKEMLLTEIKVMKQYRHPNLVNYIESYLVDADDLWVVMDYLEGGNLTDVVVKTELDEGQIAAVLQECLKALHFLHRHSIVHRDIKSDNVLLGMNGEVKLTDMGFCAQIQPGSKRDTVVGTPYWMSPEILNKKQYNYKVDIWSLGIMALEMIDGEPPYLRETPLKAIYLIAQNGKPEIKQRDRLSSEFNNFLDRCLVVDPDNRADTTELLAHPFLKKAKPLSSLIPYIRAVREK >CRE02092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1444625:1445799:-1 gene:WBGene00053663 transcript:CRE02092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02092 MEKSQNSMSFSQEGGSNLSERVMLNVGGKKFETTVATLTRIPDTVGYGSNSRIQTYLDFQVLAVMVSDRWKTGDEIFIDRDPKHFGKVLNYLRDGDHFVVPADTEACEELKREAHFYNMPFLAELCLPMNVDVADIVQWKRDAIEIYWRPFVRYMVDDSLSLPFIYDRNNHTLARCIACEEFQDPKCSYLFDINYTAWEPMRHHMYNMTGEVTQLMGENCCIVSWDNGQQIHLPRSALIKLPGMQHQ >CRE01697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1441728:1442426:1 gene:WBGene00053664 transcript:CRE01697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01697 MGDANSADLKTLENGSNSSSIDNVERKMILLKIAENEKSSFDDVITGAESENGWEDCWKETEDSVTQSMDELDRSIPEEWIDEHPKTIAPSPGVYSIEKPLQSAPHSYYELMDLNVENIDNTVRMARVPSQYQLDALSEMFIFDVPQHYTMRKASANCQPLPTNIVSADQEDRPDVNDWTTPYFVNSEYVSELLM >CRE02090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1440130:1441147:-1 gene:WBGene00053665 transcript:CRE02090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oig-2 description:CRE-OIG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LEZ0] MVLAPFFEKAPSLIISPDGSVLFECICNANPQPTIKWFLKDKELTGDRYVSKIKKMVGKFTVTLHIKNPTQDDQGVYKVTATNTHGSHSVEQQYIYKCTGKEVFKTLD >CRE02089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1433728:1435233:-1 gene:WBGene00053666 transcript:CRE02089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02089 MTATVVPQMTTTMTMASMVEKSKKKKEPMKSPIEDTVERMLRIRWLVCLGALLPGAGCYFVVAYTYVFQFEKVSNFTECKECPNMNITLPPVSYSIGIWSPQKYIWMMIMFIHLPPRLAFLTLYRRLFLISAPKSQWYSRINYIYMLTLWAEPFGLILVSVVDINGGFVLHALGFAIWIICFNFNMLFNILLHHFGGCRDVHDRMETTWRIKCVIFLVGYFCAISTPITYPYFTAHCSPFGRIKVVVLSHPHDFLLAYNLFSLAELIEVGCNSIFYAIAYFEFPKTRITIGIKSVQRNLNELDKMAPALPHKDAV >CRE02088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1432791:1433274:-1 gene:WBGene00053667 transcript:CRE02088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02088 MIKLILDYVSFKKERVTLMRNIRISDVITGQVESTVHVFMAIILVMILIFLAVHIFIRFHYKAKTEYALNELREKYERNGKGPSAFALPKDQKAKDATPKQVEILMDPEQLAPIVHHVALAPAGNVEEPNKNICYKQNPRKPV >CRE02087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1430382:1432317:-1 gene:WBGene00053668 transcript:CRE02087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02087 MSASLARGMLNKMGGSCCPHHAPSTNPFKLAKLHGNNKSTDYAFEMVCSTLRFGKGVTLEIGYDVRNLGAKKTLLLTDKNVQNTIAFKNAEQALKNVNIDYEVFDDVLIEPSEKSMQKAIAFAKSKPFDSFIAVGGGSVIDTTKAAALYASNPEADFLDFVGPPFGKSLQPKNPMLPLIAVPTTAGTGSETTATAIMDLPEHKCKTGIRLRCIKPYLAIVDPLNVMSMPRNVAIYSGFDVLCHALESYTALPFDQRSPRPARPEVRPVYQGSNPISDVWSREALRIIGKYFRRSIFDPTDEEARTEMLKASSFAGIGFGNAGVHLCHGLSYPISSQAKKCVAHDYPQEKHLIPHGLSVMTTAVADFEFTTVACPDRHLVAAQTLGADIPNNADNEYISRTLCDQLRGFMKDFGVPNGLKEMGFEYSDIDHLTEAASHSVPNIVISPKSTDREIISKLYEKSLTVY >CRE01696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1423584:1428940:1 gene:WBGene00053669 transcript:CRE01696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyd-1 description:CRE-CYD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEY6] MDFASSSSAGPSGSSYPPESQEPCTSGSISKCNYNWQLPPHLAAIVDDGKVHPNKRLFIYNRTDPNIQLDMTAFLNCMEYEETLQPNYHYFTAVQENITPFHREQAVDWIYDVAREENCDGDVFLLAVALIDRFLSVQSILKHDVQMIAGVALFIASKLKAPHPMTASKVAYYSDNSCPVDMILQWELLIVTTLGWETESPTAFSFFDFLASRIPQIHNLRAEFQTVVQKCQRMHKLATLFPSMQCAIALYYVSNLPHQNKQLAAHIKTLLATMFQLEVNLLDSYIPMVQRCLNPAPIYTVEEPEKQEVAPVAPAPAPVASIAPAAPVVPIAPVAPIAPIAVPEEDTDGDDEGEEETEDVNPKEESFDGIFTPPEEKSEEAAPEVIKAPFVLDQIPISDQTPYTPLNDSGFCSDVSSPPSSEKKRRRSSEWFEDDITPPKIFKFL >CRE01695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1412112:1415229:1 gene:WBGene00053670 transcript:CRE01695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-18 description:CRE-PTR-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LEY5] MFRDQQKKKTAHVKLTYPSMDVFGHRIYLANNIFQVQINNRSSIIEESKLVAINFHAIYNNETMYEIMKQWEKKLFDYTLSTENDPLIRVYVTSEGLVSEEVRRTGILAMPLMGITFFIILAFTMLTTLKKDPVRSKPFESFLGVICPLLSLCASFGHLFWMGFEYLPIVTVVPFLILSIGVDDVFIFIHAWHRTPHKHSVRDRMAETLADAGPSISITSLTNLLSFGIGIFTPTPAIYTFCVFISTAVIYDYIYQIFFFSAVLVLGGDREERRMNAYFWWKYEPLKEETKNKKNKGIGEAVNRILGKILDVWVDFIMATWSKFLIGALMLTYWFFMARGVMQIAVGLSSEKLFLDDSPLLPLVRLQTNVIFKEGGQVAVFVNNPGNMSEPDAVPEIMRILRRFETANNSVGAASTHMWLLPYLPYVGEQEHGSIEFKYRYLPEFFKLMEYRRWSHFVNLGNHQDCLSEKPSCLQKFVFSTGFHDAVSWSDRLALLENWREMASEYQHLNLTIYEDFSMYSDQLLTIVPVTESTVICALVCMIMILTLFTPSPVTIVTSTAAVLSINLGVFGCLVYMNIDLDPISMTTLLMAIGFSVDFVAHITWHYYKGEFQSKRARIRHALAGIAWPMFQAGTSTMLAISVLALVHAYMVQVFVKVVVLVIFLGMFHGLVVLPVVFAALPFTKTAGPQKKKIAPLQMHEAGPIPKKEEPESILEMKEEKKKTKEEPVEKTVRSAERA >CRE02086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1409144:1411840:-1 gene:WBGene00053671 transcript:CRE02086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02086 MLESNLTEEDGIKHLAKHYDPETTLTDLMNLLMTSHADGIKSRAMCEIDELKQQYGDHRPILIIFFLFLSLLTVSIYMNCRLIVILCQRFAKEQELTYFPMLMLLNIISMINQLILVAGLFLPFVSISEWHGIKYPFIYALVTPSEIAVADIILCAQRIYIHKYPTVMGSFSPNRWVGIIVFALFSFPVGYVSYHAQVYTMIYEEQKSSALFTFFLIDTIGKGVCALFSFIGYMTIYIWSRSNKCADSIIRQALPIAGFQLVRNQITNSKRINGKFQFTIIVQCGLELQPTKKLDPKERIFLDICFSTACSIAVPLCIMIGESHKREMFYSSFWCCHCYCQPRPPPPKTITEGGSRADRQKKEEEEARKERRREQEERRRRQRDDRDINFHNLDDSFESHL >CRE01693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1401482:1402680:1 gene:WBGene00053672 transcript:CRE01693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01693 MNDGNVSLDILEPEEGWLFPADPDVKLAIQVTLAIAVILILKVVYDNWLFRRRQRQHLGDDEESERHRANTRRRIDEALQQPAHECPICLADANFPVLTDCGHIFCCENEPTCLFSEDNHISGNCIIQYWQQSKAIVSPCDCAMCRCTFYMLLPVRWPTFGVSAEIDDQIHEGNMRIDDYNRRFSINRPPLDYLRDIPILIPYLARNFFNNDIFTVIYQIRIAFVTLCVVIYFLLPFDIVPESAYGIIGFLDDCIIGLLAAGALFRWFRAYMAERGRADRQ >CRE02085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1399714:1401170:-1 gene:WBGene00053673 transcript:CRE02085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02085 MSTSESYQSSNGPDLITLEAKIMSIDDQTDQLDREINNMRSLEQKVKEYKLNHAHKAAQTEEKITELANLMTISGKNMVNVCEEKLKKFTAIIEPYLNSGVAKSKEGELPIIDRYIALIASIEGLDSTIRKTSEVSRLAAKHHKILYSIDVKQHEAIARHERLTRIQNLNNEFPSGSPLHLLAVLPALLMTLDRNPKCSDYYKKKFGEMQGRILTLFATMAHDEPPKIEGMGSMLSTQSSLSNGEINLESINDRSEIPIDNSESLASSH >CRE02084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1394394:1396954:-1 gene:WBGene00053674 transcript:CRE02084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02084 MSSRYSGGGSGIEQYVQQLPLLSLAFLPLISAIFGLKYVNLKSVIHFQQGTLGSSPWRAATTMSFLSTPIFFVWCLCVPTPSAIFFQIGASLALLLTTLTSSPILHYLPSPSISMYYQLRFLSKPLRSIITMTQCVLLSTVSIILLFLSASFLSTITNLSVYLILPLVAYFSLFSVIFSGHSSMMNASFFLYIVVSISGIGIFLYYAVQDVQSFDTLVPIENFRVSDILTSLMVGFTVNFYLLNSSFLYQIFSPMPTMHKLRLTLTFYGVFQLFISIFIFLCATIFLNFLREHCKFAMSFGSFFQFSKAILGNRIQVFTVCASCLCILSFCLQWSIMSLMTLTWEEYWAKRLRSWNPIQQLCSLQFGMLILTTAVVVLTVATNLAKIPVGMQLPTIIYVLFSLFAIISGVTICGYYLPFCSATGAISSFLLTIIFTAGNLAIYLMNNTPQKFQNSCILEDAVGNGTAVSRSISLDRVVYFVSHLPPQSQPIISLMMFVIICTIISFLTGGQDQMGLDWNLIAFTWATSVRSPSSFSKRQFVVAADTADFRYAQQQNNPTPSPDVNAFR >CRE01692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1391494:1394174:1 gene:WBGene00053675 transcript:CRE01692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-10 MKQKRAIRLLVPDRPLRAGSKELVELSLRIPNAVQILESNAVFEGVCRATFSGQDSQHTLTKEKLHIPFSAIQLPTTKGVDVIPEGHHRLPIFLNVPHHMPGTFNGKFGAIVYRFLIKLKVKRFSSGDEGTIECEKAVDVLGRVSLDQQPSFAQPVSIDRHVKKKAFFMNRLEAHIKFEIERAAYTVGEHILVTGEIKNEHLSSPIKHVSLELRQHILYASGDAQRSDSRLITRLILGSVPPNETFAVFHSFQVPFDCYPSLCWNGNPVQVTYELLLTNSGCFEIGTPVFLGNCGSPSASRRSIMYPESTSGGDAPSTYYCTPPTEMSFCADPPPYSTFGKPDPVFIPIHMLKTSYRPFAPTYHMSGMFHPQGPPKYDYNLNHRMQPESPPPPRFLKIEEIE >CRE02083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1386520:1387716:-1 gene:WBGene00053676 transcript:CRE02083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lips-9 description:CRE-LIPS-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LH79] MTRILHLTLSTFLISFVSSKFTEDFSQWLADYYGPQVRNQIERLDLGPNGSFGGKKHRNDSLTNQPLIIVHGVSDTAGEKPTWAAQWFRKEGKYKENEIYSTTYFNGAQGNPLKWIEYSMKCEYVKQVRSLIVAVRLYTGRNVDVIGFSLGVPVSRKAILGGRCVDTGEYLGGPLTRVIDTYVGVAGPNRGASPQLGPLSVPACALSITPICNSVNGLYSGNCPAQSEFLQDINKYAHYEGQYTYSIYTQKDQMVGYAVCGQLTSPLPGQSGQRVYTDKNHDQVFDDTHEVQLRMIRDHVVI >CRE01690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1385354:1386443:1 gene:WBGene00053677 transcript:CRE01690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dct-18 description:CRE-DCT-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LH78] MLFKWLLLAAFVTLAWSKCEDGVDNVIKFTDTTKGKGPIILTDFEVTTYDENKEPSCKKGHAQFRLPGHFKLHKGFVTVNEAVTDDSNLELALNVEKDSWMIGKVCVNGKSENSFVPDQLCKFQLCSLAPTVCSLLKVKTNGPVDVTPFVQKEPIDIGALPIPQLGGDWKIGGKITQNGKTLAGVQIGNGKTWLNIYSEEGKGSVNYDPVPPGGPSFDHNEL >CRE02082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1382401:1382916:-1 gene:WBGene00053678 transcript:CRE02082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02082 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE02081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1379774:1380719:-1 gene:WBGene00053679 transcript:CRE02081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02081 MSDNVVPDLPDNRTPKTENEVMETNSPNGGTAPVAEIIIGGQPLMKVPNGPIVVKDATLAPTPATVAIAATDSTAASQAAAQPTEANALVNGQETQGVSDEMKTEKAAPIQLSSAEQPKIAEEEAITPGAAIEATPVAEIDRRIRARSSRYSEKEFVCPKPTAPPKKRSKKN >CRE02079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1375367:1377488:-1 gene:WBGene00053680 transcript:CRE02079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02079 MATSEHENVGDLSIVKCEEEEPNSDPLDSHHTEDNEEHVESHDFLFKQPAPPVPTSYNVRDAQDLQAIQERFLRAFISKQRDAEENGDEHSHKKDSCCGPKKINGVGELLSNLRNPPKCHKERIVLGGDGKPIEKQREFRATLNYIDPSEEFDKCVIPQMNQEFCAPDLNMVPASSMSMKQIRDFVRKSMFRCKVCKNRFGEMYLLEKHLRDTHPKAYIAFLEEQKKMSEFMIEIEKERARIEELVSGGFIPPESEIDAESNDLDPNTIPLPGENSQGHVPRLNRFGGLMYPMDALKKKFPYFKKRSPQCPFCDKRFRNDISFTNHINKKHPESADFIQCLQCFKCLPSQADLADHDCDLTYLCLDCRPVRNMCNAYRLFKHRSKFHRGNNSGFRCPDCPQKFLTPRKLRKHRKMSHIFTKTYQCHFCEEFFISDVAVTVHERIHTGILKFECIVCDFKANRYMLMEQHAKEHHGYVCSVCQLKCPNWNDIKDHMFTEHSCYVTEDASHAYIESPRIWLMYKGE >CRE02078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1350788:1361863:-1 gene:WBGene00053681 transcript:CRE02078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-29 description:CRE-LIN-29 protein [Source:UniProtKB/TrEMBL;Acc:E3LH73] MDQTVLDSAFNSPVDSGIGGTAGSGSGSSAHFGVGTNRETTLEPPSISPTIVVSVRSSSRSTDGTDSTDGANSDNVTGSTGSTPAHHSITNLNMALSQHSVDSATSASSANPFPHFNQADLLNFHQNSLLPHHMFSQFGRYPQFEQKPDVGVLQQQMQMREAKPYKCTQCVKAFANSSYLSQHMRIHLGIKPFGPCNYCGKKFTQLSHLQQHIRTHTGEKPYKCKFTGCDKAFSQLSNLQSHSRCHQSDKPFKCNSCYKCFTDEQSLLDHIPKHKESKHLKIHICPFCGKSYTQQTYLQKHMTKHADRSKTNNFGNEVVPADPFDPSLLSWNPMQGMGDNAHDASSFNISSLTDQFAANSMIGSQSTPYNPAFQNSAFSQLFNIRNNRYLSEYPTSTKNGERTPGFNMITPLENIQRYNGASSATAVVTATGSAVVSSTPSSTSSSSAASSSSQGGAFNPQSLINNMKNHSY >CRE02077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1348003:1349614:-1 gene:WBGene00053682 transcript:CRE02077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02077 MLTNNNDASSKTVKVMRPGYIIDKWKVKAMLGKGACGVVYKVEDKNRKGYCGAMKIEYHSEDYDRTLPIEINVLSKLRDSKDVLKILDSGKRKNYTYMVTTLCGKDLMALWSKISGGFNDYTAMRVALFTLYGLKQLHEIGFVHRDVKPGNIMTAADRGRDSRFLMLIDFGMARSFVHTEPDGTRRLRPMRRRIPLRGTIRYCSMNVHERHEQGRGDDLIAMIYTIVFLTIGLPWAKIKDEKEIMSMKRSTKDATLFEDLPEELKIVFEYLKTLGYSDRPNYEKIYNLLMTAINRLKINFLDPYEWEDEEMERMAKAEKEEKEKELKEKEQKEDPKKVPEPSKPPGEVDENKKLPENKSDVQQKTSNIDKCCKLNSSNDSSHSEPTENSNRGNTQKNAESVPGAVNDMETAIECVPTEYATKKALPREELQFIVYPAIAPGNFTNIVIPF >CRE02076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1341935:1346175:-1 gene:WBGene00053683 transcript:CRE02076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-15 MLQFPMYTFLLFKALSQCETAGVCHGDLKSQNVLISSTDWLQITDFAPFKPCFLTHDNPSSFTFFFDTSRRQSCYIAPERFISATEYDEKLKDGQEEWLFGSLTPKMDMFSAGCIVFELLCDRPPFTYSSLCEYRSMNDNDAANMLLRLVQDVPVPYRALLRLLLNRDPSFRISANAVLTGAALKFPHILESFLFRYLDRFRPLYGASTSTDPSTDDFSQALEFSYLEPDDIISKLKREKQLWWARLSESEESKSFAFLFVSLITANLRALRTIQAKTDAIRMLVELSTISDSRVAIDRILPYFVHLWSDPETQVRATAVTAAAELLNPIQPKTYEESLVFVDYLFPMLNSMSNDSVDCPQHVLFAIATSLGQFADTAYRFYTVGREIRQATPYDDEVSTTGDPQQNDDAGALLHGVSAMFSALCSKDPMVKRCLVESKSLILLYHFFIKIGNDDTLLRFLCTFLNAKTEWRLRAAFFDSLPVCVQKRSEGMVPLLQLGLQDCEEHVVTRALGCIHILIKNENLDRLSVKKLLDDVLPFLVHPVRNSLLLNGEIFSRFQNDWIRSAVCDILLAINSQWHKAEVHVKLIPLVSPYIEESKRRILTLRSKAVLMSQLTGPIPRVTFNQILELSLENTKQLTTLLEIHFQSGKPFEAQASWFNTIFPKIKTENKTGVVEHNDLKAALETRKRLTMSVHSFRKLFERMAETRNTAGMETFLTRQLGTIDLSSTAHSRVRRKEFTYGGDDSAMTGNSKPTTHINIPNHSTERRDTLLLGGEVVFEEERTVTNAPTPYSSVKSSTFDNQVNEMLAHLNELHMKNVNSRPKRPLAPSASHPILSASASGGSLGGSSGHVKGTIITHLHEHSGKITKLSANRDGDFFLSGSGDGTVKVWKTRAVLGEGYGAARSEDTWIPSDLNREHVYAVGWNDQYACSATHDGYVRWADVGQGPARVVTQVKIPESEGAPVYLHCNGPMTVVRTHHGVLYGIDLRVGSSEGPLKRHDIWRKKFQETHGLVTSSAIDPWQQSWMVIGNNSNRKNLMLYDLRFREEVLRWESPHLNVQPLAVWANPVSRQECPEVFVGFSMHGEVSSYELGAQPLRKRVFWTGGTPILSYNDTSNDPRKQETLVTRALCVCEKTGVVYTGDTRGAIRKWNPSRALGCEILSSPPKGRSAYRTIFEENDISNTPSGTSTDPLVIYERNVLDTEAKENQKIVPLDSKPSTYHRTPITDMMLLNSELLVSSGYDGVIKIWK >CRE01689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1346535:1347048:1 gene:WBGene00053684 transcript:CRE01689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01689 MTNLQSSTPITIASRGTIPTVSTSSSTLNLSSQISTLSTSTNMTSTTSSGSSLSGIDCADDTPRKQRSLVDLSELNKQYGNGYQPPPPSQETPPTVYENLAILEASKAPSFIAGSCSPMCSISSTDSNLGKLLRSSDDDSDDGVDVLIKVKDCFD >CRE02075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1335209:1337940:-1 gene:WBGene00053685 transcript:CRE02075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02075 MTAATVSPIMGVETTTKTPNLSMTELYKVANAKTKVDDYFAKRNELLEEVSQLENTEKFIKETTKTIDELNKEKEEHSEIIQLINQDKSDLEREIAEAESEKKVRESNIVKKYEILMRLMEATNEKLKETGCDIALSQDDLPQTHLKIEPSTAPITPVFSAGIPSPFPSFNLKQLFPSNFLEQFGGFAHNSPTPQFRPPPHMAAAMQHQQMRAADHQSPPMKECQSCRQQIHRNAPICPMCKSKSRSKNPKKPKRKEI >CRE02074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1333160:1334429:-1 gene:WBGene00053686 transcript:CRE02074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02074 MTAATVSPIMGVETTTTTPNLSMTELYKVANAKTKVDDYFAKRNELLEELSELENTEKFIKETTKTIDELNKEKEEHSEIIQLINQDKSDLEREIAEAESEKKDRESNIVKKYEILMRLMEATNEKLKETGCDIALSQDDLPQTHLKIEPSTAPVTPVISGGIPSPFPNFNLKQLFPFNSSNFLEQFGGFAHNPPTPQFRPPPHMAAAMQHQQMRSADHQSPPMKTCQSCFQQIHRNAPICPMCKSKSRSKNPKKPKRKEI >CRE02073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1325327:1327088:-1 gene:WBGene00053687 transcript:CRE02073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02073 MNLEIFSNNLQNIGKLRHGIDEFHKMANEIRKEEESVKALEHHIATCNGMKTELDLERRNHAEELRQINQDINTLEDITKSSRSELEKRKKKITVAMSHVERMRSFLNENLESMNIVHKLESSEEEELFKETCARQESEPPMMPDVPRNPSELPAFIQSLINCAQQQPQSSGSVINMPRNLMNRHRMPPSFVEASKMKVCENCGANIHRNAPTCPVCKMKTRSKNPKKKQRRMYPGVPEPML >CRE01688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1313050:1318411:1 gene:WBGene00053688 transcript:CRE01688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-top-2 description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:E3LH66] MSDSDSDFMDDSSPKAKKAPAAKKTTPKKKKEDANTSMAMTEEDRNVFTSIDDKKGGSKKMAIEDIYQKKSQLEHILLRPDTYIGSVEYTEKTPIRLKSKRIKIPYLYRFRKNNRISVYNNGKGIPVTLHKVEKVYVPELIFGTLLTSSNYNDDEKKVTGGRNGYGAKLCNIFSTKFTLETSSKDFKSQFKQTWVKNMTREKEPDIKKSDSEDFTRITFQPDLSKFKMDELDDDICHLMARRAYDVAGSTKGVTVFLNGERVPVKGFEDYVKMYTSQFTNDGEPIKVAYEQVGDRWQVALALSEKGFQQVSFVNSIATTKGGRHVDYVADQMVAKFIDSIKRKLSKTAMNIKPFQIDPNSLNSSNTSICIHFQIKNHMWVFVNALIENPTFDSQTKETMTLQSKQFGSTCTLSEKFSKAAGNVGITDAVMSWVRFKQMDDLNKKCSKTKTSKLKGIPKLEDANDAGTKNSQQCTLILTEGDSAKTLAVSGLAVVGRDKFGVFPLRGKLLNVRDGNMKQIADNAEINAMIKILGLQYKKKYETEDDFKTLRYGKMMVMADQDQDGSHIKGLVINFVHHFWPSLIQRNFVEEFITPIVKASKGKEEVSFFSIPEYSEWRMNTDNWKTYKIKYYKGLGTSTSKEAKEYFSDMLRHRIKFKYGGAEDDMAVEMAFSKKKIDERKDWLTKWMQEKKARKLRGLAEEYLYNKDTRAVTFKDFVNRELVLFSNLDNERSIPCLVDGFKPGQRKVLFACFKRADKREVKVAQLAGAVAEISAYHHGEQSLMGTIVNLAQDFVGSNNINLLLPIGQFGTRLQGGKDSASARYIFTQLSPVTRSIFPAHDDNVLRFLYEENQRIEPEWYCPIIPMVLVNGAQGIGTGWSTNIPNYNPRDIVKNIKRLIAGESQKAIAPWYKNFRGNIIQIDSSRYACYGEVATIDDNTIEITELPVKQWTQDYKEKVLEALLDSSDKDKKAPLVLDYKEYHTDTTVKFVVKLAPGKLRELERGEDLHQVFKLQSVINTTCMVLFDAAGCLRTYSSPEEITQEFYDCRKEKYIQRKDYLLGVLQAQSQRLTNQARFIVAKINNEIVMENKKKAVIVETLIKMKFDPDPVKKWKEEQKLKELRESGEIELDEEDQVDDDEEDGEGTSASSSTKVLETKLSDYDYLVGMALIKLSEEEKNKLLRESEEKMAEVKSLEKKTWQDLWHDDLDNFMSELDKQEAREKADIDASIKNAAKKLAADVKAGRGSKKTVVAEVLPSKDGVRIEPKLDAATKAKYEKMAQPKKERVKKEPTEPKVEKKEGQDIKKFMSPAVKKSPKKKSQDGDFEDLSDDTDLEFGDEVTMSDDDDEEREEVVPKPKPRSERGAAKAVKPIVDLSDDEEMDGTPVKKAPPKKRKIDSDEDDFKIDSDSDSEKKKKPATKKPVPKKRKSEFSDLDDDSDDEDDKKPSTSNKKAAPAKKAAPKKVEPKKAEPKKTEPKKKTMDEFFGKKSSKKAAGSDDEDDDDIVVAPREKSGRARKPVASTYVDLGSDSESDSGPKNKKKRVIDSDSD >CRE02072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1305113:1312412:-1 gene:WBGene00053689 transcript:CRE02072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-3 description:CRE-NPP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LH64] MWSDIRNFYEYVTSFTDFDDDLKLATLFFQLEKHKWRLTNILANPKRQTNDPRVKANEKVEIDGVELTIQEQLAAEAQILSDVFELSDMESIDLILSGESQQIHFEGLNRGLIAVICYYDAHRLLISTVRQMLKWDREDVPSKVTEWLDNSFISVHVVKMLFDRLNSFTVQSEFARLQSPNVNGLGGSKHQKLLKTAIDEIRSEIIAVISLICEFPGSEAMHISNHLFQIVKTVPLEKLSVANMTAWVSLIKITSSEVLTQVPDATQVLTNMIGHIRNETDWSDQAMCGTLQLACAVSLKSIASSPSDHLGIENIKVDVERVIDRSIRNMAFHYLRQGIIKSEHFRFAHQFIIIDELLKQLVSFFPAKLMEIERNSADELNFLDEQQKEASAPTSAKPDPRNQTISLGDKVHAELTTRDPSNNYDSALSNYENFLRCFVDLYEMQVTDYSFQRTLKTTRERELQEQIEESSMSFSTERSIELCRLLERSRLPNHHVVHSVAYLELCAAVCKNQLTAGLLFDIFSRELCGPDTYGWESLTGALKGYDRLFREQKAMSNSRFNQNQTVNMSTSYHQQSQHQSLNLSLRPGDKISIPAQELSGLVAWLRMTTKVAQFNEIAAMRFSDDPAWTMCSAVASLSTSSVPLALKAALIDLLTAVARLKGTAPRIWQVIHVNQLCYHADGGTLMGMQQELEERECIAKQYDVSLAFVKLMTTLLMHRSLPDYATPFIQFVTRSILGHFAGRSYNSVIQMWELAEWSLRATNALLEHGIVEPRSVASNDIHIAVITQCLNDTPMFRSITRVVYEDCQAHNDPHVTRQAPSSDAALIALRILSRAIVLHPALRACARVTSSDIMVASIKSLIFSPVIASSACTLLDLVFHYLHMADDYPVHSLYAARILRDVMATRGAVEAKMLEVLRTRKSAPSHVRAIRTAICSNSIQYTINDSLSKEEDTDDPHFARGETARLVLETLSEAIDSHVTRCGNRVTDTNNICYYLLAFRPSLANTKELYKADDSYTGLHYVLHIIEQFVNSKKPFTLPFSALLEPAFRLMQRLVALSCPFSQPVLCFMRSSNIIEKLTTSPFICSALTMENERDNTYAQGVFAVRRMIVGYILHFSAVEISAMLTTGHFSRPEKLYRALLESSKLVAGYTQDDMEEEDVNTTLASRASGSKDHPNLLFSLLRRATVPRKNELPYPNLVHFDSAKLHELFDACLTVNIYGVAQYDILYLNRLMRREIDAVYTDSNEMRFVQEELEGVLEYCTEINASLLSESASERIVSGCTALLNVFSVFAPVHFFSNKMQLVIFRDACYVLIEMCSGVGGGSLVAACQTFHRLVLTVTKLAKVEYPKLLDQRRFFAPVFKSMVELLLQPGDKCIDAKVQLYKTMRYILRTLFEKNSIDTVNEAEEWLLDGLVQPPPILSSDEIINIVDRMGEEIARHLESNIADFPTHRKAAIVMLTSDLLHEDLKGNKKVCNHISKSGVPRILCQELLGVSFDWNIVTDAKKEGRIAGVSQAEHVSNYKLFISILTCLTRYGTSESGWIVLSELAVLEILAEMPAFIEPPKELFLKPDTVKTKGTAAHSYANALDLALHFCEQMCTKTKWKKQSLKVLAFIQRIGEVFQQLMRAELDCECLETAKAIVYEISLNGKLYLEFPNELLFFTDEALIGIIDGDQVLRQLKQGEESKTVKSNARRKYVNVNTTFAAPRQLYSTLQPV >CRE01687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1306684:1307796:1 gene:WBGene00053690 transcript:CRE01687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-84 description:CRE-COL-84 protein [Source:UniProtKB/TrEMBL;Acc:E3LH65] MQVHTATFIASSLSGIALLCSLLALGQIFNNVQDYWTELDTEISSFRQETDNMWKDLVQIGTNAGANRRGRREAGYSEGGYAASGNTGGSYSSGAAPAHDFGAQAPAGPAPSGGSCQCQSAAENKCHAGPPGPKGSPGSPGPNGVPGVDGKPGHDSLDVTPEPQDTGLCSYCPGGPPGAPGPNGHPGLRGMKGADGALGRPGRDGNPGHPGEMGAPGPQGKQGPDGRQGEKGADGRKPIGRPGPKGQRGPQGEGGPVGDNGRNGPVGPAGPAGPQGGAGGPGEKGLDGGPGSEGKVGRPGKDAEYCPCPARGSHGGAGGRGGSGGGSGAYAADHYQKA >CRE01686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1304163:1304903:1 gene:WBGene00053691 transcript:CRE01686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-2 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3LH63] MTRVKVFFDITIGGKKGGRIVMELYNDVVPKTAENFRALCTGEKGTGKSGKKLHFKGSKFHRVIPEFMIQGGDFTAGNGTGGESIYGEKFDDENFKEKHTGPGVLSMANCGENTNGSQFFLCTVKTPWLDGKHVVFGKVIKGMEVVKLVESKGADDGTPSAACVIADCGELK >CRE01685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1301825:1304085:1 gene:WBGene00053692 transcript:CRE01685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01685 MFMDREAAIHFIGGAVGGTVGTGITCPLEVVKTRMQSSRGLDTQSGPSTSSGSSSSTKSSSSSSSAKSSGFFKSVVSQRNGFGSNFRGGQFALDRIFNGGSFAAFSKANIFNQFSNPTASSLVQYCVRNLSTNSTPTQVQPRRGTIVFKYIRQVVKTEGIGALYKGLIPNLIGVAPSKAVYFYTYSTSKRFWNESEVLIPNSAIVHMVSAGSAGFVAASAVNPIWLVKTRLQLHQGHIGIWQMIKRVYKREGLKGFYKGVTASYAGVSETMIQFCIYEYFRGMLLSEANEMDKRKMDFLNFMVAGGSAKFIACVVAYPHEVVRTRLREETGTSRGFFKTLYQLYKEGHRAMYRGLSVQLMRTVPNTAITMGTYEFVVYMLHHL >CRE01684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1297208:1298551:1 gene:WBGene00053693 transcript:CRE01684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-27 description:CRE-ZTF-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LH61] MYVLLNLKDDKMLQNVVQLLVSFPCLLSFPKSQFQFAHGHRQLSIGESIENLCPTCEKTSLNQATSAVTSSTTSPTTPCTPPRATSSSSMAAGSPTYSTVTSAAVVVPKLSSPKREFVCSTPIKNGMSDAKSHLKRPYVPISPILPHRAPQDMQKYEDVSVKEEPSDEGNEDPDLSVDVDEDLEEDLTLGQDITLSQALELFDRTIFQEPRSKKPKAEEPFGELYQCQLCKKSISRHGQYANLLNHLSRHARLHASKKQYCCPKCGASFTRRYLASTHIKDVHDEHKLQPHDFAVELREEYRKLLEMCFPGADNRRKQQQAQSQATKDSILNILSDDSGVSVNDSSDLNISVGNEKIEVYVGAGDDDDHDQDSS >CRE02070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1280977:1282589:-1 gene:WBGene00053694 transcript:CRE02070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-58 MAVVQGKLFILVHVDKHRDVMGEICSKLVTDYGVPVSICDDIQKVCPKCLSTTESLKSEIVTVSTTTKSASQSSDEHHDEIIVSPKVFPQTVPQKNPPAPPAQQKTVPIAPRVAPLLLLPSSKGPPQQQRMAPIVQKVVIAPPVPKVAQIAPKLNPVPPKPVLNPPVLIKPTNGYQATVAQNGVVKRKESPVRSLPEVIPEKKIRIEETPKIKSEEIAFDDDDEDQDVVDTEEEAEFMKQMLMKALQSQQNDDYRRDSSDEGASNSSNLYDADDDDFKVGAWNPDLLTSFITSQPAIFSATAQESNRSPSSGSSSGTTGKRQVPPMLTVNGKTRRGRIVYTTHELNILEKYYEEDPNACADPKKRETMCKMLSIDYHRLKVWFQNRRRKDKVKSQEDVTISFNGYSP >CRE02068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1272064:1276441:-1 gene:WBGene00053695 transcript:CRE02068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02068 MVRGRFLIIDDLYQTGISGPASELATTICRSVLFELFQKQYACAIYYTDNFKDPRLPTVQSPRRGQNRTKSRKRSHDRYRAPRSRMSNRSHHRSSSFSSDENDKDIYHPSRHHRVHKTPSIDSSTTDSQTSSTHNAVPQTPLPDSTSHRSVIEALMYELFKANERSGITSPNVHQSELLMSLKTHIEQMIVLQQTQDDRNVESPVKPPIEIQTPNELSAEKLDNGTDCYRSEQTVLFEQLVTDNTTQNVTQEDQLDVPMQHVVVESSADSKEEINDTETQHSDGTSNQEKSEFGAETIDQALSESQVQECMDVTEPQNTVEPEVVDETATVQMETDDKTLASSFSELNDCQDPAVVSEPISTEQNLVEMETNNSENGFVEKPVEATVNSVVENVSEQSAIDEDEVNYVHSSREDSEVKQPEDCPTQVTQLFVDVNIAQTMASLPQQSEFPKKNDEVIAQVNDTTETRAESSTVPIRVTPPTPTVSIAGVTPALQQLQIGQAVSQDTETRNNLQLISWNQAAPGLPESNASLLLQKRKDLVAQPTSTLPVSKVYLKDIPHGKVIFNENNIRMGLYPVHLPSRTDSSPVIPSSAPNPSPPHTFSPLSVSVVPPNQPILRQEGPIQFPQNLIHQPTLNQFLQHQQNMINAQLRMHHQPMIAQHPPNGMNWNMIEATQSMNAYLSSPISQRVGGHNPNQLLPSPLLTFPSQPFESQVNSNTSLVHQKIPARVLKTSDNDPSKESGYTPPTGSTAAPPHVSPATGTIPTVTPTDIQSPIGNAAMEPSKRKQPEIEYHPVFNSAQCRMINFGADISDEELKRRQNEAIRDNLPPCPPGIYQLGRPFSSGLVRFYRKDSFERGVELVLPTQLDDERKYRQLYTEGRVVLPNLSHQPGWKFGQKGIHPSIREKMKQPNRQPVTAMHPKLQHHGVQNLHPRRRQNESVQKVQQNDNEQHKAKVARLKQNMGPITENGVAVFNTPRTFPGQITERRQVIGVPSNETALQKKEKPFTRISDYQDRRNPQVEFIDLTGDEEEETTVGIDASLQNISISSDRQNPVGKANLETGEPRQLQQNVSEQKDKSIRVEHSEVFNQHHPTKQFFPPDYKKYDVTKTPRARVLKITPTNPYPLIHPVRRTKQKHTKVQLERLKEIYEMYKQHKIVEYDYAQLGQPISLAAFQVKQYINNQKAADRRKERKTQKVEKSKNSYGFNDDNQQ >CRE02067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1270506:1271571:-1 gene:WBGene00053696 transcript:CRE02067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02067 MNFFPYGFSKDEFYNYLGTVKDLEYFAYFVLPLVLCYIISRASHVWMFNDSKKTNTSLTPLSVRFNETSSRLMTLIHILCLMNTLSQFFHLGWLVKILWYPMWISAYIVKVFSEMYIIVISLFSISRYFVYYSLAKPSVELTQNCVKAGIRVISGLMIFKDLVLFNWLIVVLEIKKYKEMERILGYYYGIHLTYQLLLFLAAFLQIPILCSTSKAEIEPSRAEKLIYFQTVLIALSKLILIPTLLFLLYKGINHSVLSAIFVSVDLLLVPIAVQISEICNRPTAVRSAELQMGPV >CRE01683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1267616:1270398:1 gene:WBGene00053697 transcript:CRE01683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01683 MTASTIPAEANLKKLVYNCIPKELIPTSWKCRERDNMDDIETLFDEVNLGMFDSPRALREVLMEHLSSPNSSIRLNLNSFHIMHMCPQFHDNLKHTAYAYKSDVYLAFDLPLLTPFKGWEAQVVETILKHCWKQLGSRFHHEMIQVPDFTKIYYFLKNYCERFMDVTDTQLRNINLSTLREFFLDFWVEGLELDMKETGDLVYNMLMKFKKKYPVKNDLNYYKIIVWIGAVIRHFKIFMKKNSVDLAPFNETTEKKAIVRMFTIANTHFLMAHELLKTLKDQKMDVSGIEQEVLRMPELSTLTFREAVQKLDKEIMKNIEFVRMNNPIAKFVQTPIPSHNGGYCVLASDALHELLVDITVAKKVFQTIGEDNWTHIEMFFKAIESHFDVNKGIHFMNIEDLKSMKNQWEEVYNSHLKQFSSSAKIIRKVKKTGFSSEDLKETLKFLSLDRCFPDISDYADSIHSEISLYKSPNVLSTGDMHTAVTRCQFNCIIRKMPYLLEFIHNQMACTRLGITVCELCSGKRIADSKITEKSCSNNSDSRDSGIESSEAIASSDSGEENKPSINPSEEKVTKKKSKKTKKNQKTAPSSPGEAKEEVKESNSCSKCFNASKFTREANEKLRLCKIENKHLKKDLAMAQVEIEEIKEKTKDKDERIRMLERLLEEKHCELSQKDEVIKQYAASEEAKNVIIRRQELKIEQLQQSVEKLQEDQRSSQTIQVPTRSSEDSEKVRDVLRKLLDIRETLQKENPISSCSKVADRFITKTHNAETKQRISYEMRKFCKDAKDYTKAVENQLTMIRKDQLITADQIPPLPDFPVFSVGFRKTYKDTMKTKAPKICESLLTIPEGELADVECLICLDTIDVEDESQKCECCSRRYHNDCIRNWFKVKRNCPTCSSGLLDKEEFPSLG >CRE02066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1260042:1264037:-1 gene:WBGene00053698 transcript:CRE02066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02066 MGHSQSYDLRNPETGEKTPVRGVRRVSREHQTDGQLSTESAEPYDYREEELRERIRKEQLEKREKELGTMRISKHESREPIIDAYRSSHSPQQAVYNEFNHYDSLKHPSPAHPNTYLTLNRRAEINEGRRVSIPTATTRYDQVPIDPSPQLPRRHEIPVTTSDHPYREIPIRQETPDYPNRTSVYNGRVSPLPRQEEVDPHPMPRYIPPMSPRYDRIPNGTHYSKTTKTTTYTISRGGIPKYEEVPVPDPVVLRKHVPISPKPKPYYYSSTSNIPKYDEVPDSPRNSVRPRPASTYSYASPLPKYTDVPISPRPSSTYSKASKLPKYNEIPTRYSRSTEVYKETLINPSGYHTVSRSSKLPKYDEVPRSTLYSRASSSSKYEEAPRSPSLHSLRIRTYDEVPIQTSPRSITPVYVPPSNRVRIVPVQTFPPVPKPKIHLTPLQPEVSKEPLNQSVHKTAYRTQAIPVRVESDSSRSESPANVVYSFSSSKQKVQSPPCSVMSRETPIYRTVVKQQASSPKTFKINSTQTGKEVSRNQSVDRHRSQPMDKITMTSIYRSAVVKKNISSPSDPKPSPRRVEKMYVTKLQKDIRWVPLSQYVFRPIYSSSSDDDASVVSVTPIHSPSPPRTPSPKLYLTTREKEVSQVPLSRYVNRRSPYDEPPSPVERIVVPVTKSTPPPPSPTPPKIYLTRLHPEVPKTPLDDHVARPIRRTPINSTPPSPVSRTVVSPRSNTPPTSPEIYLTKLHPEIPRCPLDDHVARPIRDIYSNPPSPVAPPTILTPSPPPTPPKIHVTRRESDIRKTPLSHYVGSEPYYGPPLVENKKSAPSPPSVELMGYESDLRKTPLGNYVNRTTSYSPTPSDVTIVSRQTPPPPQKIHRTALEKEVRKTPIGHYVNRDPVQKTPEPTRNVVSPITSRHHSCSRQLHFTSLEEEARGTPLGHYVKKEPICSTPEPIIIGKTASPVYAKSNNSTPVVSRTVTPISRTNSQVSSHRSSPILPSKLPLTRRDSDISSHPLGRFESRSVTPILVENSLPVEKPRRSLDYNSKSSTKMPARLHFTKRHEEVPRVPLASKPSNPTHRSPVYDQVPLSIGTQTLPYEPVVSPKSRRRYTKVGSEVSVVPCCLRPVYTRPSTIISIYSVPIGESPTHLSEIHAEKRMIVGIDEMQKPENVTYVTVATSSIHGSEEPLHRHPEVGRHPVYVVPSQYCYERVPLATKSKQIHSSRRSSEDVAKILTPPQRCNVENCTHVEVHDETPVVARRRISQDVVRDKVVNKTISSFENNL >CRE01682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1259013:1259643:1 gene:WBGene00053699 transcript:CRE01682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01682 description:Phospholipase A(2) [Source:UniProtKB/TrEMBL;Acc:E3LH54] MKICFLILLAASVILVQSANPRLRALWNLEEVAECELHYNALHYNNYGCWCGIGGSHEPVDGIDECCMHHDKCYDAAVDNKICMDVEIEYVDDYSWQCLNSTAICSEKNAGCKAALCQCDKIVVECWKKFPKPEKKAKCNRTLWAEITKHFQH >CRE02065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1255479:1258198:-1 gene:WBGene00053700 transcript:CRE02065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-stip-1 description:Septin and tuftelin-interacting protein 1 homolog [Source:UniProtKB/TrEMBL;Acc:A1XDB8] MDDDDGRETFEISDMDMEYAMNPGGRRRFQNKDQATYGVFAPDHDSDDDEQSTSRGPVNKRQKYTAPMSFVSGGVQTSSKIDKTDPASLNMGTYSSKPKNDDDDEVIELNFDRRSKRTAKEQGAQVFAGMRSSNTKGTTDAQFGGWMKHGKSDVIMKMMQSMGYKAGEGLGAKGQGIVEPVTAALRKGRGAVGAYGKEATGPKFGESAAEAQKRLAQGGAARTEGDEQEKTGIKIKGGWKKSQKVKTTYRTIEDVLEEGMSASRPSSHQQSQQYSNIKVIDMTGKQQKVYSGYDSFSMKTRSEYDTVDEEERTVFDVPELLHNLNLLVDLTEEGIRRSNQQLIAVKDQTTALEYDLVQIENSLTTEEEQAKHMKDVFELIDGFSSNRSPTMAECQALFRRLRSEFPHEYELYSLETVAIPIVLPLIQRHFADWKPLQDKSYGCDLISEWRDILDDSKNGRKTTFGHNKTKGDEIRGKLYSYNLFIINFIISAFDRIVWDGILPSIRRACLQWDPRTEMHEMIEVVEEWIPLLSAWITENILEQLIIPKISEAVNQWDPMTDEVPIHQWVVPWLVLLGDRIQTVMPPIRQKLSKALKLWNPMDRSAMATLRPWQNVWSAGTFSSFVAQNIVPKLGSALDTMQLNPGINPEYPEWTACMEWLEMVHPDAIANIVTKYFFPRFYAVLCQWLDSAGVDYNEVRRWYASWKDRIPQALLNYPTVTENLRRSMIAVGTSMKGEKVSGLVAAPIAPMAPAPSAPMSQFAPVTQQLSLKEIIEITAGKHGFTYHPQKDRYKDGRQVFWFGALSIFMDSEMVYVMDPVEFIWRPSGLGELIQMAQGAQG >CRE01681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1254782:1255312:1 gene:WBGene00053701 transcript:CRE01681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01681 MFISLSYTTHTIISISLHVFGVVVGLFVWYLFPKHPTRSIVQLPSERVAVSIDTPITTKSEKLNAYSPNEKSHNFTNNNEEKVAKLIDFDDHHMPQISAIRQFG >CRE02064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1251164:1253656:-1 gene:WBGene00053702 transcript:CRE02064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pro-2 description:CRE-PRO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LH51] MKLKKSTTLKKRKLVPKRAKIVEKESSEDGGSSDEEMAKLDGEGSLDGNESEEDDGTVTVEKGGMKKHKLDLEKLKKSDPEFFKFLQQEDADLLNMEEDGDDDDEEDEDEDDEDEEEEEESEDDDDEDDDDKTKIKKIRKPKVKSDSSGRLIVDSNVYSYLQQVLVLDDETTTPINPSDVRMAIDVFVACVARVGADIEAPKYVINEQSIFEAVVRMCFQAMPDVLKRLLKAKQEGDKFLFSKTSIKKYQTYVRTYLHAMIVFLNEVQTTEVLIATLKAITRLVDLYAHFTRMSKLLIKAIVKIWSRKTLECRLPAFVCMNLLVKNYPQHFVPLYKTAYVAFVANSKIVTNETWPLLQFMHRTFAEITMLNPEQAYKYAFVYIRQTAVHLRNAMIAKGRKDLIFSIYNWQMMQCMYIWVRVIAKAHSVNGAEQIGELVYPLIQVIVGIFRLCNAPTFLPLRLHCCQLLIQLQASCTNYIPIMQLSCDCFEELARELKSKPKPAKGAVKLPDIECTLKCSSQYSDLPQWRKTVSEHVFRTMMQAAHLLASQAAFPDVVLPINHRISAILDTMKSADHAHLFRGFQTKLKEHSRFVLDILARKSVDLNDEMQVRAVRFDLNNPDSPIKTFYRQWEKVWKMKEKSAIESSKKDDKKKKKEEEASAKKRKATETVEDDEDEDVKPTIPKAKRKRIKIGAAAKKADATVPDKFADMSLANWSDED >CRE02063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1248299:1250857:-1 gene:WBGene00053703 transcript:CRE02063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snf-5 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3LH50] MASIKEEEDLKHATSPRSDLGGNTPQSPEPTPSKQNTTTNTTTGSTAGLVSEAPVEEEREGFGNGVEFVLTCLGLAVGLGNIWRFPTRAFENGGSAFLIPYLTCAFLFGFPAVYFEFLTGQYNGKSPPIIFRRVMPFLEGVGWMGVLVAALVAIYYIVIVSWISIYIINIFRGDFNIWNKCDNPWNIQETCIDMIRQKDCRVDHPPGWENSTKHIPERMYFINGTCQDAKDFDGINMVSATEQYFYQHIVNPSTGLYDFSGINWPFLAAMTVCWALTALGILKGAKIMGKISYVSVLLPYFIVVILFVRGVTLPGAGDGLYYYFGKPDYSKLFITKTWTEALKQLCFSLSVGHGGLISLSSYSRKKNNVFKDALIVILGDTAMSLIGGAAVFSTLGFLANQRGVTVPEVIQSGLSLAFVVYPEAMTQMPLPWLWSFLFFLMLFLLGASTEIALVDVFCSCVYDQSRKYRKKKWVVVISWCFFLYCAGFVFSTRAGIYWFEMFDEYAAGFSSVCAVVTELLVMMYVYGFRNVRDDITEVMGEPKNKCTKAIGPHSWWFTVNWLGVAPVIAALLVILSFLRSYPYEGDAVKHPILFDIFGWFLSFVPVLVVPIFMVKNYISFRRRGYTTKSLFMLQKQHVSYSRISKNFDTDKQTEQVQLPDKEPWDEEVEEESRKSAKGDDVATIDVSSSIYQYY >CRE01680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1241750:1247986:1 gene:WBGene00053704 transcript:CRE01680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01680 MGRPTSLRLLFLFCLLVALSTSASRRLKRQCGCSNFCNCQQQPIFFAQISLPACSCQQAPICQPQCPRAEINSDCSATCVRACIPSCSKSTGNTFACSTTCESTCDKTCASAAQNAIAHIQVSPPNPQPIVPIASAPTVDDSCQNVCQNVCQGACVSQNSPPAVCQQTCKQSCQFGCATNDQLPTAAASTSPPTIKITLDINDAYFDSNCAPKCTQSCHSQCISQGNAAASCSXXXXTYSPDQKLGQSFSKIQSSGQAGKTHSSGAPAPAPSPSFTFSHPVAPPTSPSPKFIVKLREPPAIQAPAATLHRPFSTYGTLPKSISNHIQSSSLATPQPTGTSNDIIDDNTPFVDVLPKNDPIEEECVPQCMPQCDPFCISQHRYSLRSTATSSSPGASCPKACMPECSQQCVVTLQCPRTCQPACEPSCLQKPPIIKITVTGQQVGCVEQCQPACEPQCIIATNKTPSQQPQIVVATTTQAPRQPAQPAQQQLASCPQLCQPQCTSQCVQQQQCPCQQTCQTGCQQHNPDARVCQNVCVEVCASECPKSPSQPIQTQPVYQTVQTPLSYVPVVPVATSSLPQITINFAVPECIPVCEQSCNAQCVEKFPQEHCGSVCNSQCQTACATQPTTQVQSAPAPSCQPQCQPACEPVCIAQQAQPVKIQVNLAPSAPTPQQASVQCQPMCEQSCVQECQSTTLNVQAATCQPACQAICQQSCAPLSTSAPVLQTIPVVPMAPVQAASASTQLCAPKCISDCQGLCKSNSPQCIQGCDASCQQLCGTAPTPAVPLTVNYNCNLPCDSQCTQQCYHQAPTCAPACAQACEAQCPVVSCEDACQTVCKGQCVFSGQNSRQCGPACAQSCGSLCHKKRVKRGEI >CRE01679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1237377:1240242:1 gene:WBGene00053705 transcript:CRE01679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01679 MRSFGFLVAICIFYSVQAIPQRTKRQHCDCTPGEAPKCGCQVMPTPDIGGGQMICTCSPPVPPKCVCTEGNVRNIITGPSLPALFKPYNCVSTCESSCLDSCNKLNFNMKCGTVCNEACEFTCAKQGSTTTATTTQAPATTAMIPLPPHIQEYRFVIPAGSLLSKQTTTTSAPVILSQKIQITCPVECQPSCSFVCTKLRPTLKVITQMFDSKTDETTCRTSCSSACLSVCASSGVPGNECQSNCAPACEDTCTIVKPVSTCQTKFHNKKEQSMQVAVATTQIQPASSTGGQCVSVCMPACTQQCMFTVQMQIALSQATSNPLTTEKPAQAMLIPIAPVSIVVSEPTTTTAAPAVITLGTICRNECLVQCEHQCLPNNPNCMAACQTTCYPVCQSKMRRRMKETKSERLTEDFARRHRQ >CRE01678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1234663:1235913:1 gene:WBGene00053706 transcript:CRE01678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01678 MTMWIFVFLAIPMVSGELFPLTQVSRVRRQCCGGSSNSCCSSSSSNSYCIPVCMAQCQSSCTTPICQQQCGNQCNQQCGIISISSGPSCSSCQSACSSACTTPSCIRTCQTNSCSSLCGSVSNSCSSRCNNQCLQICTTPSCSNTCSNSCSNACSNGGSQPIVIVIPSSGRNCQNTCQNQCSSACTTTTCRQTCQNTCLGSCNSCSSGSCNSSNTNNLVVTPCERSCNSGCRSTCSSTSSLNVCIPACQQTCRSTCNTARTLVVPCQSGTSGSSCSCSSGYSTCGSQCCRV >CRE02062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1215307:1216218:-1 gene:WBGene00053707 transcript:CRE02062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02062 MMEVASSSSACQFDASNLINNNNNDVKPIRPKRDFRFKVIEVQNGPKWFVWPSRNRGSSEDVDENDNKKVSQPQNNGYGALRRSVIRQSKRKQDQDAIATRTASEPASARRLSTKKEKAVTEPLNQVSSMFKIISIEKNWESFDATDNEDNDDDLEDGARTVSVISLTSVIEGGERRWTTSI >CRE02061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1205937:1207002:-1 gene:WBGene00053708 transcript:CRE02061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02061 MVYEVLESVEPEETPRTLCQRFRYFSLERWASRKETAGKVATLASALLIFLAVIMIYVIFHAKEPCHRRVVAVFNNSDVSWRILSLTTHVVFSELIVDKHGLVHFETEESKRQFFELKDRMASLKPKQKFLISVSDSGFNLENMKPYHIIGNFASSTADFVEKNQLDGVLLNFKLPTDPEIYEVHLSILEELYYTFSRERKGDYQVGITSSITDIEKSPYEIGQLIGHLGFINLQVPTKEVASNFTETFSEVQREKMNIRYHEEDPSQILDLGVGGVWVPVDRKRDLVTMDNDYVCYKNREKSRIYTVE >CRE01676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1204339:1205845:1 gene:WBGene00053709 transcript:CRE01676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01676 MSFHQNRTPQKEVVFSQLPENENQDGEIIKEKKSRNTKYLYGVAVFFFVGVFFTAGYSISQNYRSSRSPADDFVRVEPKSLTSKRDTSPKQSACEKRVVGYYTEFESADITKHQISKLTHAVFAYVEMKYDGKLKFKTEMAKNRFMSLKYKSRSVKSNVKVMISIGGQENSQHFSSVTEDSEKKAVFIHSIMSFLKEHKIHGIDLYWKGATENDKWKYIEFVRELRQKLKGTEDKPYLISLTLPPPNIENWEMAYDLEESLDDVDFFNVYAMDYHGPWDNQWGTPAGPIAPLYSTLDSRKQFSVDSTMKYFVCKTKQPSRFNIVIPFFTRLWRNVKEAVEPGQEIIRKAELTNNKAVGNPYMSRGTVANQKWELTPATWDEESKSSYIYNPQTKNYLTFEDEKSISEKIDYVNKSNLGGVWIWTIDMDDDKNSLINMVSSKELCSTKSGNNVKHQC >CRE01673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1198050:1199421:1 gene:WBGene00053710 transcript:CRE01673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01673 MKQFRILYREDDEESESCIKTTTIIFFVLFFCGVVAIGMAVTFFFLVDIWETRLSPDGNVPGNDDTSSPDTFDMIQADTASSKLRVIGYYSEFDAQDIRKSQLSKLTHAIVACVTMNSEGDLEFKENIEAKLKSMKKKSSKLKVMMSIGGWDNSNHFPSVMESLKKKFVNSIISFVNKNELDGVNIFWRTPPETHKFHYSQFLKTLREELDAQGKLDNKQYVISIMAPRPGIDNWESGFDLDEIMKYVDFINVLSMDYYAPWPNEWGKPVGPSAPLYSGGAPRKQYNVDYTMRYYIDETNQPEKFNLVIPFYVRLWKNVGEKLKESEVYRDVELKDGKVEGVPYMDRWTAEHEGWKLTPAYWDEKTKTSYIYNPENKTFLTFEDERSLAQKMLYVNERNLGGVWIWSVHTDDEDNTLLNLLLT >CRE01672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1194131:1196654:1 gene:WBGene00053711 transcript:CRE01672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01672 MNEGNNHDYVLITAASPQSEYSERESSSLLRSENVDFIQPFSTNSPIRVIGYYNEFDDIRKNQLSKLTHAIVALSNKIVFGFSTIIFSKFVDSIVSFIAEHQIDGVNIFWETPAESKFRYSEFLATLREKLSEQGRTDDKQYVISIVVPRRRLSGWGSGFDLDSIIDNVDFINVFSMNYYGPLPDEWGHKVWPSAPLFCENDRPKEFSVDYTMRYYIEETRQSGIFNLVIPFYVRLWKNVGEKLKESEVYRDVELKVGKVEGEPYMDRWTAEHEGWKLTPASWDEKTKTSYIYNPEAKTFLTFEDERSLAQKMQYVNEKNLGGVWIWSVHTDDEEGTLLNSLVKNNRFSQVGDNNYTGSQPNSSTSRRDTSPTQLACGKRVIGYYSEFESVDITKHQISKLTHAVFAYVEMTHEGKLKFKTEMAKNRFMSLKYKSRSVKSDVKVMISIGGYENSQHFSSVTEDSEKKTVFINSIVSFLKEHKIHGIDLYWKGATENDKWKYIEFVRELRQKLIGTEDKPYLISLTLPAPKIENWEMAYDLEESLNDVDFFNVYAMDYHGPWDNQWGTPAGPIAPLYSTLDSRKQFSVDSTMKYFVYKTKQPSRFNIVIPFFARLWRNVKEAVEPGQEIIRKAELTNNKAVGNPYMSRWTVADQKWELTPATWDEESKSSYIYNPQTKNYLTFEDEKSIAAKIDYVNKSNLGGVWIWTIDMDDDKNSLINMVKHQ >CRE01671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1191797:1193485:1 gene:WBGene00053712 transcript:CRE01671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01671 MSSAERVDRRQPLLLPVSRHYEDSIENSGCCKVTTIITSVLFFCGVLALGLAFTFFFVVEKLELDKNSSFPESSSNFPSEVFTEKITVQPSSTVSTSAPQGEVVVFKKHVTSTSASVSHPTTKHCDQRIVGFYSENELSEITKSQLRKLTHAVFAYIRMNADGTLQFKDEEVKRRFLDLRKESKTLKSSLKIMISIGGPDNSVNFPKVIMDVMKQKIFIESIISFLKEYRLDGVDLFWKWPKEYQKHEFTRFLNELKYTSKLQNEEYVVSVTAPAPGIDGWENGFDLNEILKHVDFINVLTMDYYGPWDSIWGNPTGPSSPLYSKVDVKKNVDYSMEHYVCDTSQPFKFNIVIPFHVKLWKNVQEALSPENIMFRRVELKNSKAEGNAYMSRWTVGRENWKISNATWDEETKSSYIWNPVAKTYLTFESDESIAAKMEYVKSMNLGGVWIWFVDQDDVKNSLLGSVFNHKECSTVEKNIVNYKC >CRE01669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1187053:1188584:1 gene:WBGene00053713 transcript:CRE01669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01669 MRNYFFYFSLFLVRFVTCFYENATYYVPEWSNFLNHQKILIKDLFDEYDDTIAPVYSKIDITKPIGYNPLAPKRYNYTIFLYYLKLVEVVEPEEKVSVVMEMAEVRIQRLTRYSKPVFQHWYDPRLAWNPSLYDEISMLHMRQERVWSPTINMFMINDIADFRDQDFRMVTVENTGHVYTSLSLRVSLNCPLNVAKFPFDSQTCVIQFSMPLFFTQYIQMFSQIYEGIKNTTMWKKMGNSEWDLANLTNRIDVLSYNDGGMSDMQLATFEIKIRRNPMYYIYMIVFPSFVINALSIVGVFMKNTDKISKLNVGLTNIMTMTFILGVMADKIPKTGSIPLLGIYIIINLFIMIVAVTITMFIGKFRKFVIPRLRSKKTEWRRKLEWMLGDILETILMVFLETLNTASFLVMVGFWINDS >CRE01668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1184715:1186666:1 gene:WBGene00053714 transcript:CRE01668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01668 MSNNQPPKQQSASTGLFEFEDEWSFNLDQSYISSEVDNNDTSQSKETTIVVDKNVYEDLVTTCNTMKVQLKTVMEKIEEKKEADDKKNVEMLKLKSEYEELKKNKKEMLEELTKEKKGSDPKLELIQKEIKELLKKLEQEEKKNEELVEKNRGEMEKAEVETVGLKSEIDALAEVIEDLKEKLSQKEKEKEEWQNKMKQQEESFSIVTRNYSTLELEIQDWRNKLQDEYNKNDELVKKLEQEMKEKEMWDLDQVVLQNVVDELMMKVEQEEKHRKELDKKEEEKNARVAELKMERDGLEVKIQELTEKLKHLDGFYEEKLDKYGNVVKLAEVELVKMESERELLQINIQELTTKLEKEENKRRRLEIDVEDKQQRINKLEGEQKSLEQNIKELSEKLSGQNEEISHLKVKLQDEEQKNTFKIAELTSNRDSLTVKLCAIEQSSKLKDDEMMTLQRKYSNLKKRGIQMLAEKSEDNQKLQLEISEQQKKVDELNEQLESLRNSVKLEKEKRKKYENEVKAAERIVKDALREEKISRKMTEKKCLAEKTEKIALQEKVIDMANRGVVVHKSCPISEHNLLVQRIDVLQRQRDILVESINNREPPI >CRE02060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1182093:1183950:-1 gene:WBGene00053715 transcript:CRE02060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-16 description:CRE-KIN-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LH34] MMSHGFLLFLFFLIIAVSSITTKNGTSSASHRFLRSTSENDTIEKEPQNGSMFKIRTTVFFFIYGFLVLLAFIGFLVWRLRRSKFQAQKNSSALANIYNDMRDTGEPIPEDLKNCPLNDKLEYLPYKKQYEIADENLEKSTVLGKGNFGIVRKGLLKMADPKTEEEEKKRLTVALKCELFNFRKFLFLKLPAAANQYDIAQTSMLASELRLMCAIGRFPNVLALVGAVTVDLRKGKLLIVTEYVDCGDLHKYLKDHRDIFEDHLVVDKSEPNSYLTPLSSKRKTYMFKTENGEQENMINESLESLTTSDLLSFGLQIANGMQYLASIPMVHRDLALRNVLLKKNKTIRIADFGMARKYEDNTSYYRTKKTKDAPVPVRWMSPEAFYGMRFTQQSDVWSFGICLYELFTLGGLPYPDIQSEDVYTYMQSGRRCEQPDHCHVELYDLMKLCWQQKPELRPTFNVIVEYFMEHMKKSAKQLLDYVEEMLRVEADTQRKLDDWISTDRSITAL >CRE02059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1179588:1181496:-1 gene:WBGene00053716 transcript:CRE02059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02059 MRVSHILLVFLILIIYVHSIPVQKTQEKSDSPNSISSLIERYRRSTIGDAPMKWRWFLFIFLYGLLIMLSVIACFLWKYYKSKRRSAPPNRRTEESDATSGGQEPTPDQISLISNDSSILEEHLNNELDQKDCPENLKELPIHERIHYLSYNSKYEIDEKNLKKIKIFLGKGTFGIVYKDSLRKANGKNENDPSEWIDVAVKECTSKLDREKVQMFYEELKIMCKIGRHPNVLALVGATTTKMGAKRTLIITELIEGKDLLKFLRKRQKKYVKKFVNSETGDSGYLLPNSSKRKKENNILDDNLDVISTFDLLSFAYQIANGMEYLAKVPLVHRDLALRNVLIKHNKIIRISDFGLSKRHLDNKEYYKPRDIGSTELPICHVSPESFKSNKFTQKSDVWSFGVCLFEIFSLGETPYEGQNLYTLIQYLDQGNRLKIPDNCHPDVANIMKLCWNSDPDIRPDFAMCKEYFESHLEKSASMLLTEIKQKLRNEAVEQEKLEDWIKKDGTLHNNAN >CRE02058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1174438:1176012:-1 gene:WBGene00053717 transcript:CRE02058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02058 MGDSTIGWVVVVSLMVGFGAQVTRSEPSPFCDDYIRCMEQLEIKQHECLALDKNIRLRSSDSCSRQKWDKKLELNALHMRRAEVARDCVQKNHRDALLAESTLDDDTRKTCSSLHEKFQFAKNFSSEDFTSSTVSSGSRKKRSAKRTKRDARKNSNSKATECRNAAKLWHKQCSALAKCCPLVEDCKQSTTEIMDQIYEGRHKLHDMHVNNCI >CRE02057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1172121:1174378:-1 gene:WBGene00053718 transcript:CRE02057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02057 MKFQNILFVSATFLFTVVTADTLLRSQLLKKIDKRDTLLKALPVKQREEKRVMIVFKNGENEKAEFENARGRHREDKARVIVTFKNGEVSKQHHESLPIKQREDKKAMIIFKNGMESKFKKIAKRDTLLKYGDIRDKPILIVSLQIPSNRRQASSTRRSSQVGIYLFISTLISLFSALRSRYHNLKGISKRDTLLRYILICRFPSNFYISRSLHGSDKRAKVIEPKTSVRVFLKSGKVDERKPLTVAEKKKDIELKRDVMLTWRSAENKNLSEKQKALLAARQRDAFRAFFKNRRAVAQRDTLLRDENQPEPPRKKRDIAATVVGAAVSSVVSNLMKNVEESVVKAENDAKKVQADLDSDQGRIIGEEEFIVSQDTANFAPNKPPVVHEFVPLPFVPVKAYDAPKSNDIVPKPVAPVHADVEVIAGTDVSSSTSTAKPATERKGVFATLFNSIKLFFGTVTNSVGGFFIDMGSRITNFVEKRIKV >CRE01665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1165148:1167020:1 gene:WBGene00053720 transcript:CRE01665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chch-3 MGAAQSADQEARPEVVRIDRSEIPEEYKTVGVSSDVVKRVNATRVAGNDGESDRLRQELAREREEKARLREDMAKLSQLQQRKSAGVSAPQVALSGNDLEDRKKIFDETVERVQKQFFAYHRENVCQDNETEIVRCLQENPGRVLKCAPLTEAFEKCVGDFRQQVLKGN >CRE02056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1162862:1164575:-1 gene:WBGene00053721 transcript:CRE02056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gss-1 description:Glutathione synthetase [Source:UniProtKB/TrEMBL;Acc:E3LH27] MAQKDDRILLLNAPRLPLPDDKVAELIGDLHDYAHAHGLVMRLANDKMSSAVCQTTPLTLLPSPFPKNVFDDAVRIQNLYASLYHYIAYDFDFLIDIHKNVVKTDEFTRNMVEILKKVKAQGLKQPITLAIQRSDYMCHKDQFSAEYGLKQIEINNIASSMGAHAQRLTDWHIRVLKALEVPDDVIKRAIPENKPIAMIAEALFKAWSHFNNPSAWVLVVVENVNQNQIDQRHVEYELEKLGVPMTCIIRRTLTQCYEQLSLNESSDLIIDGRPVAIVYFRAGYSPDHYPTNKEWKARERMELSTAIKTPWIGLQVANTKKTQQVLSEDGVLERFVGKPREARDIRTSFAGMWALENKDEITLKVVQGAQKHPDAFVLKPQTEGGAALHTGDEMVQMLQELPEEERGAYILMEKLRPMIIENYLVVAQKPVAFAKAVSELGIYGYAFGAKDAPELKTAGHLLRTKPETTAMGGVAAGYAVVDTPFLYEFI >CRE01664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1158719:1162407:1 gene:WBGene00053722 transcript:CRE01664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01664 MIVCGALALLITLVLSYVYDFPQNDSVGVSSASNVLRTRYPKRKSDLLTAQCGKRVVGYYSFINSGMITDDQLKRMTHVIFSTLKLKKEGTMSFPTNDAHRRFIEMTEKANAANTGVKVMASIGGYDNSEMFLYILRNTTRRRTFINSIASLILKHNLDGVEIHWVWPSGKREKEIYCSLIKEVREKLTTMVCENCEKYILTIPLPPVIFDMQNSFDLEFFVQYVDFFNVISFEYYGVWDASDGIYVGPNAPLFGGKRGNVDDTMKYYTCKLMDPSKLTMGIPFYGKTWKNVKEEINQTEYEEICSMQKCRTNDTFQPSNIWRMVSLMNGKPEGSDIEYGELIRDQWNLTSTSWDKQSKSEYIWDRKRRTMSILETMRTVTAKLKYAYDFNLGGVSGFTVDMDNESSLLELLSFVDLCSRSPIKEVKYNCQNVLKFNEYEHHDRPWTRKRVSKYVAVSVVVLLVCGIVAFGLSTVFFYFLDGSDDTIDFQGYPIPLPPAAKCGKRIIGYYSGWERRTISENQVSKLTHAIFVAVRMYQNGQIGFHNSEYSGRFFDMKKKARRVNSDIKVMIGVGGKGNSQFYSSIFADTQKRKTFVKSISEFLSTHKVDGVEIDWTYPFADKVDKNTTVIFFKELRQSLKDLEHKKGVKTPYLISMLTPQIIWNQLDGYDLKGILDHADFLNLISYEYYSPWNVKEGAYTGPLAPIYGGKRGNIDDTMKVHTCQTRKPNQVILGVPLYGKFWRNVKEKPINQTETMCKEESCRIEDPVDISDIWRIAEKKKGKGFGGFISWNERESDDVGVIWNKTEEKWHNISKSAYIWRPEDRILITYENKRTLQEKIKYAIEKNLGGINIWSLNMDDEQDSALSLISSAELCDGKKKNEIMYKC >CRE02055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1149282:1150867:-1 gene:WBGene00053723 transcript:CRE02055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chil-7 MPSRRNNNNRDNLLINRTVSDDQKCKSRCSTWLVGLAILVVCAPIAFVISEIVIHFFGNPFGFQDNVETVASVMQQVVITSNVTDTTLSSHTSEFFCFNILLKFIKTISAAQPDEPPAATCGKRIVGYYTEWEPRKITDKQLRKLTHLIFTNVTMNAAGEVNYHSSEQRRDFLNMKKTAKGKNLNMKVMFAIGGHLNSQYYSEVVADLEKRKTFINGIISFISRYQLDGVDLFWNWPEGEEDISNYTELIKQLRTKLTELSKSKSRKDPFLLSVVVPSGPNNFESYFKIDGFLDYVDFINIVAYDYYGPWGGRRGAIVGPNAPLYGGQRGNVDETMRYLICKTKKPNKLNMAVSFYGRYWMNVIDDSNEMWKTAEVKNGEARGMFVPWKNLGREGWNKSEAMWHEETRIPYIWKPKERMFFVFENERSLKEKMDYAVNSNIGGVYMWALGADDDENTLLNLVSSTELCEGGSGDTINFICDF >CRE01659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1147829:1149178:1 gene:WBGene00053724 transcript:CRE01659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01659 MKFLRQHCSTLAFLCTVFVMAGLIALGGTAILFLFYGETTGAQNPQGSRHLNFLCKTLFSETQSSHVHLNSYVIPAVACGKRIMSYQRGWEAPIELRQLKKLTHLVFAFVSMNSNGDVSISTNQMRASLLDMKTKGRNVNNNLKIMVAIGGAGGSQHFSSVISEYFSANFVENILISRNFIESISSFFVIHQIDGIDIYWIWPEGKDSDNIVEFLKELRERLTILAQSENRSNSYVISMVIPRRPSLLEDLKRLDEVLEYADFLNVLTFDYFGPTWYPETGPVAPLFSGAKGNEKCNVDYTMKYLTCQTKKPNQLNMAVEFVGRYWKNVKERIEESDDMWRISEPVNGTIQGETFSWKTLESGAFDKTSAVWHDASKSYYIWIPEKETFVTFEGERSLMEKMNYAKTNNFGGIIIWTVGSDDDEDTLLNLVSSYKFCTNEDKNYIRYEC >CRE01658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1145941:1147490:1 gene:WBGene00053725 transcript:CRE01658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01658 MSSDRNRSTQPLLSSQFSDVEHNTAVQFKKYNNLIFCFIILAVCAPLAYILGQLFLKYIESEDFNTSREKISYEGIDTLLQKVLCHVYVLLYFTDGVVSDQPFTNDCKNRIVGYHINWETPTISVNQLRKLTHVILRYVKIHPNRTIQFVGDKTDKKFAEIKRAARKVNPQLKVMIGVGDWDSLGQSQSSITSLIKNKETKRVIQSITSFVALHDIDGIDVFWTYSWRRRPSEHIQFINELREKLTKVEVRNGLQKRFVISLALPAYILNNEYRHHLNNVISYVDFITPFFYNLPGLNHGKLIKFIGPTSPLYGGNRGNVDETMKYYACTTKKPNKLNMGVLFYGTYWHNVSLPFDNTGDIWTPTDNTAHQLAWRDFKRSGWSSNTISWHEQSNTSYIWNTETRTFLGLETEQSLRLKMMYANDNNIGGIAIWAIDQDDDGNTLLNVVVKETKCLKVEFNEVEYSCDD >CRE02054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1144331:1145624:-1 gene:WBGene00053726 transcript:CRE02054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02054 MCQRVANYKFMSIEGLDNYHLLRKRRKKQNLKLYLINSVFREYADFQNQRKKVYPTAYGKPRKKGSGKKEKNRRTSSKRKEKESDEVERYESEFEEENIQSDEEMTDISNNAPSSSNSQTRQSLVSSLACDEGACSSNPRGENWQSRNDEELYHENPEPNLMDQQHQNPPQPVSVAEDKIIDGALMEAHGRNDQVVSSDRRQCEQCHKSLESEALVTMNRLQAADNHVYCLQCLVQKNNPKCAGCMATLVVGKLLLALDRFWHPHCFTCSSCKRPLPNLEFYLMDDKPYDSDCYRVKCREKREHIKKGER >CRE01657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1142118:1143999:1 gene:WBGene00053727 transcript:CRE01657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bath-38 description:CRE-BATH-38 protein [Source:UniProtKB/TrEMBL;Acc:E3LH17] MSDNIPEPEHPQEIREFDRELDALSISCACDQPSLVPTRLFDSPTKHSAPQLIDNGAADVPAFDFQVYTQPRTTRTEGMLKLEIPSFPNLKSKVSTPFQYIGSLPWRLAAKTEKSKRTSDAKFFSVYIDCNPDSESTLWSCDAVVEFRLVSRNRTIPAFSRQFTNKFNYNSNNWGFPSFMAWEEVNNSNFVRNDTVTVTARVVVQKVLGVRNTPKYDFGQMQMNICDMTLIINKQKLFVNKAYLALYSPVFYAMFFSNFQEREKTQIELEDVILEEFRELLNVIYPCHKPVTAENVEYLLELGDKYEIQYVMDECERFLVSSEDIVPITKLMWADQYLLAKLQDSCLRNIKSVAEVKAIRTTEEFKNISDTTKAALLDKILKIIN >CRE02053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1132161:1132833:-1 gene:WBGene00053729 transcript:CRE02053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-4 description:CRE-FLP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LH16] MNAFTSSSLKTFLFSILFATLLVLTAGQTPSSGEDVEQIEQQKGFETQDEYITPEIVEQTNNFWPPVHLRGLRSSNGKPTFIRFGKRASPSFIRFGRK >CRE01655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1126641:1128110:1 gene:WBGene00053730 transcript:CRE01655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-stg-1 description:CRE-STG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LH13] MMVIPVSQHKLIKIRRVNRDRLTKFALICSAIACGCNTLCSSTNHWLYTSEVLKYFVFPNQTLNFDDTSTATAPVYFKNATIGPWLFCWADPITPFHCSTVYYLTDEDPSDTTTSVQQSVRRAFLFMLVGMILDGFGLMMAIICYCLKNPYASLLVSSLLHINSGIANFSCIIVYMSAVSKEVGNKIHAASEMDEPLFYMSYGFSFWCLKVSF >CRE02052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1128298:1130570:-1 gene:WBGene00053731 transcript:CRE02052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02052 MNSLNDSKPSRYSKLRHCTRFFILFLCLLCLAISQSNTLTLNFTIICMSTSHNVTSPYSMSVLDLMLTRILQKTYIYTASESNSLFSAVAIGAMTAVYPFMYIIQKKGSRSVVTLVGFFSAATTALIPWMAYLGFYPLLAMRFLQGMGLSTGFTLIGIVTRQWSMQAQGAFFFACLSCFFQIGPIFTMPVAGAFCTSSVGWPAVYYVHSLVTVLIFSMFFAFYRENPVSHKFVTELELSKIQRGKGETKRQPVPLSEILRDPVVLSIWTTALANFMGIQLTMQFSPTYLHKILGFSVEDTGPFSALPQVITAFVKVSAGYSADKLGCCTPNISVRIFNSLALGGMSLTFLGLALIPTSQPYFGLWMLVASCAIIGFNCGGFFRCSAIYAAQHNHFVMGMNSFLNCLAALLAPVIVNFFVKYDTWDEWYWVWMSHFVILFASNLVFMYFANGTPAKWTKQNENYEKIERSDIGSISGDDVIVIKF >CRE01654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1123317:1125620:1 gene:WBGene00053732 transcript:CRE01654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-die-1 description:CRE-DIE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LH12] MNFPIPTSSIFQSSNQFNVMKMTNSGGSASPTSSSGAPSSSSILADADEKEQFLQNQLIQSGLAGNQWMSMLLQQQQQNMQTEATSPTKPKAELSDIIQNPAAIMEFLNGNGDLAKFAAQIAQNNKMNENDTEDQRDSSPSPPPAVSLNSTLASMVLPTTSTSTGCSAASTTSSVDSAGSSVIVNGHVAQQSSVDDDDISTQPSAKKQKTGEETPVTPTSSALMQSLLAQMGFPNSLQQQSQKQMKDNQDMMLQHLFPQSMGLPIMFPSQLHSQFAGMQDFDSLSALSTPNKGSGVKRQYSSNGKNYCDICNKEVCNKYFLRTHMLKMHGIVIDENKTVIANIDTSIKEREGELTFRCDTCRTMFKTRNQLRQHRQDVHGVLPLSTPRNNQNKTSVPSTPNGANSSSTPNSASMNEDKCQYCEKRVSPAMMGLHVLQDHMGNVAGAGSNGTPDLTQVLSMLNQAANRVHANSEEKDLSPFLDCTECSYKCRDPKNMEVHQERHEKMSEAKMKTDEDEDVALKFTTEAALQMVVQNQNQFDGDSSAAALNLTFKNDSAKKEIKEENAIGNQNHERNSHTSGSISPTGSIPEAFVSRAITEKPFPTQNFLIRCNDECGEFLTEFLAKFPVRSVIDGPRKIVFDLLPTSAST >CRE02050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1084086:1087244:-1 gene:WBGene00053733 transcript:CRE02050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lact-2 description:CRE-LACT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LH10] MIEVTEEDDMSMMVEVVEKTEEAEVEMVEKKRGVLLLETGSLHRRLLIYGIVRMRDLESGETSHPSSAPPFSFQFSPPSHYFQIYSILAVSGAWLVLATTVDTLSILIEGGGMHLDNLVGHVAPGYEKVEKIFRRNFADGWEREGASITVYHKNRVIVDLQGGYADKASGRKWTPDTRTVVFSTTKAVGAVCVAILVDRGYISYDDKMSKIWPEFAQNGKENITIDWLMSHRAGLAALDMPITIEDANDFDKMSEVIASQKPNWEPGTKSGYHAITYGWIVDQIVRRADPKGRSVGRFFKEEVADVHGIDFHIGLPPSEEHTVSRLSMPSTLHLFREIVHDPRVLIVLAVLNLRTPNSIAKKVTANPTWFKLEQDVNTFNNPTLHAMEQVAALGITKSRDLARLFSLVQQGKLFSKELLSKFRVPQVEGIDEVVMTPLPKGHGFMYERHPMSGVCFDVIILKKNVSLQKKWLVGHPGYGGSTIMMDLDDEITIAYVSNGLKTGMGELTRTYRHLRDAVFECLEKQKSGKSVIIEDAIQAVAA >CRE01653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1082914:1083850:1 gene:WBGene00053734 transcript:CRE01653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pas-7 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:E3LH09] MSSIGTGYDLAASTFSPDGRIFQVEYAQKAVDNAGTMIAIRGKNGIVMVADKVISSKLYTDNANPRMFNVNDNVGVGVSGNYPDGFSLKNYAYGEAMKWLKDYREPMPVQNIANAVAEYIHIHTLGISRPFGAGAYFISWNKQTGGRLFLVEPSGLNYEYKAWAVGKHRQAAKAEIEKLKIDELDVQQLVKEAARIIMAVRDENKDKNVQIEMGWVGEHTDGKYEEVPSEVVTAAEEWATAKLDEDDMED >CRE02049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1079985:1082523:-1 gene:WBGene00053735 transcript:CRE02049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-puf-12 description:CRE-PUF-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LH08] MGKIDDKKKKFKGKKKVKNVLEKKAKGLKLNKIDRKRIVKIEEKAALKSQVNKAVKEALEKQVKNSSTSIFDNDGTRDSLCSESSFGSQPAPKKKSKKVLFSGELEHVKVFDKRVHDLQIEPSKASPGRGILRSPLDKKVKKLPKTVKVADEEESVAPPKKKLKVSTKKAVVAEPAEEDNLESVDEQTGADESLVDVPKLKRKRTPIQVTKSVKEQLLNMPRKERKQFLRELKLKRKPEGERAQKCKVLWEKIRMGKTPKSEKDEAIHELYGLVKGHASKLIYAHDTSRVIECLVATEREGIINNLFNELTPEIVRMSKNVYSKFFVKKMLKNGTKEQRDLIINAFRGHAPTLLRIKHAAEVLEYAYNDFANAHQRYNIITEFYGKEFILFREENIRSLTEILAEKPEKKTVILKHLDEIIGAVNEKETLRLSILHKLMLDFFENCDEEKKVNLLDSLKDKIPEFIHTPDGARLAIKLIWFAPVKERKLIVKNFKDLSVKAAMEHYGHRVLQALFDTVDDTVLLNKVIVSELANEMKKLIEDDWGEKVIHYLVHPRDARGIDRREIAFLAEGDSNPHSKKTQADRYGQLYAAITENLYPYLAANFEELVFEANKHKFVAACLETTSSYDLFNRQVPSEARKSCNEAIVELAKKDFVPMDQEGFHIIEHPSGNFVLSAIMRCDSALPEDERLSVALAEGLTKQQIGSWVTCNRGCHILLKMLQVGGPKVVEKLKASINRKHLDGYTSKGANHLKAQLDGKVTK >CRE01652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1077588:1079687:1 gene:WBGene00053736 transcript:CRE01652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01652 MDALRAGTALCQICEREFNEENDLIPRILTECGHTLCTECITKICSGGKNVVCPFDRISTIVPEGDAKKLKKNFTILQMKEEEKFRKWQIMSTKRDKKTRRNDGTCDENPAHRAANYCKSCDADLCDECWDWVHSLTILAHHEKTMMFDKPIESPDCQFHIGEKAAFVCKVESCKKLQTRLMCHVCFREKNNYHFNHGYVPLQSEVAEMRQKILQSMKVAEGKEVLILKNIEKLQDAITTYSFLGSPYRDKMLELKRFRYFAPETDELIASKMKVAIEERIDRLHQRIANQKADVDWIRKNKAGLERLMAMPNSKLVELRWEVDMTIDRIEKASVKHPKALASCANCIVHVPSSNPLRIEVKPAYRLEIRDNSNVTMNFLDQKSVLVSSKNNVRRYKNHFFRIIEQTEDIKVCSEGLSLIIVVDPFNGDHDRQCSALELLENAPAYENIVVGLTPFNFQAVSTFLAKLVDIGDKDPRVRIVYINDPETDVEKMVDFSMDK >CRE01650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1072698:1073630:1 gene:WBGene00053737 transcript:CRE01650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01650 MASSLDNHMDQDGMCSVYSAQPSETNCSINEVLAREMIAVNEVTDDHAEVSIYSVPKSETNVTISDPFQPCEAVNNFNVSVYSQPKSETNVTMNKKFKRCQDLDKVLDCSVYSVPPSETNVTMNAPTLSEYTALMSETDVTMADGFQPCDVLNGLQQLDQAPGSELTAKTIGSSFDHVAYVEHLQDELGIPDDKVIGLECSNSNIVKIIDSNECLMHLAEFHPIAVDFSEMPAPAAFKMAMQATDKSTENYHLRSCNSIHHKH >CRE02048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1072066:1072499:-1 gene:WBGene00053738 transcript:CRE02048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02048 MATTVVLTRPRQAEEEEEVQEQQQPEQLVLRLRAPREYPRVTWGAGVVDNEHMGRLKSNCCCIYTPPRRWDDPSTWEPEEHETEHCRGHTLPEKKKKPEGEGDDDDENKENEKPKKEEENHGCGCDHC >CRE01649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1057897:1071944:1 gene:WBGene00053739 transcript:CRE01649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lov-1 description:CRE-LOV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LH02] MFVSFSLTANTASSATKLTMTDSLGYVSTFSSVTMETYFLVAPSFHVDLQSTQVGTFGMVVQWFTIDPNATSNAVTYQVHSASSPLILYGGNFDLPTVIQADTLVSLLPFWGNILLTSFMRITQVYDGPSIDSQNIGNLYNMASSGQNIKSSGKTLTIFSLYPGIGAAGNPVILQDYSDVKQFKSYKAIACIVPNMCELSLDATQGGPVAAVRLSRFYVKNIVMPSTNTLSVYTNYVATANKLSDYTSATAKTNIPQLFNGKLTTFVLDQGTATVVFSGDSIDSKWSTAFEGRRGFFTSPNYATNSTEQSFNDQISSSTTFDVSYTVDWSSIVGNSVLNVMIKSNQKAVIDNSLVKTWNVHITISIFRYSTKNYPGGLVKATGDFISVNYQSQGSASVGPLITFRFDKHNSAMTHETDRIFSTDKDLTLNSRWGSLILTNALQYQLRKGFATFRLSDDDTTISGVPRNSRGVVQIKLGCGLNRLPIENKLTEISSLELIHNCVQAEVRLVGLYLNSTWIPLTEIKDTDEVTIAVETRYEACYEDGLDRCDGSLWWLQVGGNEISLLGYDAPCESGDIEMEDGRRMCKRPYHPERSVAISNIEGMYYDGQVMKGVKAKQFSLKSSSSMMARRRSKREEPQDKNVCDYTLESTSTSTTTPSTTTMTSTVTSSSTVTTTPTSTSTVTTSGSTSTLSTSTDSTSTASSSSTSTTPTSTTSLSTSSSTLSTSTLTSSTASTSTTPATTPSSSTTKATSSSSSTATTPSTSTKITTTTSPTTTVPTTTVTLPANAICSYLDETTTSTTVTTTLTSTTTEPSSSTVTTTETPTSSTVTTTLPTTTLTTSTASTSTTLPTTSTTVTTPTTTVTTPSASTSASSSSTSSTVTTSTASTSSSTPSSTVTSSTSSTSTPITTGSTRTPSTSTATTTTTASSTSSKGTTTSSEETSTTTPTTSSDTSSTTTSDSDADSTSDSSSLSTTDSSGGSTSTEDPSTVFDFILKNETVLKWNETVHLTDTVAISPEPTITLNPVYKKVTFECRSNSGEEFVALKNSTCLNQDQPMVKMATFVTDISLAPVSDFLVGLGTYEFRINMTNTLTNQMVSHIFTLNVVGETSSTEDVTSTTSSSSKTSTEVSSTDSESTPTSSASKSTSSETETTTDSGDGTSTTTSYGSDSTTTSDDSASSRLSDSSGDSTTTSDSSDSSDSSDSSSTSSVDPNGGSSESTTVDPDATTAVPYDFALAGLSWEEMIYYSEAPLNITPVPNKNPEVMNVCFRNIETQISYQCRNDSTQPFVAIKESNCLTETGKMGSYSSAILFDPFSGFVPGSGIYEFLINVTNRVTSESASHIFKMIVVLPTTTAEYVPTDSSDDSSDSDSNGGTGGTGSTVASDGSETTSDTGSGTTSGSGTGSGSSSSSGNLSGGSTTVDPSGSGTGSVTTSGTDSESGAGSGATTSGDGSGSGVTTSSSSGVGSGSGTTSGIGSGSGAGTTTGDGSGGSSGSDGTSGTGATGGTGISTSGDGSAGGSGSGTTSATGSGGSGSGGIGDHDGSSKSSTTLSSSSGGDGSQGSTTGGPGSGSGSSNSSASTVYSGPTTTTQGSTKTTRTRGVLSTVTPISAADQALIDAQKADVLSQLAGIVDGSAAGGSLNTTSSLLNQISTLPTSDLVEVAQSLLANTLKIPGVGNMSSVDVLKTLQENIATTNSDLAAEMTKVITKLANVNMTSAQSMNSVLSSLDLALKRSTVYTLGVSSTKSTDGTYAVIFGYVLANGYTLVSPRCTLSIYGSTIYLTGDTRASYKQETGDTVSANTMLAAAIGVQGSYNKNGRTVSVDQDKIENKRSLVSGNIMATLTGVGDVQSGEYSYNDMYVTAWNVSYDNTTVGTTNQKNTSMSFYVPVSNVQFSLLMEAGTMVKLQSTQSVSGQGLVVAATYGGVTYTITCTNGTAKFVEVDTADAIFSYNAGGFTIVAGDGSSTSTMQKMIQMPIVIENVNLDIFNKTSSPLVFSAAGSYTMRLVFSPQDTSLPEVSALSQTVSISTLSPTTSYSKADLQTAISSKTVIPISGTLFFAKSSSIDVTGYSFYADTTAIYLQSSVSTLVISSPTYNVVSLALGGYGIQITAGTYTSGSQGHTTTMMEFSDTQKMKIDGGLIIRNGTNGYVIQNGQITTEGDVSGTKIDIVPQSLMNQESQQQIATILGNTQEFLENNGMTMTDAQINDTSNSLLSIASSLTSALKVALDNPLSSDLAANLKYATDNYDDLYNVLPSDPDNIVYVEEMTQEEWAAYVTKMIQKNIAKTLANQLASTLDTLENTLAARAIATGNLPYDYSNYVDGTGMVIVIDDASNIVGKVQSCDEWDITLPTSASSLNNAEITDSTLIQLGMVCYSTNPRTYVDNFDMLITSGALEAHVKDENQNTLTISEATSPITLSGRGSDDDAVLTLMQQGDFASYQILDLHAFRTTNWNNSLQLEIIASQDYVIPNNDDTYMFSSFQSLPGPLNSNHEWLFDLNSLNTTSNYFVSAGNLINNTGLFYIGIGKRNTSTASANSTDIVNYGEFESKQWSFARAVQMDYQITAISKGCYFYLNSTDVFNSGGMTPTDGKGMQFVNCTTDHLTLFSVGAFNPTIDADFSYKYSVNEIEKNVKVMITAIFLFILYGCLIITAIISAKKDPSRGRLRFLSDNEPHDGYMYVIAVETGYRMFATTDSTICFNLSGNEGDQIFRSFKSEEDGDWEYPFSWGTTDRFVMTTAFPLGELEYMRIWIDDAGLDHRESWYCNRIIVKDLQTQEIYYFPFNNWLGTKNGDGETERLARVDYKRKMLDESMSMHMLAQTISWFAMFTGGGNRLRDRVTRQDYASAIIFSLVVVNVLSIVILRNDNSIITDSKSISEFTFTLKDIIFGICFALLITIVSSIPILLCCKSRSHREHFYYKKRQREEPGFRDPSGSWTVYAAGLLRAFITFPCLIGLLYVAGCGMSLMDDFANAFYIRFLISLIVWAVVFEPLKGIIWAFIILKTSKSHKIINKLEEAFLRVKPAETFQRNPYGKIEKGLGTEIADVTKLRDTENRKMRDEQLFITIRDMLCFFASLYIMVMLTFYCKDRNGYYYQLQMSTILNIPQTNYGDNTFMSIQHADDFWDWARESLATALLASWYDGNPAYGMRAYLNDKVSRSMGIGTIRQVRTKKSAQCEVVKQGIDKRVSLAEETYIHISFFSNEETTLYMQPGWTALESENGTDAADEYTYKTAKELATGTVSGFLGSYGGGGYTVSMSGTQAEIIALFNKLDAERWIDDSTRAVIIEFSAYNAQINYFSVVQLLVEIPKSGIYLPNSWVECVRLIKSEGSDGTAVKYYEMLYIFFSVLIFAKEIIFYIYGRYKVITNLKPTKNPLRIVYQLVLGEFSPWQFMDLVCGGLAVASVVAYTLRQKYIKEAMDDFNANNGNSYINLTIQRNWEIVFSYCLAGAVFLTSCKMIRILRFNRRIGVLAATLDNALSAIVSFGIAFLFFCMTFNAVLYCVLGNKMGGYRSLLSTFQTALAGMLGKLDVVSIQPISKFAFVVIMIYMIVGSKLVLQLYVTIIMFEFEEIRNDSEKQTNDYEIIDHIKYKTKRRLGLLEPKDFSPVSIADTQKDFRLFHSAVAKVNLLHHRANRMLQTQGQYRNQAVINYTLKYDPVGAIEKNGPKRFQKWRLNDMEKF >CRE02047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1052969:1055609:-1 gene:WBGene00053740 transcript:CRE02047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-268 description:CRE-LET-268 protein [Source:UniProtKB/TrEMBL;Acc:E3LH01] MRVLPLLPFFLIPVILATTITDLPELVVVTVATENTDGLKRLLESAKAFDIKIEVLALGEKWNGGDTRVEQGGGQKIRILSEWIEKYKDASDTIIMFVDAYDVVFNADATTILRKFFEHYSEKRLLFGAEPFCWPDQTLAPDYPIVEFGKRFLNSGLFMGYGPEVYKILKLKPVEDKDDDQLYYTMIYLDDKLRKELKMDLDSMSKIFQNLNGVIEDVELQFKDDGTPEAYNAAYNTKPLIIHGNGPSKSHLNYLGNYLGNRWNSELGCRNCGQEEEKETADEDLPLIALNLFISKPIPFIEEVLQKVSEFDYPKNKIALYIYNNQPFSIKNIQDFLKEHGKSYYTKRVINGVTEIGEREARNEAIEWDKQRNVEYGFFMDADAYFTDPKIVKDLVHHSETYDVGIIAPMVGQPGKLFTNFWGAIAANGYYARSEDYMAIVKGNRVGYWNVPFITSAVLLNKEKLVAMKDSFSYNKNLDPDMSMCQFARDHGHFMYIDNEKSYGYLIVSDEFSETVTQGKWHPEMWQIFENRELWEARYIHPGYHKIMEPDHIVDQACPDVYDYPLMSERFCAELIEEMEGFGRWSDGSNNDKRLAGGYENVPTRDIHMNQVGFERQWLYFLDTYVRPVQEKTFIGYYHQPVESNMMFVVRYKPEEQASLRPHHDASTFSIDIALNKKGRDYEGGGVRYIRYNCTVQADEVGYAMMFPGRLTHMHEGLATTKGTRYIMVSFINP >CRE02046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1050365:1052712:-1 gene:WBGene00053741 transcript:CRE02046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtcu-2 MLSKSSRLLRRCFQTEVDVIVIGGGHAGCESAAASARCGSKTILVTQNKNTIGEMSCNPSFGGIGKGHLIREVDALDGLCARICDKSAITYQALNRAQGPAVLGLRAQIDRKLYKKHMQNEINSTERLEVVEGEVAELLVKNKKVVGVKMANDTIISTKCVVITTGTFLRAQIYQGMKVWPAGRIGEKSSDILSESFLQHGFELGRLRTGTPPRLMKDSIDFSKFEMVKPDQKPIPFSFLTENIWIKYEDQLPTYLGHTNEETCRIGQENMHENYQVASETTSPRYCPSFESKVLRFPKLQHRLFLEHEGLDSPHIYPQGMSMTFKPEVQKEIFRAIPGLENVEIFQPGYGVQYDFVNPKQLKKTLETQKVEGMFLAGQINGTTGYEEAAAQGVVAGINASARAQNEPAMEVSRTEAYIGVLIDDLTSLGTNEPYRMLTSRAEFRLHLRPDNADIRLTELGRRHNAISDERWSTFSKTRDALNDLTEKTEQMKMSVVKWKRVIPKLSATTRNEGKVLSAFELVHRYDLGKEDLEMGLQDVKVDEKILERLKIEGRYRMEHERMKAKKQEIDRESATEIPDNIDFSKMRGMSLECIEKLERARPRNLAAATRISGITPEAIVVLMRYLKSPAVVRATV >CRE01648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1049645:1050375:1 gene:WBGene00053742 transcript:CRE01648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01648 MASFFRISLPKMTTSHDRAVLNQILNPLMPTSDSGVAEVHLEADKVDHPGYVLSSQLEKEAVLLAENMQVAEAIEKFAQAIQVCPQNPSAFNNRAQAYRLQNSPERALDDLNEALRLAGPKTKTACQAYVQRASIYRLQGDDANARADFASAAELGSSFAKMQLVALNPYAAMCNKMLAEVFEKVKTGKDE >CRE01647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1044331:1046972:1 gene:WBGene00053743 transcript:CRE01647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01647 MNVNERKRGRPCKKTRQRPTYRSTSSERTPVKTRSQLDNRKHSTTKPTASTSTPSNLKMSKNKKASKTHYYISEDSSSEEESPPRKKPATAASSSLSQTRQNKKIHPPQEDHESDGNDEAEKDEKESSDSSSSDQDSDVDPPYEAQGKSKVPQADVLEPINQVLAGENQGTSEDIPQEFLDLREIFESFVKPPTRVCECQLPTDAKRSQHHERCPIRCPTHHPDIHSFLLKVLEKHPKPSPTLKKELVMRTGLTSAQIYRWFKHRKSAQEDHQVPRMNPSSSSIHSDYVASAVPVALTNPNTRINALPNARTPQHTPVLTSPSALPLDSFKPEHHQEDAEGDWDSPLVEQDYYAQLDRPEQTVAEYLRVQIPNTQSPMRRPQKRHPMMVSIPGGTTHQQSFLNNYPRQFASSQMDSQRAEMTMTQQRSHNSQPLLRAALGDVPRIGIQSIRLPTTGREYGSVLYGVGAERTGEELAGNQQYRSASNQAQDFPSSSGSISQARPPSESAVLMNANQHQPRSQRSYNSSITNLGFDSNQSSSFTGSFGRNESTSQMRSSICTPETSISRSKESDSDVEIIGPRLSIKKEIDMVEEYKNDPDFGFDEPEWVRVRAELASEPKRQTKLPQNLSLSVENWTSQQFAEVGHQLGFSHDVCRRIREVITSKDKDNLMFERKILYRTLCQTPRKFVDNLVSIKEYLILCRNTQEVRKLEMKFKQQNDF >CRE02045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1026183:1032730:-1 gene:WBGene00053744 transcript:CRE02045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-2 description:CRE-LEC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LGZ7] MADSSSFFSSAFSSAKNLIPFAKNTEDKPIDSDASAEAKDAKDSAIQEAVQNASAVIQDVFSDAVDKLEEVKDAVVEKVNGEEKKDSVEEKFDSVHVGVDGDSAASHEAKEDDKEDVKEAQVVVKGVLADGTQIVEGVSAHAEEHGEHKEEVAEAHAEQAHAEPAHEDAKSEEHKEAAAPVEEVAQAVHVESVEAAPAHADEQDVPEGARIVFKGVLADGTEIIEAVSEHPPVVQEESAPADAVHAPVEEHKDDAAPATVEDHIESVHVVAVESTPVAETHEESHAAPAQESEHKEEGVPNVEASHEEHKEEVAAAPAAEESSEAVHIAVSESEPAHADTPHTDDAHVEHAAAQVVEDVIEAVHVAENEAAPASAEDHKEEAHAEHAHADSAAVEEHKQEAHEDSHEVVSVPVEAAPAAEDHKEEAAHEDAPAAEHKEEAAAVTEPAHEEHKDDAAPVHETPAAEEHKDEHASESVEDVIEAVHVAAAESAPAASEEHKEEFSPAAETSHEEHKVDAAPSEVHVEAHGEEHKEEASTPSEAAPEAPATSEEHKEEAAPAAEPAHEEHKEDAVTAPADVPLEEVFEAVHLASTEAAPAPEEHKEDAAPVVEAAPEAHAEDHAEEHKDEAASSEIHAEEHKEEAHVEHADAAPVSAEEHKEEAAPLEAAPEANEEAQDVVSVIVEAAPAEEHKEKAASSEAHTDAPVEETIDSVHVASTESAPEAPAHEDHHKEVADAAPSEVHAEAHAEEHKAEAAAPAETSVEDVIEAVHVSSTESAPEAPVAAEEHKEEVAPTAEPDREEHKEEAASSEVHVEAHAEEPNHEEPAHVDTAPAPVEEHHEEAAPSEAQAEVHSEEHKEEATPVAEDAHHEAPLEKVVQAIHAAASESAPEASSEHHDDAAPAETPAAEAAPSEAHSDAPVEETFESVHVSSTESAPEAPAPAPEEHHEQAPAAESAPAAENQVEEVAVAYHVESVESAPESTEAPTQEAAPESAASPDIQEDSFDVVHVHSDAAHPETATPESGSEETFEKVEHSQVQEAPTSEEPSNHSSEPEIVLDVVEKQIVAAVASAPETQQESPKKPRTLAEMSHESAFPVPYRSKLVEPFEPGQTLIVKGKTGEDSIRFTVNLHTNTADFSGNDVPLHISVRFDEGKLVFNTFAKGEWGKEERKSNPYKKGDDIDIRIRAHDSKFQIFVDQKEVKEYEHRVPLSSITHFTIDGDVLVNYIHWGGKYYPVPYESGLAGEGLAPGKTLSVFGIPEKKAKRFHINLLKKNGDIALHLNARFDEKHVVRNSLINSAWGNEEREGKMPFEKAVGFDLEIHNEPYAFSINVNGERFASYAHRLSPDEVNGLQIGGDVEITGIQIA >CRE02044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1024808:1025961:-1 gene:WBGene00053745 transcript:CRE02044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02044 MSNIKEYPQDAPEIRQLVDNRRHYLNIIYATSILAVCSVENTCHSFLFHFQTFQVSTSLGWYVYLVEAYAHLAGGLENLIHNVLIIVLILELMILYLAIYSKRSAFLVCLIVIYFLQCAGKLLQIAIMYPQPAGYRQSFKAITRYSEYDAMSLTYDSVMFVTSLINCLMCCCATWHFTPLVIVKMNYKQTEEDAVARAMNKLKKTKLTTYDVCSSDEESAHRISRGPNGKQFISKNQHKKTFSTQITDKADVTVTTSGARSTKSEVRVN >CRE02043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1022658:1024505:-1 gene:WBGene00053746 transcript:CRE02043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02043 MNIPPGQWRLDVMIMALYQMALFFSAQLVFVIFLEYMPKTYCNTERHCYKLESKCLEDYDRNKPNICLVPNGSKSQFDECVEDNKYLYFKSAQYDYQQDCTSLTHYSASTNMYLGVLFANLVLGILADKLGRRPIYFASIAIGVVSLILSAAIPSLTAFYIFRFTTGVGVAGAQIVGWSYGSEMISAKRRFQLRTFSNWANARILVVFVAFITREWHTASYLCAAISALIFPILWKLPESPVFLEQKHKVEEANEAREQIADLCGLEYEQKEAATINNLKKITFMDMWRNKRLRKNFLVLCFMWFYVGMATYITDLNGADMSKNLYVGQFLSGLLLTISKIIFGFAEPRFEWLGRRTVFLFSQGVAILAYIMILIALFTDNKETTWYLIVYLCAYSFQALSTETCYLSVAELIPTDVRVTVAAITNICLRLGTIVASLTKPLKFSFEPGLFLINLVVCSVGITVVYLYLEESRNANLQDVGQDEVSDSSDSQAMLEEENSKVSSGDTAATEVTSGETAEAEATTGTSEAEVESGEGTKSKVA >CRE02042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1020181:1022200:-1 gene:WBGene00053747 transcript:CRE02042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02042 description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:E3LGZ4] MPWFFLFIVFILKLARGDYVKLPGNSDIGNLRLQSGYLKANENGTQRMFYLLLESRDLPSEDAPLIIWFNGGPGCSSLGAFFEEFGPLYVNFDGKSLFENVNSWYHKANILFLESPIGVGFSYDLEVKNVSKGDDDGIAAQNFNAVLDFFENKHASYIKNDFFIAAESYGGVYGPMLSALVAESIAKKEFPNENFKGLMIGNGYMNVKLSTNTMILWSAYHARTSPDEWDEIKMKCKTDGARDVDSYDFMQFMTTTNKMDYMVDNKTECGKLIEPLLGQFTENWEGYDFFNYYHDCYTNFSLPNTTDPIKETLKLAPRKGISALWNKYSTDDKTSYSCWDDVAIHKYLKLSEVEKALKIDSEWLKRKKKWKVCNMAIYDQYVMTHQDMTPFFTKLFNNYTGPAFRVLIYNGDVDTACNYMADGYFTVKYTPWYYSDNKVLAGYYMRYEGANRLGSKLSIDVVTVKGAGHFVPLDRPGPSYQMINNFLSAQPGKLANYTQPI >CRE02041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1002354:1011133:-1 gene:WBGene00053748 transcript:CRE02041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-3 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3LGZ3] MFRSRSKSVAISKSSDGKGGTVRAGRGHGSTVRLPVYLREQIMADSKAYNIPYRSKLTERIEPGQTLIIRGKTIDESKRFNINLHKDSPDFSGNDVPLHLSIRFDEGKIVYNAYTKGTWGKEERAKNPIKKGEAFDIRIRAHDSKFQVSINHKEVKNFEHRIPLNSVSHLSIDGDVVLNHVQWGGKYYPVPYESGIAADGLVPGKTLVVYGTPEKKAKKFNINLLKKNGDIALHFNPRFDEKANGFMCAKPTPGSVVRNSLVNGEWGNEEREGKNPFERLTAFDLEIRNEEFAFQIFVNGERFASYAHRVDPHDIAGLQIQGDLELTGIQVVNNQVAQE >CRE01646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1001706:1002280:1 gene:WBGene00053751 transcript:CRE01646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlt-1 description:CRE-NLT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGZ2] MTFKSDVVFEEIKERIQTDKEMVKKVGTSFRMTIAGADGATKVWTIDAKSDTPYVGDDSSRPVEIEINIKDSDFIAIATGKLKPDQAFMQGKMKLKGNIAKAMKLRTILDPKMLKAKL >CRE02040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:995455:998479:-1 gene:WBGene00053752 transcript:CRE02040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nrf-6 description:CRE-NRF-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LGZ1] MGSFRRWKFLVALLLSLITITNSKSSYKYDGSLFSSKELDYDETDTKAMGSVFSKYMADSDAQLILDLDVFRHFFNYAEAYRDGAEEGNLELMKYAVEMVEKLREFDISMACVGDMMHLAWTGVEYATHVEEHKNCSDCKCTPLFQQKKSERHWIFNVFDAMGKVPAGIMSGNNLWVGSWSTCRKIDVVKNAQGQKWKGKYCLATIDAYERDNPLVYFGNMMSGAPDRHCYEKNVQNVTDDGSCFALFPVLKFGVCMPNTCTNHDVKRMLTFAIRATEAAVGTQSVCNVDVECRAESYSDAMSQNSLAMFALYFLIATVVLVAFGTLFELLIVAKNPEEAEESKAFKHWFIKLIMAFSMYSNGSEILQSKKNDREINSLHGVRFLSMCWIILGHTYYYIGTSLTTDNLVPTLINFPKQFHTQIIVQAPLAVDSFFFLSGMLAAFSFFKKSMKAHPDHPPKLSALNFITWPMYYWKRFCRITPTYAVVMLFDVTLFSYVSNGPFWRPIEKQGCRIAWWTNFIYMNNFLLQDKECCMGWTWYLANDMQFHWVLMPLLTITFLKFGMKAGLGLSGGLVALSSLIRLAITLIKGYPPAPILTAKLQIVHQLNDYWNDVYVRPYIRCTPFIVGVVVGYLLNAWTSREQKDLKIKLEPKTVLIGWCCSTVLGLYAVFGLFWFAKTGDISKPWEILYTVFGTPAYALALGWVVFACTTGNGGPVDTILSWRLFVPLSKITFCAYLLHPIMLQIYNLSRPQPFHFTTFVQMVCQLFLRFLNLSVKIQIRYTVEAVFASYTLAFFFSLAFEKPFNKIDEMLFDSKKMMNGEKGKSNEMVPLNKRVRDSE >CRE02039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:988980:993407:-1 gene:WBGene00053753 transcript:CRE02039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swsn-7 MESRKRKSELELYIDKLTDPPEKQRKMAEFYNSLRMFYKRRWNAQLKLPHVQGVEVNLYRLYDTVMALGGWQKVAAGDKWSDIAEMFGCKDDILCGDHAIKIIYMRYLSKFEQVETIGDVDDYVDNEMSRSRGRNATSFFATNDCPISYNRNHLDQQLRDERGQIINDPDYARLTKSLISGLPNEIDFAMNVCMLLSHAGPKQLRISHAPTLLTLLVAHTGVYDEDDKTMLELGQDWKRSTRHNFRSFWASSGVPLDLLKTFLDREIESEYVEDDDEFFTSVSNAFNVKDSRCWRLNQVTTIIRNLSFESANRVTIVKTWPVMKFLIMCASCKWSPLYVAALDALSNLATDIDLADKTLVYISQHAILRIITDGIFSMDKFKLIRSLEILTGLCGFEGNESIICDWLKPETIAHIFEIVGVKDIMMCVYTLECLYQISEMGDAACDMLSESAKAIQQLVSMATLEAVSFGPAGLAGMKVVEYQPNFQQPAAHPQQAQIHQVPHPQMNQQYGGGHHPNARMQPGPSHNHHPQHNHHQQMSHQGTPAAQAPPMTVRQVIQNNLALQQVQREQMNREQHSQHHSQGGYPQSNGLRFDRRSGNITVRPIAPSTTPGDSQLEQLTERWIKQNCVFEPAMSTARGELYAAYVDDLRNLYHSMSGSLAMFSGVMKNLYPDVNFRMAPNGVMIVAQGIRLVRPHRLAPAGSSQTPMPTPQVDSNPLMRKMLMSEPEPPVENGVLVNGHATYRHFRESTEPVEQSKQSTKITIEQNKEENKEKTLKVEDQQESSVDVAAPSSDVEKKEEKAHPMTNGTIEVCQEPIKAAELFDGIENTQTEGKEVSIEIHDQFVNESDNMKEETEKVNGMCNGHSKPKKESVASRAAHIVAVAAACNGDLEKMNGDPVCNGNKEGEDKEEAKEDSVKETDEGEEVEKEKEEEASKEVSTDLETTKRKCVPVASTVTDYMCDWDCCSLYYSSSSHVLKHLSEDHVAEDLRLLCRWNGCCDPTPRNRWSLITHIQDTHCNETQLKLAAQKRRDGTAIARPMQRPDIVPRDLSNHPGYAKNAAYEAIRRHALNFLTRELTEEPEGPVTKSIRLTSCLILRNLARYSSDGRQKLRRHESHICVLALSRLESSHALCQLLSELHQTPSTEEEQQKSLSQAPSSASLSSMGGSSSQLPTVPDSPTPTTTMKTPFRKPSAVNRPIAPVNRMLNFSSFTATPDKPSTSSPAPFVSPSHRHQTIQQHLPTQPSPLVQTTPLRAGAGI >CRE02038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:986617:988230:-1 gene:WBGene00053754 transcript:CRE02038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hda-2 description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:E3LGY9] MASERPISLFDDDDQEIEPDGVDVVKRNVAYYFHPDVGHFHYGQLHPMKPQRLVVCNDLVVSYEMAKYMTIVESPKLEAEDIAVFHSQDYVNFLQTVTPKLALTMPDEVLRKFNIGEDCPLFAGIWDYCTLYSGGSVEGARRLNHGMNDTVINWPGGLHHAKRSEASGFCYVNDIVLGILELLKYHKRVLYIDIDIHHGDGVQEAFNNSDRVMTVSFHRFGNYFPGSGSIMDKGVGPGKYFAINVPLMAAIRDEPYVKLFESIISSVDENFNPEAIVLQCGSDSLCEDRLGQFALSFNGHARAVKYVKSLGKPLMVLGGGGYTLRNVARCWALETGVILGLRMGDEIPGTSLYSHYFTPRLLRPNLLPKMADANSAAYLQSIEQETLECLRMIRGAPSVQMQNIVGIRLDEIEQIEENERESQSTIEREVYKVSEKMEEECIVQERSKSPAAAFPPGQDPRRIGQYWGYEGSELAPPRSRSDIIEEEKYEDQDRRKNLNIPGIP >CRE01645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:983304:986078:1 gene:WBGene00053755 transcript:CRE01645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zyg-11 description:CRE-ZYG-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LGY8] MDQNVAAAACSTSPIPRLSRLATDRIAELIENDSLPEFDHQLVASCSNDIFEVLRRKKRLNHRTLQTMCRSSNFQINKIDLMGNKVELEDLELCSNQNLVSFRLGDIDYFPNTNKIQVADVLDLALNKTSRQQLRHLDLSGKLRITSNWPLYVAKKLPQLESLAFANRSTANDTLALIGTNLKSLRFLDISSTCVTDISCIASLKQLEVLIMYNLNILKGDVTETLSNLTKLRVLDISRKVNTDFLQETSQDAHLDLALGIYNRSVEAIESGTSTPWAELRAIDMSGLSIVQFGTDRALAFVEKIVEAHPKLEQISLLATPLDSSLIEIPNRNLQVINTVSRKSILHALEYYASLDRPAFITHTLHSVYYQLQSGYDKFSQEELKECLRLVCISMQQGLATLPVQIAGSACLYHLCKMKRIKRLSVKEVINCIERSLDAAEQYRTMTQLQKNVWLTICNDYLLHLEGIDFYRTCKVALDTMLLNRDASVERMTIAIVSIVTPKMRPSEAKTLTTDTKYVSHLVKIMRDYLEAYTRDYRHGHERDNDNALYTLKFTLSALWNLTDECAETCKAFLEADGIETAFKILKAFDYHGNVQTKVLGILNNLAEVEELHMGQLCKYEYISVLISCLDGLFNEVDSKGRYREVERSYFAAGILANLLTNGNGWECEKQREDACEKLLELIEQYPSLPSAMVSYKSFIPFRRIVQESKSNGAIMWCLWGVHHVLQHREKNNAPTYEKGDFRYIDMYMDSGLAPIVEEMARGNSRHIHNIDIRVVHLARDIVEIISSDSVSSSPPSPASSPITLASRH >CRE01644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:978470:980228:1 gene:WBGene00053756 transcript:CRE01644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01644 MLQFQSAPFFLSFSSFSSSSDQLTTAFRMSRNRCRCARLPVLRIPATDEDLPQLPDEIVELIVSKVLPTEIVAYGWDQVSRSFGTFVHRHLCSKTYFCAHHDPLWDLYKTTRHSYDLEKFDKLLVLLIKKYLCQVEDIVLPVALFSHIQHLLEQNSYLAATSGTACSFRHPQPGVAFSNLSKLTIQIGGECGGLTLSHNFENLKTSSSLCKTLHEINLDIVLSDNEDVTCAGFREFLRFLKEISCVSTLWNIRLSDNTTSGQGWSGPANLNRYRNKMFICYIRTMLDLSLQINQLELVDKRKASPYMLVMAHGRRTIYMFPEFKRCRSLVICYDIGLIAPVFSHENDHFDDLLHFEVDESHVLYKADLLEYLKSAHELREVKVVIPSTFHSRVSRCTEGCFSNPDFACFRADGWCSVPAKLPGAHFEIVSNDARSPIMA >CRE01643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:973889:976286:1 gene:WBGene00053757 transcript:CRE01643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glna-2 description:CRE-GLNA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LGY6] MTSHTNITQKSVMYRIPSERTLESLHEMIGSRMSKMSLKNTLRGISNPYERDESEGSEDMIFELFKIPNKNEASIGKLLTVLRQLGLRDDDPRLVPMMEKIRDFEKIAEEKIAEATEQKHWKLTKEQFKECIAPSIDIVSRALQTDMVIPNWVTFVEKIRNLFNECKEIRDGQVATYIPQLARQSPDLWGVSLCTVDGQRASFGDVKHHFCVQSVSKAFNYAIVASDLGADVVHSYVGQEPSGRLFNEICLDSTNKPHNPMVNSGAIVITSLIKSKTNMADRFDFVLNQYRKIAGNEYIGFNNATFLSERATADRNYALSYFMKENRCFPKETESLTDALDFYFQLCSVEVNCESLAVMASTLANGGVCPITNETCVAPNPCRDVLSLMYSCGMYDASGQFSFNVGLPAKSGVSGAMIVVVPNVMGICLFSPPLDSLGNSCRGVSFCKKLVSTFNFHNYDCLVHNSNMKSDPRRRDIRERDRLIPVFHVARAGDLPTMRRLYMQGEDLNTSDHDDRTVLHIAATEGYETMIKFLVNVAKVDVDKKDRWGKNALDEAKFFNHNDVARFLEKAMKRPEQHRKDSVSSLDSDDEIDDDGFPEKPSFTID >CRE02037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:958477:966454:-1 gene:WBGene00053758 transcript:CRE02037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-11 description:CRE-PGP-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LGY5] MFSQNYSRHFKFEILVFQVKFAKSSDLIFIITALICALLGGTIQPTVLLIGGWITDLYLTNGNVSHSVNREHITYFFEFQTIGDEEFLKSVLTLIYAGLGFGVITLVLALIQGICIQRGTSRILDNLRKEFLGAILRQDANWLDKNPSGGITCQLNENIEIISDGLGNKCCMLVRGFAMFTSSIIACAFINWQLTFITFTMGPVSAFVLHLLTKVNELTNSELMTLSSQSHAIIEESILNVRTVQACNGQNFMISKLRKVNEQIKKFYNKSTFWAGFFDGLALFVIYFITGISLFFGCRLYFDGQISKAGDVILIVNTICVTGYFLGLLGPHMSSLQRAATSFQLLYKTIASAPKTEGKEGESKVFSAKGNIEFKNVRFKYATRDKEVLRGLSLEVLPGQTIALVGTSGCGKSTSIGLLTKLYRASEGEILIDGQNIDMLDAKSLRQQIGVVQQEPKLFDGTIMENIKLGRNVDQKTIQTAAEIANASSFIEKLENGYETRLGPGGVQLSGGQKQRICIARALVTSPSILLLDEATSALDSHNEVIVNLFQKALTKASEGRTTIIIAHRLSSLKNVDRIYVLDQGKTQEIGKHDELIALGGIYAKLARSQEIEQSSKKDWEREELRRAEKIKKKSRNVEIIEPNSTILQEHEHNFVGSIITENEQEQKISFSGIAKLMNYLPKHPKMLALIILLSIPRAIELCSYGLGMSFAFNTLQRTKEDYMTWNWITLGEQTLAGLTFWTLQATLMYLCGWIANEVMNEVKESILNEVLNKPIPYFDNPETSPSACVSRIISHAHNCYACLDHRASRFIMFIAGTVFSLLLAFPFVWELGLLGLGITILLTIFSLHFVSVAHKAHSEKSKMDKSGEFAVEIVEHIRSIKLLAVEGYFEDRFADYLKISERYENKIGLISSLNFAVTQSYVFACDMLLFFVGTLLIYHGRYTPDKIFLAFNGAQMSAWGVMYFSPWFPEIIRGSASANQIFSLFEKNKNPEFNGKEKPEIKGGVEVEDLTFAYPATPHRNVCEELSLNIPKGHSIALVGASGCGKSTIISMLERFYAPKSGKIAIDKNDISVIDVNYLRQNIAVVGQEPVLFNASIRENITIGLDDIPLEEVQNACKVANAANFIESFPLGYDTPVGEGGASLSGGQKQRIAIARAIIRKPKILLLDEATSALDTQSEEIVQKALRSATTGRTSIIVAHRLSTVQHCDTIYYISRGAVAEYGTHAELVAMNSKYARLVAAQSLT >CRE02036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:954210:956303:-1 gene:WBGene00053759 transcript:CRE02036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02036 MSEIVTSSPRYMRYVLGNFTPAEMVTDGNFIIYTVEMGLLLIGIIENMLMIGAIISTSALHLVSGLFQKKKASGSFQNLRILICNCCIGFILMAVGRAMIAVPLCIAHLRDVDISSHAWCFVANAVHHSSADSVCLSFVFIMLERTAGTIWSKDYEKTKIHVFPCVFAFLQWLIPMSMILGNFLDSANRMQYFLEYPHLPCQIEYLTPTMFLVTIIIILIGFIASVVGMTVLYNKNIKKYNTREIWFTNVNLSERYQISENIRSTHLLFPLLTLMLIFSILSVSVLVYGGYWVGVMTKEPARFEEVVKWFGRGGEAAQLFDIITAIYTISFPICAFKCHPNLFRRVRRIIGWNSYAVRPMNLNEIPGFELTTAPIRTQTEYHFQELSRVWNA >CRE02034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:949642:952003:-1 gene:WBGene00053760 transcript:CRE02034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02034 MSQKITACVPSRNPLKSIAFQALFESKMVMNLLIIWSLLVYTNAQNFDKSFKEMCEFLDGKDSYRQRENISQPMEGDKCTVVFPISFDDKVRMDQMMDSQKTCNFQNSAQRYCEDNVPFHIHGSDFEREPGKLFCDAEASLICKDGWVQMFGRCYQITRQMMNHPDAVKHCGDKKSKIAFMHREALPFRINDYFSGVSQVWLNASEAITNDLIYNVDGGNLLLALDGYRYGLPNIALARVETNEKAMALCEYTPPMNQAESNHLLRRYGEIYYPTLFTEDKAYVRSFSSLQRSSDRMRDHNYCKKVLMPFLQTDSAQSAYPTPEFLELLTKHREPTIIRTSVYSADSSLLNRVSSNCTTSTSKNYGFDRTNSNGSALFTTLTSANIWRKDEPKEQCDGASWSTGIVLSREKGEARLEAMSDARYAPIYCQTNFDTFDYGKCPDKWRPYYRKERGQLWCHRLAVNEKYEPIQESFDGAEKWCKLQGAAVTGFTNAEELALLDDIINKGIWITDNVKDQFRTWLGAKRRPQCDKVLKGKKGFIPEKSHACSRLRVFEWLNGVAQNPPDFEDHWVAPSEPNNWQKNTEGCIELLKGDEMKRWKVKDASKMLNDNDCSQQKYFICGKEAPIKSNSNV >CRE01642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:946118:949303:1 gene:WBGene00053761 transcript:CRE01642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01642 MNLFQLILLVHVAFVACTSSTAYRKCGTDINNLWLDIVLVIDNTRIMQMDGVYDTIQAMFGQSVRIGAGHPDPRSTRVAIVTYNEVAKVEAGFDEFKSLTALNQELEKLNATQKSDSFDAFMDLGLSAANNLITAANRANDRKQYKKLIVLFTSNYSFKNQRPDVLALSIRQSGIDISTVYTGAGTSGNTAFQLKFVGNYNMNFHMQDAAPIVQELQGATAMANCFCRDGWSQYEWPINSGNLFGICVGNLNKNEYQMNAQKSCHTAAKNGYLVSEFDVNKRSFNYDVITNKGAKTVASYYNGLISQNGSWYWDQPDGKPLLPLDPSSGSVSAKSGCVADVKYSDGSIAWTPISCGNRLPYLLPLSQSVSDRQCGNDTNFIWLDIILVIDNSPTMISDNVYESISSIFGPNSQIGTGYTDPRSSRVAIVTYNNYATTVADFRTIKSLKQLKTQLTALDQAGNSGNQSYLDQGLISAQSILTKFDDRENYKKVVLIFTSSFDFIDSRPDRLAETMKSNGVTVITVNTGKDKTVEKQLEGVASAGFAFKMSTNTTETLQNALTTINCHCLDYWTWTQYKHFGVCMLNPGVKGTHQAAHKYCRALGIPGNLATEFNEEKRKFNYKFLNNNIDGTTVSSYYNGLTSENGTWYWDQPKGKPMIPLDRSSGSISTTSGCVADIKYSDGSISWTPISCSNSFNFLCEAVACDTDNYCDSS >CRE02033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:939904:942949:-1 gene:WBGene00053762 transcript:CRE02033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02033 MRLMKLNKILLLIVCSSSVFITIYWSATHGIRSSRNTRSNSDRINPVADVKKGNKWIVVTSVNYPTEDVKRLSSFEEWNLVVVADTKTPVDWKLETVHFLSVDYQKQLPFSIVSSLPYKSYTRKNIGYLYAISQGAEWIYDTDDDNKPYDKLGLNQFDYEDTVSGVRYQVKNSSEIIQRLFNPYRFFGVDQMWPRGFPLEYIEKHTNGKENQVLCYKMKRSSVQQGLVHHDPDVDAVYRLLNADSNSGLDVKFNKFAPPIALSVGTFSPWNSQNTLFHKSAFHTLFLPTTVSFRTTDIWRSFISQKILHLSGLTVSFAPTNAIQFRNAHDYLKDFKDEKQVYEDSGKIIEFLNDWKCSKDINLEDCINNLSEDLVENNLWGEDDSKLMKLFLDDLKLMGFKYPDLMGEEYEDPYIASDNETDRNVNCRRMNLEFELIDPKKYDQENIRKAEQKLNYFGDLVDWCNETGYSNLSKSFPSAKQLSEKHEESYVLQQDKNSVCSLNSSIFKPKYSIIKVLIAVNNYPWKYGVGLIQRLYQPYFAAVIFCGSWYSDEVIDVDNYTSTLNPINYIHMNPAEIHKGYFAYHCVTLVKEMRLNNVKGYFLVADDTIFNIWQRIDYSRVHHLMGPVAEQHGNNWWNGKHGIPAAKNIVLTIKNNTDPKIEKAWKQFDEGLKTYGYMKDNQTVFDEIASGKGKSISDFFYIPTSQSEYYAVLMRVFYENLFFLELAVNKFVKSVDHQVARKGRRAYLWGNRNNWDTYYSKQMVAMHPIKTSQFRNATEKRKKYCGSVLQTWSDIIFGGSQNFTVKADDDPDRTVE >CRE01640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:935932:938667:1 gene:WBGene00053763 transcript:CRE01640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01640 MSRGIEHFFDLKSISNGLLNADSNSGLDVKFNKFAPPIALSVGTFSPWNSQNTLFHKSAFHTLFLPTTVSFRTTDIWRSFILQKILHLSGLTVSFVPTNTIQFRNAHDYLKDFKNEKQVYEDSGKIIEFLNDWKCSKDINLEDCINNLSEDLVENNLWGEDDSKLIKLFLNDLKSMGRMNLEFELIDPKKYDQESIRKAEQKLNYFGDLVDWCNETGYSNLSKSFLSAKQLSEKHEESYVLQQDKNSVCSLNSSIFKPKYSIIKVLIAVNNYPWKYGVGLIQRLYQPYFAAVIFCGSWYSDEVIDVDNYTSTLNPINYIHMNPAEIHKGYFAYHCVTLVKEMRLNNVKGYFLVADDTIFNIWQRIDYSRVHHLMGPVAEQHGNNWWNGKHGIPAAKNIVLTIKNNTDPKIEKAWKQFDQGLKTYGYMKDNHTVFDEIASGKGKSISDFFYIPTSQSEYYAVLMRVFYENLFFLELAVNKFVKSVDHQVARKGRRAYLWGNRNNWDTYYSKQMVAMHPIKTSQFQKTNENRKKYCGSVLQTWSDIIFGGSQNFTVKADDDPDRTVE >CRE02032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:934029:935035:-1 gene:WBGene00053764 transcript:CRE02032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02032 MALRVEERHEKLIEDVKYLKMNAPKTEGETESKEVGTENTHGQLSLDLFKFKRTIARGTFGEVKEYTSIRTGGSFAIKVSSASLESENIELRRLHALNEMFINDREIQNRMLIKHKHTVRFFGAFKDETTIFIVLELMSQTLRQVMKVNGIMDEASSGVVVKAVAKGLQHLHRLRVIHRDLKPENILLSHERIKIGDLGLATTETGETWCGTPGYQAPELFRQETHGTPADMFSLGVMAHEMLESSLPFSGEYWKKHVIRSNTLQYVSPAEFSRHLKTLMEGVLNKSPVKRMSADDVL >CRE01639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:932314:933591:1 gene:WBGene00053765 transcript:CRE01639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sap-49 description:CRE-SAP-49 protein [Source:UniProtKB/TrEMBL;Acc:E3LGX6] MSAGPIVERNQDATIYVGGLDEKVSEAILWELMVQAGPVVSVNMPKDRVTANHQGFGFVEFMGEEDADYAIKILNMIKLYGKPIKVNKASAHEKNMDVGANIFVGNLDPEVDEKLLYDTFSAFGVILQVPKIMRDVDSGTSKGFAFINFASFEASDTALEAMNGQFLCNRAITVSYAFKRDSKGERHGTAAERMLAAQNPLFPKDRPHQVFSDVPLGVPANTPLAIPGVHAAIAAHATGRPGYQPPPLMGMPTNGYPGQYPPVPPPPPSVTPMPPPMPPMPPTPGMTPRPPPPPSTGMWPPPPPPPGRTPGPPGMPGMPPPPPPSRFGPPMGGMPPPPPPGMRYPGGMPPPPPPRYPSAGPGMYPPPPPSRPPAPPSGHGMIPPPPPPS >CRE01637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:929849:930475:1 gene:WBGene00053766 transcript:CRE01637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01637 MEVNEVDMDCNLPLVQLPQSGERVNVPLVQSRSEDQRLVTNARQTDKIDADDLVALANQLNSARQLVKGRACDRLKQIADQMEQLHMAARAVLEDAQRDEELHNVPCNMQKQPGRIYHLYQKQGSMDKYFSMLAPNEWGYQEKKEEYLGSYRLEYDRSWTPVGEMDRKDEEVARLQQILQRNAAGTGRLTWGS >CRE01636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:928501:929712:1 gene:WBGene00053767 transcript:CRE01636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubh-4 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LGX3] MTDAGNWCLIESDPGVFTEMLRGFGVDGLQVEELYSLDDDKALMKPVYGLIFLFKWRQGDDGNGTQSSKPNIFFAQQVIQNACATQALINMLMNVEDPGVKLGPLLSQYKEFAIDMDPSTRGLCLSNSEEIRTVHNSFSRQTLFELDIKGGEAEDNYHFVTYVPIGDKVYELDGLRDLPLEVADRPEGSDWVEAVKPIIQQRMQKFSEGEITFNLMALVPNRKQQYQEMLENLKQANENNELGEQIGDLENAIKDEESKMEMYKKENSRRRHNYTPFVMELMKILAREGKLVGLVNHAFTRAEARNKRGKLNTEKTMLQLKDPQNE >CRE02031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:926371:928086:-1 gene:WBGene00053768 transcript:CRE02031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02031 MNEKYTKTSTSSSFIKIKAPEVQEKVTSKLKKTNSNFDNSTTWNSFLTTISILSVLLCFQIVISLNPHSGESQPPMFGDYEAQRHWMEITLNLPIEQWYVNGTLNDLLYWGLDYPPLTAYHHNLLGLIAYKINKSWVELTDSRGFESVAHKMFMRISAIVPFYIFYLPPLIFFYLNSKKTSPMSYALALLYPALLVIDNGHFQYNSISLGMFLATYVFLTNNFTILGSMFFVAALNYKQMELYHALPIFVFILARSINKAQPLNSVFKIAKIGAVVISTFILIWLPFILTGTAKDVLLRVFPFNRGLYEDKVASFWCAFSFILKRLPFQSVQIYLSTALVLLCSLPSLISLFRQPSERNFRLSLVTTSLSFFLFSFHVHEKTILLATIPSLLLISDYPSSVLWLLNISNISIFSLCVKDNFPLSVFLFIGYFLVSYSTFPSSSPLKRLLQIFSVSTGFLICVLELYGPSNQRFPHIYQLANAFFSCVHLLYFLVSFSLESFEKVKKE >CRE02030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:919861:925239:-1 gene:WBGene00053769 transcript:CRE02030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02030 MVRVSDSNTIHTNSEGESSTSYVRLAGNGLPGGEQQQESSSGVAPAPSGENSPGRSNQLALPSSSTAATTSSNSQYAIPLDENDHRYVGLVNQVIAMTCYLNSLVQSLYMTPEFRNAMYEWEFVQHPAHAKEQKKKAEQSIPCQLQKLFLLLQTTDNDSLETKDLTQSFGWTSNEAYDQHDVQELCRLMFDALEHKWKGTKHEKLIQDLYRGTMEDFVACLKCGRESVKTDYFLDLPLAVKPFGAIHAYKSIEEALNAFIQPELLEGSNQYMCENCKSKQDAHKGLRITQFPYLLTIQLKRFDFDYNTMHRIKLNDKMTFPDVLDLNEYVKEKRSAPTVAPPTTSPQSAWKTIPPKKTETEEDDMELGSPNPKRCTPGNQSPNRYSYRGDENVVVGQPIDHEAVESIIKTSGDNVYELFSVMVHSGNAAGGHYFAYIKNLDQDRWYVFNDTRVDFASTSEIEKSFGGHPSGWNQSNTNAYMLMYRKIDPKRNANFILSNKLPRHIRDSQEKWKRLEREAEEERLQKLSLIQVHVNINYPFPSYVTLPDKRQLDLTPQKYQIADDFSEYKIEISREEPIRLVFGPAFDFFNERARAYSLPFSKSSARLIYVENKQMVMEFRSPRDLDKKLRTVFNSYQGEPGSMYSVYFVLDVKIASGFFQIDYQNRMTIKVQRVDVGKKTTANELTIVVPGDEKMVKVKQWIGSQFRDDIYETLNARLVLEAASSSREFVLIDQTHNGMDFRHMINQYMGHATPTLYYDGGLNTMTNAESKEATVADRKLPFEKSSMWQILDRKCFSTFVKVRLPSQEEVEKAASTRNAYQGLSWAETVAIMKEEDRLWNEPRGAVEVMSTVSKNETSDAALVVSDIINHTVFSLIFQTEADDEPIPSGRGSTASMRSVSMEDFDGEAGISGSLCNNTPQMSPCVSEGDDIDEKELDGKSQLMNDYMQKSNSNYYYNGDSQTHVNKNLKIALGEETPSEAVSAVSSGQSTLVPSTSNQALSSMARSSDEAIDARITTVFSHENFHRLDRFLAHDLIQMLRKKTQETEGEDSESKSKVDKKRKKSNGESEAQKKSRETIGAQVLETSEGSNKMLGFHVSAAGGLEQAIYNARAEGCRSFALFVRNQRTWNHKPMEETVVENWWKAIRETDFPLNQIVPHGSYLMNAGSPEEDKLQKSRDAMVDECQRAEKLGITMYNFHPGSTVGKCEKEECMTTIAKTIDYVVERTENIVLVLETMAGQGNSIGGTFEELKFIIDKVKDNTRVGVCIDTCHIFAGGYDIRDKKNYEEVMTKFEKTIGWKYLKALHINDSKGDLASNLDRHEHIGEGKIGKKAFEMLMNDKRLDGIPMILETPEGKYPEEMMLMYKMEKK >CRE01635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:918488:919660:1 gene:WBGene00053770 transcript:CRE01635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01635 MFRHILILTILSCVLAYHQYRELKCSTPTNSIRGGPDRAECHLVLKAEELETGRPVPTGLGCWQEDHDGEEREYCDIVCPKSHTVFISYIDQGHRACFNFITYQVEKRNDEHVIWRSGKCLNSTVNYRIGCKFDDPFETQFKSDNEIFAHLRARARRV >CRE02029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:913672:915467:-1 gene:WBGene00053771 transcript:CRE02029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02029 MEETNAFSPLNQFIMQQNAISPTKTQIDEKLMELVRSFQNQTAQNIPTGLTENMPQLPVMIPRNEPQGDQMLKASPSASSELSSPGSGEIAEHMLLMQQHQQQMQKQQGFQPLQQLSIDSSEANIAAGALASAEQRSNGHCESWAECFTTTRLVEYEALLFSVYVTERSDRKYDGWTYFDCLHRLQSKCRYRVRAKKQDEFYIVEEKCVHNHGAVEPVGQAGSHAGLPKTIREIVDRSYNENWLLEDRTIKVDEEIKRLGLPENPRLGRQIDNRVAYLRRVKNLHETRRIQDQVNGSGIQDTDQLTEALYNPAVMQALMDRNGGKLTVGQGVVEQLFGPQTIADLVSSGPSTQGSVSEEGEMTPSPPSTEMNGLDQLAKPMAINPTPEFFQFLQQQQQNLNEQTVGAAVRENIKMINKNIANLQNIQNTQGTHLNINGGMENIQN >CRE02028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:905751:907785:-1 gene:WBGene00053772 transcript:CRE02028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02028 MFKAYLMAEEQNEQVRDILLLPTTVNEQVGSSADEQIAAVVDFFHGKLAEYNEVILQNSRSVFVANYVQNRFLVTEFCPELAKLFDVAKVKSLDELIQKLKEWEEDPAMKASGKALLESFEAWDCFLRDIDDELEKTHGPVKSHVTTLPEDSGILGLQSKTISYYVTGSAFDCVLIIVVRAFNKPEVNDHILGLYNRIDELRKLRCDVFLLTKGPPIGSSGGAYIKLIGVPFRKLYDMSEAEEQLKNNRKSALEYNGWRTLCKVVEASLVEGDYANTASKEAAGSEDSVAYISQKGGTVLVDKSGEILYKHIEDDKADSWPDIDEIVKLVEARNEKYLESNNNSTISIPKGGNLAKVNSEISVTKESATDKKKPCCVIS >CRE02027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:903215:904997:-1 gene:WBGene00053773 transcript:CRE02027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02027 MEIIDVEKEEKCLTCYLPGDSKEEDIDIHLVHLFNYLSDMFNNKNITFWIRPFRIITSHFLFSNLHFESCKFLKIVGEEHDILSNDGVSRLLEVLKPTVGITLNCRVEEGFQSRSHLSLSRLLVTNGKWFSFDDLLKIGCEVACFKNHSFTEEDVKKFINHWMDGSNPKLMHLRLHGFNLTPNWENILEGIEVWDEGKSRRPKSFKIPYVYRVEEINCQNGLDFKRRTDGKIGTVMHQSGTLDFLVWYDLQA >CRE02026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:898917:902082:-1 gene:WBGene00053774 transcript:CRE02026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ufd-2 description:CRE-UFD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LGW6] MDTNDVSMTDVTDESNKEFLTSLFDCEEKPDEKMLRYADSIIDVQKLMFDVSPETLQSNIAEIITKFVLLSEEGSKTTASGHSYNFIESSDVVGCREEDAIEFLLATFIRCNHELGKTDSHYSKKCLEAAKKAVLSVFIMIQRGYLDDHVRSEQASLVFVKRLLEDTVAFSFIKSLVEYAASPEDCDEDALSDVFNPIFGILRSGVICQHFELNNDEVVQQILRVMNLLLSIRVDTNGPRPLSNLLVNREDFLPVPSEKIKGREFGLMSYLGPFFEYGLESSARRPNNRVFIGVEEDARKADGSVNIEQKQYFNRMSTIRSLLHQVMFPLAVDQASRNNTLKWIATIISSNSDRTRTQYDPADVVCDHFMSNFLSVMYRFSEKIDISKIIMEYPFLPGSLVDISKETRIKMDESSALAFAAQFADRPVDYHFSTVCFFLTIAAQQLVIPPLMTQISDYSRHIKELKNKVAATKEKLKTAVGTERKELEQKLAQQEEHWRLMSRHLLCCKTQGQDPALMSSALDFVNKQMKLVMNALCDNLNLMGDDSQLPAEPTPMFCAYPQNYIEDVLDFYIFAIYNGGKLLVESNTEWIQRLTVMFTHYHYVKSPFLIAKLVRVLTAIQPPLWFNVVSLRMSQEKLLLCMIRFYSDFEDNGDFYEKFNVRGNIQHMLEKMSDDVFYKTKFMEMARECGSEFIRFVNMVINDATWCIDESLSGLKSIHDVEKKMANREEWERTDQEARNQDLGVYDEAKRKVSGWLGTAKNNLGLLLSITDNSPEPFRTPALGERLAAMLNHNLSQLMGNKCAELKVHNPSSYGWQPREFVIQLISIYLGLNVPAFVKYIAYDERTYSPDFFKNVIEKMRNKNILGVSQLERFVHLAEDVQKEYASKAELEEEYDDVPEEFKDPIMDAIMVDPVKLPSGHVMDRAVIERHLLSTPNNPFNRAPLVHSELVPDDELKSRIQAWIVQKRNSKK >CRE02025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:896942:898471:-1 gene:WBGene00053775 transcript:CRE02025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdha-1 description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:E3LGW5] MTKFLSLVQLERIWSTTMALFARQIQSLTAGGIRTQQVRLASSTEVSFHTKPCKLHKLDSGPNTSVTLNKEDALKYYRDMQVIRRMESAAGNLYKEKKIRGFCHLYSGQEACAVGMKAAMTEGDAVITAYRCHGWTWLLGATVTEVLAELTGRIAGNVHGKGGSMHMYTKNFYGGNGIVGAQQPLGAGVALAMKYREQKNVCVTLYGDGAANQGQLFEATNMAKLWDLPVLFVCENNGFGMGTAVERASASTEYYTRGDYVPGIWVDGMDILAVREATKWAKEYCDSGKGPLMMEMATYRYHGHSMSDPGTSYRSREEIQEVRKTRDPITGFKDRIITSSLATEEELKAIDKEVRKEVDEALKIATSDGVLPPEALYTDIYHNTPAQTIRGATIDETIVQPYKTSADLLKAIGRA >CRE01634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:890831:896765:1 gene:WBGene00053776 transcript:CRE01634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpcp-2 MHFTRRAVSPRASFVFDPRVNSINSHLSRRPRISECPEEEEEEGGEEIYVFGSEEMRQTILEDIPAIILEEEEEDNDSMVMSVARPVRVHFAVDVENLLAHQSVYVVGSSDVLGTWEAKRAMPLVQDPERTMRWKGSIMSEYDQLKFRYFIGYNLMSDSGEKLIVDKWEAFLHPRSTLCSAESRNGECRVDRVDLFGYYAGRKCVSDGWLQHPDENQILLRLHGNALKFYKTAKERKNCRVKMTPLDVRFKAPPSGHISFSYGEDEEDEDDDQTAPSNKCTHSVTHVAVLSDPRPKFYDQEETGVVFNNNKDYLVFRTHCLAAEFLAFYIEIFSEERKRIGACYALPSALQDASGIIHLPFINSSGRPIGQVSIEYLCVRALKGFEEPQLMDQTFCRHWKKRNNALEVGHRGAGNSYTKFAMARENTVHSLNTAAKNGADYVEFDVQLTKDRIAVIYHDFHVLVSVARRDGHALPPPMTRAQLDSSNLDFHELPVKDLKLSQLKLLMLDHLSFPQKKENVKKLVETGEEEEDFKPFPTLVEALTKVDPDVGFNVEVKYPMMQNNGEHECDHYFERNLFVDIILADVLKHAANRRIMFSSFDPDICSMVASKQNKYPVLFLCVGETQRYTPFQDQRTSTSMTAVNFAVGADLLGVNFNSEDLLKDPMPVKKANEFGMVTFVWGEDLDKKENINYFKKELGVDGVIYDRIGEEERRRNVFIVEREQKRALLSCSGASTPQRAPSPSPVSSENNNSPPLSAKLTRNTQSDSALLEENEDDVEGLTDKLTITGFQSAPMVSTQ >CRE02024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:887409:888216:-1 gene:WBGene00053777 transcript:CRE02024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02024 MRLLILFGILIPSFISGEAIKQCLCQPYEKCLMKRGAGSEPVDKCFTKCEKIFPTCKFVSCMKQFIPDFNRIKQCSYASMYGFRGCTSQKMNVLSRRSMEEFRDIMISTIEDKIGDFDESRQFMNKKAARQAECVFECLYPGRNHCTRKLKCDVYLPPEDEFFKSFYSCAEEKQLFSKSEQMYACISNLFVTTGKEEPWRAS >CRE02023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:883371:885480:-1 gene:WBGene00053778 transcript:CRE02023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02023 MATRYDYALLEFSNILAETEAAYGQKLQSIIDTFSQSRLDLPLKRLSEIAKVHSRTAQNLKKYMDPKKNEHLNLWKMCNVYSCGAVDLDKAMRETRSSLRTKIAVDGTVIEKPKRRNIFNSIQNHLNSSSYSTSSKNSESSEKTEKERRWFPKLPDRRIELRTSRKNTSSSAEYVEVDEKTLTGTKSTPPTPPIVRAHSQLKSSTSVAPDSQMKPPRPPIRTAIPDPPASIPPPIPSTTLFPPQPYATPIYGDLQKASNPFGINNNNRIGIVEEHSLRLQDECSPNKEMSVVRIRTDVDVSREMTKNEAMMSVYDSPLINKSHYVNIRPPIAQKPRTFVPERPSPTKSDIDVTPTLISVDGYQNPAPTPSPRTYYNSSEIPSSQRSSSSAYSSNYSSSSNVTSFAVDNVVSSSSDSSNNLHPDVIENARYVRVVSPDGAHHRF >CRE01633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:878574:882762:1 gene:WBGene00053779 transcript:CRE01633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01633 MDDEPGTSRDSNIDLVIAKVLEGIKETLPHVTDEFIMESIHKHGRTDTISATEHFMNKVFHDCFYSYTDEDQGKTFGPERMPEMASTSSEPMEVVPYDAKHPNVTNQYEEEQIKKAMLNSLNDGSIRLPVPPLSYSPNTNFCENEEEMIRDWNKTTGLLNQGNSCWFNSLTQMLYSIPKFRSILHHSPPITWHQLPIVNVKPKFVIHAELLLQFRALFAQMQFSEEKFIRAGEILRTVDKLYTSNGTNQSTIGTQQDASEMLILIMQWLGDSIDAAMYAHENPEYSNAVDDNLVISDSTDQPANSDVAGTAPPGYQEEAPVVSSTTKSSFEPPESRPNTPTEKEPIRDAQGARIPKSPRQSIPQLAPVEEAMDTSDVSRKSSSSQPPVLENQKDGEEEPLPVDLTVNEDTVSLVNNLKQSYKKIFEATLTTSTIHQDGSSYGQENKTVHCPASFTLQVEYGNLHDALEASTFSVRDDGVNIRNMYETLPAVFFCSLSRFQFDRTGEKVHNKFTFPRELFMDRYLKECLEISGVLRKELLEKRHELSLNRAKLQGVRSYPLGNGKTINLIDAFETVKNVTSNMRLSEPPSIEPSKREEGTNFLENTGKTFPYFTEENFPGLSSYIEKTNEAIMTLKKEESVLVKEMEALQEKISSIHDVDELKKHKYELHAMIIHDGEINRGHYWTYKLNKSIDGKEEWEKLNDRETTVVSYDQIEKEAYGTGSPHDPSAYLLLYVQSGADWLMAGDNETHSESLANLPSDLQSLVHQKSAEFKTRLENFRQRQIVRTDLPHITQMVDSPALPKSLKSFSWYRGLDDMYHDVNANPDESILRDRLDSYQVPVLGDNEILDMKNTTSFLWNKITFLDPSAFVSSEKLLEAHLLETIDGEHGGKEYIEKNLSCSINELKEDAENEIESVYNSFITNYIGTVAHLKKIYLSRFVVFVVAQLPRIHVPVIRYLLVRSMIHDKLGVLGKHAKKEIQGYMENSSDSGNTMYRIAEMLAHIFHTSLLSAAHCRISWESLEVFFVELNQSRERIETLYNAMIGAKNARILNMSLRRIADFLEQPSIYFITQQDIEDCTVLALLASFRMVVSILMNRACHLHDLHITLTHTGRTLLTENIVEEICIGLYTVHKWSKGLEGHDPANSNINMKELFVLLSNKLDFICASDAMEHDKEKLSVASKMQTALTDNLFHPLDTLTVGAECELDSGESEMTQINKSRISIGNTQSKINAQLADIVAVITSPYM >CRE01632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:871841:876788:1 gene:WBGene00053780 transcript:CRE01632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ltd-1 description:CRE-LTD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGV9] MNSKQHCNRCGKQVYPTDKVGPLKDSTFFHQGCFKCYICGTRLALKTYCNNRNDINDKEVYCSNHVPIAGPHDLPMASTNGSGKNLENNNHVKNGNWIDAGLSDMKIAHAMKATQVARPYPKISHEGAKYVVDYDAQTRLELLHRADEDELYEEFQDKRNREAAEFEKENTEEWERALAEFAKKYEKGQANMKKDDLIRQLTIKREKKLETLHTKRKERERHQTAELVDRQAKEMLELFKASRSEYSNLQYPSTPPPPVPPSCSKREIYTTTDYFSSIDEVAIHCARNEVASFTDLIRTLSSGARSDVDVARAIYRWITIKNLNTMVFDDSIQSDTPMGLLRGIKYGTESYHVLFKRLCSYAGLHCVVIKGFSKSAGYQPGYSFDDHRFRNTWNAVFLDGSWRFVQCNWGARHLVNAKDGSHEAKTDGNLRYEYDDHYFMTDPEEFIYEFFPSDPAWQLLPRPLSLLQFERIPFVRSLFFKYNLSFIDKQLESTVYTDKTGAASISIRLPPKGDSLIFHYNLKFFDSEENTISGMSLKRFVMQSVTEDIVTFRVHAPSTRPLLLDIFANSVSSGAYLTGQPIKFKSVCKFKVVCESLQVIMVPLPECASGEWGPAKATRLFGLLPVSHPDAIINTGRYVEIRFRMTRPLSEFVASLHKNRTDDRALQSCTRSALKGDMVYIQIDFPVEGQYGLDIYTRQDDQLINGKQLLTHCCKYLIHSRNC >CRE02021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:859683:861698:-1 gene:WBGene00053781 transcript:CRE02021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02021 MSNNQPPKQQSAPTGLFEFEDEWSFNLEQSYISSEVDNNDTSQNKETTIVVDKNVYEDLVTTCNTMKVQLKTVMEKIEEKKEADDKKNVEISKLKSEYEELKKNNKEMLEELAKEKNGSDLELELIQKEIKELLKKLEQEEKKNEELVEQNRGEMEKAQVEAVGLKSEIDALAEVIKDLKEKLSQKEKEKEEWKNKMKQQEECFSIVTRNCSTLELEIQDWRDKLQDEYNKNDELVKKLEQEMKEKEIRDSDQIVLQNVVDELMMKVEQEEKHRKELDKKEEEKNVKVAELKMERDALEVKIHELTEKLKHLDGFYEEKLEKYGNAVKLAEVESVKMESERELLQNNIQELTTKLEQEEKKKKRLEIDVEDKQQRVNELETQQKSLEQNIKEFSEKLSGQNEEISHLKVKLQDEEQKTTFKIAELTSNCDSLTVKLNAIEQSSKLKDDEIKTLQRKYSNLKKRGIQMLAEKSEDNQKLQLEISEQQKKVDELKEQLESLRISVKLEKEKRKKYENEVKAAERIVKDALREEKISRKMTEKKCLAEKTEKMALQEKVMDMVSYKCDFRKNQSKISRQIEEWSFTNLVRALSIIFSFNVSMFFNVREIFLWRVSTTERLQFDITLLTPILLSNSNNCLRGS >CRE02020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:857602:858828:-1 gene:WBGene00053782 transcript:CRE02020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02020 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LGV7] MSNIPSFIDRLLSVNDTANPSLTHCIKEAEIDDVIQMCTQIILEQATMVEVQAPIAVCGDIHGQYVDLLRIFNRCNFPPDQNYLFLGDYVDRGRQQLEVICLLMAYKIKYRNTFFILRGNHECASINRTYGFYDECKRRYSLQLYNAFQDLFNGLPLCAMISGRIFCMHGGLSPQLDSWKQLSSIKRPFDPPNKSIAMDLLWADPESNMSGWAKNSRGVSYIFGADVVKDFTEKMNIDLIARGHQVVQDGYEFFAEKRLVTIFSAPKYCGEFDNNAAVMIVDERLIISFDILKPAVREVTIRARGTAKNATTRSMLLSSRGPKSRMG >CRE01630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:854271:855460:1 gene:WBGene00053783 transcript:CRE01630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sfxn-5 description:Sideroflexin [Source:UniProtKB/TrEMBL;Acc:E3LGV5] MSKNDTLFGYPVYPKFKLGEPRFPQDTFLGRYLHCLDVIDPRTLFASNRKLEESLQLLDSYKSGTAQNVPDKALWEAQKLKSAVLHPDTGEKVLPPFRMSGFVPFGWITVTGMLLPNPSWPTLLFWQWMNQSHNACVNYANRNATQPQPISKYIGAYGAAVTAACSISGGLTYFIKKASSLPPTTRLIIQRFVPLPATSLASSLNVICMRWNEMETGIEVYEKETGKVIGVSKIAAKQAVTDTTLVRAFLPIPLLLMPPCIMPFLEKFKWVTKTQVRHIFVNAIVCTLSFAVSLPVALALFPQESAISRELLEPELQQKTSQTHLYYNKGL >CRE01629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:851180:852966:1 gene:WBGene00053784 transcript:CRE01629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01629 MWVSGQNFVSSHYSPHFFVVFSFDSSLFSFEMSKWFIVSCFLLCAISYSSYYLLTSNSLIKTVKTHTYKRFYELIRENTKMKLERLQDDAKLVGKPFVPPFINFDREYAIAPKYNLSICRIKKSMSTLMSGVACVLYDTGKFMRNNRSILEVWSQRFCADKNEYRRLNEVKWRMGDAHHTFTKIVVIRDPISRFISFFSNKCIFEAQKYPSRKQCYNCQGNVTCFLEKQYMRFMQHSSDGFSRLRPSYEDKHAAPLSWNCEFSKYLQEYKIVKLAVDPKDRKNGLDNLMNVLKESNVPNSTLEYIEKSALEGETQHATYDSDAHDVVKKQIESDRTIREWLKRIYYLDFVIFDFDRSLIDS >CRE02019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:849376:850895:-1 gene:WBGene00053785 transcript:CRE02019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02019 MGNKMAMPQQKREKPTHAAMKVAMKSGRRGIENANKLPTVAPKRQCLVRLLKMNRIHDYLQLEKEYIARQNSRTGRPLAQQKRREQQLVQSLRGTPTTLARIHELFDDEKHAVVVVEGSKREWYVPILSIVDKDLLRLNALVMVKAGGMFKTVPTAIVGVFDDKIDSNASGHKVEKCPKESFDDIGGCESQIQELKESVELPLTHPEYYEEMGITAPKGVILYGEPGTGKTLLAKAVANSTSATFIRATGSDLVQKNSGEGARLVRQIFQMAKDQAPSIVFIDEIDAVGTKRFDTSSRGEQEVQRTLLELLNQLDGFESRGDVKVIMATNRIDSLDPALIRPGRIDRKIELPRPDEKTRQKIFGIHTSGMTLQKAVTYDSVLGKEKSISGAEIKAVCTEAGMMALRSQRKCVSTDDFEKALKSVMLNKKAGAPECFFS >CRE01628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:845511:848755:1 gene:WBGene00053787 transcript:CRE01628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-4 MEMILKFGWIFLVLMVIPSIHCSTEKPVVFCDDMIGKYTALAGNLVLGSYEFPIMSSTTGGSITSLSDNNIPKLMHPCFSLSYVNNYKNLMFDIFKHGEFATTVCERQCAHFVDAARFECGDRRPPPSWLTGSVIGAKRKINCSDWALPLTEKGVKDGISLTFTYGTYASGDGKDLTPPFTLDEKSMEYLDGMFIKGLHIRPMVDPAKEAESMSDIESMFESFTQRHPEIIHLAFGNQITFFGDTYRNQSKYIAPRFIPTSKFWTILSNVPALKSLSLAVIEITGQESIPANMTRNLQAIGFYNVSMKSIPSWIQTELLQFLEFSVTLSDETDISGLDNLSGLEHFILTESNLSNIKSKFLAKSSKLLSLTLQCNAISSIAAGAFDHLTQLKFLNLAGNRLVSLPENLLINLNNLVTLDLKSLDNSSNTIQTYNEMTMQCQANLKTPATRLILDAMPKVPTPTNLMALDIRGQENFLKKNRQLLGDFKNLEILNLGNLGLTSIQNMSLEGLCNLNDLNLVGNPLSDKEWLGEDIFVNLNLQRIRMGSPKSMTSVPDSLIAFMRTASQIMFSTPISLNSVDLYRYKIGCDYDTISTATVFGYQIKNSSCESYVEAAIDKIRTQSSKYLSCLSTLTRVSAGLKRLGILKKEEKKLGSWEKIDCNWDKKLNGSRGTEIFWNLYEMIPSKILTLKQTFSLIFSKHLFRFTRHFRRFQKFFEFF >CRE02018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:837964:840473:-1 gene:WBGene00053789 transcript:CRE02018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02018 MFSRHYDQEGTNGRMEDVVPTPQISIDVEDADDAAATGSKTSVPSGPQPPVPTPHSSHSHHPHHSHHPPSRQHQWAHDQNRKNMSFEKSGHATRNASEDSKNIRFRADDAPPRGARKRSHNKRFHDFKRRLSVTPDQLKKLQPI >CRE02017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:833493:837528:-1 gene:WBGene00053790 transcript:CRE02017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-105 description:CRE-UNC-105 protein [Source:UniProtKB/TrEMBL;Acc:E3LGU9] MAEDRIKSKLRRPASIESSVSNRTKSRHKQSPMAMLMPHIIVGESFRKYRPHGLQNIRMHGHLDWRNLRRNFEKQSTFHGISHAANAKGWWKWFWYIAFTICLLALLIQIFFLISKYRQYGKTVDLDLKFENAPFPSITICNLNPYKKSAIQSNPKTKAMMEAYSRRMGSGDKSEGIAAALSASGGGLHAKVRRAKRKAKGKPRLRDRRYHQAFAQCLCDIEPLTGDRKGSCFAAFKGKIEIDTNNTAGFMNLHTSRCLCQLDTVSKTLWPCFPYSSWKEKLCSECVDNTGHCPMRFYKGNELYDNIKDQVDLCLCHKEYNHCVSTRDDGVIIEIDPNDELGSLDIGKKVANQLSAREEKQAETTTTEAPAVTQALGFEELTDDIAITSQAQENLMFAVGEMPETAKEGMSYVLDELILKCSFNQKDCQMDRDFTLHYDNTFGNCYTFNYNRTAEVASHRAGANYGLRVLLYANVSEYLPTTDAVGFRITVHDKHIVPFPDAFGYSAPTGFMSSFGVRMVRVQLKMLTETTDFQKQFIRLEPPYGHCRHGGEDSRTYAYFGFQYSVEACHRSCAQKVIIDTCGCADPMYPIADMFGNETKPCQAVNMEQRECLRNTTLYLGELYSKGKEAVIPDCYCHQPCQETNYEVTYSSARWPSGSAKVMECLPGDFMCLEKYRKNAAMVQIFYEELNYETMQESPAYTLTSVLADLGGLTGLWIGASVVSMLEIVSLIVLATQSYIVDKRKASISAQSHHSIPVHRASRASLNTLHKSSTTQSVKLSVMDIRSVKSFHSNHSSKSKQSILIEDLPPAIQEQSDDEEETTESSRTNGSCRYLAPGEDLPCLCKYHSDGTIKVMKALCPVHGYMVRRNYDYSVSNSEEEDVEDEVHLEPEPFYTAPYEHRKK >CRE01626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:830928:844895:1 gene:WBGene00053791 transcript:CRE01626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ire-1 MKVVFHLCTIIFLLLFTSGTFCSTPGFPNEDDSVEDDSAETILVSTIDGRLRALNSLTGEVKWTLQEEPVLRSPSAVKQGFTFLPNPIDGSLYVLKNSSLKKLPFNIPQLVHASPCKGNDGILYAGSKKDVWFGIDPKTGLKMETLSSASADRICPANQKQTIFLGRTEYRVSMFDEKNRGKTWNATFNDYSAHLLPEVNTWPFKHYASSSHGYILTFDKETGEMKWEADLKQPVVALYLLRDDGLHKLPFEVMGKETMENVAKNIFTVDQWPTVLGVNAAEPHTTSITNQFFPALFVGESSFGLYAIEALVDHQTITYSPKLLGPPLLEGPAPIALTDLEREEYLPPRRPIIRNIPPSITHKTSDGEYLLLGYHDRPNMAMAMIIPSRFPIPPPRNALEPPEIVPQITGPVESKHEDTSLILLLIQKYPVPFYGSVATVIVLLLTVIWQCGRQWDQNKNMSRTDSFEIVNNPGESRSAQTSKQSNRESFGGFGWRPRKIEIPEGWMAVGSKLMYSPSDILGTGCEGTVVYRGTFDGREVAVKRVVSEFVKFAHREADLLRESDTHPHVIRYFCMESDSQFKYLALELCIASLNDFVERKELQENVSLSLKDILRQSTDGLAHLHASKIVHRDMKPQNVLITMASQRGEMRAVISDFGLCKRVQPGKNSISRGIASGLAGTDGWIAPEVLISASTSYPVDIFSLGCIFYYVLTAGTHPFGKSLHRQANIVNGEFSLNKLADLDDWSLADDLISSMLHVDPLERPTAEAVLNHPFFWSAEKRLAYFSDVSDRVEKEEDNSPVVRRIETDARTVVCGGWREKICEALKEDLRKFRTYKSFSVRDLLRAMRNKKHHYRELPEDVRLSLGDIPDQFLHYFTSRFPRLLLHVYKATEYCSSEAVFRRYYSDDVRARMLPIVLEEERIRKEIKEQMANEVWARASPKSGPTEQRIPLKLTEKRNNNKKKLKNNE >CRE02016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:821357:824721:-1 gene:WBGene00053792 transcript:CRE02016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ulp-4 description:CRE-ULP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LGU7] MEVSTSYCTPAVNFKYGSFQDSDVSMREDELFRMGSYNSQGYYVEGTHLDSDGSIGEEDETSSGSNDQDQQMDFEEDDFDAESSMTEDLVDEEEEDEEDNDEWTNQKRPENQNSVAYYAAMEMLRIRFPFQSISIRISDFCCLQEKDLLNDTMIDFYLNHIVEHVLPDSSGSKVTVLPSLFWHNLSLRQHAFDSEDEKMMSDEQKMDLKFGDLHDFVADFDLHDFDYIVVPVNEWEHWSLAVICHPFTSKARTVIFDSQLTADLNNLQNMATLIESFMKYSYEKRTGSVMPYPLQCVLPQRMPQQTNNFDCGIFIAEFARRFLLSPPKDLDNFDFAREYPDFNTTTKRAEMQRVVLSLSTNRARWRPLVELLNGYNTAAPHRAL >CRE01625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:817924:819545:1 gene:WBGene00053793 transcript:CRE01625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctns-1 description:CRE-CTNS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGU6] MNLPVAILALLISVSPIWSLNNAVVKQQEVEIVLGGQTVVNFQIRNHTSPTLNKTRIYLSQSPFITHPEVVLVDNWHANVTVLGDLPVANAVLEALNCTTDGETSCPLDLHDAFARITVIRSRFLAVLIQIVGWTYFAAWSVSFYPQMYLNFKRKSVVGLNFDFLALNLVGFGAYAIFNLLMYYNSHVKSIYSMENPRSPPPVLLNDVVFAVHAFAACFVTILQCIFYERDQQSVSTKCIILIILLISFGFISVVATVLNKITILAFVTSLSYIKMAVTCCKYFPQAYFNYTRQSTVGWSIGNILLDFTGGSLDILQMILQAINVNDWSAFYANPVKFGLGFVSIFFDIIFMIQHYVLYRDVEVPHNEYHGVDNPNPDPSVRDAESYNVDNESMESTDPIIVND >CRE02015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:813836:816513:-1 gene:WBGene00053794 transcript:CRE02015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-48.2 description:CRE-CDC-48.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LGU5] MAQVPVQKDEKEKRNDELSTAILKDKSRPNRLIIDQSDNDDNSMVMLSQAKMDELGLFRGDSVILKGKKRRETVSIVLNADNCPNDKIKMNKVVRNNLRSRLGDVVSISSAQLEYGKRIHVLPIDDTIEGLTGNLFDVFLRPYFTDAYRPVHKGDIFTVQAAMRTVEFKVVETEPAPACIVAPDTVIHYEGDPIKREEEEEALNEVGYDDLGGVRKQLAQIKEMVELPLRHPQLFKAIGVKPPRGILLFGPPGTGKTLIARAVANETGAFFFLINGPEIMSKMSGESESNLRKAFAECEKNSPAILFIDEIDAIAPKREKAHGEVEKRIVSQLLTLMDGLKTRAHVVVIAATNRPNSIDGALRRFGRFDREIDIGIPDAVGRLEILRIHTKNMKLAEDVDLEQVANECHGFVGADLASLCSEAALQQIREKMELIDLEDDSIDAEVLNSLAVTMDNFRFAMGKSSPSALREAVVETPNTTWSDIGGLQNVKRELQELVQYPVEHPEKYLKFGMQPSRGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVRDVFDKARAAAPCVLFFDELDSIAKARGGSVGDAGGAADRVINQVLTEMDGMNAKKNVFIIGATNRPDIIDPAVLRPGRLDQLIYIPLPDEASRLQIFKASLRKTPLAADLDLNFLAKNTVGFSGADLTEICQRACKLAIRESIEREIRQEKERQDRRARGEELMEDETADPVPEITRAHFEEAMKFARRSVTDNDIRKYEMFAQTLQQSRGFGNNFKFPGEAPAGQPVGGNGGGAGAGGNDDDDLYN >CRE01624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:811532:813664:1 gene:WBGene00053795 transcript:CRE01624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01624 MADKVPTIKIVPLGAGQDVGRSCILITIGGKNIMVDCGMHMGYQDDRRFPDFSYIGGGGRLTDYLDCVIISHFHLDHCGSLPHMSEIVGYDGPIYMTYPTKAICPVLLEDYRKVQCDIKGESNFFTSDDIKNCMKKVIGCALHEIIQVDDQLSIRAFYAGHVLGAAMFEIRLGDHSVLYTGDYNMTPDRHLGAARVLPGVRPTVLISESTYATTIRDSKRARERDFLRKVHETVMKGGKVIIPVFALGRAQELCILLESYWERMALSVPIYFSQGLAERANQYYRLFISWTNENIKKTFVERNMFEFKHIRPMEKGCEDQPGPQVLFSTPGMLHGGQSLKVFKKWCGDPLNMIIMPGYCVAGTVGARVINGEKKIEIDGKMHDIKLGVEYMSFSAHADAKGIMQLIRQCEPQHVMFVHGEAEKMEFLKGKVEKEYKVPVHMPANGETVVITAQPKLDIKVPLEVCNKIDRSLSLDPNPSKLECPFVAEIVYDQANDNLHILSTAESEDLAEDADCVPITLSLSEIIKVNRIDWNVLAKELLIYDPRLQQKDDGIEMFHGEITLVATDSEDVELELIWDECREHWFKIIHQAILTIMTPENSPPSTPVIPVNA >CRE02014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:810253:811044:-1 gene:WBGene00053796 transcript:CRE02014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-10 description:CRE-RPL-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LGU3] MGRRPARCYRYIKNKPYPKSRFCRGVPDAKIRIFDLGNKRANVDTFPACVHMMSNEREHLSSEALEAARICANKYMVKNCGKDGFHLRVRKHPFHVTRINKMLSCAGADRLQTGMRGAYGKPQGLVARVDIGDILFSMRVKEGNVKHAIEAFRRAKFKFPGRQIIVSSRKWGFTKWDREDYERMRAEGRLRSDGVGVQLQREHGPLTKWIENPI >CRE02013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:808864:810154:-1 gene:WBGene00053797 transcript:CRE02013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02013 MSDNTAVLLQAMRMGGSLPPEIVDYMYHNGAFLLFLGFPQASEFGIDYKSWKTGEKFMGLKMIPPGVHFVYCSIKSAPRIGFFHNFKAGEIVVKKWNNETETFEDEEVPADQITEKKRQLKTMDNSLAPYPYENYRSWYGLTDFITAATVERIHPVLGRITSQAELVSLETEFMENAEREHKETHFRNRVDRENPVRTRFVDQHGLPIMKIREGYEIRFQEIPALSVSTNRIGVEYSDRLYRLLRGLGGDYKQLLAEMQIAFVCFLQGQVFEGFEQWKRIIHLMSCCPNSLGSEKELFMAFIRVLFFQLKECPTDFFVDIVSRDNFLTTTLSMLFANVRESAHAGDELKKKTAQFKQYLTNQFKWDFDCE >CRE01623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:805474:808564:1 gene:WBGene00053798 transcript:CRE01623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01623 MEEDNPSVPGSLDDSDSSIRTDFDTKIYVKYKTDDDSVEEETLFGHRIVLSSFSPLLHQLIQDATSSSSTSSSTSSSTSSESADESITSIKLDLSIFPNALAAFKVILESMYSGDIKMKSVQPNEVRAIRKVLAICRYCQISGLDQKILASSSTPPVTLANILQCSQQSSSPFLQYTNSQQIPNYLTTMLNLWTNPFFNALFGGSQGAPNLTFSPQSDTENSRTQSEGSSPRANSSATPPTTDLEKIVPNDDKEGWCRNKKYIEKVDGGFMCTVCRKIYGRYNSVSYHVTIYHRNPPIKCEENGCNFSTREARYIHFHKYYRHHIPLPENIDLGSRKCPFCRHVSKSPAMLEKHIARHETEGTNGSPGALKRAQSKKKIVPTMTSDVIGVPATTSIFGPTTGNPAGNIPIQCSLCSYSTNSTDLLFIHLAMQHTQELAQCSGILADNSSETSTSTSSSSDGEPMITDCDLDVKPLIDQSLMLHLNTPLSN >CRE01622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:795527:798351:1 gene:WBGene00053799 transcript:CRE01622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tub-1 description:Tubby-like protein [Source:UniProtKB/TrEMBL;Acc:E3LGU0] MTDTNSQWIEQNLQRQRKMLEDKQKQKRHQSAGSVRTTSTAMSLNSMKDYPSFDNANAFTSPSTDGVSNMNAPLIPTQAPVAPPRVQSMTTTRHNSIPQETLININDFSDNDISTKLSKNNLNPCVVSDDEDDRRSYADSPWHNEVVADKIPSELLPNYAYIKSNLAKFVEDPAQEHCLYKCSISRQKSGVDKGMFPTYYLHLEEVDKDRKQKIFLLAARKRKKSTTANYLLSTDPTNLSREGDGYVAKVRSNALGTQFTIYDSGQNPKKTSNQLSIRQELAAVIYETNVLGFKGPRKMTIVMPGIEPPTENKPATRCTVRPIQDKHTLLERYRFNELDSLKVLSNKSPQWNDETQSYVLNFHGRVTQASVKNFQIIHQSSPEYIVMQFGRISDDDFTMDFRYPLSAVQAFGIAMTSFHGKLACE >CRE02012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:794081:794707:-1 gene:WBGene00053800 transcript:CRE02012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02012 MASSKYPYVLSTQFLMLSIDIFFNALSVLCYGNNMTLLMIYILQDTLLIMSALVLFVSFTATFVFQLGLIHIVIIQFLPTIIISIFYVFVSIGWHYASLSSTWEDQTINIFMQTPLEIFYIFHKVIACIFYSYYKRTALQISDPKYNSDSAWLRELFIKHMDEKAAKLEARAAAAN >CRE02011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:792371:793792:-1 gene:WBGene00053801 transcript:CRE02011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pch-2 description:CRE-PCH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LGT8] MHDTKNPSQNFQVEIRLNQKFPKSTVQTRLPEFEEFIKSHATNARNWKPIVVKSEESPASGLWLCHNFFIKNLTIMFFPVTELFEKLVIGTCHLKDGDKLSNLLDEFSINPSNIHVYKLHKDGPLSQNIGEDDNEDSIVGSQLWQLPCVEFDSIWENLIYDSNLKNEMMSYVSALVSLSEKHVNTTIINVNRLILLTGPPGTGKTSLCKGLAQHLSISMNDRYPKSVMMEINSHSLFSKWFSESGKLIQKMFDQIDELAEDDKCMVFVLIDEVESLGMCRESSSSRSEPSDAIRAVNALLTQIDRIRRNSNVLIMCTSNLENSLDRALVDRADIVRNVGEPSDFARYAILKACIQELARIGKVIVDDEVPMPQDIVNTEDCRNSSTETLFHIAQEARGLSARAISMLPTIVYANSLGQDLSVIHCMDLFRDAVHERLSRHAN >CRE01621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:789905:792280:1 gene:WBGene00053802 transcript:CRE01621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emb-27 description:CRE-EMB-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LGT7] MNSDATDNDGFAVPSLSGEGPSSSKTVPSLDLPKHEPIRFKPIKTLTSRIDGSEYYDEASMEKVLEMLELGRTEEAVAYADTLYSNIIDDEQLDIVGIAEYIKILVVLRQWRRVSDLVNRRNYYQIHVVFAYYAATALFQRKMYDEVTELAVGHLLPNIGHTGPLPIRTLSQVTGRYVEEEKTKYSFANMAELDNISKRLKMVPALMITIAESFLKLMNRDAAMICINYAMSLDSTTLHIERVMTKYNLVEPAQWENYKKVRKEQLKLHEGNHDPRILIERAQHAYEMGRFGVAKKLTDEIFDLFGPHPECILLRIHCLTMLKDSRSLLELGHQLVTDDPYVPLPWYCVALYYYTIGSNAKARNFISKCTMMDSTFAEGWVAFGHILHFEVEHEQSMSCYYRASKLVDRSSEPFLYTSLQYCTHSQKLSKKFMLEAVARAPNDPLIRHEEACVAYSAKQFDEADGLFRSVLHMVTETEEDTPLEDVLKKPIDDFWRPMLNNIGHISRHKGRLREAILFYQKAIKMEPKYIDAIASIALCYAVLGETDRATEFFNRALAIDPFNETIRQCLANMIISCKSKFNIDKHTMPPAFNPDQFEGQSIVPRCAIRKVRYDGFVVPSIVSSSQPFMSMLRTRLTRSQAERAERGEGGTRPNRFEVEMNEGDDL >CRE02010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:783433:789599:-1 gene:WBGene00053803 transcript:CRE02010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rrf-3 description:CRE-RRF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LGT6] MAETANPIDNGEPSEEIITIVRPKHPRGVPQSQSQFPRGRSNFSSGTLPNRRLESTPVNTLATGHSNKMLLTTFKMDRTASRPRMDSEFPDNPGPSTSTAVPPPAPSHINNFSCPVNRGYLHDYQLHPQRPSNPRNIDYTSLKRHSLPSTHILYEKTKHRGGPNVEEQEKVVRMLRAVAEESEAIAKPKMTFRKQPVELSFDAKIIGSMNNDAFGYCRVHMETMKEFFSAKLKESNVGEVNWIKTGMMPRAAYEEKAYVIEGHIILTPNDEVEDKAELFSEFVTKFSSKITGMLQDQCFLEVPKMQKLFTKITPQHVDINVSGMAIGNCVNPGMFLVRGDFISQENTVCSVKLQTQHNQDVSRENSSFKVAGTNKYISFARFEHDKRVAMLYFGVRLSEFADDGLDHAGFRLNLYYNNFVRIVVDMSQENMNSVYIQLKNPPHLWEGIPKSTIFHPSKSKVLNLETCTEWVRVLTWPGDAEQRGIGCTPDAFSQSTWIRITFRKDDGIDSVPSEHLISVITRLAARSNARVTFGSIFSVRRKLAPSPALASLGSFRANYALQALITRGSVFMDQLFDCADKNIHVPDDDQEEKRPMELEHEPLFLKLVRRGMRECPQAVEETLEQLLNAFDERRSLDVLFAFSTMYKARKVQYERLLSGESLQDVGLAKPLPKNCVSVAKVIVSPSRVLLMAPEVMMVNRIVRRFGPDYALRCVFRDDNLGRLAIRDFSVNNIDHMSNVVTEAIYNTLKSGIKIADRVYSFLGWSNSQMRDQGCYLYAPRVDPITGAVTGTVEDIRIWMGDFRDAVSVPKMMSRMGQCFTQAQPTVRVSPILFQLNFLFQVKLQRHHWIVEPDMEGGPDNKFCFSDGCGRISYKLAGHISKILDLKAIPACFQVRFKGFKGILVIDPTIDDIMNMPKVIFRKSQQKFGEGGGDLQDEYLEVVKYAMPSPVCLNRPFITILDQVSAKQSTASHRRITKRVHYYLERELCSLSNMLLNENQAAEELVNRTNLPIDWNLASKRAGFQLSTDPLVRSMLFAIYRYNIIHHISKAKIFLPPNLGRSMYGVVDETGLLQYGQVFIQYSPTIRQTSDTPILKTGKVLITKNPCHVPGDVRVFEAVWQPALAHLVDVVVFPRHGPRPHPDEMAGSDLDGDEYSIIWDQDMLLDYNETAMVFPSSSSQEEDKEPTTDDMVEFFLRYLQQDSIGRMSNAHLVYADLNGLFHENCHSIALKCAVAVDFPKSGVPAEPLTSHEQCDVTPDYMISGGKPMYYSARLNGQLHRKARKVEEVLEEYESRGSIFEGEYDKLICPENVDVFFGSESKLVQVMTLRDEYIDRMQQLLDEYGIEDEASVVSGHAASIKRLAGMERDDYSFYHTDKVVELRYEKLYSVFRAKFFEEFGGEEANTVHDGKDTRVACTPAMHEKIRQWYFVAYVQPKKNKTGRYIGQSLPWVAWDVLCSLRRQLMLEKNDAIPRVKYPIAARLEEEMEKTIARNQEKFDEFEKIMETRKDTLFIRRYQHFYGKQIVRLLFILQGWLERENVLPSISLTVWQIGRLLIRFGLGALRGNPTIDFEKSILAPTMVFPEWISKNPGDDEVPILNQFDMGSMMIEFLRYLASQSFALADSISLRVFKDRTITEPSLLKSYQWTPLHHVAYRTFHSVAVSGRFDALHLDEDEIVEHVSESKDPILVNETLFSSKNYKENCPITRSRILQALKDWSGVQEIIPREITGSRKTDLVYVTTVGTVLARQRLARLLLLSGETIRDAIANDVIPPEVRDEFL >CRE01620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:780837:783210:1 gene:WBGene00053804 transcript:CRE01620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01620 MIILILLLFNVVLSKLIRVNEWHEIPITGTDYEEALIDFHLFATCEKFSVLFATEKEGASRFEFTYYDIALRKIDVQSVMTDQVHIPETPKVIGSCRENNRKILRAKIRTSNDTITFKIDDQLIRRPFDSSLHKIKILIPQSVACCLIRALFLSNADLEAYTSVSTRFVTSSRSASSKRILEVFESTPPSTSPIPTTTTSETVDANKWSKFTLGKNPTVSSSTSEPKPEGSWTHAVNKEWSITFVLCSIIVCTIMLFLAVFGTFTVFYLMTKPRGGKSPYSVDA >CRE01619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:774606:777422:1 gene:WBGene00053805 transcript:CRE01619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-14 description:CRE-ACR-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LGT4] MNPFSLQRTPLPETHLLTATIFSKTATKHIVSIYLSSESLSSSISFRSLGGITSLILHFNRLLLLLNVFFFLPLYFSKRRSMAPFVSILIGFLYICGVKASVDEYRLLQYLKEVSFLNILNFGFLNFQNYDSFERPVENSSAPLDVQVRFLLNQILDIDEKNQVMSILAYMDYHWNDYKLKWDPKMFGGIRDVRFSGDDDASFKLWRPDVLLFNSVSESFDSTYSSRFIVSSNGDVQQNPPGIFRFICQIDVTYYPFDRQTCFLKLGSWTYNGQYINLDFLLRKIIGLHGNPIVVEPERSSTNPASYFVNESIDLQVYLQNGEWDLEGTPGKRVVQKFGTDEYHELYFYIHIRRRTLAYGINLIIPSLVISMMTILGFTLPPDACEKITLETTVLLSVIFFLQMVSNTSPPQSQSVPILAAFFSCCLMLVACSCVFTVLTLSLHHRKPETHEMSPTMRKIFIDWLPYILCMCKPDHPKTPKPKVLGKSIAPIHRLSTIPMLNLPRVSQTAFPDRSVFVYHEVPAIIKNTSRICSELLIIEKDIEKMVNKIEEDSKEEMLRSEWRFAAMAVDRLCLYVFSAFITAITCVLIVPHIIANL >CRE01618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:771396:774145:1 gene:WBGene00053806 transcript:CRE01618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01618 MASYILNALTFRHDHDAAERTLHHFWDTKDLFDSGLIEEHIASQPLLAASLFSLIACSIGLIIFAIVFLVCRFRGQCGSQKYQEYPSNSTNYAIYLMLFFISWLIVTSASAYFVLAGIGFWSEKLDARKPFTNASLVRLSRSTISDLFASYDYQGDFENVSETPKKSLKRIHIHRKPLLQTFRKRIEIPIRPLAEVTFSGTSDKFITKNRPVLDLNSNMNEVSEEEKVDDVINLPESFVSRQFPTEEEIKNQVQEHSGIPGIAEIFGNSEDPEDSTESPGASDENNDDDVDGNQSLVVTGGTMTIEEDSEDDEDVESEETTATTTSTTTTTTTVPPPTTTVITSTTTKSTTSTTTTPKPTTTTTSASTTTTTTFNPTTISTTQVFPEVSWKQIEETNSNDVLDQLLTHAENHPELPVDNSLAYNPNLTYFSLHSRFLVFVCRLTFCFVALALVFVVLPTFFLVVAGTGCYIYSDHPMNRSSVSNKIGQVISINASIFLFMSPCMLMFSSFTLFYTHCHELLCATIQLQNQQARGLIDTNHQSLIENYSINSNHCARSLAPVQSLLLSSLLLCISLLPCVFAMFKLVKYYFRMSSEFYWNAADNFAGRQFSKQQEFPRYQSTVIYPDDDMTTGYAVYGQI >CRE01617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:768434:770015:1 gene:WBGene00053807 transcript:CRE01617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01617 MSSTMSAPTFGISQPISMDFPNKKDLLLNKSLTETLKSFNCFEPSEETSQRVKVLNKLNFLVKKWIHLLTITRIPMDVDAGGKLIAFGSYRLGVHSSGSDIDTLVLAPRHVTRLDFILENFTAFVPIMTFKYSGIDIDLLFARLDMPTVPDNIDLSDDNILKNLDPESVRSLNGCRVAEQLLKQVPNQSTFCETLRAVKLWAKNHGIYSNAIGFFGGIAWAILVARTCQLYPNAAPSKLIQKVFFVFSTWNWPSPVILKHMENRFDMQNLSQLVWDPRRNYADRLHLMPIITPSFPEQNSTHNVSRSTLQVIQNEMKEAFKICEHVQKGKATWKDLLEETNFFCKYRHLIAVSINAEKEKEDTDFAGFFESRIRQLVQLLERNSQVQIAQVNTRKFKAPFSPGKSQWFIGLEFIQAAKNLDLTEEIKRFKKIIGNQAKEKIGTVQIDAAYVKKSKLITYISAAELKRGKFMKKDTSPEKENANRKRPTTTQSGDDVKKPRTAFNSIGSVQTTF >CRE02009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:767603:768116:-1 gene:WBGene00053808 transcript:CRE02009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dylt-3 description:CRE-DYLT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LGT1] MTDTSSVSSTSSHKPSVESDDKKLLRALIEKKNKKKNQKKKKAFSNAEEQAIDLIVQKTFDSVIGKDQYSPLKTTEWMSKIIQKISKALVKREETRKFVVQCTICSKTEELSICSANMCSWDTSKDVAVYSEWISKTVFGAVQVFFITHRFSKRN >CRE01616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:765119:767361:1 gene:WBGene00053809 transcript:CRE01616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-5 description:CRE-MIG-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LGT0] MEPPCTSDSNQIKVFYYLDDETTPYVSVIDTREGVATLGNFKNSFTKRGYKYYGKELDPDIQREVKVELTSDSDRLRKSQNGFFEVFLVSTPGYGTLPRNTGTMTRTQRTALDKRRRRSADFDATPYSDASLAPSTIVSRRAGEHLAELYTSNSEDPYQYDEHTRRTIDDSSIYEPLGTRDMNKFHDDDRRKRKQKKERFRRPYVPSTISSATESSVNSGLPRILEIYLPMKNVPYLGLSVCTMDGHIFVSEIAPEGAVEKDGRVNVGDQILQVNRVSFEDLSGPQAVRALRDAAASKRPITLYISKFARGAPSEYDDPLASMASETMPLDVGVWVETAVQNTEKMKALGLDPQEQTMTSVDDGTLPFTSTASDDEERILYDQRRNGIPRALLEEAERKKENERNEKAEQLTELIDPIIVVRAMARPDSGLVVKNRKWLKILVPMSFIGCDLIDWLVEHMTDIHSRKHARLYAARLLAAGLIRHVVSKLTFTEKCYYVFGDGILSTDRNSTDTSGTSGTTMRVEATTEVTYVGSPAPHAVATRIGRNIPPHRLETTTLSPVAHDQTWLRRRRDCESPMTNDYASMVGESQIGMNPAGHYNPYATKNNRQVPAPSQVTTSSLTNGEKLNPLAVLFSTNSVTNSRKRWYWRAPTDTSVQYYGSSSVSDPITEHHQPRFRRGE >CRE02008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:762823:764896:-1 gene:WBGene00053811 transcript:CRE02008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cct-1 description:T-complex protein 1 subunit alpha [Source:UniProtKB/TrEMBL;Acc:E3LGS9] MASAGESILALTGRRTTGQSIRSQNVTAAVAIANIVKSSLGPVGLDKMLVDDVGDVIVTNDGATILKQLEVEHPAGKVLVELAQLQDEEVGDGTTSVVIVAAELLKRADELVKQKVHPTTIINGYRLACKEAVKYISENISFTSDSIGRQSVVNAAKTSMSSKIIGPDADFFGELVVDAAEAVRVESNGKVTYPINAVNVLKAHGKSARESVLVKGYALNCTVASQAMPLRVQNAKIACLDFSLQKAKMHLGISVVVEDPAKLEAIRREEFDITKRRIEKILKAGANVVMTTGGIDDLCLKQFVESGAMAIRRCKKSDLKRIAKACGATLTVSLATLEGDEAFDASLLGYAEEVVQERISDDELILIKGPKSRTASSIILRGANDTMLDEMERSIHDSLCVVRRVLESKKLVAGGGAVETSLSLFLETFAQTLSSREQLAVAEYASALLIIPKVLASNAARDSTDLVTKLRAYHSKAQLIPQLQHLKWAGLDLENGSIRDNKEAGVLEPALSKVKSLKFATEAAITILRIDDLIKLDKQEPAGGHDDCHA >CRE01615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:760479:762574:1 gene:WBGene00053812 transcript:CRE01615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01615 MDHNEMISRIGEEIKNAGVDFNLDDPFEDPSLCTTAFMDILWQDEHGNSALMLASAENRVQQVKGILIMAINSGKLWQVIDMRNHERLNCVDMAVRAGSETCAMLITKVAREYAKHRPRSETEKQFDSMPTTVEDVTFTFLGAKGVEQFDDKYVKELVRQSSSASGHGVVTKKLSREKISNSLAKSTAASAGKEYLKMVKRSSSAHSRLMSQRTFSVESGSIDSSEPSTPQLTAPPADHGVLSSVGERIRSIFGKKPPLHTKSLSLAQSDRAVSPSVRSSSVSTKPLSYASATSNFEVRCLKFTIFSCTKIFKDDNLKLPQHSSSVESPTVEAPTNSGLFRWGSKDKPVNSSFAMSNGGRLPPLNLRRRGSSDQKNRDFTSLGGDE >CRE01614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:757088:758300:1 gene:WBGene00053813 transcript:CRE01614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01614 MSNQKISAEYLKIHRHSKNVLIFFQIIGSLVFCAVLHPVFRNRLLLGSTTSTLASSLPFSCGFSVLMGMITAIMTRLKSPRAMIISNAICFLSLIIVLGLTFGIYKSIGNSSAESFQKEFLEVLNTHSEKGLKTLHKFQQSTQCCGVPLSNETVWNQTSISPNSPLASWFFYTMLDEEYIDEARVSIKPSSKDVIIDELVEIQHRLRKSKKSEDARQSVNENSCEPPEKVLLDGVPTQLFIVGAGFGWFNEK >CRE02007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:755643:756614:-1 gene:WBGene00053814 transcript:CRE02007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02007 MASSLENHLDQDGMCSVYSAQPSETNCSINEVLAKEMMAANEVTDDHAEVSIYSVPKSETNVTISDPFQACEAMNKFNVSVYSAPKSETNVTMNNKFERCQDLANVLDYSVYSIPPSETNVTMNAPTLSEYTALMSETDVTMADGFQPCGVLKSLNQEIESSELTAKSLRFNNSAYVEQLQLELGIPDKKVIGLESSNSSIVKIVDSNECLVKLEKFQPVAVDFSQMPEPVSYSKSIADKSIESYLLRSTASCIQHEI >CRE02006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:743680:748093:-1 gene:WBGene00053815 transcript:CRE02006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-qua-1 description:CRE-QUA-1 protein; Qua-1 protein [Source:UniProtKB/TrEMBL;Acc:Q2KPB7] MRRLCAILPILLLSSFWHTVESLNYKCHNDQVLVVQSFGNDTIRMHCQRLDLCGYQKLKCDYDELQPQCGGKLNFVAHVNQKGSTAPVEHTCCNLFNPRSHHSIPTHIGNDCFIYELPDGSSNGKKVDPAPAEDTPYAVLKNPAEIPEQFDGVTGYRLRLFLLKNKSPPTLLVKGIERRLEGYRVTICRPRCTSYDKVANDNEGAEESEWKAISWSSWSSSSWSTWARHAFNKAAAESGSADRIRTRMPIGEKAGTGAGGATGAAGSDKNNINIHVESNGNNNNSFGGGSSEKTDSQLNREVSGSSEASNGDGAGSGGGAGAGKAGADGEVGSGAGAGAGTHGNINITVHTDGAGKAGGNAVAVANANVTVNGVNGGVSTAGTGASTNGNGGAGGADGGNGGAGGKGGNGGGHGDSGDDGDKDGKDHGKGKGKGKGKNGGDDGDDDNGDGNNGGDGDGDDNGKGSGKGSSGKGSGKESGKDKGSGGNKKPAGEWDDGDGDDEDGGAGNGGSNESDGDGKGGKGGDGDGKGNIKINIHSPDDNDLLEKDENGPGRKGKGDGDGAGAGGAGKDNGNGNGNGNGKDNGDGNGNGTGNGDNDGNGNGNGVTGDGDGNGNGGSKGPNGGDGNGTGARETGDGDGDGKGPNGSGTGDGGAGGAGGKGKGGGKGDKDGKGKGSGSGDGNGDGKGDGKGKGKGKGDKDGNGKDKNGGAGGAGAGKDNGKGAGKGDGKDKAGAGAGKDGAGAGNGKDAGKGKGAGDKDKNAGGAGGAGAGAGGKKDGGAGGAKAGTGAGVGAGAGGNGANGGKNGKGKGGDDDDDVDVTDVEVGTKPLTGSKLQELLAKLPNETADKAAAKSDEDALTPKTLKRKQNAEAAAPGTTSVSSGTSGTSGTSGASSNAAAADTSGTSGTAGSGTTGTSGTTATGTSGTGTASTGTASTGTGTAATGTGTTGTGSTGTSAVAADTAAADPAAAVQADAAGATGGGGGGRSNCFSADSLVTTVTGQKRMDELQIGDYVLVPSAGNVLKYERVEMFYHREPKTRTNFVMIFTKSGKKLSLTGRHLLPVAECSQVEEYTSTPDGIDAAMRESKYAEKAKKGECVLSIDEFGNVMADEIVRIGRMTNVGIYSPMTVEGSLIVDGVLSSCFSHLESHSAHKLIFDFLYYVYHAFGLLNTNHVELQPIPTFVSFAQYLSKTVLPFS >CRE02005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:736892:742772:-1 gene:WBGene00053816 transcript:CRE02005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-76 description:CRE-COL-76 protein [Source:UniProtKB/TrEMBL;Acc:E3LGS4] MLLPQTQQSILVVSTVISTFTFLATITLLPLFFMKVQRINSEMLTDLRNCHQDSSDIWKQLSQESVRGKRSYGRDVPSIPMGKCCSCQQGKPGPTGPKGSDGTPGSPGIIGLNGRNGRNGKYVASEAHNEPACQKCPVAPPGPPGHPGRKGPRGTAGNAGTAGKNGIPGRMGPPGPPGVRGPPGELGMQGPQGDPGKVLNGAPQGQPGRPGKVGPRGKGGHTGRDGRPGLEGIAGARGVQGERGSRGARGPPGPPGPSGSDGRKGSCSHCQSEDKPGRQRSEDINPEPEYSEQQPVDTVEQRPETTTTTEQAPVYTDPPTQAPTQAPVQHHRSFPAAAPRQSYNSAANSEVVPKTINYPDTINQATYKHDGDVSVAGTSDDSEDVNVIPAGQYSSRQYASKTNKIGKAIHFGYSNDQLSAFSPMGNGEEQENGEDGYGQPRAFQTNKGYNVQIMGSSNVPTYNAAPVGTAWKQPENHKNRQYQPQYLPPPAYA >CRE01613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:736199:736550:1 gene:WBGene00053817 transcript:CRE01613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01613 MTLSPETLLVVLAIAMCSLLLLFSIAVVIVFHCCKVESLHNLPHAVLERTRHSVNWARHSVFSHSQCDDFDEEMCGDTLHRQFLQPPPTAV >CRE02004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:732375:733766:-1 gene:WBGene00053818 transcript:CRE02004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02004 MGNTNEPESREPIEPIIEPTIEELFYKSEFHRGEQLEQRLQQLTNSGETNGFYEREFMVASREIQNIFKRDQCPLTPEVIKKLGLNLYGEKKPTIQYTRFYLKKRKINKSGQQGKQTTQKDDNIGDETIQLPPSSPNAWKPSRQKIVKIVSDEEAVMRDTCQNVRALLNKVTPTTKRLFIDTFLSYNISSNKKLLTEVVEIVLKKAFADPIYCDLYVQICQAKAAQEMLLTKKSLLKDSILTSIEENFQARKVDPKIISSINREPDLEKREKMKLVENQKFKEKRFGLMTCIGYLYFYYLLDKLQVRVYIRETLKSIAPRRLNENQRRGIIKGNVNQQEVFYGLHLLEFVGKRLDSDYKHVFLTDLFKQIKKEEHGLSNKNLFKFMKLVDLREDNWKQRGLYRLRPRTIEDIRKEVERKTHKKKQKKEEK >CRE02003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:730380:731974:-1 gene:WBGene00053819 transcript:CRE02003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02003 MSSSHDHLGLVELSDPTKMSQSLGDYHETSKDGSDELELESESIRGKELKNRLRQLVDSEDTDGAYGPEFMMTTREIQKISRQSPITLSFDEIIELGLGPLTPLELRNHELRRTRKVYVMLSVQTKEGFIVTRKEKRRIIEEAKLLKAQARAKAEGSKLVICLPPSSPNAWKPLRQRAVQNVPDEEAAIRNICGNVRALLNKVTPTTKGYFIDEFLSYEISSTPKLLTAVVGVVLEKAVSDQMYCNLYVQICQAKVAQEMRLSKKSLLEDAISAKVTQVFRSSRRRKSKKQAEIRKENDSTKQKENGSMDAQKLQETRFGLVTFIGELYLNHSMEKEQITGCLWELLKSVRSRENQKRPIKKDNVSQTEVFYGLHLLEMVGKLMDKEYKYPFSNRFLKLLKASENALSNKNRFKLMELVDLKERRWRPQVSIHLKPKTIGEIREEMEKKKKYIAQKQEKKSVKSVWMTILHLPDVSSSTSS >CRE01612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:728592:729976:1 gene:WBGene00053820 transcript:CRE01612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-3 description:CRE-TWK-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LGS0] MTVSMDENSKIQMLSAASKDKKAATDRSLIEKYHLGPLALHTGLVLSCVTYAVGGAYLFLAIERPEEMKRRERAILEFQDLKDQFVGNISFRLDPERNLEIYTKKLMYFLEDAHNAHTFEHFIVQTGIPKDMWTFSSALVFTTTTVIPVGYGYIFPVSAYGRICLVAYALLGIPLTLVTMADTGKFAAQLVTRWFGENMAIPAAIFVCLLFAYPLIVGYILCSTSNITFLDSVYFSLTSIFTIGFGDLTVRLFLKLQLSFAGFQPDMNVIHMVVFLAVGVILVTITLDIVAAEMIDRVHYMGRHVGKAKQLAGKMFQLAQSLNMKQGLVSGVGQLHALARFGMLVGKDDVEKEVTEDGVIAFSPDVMDGLDFVDTLSIYSRRSRHSAGNSARNLFLS >CRE01610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:725448:726528:1 gene:WBGene00053821 transcript:CRE01610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01610 MTLENDQEPAKYCKFCFGTEEDSTLSFVHPCRCRGSIHWVHNQCLSMWFAKANAVQQVMCIQCQTRYQKQLTLKSWRSWAIPRFGIDMFGLLEISVDLWITWRTVSGFVGMMNGTKGIFREIILCTMWKCFVASGRRFCYYGNLGLQLASSIFRVSIDDYDERREIKVRLPTDMDWEQISQSSVLN >CRE02002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:720340:724611:-1 gene:WBGene00053822 transcript:CRE02002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifg-1 description:CRE-IFG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGR7] MSNAVSRGPGRGKPNHDHNGGPMPDQSQAFVMPFINQPNQQTYPARIPHHQMPPQTYQNHYMGQHQPHQYQYQPQQQPPQMQPQQYGAYPRQDYGQQQMYNNQQYPYQPQQPVYQSDTVTYPPTQAAMTTPMQQEPKRQRKLLEIVDPTTKKPVDVTPHHVPAPVVPVPVVPVTQEDEIKKEHINREFLEQVKKGLSSNVASDDGHQASQPHVPVPRHDGHQATQAHVPVPRHDGHQAAQAHVPVPGLPNFSVPPPNIVHQSPPAVTVQQTAPSAPKTPEAPAKVETPTPQADHITPISSPQASETATTTPGSGTAEAALTSEARVTPTLLKEESKEEFDDDKFDENERIDTPDMTDSQIEKTPEELAEEEAQKEAEDNEKKRLKEESLETRVDQLIESGDANVTNGTFGRAFMVTIREIEKLFCRTPCPLSPSQLADFGLDIKTMRVADKKPNFTPNWVPNNKGNRQQNPYRGRTTTDGTGRGAQQQRDRGGHNKRPPPVRQSIERVQRVTLQSSKDAWKPDRQKGSENIPEDEAAVKEVCKKVRALMNKVTPTSQGPLTEEFISYKVSSNDNQLAQVVGIIFDKAVEEPKFCALYAEMCKSQVDHELREHKSKSAFRNTILTRTQAFFQDKRDIDADKLAVIEKEEDPVKREALLAEEKQKFRRRKFGVMAFIGYLFKNALLSTKIIHACTFELFTSILPKKIDDVDQKLRKEDIDEESVHCGLQLIETVGNVLDKPTSPFLDQWFQKLDIAKPFCSNKIRFMIMNLIELRKDKWIPRKSTESGPKKLDEIHKDIRQEKIENEKARDQYDRDRDRRHGGGVRPNSNSLRKQAPPTRNSLERNNRGQHPDQKRAAAAANTKLASSSVQPKNISLSAVDNSTLGKTKKEWHSGASGGGNTSESAAAPGPPKSAWGRRDSNDQRKKSNVDDKQSALAAAEKIGAMSISARRSTSQTSIPDKVDEEPTEEEMEGRMKIMSRIKSDIQEVIEGASTKEELVADIGSYIGKPNFGNASLPVFYEMVIRAVSEKNLKDNERKLLACILRMSLTSKVEKQAFIDGVTRFCKFAIDTELYQDFPHLWSYTAEVLMHTIHADPKLIGEISVESINLAEMKSVFLAAKMEGKKKFSLFVLVLKEWTDAELTETGKVEALSWDLQDLPYREEMEKDGLRKEMDEVTASNGKTLSSLLYSN >CRE02001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:708738:712530:-1 gene:WBGene00053823 transcript:CRE02001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dab-1 description:CRE-DAB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGR6] MAQKSDISVETSNAAAAKPNPPSPKSRLAMLKRTKKASNASSDPFRFQNNGISYKGKLIGEQDVDKARGDAMCAEAMKTAKSIIKAAGAHKTRISLQINIDGIKVLDEKSGAVLHNFPVSRISFIARDSSDARAFGLVYGEPGGKYKFYGIKTAQAADQAVLAIRDMFQVVFEMKKKHIEQVKQQQIQDGGAETSSKKEGGVAVADLLDLESELHQIEQGVQQLSTVPTNCDAFGASPFGDPFADSFNTTATSNGTMNMSGNVSNMSGSQAPFGGVQLPHMSQLQMAQQPTNQNWPTSGASFDAWGQQPAMHHAHSTPAFGSNGFSDTNPFASAFGTQQAPPPPLPAAAPAHSQFRDPFAVNTALPSSTIDWNGTGTTKENMAPSTNGQSLHHASTFANFGDKADNWTEKKVTSLEEAFTKLVDMDALVGGQGMKETKKNPFEHILNPPKASLNSLSTTCSAAQMAATQQQQQHAPSHADPFGDDFFR >CRE01609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:704790:706912:1 gene:WBGene00053825 transcript:CRE01609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01609 MVWKIVKSSLQRIHKAIRMCFWNIDTSIPKHKPFLFLKLPLVPFKLVTEFMDSNEIIQLSMCSYRLEYFLRISKYKLKKLNVHLSDKRLQYDLTQSNICSSIFFRKGKSEKQLEPVSKMQQLCERFVRIVKILYVYKAHLYYGEDNTILNIEYFSSNTVFDLFKCISALYSCSSVSWKFYLDILSTETMMHYLNLPLAEKCTGFSFLRGSLSTQLLTELLDKIPVSKRLEIGSDIPIDFKHPNVSFYCIALKYYITKYHSGKWITLNDLKSIRNVGCVELKSTIFDCSDVNQFLRYWVNCEEDMLELLELNLKEGAIIDKDVLTDQLITVHVEGASSTDFFIKAKNHKQRKFVLGHVEIGEDNSIGFSTWEAIGKKTRVFRILELLERKKELEEEISMIEKRGNRPGFSHFSEEMRQKNYKYEELRHLKISLNEQDHAGYHFEI >CRE01607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:698409:699997:1 gene:WBGene00053826 transcript:CRE01607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01607 MSAEKNWNNLSVKLKCRCLMNLNFKTRYRFRRTSKSEMSIIDGLPISFESVTVINEDLNPCASVVIVQDKNNKFTITSRQLYFKQDTILPLLNYILEKGRVEQFVVRSSEKDEVFSNGPNLEDSVAIKWEMSRFLREMKQTLRAMEETLKPKTNWSDLPSELKMECIDYMTLMERWNLRQTAHVERDLVNSRKIFAETVIVDDKFFSVTTKSGIGNFFISYEYDLEKLKRTCRFITFVLQKLSANVLKIDVESYDVICTIANDLSEDEFLELNTIIIVHNFVVEIHWWLSLLKKCVNVVIVEKDDDYQLDYVAKIPAVFNAETIQYIDVKNIRVLESLLKAWIEQPPKLNSEFQMRFQSFISIGPLSRMDRCIETQFDEHSDLLILQTNDLEKLILVFQLNKQREVFTYLISPNQEPYDEFSGWKHFGKY >CRE01606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:694388:696576:1 gene:WBGene00053827 transcript:CRE01606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01606 MVWKIVKSSLHRVLKAIRMCFWNIDTSIPKHKPFLILKLPLVPFKLVTEFMDSNEIIKLSMCSYRLEYFLRISKYKLKKLNVHLSDQRLRYDLTQSNNCSSIFFRKGKSEKQLESVSKMQQLCERYDSEDNTVLNIEYFSSNTVFDLYKCINALFSCSSVGWNFYLDQLSTETMMHYLNLLLAEKCTGFSFMRGSLSTPLLTELMDKIPVSKRLEIGSDIPIDFKHPNALKYYVARYHSGRWITLNDLKSIRNVGCVELKSTIFDCSDVNQFLNYWVNCEEDMLELLELNLQEGAIIDVFALTDQLITLRVEGASSSNFFIKAKNHKNRKFVLGHVEIGEGNSVGFTAWEAIGKKTRVFRILELLERKKELEEEISMIEKRENQSGFSDFSEEIRKKTEAYAELRRLKISLNEQDHVGYHFEI >CRE01608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:700977:702600:1 gene:WBGene00053828 transcript:CRE01608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01608 MSAEKNWNNLSVKLKCRCLMNLNFKTRYRFRETSKSEMSIIDGLPISFESVTVINEDLNPCTSVVIVQDKNNKFTITSRQLYFKQDTILPLLNYILEKGHVEQFVVRSSEKDEVFSNGPNLEDSVAMKWELSRFLREMKQTLHTMEETLKPKTNWSDLPSELKLECIDYMALMERWNLRQTAHVERDLVNSRKIFAETVIVDEKFFSFTTKSGIGNVFISYEYDPDKLSRTCPFILFVFQKLVANVLKIDDESYCMMSTLTIPQMETINLELNTIIVFHNSFIELYCWLSMLKKCVNVVIVERDEADLNKFPDFPAVLNAETIQYIDAKNIGVLESFLNAWSRQHPKLNSNFQMRFKSFESIGSLRKMNSCIESRVGEEEGYDMIALETNDPEKIVIVVQGTKQREVFVCLLSENQELSTEFFIWKQFVKH >CRE22570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:130243:132340:1 gene:WBGene00053829 transcript:CRE22570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22570 MCFWNIDTSIPKHKPFLIMKLPLVPFKLVTEFMDSNEIYYGEDNTFLMIEHCSSNTVLDLFKCISAVFSCPSVRWNFYLDELRTETMMHCLNLSLLEKCTGFSFMKGSLSTSLLTELMDKIPVSKRLEIGSDIPIDFKHPNALKYYVTKYNNGRWITMNDLKSIRNVGWIELKSTIFGCNDVNEFLRYWVNCEEDMLKLLDLNLKEGAFIDVDALTDQLITVRVEGASSPHFFIKAKNHKHRKFVLGHLEIDNDNLVRFSAWEAVADIHLLEILELLERKKELEEKISMIEKRENQSGISNFSQEIRKKRMHMQNYDF >CRE01604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:682405:683996:1 gene:WBGene00053830 transcript:CRE01604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01604 MSAEKNWNNLSVKLKCRCLMNLNFKTRYRFRRTSKSEMSIIDGLPISFESVTVINEDLNPCTSVVIVQDKNNKFTITSRQLYFKQDTILPLLNYILEKGHVEQFVVRSSEKDEVFSNGPNLEDSVAMKWELSRFLREMKQTLHAMEETLKPKTNWNNLPSELKMECIDYMTLMERWNLRQTAHVERDLVNSQRLFAETVIVDDKFFSVTTKSGIGNFFISYGYDLEKRKRTCRFITFVLQKLSANVLKIGVESYDMICTIADDLSEYDILELNTIIIVHNCGVEIHWWLSLLKKCANVVIVERDDDYQLDYVAKIPAVFKAETIQYIDAKNIGVLESLLKAWIEQPPKLNSEFQMCFQSFKSIWPLSRMDCCIETQFDEHFDALVLQTNVLEKLVLVFQLKKQREVFAYLISPNQEPYDEFSGWKHFGKY >CRE01603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:678318:680507:1 gene:WBGene00053831 transcript:CRE01603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01603 MCFWNIDTSIPKHKPFLIRKLPLVPFKLVTEFMNSHEIIKLSMCSYRMESFLRVSKYKLEELHVHLSNQYLKYELAQSKNFLSILFRKGKSEKQLESVTKMQQLCESELEFYLDQLSTETMMHYLNLPLAEKCTGFSFMRGSLTAALLIELMDKIPVSKRLEIDSDIPIDFKHPNALKYYVTRYNNGRWITLNDLKSIRNVGWIELKSTIFDCSDVNQFLNYWVNCEEDMLELLDLNLKEDAFIDVFALTDQLITLRVEGASSSNFFIKAKNHKNRKFVLGHVEIGEGNSVGFTAWEATGKKTRVFRILELLERKKELEEEISMIETRENQVDISDFSEEIRKKTVAYAELQRLKTSLNEQDHA >CRE02000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:676223:676954:-1 gene:WBGene00053832 transcript:CRE02000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02000 MIRNITIASLVLCAFVSTQRAPSTEVIDKILGSAETKTIEQINKEIDRLVEKLDDKTRQEHKAWKLKVEKDERERKSRIFKVLPKLSTRTQQKLIRIVMTQQNQTLSVGEKERILRHISTSMDNNTKVELARFLTDKDLFSLIY >CRE01999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:671864:675939:-1 gene:WBGene00053833 transcript:CRE01999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01999 MLHYLLSIAIFIFENKLELFLSVFLLVIFLLVIYFLWPSRDENDDVVNFRPPSIKTIQNSPDTFTGTPVGKSPSFYSNALTKGLWSRSKEDATAEKLRGSWEKLTKQPPRELYEPSEQEQVPSNIKQEIFFVLKALEGLELPRTWQLDPRDVETLSIDKGNVLLSPGDQNDVIIVVISGELGILTTVHSADKHYECNMKTLKSGESYFSQTSIIEILMNEKPKNKYIHLKALTNCRVAKYKLTSFYTSFLSNPQHWIRTIQVVMTRLQQCTLITCNMYLGIGGKCIDAKRKIPDSTKFANFDKLKEEEQMNKGVEWIAQAMGIPEHAEKLRDKIKKFECQTGTVVTEENSFEIDMIFVVYGKLTLKRGSLERDDTGTSLAFDVHPGDILPSMQILTNEPAMCTAKATEKTIYFKICRDEYIQILFAYPVIYLRLAFHALHFVSPFARVFDLAVGWHRIETGQALFRMGDKSDCMYIVMGGRLRAVDLTKIIEEYGRLDLIGITDMAEKRARRSTVMAVRFSHIVCVPDNLLSFVKIRYPQVGNKLLQLISKCWKTPTPETMSHVETTKIQNLRTIAIVPASKRVPLTAFTCELYNQLSKHVKLLRLSSSVIGNYFEQEVITKKADYGLMHWLNVQEIAYSLVLYQCDFNKTSWTRRCLRMADAILVVASGVESRDQQVLVSQNIWSSHSIFTLFQADSLLSCNEKGVRQSKELVLLWPENTPTPRGTADWINESYYSGYHHLRAPNRVFSFPVKTSEKKVGLRPFHLKPTCIQIVDFYETTVFCEVNYQTDFSRLARILTGNAIGVVFGGGGARGAAHAGALKALIEKKIPIDMVGGTSIGALFGSLYATTPDIRAIGRMKNFFTDRLRNNILDVLRDLTWAYCAILTGHRFNLCVQRMLNKVKIEDCWISFFCISTDLTSSSMRIHRSGLMWPVVRSSMSIAGYVPPICDPQDGHLLLDGAYVNNLPADIMKSLGANVVIAIDVGMSDENMNLRDYGYAISGTWCLFKRWWPFGEELRVLNMSEIQNRLAYVCCVNQMETVRNAQYCYYVKLPIESFGIFDFSKFDQAAQIGYEVTLQKMEEYFEDSMITRRKLLGCARNVGLTPQKSKNDNIISFVNMPCLPRTPNDLKSDLKSD >CRE01998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:667720:668667:-1 gene:WBGene00053834 transcript:CRE01998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-5 description:CRE-NLP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LGQ6] MLMQVLVLMGVASCAASFTVSSVRFHGAPYRALREINRELAKRSFSQLNQYSGFDTLGGMGLGKRSDADQAGEKRAALSTFDSIGGMGLGKRSAPSFYLYEKRAPHAMTSLDTLGGMGFGRK >CRE01997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:664935:666627:-1 gene:WBGene00053835 transcript:CRE01997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01997 MANNILVSIIWQLFWCSILFPIIHFGISLVREVTPKIGHDERLFPRDILYNTDLLNKHRVKIADELYRGFKKIKPSPASVEVRVVASNRGNDFLYQTVIFLLEQQASSYPTFNYTMQICNVESETFSDLKRFDKMEIPISTLGDQSKNAKFLNSTLKKENDDYWKCMALTTDSRYILLIEDDAVVIPEFSNLLKSLVRKLDDHEYVDFVKLYHPNYLRKLPSYALMGAVSISLSFFSCYAIKSFFKTFPIVTFLILSLVVFYDFTTYGCRLPADFRYYLTGSAYFSYPESCCTPAVIFRQSSVKTMYEYFSRSVAFEGHAKDHILDESPFTGRQSDVNYVTHIGSFSSIRQRAVFLSDLRDN >CRE01996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:661809:663069:-1 gene:WBGene00053836 transcript:CRE01996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01996 MNAIPGRTDMSVTLLLLFLTLPMVSSHELRRRRSVAPFLGSGGSNSKAMLIPKNLWHCGSDGFTSDYSYSVVEESCPTLAGKTLLYLHDESADLLTAAINHCCAIHDDCYGQQFPREMCDQDFCKCTKDVTRLPTAESTRCRPFMEKEACLAIEFGGFFAYLFSNYSDPSSPSNNDLVVLDNTPESDYMNLYSMCPFANITLASCAVNFNLCSSVHSVDFCAADLCHCTMDAADTDVLHNDTCLPAVTHTCRAVLSHSSRVLASQNTRNLFIIVLSVLTIVSLGFVGIYMFTKSKGSSKMMEEGKYLQIHTVESARSVNPLLTNTD >CRE01995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:657846:658671:-1 gene:WBGene00053837 transcript:CRE01995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01995 MIGMMNSGAESSVEFYAAARQSSLYEPRKKSNQSRTYTPEGRVLVNGAPLSPTLDADDLWKNMIIKAAAQKMIKENCEKKESGPMATIRRLSEKLKLKKSKSSAAMMNLDLDLDIPEVTKVESSSKAPLPTQSFIPSQFVTNIKIEEVDPSVFFVQPSESVDRPQMLFDESSVLARLLEESSRIDRMEKEFAEKDSGKGSIDDDSSASVVVHKL >CRE01602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:656245:657603:1 gene:WBGene00053838 transcript:CRE01602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01602 MNAFWLMAFLSCITIHNLGGVHAQSANIIEIPIPTNAPQASTSCTTATWLAWSDWSTCSDECGSCGVQMRTRTCLTTDSTCTCPGGSTGVQYCNLDICRYPRTTCCNNFRVTSYLGRFACLNATAILG >CRE01601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:651331:652403:1 gene:WBGene00053839 transcript:CRE01601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01601 MALHVESKHEKMVEDVKVLKIGAPKASEDVKKVDEHNDVQLSMDNFKFQRTIARGMFGEVNEYTSIKSGHSFAIKELIRSHALNQIFMNDREIKNHRIIRHKHIVQFYGTFQDEFKIYIVLERLSQTLRQVMETNGSLDEVSSGVVVKAVCKGLQYLHRMSVIHRDLKPENILMSNERIKIGDFGLATTETGETWCGTPGHIAPELFRKETHGTPADMFSLGIMAHEMIQSRLPFTEEYWRKNVIRANTLKYVPPATFSQPFSTVMISLLNKTPSKRMTADEVLRSDWLFDLEVNYERDQIERIRREHL >CRE01599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:644481:646662:1 gene:WBGene00053840 transcript:CRE01599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01599 MASDYNSRSEKMTVIVENVSSKPRMHEMLDGRCSNEDKVLDRIKAVEDVGQFNFCVIADSKVLLMVLVKRKCRKIDMKPNEKCVGVLSGNAKAPCYMVLKDERKKDIVIRPATETTETKRSYILKYETHLSTPTLYCRFKDELRCIQNEFAVEAKKLKDRNRKRGGNEIENEQLQMALRVEERHEKMIEDVKCLKIDAPKTEGDTESKEVGTENTHAQNSSDLFNFQRTIARGTFGEVKEYTSIKTGRSFAIKELRRSHALNEMFINDREIQNRMLIKHKHIVRFFGAFKDESTIFIVLELMSQTLRQVMKNNGIMDEASSGVVPENILLSNERIKIGDFGLATTKTLVTRCLFLSNSSFFRGETWCGTPGYQAPELFRKETHGTPADMFSLGVMAHEMLESSLPFSEQYWQKHVIRSNTLQYVSPAKFSRHLKTLMEGVLNKSSVKRMSADDVLKSEWLFDLEGKTEREEIERILSEHL >CRE01993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:636654:637428:-1 gene:WBGene00053841 transcript:CRE01993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01993 MTTEFMSEIRTGTKKIVYQEKNSEDESETEQEDESNTEQEDESNTDQEDESDTESEHGPQNETEPEPQDDSEPDHQQEDIDTFCACCGEPRSSWTRHREHHREPHASDSSQNGSTVQDQTTEPPSVAAEHVPRGLRRKLPTEASTAVQTSKTKSALNSKTTKPTTKAPPKPKPTTKPKPNKSVNAPITNAPKTTKARTTKKAPKPTKSPKPTKSPKTTKAPKTTKGSEDNESLHHLLMILV >CRE01597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:633820:634978:1 gene:WBGene00053842 transcript:CRE01597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01597 MLHSINDHSILANSETDPVKLFDFGKSSKPIWITPVLDRIKAVEDVGQFNFCVIADSKVLLMVLVKRKCRKIDMKANEKCVGVLSGNAKAPCYMVLKDERKKDIVIRPATETTETKRTHQHCIVDSKMNCAACDGKGQVIIQYGNTSQNEFAVEAKKLKDRNRKRREKEIENEQLQMALRVEERHAKMIEDVKCLKIKNKKFFTSEKFSEVKLGVEPPATKRPNCFRRKHMEHRPTCFLLESWRMRCLNQDFGSVLKSEWLFDLEGKTEREEIERILSEHL >CRE01991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:625931:628029:-1 gene:WBGene00053843 transcript:CRE01991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01991 MVAPSRKKSVVHPPHDHTHESTPQKNHHTRVKHVERKRTASISQEDGMEYNKRVRKLHKAFLAHGEELVKDKQNSGSNSDAELPIFDPDCDPENPKKLLFSDISSAAFNIKNGVQRTPCVRSLQLSSKCEMDLYFKKEYLQVTGSFKERGARYALSRLPEKYKKAGVIAASAGNHALALSYHGQQMTIPVTVVMPVTAPLMKIQFCRTLGANVILKGETIAVAKEFALKHATEHNLKYINGYDAIDILAGQGTIGLEILDQVPDVDAILVPVGGGGLIAGIAVAVKTLKPEVHVYGIEAETCPSFTEAYEIGHIITAQAKASLADGLAVPTVGGNSLETAKGLIDKVITVKEESIALSILRLLEVEKAVVEGGGAVGLAAILEGKVPELKGKKVVSILSGGNIDTTVLGRSIERGLAVDGRLIRLEVVVSDRPGGIAELTSTIAHLGASIKDIFHERAWISTDVFHVKVKIIAETRGKEHVDEIETALKKIYDNVVLH >CRE01595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:624158:625832:1 gene:WBGene00053844 transcript:CRE01595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01595 MYDKINVISFFLYFSIVCAQQPQFTCPNNANPLVANSGTNLFCTSVGTNTDCPTSSICTTAANAVGVLICCSQATGINPVCPNSAISQPSAIGYVECAINNPVNCLVGFQCVQSSNIANTFICCSTSTSVSSCPSDFTPALGANGGTIACSPSATTCPTGSSCMQSTLNSAFICCRSANSQRICSNNQNALITNGALELCTTPGTQCSSTGYTCQLSVLLATYVCCGQGSTGGTSIGCADGRPVYQQIAGETYQCEITSTITSCPTGYDCAPSDDPFVDVCCLTGSTPIPENLSCPTGWNPYRNEVDNAVRTCTAVLDTSCPIGFSCAPSNQVSQFLCCRLASSLVCINGKTLLVNGAPKLCTPTTYSQCPYNYSCQQSVNVSGIFVKTISILTFFQPTVTVCCSNT >CRE01990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:620094:622982:-1 gene:WBGene00053845 transcript:CRE01990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tdc-1 description:CRE-TDC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGP0] MVYGLGNAFKSLNTYYQEKTTRIRNSLSPSRPSMSETTAEGSSSSSRASTTIPSTPNMDSTPTVEDPTQNGNNGMSRDEFRQYGKETVDYIVDYLENIQKRRVVPAIEPGYLKDLIPSEAPNAPESFESVMEDFEKLIMPGITHWQHPRFHAYFPAGNSFPSIIADMLSDAIGCVGFSWAACPAMTELELIMLDWFGKMIGLPAEFLPLTENGKGGGVIQSSASECNFVTLLAARFEIMKELRQRFPFVEEGLLLSKLIAYCSKEAHSSVEKACMIGMVKLRILETDSKFRLRGETLRNAIQEDRNLGLIPFFVSTTLGTTSCCSFDVLSEIGPICKENELWLHVDAAYSGSAFICPEFRPLMNGIEYAMSFNTNPNKWLLINFDCSTMWVRDRFKLTQALVVDPLYLQHSWMDKSIDYRHWGIPLSRRFRSLKLWFVIRMYGIDGLQKYIREHVRLAKKMETLLRADAKFEIVNEVIMGLVCFRMKGDDELNQTLLTRLNASGRIHMVPASLGDRFVIRFCVCAENATDKDIEVAYEIISQATQHVLHDSVKAAIAEEDEEAVALEEMVADLNISETPEKVLARQNSANAETGQRLERQLSKEEILAQKQHESLAKKRYTPEPLDLSSAPENDLKPAPLSPEILVS >CRE01594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:617251:618974:1 gene:WBGene00053846 transcript:CRE01594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gei-14 description:CRE-GEI-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LGN8] MKRRGYNTQSWKTRMSAGGANRTNLQGAMARLHELEDSLLIQSDSHRHSGSDLIPKRRVNSFKPFNSRLQPSYYRSPIRSYRDLEPAPPPPISSFLPPRHTRRERSPDVLVNDKGHPIPRKGMFLNAKYSEAVPLLDECQVQRTAGLIRRMVDKSHRSKQFSLPDYQLQDSIRQAVYRQGVPDLYRTREPQYEEYGDEVEPGPSRQRSHHSSHHQSYHPSHQDLRRPRLPNHYRGDRRAQFEEEEEYDSDDEDEVFRETARQPPQKNKRRVVDEFEEHSDHPSRKTQRKRNVEEREERSSNEDEHDKSNHSNASNASKTSKTSKSKNTSRIEEERAPSRGSKSSKHSTPKLPSPTAAGPYIKDSDNDDNWRKSLPFMCQPDGPGAAMLERVGSAITPLSLRDDSKNSYSEVALMLLGKSLNNLRKPEKERREARPQSELLNMSTNWSRHQHKSRSTRNSDGVEKVVLETRKILKTLQRFSLNHLPFLLNLDYSI >CRE01988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:615910:616952:-1 gene:WBGene00053847 transcript:CRE01988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01988 MKVPDLTNYDHLIGGFCGGVTSTVVCHPFDLLKIRFSANEGSSLRPQYKGYADAVRKIVRVEGVRGLYQGWTPSLIGASVSWGLYFQWYNSLRTKINENFSTGSEMANNLISGCISGSAIMCITNPIWLTKTRLCLQYENQQTKRYTGMIDCMRQTVQQEGFFGLYRGFVTGVIGTTHGAVQIAAYSWMIDKRCAARGLPKDTFLNQTDYVVASSTSKILATTVTFPYQVLRTRMQDHNTDSRGVWKTTLKTIRNEGATGLWKGCLIANVRQLPAAVVTFLTYENVKRLVAMTKN >CRE01593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:614845:615710:1 gene:WBGene00053848 transcript:CRE01593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-10 MLNRSITSLANVQIRSVSSKYPRPTPRHFKRRWFEAALQPELPPTVSMCIAPGLVKREHTEKSKEYSDVELALSNLVRDWMVREEFRVMAVCQFLPVPGRTLWFAKNQLRSKNIEFRSYGNKILKKVFEKTPMSSLNNVLVGSNALLLSKDISAIKSILQETDKLNWIEPLVMMADGRIVDMVQARDLSKLSSLEDLRAHTVQILGQQVAQITISLDTATRHLPSLLDAYASSKQENN >CRE01987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:612568:614628:-1 gene:WBGene00053849 transcript:CRE01987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-142 description:CRE-CLEC-142 protein [Source:UniProtKB/TrEMBL;Acc:E3LGN5] MPMKKFIFTNISILICITTAHICTDGWQLVPGNNGSVCWALLPVPDFSAGLNASSKVCESANGEVVKVRNPAEKSLLSDMTRRVQEPTLTCYKSSQFAVEGKFKSVDYEEPPAYLRILNEKGAVKLSRGIQMDDRITLSFSLKVSKPENGKMHILPGRIRIGNSSNYSFVMECAISDKEANQCKSKYPGSDQVVKTILMVGEIKPQITVEYFGTVTFELVFACFFFVNFMNSFSFLDKRVDIWSRFKTSRPDDKTILIPSNFSYIGINEISVENVIVSSVEHSKKCSAMEEYQFESKRLEEGEMPKLLIERKDFPAPRRSLGNQFTFPVPESRFDYFNTESSEQNLWIVDVRLFQDFKSRASNNSVMINFWNRNSSNSAFAFILGQISFDVIYPENGKNKSIPLNKKLNMPKLKGHPPMDFRFKISQSLTDMTVLLTFSHTGHSFSDTVSLPLPRHFDEIRLNTGAGRFYDVKMKSLREVTVMTCNYRNLGVEVSEEEVPFMPNCFRKRNILCQKDAVDLEDDAPIPDEPEEKYIWKKGEKGKLGNSAEESETSEGTPKKKKVEKLVAGKIDEDIHIENIGNHTLSLLFPTTPIVTEGFQWSIIVLTTLTVTFITAILILNVLRSWL >CRE01592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:610585:612460:1 gene:WBGene00053850 transcript:CRE01592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nst-1 description:CRE-NST-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGN4] MAKYCLKKASKRVSCAKRYKIEKKVRDHNRKVKKEAKKNGTLNKKEKTISVPNSCPFKEEILIQAEQEREKIKARQEAAKEAAKLHRVEKRKLNLPANFESMVSKASKQGAEFEKKAANAADQEKFNTLDDKTIKAYASEVRKTIEIADVIIQVLDARDPLGSRSKSVEEQVLKGGKRLILLLNKIDLVPRENVQKWLDYLRGQFPTIAFKASTQEQKSNIGRFNSAILNNTETSKCVGADIVMKILGNYCRNKDIKTSIRVGVVGFPNVGKSSVINSLKRRKACNVGNLPGITKELQEVELDKNIRLIDSPGVILLSQKELDPIEVALKNAIRVDNLLDPIAPVHAILRRCSKETIMMHYCLADYNSVDQFLAQLARRIGKLRRGARPDLNAAAKRVLNDWNTGKLRYYTHPPEAGSAKDDTSVPAEVVTQFSKEFDIDAIADDQNQIIEGLPMESDTIAPHNSDEDEEEDEEMETGADEKKQTVTSGRKVKGPTKDDDKPVLPESLALDGNVQLNKLIKNAIKKQKKKSKKTSNRAEKLSDSIGNMLGESMEM >CRE01986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:608339:610112:-1 gene:WBGene00053851 transcript:CRE01986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cct-4 description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:E3LGN3] MAPPAAASARQSASGRERNFKDKDKPDSVRNSNIVAAKAVADAVRTSLGPRGMDKMIQSGNGDVTITNDGATILNQMSVIHPTAKMLVELSKAQDIEAGDGTTTVVVMAGALLDAAQNLLSKGIHPTTISESFQSAATEADKILEEMSSPVDFSNDALLNKMATTSLNSKVVSQHSWLLAPMAVTAVKKIINSDTDTNVNLKMIKIIKKMGDTVEESELIEGALIDQKTMGRGAPTRVEKAKIGLIQFQISPPKTDMENQVIITDYAQMDRALKEERQYLLEICKQIKAAGCNVLLIQKSILRDAVNELALHFLAKMKIMCIKDIEREDIEFYSRILGCRPVASLDHFNAEALGYADLVEEIPTGGDGKVIKVTGVQNPGHAVSILLRGSNKLVLEEADRSLHDALCVIRCLVKRKALLPGGGAPEMEIAVKLRNLAQTQHGAKQYCWRAFADALELVPYTLAENAGLSPIHTVTELRNNHANGNSSYGVNVRKGYVTDMVEEDVVQPLLVTASAIKQASECVRSILKIDDIVSFPNNFFMI >CRE01591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:606313:607914:1 gene:WBGene00053852 transcript:CRE01591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01591 MGISWLLLLFSTAHFYIEVDSKDSKETAKLRSVQVLFRHGARAPSEQITDPNYHSSFPRGLGEMTDRGFENSYKLGRYLKKRYVDKGFLDPIMKPKEMFWRSVNKNRCLSTASTVGFAMFDDQIRHIHVPVVTEEIDEKLLNYNLDNCPREVELVRERCPNFDGNYHPWPRYEAFIANCLNYTHPVFAEYPFETIEAYMNEYKNSIPPPPLIEKHINEIMAIYVNVTQFITGTGNHHDPRMMKVKFGFLMETLLENIREMKESRESKEKTDVKKFTVYSTQDWILMGVLDSLGVLNKTVGLEVYPEYNSMIIIELWEEKSGKFYVKTYYKKEEITAENHELIDVSNLVRNCEESEPNCSYEKFVRCCDDYKSDEGEGCEVQKRKRNIRGLSIDKAERKKPLFAGPE >CRE01589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:595570:599559:1 gene:WBGene00053853 transcript:CRE01589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-efl-3 MNHNGSAHAIFLNIEANKENIPPGTEFKKDSFVLPEPRVNRSTDPDHDNLIPSPVPRPSPATSQLSDASFLSQDGATVTTSADEAEDDLECTSRKEKSLGLLCQRFLIAINEETTGSPTNEVHLETVARKMSVEKRRIYDIVNVMEALDAMQKTNKSYYKWQGLELLPKLMSELQNEAIDEGLPERVLRVEQAMCSFTELASPRGSKPGGSKDTVGSFVGGSTTSTPTTPSTSFDSVTVKTELLEKRSRVDTRDRQGRNSLAQLCRRFLMVLLSNPKNVRKVSLDVASTVLIKDPETEGFEPPSRSRCRRLYDIANVLVALGLIKKVHYLFGTKKIPLFVYCGPEPDENASFDVFQSVERLLSSPQNIPQTPIIKAQTDKIVQQLAGFGKRTLSEQNLAKRTGNTPKIAKIKSEAVPISPMPDESKLFMFAELAAVAKYQEDIAKFRSLMRPVAPMAPMISTPPPQSSQQPITPSVVPPLPMAPLQIPDFTKLPPLVFPSISNNNTNFSFSDYAPAPPTLRPLVSQMTFRSECFDDKPKHLMSNILGDSRKYQNSQNTFEHTTSSAFQVVTKKGENTRPKKVFGEIQNLQ >CRE01588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:590000:591720:1 gene:WBGene00053854 transcript:CRE01588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-13 description:CRE-SRA-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LGM6] MSISSPNSSDIPFLDSNNSSFVADIPVGMAIISSQNRTCASETLLESYRSPIYTLQIIFNCLIPIASMFFLGKATYQLCTQSIIQYSTRVLLITTIMFAACHQAAYFSFKVSISLFSYFYSDLLHTMLFKLSDPCFLQRSSYDCRFISIASTAGNCGMTLTQLAMSIDRALALTFPKSYYKLKSLPGYIMASVVIVLSFSIWFLLTINDPLTGYLNHCGFYPSYSTANFESMLDATMVIATLNLIFDSGLMYYARQQILWKRSYQFLNRFESRISLNCTQAVFVISVCECLSFAVSSGLMKLLMSVGKNISTVTYYTLLSLFYTSPYSCLLLPILISKVLVYIRNQRTIGILSLRSEKQDLEEHHKRMKMAWK >CRE01587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:583930:588551:1 gene:WBGene00053855 transcript:CRE01587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01587 MPTEWKEEEETIALADGVQTKDKVLQKKVSFAETSPASSIRIHPDEEPIIIRKHSKLGRIINFLLCRSGSANKKQSKRIPSLSQLVPQLIIKFSGRLTSTFQSYNATSPEFYKEGMMNVGWLLTVGVTLFGVNFFQSRLFQYFAIKIVSKMKERFIESLLHKKAKWLDKKSSGSMTTILNQNMNLIYQGIGDKLGALIRALTIYGIGMAFSFLYEWRLAVVMVFTGPIIYFCMIIMNKKIASYIKKEQNVVGIAGCIAGESIMGVRTVQAFNGQEEMVHKYTEKLEIGKLYAISRVWSSSLIGAFILIFISAYFGGGVFFGGYLVKWGYINDFGDVYIVVFTMMFGAHNLSAISPQIGALTEARVSTAAVDKVTDKKKNVLSGDQIILNVVGRVVFENVHFRYKTRKNINVLNGLNLTVEPGTCVALVGPSGCGKTTTLGLLTRLYEQNEGKIMIDGRDVRELNVEWLRNIVGIVQQEPVLFNDTIHNNLLIGNPAATRIDMIQVAKMANAHDFILETPNGYDTVIGDGGVQLSGGQKQRIAIARALIRDPKILLLDEATSALDAESESIVQSALNNAAKGRTTIMIAHRLSTIRQADKIVFFEGGVVVESGTHDELVAAGGRYARMVEAQHFRDSDEMIRERDDDVEVLPENMWTRNCHDFHQSQSTKSSFGKSYESLPSVDQKMSHRCLGNSFSLSNDGLNNQDSVEKDLVDKNDHDSYGYMDILKSAKDYYWHLSIGVVFAVIKGSEHAMSSILMGSIFEAFLKLDGEEMISDLLFVFECYVGIGVYVALSLFISVRPFSYHSFSLPNFQSCLFAYVAENLSLKLRVQSFNSILCQDASFFDSPVHAPGKLITRLATDAPNIKPVMNFTMLQAIYSTSSLTISLIIAFVCCWQIASIATLMLFLFFILVYWMAGKLATENSEHIKRDEAGKIAIEIIENIKPIQLLTSGDRFLAHYKNAQSEERRSEMKKSFIYALHSAVSTTFMCFTLFICYFVAIHILHYGLVDASDAFRAINAVMMGSLAIMYSGHCFPEFVKAKTASGQLFKLINHHPKTGNQMKGRTPDIEGNVSFEIVKFSYPKRPMHPIMTDLHFSAFKGQTVALVGPSGTGKTTCISMIERFYDVTGGSVRIDGRDIRRLSLHHLRKYIALVGQEPRLFAGTIAENVCFGLKNVPNDRVLKALDDANCTLFLESLPAGIETEVGEKGSQLSGGQKQRIAIARALVRNPKILLLDEATSALDSQSERVVQEALDRARRGRTCITIAHRLSSIQNADLIVYIDQGKVQEAGTHAELISLKGNYYNLFEKQNLKMD >CRE01982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:575920:578411:-1 gene:WBGene00053856 transcript:CRE01982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01982 MTRRCEKMHPQDSWEWAHATHRISTIYIYFFISSMIFVGVSLYFFSKEFVVSPDNFSELVHSYRSDYTNKTTISYDEVIDAMNPFHPFHKFLARNHIRHSFGTVYVNHCYVFPYPNGTNLPSILRRMTFSAPAQASMRTAVLITVLLRMVIVLIRTSDVMLQNPSKHVQIRCIGRVCTVTDLMVSVFGMFVTCLHSSVDMNDLSFLVYYSLPLFGASFFVCAITYTYLESYDINRKNSRVLERAFCIALFAFCLPVVCKDYISFLFSKPCLYHAEWLPAICEYICIVTIVVFYLTQLEDFTKMQMVLSCEREETMCCMDYIDFADFKPAMLHDFHKLIEHQRAEEKKRLHKSIIFVS >CRE01981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:568484:569740:-1 gene:WBGene00053857 transcript:CRE01981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drd-1 MESAYQRVYDYFNGDPLLIYVIGGTVIVNINFWLFNLFFILIDMIDPNWVQPYKIQDEKKPPLFKYLGAFKVILTNQFISGPLITIFWYFPAVWFGARFTGPMPSGLEILRDLFVSVLCEEIGFYYTHRLFHHPRIYKYVHKKHHEWTAPVSITSIYCHPLEHAISNLSPVLLGPTICGSHVVTLWIWASLAILSTTCSHSGYHFPFMLSPEPHDYHHKVFNECFGTGLLDRIHGTDTTFRKSVEGKRNYMSWSFQPIKQIHPDERKEE >CRE01980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:565316:566675:-1 gene:WBGene00053858 transcript:CRE01980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01980 MLQSLYTKVFEYFEGNEYMLYVVAGNAVAASSFWLYNLFFIIIDVTDPKWVQPYEIQEEKKPSLSKYLSILKVIGPNQLIVTPIVTTIWFYIAKWWGMDFGPVIPSWWILLRNLAVCMAVDEIGFYYTHRILHHPKLYKHIHKKHHEWTAPVSIASIYAHPLEHAISNLSPIALGAVLFRFHVMSYYLFTSYAILATTFHHSGYHFPFMFSAEHHDFHHKVFNECYGFGPLDWLHGTDKTFRKSIEHPRDYVYYGTTPMKELIPDVTQKNNNKKEEVNMENIVQRNKII >CRE01979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:561670:564002:-1 gene:WBGene00053859 transcript:CRE01979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01979 MLNRRQTSFPKTPVSLPTTSRYRVHSAPPTSDGRTPLSGGRLPPIVKNRSVERGSLYMDKPSTPDPEQVRRVLEQRIAQALSGPSSRLKQQQMSSDEKQLSMGRRRSLIRSAAIGSEEMHLVPVDDEEMAMLRSINRSQYSKGSIGEGSTSESFTSDALYKHEYEDKSSDDDGDLAPGEQLRTKRKLSMVRQDEQEELNASMDGMNLGGIQSRRESGIRNLEDRLTGMRHEKMRSSTKSGEMGDDQISGLVYDKEFDCYYNPNTDRYYRLKSQSKSGEGLILEPREV >CRE01586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:560378:560809:1 gene:WBGene00053860 transcript:CRE01586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01586 MLTATAPTVVPEEQYGEVKGKLRSLAILKKHPRAFLPELEMFLKAVEMIYSTAETLRQSAATKENTEQIKALMLRSSCYEDILVRVVLGGEKLVDVLGKNSKYRKNCI >CRE17956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:500202:501962:-1 gene:WBGene00053861 transcript:CRE17956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17956 MSTIRRILTYILGQEDGSPKRPPLDDEELKIVAYLVDIGESIDKGEYQVVLEEQLSHFEDDSKDLSWTPEDEEYHNMKVYPDVIRCPGSPNMIQFERGDLIELEKVEEAVAYFGSKAGFNNNGSRIRPSLKSMNAKFRFIKNVHHLQKLREYEVFGSAKADRRSNLEFIAIELEKEVKKQIEQGKILHDAVLRFLIAGIIKEHKISIENFIGSDSWLLGWKRRFGVSSRKITKFVSHVRHKTRQQIEKDSQDFVNMTNQILPQYLPSSVFNADQSGFQLEMTTGRTLTLTGSKHVHCVVQSVSSTTHSYTVLPLIASDGTLHPKLFVTLKEKNGRFPKKGHKKCSNLVVTCHSSHIMTKELMKEFFRKVVFDPSMPKDALLIVDGWSSWNDRTAIDSVTPPSNKLKVLQIPAGCTGHIQPCDVGIFGGIKKVVKTLTNYGQISNPEYRMQARDETLKMLSLVWRQLCSPKLKDWVKYAWHAAGYDIPRPSNFKTPAEHLFPRDVASTECSATGCSKVSCAQCLYCEQRFCFKDFLIKDHKC >CRE01584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:554328:555179:1 gene:WBGene00053862 transcript:CRE01584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01584 MMCFQMRLLAFIRIEEKETRFCGIRIPNKPLAILLALLQLSISVASFLQHVYSFYKHKKIFECHSDIPNNSSLETHFLAHDIIIFDFGLMHRVLGTNECVANYLDGGYMRFAWTIEQSTALSISLVSLICIPKPLWLLWPGLLMQSSYTLGLSVLTMATAPKILEALGGIIDFELALIFSVYSMGFAMNWLFTFVLWHYYWHRERKLMAERGIFPPPEFV >CRE01583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:551036:551790:1 gene:WBGene00053863 transcript:CRE01583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dod-23 description:CRE-DOD-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LGL7] MSLIGKVALALVVVLAIGLVESTVHHRHHKKGSFNQPEEHIKLPRVSGGNPDYHISGKITCDERPLDHVRPYLHSPHWQRVIINLAVTMNGGEYHLSTGTTWDLNGSVQMMIRHQCHIEGLPPISTCGIPYYTTNFEMELGNNTWITKDIDLFKMKQYSTSDCLY >CRE01582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:545238:549249:1 gene:WBGene00053864 transcript:CRE01582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skpo-1 MKSLLVTVLLVYLCQLARSEECTDANVNCGFWSRAGECEINPRYMKVNCRRACGTCHIKKHKTIHRQQDTPTVPATRSFDDNVQEDRSFLPSRSIPDGCQSVMTVEAETRQIFSSGQLTARFRQQMCAEQQIAPDCSVNQCFHKKYRSMDGTCNNLQNPIKGAAFTAFSRLMPAAYDDGFNTLVSASQRNRPNPREVSVFLLSSERSLPGHVNSLLMLFGQFVSHDITSNAAQNFCGCGNSGPMCASIFAPPSDRSRRCIPFTRSFPVCGTGQFGRVREQLNMNTAAIDASLIYGSEAITARSLRFAAMLRTSMIGGRMFPPNTNPGSLTAGDGRAILFVGLAALHTSFLRLHNNIAARLQNMNRHWNADRIFQESRKIVGGVVQSITYQEFIPELIGDASKTILGAYQGYNPNVELGVLNEFAAGAYRLHGMIQETYPLVDSQFREFNRYRFIDGVNNINHVLTNIDAIYRGMMTVPVRSPQRLTTSVTERLFGGSVDMAAVNIQRGRDHGLRSYNEYRRFCNLRPITSFNDWPEVTDQNVRQRIAQLYRTPDDVDFYVGGILEQPSAGSVVGATFACVIGKQFERLRDGDRHYFEAPGIFTSAQVAELKRATFSWVLCQTGDNMLRVPRRAFDIENGSRAVPCSSVTGLNLEAWRE >CRE01978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:542989:543682:-1 gene:WBGene00053865 transcript:CRE01978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-24 description:CRE-UBC-24 protein [Source:UniProtKB/TrEMBL;Acc:E3LGL5] MHAAAHGRIVQLSRIRKVFSFSSHISTIVFFQEIADLTKNKRCYIKDFRKVQKCKDVFQFKIVGDTVLFKDLIFTLNLDVSIDYPFKAPFLKFCHPVYHPNVDPSSHELCSPMLLQENWKPDTTMEDILINMIVLLNEPDLSRPVNFDAAADYMNDKAVYLKKFKEVAQKW >CRE01581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:537771:542852:1 gene:WBGene00053866 transcript:CRE01581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01581 MPCNHDDKSFIHDSATQEAITKLIEDFIPAPLLQHVNKSKVSPVVIHNGVINVPTVLTNLLENSNNQLKMYGNSHDLLGHLLTYTKFSLKHKKFGIPRNYLEYKEEVTKYDSSKGGLFICKQDFILHLHARVNLKYEEHVSDLGSSNPIVLLVKYCRLQNCKPSPTLVEFMKKNEDHLENSYEFARYDVEMYDEMRKTIDKMVKNTWGTRSLDTEILRKFINAHPKYFLTNSEQNSQDIPTVARIFKDGPHSFIMLAEIEKDEKTKEISKIRTDNDRIETIEAKKAYDKNLDIDFICVPIQRAKHAAVPIVTPAGSHCILISDCILELLRRLISVLRIFQTFNKQTSKKLDEVMDLLKPIINSEETSVYFVETKFLDDKKKWLDLHFSEIKRSKLVRDVGQFGFTLDFLRKELELLRLTDAFPDIMDHTKRVYDGVFKQREGQFLRTCDLIDAVEQCQLACLLNKFPRLKEFLHNQKACHRIAYLDCEKCLVSTPLKVPTVDEKNDWRVYQYNDVKIRYPKCGIPHSIEALMDLPSGTSVVSNLDFLPKGQHTQTKYFLFDLNDVNKLPKNKIYESLLSHAKFLKNLPNKKVYIRTITVETIPHVFRAETFEILNILSKQQNNPLPEHVARQAEVDMKGNIGPSQDFLSELLPLDKFLMLLEGLDIDKQLTTIIPDILYESSKNRMSEENGENFISVFNPDGKKVMSGAQAIFHVFQSLVCGIKFRGKEVCTLYNDCVKRYEKKVIDIMLKLAKTYETTFLHVELIEHLINKVQSHCTYITQSGLPHLDHQLLNILPTDQMPAEYYYVLAEMFGLPKQVANFLVGETDTSVWIYRLMYIVGWAEVVFDKPELEGLSLLVRKTAVHIIPEELIEKESDLIVSERNYKVLIKTVFIQKRVLNLPILPKIYSANSNLKNSDDITPFSVKNETDYPKNVVSAEEESNDSGVPEVDGDGIKIQERCLKCLETEKLCEETQKQMDALGNELKINLQKTAEAGQTLENFKREKEIFDKKNTLETQRLTEKYEKKVLRCDELELEIKKMEDKSLDENQKLVEKFERKEKQMNELERKMKKMERDLNGNEKKIKFLEKKLTDKDKELDQLKTSKSQLAMEKNITIENLKREVIDSSEKLKQLELALSTLQASEAKTRELNNELNQKLTQDFLRFEEIEKEISEKNQTIEKLEKARQEYSVDNEKSKKVIQNTISIFEQQLQTLRQMTGSSSEEDPYSPQIPSREESEGFRAQLWKLQKIKESMDRGDEIEQAKEMMRKMISLSDNSEIHMFAAYEFQQYEGKIQNYTQLVEVNIQKLKLTPLPDFPAFSDKFVSELWFEIKRKQEIEIKKREEIEVRDSECYFCAEEMKQDEKTLQCEHCKKVTHHKCAATWLKIHRSCAHCRQNQLDPMEFPTL >CRE01977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:523690:528090:-1 gene:WBGene00053867 transcript:CRE01977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-amt-3 description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:E3LGL3] MAGPEGAIINASAMQIVQIHHYAENAHSPEVDKLYQDDAVWIISSSFIIFTMHSGNFQTSPVSVNLKFPGFGLLESGSVSAKDEVNIMVKNVVDVVFGGLSYWSCGFGLSYGDYEPWRNPYIGFGKFFYDPTRDYGTRESINQEGWSYASFLFQLSLATTASTIVSGAVAERAKLKSYILLGCIVILIQALPAHWVWDKEGVFYKKGVVDFAGCSAVHMVGGIIGLIATVYLKPRRNRFNEDSVHQMSSPTNALLGTFLLWWGWFGINAGSVWGITGGRWRLGARAAVATIMASIGGGATAITISFVKTKKLQVNFLINGILSSIVSITAICAVSRPWHALVIGSISSVFSIAVLPLLDRLHIDDPVGIVPIHLTSSIWGMIAVGIFCEEDKYLTSATNNMSGLLYSWSFELLGVQLLCTVTILIYSATTGFLALFLISKSPLGLRVTDYEEQIGADVIEHGLAGTNVARYVIEKPLSTRTFQTVTKAITKWKMLAKKKSRQKRMEAAKLKRQEEQETYAQANGTALANGNGNGNVLHHRTNATSNATNNTSAPPTTNSNGTGPPKRNSGPAFSNQVAPLPVSSTGREKISVGKIEVNISVTTARDAPSTGRRAGSTAIEMEGPALNVSTSSAPTEAQAPPTAADEPGPSTSAAVASRRPSLESKPGSATSRKSISITSVSTGTATGISIAPTDSRPSTTSATSIYSKKSSKNSTLGKFVRAPAPRALSPPMDNPPNPPPPEV >CRE01580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:520983:523345:1 gene:WBGene00053868 transcript:CRE01580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01580 MLPPSPPLEVPTRLDIQFERRASILPTTTTTSERSMMSMKTTVFLVIVLFFIHTIDAKSPSRSKRQCGCSSSKPQMSCKCSSSTSTSQRVCSCSPLASTSSSSCGCQASSSCANSCRSTCRPTCSSSGGFGCRMNCQNTCVNACNKQFAPSSASSTQTSSPPIRIQVKSQPSVVRAVAGQSCLSDCNSQCSNVCQQKQYGSSQCASSCNRSCSRVCQSNPSNGGAAQSQTTTQTPLKVSIKVLDDGANCMSTCSDTCRSSCSNRVSQSTCDATCQNTCSTMCPAQSPADQPTATTNAPIKIKIQVQQQPQQQQQQSVQNSPPQQVQQQQPQASMLTQTAPQAAAPAQQPQQQPQNCMNQCQSGCATSCAQLSTPPSEGCPASCQNTCRDVCAPIVASQPSTPSPQTYPVLLDPQQRCSSECQSVCQLACVTQKSAPPSSCLDKCSPQCDSACTSPQVQRNSAQLFTPSADQTSSAQPIRINISLAPLTPSASTSTSSSPAPTSL >CRE01976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:517879:518991:-1 gene:WBGene00053869 transcript:CRE01976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-77 description:CRE-COL-77 protein [Source:UniProtKB/TrEMBL;Acc:E3LGL1] MYQQKDEKTLMIEAEGLRKIAFFGICISTVATLTAIVAIPSLYNYMQTVQSTLQTEVDFCVHRTNGLFEQYERIKGVKKLVKRQAGYGAAPEYSTDAAVSAPSAEAGGQCCSCGSGPAGPPGSPGEDGHDGNDGQPGPDGQPGSDAPAEAIPTADDFCFDCPAGPPGAPGNAGPKGAPGNAGANGNDGQPGQPGAPGNDGPQGPPGQDGAAGQPGQDGQPGVVEEVAVPPGPPGPAGAPGAPGTDGQPGAAGQPGQDGPQGPAGDAGTDGAPGQPGAAGEQGEAGQPGEGGGCDHCPPPRTAPGY >CRE01974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:508780:510402:-1 gene:WBGene00053871 transcript:CRE01974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01974 MCSQCIFDTAMNLERCSQLMSADTSMHTLFRKEAIPVPCPIEAPMNFTYQTNQGICNSRTSHLHRCAQYDRLALHYQACPEIPNRESAIWQMECIGSWQSFGLHYFAARVGYSEGEQYRCFIHDKSGSSGRIGESADAGCQELTHIGAAATTLHYRQDSPVHSACEFPENMYGEKARNWESMLLGTYHRMYHGAWISSIKGRNGTVWTCLQQFPTDSNEHFTYRTYVTKGCKVGYQCVRLHMRKPHIVHVEYGEISPSESFADCTEFSVETRDTLILHGSKEACPIGGKHYSSLCPGPILQVGCSSKYSMNLVRDCSYPEGDELTCVANFKHEDNDFIIARDSLSRQLFCMTYVSDRINLLRVYDRVSCEEVSVNSATPYLSLNFSSSDSCSPSLLTGFLYSATSDVASPHHLMLLILTFIWNFL >CRE01579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:505860:508245:1 gene:WBGene00053872 transcript:CRE01579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eff-1 description:CRE-EFF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGK8] MRPPFEWSPHFILLPLLIVISSGFPLEEKFDGLFRAEPPHCSKTPIVRAQTSQNAMSSIARGMQMQFSIGLHTAVCFRLYEDTRLASSSINGVDDDAGNQTSLLHTIRLEKLEHHHPITQRYTFGIPEVHASCICECDATASTCTAESHQFTACPESEKSDETTSCYRTFFPNQTPIGCSEDDSPKLCCDVRFKPYKNMTFLAVKLEQPTTYATFVYAAYDFVNGYWVEKDKTTIRSQLDGGTQDRHLDSKRRISLAVTAGGRASHQLETGMYFSRTSNGGETEELRMQPLNEITDNNFDRLGWYRMDESGHFHVNNGVVKMDDIHKAKVKNCKEQTYRSILAANNYMPNHFNLSRPLEVSKPWIQSARIFDSSSRQAVVTHAEGTNLQISIHLDDEVESQNLVFFHNASRIRDFSGSIIVDSKSNRLFNLTVYEASGKIDGSVKMSTGFGSDTIHTFTAYVSDLHAANRSMIIPLPAIVGQGARTICLRADSMADIDSICHVIEYFESPLEIDLVEGKWHEMIGTCPTCNQINFNGMMKFLNPAHWIKGISSIGDGVMIATDIVVYLGVLCILYLLITKIIIPLVRCWVCPMSMCCTGSSSSSSKSKSEKRRREREERRRRSNAPSPEPHDTLARYHGTRSDRYYSSSEYI >CRE01578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:491157:494164:1 gene:WBGene00053873 transcript:CRE01578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01578 MRIPLRKVKTIQQEKHELSYRFLDIHHFQNISFPGCGEILVVNDASEDVGSGGSALNALIRTAECLCYRKNYTVLTDAVLQNVNVLIVLVSDPRAILNSENNATINGGNGYVFDTYLTKSVKNAWQVAEKAKQKGVWIIGTDASWDLQRQPEEFDLQQNDITGFTYRANKSDLKDHGWYKIDEKKQLVGMEFHGDLAESDNKKKDEISEKEDDFLILGFIYLPLTVATSFLSLYSEYPVAASTYLGVDSNVTPLKLSLFFDFMLATCTSRDQFIRNKLGFHIKVSENEKDRSNARKQIYRKLSEYNGRVEILDIENFKYKDFPVTERNYNELSTHLYQCLPTNPDVERVLRSILSLDSESFISRTLYSLRDQIQKTESSEKFLEMIFTASLALSLASNGKGGLRNGPAKNPKFEKLMEGNEKKTYLLEIFDEILNNWIDDPSRMIRAARHLETAAQKCIHEMVDELCDTRTPILLKSDDPSQSSTTVTAPVRIDFFGGWLDTPPIFFGMEDAAVVNMAIQLDGKNPISCHVVKTKSPNIELCQDGTSIYIQTDEELLYMHDKPSETGALVCACIVSLGFRSLSSLFQTLQCIGLRIETRSDLPHGSGLGTSSIMACTILKAICALGKVSEENYAIEDQIVHTVLRVEQIMTTGGGWQDQFGAMYGGLKKCYYQKGNGIRYTPIPLSPKVKKLLETRLLLVYTGKTRLAKNLLQEVIRNFFTCIETKRRLGEMAKAVEEFSSRIETGDVAVELLEQYDKTKNFMTRCEPPIVTSMLEQLKEKNLIEVGWAAGAGGGGFVYLWLTDNTPPSSIKQFLESSPRFSAMTCHQITIPLVHPVTLQLN >CRE01973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:488184:490641:-1 gene:WBGene00053875 transcript:CRE01973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hel-1 description:CRE-HEL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGK6] MEEEQLLDYEEEQEEIQEKQEVGGGDAKKTKGTYASIHSSGFRDFLLKPEILRAIGDCGFEHPSEVQHECIPQAILGMDVVCQAKSGMGKTAVFVITTLQQLEPVDGEVSVVCMCHTRELAFQISKEYERFSKYLPGVKVAVFFGGMAIKKDEERLANDCPHIVVGTPGRMLALARSGKLKLDKVKYFVLDECDKMIGDADMRRDVQEIVKMTPQQKQVMMFSATLPKELRAVCKRFMQDPMEVYVDDEAKLTLHGLQQHYVKLKEAEKNRKLLNLLDALEFNQVVIFVKAVKRCEALHQLLTEQNFPSIAIHRQMAQEERLSRYQSFKDFQKRILVATDLFGRGMDIERVNIVFNYDMPEDSDSYLHRVARAGRFGTKGLAITFVSDENDAKTLNSVQDRFDISITELPEKIDVSTYIEGRTN >CRE01577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:486861:488179:1 gene:WBGene00053876 transcript:CRE01577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01577 MKYVVIGGGIAGVSCANEMLKLERAPELEVVLISSSSFVKSVENYRKVGQYGEKFDVSEADSSEIFPDQRFKFINDTVINWDAQKKDIQLQNHEKLKYDKLCIATGSRPKLQNELSADPRFLFLRDTQSAEQLKHQLGSARNVLIVGNGGIATELIYELKNVELTWLVRDSWICASFFPEDVEKFIEKRLLNGRSDGKKHDGVQKHLRYSTSSVSHPGPSGPALGPDWCSTIDFGQISQKSTNRTVKILRNCVIGNIETSGELNIEYLDRNNGVNRQKCDTVDLFRIFQTKSFLKPDLVIWAGGVTPNSEVWRKDESLKTTANGGISVNDACETSISDVYACGDVSTLTLSEVSSTLWNQRQLWTQARQLGEVCGRAMVAGAEEARMQNMYFELFSHCTTFFGLKVS >CRE01972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:484477:486424:-1 gene:WBGene00053877 transcript:CRE01972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ran-3 description:CRE-RAN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LGK4] MNSADRMDTSEVEVPNDREIEKITEQDLQEEDAAPRDDQPETSAARATRHVEDEEAADHVELADLEVEATDTVPRTPRRTGRSKKLSSTSTAAVAEASATPGKRGRKRKGSESANTTANTTVNSTFNEPKTPGRKQAKLTQPLLTWQQFAPSIIGDRVLSCGEGEALGHPGRTTTKKPRKVDIFEENGLKPIQVVAGGVHSSVLTSDGQVFMCGINEKGTVPAEGVEHEGSTDEFAKVPFSEEIGREGKIIMLAAGASFTAALTDEGSVIAWGNLRNSNGNVDVHPLLHKMQEKPVVIVHQAKRKIVKIAAGENHLVMLDEKGCLLTFGDGEMGQLGRSSRTKTIRSKYMCDESGDHLVVPLRFKNKGKFYDVVAKNVFASGFWTIIHGEDGKYYSFGLNNYAQLGIKVDEADVGQDGQDNRELRVFLPTEAPAFGAEETFVNIEGVQHVVLLDSQGNVFAMGKNTDNALGIGNWTGKDDQQHWLYDTLQKLDFDSKIVGVSAKLATSIAWSEDGTAYAWGFDTTGQLGLGLKDDDEKMVSKPEEISSAHLDDHSIIGASISDQHTLILAKKN >CRE01971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:461370:483215:-1 gene:WBGene00053878 transcript:CRE01971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-shw-1 MSSKSLPNYERKTSEDVSGEGDVKKEAVETPIQTISSSHVSFQINTDPAPSMDYLSIHEDDTSMSSSERTIERGFSRYGRKRSSVRMLLDEVNMTDRKTSWMDTEHRVILNVGGIRHETYSHVLKKIPATRLSRLTPNLANYDPVLNEYFFDRHPGVFSMILNYYRTGKLHYPTNVCGPLFEEELEFWGLDANQVEPCCWMTYTQHRDTQDTLAVIESLDLDGDPPTQEEIAKKFGWEDDYFTGNMSQWQRLKPRVWALFDEPWSSKYARVISFLSVAFILASTCSFILKTDPSFQIPDIDVFYSLRVVDEGGFKNYHKTIGTDKPVTSPHPNFFYVDLICNIWFTIELLIRSLFCPSFHKFVRSPLTIIDVISTGAFFFESLLHAILIQTGKLILSFFKNETFSGSLVTLDFLSMICVLRLFKLTQHFSGLKILIQTFKASAQELFLLVFFVVLAIVIFAALVYYAERSQLNKDNQFTSIPLGLWWSLVTISTVGFGDMVPKTYLGMLVGSLCALMGVLTIALPVPVIVSNFSNLYSHSQARAKLPKKRRRVLQAHEVKPALLGVKQHHGKHRKKSSSATFNQPPANFKNANGGPPMHDNSASKLMA >CRE01969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:447883:448189:-1 gene:WBGene00053880 transcript:CRE01969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01969 MSCISMLFVMVVACLALSNAMYVNPDYYYVEQLPTMKKSGQLRALAGSRNCFFSPVNCIITHDINSYRRLAKGSSYA >CRE01968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:439184:443601:-1 gene:WBGene00053881 transcript:CRE01968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01968 MWRYPLLFVSLCWLVTAQQQNGVEDQEKKLSSFDACKADIHKHCSRPDVDLTSDMSILECLQDAGLSETATLSEQCEQLVWDFKIKITQDERFVKAAKQYCEEELKGNAAMQTCTTLTQPGFALSCLIEFTKNVTESSKCHAFLARTERLAFSDFRLVGPFVTKCRAVLDQFKCNVLTPDQAHKGVRVAHTQGMALECILDKVVKNAKTQADALAILGDECKHEVLRLAEMQADDFHLDRPLFFACRQDRERYCKDVPSGQGKVFECLMQNRNDKFMDTECGNLLAERAYLMGRDYRMAHPLTKACQPELTRYKCEAQNQIEAAAHFHLAWILLCLENGANQPEHKELQPSKECAHEMITHRQMMMQHFRMAPELVLNCAQEIDKWCSPRGDIEAEGRTLHCLMEHAESRNETLKLGAQCLQAVQQVVKVADIGRNYKVDKVLYGSCRALIDGPCAQDAVSETATLTCLMKNVDSPDMIPECEKRLLEVQYFMARDWTLDPQLYEACHQEAVSRCSAVDNWHQQHNTDNTVDPGPQVLACLYRSAYDEQNPLSQKCGTQVRQLLHVRAIRVNLIPEIEDACRDALSEFCSHNVKPSEEMMCLQQNFETDSFKRKYGQCFTQLTKFTEMEAKDTKLNRALSKACKPVISTHCAQFALEDIDHGDVLECLVNNKDAKEMTTKCRSYVNHFELISLRDYHFSYKFQKACAADIEQNCRDHNNDKGEIIRCLSEVRFEHKVLGSPKDLTDDCKKQLKVAYLQQEQVEFDDKEHMADADPKLSQKCSREIKIFKCNTAETFEDTVECLRLNFEQLGPDCKSMIFYREKIEAVDNSMDDELQKKCRYDIGKFCGNSDSENVLECLTNTKIVRLLQRECKAVVKERMQESARDVRLRPQLLTSCRKEAEQYCPEDMKKINMPQYSQTVLDGVVVSCLREKFRQSISDQNHIEFSPRCSAEVSRAIVEAEFDPQLDPPLYNACKSTINSHCSATILESGGHFDNVMECLKADFNKGLIKDNACSGQVARRLQESLVDIHLDPVLHEACAMDIQRNCRDVPPGHSRIVMCLMDFADKQQLSKECNTKLNDRNKLWMKAHSEFQMALPDSWHAFATLVMEHPERNSILGYFAGIVVFILLLGCCCGRVSKKQYIEMKNR >CRE01576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:435774:438804:1 gene:WBGene00053882 transcript:CRE01576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01576 MTTLRQLGIFVLISLAANFNYGFSTTYVNTSVDEFKTFLNTSLSRRHVFMSEHKYDFIWNIFLNCWFVGYFIGVWFSPIFNDRFGRKVGFLIGNSTAFIASILQCLSIIWYCPELLIASRFITSICMAVTYQSCILFLQECSPTHLRGSFSFLSEVSYSLMTMVGSFLGQDYILGSHIFWLCFFVVPFCFLFTLALFILPETPKFLLISKENEVKAIESVKYYHGMDSDAKQVLEDIRKEAECEMDSESENSTTTGLQKMKELFTEPHLRMALILSVSALTNTVGLWALLLSSTFFLENANVESEIAEWSTTAMSLAYVSGTITGGVIIERVGRRKLLLLFTFLNNLALLAFVFFAKIRILIDPMKYGCLGALIVYGYTYGLVDFSYLDFFINASSRTGVGPISWFISSELVPQKHRSVAQSVAYAINTIMVVITTFTVLPLYSLIGSYAFLILYSIPSFISMLILFRYLPETKGREIHEIVNELKRK >CRE01967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:426313:429164:-1 gene:WBGene00053883 transcript:CRE01967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lips-10 description:CRE-LIPS-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LGJ5] MHLVKASLLLIVLIFESTNAKFHPHFYNFLLGKFGKPIAEDLLRPDLGTKGSFGGGNPNATGLPIVVIHGLAGYAGLSKKSLLNKYYSYGQPKGTVFATTYARGKLMDSLQHGMQCDYVLKVRTLILAVHEYTQKPVNIIACSMGSPITRKAILGGHCVDSGVNLGRPINHLIHNYVSVAGANHGAIMCARQPFVNGICSLTHGLDCRSKFLQEINSQPFQYGKNMFAIYSVADEVVGLRNTCGEMSSPLEGATPIVKTRLLHGAVIGGTTEDQWRSLQTP >CRE01966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:424375:425580:-1 gene:WBGene00053884 transcript:CRE01966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-3.G description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:E3LGJ4] MTGLNSITSAPEVVSWAEAVEQDNAPHIQEDADGTRTETAITEVDGVRYKIVTKFKVINKRVPHVVAARKQWVKFGSCKGEPPGPQVATTYVAEEVEMQFTRNRAGEQILDVQEDKQTAKTTSREHCRHCKGNDHWSTHCPYKVMYQLDEENDANQDADSSRNPLGRQDGRQIDRNRDENTCRVTNLPQEMNEDELRDVFGRIGRVIRIFIARDKITGLPKGFAFVTFESRDDAARAIAELNDIRMYHMVLKVEWTRPST >CRE01965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:423230:424026:-1 gene:WBGene00053885 transcript:CRE01965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-evl-20 description:CRE-EVL-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LGJ3] MGFLKILRKQRAREREMRILILGLDNAGKTTLMKKFLDEPTDTIEPTLGFDIKTVQFKGFQLNLWDVGGQKSLRSYWKNYFESTDALIWVVDSSDRERLNQCSEELKKLLQEERLSGASLLVLANKSDLPGAIDVNSIAQVLDLHSIKSHHWKIFSCCALSGERLIQAMTWLCDDVGSRIFILD >CRE01572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:421540:423154:1 gene:WBGene00053886 transcript:CRE01572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cut-3 description:CRE-CUT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LGJ2] MMPTDDQPPITAPYKRAFFKKETPQYSFSFSIFFSINSKHFIHIISRMQRFTLGLGLCLLAASVSAIPVDNNVEGEPEIECGPTSITVNFNTRNAFEGHVYVKGLFDQQECRNDEGGRQVAGIELPFDTCNVARTRSLNPKGVFVTTTVVVSFHPQFVTKVDRAYRIQCFYMEADKTVSTQIEVSDLTTAFQTQVVPMPICKYEILNGGPTGEPVQFATIGQQVYHKWTCDSETVDTFCAVVHSCTVDDGNGDTVQILDENGCALDKFLLNNLEYPTDLMAGQEAHVYKYADRSQLFYQCQISITVKEPNEECARPTCSEPQGFGAVKQANQTAQFFRVLKKRSAEAMENVLDVRAELTTLEVLEGDLPASLRSSAQALVASSEIGYDSFGQGFCISYFAVSIATVIAASTIFVAIFMTYMIVVRLMIPSTKEQSVC >CRE01964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:414046:415015:-1 gene:WBGene00053887 transcript:CRE01964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01964 MERSPISFFSFLTRGVFLNEKGKGAPRTNWFSIRNTTRLTLHFLLALCLTLVILMLQNKYICKSFLILTPFSSSSQLFPNFRFVFLTALFSLPPNCPSSYNPLLLLLLNPTFFSRMSLSKTPCQSMFRFVFSRNASTSSVPTPARIQLKKPTAEAGHFQYSRNWSRDPRFVKVAIQKGDTPYQFLVRRLGHAYEVYPLFVLTGAWFVLFCAASYWSFGKAEIWLDRSHSTAPWDWERLRDNYWKMPTVAFDLDGRTRKRCEIMEQLQDEMLEAAKKRGTR >CRE01571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:412215:413584:1 gene:WBGene00053888 transcript:CRE01571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01571 MNTIHNARISVSQLYGVSIRRYSIEKILPKDVKIIKNPSNEQIEKYQKLVGGFNEIKLLKETMKEDYHLYLLCHKDSTVLSGTQSILYKSLNSSTPDFLSFGLSYQPDNTYHLLPHLMSEMASDLDAVNMNSGGCVDSKNAAVWRKVLHTKVRGSTYYVSNYKADEVFIPELEFDDVVVKKFNDVPSEDVVKYDNSIFPYQRQQLLLAKFKNGIGRVAYDKSGKVIGIGLVSFEESSGNCEIGPIYCDTKNAAQAIFQSILQEMKGFNEIRVRCSDKFEDSATWIRPFLRCRHEMTPFAHVKFNRVIPDLNLSKVFVNSNPSSAPC >CRE01570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:411311:412114:1 gene:WBGene00053889 transcript:CRE01570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01570 MQVMQNNVPFHVRNLPIILLLICAVHLFAKTCMLKHQLQHVNENETNTHFALTCVLATFLCFEVSLIIVDIIYQTHTTFRYVIGVNISVVACAFFIVGYMFGHIVEIWFAKMTVFACRQLGIGTNVTDTMTSGEDLETYINEKCDEAVQLDFHNISIDLLIHIVFLPLHIFATAFSFTFAGLFRKRIRESE >CRE01963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:405258:409924:-1 gene:WBGene00053890 transcript:CRE01963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zyg-9 description:CRE-ZYG-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LGI8] MSNWDYIDEVDIIPKLPPNFDELRESKKWQERKEALEALLKVLTDNERLSTKVSYAELIGNVQTVLAKDANINCQALAARCIGKFATGLRSKFSAFATPLLPVIFDKMKEKKPILREPLVDCAMEVGRTMVSLEAGQEDILAALAKPNPQIKQQTALFVAKQLDLLVPAKQPKGFIKVFYKLAVVPILGKLTGDADQDVREASLQGLGAIQRIIGDKNIKSLLGDLSSDEGKMKKIGENAEKSAAAFAEEQAKKAPAPSSSAPAASAPAASSGSSGAPSSAAPSAEPAVEADPWDFLDAFDVLSKMPDGFDTNLESKKWQERKEALEGLLQLLTANPKLDPKANYGALIERLQKVLEKDANINVAALAANCITGIANGLRTKFQAFAISVAPIIFEKFKEKKPTLRDPLVACIDAVVATSNLEALGEIVLAALGKPNPSIKTQTDLFLQRTFMKLNSQTMPKKTLKTLVPLLIKHSGDSDSEVRDASYAAMGAMMRAIGEKPSLQLLADIVTDNLKMGKIKEYHQKALAEAGPAEIAAMVQSIHKADAPPTTSAPPEKAAPPKRQVSEEETAEQEEEEPLKLPTGEKKKEEKKKAPTKENAENEPPVAPKSELLLNDNGEKAQRIKEEKQLKLVKWNFQAPTEEHITQLQTLLGNQAKVSLMSQLFHKDFKQHLAALDTLVRLVDTSPRSLLANSDLLFKWCTLRFFETNPAALIKVLELCKVLVELIRDTETPMSQEELTSFVPYLLLKTGEPKENMRTAVRDIINVLSDIVGPLKMTPMLLDALKSKNARQRSECLLVIESYIASTGISPLKALTVEKIVAPFVGDKDVNVRNAAINVLVACFRFEGDQMWKAAGRMADKDRSLVEERIKRSGAKPGSGVATSPPNGGPKIVVPQQQGSVVRRPASRSRTREPEPEDDYNEAVQSTTFSAGMKSGSRYALRDDVVSTAFNRLAENTNVVTPPQPPSVWPGNSFQMKRTNSSSSISSIDTSDQIQRSINNISSSLADVAQDAMYQVTYVLNQPEQRHLVDRKADLVFRASAAQLDMIIEDFSAGKDVTGTMEACSQMLFILMGGVEAEHGLEPLSASPETVKAIISSVLRCIIQIGSTDVGYAMARSLNRLAMRLVYRVELSNLLCGLILATIESIQQDNGITELISKLSSKWSEELEKRRAQLRASDIVDAFNKFYVCALAEKNMDINDVNVQVMDNYLERVILQQGDVVLDAARRLSTPHIHLTRMINKILQTMKERNIAPIMPGTLETRAPEEDDSVVVRTGVQVCVNNILRDLKNTSSYTEQLNKHVQSSDKCRNEYSVLINTHTMGEAIEELVSEQTIYGAPIFNNPNVVNSMTVSWKVNDLLGKKVEETPTTPPNASRMDTTFVGTPLSRGEGSNTITRTRGNMIRPKQRPTMSREQHDELRSRLQQAKFGK >CRE01962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:402447:404741:-1 gene:WBGene00053891 transcript:CRE01962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fars-3 description:CRE-FARS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LGI7] MPTVGIKKVILDKHFNRVYTEKEFDELCFEYGLELDEIVSCSNNNLPYFSHFQTSEKAAVEKERGTGAATDLNDQEVYKIDIPANRYDLLSVEGLARAIRIFKQEIPSPNYKFAETPKSGLQKIIVKKETAQVRPFVVGAVLRDISFDADSYASFIDLQDKLHQNICRKRTLVAIGTHDLDTVQGPFEYRAEAPKDIKFKPLNQTKEYTAEELMTLYSSDSHLKAYLPIIQNHPVYPVIYDKNGVVCSMPPIINGEHSKITLKTKNVFIEATATDKQKAFVVLDTIVTLFSQYCSKPFTVEQVEVVYEETGVKELYPLLSYREMTVTTPEINTKIGINLKDEEMATLLNKMSLKAEVVAKETLKVVVPPTRHDILHACDVAEDVGVAYGYNNLITRLPESNTVAVAFPINKLCDNLRIEIAAAGWTEALNFALCSRDDISAKLRQPDALAQAVHIGNPKTLEFQVARTSLLPGLLKTLASNRDMPLPLKLFELQDIIVKDSSTDVGARNERRLAAVYYNKAAGFEIIQGFLDRMMRMLNVNPAKDGTGYYIEADENPTYFPGRCAKIIGPKGVLLGHIGALHPEVITSFGLTLPCGAVEFNVEPFL >CRE01961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:401486:402345:-1 gene:WBGene00053892 transcript:CRE01961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01961 MLSDCLLIIAIAFGTALAGEGITWLLVYRSDQYKRLKADMDKKTKKLEKKKQEVGDTNDKSIKKKLEREEEKLKATNRDMSMFKMKSMFAIGLAFTALLSTFNSIFEGRVVAKLPFYPIGFIQGLSHRNLIGDDMTDCSFIFLYILCTMTVRQNLQKILGFAPSRAMARQQSSPWAPPTSQMNYLR >CRE01960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:399413:401241:-1 gene:WBGene00053893 transcript:CRE01960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01960 MSDEEKRPDSPSTSSDDKKSRKRPATPPQAIPIPGAQIPGSAPTLPPWVAGGSPAKFVTHDELMKMTNELENMELVHEIAIDPNFQIPDKPTNAIQQCMRETMHRAYYNQLRRDLAKDPPELEYCFGFLMELKNMILEDILTAQHTRLKAEINSMLDEPTLRGKLDQGQLDVKKVMNYIIELCSRLCSPVRDVKVAELRTRTEIIDIFQGTMDLLELMKNDLTNYQISQNRAAIEEYSAKHEYEMFQKSLVENPNGCNFTREWLKAAYDELFGKEEDEESSSTKREKRDDEPVDEKCLVDTTSRGYVKLVEVDEYAGFPETLKIDRLKIELLAEKFLQIVVCASAVFVTCNMAGRQISESAEFKKTLKDHLVAITNNTDEERIKSDLEKMGEQCVKEATETSEKLGLEWNADNSASIRSQINALINLDNPIRKLVHSRVATFVEEMLRSPTSVPHRLLPGLSVIQSELCAFTSKFLRLCVHNRKTFYAMYSSLILEFQGHAPSTHAIYRPDSPSSMPGTSAQPGPSSSS >CRE01569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:398396:399090:1 gene:WBGene00053894 transcript:CRE01569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01569 MNFLISLIFLLPILVHSALDSNFQEEQFLKDPQQFEQVEEGSGENTEDVLVSENSTTTSFSRSSSTSTSSTSTPSILTSSTSTHSLTTPAKPINCYDISKRCSKLLPLCTREEYKTIMMRQCARTCNFCPQFVRLPQTARCRDSFHRYNQNRKLIRKCF >CRE01568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:395919:398144:1 gene:WBGene00053895 transcript:CRE01568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lact-4 description:CRE-LACT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LGI3] MLGGLSFSHYVAFLAGFIVVFYKFSGKNRAEKVHVDGEVAEKWRHVEEVFRKNLEQNWERAGAAFVVYHKGQKVVDIWGGYSDRESQRKWTKNTLSIAFSCTKAIGAIVIAKLVDENRLQYDDLVTKYWPEFGKHGKQNVTIRWLITHKAGLAYLDHPVSLQSAKNPEEIDRILAEQKPNWPPGTEIGYHAVTHGWLVDAIVRRVDVKKRTVGQYFREEISQKHEIEFYLGLPLSEQHRVSRIENPSFWNVLEEIAYSPSDYDVIRFMKDKIFNGTLSKSAASTPFVQFVGAMTLNNPDLHRIEQTAVLGIGTARSLAQIFELLRLGKIVSKETMRQMFSENYEISEDYISGAKVPRGQGFMLKEFEHNGETVKMYGHSGYGGQNIRTDFDNEITISYLSNGLKVGFGDTARTYKRLLKAVYDTYFELN >CRE01567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:393538:394580:1 gene:WBGene00053896 transcript:CRE01567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01567 MTFLVFSLLCLPFFYCFSYLLSLYQLIFLENSNFIQKMGIFRTVLMNAAGAHHEEPKKGSYEWKKEHLTPEQLARTSDNKEKYSGIPAIPVDIGYNSGMNVGGAKKTGVMSNASSNPGVIIGMGLTTAALLGMFKSSFLGDKVGAQKMMQYRIMAQFFTVTALVAGVTIFGATYEDDEHAK >CRE01566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:392627:393484:1 gene:WBGene00053897 transcript:CRE01566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01566 MLSSVIRCGGQQATSRMTPAVSMAIRRFDPLEAKMAQKKAEIIQQQQNTEVDQNRVTYATKDDFKQNVQDSRFTGAADSETGQVPTKWQKKFLVITKLYPSADDIPPYVHHGTMNRMHDRMRVVFIVTASLFAFSTFYIAERAMAHKIARDRDAGVVVHKM >CRE01959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:387132:389074:-1 gene:WBGene00053898 transcript:CRE01959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gbh-2 description:CRE-GBH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LGI0] MCVRKEMLAFITRVASWNLKTIRSKNDALEVIYFEAGKQSKLIMPLVWLRDHCSSTKHYHFKTNQRKSNCTDLTNLAKIKNENDVRVNREDSSMVIDWIDGHRSFYEIGDIIGKGKKKKEVAIERVIDLWDSKTLKEVPRISKSNLSLPEFSVKLFKYGVVIIDDVEGTAEATEQLCKSLVPVHDTFFGQFWVFSNSASEDEPAYEDTAYGNEEIGPHTDGTYFNQTPGIQVFHCLTPAKTGGDTVVVDSFHCAKLLKNEFPEDYETLCNTKVCLFSCLTEQIKNYFQIPHHYLEGEVPGSSIHSLSLEKPVIETDSFGNITQIRFNPYDRAPFACLSSSESSASDTIKFYEAYEKFAKICHDPENALTISLKPGSVIFIDNFRVLHSRTAFQGYRQMCGCYLSRDNFMAKARPFLRQITSTFYEW >CRE01564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:364785:382808:1 gene:WBGene00053899 transcript:CRE01564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01564 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LGH8] MLTPTSHNTNPSCLQKAKSEEVAPSTSSNSSTPPIHRRALIRQCASVEPLQQEPASPKRLRPRSRLDNLRNFLPKHLRSVTVSPSKIRSETMQIQEEKAMQAVPTAPIDASKFVAYVQERRKKRILFKGEYLMVNRSIDNNKCRCDVGSTMMDRNPYPDTLPYDHNRVILPRIDNDENSHYINASYVNSWLRDKAYVVTQAVRTKPMNAEFWRMVWELGSNCIVMLTKVFDFMRVMCLQYWPQTKFQFREIEVETTDVKTYSHFVIRTFKLTRKTEESIETRIVKHFHFTEWELDSFPYISAFIELRRRVRQFMEKNPVDAPMVVHCSNGAGRSGAFLALDANLELMKKTGQLDFFEYAKTLVNSRPHLIDSVEQYMFIYEVLSEAVMCNVQPIEMHLLKERSSMYKAKKNRELLETQETHENKLLMHLTPTLRIGDCAGGHRLENRGKNRDVMVVPPDHARPYLQTLHGESKDYTYINAVEVDGFTRKAEFIVTEWPKQSTVDSFWTLIYDHACHTVVNLSNQGSQRHYPSFIHNKGKANYGPFIVEIMNYHQYPAMTSHMVKVMKRIDPPNAKPAAKIPTLEGVPGYQSRPDIIPGQSFRFKPMYRGRFREPHDSTSSEEDVDEDVSNFLLPPRPARKTSSSQFLNLPRGSPSSGSGGGGGLKRRSRSAEDPFRETFMISDIMATGAQNQQIDAEVRICCVIQVRMWPIENKVPLSTTGLIDVIKMARSWRKRAPDRPETKPTIVMSHNGVSRVGVYIGANICIDQMDIDHEVDVFHAVKMMRINRPQLIDMKDEYKYLYDVMLHWYLSNPDYRIYDKEDSEDTDSQKTSRPPSNRHSIKEKSSFRSKFSFRRRNTTRQNENNAVVEHVNNK >CRE01563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:359320:360488:1 gene:WBGene00053902 transcript:CRE01563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01563 MKEIVWHVGWERQMEQRSTNRDCHPVIFYPNRHLLLVYLMDCPCFGWNLLKFKKKQQKTCDIDVDEDDEDVETEKEKKIQKKPKKSSRCLLRLHISLLNSLQKWIDRNIDERRASRNTQNFVNTVECYRSETQRIINE >CRE01562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:356837:358465:1 gene:WBGene00053903 transcript:CRE01562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01562 MYVHFLFSVSVPIEISEDPMASVSIRVRNEDGDDFVVSPRDVVDNINEIESRRNSRRDSTPASSIRRSRPSSAYFNENGEPIEGITRARKSKSVRDAEPGLRSMLYGLSQRQEKEEAEAKKLAKKMISELWGTRTCNRREHLEHMLGK >CRE01958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:348402:350055:-1 gene:WBGene00053904 transcript:CRE01958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01958 MSDKYSGKTFFFADYGCCIPTESYAWILVALFITTILVLLVCMLATATIRWMYKRRMRKRIHDLKRKFLMDTIKAQEFETKLNFVAHKNKGRNEEMSNEVGNDKISIYVNPNEEKQNSQKNKKKGSLHKAVVNQPGNPVNNDETPVAQSSLASKSKTNAKIKNENHWLHLPKNQKPKHVPEEAPPTPSPAYIAPVAPVVTQVKAPPPPPPPAQYPPMGTRPPLMVAQPKKGPSNFDFDFDTSPVISLDQSGTPPSKKIPLAPPTTTTLTSTTALTSASDFSTTPSTDKTTTPESTTPLTTGTTTPITTGSTVTSSTIPTSSIPPKPSPPKNKNASPGDNVKQNLKFGQEDIVSPIVGAEPVGRKDGAGGSTEWIGFYENKKSINPTLSTVTKSSTSNRRSTTRSWLFDSTGSSRSGIASGSGKMTSTVSSSIIKTDTSSYKTASSVEKFPHGAYSFQ >CRE01957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:343610:345336:-1 gene:WBGene00053905 transcript:CRE01957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctg-2 description:CRE-CTG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LGH4] MKLTKKRLSGEKMATPAAAANGEITEADRKLLEELRSRIQGELELVKAYDDDFSLMRWLIGWDRKIDVVVPKIKFSLRAIHALGLDKEDLSTLEKVTEKCDECSVPLRYLPGSLIGLDKENNVVSLQMIGHLDAAGLMPATRNSDLYRMRIAESEGVMQIIRKMEKEQEKPFGTSVIFDLDGLSMAQIDMAALKCVTTMLSQLQEMFPDVIRKIFVINTPTFIQVLWGMISPCLAKQTQQKVKILGNDWKQHLKETIGEEVLFERWGGTRQAETEYGNVRMGGKIPAELRYDPANDLPAEKLTKLIISSRSTSFVPITLEGNVPGRKLYWWWRLENNDVNFSILRAAEGQEKVAEHDDDYMVHPKFKLQTEFVPEDGEVSAEEPGVYKFVFDNTHSTFRSKTVKYFIEVRNP >CRE01956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:342090:343157:-1 gene:WBGene00053906 transcript:CRE01956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnh-2 description:Ribonuclease [Source:UniProtKB/TrEMBL;Acc:E3LGH3] MHSTNFSIFYVHCYFVNLFLKQSNCRMSLKCETERSKTWQDFADGVPCVLGIDEAGRGPVLGPMVYAAAISPLDQNTELKNLGVDDSKALNEAKREEIFDKMNNDEEIQQVVAYAIRSLSPELISASMLKRHKYSLNEVSHDAAIALIKDAFACNVNVVEIKVDTVGPKATYQAKLEKLFPGISICVTEKADSLFPIVSAASIAAKVTRDTRLRNWQFKEKGIRIPENGYGSGYPGDPNTKKFLQLSVDPIFGFCSLVRASWKTASAIVEKRSVPGYWEDDEDEGKPQSKKMSGWLQPKDATEVPPKKHVYFKERHMKNITTF >CRE01955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:337492:341810:-1 gene:WBGene00053907 transcript:CRE01955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01955 MEINLTKIVSTLFLSFMFYNFFQLYKLFTPELCADHDSDSLCYSPIIRPNGEGLFDLLQLRVYFSTKKDALETLVTTVENVQIADAFQKDLQVKIPSSVAKDGYLYAHTILLPHKFEGHNPMSAPWKVHVAAPMIVFQEPVAKTFQLLGDSEDEQVKKVEKKPKKVVELAAHFRSILPVRIVSEPNRYVKTKISGELADFLTIQRHNQAEGYLPIMFVDEMSMRSKHLYELSDFQKALNLTISYEPTSVAKLLLLTSTARSTHQLMRHGFKDKDIDELRGLFTETSIVLLMVTFFVSTLHLLFDALAFKNDISFWKGRKSMVGLSTKTLLWRCFSQTIIFFYLFDQETSLLVLIPAGIATVIEYWKVTIAYKVSVSWRGIKFGKHSAEENETDSIDSQAMKYLSILLIPLVIGGAVYSLLYVPHKSWRSWLLETSANGVYAFGFLFMLPQLFVNYKLKSVAHLPWRAFMYKAFNTFIDDLFAFVITMPTAHRMACFRDDIVFLVYLYQRWLYPVDYTRINEFGEGGDDKKKDKVEPEIEEKSEEVDKEENGSGAVKRRTAMKT >CRE01954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:334366:337158:-1 gene:WBGene00053908 transcript:CRE01954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cht-2 MKNIVTWLLGITVLLFGASHAGIADRSCSRRRVGYITSWGKHPFRDDQAEKLTHLVFAFFVVDSDGSIKLEGDAAKERLKHVKEVASRHPNLKLLYAVGGWENSQYFSVLTADHSRRSILISNLINAIKEYGFDGVDIDWEYPVTGGAVEGTPADRRNYVNLMRELRNELRELEEETGKNYLISFAGAAGHWVLKPGYDLQQLMKYCDFVNVMSYDYFGAWASKWGAYTGPPAPLNFAMPKKFSGRMNVHATMKDYSCQIKTTNKINMGVPFYGRFWKNVGDAVDSSDDMWRTATATNTEGTRFEGGDVQWRDLYEKFDATRTKFHPGAKAPFIWIPENKTFVGYENSESLKHKVDYIVENNIGGVMIWAIDFDDDQGTLLNSAASDSLCATSSKSFNYKCSPVDDKRWWTYDDNEELAGMCGKSAPLIEGYYPVCDPDDPGHACCGKYGYCGSGAEFCSCPECIDYGTDPNLILKEPVKPSQKITWYTSDAGEGKRGRCGRDVPPMEGEAPTCNPDDANAHCCSNGGYCGNSKEHCECVGCIDFSKQRDFKYKPLEWWTFSENPANVGRCGYDAPRLSTGKIPKCDPDSESFCCSNSGYCGKGEQYCTCLGCVDFKANPAYEY >CRE01953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:331287:334042:-1 gene:WBGene00053910 transcript:CRE01953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01953 MKVWKLLFIGILAVPCYLNALSTTDNPPVSIIGKEGSFSRISEMIGAYARVSIGLYILGELIESSSPNLAIAGYMNINETIVDAWLRVDGKEAVAVVNGITRAAGNGTKGIQVDDYLDRIVAFEKVFTEIVNGPPIPSNSELKRMSQIIDEFKQASDVPIARLTSLENEIKAAIKHSNVNFSDSTEIDEFRRVNKIAIYAMEQYPGEVKSVTEKLKKFDGILNFTDIYGPIQKRITKYDEYLTQSNTNLNISNLATVQKIFSKSSDYQVASKIAESLKTLTLLDETSSLGRIWAEVFKNDFTELEVLKQDLDSPLLKNTLNGGEDLEVLKKVMNPIFDIGKIVSGFWTDSDAIFRDIDFKEQANIIQQLLTSMAEVSNLEKSVAAVSSMLKLKNISKELDDFKTNYDKINLDGFLKQSQIVDKLNETHKYAYEVNIHIPHWISVVEEAERTKSLNNYKEASNSDKYEKFKNDHPLSTILADYREAVKDIRKTLSSNINFPNSQVNAMVAIATSLNKTMEENEKSLTEVKAALNFIKISQKGENCAHFVSKIGTLEKIMDEKRKQLDTEREKLKDSPDAEFYKEVVKLNKGFNVKAKLVAGSNLFKIFDRIVKDNKFDGFFEAGDVLYKKIQELPFSNQNRFEWILKMKKLHTIKSRYEELKKEILVRKQRYNNVPMENLIQLRWLIENLYELPNPELKVEEWKQFAGLESLKNNTSGGNELANFEKAIERISDLDFAEYQKNVPNTYANLRALIRFHNAILATEKVSSKTFWDWNHQWIEIVGFVAITLILNALAYGGAALYIRKKNMTPEELAKRKERKKLEEKEESEEKAKNKTDPNSKAKEKKGTTTNVKARR >CRE01952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:327768:330567:-1 gene:WBGene00053911 transcript:CRE01952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01952 MKVWYLLLIGIFSVHCQNAPSSTYDPPVSRVAKQPNRNGKEGLFSRISEMIGAYARVTIGLHILGELIEPTSENLAIAGYMNINESIVDAWMKVNGKDSKIIIKEITSIKTSGFQVNGFLSEIAAFEDIFTQFEKLPPIHTEQQFTEMGEIVKNWQSVTDFPLTRLQALEREVNVVVEQSETTDYNKLESEKKDDLEKLNKKAMRAMKLYPQELRTMANHLKMFNGVLDFTDLYGPIKERIEIIKSYQTRKVSSLKIGTLAELQKMFSESTKFNIGSRIAQMIQLLTRMDDTSSLGRIWPEVFRNEFTELAVLKEDLDSKLLKDTLNKGEDLEVLKKVLDPIFDIGKIVSLFWTDSDAIFKDNDFRTHIDAIEPLLTNMAGVESFGLDKSVAVVTNMFNLTAIPDELNSFVDHYDGIMTKLEEYQEILRNIEEYQKEADYQRGLKLIENVDEWSAAFGDFTGGNNDALRKKINEEKYSPFQHKITNKFQEFNDKLQTLRDSLSKVNFTLFPSTGVKLLTDVTNTLNKTLINNKLHLSQVKAALNFIKAGQGIGGNKFDELVTKLSKLETIITEKKKQLNTEREKLKESPDAEYYKEVVKLNKGFELKEKLVAGSNLFKIFDKIVRDTKFDNFFEAGDVLYKKIQEVPFSNKNRFKLILKMKKLQTIKSRYEELKQEILLRKHRYNNVPMENLIQLRWLIENLYELPNPELKVEEWKQFAGLESLKNNTSGGNELANFEKAIEGISDLDFAEYQKNVPNTYANLRALIRFHNAILATEKVSSKTFWDWNHQWIEIVGFVAITLILNALAYGGAALYIRKKNMTPEELAERKERKERKKLEEKEESEEKAKNKTDPNSKAKEKKGTTTNVKARR >CRE01561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:325978:327734:1 gene:WBGene00053912 transcript:CRE01561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01561 MDSCLETIRNLHEERERLIDSTVKEKIAEKLTHKAKVNSEQRVKKFVDRYYEVSAELAKFYKDEDGSKNMEMDAVSGPNEFAEFYSRLKVIKDAHRRNPDELAEPLTVEFQKINEEIINPERAEPDMVEFSDEEAYGRFLDLHAQYDKFINLKNVKRVDYMTYLLNFEKFTEIPKNTTKKTGAYKEYVNVLKDYLVSFMQRTRPLHDLDAVFAEVDQTIQRAFESGNLPGWEADKSKSGPQAAAVDLSPYNSAEELEGLGLERLKGALMALGLKCGGTLKERADRLFATKGHKLSDLEKAAMASNNSDADKQKAKNLALAQTEGHIMALAEILSEERTGTRENVERKQARSAGEVEEEEEEEPIIEEEEEIDESAPYNPKNLPLGWDGKPIPYWLYKLHGLNLSYSCEICGNQTYKGPKAFQKHFNEWRHSHGMRCLGIPNTSHFANITKIKDALDLWNKLKTEKEMAKWNPDIDEEYEDSSGNVVTRKMYEDLKRQGLL >CRE01951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:324714:325676:-1 gene:WBGene00053913 transcript:CRE01951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-18B MLATGGSIARRLIHSSCRLACEGKTKEVPSPEEAGYAYNHVERKKGMYKPQHSIAEQISYMKSRAYAEAYKGLPIYRWYKRNIKGQSKLQPPPRLFCIDKHGRFNLNHACPVCRDEYLYFDYRNPSLIEQFLADGTDQPIDILKSGLCREQYTLLRAQLLKAREHGTITFGVEFRNFDYRQWYKSWTEEPGKPVERAGVRLQDIHPDPLVHFPTFKNDKNNDWDQWWIRHDKFAKKAK >CRE01560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:316306:324551:1 gene:WBGene00053914 transcript:CRE01560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01560 MLLLLLPFFVGFVETAKKTTTVDVRQFNLDEVGGYRTDQVTLEPAPKPPFLINATSEAIEQFMAIYRTPGIAQVRKMTELDVLVGTLRTEVQQSYNAYKAESILLKLAQDERLQEIAEKTHYTIVHLQAMKNTKMGTNERKARTEMMFSEFSDFVVSAVSDEMSNILDLIVFLISGLFGATQKRRPLLYTLLTSNALSVIVNVLIFLWYIGVFGEISRPYLSAGLPYSSSFFLRFTPGCDAEFDVIRKKWVQKTCLIPFYSIEASQSILHIIFAIVTSIFSILVLVEIKKKPIRSCSQSINHYAQISASKVAKAPSTGTTNNSSSGYLNSQYDDLSSSREKSSAEVKKEVKGQFERNSKKKKKTPRPAMPAPDCAPCSSNRSSEEENNPEDVYTKPIKKKSLVLRRPDLEDDVNSPHITPTNVTSLVSFDPKSATLLRIRQHLEAEPSDRDTPDYDMYERLRSRSSGGGQSDIVPASTIPLSSDYKSRNASQDSVPSMFAPMLDSPAPSSTSSRSSGASSGLRPLGKAGISLSVDPSPILSPGIRLSEQLGAKKQPYKSAFRVQKTENARVISHYHAPNEIPLSSDPSVVETGSYPVMTSGGLLV >CRE01950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:312823:314947:-1 gene:WBGene00053916 transcript:CRE01950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01950 MDIDFGILYFARSQVYMATIDLDTDRKRHVDPEVVLDIGSQLPPVKTVKRNVTQAPDYGDYEDYELTAKPDEVPTTIGPLRRINEKEPALLDSQFKPHIKISMENKKNSAEIRDEVARLMGDLHREELTLRTTVTKEDMTPSTTPVDMMLLGNETMFFSDEEGSGEMVEDELLNVTASTQKTITTTRRPLLFTTLIQEVKAVGVTARPDPFEHLDYDLSDHSNQKKPKTNVKKTVEKQKKFKLHGGFVGATQTANLVRPTRPLQKSINRRPLLVRPNGNNPDRRKNLRKMKKIERMRRVKYLNHRVRAQNRMRLRKVLSKMLFGPRDSDVTSSKQKSTKLKFVGSNGVVTPHPFSRKNNRKPQIEFHKRDKRAVPELQEIDDSGTGAGGAWGKDSSEDTVPIEKSIIESIHFEPHPYYHLRAQPIGTEGRTQVEPSRLINEDPIEEEDDVAPEINLRDALPMKSGLVQVTLKQPRRLKMVTLKSVKKVSKKEKNANGVALVVPSAKKPT >CRE01947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:305031:308462:-1 gene:WBGene00053917 transcript:CRE01947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01947 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3LGG2] MSNFLIFYSFSVNRQVRRRALCLIFEYWFRQNPHLFNGNKNPSVAAYDAAETFYVGLSNMPNEITEQKSILSESDFSMEDWEVVSRIFRRPDTKFEITVKSTGRLYTRGPNALSIDNRSELTRCLECVTDQIVHTNDYLLYNTGIYSLKGGALSEPDGVTEIKSGFSKVTKVITGDDDTKPQAVMTVDTAQSAFFKSTSMLKFFHAKIEEANGRGGGGRGGRGGRGGRGNFGGGGRGGYGGDRGGGYGGDRGGGYGGGRGGGYGGGRDSYGGGRENYGGNRDGHRGDRDRYRDDRRDDRRDDRRDDRRDDRRDDRRDDRRQNDDRIRHRHEIDYDEEAIKKLEEDFKNGRITSKMLDNISRALKGLECIPTHLKNVKANRGLIIDSVADESAMSARFDKEVEGKITPVTVHDHFLHTHGLTIKYPNLPLIVSKRRKEVNFFPMEYLFIVPGQRIKQQKMTPDIQQHMTGQNSSLPLQHIRQSETILRDYLKMNGGNRYLDSFKIKLQSKEPIKMSAQLLAPPYIKFNQSQAIRLEGKGVRFPTNDRTTFVKPAELKRLLIVSFDYNFRGMDMFEECLNRQFQLQGIRKDRDYKCIKQQQDVNDGEGIYRLMKNAKKDKVTLVIAICAEKKPEVHDTLKYYEEKLGQVTLQLSTETCDKMSRGGGNRQTVDNVLRKLNNKCGGTNFFVEVPEQYQGKSVCRNAAEMTGKLFEDTQFIGFELSHTGARTKFEQQRAILDGDPTCVGVCYTLKRSTEIGGFSYYQDTRVHKLLHLKEHIKTCISEYKHAAGKLPKTIVIYRVGSGEGDYEKIRLEVEEMREAAGRYEKGYNPKFLVVLSQKNSHVRIFPDQIREGGKAIEQNVQSGTCVDSFGSAHGLMEFILCCQTPMIGTVRPTRYTVIVNDTDWTKNELMNATYYLSFGHQVSYAPPAVPNVLYGAANMAKRGHNNYKTFTRIGEMKDVVQRVMDSHRDVIDPSEMNDLVTTDCIDDISKMVNQMTIPGRNFWA >CRE01559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:299818:304408:1 gene:WBGene00053918 transcript:CRE01559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01559 MNPSPPLTGGETAEPPGEMATGSVQPMEIDAPSNGQLVSSSEGSEDLPNGSVPVATKEAVTSSEDVTTNDSEIVVVDGATSSESSEDSKKSNGSGVVAIDDLKKDESPMPSENAMTNGSDRVSVEESTGGSDVMVSNGEKTDEQTKSNGHSATSSERVSVDQETHSETSEQSSDDSDLFIVCEEKNEQKKEQPTTTSSASSQLSPNEYLRALVSNVEEKKEEEPATSVEESRESSNGDKVMETDEKKMESATSSSEKQIPEVSMTNGSEHVAANGEKKEEEPPTSSRILNRGEIFNIGRLETDIQHSWKNKKVSLSINEISGEELDRELARRGKPGRPRKVVQAPPSDVSGEFLTLWLYSSQQFSAEWSGGPVQNLRNKRGRPSMSTGFDESSNSVSSTSDESFDEGKSPKPKKLKLDGTEDMRSHNRKKAPDNRVPILKMKPWDVHPYSREWMAASCLLSAHEELQLPMSEEAAKKIEKWTGPVKERHCYSYEFPLDEMLPMPDEFRGLEKKMAEMVQADAIPMPNSATTRPNDQPAPSDSTPTRKSGTPATKKSASNPRKKEIHIPKSIHFTGSPYLDSLNSTSDEIIEKAKLYQKTGINHVYADIDNEKNMFLFDEKSDLVDKELKVFAKYGHEDNLESRGYLPVATYTVETGSDEEMAKVEKIINGTSMCLIKNIGNVIGLDADQFSVEKFKEIAKDYDLTVLRMIPQPTSSNFPTHGKSIKKEQLSSKWRSNHYKHKVAFKEFAKYITNLRKQSTNVYLAIIEHPEHTDDIMKQFATFIQTELTMENHGCITHSQATLIAFGTNMDLDDYKKFPVQAENVAKFPHFLAPDGTRTLLGYTKESIPGLNKPQLYLKVPGVRTGAHLENSGLGSVNHSLGPSTSCWYGVPLEFVGQLQKMAKCKLGMNLERGQIYKQEFWPFEGDLLQAKIPVQKFLQRKGDMVFVGPGTFHWVQANGFAHNISWNVGQPTFIQLAVAGIMHDNYVANKDTSIMPIETILWEMIREKAPMDLKMKKLVKAMLMRSLTKAQMELDFLDAHGLQVEDAATNPYVHTVERCWKCRECLNNFVGFGDAKVPSPDSKTFEIINHPHCFQCLPNSRFKLQYFTFYQHSSMEELKELHDRVLREIKAEEAMQ >CRE01946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:287107:293398:-1 gene:WBGene00053919 transcript:CRE01946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-73 description:CRE-PQN-73 protein [Source:UniProtKB/TrEMBL;Acc:E3LGG0] MSRSNQVVTQFPALDHEPRGQGPPPSYRNSAATEDSWSTMSGSGPNQAMSGQRRNSWTNLVQVGGDPMVPKQAPPRPAAPMSTTFSATSRSSSVLAMQQHQPTVFTTQFSTQGQPLQPQKVFSTDPRLVSIPRPAGTIHSSGSMPASRSGSIIIGPNPSPPRQKKKGKDAKRKDDNKPKRNRMQELKNFCQGKKVAGCGLCLLVVLIVAIVIIIILSQVLPTPKTANFTWIAPPALTNGQNSSSRIDMKTEKGGRIRFQITGSPPIKGNYINYYDFDKNQVVVIDQSLNANGKNLYCFIVPLDRSSMPNAGDVRKAAKNSVLRHQQEDGWQQVWSWIPSPLQQTNAAQNMFSPPIPECNGSRIVQLQPTSDQRNRRCTDCYDFCLPQYGIMRNASDNDNEYLNIKQQDCFYLFVPEWRTYAQANTMEQNQQDYENYYRNRQHLQVSYGSNGPNDSRWIPLSGMPRQMMNATGAFVGQVGNLIGNLGSNVYGIVTGQGQQQGQQVPQNMQNSVNPQAVQQQQNYGVPVNGPPGPMNTVSGAFGANGQYQNAQVAQMQQQRIQNQQQQMQQNLQPSGYHPAVNGVVNLNGVNGVNGNTEYNVQPYQGTGSNGQNIGPEMRRQVPYTFNSNINSGYTNQQPQVSSGNTNSNMNGFGASPSYETPQYGFNNNDPRNQVGGLNGNGLGNSVNSQHQISVSAHTNAPRIGSVLNEFGNPVNIHPSQQSVQSQPMVSGQVNQVVPQGYGGQITNPNYISTSGGVAPDDRDVFSQRLQQLMGNPSQYRPDVEPANYNVPQYSQPNRPDALSRQGRIFK >CRE01558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:286111:287064:1 gene:WBGene00053920 transcript:CRE01558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01558 MIAGGGFGQVYRARNLETQEEVAVKVERATTNDSQRMILESKVLDDMFGIKHFPIVYYIGPHSSYNFIVMQMLGKNLGDIRKLLPTRKISITSAIRIGIQIIEALSLLHGKGWLHRDLKPTNCCLGLDEKRKTVYLVDFGMSRKFRNDDGSHRDSRHYCGFRGTTRYCSYRMHDRREQGPVDDLWCLYYTMAELIEGYLPWRDVESVDEMAQMKKILKHEVIYPSMPSKYASFDRNLRRLRQTSTPDYSKFQNILASCVKFVDDNAEFEWDVLGL >CRE01945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:284656:285731:-1 gene:WBGene00053921 transcript:CRE01945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-decr-1.3 MAFCKNPEKFFPVLTSPVLRDGAFKGKLALVTGGGTGIGKAIASTFAQLGATVAIASRNMEKLTLTATDITKNTGGICEPFQMDIRNPEMVTNAFDEIHKKFGKNPEILVNNAAGNFIMATERLSANAYGTIIDIVLKGTMNVTTELGRRCIQNNTGASITSITANYARSGGPFVVPSAVSKAGIETMTKSLSTEWSKYGLRFNAVSPGPIPTKGAWGRLFAGEMADCEENMKERNPEGRVGTVEEVANLVAFVSSDHMSFLNGTIIDLDGAQQHMHHGSHMGEFLHEWDKDTWDETENVIRGRTGKEKA >CRE01557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:282776:284141:1 gene:WBGene00053922 transcript:CRE01557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-27 description:CRE-GLB-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LGF7] MSARDRSGSISLSPRNIIPVCSQLTPSQVSVVRRSWRHINTKGLIIVLTRCFSRLESNCPIVSQCFQSATYSLSTNPNGVRTVADHAKYLLQLLDKIIEGDVDSEFLREIGANHVNLKHENGFSTQEWDRFQEIMVEVILKQDGVKQSKETSRAWRLLICSFIELIRDGFDAQVRQFRRKHSFNAHVQYFENIDKRVGVCPNRKISLNVDPRTPPNGVRKYSQY >CRE01944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:265487:266793:-1 gene:WBGene00053923 transcript:CRE01944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-iff-2 description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:E3LGF5] MSDDHHDDEHFHTGDSGAAATFPKQCSALRKNEHVMIKGRPCKIVEMSTSKTGKHGHAKVHMVAIDIFTGKKLEDICPSTHNMDVPVVKRREYLLMAIDDGFFSLMDPETCDQKDDLRVPDNEIGQQIRDAFEKEEGSVLVQVVSAIGEEAALGWKVSTKE >CRE01943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:264334:265197:-1 gene:WBGene00053924 transcript:CRE01943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01943 MTACVKANSQRVIYTKSGVVTTRRIDDHSPFAMAYNCVNRYLGDKTKIPQRMTITSSQTENGKRFVSISMNEITRKTATELAESKNFEAAFDLADNRKSLHKACENAKRFYNEHILYHNPFPPKQKFSVSIAKTKPKKEDIQKSQKSEDPSLNTAAKPTSSSISLLEGTMSLTSMSSHSQLSATTPSHPINLKTPKKKRSNRFRSNPPDSQMVHKKQKTPPISPKGASDENRLMNTFNTSE >CRE01555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:262316:264159:1 gene:WBGene00053925 transcript:CRE01555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-stc-1 description:CRE-STC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGF3] MAGSTGSLLAFGIFVLLLAGWLGQKYGLPPPPPKIAGIDLGTTYSSIGIYHAVTGDTIILADDLGKKSVPSVVAFLPNGTVIIGTRAVEQQEHNPKRTIYDAKRFIGRNFEKDNQNFLADQKRYPFTIKLDSDGKAFFEIPLDAGVKNVYPEEIGALIIEYLKSAAAKHLGVTLGQVVISCPAEFNEKQRNFTAKAAEIAEMEVRRVISEPTAAALAYGLHKKQGVENVVVVDLGTFFIFGNRHKTLSFIAGGGTLDVSVLWLQGGVFVTQAMAGNNRLGGQDFNERVQKHLIEKIAEKFGKTINDKEDIQQIRLEVEKGKIRLTSVPSTTISLNLKTVGKWKYDLTRDEFEKLNEDLLKSIELPIAAALEDANLDTADVDEIVLVGGSTQVPAVRKIVGRFFKKSANYGVDPELAVVTGASVQAGVIGGGWPLQVAAMELPAKRRKRHFFTEQQKENEQDRATTTTTKEL >CRE01553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:260224:261188:1 gene:WBGene00053926 transcript:CRE01553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-38 description:CRE-COL-38 protein [Source:UniProtKB/TrEMBL;Acc:E3LGF1] MSKYLVPVCASISLVAVFGALVAMHSIVVDIDTMREEIVTGVHEMKVMSDDAWNRMIGMTKPNLDADSRSAAFASIFRNKRSAYPSQCNCDANSLGCPPGPPGPPGLPGGRGDQGPSGDKGRDGASGVSLAVTHHLPGGCIQCPQGPAGEPGPDGEVGEPGFPGASGSAGQCGEDGAPGEAGITGEQGPPGEPGIEGAQGPTGQDGTIGGPALPGQPGTPGWPGSQGEPGKNGDSGTDGEQGPQGPQGPAGQPGRDADDGQPGLPGKDGSIGPDANYCPCPARAKKH >CRE01942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:258172:259311:-1 gene:WBGene00053927 transcript:CRE01942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-5 description:CRE-RPL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LGF0] MGLVKVIKNKAYFKRYQVKLRRRREGKTDYYARKRLTVQDKNKYNTPKYRLIVRLTNKDVVAQLAYSKIEGDVVVASAYSHELPRYGLKAGLTNYAAAYATGLLLARRHLKAIGLDSTYKGHEELTGEDYNVEEEGDRAPFKAVLDIGLARTTTGSKIFAVMKGVADGGINVPHSENRFFGFDQEAKEYNAEAHRDRILGKHVADYMSLLKEEDEDRYKRQFSKFLTNGMNAENLVSTYQKAHASIRADPSPAAKKAAKPGKRHTAKRLTYDERKQRVADKKALLLQLKEQQE >CRE01941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:256637:258138:-1 gene:WBGene00053928 transcript:CRE01941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01941 MTELPKVLDEAPGSSKEPSFFSNLYENLNQNPYFNAGAGLAGIGIAMSFLRRTAQISNTYFRRRFMITLQVNNEDAAYPWLLDFINKRSATQTRNLSANTVVHQAESGKTELSISFLPGHGTHFFVHDYRWIKVERQREKQVIQRDGVRTPFETVTLTTLGSDVKFFKQMLEHSAKEAIDNAETGLVIYQAVGPQWVRFGVPRKKRDIESVVLDGKICEQLLQDFQEFIGSAEWYADRGVPYRRGYLFYGPPGTGKSSFISALASHFGYSVCLLSLSERTLDDDRLNHLLNTAPPNSVVILEDIDAAFVSREDPMSNHPAYQGLSRVTFSGLLNALDGVACAEERITFMTTNYVERLDPALIRPGRVDRKQYFGNATDGMLRKMFARFYRQPSDSELAEQFVQLVSEHKKELSPASIQGHFLMHKQDPRGALDNIKNMFRSV >CRE01551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:250295:253878:1 gene:WBGene00053929 transcript:CRE01551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01551 MESSLPLFELPDPVAVCVAKQSDFKEKVKLCLCSQQSAGIVKKLNDGIKSISLNFSEDTCDIQMTARDVVSCGGYCIVVFDNETTFRPRRNLREERRSVRDPIQETIDFARRLHESFEVESCSYSINLHNIRGDKVKEFLKRILVIEYQEVVILGRSLLGEREDWLRSDELSFLLEVIKPEASLVINSCIALDFKHSRPFELYSLTYEDALWVTMDDLKLEINSFELNLTRTNFSCKDLNEFMHYWVESGSDANRYLSIGLREDSSFNHIELLDQTDFSSGRSHVGPFYFIKARENGNRKFTFAQLHYNSFLHNVNIKTFEPTSILSANIYEHLQDVEEVRKLRIVRSEVEKLELKYQKTLEEEKCDSEKERIRKELKIVVQSKKLIGCQMDFIRNRWKRVKLSICSKRSAAVVRETKPIIDKISFYYSVANFTYCTIRVDNRTESRCGFLVEFNTEAPFYSRDVQFEKYYQVPDPIQDTLNLSRRFYETFDVRKCEYYLNVESVEGEQLRSFVNRVLVENYQEISIVGKSFDDRMYGWIGSEDLSFLLATVKPEHSFTVNSNVATDFKHDNPFPVHEVSYEDALWVTLDDLKTKLGSCRYLYLQRTNFTWKDINHFIHCWINQEIDIKGRIDIGIRPDCPFNPEELLDQLSSVSGHMSGRFIDGHCYFVRCRNVGNRKKTIAKLHFDHVFHAIHISFFEATHGLSGLIYNHLEDTVEIKKLNNVKLDVEELEKKYQKTMEEEKSEDEKERIQKELKVFTESKVLIDTRINTLRSQWKPLLLNVYSGLLSLAVDTETTIRGTVTFD >CRE01940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:246706:248576:-1 gene:WBGene00053931 transcript:CRE01940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01940 MPKFFILGCLLIHFSPGTTSILKHSIRDSNGVIVENDNDVCDAMCYSNYSDIVEECKYGCHHRTGIRERLNPFQSCLGACEARFRVNFAENENNTDFINRAWEACLHACRLPYKTKIRWNVYIDLRSGEPIWNFERIISDGHRISSEALEYDDLISTVVLRKNHFFMDPSSKLRFPNLNVYDADSRAMQTIMMEMLEKVRQEISSMSPRRFDGISSIDYVGPRLVEHGPNGPIVKDITELQYRPKEVIIYKGMVFNMDDLLDQSGEFREQHFTLMSFMFVMMSSVMLCACMKLRSQYLAFKTTRLRRSSSTTQSDDWTKQIPTTGEAPPCYSPPDRNSSIQLMKNNSR >CRE01939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:242313:245864:-1 gene:WBGene00053932 transcript:CRE01939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01939 MNKKKKISLFGDEEAGEQEGNGWEINKGYAQNYDNWRRLEEMQKSEYFFFCFNSKFLNFPVKDKYGDDDDDSSSESEPEWTDGHEEAFLRTLGALKSGDRSVFENGKSFFNDIQESSFSTKNSKEKPKKSKKNDEKMTIKDYERKLVLEKGGQIDESDEEAEYKKTQQKGYYEEQEEIRKSLAAAIHLGDDEDDEDILKERKKTSKELEDEDNDFYEWMKSEDGKADKKKAKELKHLKKFWKDDQKLDESEKFLRDYLLNKDYEPGENEENPTYDEIVALEEDEKELDKNRQYEQKYNFRFEDPDQEFIKQYPRTVAESMRTDDSSRKEKRHEREERKKKEKEEKKRELAELKKMKRSEIEQKLGKLRKAAGVDIPLTLDELNADFDPKEFDKKMKSIFNDEYYGVEENIQEDEDEKPVFSDVSEVNTFEINYFEFQMDDSDFEDYDNLDVEELKKKGVDEDEGDDEEVEEENVDEEDEQAEEKPKTKKSAMRNGKFDMVAAAQKAMSKDKNDSRRKSKRNALKDALAKKKPLFNPKEKTFEEYFNEYYALDYEDIIGDTPTRFKYREVEPNTFGLSTDEILEADERQLNAWASLKKVTAYRTSQEEFFDRKAYQRKAEDVDKKKRILSIDFGGKKSLKRKAEEEEMEKEMAEMGESEEHGDESEKKKKKKKRGKKKAPVKKVDWAVAPVKDTEEVEEEKEVEQEEPEEPEEPVVQKKPESEQNGHAGPPKKKARKRTKNKVRHWNPYSFIILFFQSNVIAEKFGEGMTDSRVKAYGLNPSRLKKGLVYGQ >CRE01938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:240566:241271:-1 gene:WBGene00053933 transcript:CRE01938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arl-1 description:CRE-ARL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGE4] MGGVMSYFRGLFGAREMRILILGLDGAGKTTILYRLQVGEVVTTIPTIGFNVEQVEYKNLKFQVWDLGGQTSIRPYWRCYYANTDAIIYVVDSADRDRVGISRQELATMLQEDELQGAVLAVLANKQDISGCLTETEVYKALGLDALRNRTIQIFKTSASKGEGLDAAMDWLANQLQQKK >CRE01550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:237976:239490:1 gene:WBGene00053934 transcript:CRE01550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01550 MPRHIRIHKETTHWEEQQVPLLHPAYSNRFFNLLIEMSSLPILKLPDSAADLVVKLLNFSEKIKLCICSKRSAKIVERGQQKIKVLIIRMRETCCIISINGSEFTVRFCNNIPRIIESRENDLFREVLNPIQENMNVTGRLFKTFVVDECYYTICVKDKKSENLKEYIKRVIPENYSDITFEYTPDREVNNDFLDTDEVSFILKTVKPEARLSIGCNIDLDFKHDNPFNFKSVRYSDARWVTMDVLKSIKHSGNVELGETNFSYKDINEYIHYWVNSEEDLIRNLSIGLNRGLDFNEQELLDKLAFATCQRQYWKYYFIKAKSYENRKFTFALVSCCSSLSKVNIQMGEPDVGLAAVIFKHLEDIEEIQKLSEVVEKIEELEMKCVEVLEGETSDTEKERIRKELEIVVKTRNLIETRLDAIRSQWKDFLKNIYSGLLRVAGITDTTLQVTVTSPS >CRE01549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:234665:236211:1 gene:WBGene00053935 transcript:CRE01549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01549 MPRHISIHKETTHWEEQQVPLLHPAYSNRFFNLLIEMSSLPILKLPDSAADLVVKLLNFSEKIKLCICSKRSAKIVERGQQKIQKINIHMNETWCTIWVVETLFSIDMNEFKVRFSNNIPRLLKFSDNELYCKVLNPVQENMNLAKRILATFVVDECRYTICVREKKSENLKKYIKRVVPENYSDIFFEGKQHWEGNTDFLDTDEVSFILETVKPEARLTIDSTISLDFKHDNPFNFKSVCYSDGRWVTMDVLKSIKHSETICLEHTNFSCKDINEYIHHWVNSREDLIRNLGIGIKGDLEFNEQELLDKLAFATCQRQYWKYYFIKAKSVENRKFTFALISYNSNLRKVEIETDEPDVGLAAVIFKHLEDIVEIQKLSEVREKIVELEMKCVEVLEEETPDTEKERIRKELEIVVKTRNLIETRLDGIRSQWKDFLKNIYSGLLRVAGTTDTTLRVTVTSPSHV >CRE01936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:232765:234608:-1 gene:WBGene00053936 transcript:CRE01936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01936 MSIFPLLKLPESSVDTIVKQMEFHEIVKFCICSNQSAEAVKRLNPTFHRLLINFSHDKCNIWLAEEEYRPKQLKVTFHKSSAEVPNRSKMDGIEEYRYEVIDPAQKNANVARLIMDSINVKECWYGISPDNIENLKEYVTNVLVEDYNYFEVCGRKTAADDNFLDSEDLTFLMNTVKKNVMFQVMSNIPTDFKHEKALQFKSFHYIDDRWLTLDNLKSMRNVEEAVLSWTHFNWNDINQFLHYWMTCDDDMMRELFIYFKCGTVFNENELLHNLTAISCQVDRNSFYYIKARNDGNRKCTVGQLHFDTLSQCLRFKAIEPKSLPDDIYRHLEDVEKIQKLSRVKVDIENLGFEYQKMLENEKCDIEKGRIQRELEIVERTKHGINGQLGLVQNQWKPFLLSFLTGALRVLYPIGMNVEVNLSFDESD >CRE01548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:228968:231077:1 gene:WBGene00053937 transcript:CRE01548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01548 MVDTIAVLNPIHLEMSLDPQDNLRTSARSTTSSSASTSSDSELMSPRAEELLRMQAELRATRTALAEKDKKMTQIQTTVDEEVQELTEKLFQEAYKMVNTAEERREKAEKLLNESRLEVEVLQAEVRALKELISAPGMGQNHFKQTTSPEHKSTLSKLFNGSSSSKKQQQKEISEKKKTSSLPSTSSYSTSNSKNSTEEKEQKEADAVEEIDPILFAEFDSWRNCGHPDESHPFIDRVLIEEVEPCMLFENSELSSELMTAIRENRVQLEPLNESKPSLRICSLTNASRFCPYRVRIGDDGSQWHFVSLIARNRIAAVCDFFTCIRYLSQGLIKPGPRDSYFHVVNLRKNMSLAKLGLGFVPRSNIRHQ >CRE01935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:216532:218991:-1 gene:WBGene00053938 transcript:CRE01935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01935 MALLKENANGENNAAELNFSIGEIVSGFKLVKKIDEGGFGQVYKVTKDDKTFYAMKLESNFLEGGSAIKLEINVLNQLPRESVFPAFISGGKKTKFQFLVLELLGDNLKVLKSKSPNPDVFSDGTWSRVGIQCLYAIKKMHDAGFVHRDIKPNNFAIGISTATETRSRRILLFDFGLARKFIQTTGKKQSNGGVSKKVDKGGSKRKTHHSKVKTSKSKMTAGASKLSKTKSKHVPAGAKLEQNKKKNTIQNRFLVGPQENQGSIEEVAKEDEYMFRVPRPHTDFRGTHQYASPNAHLQKELGRHDDIWSLMYMIAEFFIELPWSNNEEIPVESLKDQASLLRLFFDEKYPTRLTSKMQGQLNEIDQMLKDTNYYTHPNYDIVYQFLSDVMKQSGTLWETPYDWEMGKHPEMDKSRTVKIIKKDFAWEKGEKFFKFNRWIQLKLPSQSPPVSTKQFGAPQATSVIGFTKKDFRKFNRQASKEEVDDGEVLVEVGGGGQKSMKKEMEVVESKKEMK >CRE01933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:209867:211358:-1 gene:WBGene00053939 transcript:CRE01933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01933 MSPLPILNLPDSAADLVVKLLDFREKVKLCMSSKRSAKVVKRAKVKVNYINTHMEETSSHTFIYETELPGGNDLGAFFYSKKSEFLKSSERHYNREVVNPIQENISHARRLLETFEVKQLRYSVCVKNKKSEILKEYLTRVVAIDYDSIDFSGMMFYEEGNVPLDTEDLSLILETVKPDAGLRIHGTISSDFKHDNASSICIYSISLFDFQPFNFKSVHYMDGGWVTMDVLKSIRHSGRVEFMLTNFSCKDFNEYIHYWVNSKEDIIRDLSIGLERDFNERVLLDNLAFATCQCRYKTYHFIKAKNNENRKFTFAKVSYDNSRPYKVDFETDEPDVGLAAVIFKHLEDIEEIQKLSEVVEKIEELEMKCMEVLEGETSDTEKERIRNEMEIVVKTRNLIETRLDAIRSQWKNFLKNIYSGLLRVAGITDTTLRVTVTSPSYV >CRE01932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:205492:207630:-1 gene:WBGene00053940 transcript:CRE01932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aptf-4 MAYLNSHPYSPEYEYPVFSDLTNQGMTGETSEDTNKFEFSQNASAESSGVNRKRKTSNSESHLNPAKFTLLESSPSQNLGDTTHGVRYQAPPLDSMYQVPTLGFYADTWNQPPPPQFHQQHPQMQRHEMPLPQMQHSQMHHEQPHQLYPLDGYYQQPESARTPPMTEQQEYTLLSLQTPVEIRQPPGGSLMYTPLSDVSSTTMFQASHQGGSPGNTDFAPTSSERPTVTNDVFNSTEQRAVVPAQQKQKKGMKVIDGSDILTVSEFYSHGNAATILKTFATHYPDGNNPVMEVDGRLPAVGCRSKYTVTVDEIRRRVGAPEFLNISGLYTFLRKSKKKANNVNVKKMLDDHDIKVLKMQRQKVATRFSPMLEEECLHMAKDLEKLTERFFPVMTVSHQLVHDLLNKNTLEKTVGYLKSTLAIIDFIINSLQARQPKVHGMQEKLKGNKVDVSYHLFSVLTHGFGHANSLNHYKLYRGIFERALLMCTQIQHGKKIEPIKELRPGEKPFALMRPDAYARWQKDVYIEKEKNRMMATYHDYQNHQKTMQHQNQMPTTSSG >CRE01547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:201154:205476:1 gene:WBGene00053941 transcript:CRE01547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01547 MKNLIKFLFQTSRLLVVVACILLVYSFVALEGRGKEDGETDGDDRKTNRRTTENEKEKKDRIPLQDLNFQFPETPRLVFMVIDAFRLSFLTSPESPMTFTKSSIANNSALLFDAYARMPTVTLPRITAYITGTLPSFGTILTNLATDEIKIDNWISRIHALKKRIHFFGDDTWIRLLPGKFKKYDGVTSFYVNDYTEVDQNVTRHLRKEFSGFRKWDVLILHYLGLDHIGHSLGGNSPKIPEKLKEMDEVIVRIHEFLRNSSSDSRENYLIVCGDHGMTAAGSHGGASPEETRVPVVMWKIGRQTNEKMVKNTEKPPKIEQIDVSATIFDLFGLEMPSESYGISLASWFRDENFEEENLKKQHEHFKNIIEEKHLSISDICDENCDYTDKFLQKSLKKWFKTVQEELIGTSTEMPNISMFISFALLLQVSATVLSANAWFFRTPQLNLSIFLVNIMCFASSLIEEEHEIWFYLGSTVVAIRAIRWLRNREQKELKPIIALAVLHRIAYGYMQSTRRRWSMDQSLLPESLFSEVFDRNVTDFNTFLKFDAILVMIGSFIYWWIYIKKNHSLIFLPMLSQYLRYMEFAPIGIFNISAQIHILIQLCVVPIGIITGTMLFGFLPLILAIVPVSQVLLALFTYRIGHLSAKLQFGDVENLIFCMASFFYFFCNDNFQFQGNSNSLSTLSLTSAYVGLLDYYPILVGAQLIVYTFAGPVLYMAGRTSFVSTKSPATSHWLQLHFSFRISALATSLICLYIFQNHLFVWSVYSPKVVYDIAHVIVFGVISAF >CRE01931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:199321:200329:-1 gene:WBGene00053942 transcript:CRE01931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01931 MIPHTILFVFVLFSPSEQAPALPSLGLLEGSDGVLVKEYINAITAYGPGLGVYTPYSYVDVSYDNIPFVGKIPIIGKDGTSSRLGVSPFYPPGGNPSNPFPPIYKARPLPLHVPEPQDVVAVPLDEEALHGEPLFPPEMILPSEKAAEEAAAKKALETAKPENPFADVVDTDVQKLVDTVQWPSAMSTSEVEGEDSQGKNMVQEDETQRTMIVDEAREKPMQPFEAAKISIGANDRPKSPFRSRRILMERF >CRE01546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:197577:199206:1 gene:WBGene00053943 transcript:CRE01546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpf-1 description:CRE-CPF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGD2] MKPDIKDREYMYRLIIGQLFYDGHQQIAVTLANTLGCSAPAPPPSDKLYRLVTIAKQFVEDPDYKAEEKSLPMQFETVSTGLDLEYDADVQPVSPEPSQYETIYLTVHKAPCRAAAFNSDGSLVATGSADCSIKIMDVERILAREKEHREMNENGPDAHHPVIRTLYDHVDDVNTVLFHPRDAILISGSNDKTVKLFDFSKTAVKRAMKTLSEVYPVRALSCHPGGEFLLVATDHPTVRLYNIETAQAYASANPDDQHRESVTDVHYSENARLYVTASKDGDVKIWDGVSNRCVETFKRAHDGSAICSAKFTKNGKYLLTSGMDSIVKLWELSTNRCLIVYTGAGATGAQDYATSASFNHNEDYVLFPDEKSGSMCSWDARNSDRKRLLALGHTSACRTFVHSPSMPAFMTGSDDHRARFWYRKPATTDN >CRE01930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:196313:197173:-1 gene:WBGene00053944 transcript:CRE01930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-26 description:CRE-RPL-26 protein [Source:UniProtKB/TrEMBL;Acc:E3LGD1] MKVNPFVSSDSGKSRKAHFNAPSHERRRIMSSPLTKELRTKHGIRAIPIRVDDEVVVMRGRHKGNTGRVLRCYRKKFVVHIDKITREKANGSTVHIGIHPSKVAITKLKLDKDRRALVERKAAGRARVTGILKGKHTDESVN >CRE01929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:193357:196024:-1 gene:WBGene00053945 transcript:CRE01929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-suf-1 description:CRE-SUF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGD0] MSGVNMRNPERRIENNPFDVDAWNLLLREHQSRPIDQEREFYESLVNQFPNSGRYWRAYIEHELRSKNFENAENLFTRCLVNVLNIDLWKCYIIYVSETKGQLEQYRETMAKTFDFALEKIGMDVQAHSIYTDYIAFLKKVPAIGQYAENQRITAVRRIYQKALATPMHNLDSIWADYCAYEKNINMTLAEKLIAERGKDYQNARRVEKELQQVTRGLKRATVSVPPKGTQSETKQVELWKKLIAWEKTNPLQTEEYGQHARRVVYTYEQSLLSLGYYPDIWYEAAMFLQEASQTLDEKGDVKLAQALKQETCNLYERAITGLMKESKLIYFAYADFQEEQKKFEAVKDIYNRLLAIEHINPTLTYVQLMRFIRRTEGPNNARLVFKRAREDKRTGYQVFVASALMEYNCMKDKEVAIKVFKLGLKKYENEPGFGLAYADFLSNLNEDNNTRVVFERILTSSKLPSDKSIRIWDRFLDFESCVGDLASILKVEKRRKTAYEDAQKDLGMNHSMLVIDRYKFMDLMPCSGEQLKLIGYNALKGTESTATQGSSNSGPKPLPTRGPQAASAIMGGAGGHAEMARYGFPRPDITQMIPFKPRVNCNASFHPVPGGVYPPPPAAAHLLSLLPPPTCFIGPFVNVEALCNLLSQVQLPNMSYSKSEDNMIGPMMEQDVKKDLYQLLATTSDPSAVVRSSALADLKRKRGDSDDEEDYTHIGATIGSLGTRDAYKRRMNKKNE >CRE01928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:191705:193090:-1 gene:WBGene00053946 transcript:CRE01928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01928 MLTSLVFYPSLGYNLIRNYVQPNRWSWYNRVDDTLILGAMPFQSMKTELVQKENVGGVVCCTEEFELKAAMNAMREEDWKKEGVEFFAVPMKDFTGTAPRAEINEAVEFIENVAAKGKTVYVHCKAGRTRSATVATCYLMKSRNWMSNVAWEFLKDKRHQVLLRNAHWRTVNEYRRFLDSTTSTGTSSSSK >CRE01927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:190645:191315:-1 gene:WBGene00053947 transcript:CRE01927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01927 MVGRRGFTIMFVVLALVNTIVCAESVEAKAENDASAEKSLEAKSTVPPPATVTVATVLNVTVASSVSTEKPEDDEKPITETIRTYIEDSVKSVKHAISKIGKFVGELFEDAPKSNSTVPVNATVVPAVILSSTVAPTTDKH >CRE01545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:189162:190547:1 gene:WBGene00053948 transcript:CRE01545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01545 MTESRKGVALRELYWKTLVLGSHYPAEFDGGRFRSTPGDSFPMDVVIGLDKIANVYKVVIDADESFTPSRVTIRVGKGDLSSEVNYKNARIAKYQKPVTLEFFRRERSASRLESKNAFTDAIGQYVWITIEKPIPLSQNPHGQIEIRKLTVLGYPLPEEMLRGAPIEEQKSIEDDVASLKMEKLEIEPRGVPRKEQKVERHSYEGDGMSMKSETNLSGDPLTSIRTIRRVLEQKMEKANFDGKTIQATVCLRAIQRIDEYEARIEDLATRRSKALEVGDLNMATMFEISVCLSIRASIDTNVRSLLFQAERHRLAMIDCRDTVFRAVHVDLLLDRDELRAIGVQSEWAD >CRE01926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:183190:185735:-1 gene:WBGene00053949 transcript:CRE01926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01926 METTDITTDNLIETSSEFPVEATTINITLVTDDPGKGALILNETITDLNVTTTEYIPIVAAATAFASLIIIGLFAVSVFIVVLIRGRKRFQEFPFFAIVYHLTAANAIHILLQLTTVLPIMLFDSDEESANRFWYKIGSYGIMITEQASLYFTLLMTINRFAVFVCPSILSVFSTKGIHIISSFIWVYINFIVFWNYNYGTTKTFSKKTISMKEVLLGTNLLTKFFTLSSTCLPIIMLGMYFLIFIFIMKKRQVADNQNQKKTDRDTSLVVQALIITVALEMVNVTDMLTPLFKNAQLSLQWIWTIFSYCVTIFNQLVNPLFFLMVNKMVRSVAKHIFDKNISSFLSDTSSTNKLPESRRIPRTTKKLCYGCCAWFFNDETKERAVVTF >CRE01925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:179690:180716:-1 gene:WBGene00053950 transcript:CRE01925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01925 MTEFVNLIASLILICGYGQYLINEVNQGEKDIRMSEEKVYSALLYLLLYQVLSKSLAAMFSMKISVWLLTILEAGVGSLCVIFHFFGYGVFERVIFSALYIIADTSLLQQLKIITKKQKLSIIGTSITTIISIIFALSFFEYNALILKYSTYSFFIKLPTCLLIIASAFHPKNQLRDIQQKQREEHLRNYPPVENRDEVIDQRVDIEMNPMNNGTLV >CRE01541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:170645:172719:1 gene:WBGene00053951 transcript:CRE01541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chhy-1 description:Hyaluronidase [Source:UniProtKB/TrEMBL;Acc:E3LGB9] MMVVNLLIPVLVVLIGGVNGAQYVGTTASQPNRTDVVWMIPSWPCVNNDSIDVEKFGILQNQDQKFVGGREFAIFYEHSFGKIPYFKGQNVSDPQNGGLPQLGDLEAHLVEAEKNINETIPDENFSGIAVLDIEEFRPMWELSWGVFAVYKTESIRLTRQQYPYWSQKQIEWQAERDYEKACQKFFIETIRLGRRLRPNAKWGYYLFPKCNGDVGQKFESDCSTLFQKFNDK >CRE01923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:173211:174819:-1 gene:WBGene00053952 transcript:CRE01923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01923 MSFAKSFYADQKKVEQPQQAASPITASAIPATTPIAEDPLTPAQIQEAIRIYRSVLSNSAPSSPVRAPAPPVQERPQVHSNYYGGGPTDIPQSYLLNYSTARGQQTPVSAPVTQVPEQHFTEQQLIAQLQALQLQQQQQQEPTYAAPPPPVQQQKVTPKRAPVLQKMYDDEESGYCFARVKQEDNEVADEVPEAHVATPTSAPQATYSAPQANYSAPQVNYSPAPVSNNYSRGVSGPSEYIGMSNDSKFIYDAQKALPAYNQNNTYTLVNATPVAPVMIHRQEESDDQGMTTTEDVTQVPASPAVLSRFRGLIRNAQTPTKSVAPIVVERIAEPPVHQQVTPSHNYNNYGAFQPINQMSMESEYQLPVLNDLASCIEHY >CRE01540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:158828:167232:1 gene:WBGene00053953 transcript:CRE01540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01540 MSQDPPPPDDPNLKPAEPELDAGEQARRRLAKREKRMAEIIEAKRQQEIKDQEARAEQKKREQPRMEKKESEESLKLFNQAMEPSTSQPRESKVEMKARERKAADEKKTRVAAPDESRQESSEERRIAHYQKQKEMEKQKKKAASSSAGQPEAANQEPDADSGSTSSSIEVVTQIRPPSPDPLMKKIQERIFREAQHLRVRGDPVRVAAAKAETEKKLDQAGKSMKQCRTEQAEKSGLRLPQPPKTSTPSGFVTIRDTERPVIPPAVGAPPAKASPPTDSIPTPPDLNSRRRNKEQQFRSRFAELSKDESETKEDSPAAPRRKSSGPFNPRELKKRPVPSDFSKGPQPKIAPTSSQMRHVGSEAASSSLSTQKLDTRISKPENVSQAQPVQAQKAVLKQTSTPVSSAPKTPATIQTTPVSKPTKPVAPSSFIVSKAPVWGPVVKQPPTQNPKLVSTTIPAAPEKDSRSDTSPSGQTAPTPQPTVKKASSVPEQDGKPVTSTVVKSKAAPTVQHVAQPASTAVKKAKVPTTVASNPEPKQIVKTAQPDKKKSDSQAPVQAATKPEAPSSSIVPKGPVSEVEEPPTQNPKRVPTAIPSAPQKDSRSDTAPSIRPVPRPQPTVKKGLTAPKQDPKPVARPVEPKTAPTIQHMTQPAPPTVQKANVPTVVASSKVAPPTQQQNLSKFAMATRRSLGELDFKQMAIDVLHVTSRKQRGDFRHALTNVRDRLMKDLTDLEKIDIQVVLDRLDELDQADSGSTVEGNSTVLEEEQSEKRKRIRKSRAQRLAEAESKVVEMTDEKFEDIYKSVMESFNKDDTAGEDLIPAPLMRRRSCIPPKDTNPMLKSMVQLKKLHENGKPTVGAVLKADVAKKIKDAVVREQDKTLRQENSAQKRNRSEKADEPTEIPSTSVKAPLTENPSTTTEAPPTEVPSTSTEAPPTEVPSKSTEAPPTEEPTSSGVPSSSILAKLIDEETESAREENQNVPYSGRPGMGAIERINRPSPPAPRPPEPVSLGGKYPQYRYVGGKVVKISKPKEKMQPKNPGYVPYVPPKLRHLPMQTQEKPQEALPAESVIEVEHPQIEDRHSPEPMEPTEQKSVTPEKEKTPERIAEEEQKDGEDMNHEDGPEDFQQLLADNEEKQGDVSSPKKADSVATKKTAREIMENINDPTWEEIQERDKFAEEDVESDPVSDREDPELKEYEELYSSGDEEEDSDDETIMTRAESRDTREWVSNEDNAQEVRDDILPSDHEEEEEEEKEEEEKRGMMDVEEPELIGLSESPQMEERISPEPDRFSPLLESEVPYVAEEVILETEESYETKWIKQRNAQRQRDLPQTSRRETEYMKKLTAKAFGYSDEDSDEEPFEDSDSDYEFEGVESDIDEKLAKEIGIWEEKKAAEVEEDKKSNETSERDLDYFNSNPKMISEPRDSFEELEKLVTQCAQIQEDRRRRRYDSDGSDFGELSDSSFNADFEQVKAMYEIEKEKREINSSKPPREKLMEFGDRCVERYQRARKLALEYKKVDKNEEKLAEMLEGKKEIEKKKRAPRGKKDEESKVKQQRRKLNEPDDRDELEKDIERAQKQEAEMKKGVKMVLTEISKDPKIAERQCELCFGVFVNKNKRERHMKTHTDQIDANCDICGAPMKFSYNLVQHLKKCAQKLTIPCDEKELQQYYPRFIEQFNQFRADNLSDLPDLPADFKFDDMGFIVGSDDHIPFSYSNYEFKRVLKNHKKN >CRE01922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:150412:153317:-1 gene:WBGene00053954 transcript:CRE01922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sptf-2 description:CRE-SPTF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LGB7] MEREHTKAPGASPETPPPLIPTVTSGGKLRPLGKSRNPQEFFLRCSHSGAAEHRSVEREGPPRMTSITKFFRPWETRHPSISSGGYPSSISPPDSPASTSASSSSSHGHPFEMFLMIPTTTTSIPLEMSTNRRKCERCTCPNCKAIKHGDRGAQHSHLCSVPGCGKTYKKTSHLRAHMRKHTGDRPFVCDWIDCEKRFDRSDQLIRHKRTHTKEYRFACSMCARQFSRSDHLQQHLTSVHNIIVVD >CRE01539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:146608:148106:1 gene:WBGene00053955 transcript:CRE01539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01539 MPLKFLSFPFLVQENILKNMELVEFFIMSTCSKRTKQSAILARIEVPKIRHVVKENEHSIMIRREEGEKFKTIIKILEVSRLRLSRMWRMSIGNSEFPTEISVQGNSSNAEYCLLKVTQIDETFLVGFSLYFNTLFRLKESTKLKLEFIKSAVRTPMFENVNEVSLSGETLTMDDLDSFLTLYPNLDVLKIKQSIDGELNETSRILVVKNVCLSNAGPFGMNLLTKFTGQNIYLNKVDLVEADLNEIIRKWINSEGYQNLVSVHALMDIHSGRRIQTDQVFDQLPIERFNPTERQEHVRYTTRVFRFTLDIGFHGDNCYDVIRKSDGKKASIRADFRFFRMVIWN >CRE01538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:142732:145260:1 gene:WBGene00053957 transcript:CRE01538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01538 MPLKFLSFPFLVQENILKNMEFFEIFIMSTLSERTKRSAILARIDVPKLRHVVKDKEQSIMIRGEKSKTIIKILEIPRLRFRRMWKMNIGNFEIPTKISVKEGKKPSDPEYCLLKVTQIDETFLVGFSLYFNTLFRLKESTKLRMEFIKSAVTTPMFGNVNEVSLSGETLTMEDLNSFLTLYPNLDVLRIKQSVDGKLNKKSKILEVKNVWLSNAGQFGMNLLTKFTGQNIYLNKVDLVESGINKIIRKWINNEGYQNLVSVHATMDFPSERFIQTDQVFNKLPVEWFNPRERQEHVEYTTNFPFLVQENILKNMEFFEVFIMSILSERTKQSAIHARIEVPKIRHVVKENEHSIMIRREEGEKFKTIIKILEIPTLRLRRTSNKNTGDSYIWMKIRVKGNSSNREYCLVKVTRIDETFLAAFNLYFRTLFRLKESTKLRMEFIKSSVRTPMFGNVNEVSLSGESLTMDDLDSFLTLYSNLDVLKIQQSVNGELNARSRILAVKNVLLSDPGPFGMNLLTKFTGQNIYLNKVDLVESVESDLNELIRKWMNGEGYQNLVSIFVEMNFLSGRFIQTDQVFDQLPVEWFDTTHRPKYTTRVFGGTLDIGFYGDNCYDVKRKSDGKKASIRADFHYFRMVVWN >CRE01537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:139286:140775:1 gene:WBGene00053958 transcript:CRE01537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01537 MDDRPPLSEIELRTLCLYNIHQWKTAEKTYENYKKVCSYPSKIEKLSAAKFKRLFNQYSKEYLLMSENGFHLPHYCSQICVHSDFVEGISQKRSYEKIREAFKEGAVGKETVEYFYDGFQSRAAAKHLQFSDLPFDTLRLVVKKLDLKSTLNLRNVSRDLRMIVDEQKPSYKNIRIEYFTSSYIIVEFNEQYVLYTADVHLPPIPLTKKIVRSDFKNIAFEDLRFAFRNPEIRLDTLHIRYTALKFEYRLSRFLYSLKYKIHVEHCSIDFGNEKDVKTILQRLKPKVLNKLTLRRVSPDRSAKDNEIHYISFDYVSRMDQWKQVEHVEVEKAKVLSIEKFFHLKRFDIEVESIPMENLRRLINAASHSTNFESCRIYTDEYLDVGFIAAGLRLQPAPWNCDNSHFYEIPETKSVLNFYLSRNMIHIIKK >CRE01536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:136686:138665:1 gene:WBGene00053959 transcript:CRE01536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01536 MVLLIYKYFTVCASMDREHLIKLACARNPDLEMCEELKARNARDSSSSSKKDTVDMVEPPPLPPKMKRREIRPANDDENSEQKPPVRYRALSSEEVRILQAKCARVGPLVQKHCQPKKTSARNAGRCAAYFRDCAPFIEKSDPLASIANSFNSGVNINLANVDVKGIPYYPVNEEGAVGVGVGLGIPFGSYGGGFSNSVGVRDYFHGDQEVGANWYDGMYGYKNHWNIPLVQSLGVEGGQHNTVSFPLHGKDAGNLKVDNGYGVGGYYQQNDHVGVNYKSGDVKHTFGVSSPFVGAGFQTGQAVAFPGLDVWERALG >CRE01535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:128627:133666:1 gene:WBGene00053960 transcript:CRE01535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-12 description:CRE-CUTL-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LGB2] MIVKYSWIFIFLIWKRIVLAGNNIDYNYYYTQMSPPRLECGSEGIRLHISPTGTFGGHVYVRGFFPQTVCHLNYCTRLTNQPIVMDLPFRGPCNVRRRRNVTPPSISYDVTVIIQHHPLFITSFDKAYRLNCIYRQQDSVLQQRINVSDIPSTALQSRNAPKCRYDVLSGSLNGPIVKFANVGDVVVHKWTCDSDRFGFVVHSCVVRDESGKDFQFIDERGCVTDFTLFPEVTYSSDLKSAFTAVRAFRYADQVMVHFSCQITTCQKQENGCEGISPPICRPLDLGPIKVHYVKHKNKEIGEKNENGVETLPPRTENTSEDIQTTTTTVRSTTTRAQLFSSTTFKTTTVDRQRLRTPNSPQRDENNSYPTLSSKFHNQNQNGNGYDFPNVESGEGIGQDNVAEFGVIRNSDSGVNEYGLEIAPEKMKKKKTGKIPVLAEPEKLPKREIFHVETISKTMDSDVEPLPYPTKASVYQHRSPRSHKGKSDEFNITLEVETTKMLILENEPTEEKLKMKKREGAESVKCEKIDENFVSNTLLLTTLAVLQIISILALITQRFYYKKSIDKLVFAADDRPGSRKI >CRE01534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:125417:126335:1 gene:WBGene00053961 transcript:CRE01534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01534 MRSAVIALLVFVAFSRSDFLLPFPLTEKFINFTAQLEKPTNNDTSCNLAQLNYCQYSFNQNFGLNSSVSYSNGSRIFETVQNYLNSNVTQLSKICRARANFYHCLGHTYYTCMNLHTRLESNNTDSSNGKSLFIFIQSYNHSQDSTTSELSVDSNGFVEEDIKKQSINGTTSMTFPPPLPTETASTPSIKPSPPSTSARKNISKCLIPHYLLFFRSVQQTGNCLNTVYSNLTGDASVSLLIISNHISNFQAGHYGCENFRYTFANACPGLKCTIGK >CRE01921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:123649:124569:-1 gene:WBGene00053962 transcript:CRE01921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01921 MRSAVIALLVFVAFSRSDFLLPFPLSEKFINFTAQLEKPTNNDRSCNLAQLNYCQYSFNQNFGLNSSVSYSNGSRIFETVQNYLNSNVTQLSKICRARTNFYHCLGHTYYTCMNLHTRLESNNTDSSNGFDYVRTFRGLEWICGGGYQETINQWNNFDDIPASTPYRNCVNTFNQTVSTVHFCSSVQQTGNCLNTVYSNSTGDASAGHYGCENFRYTFANACPGLKCTIGK >CRE01533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:121354:122973:1 gene:WBGene00053963 transcript:CRE01533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vab-9 description:CRE-VAB-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LGA9] MVAVQRTTIEAVSAVRPLKIISLICLVIAIGLLIVALCSTSWLKTGSFRTGLFKECTSSNEPTHAAPFPGAPAPGHCHGPSRNHGFLIAAAALLFVSLFCTTLSALLNIVGLSKSDVRGKYRWYRFATICSGIAVLTELVALIMFPAVFYVNMNEYGSRRNWEVDWSYGLAWGATLFTLGASIMLICDKEHEEVYYKEKTIYNPPPELSDR >CRE01920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:100324:102682:-1 gene:WBGene00053964 transcript:CRE01920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01920 MSEVFTEERYIRDIATFANSSKGDVWTLNKTPFGITAKQSAICRFDDQIINRQAQFQYNRKLARLELWFMFYCRNSGRPLRIREIAEILGTKPEENDAIVIELSTHPTLRIPYYKLYKNPDDSGDAIGIVPPGENYVERWISAFGAEAGLTPNLPKGSYSECNSSCNQSTTSTEDS >CRE01919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:89032:97495:-1 gene:WBGene00053965 transcript:CRE01919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pyr-1 description:CRE-PYR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LGA7] MKRNVADDSSQTSNRSGRMHWESMKATLHLEDGSTFVGSIYGATKSVVGEIVFQTGMVGYVESLTDPSYAKQLLTLTYPLIGNYGVPSTEILDKFGLPAEFESDRVWPAALIVEKLCVDGEHSHWQAVQSLSEWLRKADVPCLSGIDVRQLVKKIRETGSMKAKLVIESDNSQNFDFVDVNAENLVDFVSRKEPVTYGSGEQTILAVDCGLKNNQIRCLAKRGFKVKVVPWNHPIDTEKDYDGLFLSNGPGDPEVCEQLVDRVAKVIARGDKPIFGICLGHQIISRAIGAKTYKLKYGNRGHNQPCTHYATGRCYITSQNHGYAVDTKTLPADWKPLFTNENDKTNEGIVHASKPFFSVQFHPEHTAGPTDCEFLFDVFADSVRQAKNGVFMNVDQELTRLMTFNPAYHAKEQRKVLVLGSGGLTIGQAGEFDYSGAQALKALREEGIRTVLINPNIATVQTSKGFADFTYFLPITKEYVTDVIKKERPTGILCGFGGQTALNCAIDLYKDGIFEQYDVQVLGTQITTIMKTEDRDLFNQEISAIGEKVAPSKAATTMEGAIEAAEELGYPVLVRAAYALGGLGSGFADNREELVAIAQQALAHSNQVLVDKSLKGWKEVEYEVVRDAYDNCITVCNMENVDPLGIHTGESVVVAPSQTLSDKEYNALRTCAIKVIRHLGIIGECNIQYALDPNSLTVRDIFNRKNYQFYHSYFQYYIIEVNARLSRSSALASKATGYPLAYVAAKLALGQHLPVIRNSVTGTTTACFEPSLDYCVVKIPRWDLGKFARVSTQIGSSMKSVGEVMGIGRCFEEALQKALRMVSDHADGFSPYTFSRPPTSDDLEKPTDKRMFALARGMYYGDFDVEKAHALTAIDKWFLFRMQNIVDIYHKLEKNDVNTVSAELLLEAKQAGFSDRQIAKKIGSNEYTVREARFAKGIKPCVKQIDTVAGEWPAQTNYLYTTFNGVENDVPFNMKNAVMVLGSGVYRIGSSVEFDSSCVGCIRELKALGYSTITVNCNPETVSTDYDICDRLYFEEISFETVLDVYHLEQPKGVILAFGGQAPNNIAMSLSRAQVKIFGTSPNDIDNAEDRFKFSRKLESLKISQPQWKKSENMEDAKKFADQVGYPCLIRPSYVLSGAAMNVAHNAEDLEVFLKQAAVVAKEHPVVVSKFINEAKELDVDAVAMDGKLVVMAISEHIENAGVHSGDATLVTPAQDMNKLTLDRIKDITYRIAEAFNVNGPFNMQLIAKNNELKVIECNLRVSRSFPFVSKTLDYDFVALATRAMMAEDSPAIRATIKPTATLLKGKGRVGVKVPQFSFSRLAGADVMLGVEMASTGEVACFGTSRCDAYLKSLLSTGFVVPKRNIFISIGGYHAKAEMLKSVETLQKLGFDLYGSKGTADYFQSNKINVKPVDWPFEEGSSDEKTASGTRSVVEFLENKEFHLVINLPIRGSGAYRVSAFRTHGYKTRRMAIDNGIPLITDIKCAKTFVQALNMVGRRPTMNSLVDCVSSKSLKRLPGMVDIHVHVREPGATHKEDWATCSRAALAGGVTTILAMPNTAPPLVDSDSYHQTELLASAKSVVDYALYIGATPTNSKFAAEFSDKAAGLKMYLNETFSTLKMDNISDWAKHFAAFPANRPIVCHAEKQTLAAVLCVAQMANRAVHIAHVATADEIQLIKEAKQKGWKVTCEVCPHHLLLTEEDLPDGIREVRPRLVKPEDRQALWDNMEYIDCFATDHAPHTWAEKTGNGKDGKIPPGFPGVEYMLPLLLTAVHEGKLAMKDLIDRMSVNPRKIFNLPAQDDTYIEVDLNEEWTIPENGGQSRAGWTPFAGRRVHGRVHNVVIRGEEVFVDGRIVATPGFGKNLRLYPHSASAAHLEDQDDITLEPVSENSSDEQSPLPTPPRAHSPIAFPGELLAKNCISVKNLDKGQINRIFELADRYKHDVEKSHPLTHILNGKVLVNLFYEVSTRTSCSFQAAMQRLGGSVISVDSQSSSVQKGETLEDTVHVLGSYGDILVLRSKENGAAERAARVCDQPVINGGDGTGEHPTQALLDVYTIRQEMGTVNGLTIALVGDLKNGRTVHSLAKLLCLYKDITLHYIAPCSDLEMPQDVIDYVSSKANFTQKKFSSLSEGISHVDVVYVTRIQKERFTSESEYQKVKGSYVINAKLLNEAARDVDEQQSNLLVPTRSLPIVMHPMPRVDEIAVELDHDERAAYFRQAKNGMFVRMSILSLLLGKGHL >CRE01532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:85361:86715:1 gene:WBGene00053966 transcript:CRE01532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01532 MPLKFLSFPFLVQENILKNMELVEFFIMSTCSKRTKQSAILAKIEIPKIRHVVKENEQSIMIRRDEGEKFKTIIKILEAPRLRLRRMWKMNIGNFEYPTEIRVKGISSNMEYCLVKVFQIDETFLAGFSLYFKTLFRLKESTKLRMEFIKSSVRTPMFGNVNEVSLSGETLTVDDLDSFLTLYPNLDVLRIKQSIDGELNETSRILAVKNVWLSDPGPFGMNLLTKFTGQNIGLCKVDLVESVEADLNEIIRKWMNNEGYQNLVSVFAEMDFHSEKFIQTDKVFDQLLIEWFDPIQRPKYTTRVFGGTLDIGFYGNNCYDVIRKSDGKKASIRADFRYFRMMVWN >CRE01917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:82711:83353:-1 gene:WBGene00053968 transcript:CRE01917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-10 description:CRE-ATG-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LGA4] MLTEQQFREEIKVFVEKMRENKLNWQLKENGKYARESHLETLSDGRNVSADVHILYNSTYQVPTLWFNYFENNGTPIPFDTVVRDILKISESEESDSSIRQRISHYEHPILGVLYYNIHPCNTSNVMKELKTEKGYLISWLSIYGQQINLKMPDFSKLQ >CRE01531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:79978:82641:1 gene:WBGene00053969 transcript:CRE01531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-piga-1 MSNKIGPYSIALVSDFFCPNAGGVETHIYFLAQCLIELGHRVVVITHSYGDRKGVRYLSNGLKVYYLPFIVAYNGATLSSIIGSMPWLRKVFLRENVQIIHGHSTFSALAHESLMIGGLMGLRTVFTDHSLFGFADASAILTNTLVLQYSLINVDQIICVSYTSKENTVLRGKLDPNKVSTIPNAIETCLFTPDCNQFFNNPTTIIFLGRLVYRKGADLLCDIIPKVCSRHPTVRFIVGGDGPKRIELEEMLEKYNLHERVVILGMLPHNQVKRVLNQGQIFINTSLTEAFCMSIVEAASCGLHVVSTRVGGVPEVLPVDEFISLEEPVPDDLVEALLKSVERREKGLLMDPNIKHEAVSKMYNWPDVAERTQVIYKKAIESESPGRLDRLKGYYDQGIGFGILYIIVAIIIIFWLTILDMFDSPAKNRAKQSDKNQ >CRE01916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:75065:79331:-1 gene:WBGene00053970 transcript:CRE01916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01916 MTPPSSAFKKATKKEEPPLTAVVILDVFDQRFAPIQESYPCIGTFPICNVPSINLVLSWLMRTEVKNVLLVVSGLNAQHAEKVLNEWKLAFVELTLVVCDGVTSVGDCMREMVNRELITGDFMLISNPTAVVSSDLSTQIAEFRKRRRENADNVMTLLYSNRENPEGFVLGISSETQKLMLYPHANSLNQVKAEKFHFYEGVEIRRDITTTGIALCSRLIATQFSDNFDFIGIDDVVREILSKDDILGMSVHVDVLPSKDRAFCAYDYESLIILNTLMLERWFYPLVPERSDPTRFFSANPRNLYLEEEGNEICIKANTWILNDSCSTVALGVKTKISYDTTIRNSCIGAHTEISSKTRIFGSIIGKNCKIGENCQIEYAFIGDNVTIPSGTHIPKETIIGNGVVYPKDLPTIQHSAIFKKAIDEDKYEKLSSQAVGSVHVVKYRHGGPFWRRAVDGRTNFAVDGEDSSSEGTTSEEEDEGLDDNVRQFYDEVFESMEKILESENQVMRNLILEINSSKLACNVSPEEVAKNVFAAFLELPHNQELRPTQALIERWNELFTNYYQSSSEGQKVDERKQKSQINLLLAVEEKFVREEGFRARTPNLVHFLYQQDILDDNAIIEWFDSIDISAVTDGMEAGVKTDLKERMRRIVDWLKEDEEEESSDEEEESSDEE >CRE01915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:67549:74484:-1 gene:WBGene00053971 transcript:CRE01915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01915 MIFTSNIGLNPMKYLFIYVIYNFYYLFFQMSFNFVFFNGDVRRDKKQEAMFRSLNERDNFLNKLKDMEQKREREVKQTDAAKKIQRIWRGHRARNALRLQFRAEFDAISEAQRGTEQVIQMSQLLVNFYETRKDEERLVMLLAELVKSRTADKAFELRIRDTQRLLLARCCAKFVQQATENTIFFHVFRYLEDYVTCHAHLYEAISQLGLFEAEFHLLEALIGKPENNNIQKMSLTPRHLQLLTRIFEVFVNPSRATPISVKVADRLLKTLCVNITDLNFVNYILYYIKDHIRLSCPNFSVLFEAIRSADIPNGWKARPEQIETASIRLRSILISFVEHIDKTNADDLVQYFNSLGPFLVTQSAVTRDLNVKEDLSEFGRLRTAINKHLMESCESTLTSIQFRRAACAYANTSKVSVSTLISLKKYFSQYLDLLASSNSFVEALYGFISSSCRDGHFDTGNQVSPKINALELFCNCLTKRVSSVADSDFDPVAIFVDFDNTVEFLRDTAIKLIHVMYPQLENSAIYTGNSKQKMEKAEAEWKSVTESVFSILGAIYQKDIRIKYFPEGFWTNHGREVLSGLGDQRRLPRRRLPNGRVLQERTMDSEFVERLSAIYEHDSDSENEDENEREENGMLPSALRRAICVMKHIPFIVPFMDRVKLFQRLLNQEHDKHFSENQPRRNSGFNLTVRRDQVYMDAYEAFKPKMVQDKVRDLKSHVIVKMVNWAGMNESGVDGGGIFREFLSELLKDAFNVDRGFFTETEGKLLYPNPTAPYLLGADCLSHFQFIGRMIGKLIYERQLQEVRFAEFFMAQLFETDKDKDMDLQHMKSFDPLIFKNLKVLQKMSENELDELQLDFSVVTSDVGLVRNVNLKPNGSKQRVTVDNVNEYVRLYVNYHLKQRIATMVAAIRRGISEVISIEWMSMFASHELQILIAGYEEIFTAKDLKKHCEMRFAAETLGDRQYEQMFWEVVDALSKEDKMAFLKFVTGCSRAPVDGFKSLNPRMGILVVHPSEDELPTSATCMNMLRIPRYSNRTKLEEKLRYAINSGAGFELA >CRE01530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:51702:67174:1 gene:WBGene00053972 transcript:CRE01530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hgap-2 MEGGDPNSSTWKRWLFRMSRTDSNVISGLSLHSVDLDDIPDAMSPMMGRSDSSAVLSHQAVGRRKSVGSSCSSVAGESDVMSSASTAGGHSQKHPDDYHLVDGVIAGRAAALASLIRIITSKSSNEKIPNSQLANFYATVFQCLVEKERVMLCTLFYYGRNLFRLGLPGIESLLPHFLFALDIVMIESSKLRLHPSISEVEIRRACLRALSSVICWPTTFGMSKIPQIAEATGLKSNATTYLHLRSRIFKTLMFSVRNETDPTNLHIALSLCAVLVEESCQFDLGLNEEQTKEMIRISTTASSSGQPEKGLCASIVRGVLSAICDKFGRIETIDHSTALAIIDVLNCISHVHHTVLFNNMDVSTGTLVIASLCRFIEQQLNKPPPMHSKDLHSTVVAAYNAVAVWLTASPLLAECVGVLGTVCDVIQLGVTGSKSSPDLQKSRVDAVKPKAASQRVLEAAEALMYTLFCVVGRKPGPLRDEKRLMHKYGSDRINISKFLHVLVNGDTLLSLHEASHIEDIPSDSASIVYVRRSPMQGANTGVAKLRPKPENYQPDIGAPPMTPMSAISTTDGFEGPNTPTRPQQQKSIGEQFVIPPEFYKNSCKLDNAFRNLESTSETEAIAEEMKQQNSQNGRSFFDESRRNNVFERMRPDERIVAPLKPVDKCSSIRMMLYDFGLINDRIYGSEIVVLDSSMSDKFYRDLHESVDCAPARTQLTAHIFYVKEGQRNAVDILENALNVQNSCSDFCMFLSNLGEGIEIGLHDTWTGHWSTAYSTERKLQTESEGVDHYIVDGIQHALWWCDEQGSNSELAFLMPTERSVRMFKQNITSPASSSRRGSNNNRSIISDDKSFDSSSNHNDMMLTARRTAGEKSPSNLSDRDFRPYAGGGSSMGHGSVGRRTGALRIMLVWLERPEDMTNFPVYELIGSCDDGSELLNNGGITPKPEVGGAINTQVAHHIIFIHLVEPGIVQIRTRGTVNRFGEAGPLVDGVVVSLANLPSFIRNTILNTSRRDVAEIENYTFPHTRRKQAIVEFAKKYAGKTSYEEFLVNVIQN >CRE01529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:38137:49428:1 gene:WBGene00053973 transcript:CRE01529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01529 MLRTTMRKLSIFSGYNKELPTLTEGVISSTTATTTAGEDSEGGSNSDGSGKKRRRKQQGEVRLCGDMYEQWPTLEFSDLNSSILDLFTKATSQSVASSLLYELTRSDADENGGSIRLNNEEHLKWCMQVLNHSLTLSFATSREYDTLKGAVRIYLHWLRALCDTPDNNIPTPLLATPEKYFRNIIDALRWVYCRRDDDLDNTLTGAQVPRGLAIERQSIEIEMILDSLKYLTRNSSRKYQDEVWARTISFLLNSSDILLSEPNATEEMGTRTCVRVADTLFDMWLNAVINEHIPSLTYWSSLATLARRWRHNVRVLVPIIECWAKKILGLSVLVCRKMYGDDYLKIEITDESVIPFENVPITADEDENEVHLLYRTWFNMLCLFDSPAKILNHDATRNLCLNGNAPRRTTSSISMSNFEIATSSAAQGVSFFLAAVTLQRMIDLFYGDSRTRIDLRNYQITADGKMTAGSTRTASILTDSHSHHTNRTTSTAGDSSRYVSLGGAVGQILVDDAPQGPNTISMSSGSTASGKASTATTGGSSTHTISSDVQRNQRLMSTSHQASNRTVSVTESGNISSQSRFSEQTSSTLTYKSAPPPHIPENEHQGESISQLVANSTVSAPVMAGNDSTLKAGVHPNEMKIGQRGMVNGNHDANSPYRNAQRFVTNFLMANQATMPYVGAKRPKTDRMLDLVGDWLFSIVNSPASSPRGNEHVGGGHHKKNNDGVSDDRRFLNQRNTPYLVVIPTGFDSFDFDSTSSLISIRILSLFITNREKKDLTTSKRVKDTPDNLK >CRE30336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2448:108:2228:-1 gene:WBGene00053974 transcript:CRE30336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30336 STYRIRLVDSDGLTVEQLPGVITEAETFQLIKLRSPCEQCMLLIEQVMQNGKVFRSCADVNVVRTSVQANEQCSQRGSLMNATCQCESGFTGNQCQYFSQCETNDDCLNGGICGDQPDSLIKKRCFCSYGFFGQRCDQKFNSQNDHCFAYDEPVAADLPMYGMFNPRCYQRHDLSANDRIYSRRVDNEVEVILDFETKNWLSLGWRPTELSTSCRLFPILEDTRGRISEMDQVARREFVAKPVMPKNNGFTELGLRSSLHAMDCVDIIMASVRNGRLFISDFYSRDRSTPLEDYWYDGEMSLSAAYGTQQDGRSVVMFRRELREFEPTDHPLGPNEILIVWSKGDSEMGSNFQGTNRGVEKLRLAEVVNVTFEQPSISATNELAFGVKNVVHGK >CRE01528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:17713:22366:1 gene:WBGene00053975 transcript:CRE01528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01528 MLQNHVARSLHKTTKRCFNWRDSMKEGTFSADIQDNKPTHIIVGAGSAGCVLANRLTEDPSNRVLLIEAGPIDHKWDWRIHMPAALMYNLCSETYNWHYHTTAQKNLGNRTFYWPRGRVWGGSSTLNAMCYVRGHALDYDRWAQEEGATGWNYSNCLPYFKKAETYSHATGENDSYRGTKGPLFVKKGDAENVLHKAWLKTGKEHPLGFTDNMNGERQEGISTMDMTIHNGERWSASKAYVHPIRNRPNLITSSGITCTRVLFDKSRAIGIEFIRKLNFVGTDSIDSYSREKIYCQGDVILAGGAINTPQILMLSGVGPAEHLRSHEIPLVADLPGVGQNLQDHLEIYVQQESLKPVTLYNKSSWKFPHNMVKIGVEWFTNRTGLGASSHLETGGFARSDENVSHPDIQFHFLPSTVHDDGRTNGTCHGYQVHVGPMRSQSKGYIMLQAKDPRRAPIINPNYMNEDADWREFRRCIRLSRELFASKAFDEFRGKELAPGEKCQSDADIDRFVKEKAASAYHPSCTCKMGSESDKMAVVNPETMGVYGTENLKIVDASVMPSIVSGNLNAPVIMMAERAADLIKHKKQLLPASDAKVWKHK >CRE01527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:13963:17205:1 gene:WBGene00053976 transcript:CRE01527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sphk-1 description:CRE-SPHK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LG95] MFIIVLTIYENYTKCYSSLLTIFSLTINRKLTIRSMQGSSTSSSTNKKCSICHDQQQMGAKICCCCRSDAEENEQLTSVILSRQPPPKEQCRGNLLVFINPHSGKGKSLETFAHTVGPKLDRNLIRYEVVVTTGPNHARNVLMTKTDLGKFNGVLILSGDGLVFEALNGILCREDAFRIFPHLPIGIVPSGSGNGLLCSVLSKYGTKMNEKSVMDRALEIATSPVAKAESVALYKVQTENTTYAAFLSIGWGLMADIDIESEKWRKSLGGHRFTVMGAIRSFNLRSYKGRLSYRLYKPKGFKPSSNVFNVYDKTTQQRIDDSTPPLTVPHLTSTESEDEKLETKSKNTWTLHDSDEMTSEDEEGEEVVIEDEFVNMYAVTLSHIASDGPFAPSAKLEDNRIHLSYILWKDIGTRVDIAKYLLAIEHETHLDLPFVKRVEVSSMKLDVLSDGSYVVLDGEVVETKSVTVSSTRDNICVFSSTA >CRE01912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:8270:11037:-1 gene:WBGene00053978 transcript:CRE01912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prx-5 description:CRE-PRX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LG94] MSHYFCFSFMVLSEPFSDYPDVFISIFSETMKGVVEGQCGQQNALVGLANTFGTSNQRVGPSNAASSLLPSSSLGEQMANEFLRQKANTMAPTSFSMKSMQNNLPQASASSSMAGNWVQEFQPRQNQLASQWTQQFTSAQTSQGLESAWRQAMPLTSSTSSTAPQDSSMWSSEYLDTIDTSLQQSSGSSAWADDFLNQQDNAGMENTWKDSTQMFENRWEEIKREMEKEETMQNPANYIYQESNPYLSSSDALLEGDMLMRSGDIGNAMLAYEAAVQKDPQDARAWCKLGLAHAENEKDQLAMQAFNKCLQIDAGNKEALLALSVSQANEGMENEALHQLDKWMSSYLGSNTTQVTTTPPMYSSFLDNETFNRVEARFLDAARQQGATPDPDLQNALGVLYNLNRNFARAVDSLKLAISRNPGDARLWNRLGATMANGDRTAEAISAYREALKLYPTYVRARYNLGISCMQLSSYDEALKHFLSALELQKGGNEASSIWSTMRSAAIRTSNVPESLLRAVETRDLAAVKASLV >CRE01911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:6656:8071:-1 gene:WBGene00053979 transcript:CRE01911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01911 MTTQTVPAFLLLVLTIFVEISYSADIDFALICDKGYRVSSIKRAKTAYSLLGSLTVECEQIALGESTNCAPQQSVPKCTGLLEGCTGNTWLGGFHVYLLENTTQAAVLDPVCCSSPSVQIDSGSCINDQLNTGTHDFSHSIVADLVYRGWQCWHQYDRKRTLVDLLWKTEICPFSSSDFPVITRKTDCEECSCECGIEQCSNGASPVRVIHKKLLLPCACDCTCTFKCL >CRE26592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:2002541:2005395:-1 gene:WBGene00053980 transcript:CRE26592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-35 description:CRE-VPS-35 protein [Source:UniProtKB/TrEMBL;Acc:E3LRF3] MYDNPGNTTDQEKFLDQSIRVVKTESFEMKRCLDKGKTMDALKHALQMLNEMRTAELSPKFYYRLYMDTMHELQCLEVSLIQEYAQEPAKLGNLYECVQYASAIIPRLYLLVTIGGVFIKCGLGSRKEILKDLVEMCRGVQHPLRGLFLRNYLMQCTRSVLPDLPETEDMLLAHNNTLPKGAPALKPRDGTVEDTIDFVLINFAEMNKLWVRMQHQGPSKEKEKREKDRLELRILVGTNLVRLAQLEALTEEMYVRDVLPSILEQIVSCRDTISQEYLMECVIQVFADDFHLATLNEFLNACGQLQQEVNIKILLIALVDRLALYTNSSIEGQPAPTKMQLFEIFSEQATSLIKNRPDMPMDDIVALHVSLVSLAVKCYPDRLDYADMTFLGLRQVIEEKGITDIEAFGKVGRELTKLLNIPIDEYKNVLRLSELPEYIKVMSYFDYRGQCNIASYMVQNMLEEETILRHQEDVDAAFSLISSLLRDQEKQPDNSHETEEFADEQNLVARLLHLIRADDVDSQFLLLNSARKVLGEGGRHRLRYTLPPIIFELYRLVLQFADMKDEDEKWDAKIRKMFVCAMGTIGALVSTAELAELPMKLYLNVSSFCKQHSMKNFQGAITADRVPFEDNHTVVYEFVSKALSILEDDVVDSRDRVRCLQLTVGTLLKTTHLPEENWQPLANQAVLAAAKMFKKPDQVRSLVTVAALYWHGKTLETNGEKLRNGKKVVDILRKSAKIAKECLEPLVQQQLFIQLLSAYTYYYEDNCPEINVDHIEELISRTQDNAVQLDVSAEADSLEKQLGEAIRRLQLAKLDVAASQVSSARAEPDLPQPPSENEGL >CRE26591.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1996945:2000535:-1 gene:WBGene00053981 transcript:CRE26591.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cgt-3 description:CRE-CGT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LRF2] MEAARSVATDLSTTANSTVLRTVASSTSSIIGAAAAAVAEAQPSASTSSYSSYLLLELPFRQLLRLQPPPSFIAGTRRMASHLDLPTTLAIIGFVFVFCLYLIHIIALSYSKYRLHHKVTPNSSLPGVSIIKPIVGTDKSLYENIESFFTTQYHKFELLFCFHSKDDEAVDVVQKLIEKYPDVDAKLFFKGENVGLNPKINNMMPAYRAARYPLILVSDSGIFMRPDGVLDMATTMMSREKIALVTQVPYCKDRDSFDAAFEQLYFGTSHGRIYLAGNCMDFVCSTGMSSMMKKEALDECGGIANFGGYLAEDYFFGRELANRGYKSAISTHPALQNSSSVSVSSFLDRICRWVKLRIAMLPHIILVEPLQDCFPSGLIMSYSLNYLMGFNVMPTLILHTIYWISMDYSLMTSMQNGNLGFSPLRFLLIWLLRELSAPLVFIKALLEPTIRWRNNVFHLAWGGQILPPKC >CRE26591.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1996945:2001989:-1 gene:WBGene00053981 transcript:CRE26591.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cgt-3 description:CRE-CGT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LRF2] MEAARSVATDLSTTANSTVLRTVASSTSSIIGAAAAAVAEAQPSASTSSYSSYLLLELPFRQLLRLQPPPSFIAGTRRMASHLDLPTTLAIIGFVFVFCLYLIHIIALSYSKYRLHHKVTPNSSLPGVSIIKPIVGTDKSLYENIESFFTTQYHKFELLFCFHSKDDEAVDVVQKLIEKYPDVDAKLFFKGENVGLNPKINNMMPAYRAARYPLILVSDSGIFMRPDGVLDMATTMMSREKIALVTQVPYCKDRDSFDAAFEQLYFGTSHGRIYLAGNCMDFVCSTGMSSMMKKEALDECGGIANFGGYLAEDYFFGRELANRGYKSAISTHPALQNSSSVSVSSFLDRICRWVKLRIAMLPHIILVEPLQDCFPSGLIMSYSLNYLMGFNVMPTLILHTIYWISMDYSLMTSMQNGNLGFSPLRFLLIWLLRELSAPLVFIKALLEPTIRWRNNVFHLAWGGQILPPKC >CRE26590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1995721:1996540:-1 gene:WBGene00053982 transcript:CRE26590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frh-1 description:CRE-FRH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRF1] MLSSIIRNPLVRRTFSARVVSQNEYETAADSTLEKLSDYFDQIADSYPVSDQFDVSHAMGVLTVNVSKSVGTYVINKQSPNKQIWLSSPMSGPKRYDLAEEDRWTYSHDGEKLDELLNREFRKILGDDRIDFSRHV >CRE26589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1992878:1995228:-1 gene:WBGene00053983 transcript:CRE26589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptp-2 description:Tyrosine-protein phosphatase non-receptor type [Source:UniProtKB/TrEMBL;Acc:E3LRF0] MPRLALRQYSYYYRVNGEKAEQLLKEYGHDGDFLVRYSESNPQNFSISVRVSEEKILHIKVTKYENDMLSIFDDQRTTNEFGSITELVEFYMEFPEKLREKDGMFLELKKPVHVPYQLEACAEEQRRTQLYRWWHGNLPASSANKLLQTEKNGTYLLRASQHIPGALVISAKTEGHVVHLTIYQDPTTGRFNIDGDRTKFQSAWLLIDSYSKNPIVEKGEASRVLYLEEPLFNTFIEADLFVDRYEIIRRPINPRESMEKTGISEEFDRLSQEALPAEQYLSKREGRRPINADKNRYKNIVPFDHTRVILNDRPNIQGSDYINASYVRFENSQRTKNVTFACEKSFIATQGCLDTTIADFWRMVWQENSRVIVMPTMENERKEKCARYWPAEVGKPEAHGDISVNCTLERKVQRVVSDEVRAELEQEKANRLAKGLVPEAELNGDGVSYILRTLVIKKGKDSREIRQLQYLTWPDHGCPLHPYAVLNFLEDVDREYDYFNAQPVTATLPQGPIVVHCSAGIGRTGTVLVLDALLTQVKKVGMLCPMDVYKMVKYVRTYRSGLVQTEQQYQFLYKALAFYLKTHNPYPVKSFTAGDADSFDYPRRLRSLQGSSRPSSSRQATSSRPSSSASSRTSHSRPRTGPSEHLPERSVSSTSSPSTLLKSTKK >CRE26588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1989151:1991213:-1 gene:WBGene00053984 transcript:CRE26588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vrk-1 description:CRE-VRK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRE9] MPPKKAAPKKLHELAPEVRVGFKIDDISKKTYIVGKQFATGGFGRIHTCTEEGKSHQMVMKIEPSTNGPLLTEVVVFNRILKKEMIEAYKKTKKIPWIGLPYIIANGYFTYNGDKMRYMVIPKYATSLEATRESNGGTLSVKDSLTVANCVLGALEYLHDSDYAHADVKAANILLEKEGVYSTSVLVDFGLAHRTTNNVDKPDKKRAHNGTCIYTSTDAHRGNNPSFRGDVEILAYNLIMWITGTLPWLALESSPDKVFDSKQKFIAGLPGTLQNVLTSQSPAVVGCISTMFNVSMKTNYTDKVDMTKLKKLVTDTIQTSVGEAKKAQGKVKKATEDVSKAATPKKSTRKVMAIVESEDDDDNVVEVVPKKKVAKVQKPRKVMENESGGEEEVYIPKSSKSRKLKPEQDNSSLGSSTRSYSNRLGVTSSAASTVRAARKIEQKYKRLSTNKSSLVPVTVGDVSDDSEDDGAGTSSGARTKRSSDESVRNGVELKTPALVAPAVKSNHNANERLNYSCFSEAKYKSGISSATKASPTELRRVPGVRNFPKGRRSMIIKETASKYKEKRAARKSKPTFDDSSCSSEL >CRE26587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1974352:1978174:-1 gene:WBGene00053985 transcript:CRE26587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-44 description:CRE-EGL-44 protein [Source:UniProtKB/TrEMBL;Acc:E3LRE8] MGSFFFELDCNFRYRTNGKTLFSLFLISISSSRLPVTFLMDYGRFHSQLFTFQMSEDVAVTAQTILNGTPPHNHFRKEWLAGAAGTTTPTTTSGGQMMTLSPPAGDGPGSAGSMAPESTSSVSDISGDAEGVWSVDIDQAFQEALAIYPPCGRRKIIISDEGKMYGRNELIARYIKLRCGKTRTRKQVSSHIQVLARKKLRDEQAKKKVCWGDIPSLLQQASPPGGVKSPSAVILPPSSATVVAAAAAAAIAPRSNYPSIIPKVEPEQLTQQLIIQSLPNLWPSFQNTGFPFGMDLNKLVAQPKSEPAASPEKAELVVDQNKEISSSKLTLLGFSAYVHCNKTNVRTELVKIDNTLEKDHIDISVFYEKYPTLLRELFENSEKKDVFFLAKCWANINVSDDVQNCQYAVDSFYSSREKFQLKVSTMACSFGSQAVEKIEQYFPIEEDGSYSFMLNNSPMCDYMVKFIAELKKLNAIETMNNVLENFTVLQIVTNSETEELLMVLCFVFEVSQEPEPSCSVYRLIDSDDCSDD >CRE26585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1970778:1971889:-1 gene:WBGene00053986 transcript:CRE26585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26585 MEFGEGPPPFDDECCPSVLGKKLWTLIETEKINYAEDYHGWCQKFRSEMETIEIFAKTKDEPARKWMEDTDFAIRMLRCVKIEELSAFYMEQLAAQGLYMEKLDKRRKCLADYIDKFTILMAEETRNKKTDEKPKKQTNKQKHGNKNSKRSNRKKCYR >CRE26329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1959881:1969483:1 gene:WBGene00053987 transcript:CRE26329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-casy-1 description:CRE-CASY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRE5] MRTVYLLFVGALLGVSYAKHHHAARAPIINLQGAEELVAVVREDENIISTVPDFAILSETGPVCNYILTSQNNEQVPFDIQVVDKYTGAAVLRVKDSAILDCKKPEYNLQVQAVKCDNDAVKSEGVSLKIRVKDTNNHAPEIENPWYTFHVEEGKVVEEVGVLKASDKDCGHPNGEICEYEITNGLKELPFAINNQGVLRTTQPLNYTQSKSYILTVVAIDCAMRKSKSSLVTVHVDEKCVQGITAMNERVNYAAGTGSKLLLPDVALEFCEKETVCEPKSVQSIIELRAGHVTQGCARDTVYDNQTIQSCGLSTATVKLLNEEALTSSAENQILADQGLEFDGARGLTVADDVHQGLIPDHFTLSFSMKHASGTKDEQSNKQNILCESDDFNMNRHHFSVYIRHCKLEVVLRRESGATADFRAAEWRWSMPEVCDNEWHSYSLLFNGIDDVNVIVDGKSFKADERNPEILDDWPLHKTKATKTKIVVGACWHGRQQKLAQFFRGQLSAIYLLSGAVESERAIKCAHTCPEQLQFTGVDELVDGQSATFSPDLTTLTLKAETSKQIGQMLKRVAYVNTQEKPAPGHRVFNVDTEVTCKQDDKKVKLPSSKGYVFVQQAAEPTLSISASSQLKSNQHMVKVGQAMVPDLTITVSQNNMDGELEDVTQSHKIDYCKMHLQPARDMDVEYFSSPASLIAALNIEFEHDKDGILLRGEESAQGYKEVLSKVHYFNTRPESYAKRTYTVQCAMLKGRVLSNQLFVTMTIEGVTTTTTTTTEAPIAAPVDPIQFNFNSGETALDSLELIERHFEPAFDQLGSSRLQNILEMDLPRPKALLSHHGYDVGQGAIAGGAVAVVVVVCVGFLLVLLVIGVLKMRDTPMPRRRRQKRQSDGGMHWDDSGMNITVNPLDDVEKNGGVIDEFSDEEEEEESDGESECSYRDEEEDVSEDEEDQTEVLPHMDANQRVVGGLEWDDEDAISTNARSYRV >CRE26584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1954984:1955367:-1 gene:WBGene00053988 transcript:CRE26584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26584 MAPKSSNKKKPASTAVPTAVPAQQPAEAQPSTSGSKKGAKKRDEASLLSIVNPKFNLLDRKTKRAAAAQPKDYKLGNVSTPKSKKIAKTGQPKAKKATVSKGQKKGQKKE >CRE26583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1945136:1947190:-1 gene:WBGene00053989 transcript:CRE26583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26583 MKSTLLLLLLSTSIKQGLTQINLGSFFLHPQNGGGFDMGMGQGANILGFGGDRSFSLAGNRAGFALGSNNGALVGGQRVGVDGGIGGGREGVEMGSQVQFGNEPNPGHPAGQFGSFMDNVKNFFSFLGRGMPMPPQQPPPSPPFTLGGTRPPTLIGTEGSESENGGRHRPRPWFPEGEERPEEAETEMRSKEGEVAPPASSGEAEIISPNNGDVLPSKSVEFSIDKEPSEEFTEDLFTAQTSRPRELPGLIEFA >CRE26328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1943069:1944680:1 gene:WBGene00053990 transcript:CRE26328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26328 MCEEDGPKSAISFAMVIAGSVISIVSISNNVLLFISLIRNNRCFKCYFHFILALCFFDIIISVCYMPVILVDSLKDWTKWIELARAWWPFFVYGLAMTHVCMTSACYILIAVAYERYLITVRSYMLKQFQKRRSWWCFACLAIGILTKGGMLIELDVFPSEDESCKNTVMEYFVDVTEVTKSVWYGTIYKFWLRNIATVFLPFFLLLLINLGIVLELRSQMQHAFGNRSRRRFSLRMQSRTNVRQATATMLFICVIYLISNVVNVFITAWEFVDIESLQTRFLEEYMLSADLSSVLVVTACALRLPIYMLCNPELRKAVKKSFTHKSEHQQKMHQTFSLIAKILV >CRE26582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1933115:1933742:-1 gene:WBGene00053991 transcript:CRE26582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-2 description:CRE-INS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LRE1] MNIIVIFLLFASTVATNYDILESGLKEFKRDDHLVINQLKDNEVEHPELVMLPTRAARVRGSKKHFCGRRLAGFIFATCGECEPGFNEDISLLCCIRQCDIQDVIEACCPNIQ >CRE26327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1931856:1932487:1 gene:WBGene00053992 transcript:CRE26327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-3 description:CRE-INS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LRE0] MKLLFGLALFCLVQFAATSLMRNWMHDFESRFPVEEDPEEVFDINKMHPNMMARSRRGEKIKMCGGKVWKMVMATCGGECTTVDVNIATACCETMCTMEEIGDLCCPGR >CRE26581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1927712:1928086:-1 gene:WBGene00053993 transcript:CRE26581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26581 MKLLALLVLTVLIASATASYRNMDANARLLKEMEMEMELEDEVKQLSRARRVPAGSDTRSCGRKLVMYVIAVCGEVCNSKTGVDIATHCCGQQCSDDYIRTTCCPQ >CRE26580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1926195:1926582:-1 gene:WBGene00053994 transcript:CRE26580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26580 MLRIFTILCLFASIYITSASFGRSFDVDLNNALFNQLQFEHLRAPRILSRHRRVPGPGDIRACGRKLVAMVFHICSEPCNPKEGKDIATECCSNQCTDAFIRSACCPDAV >CRE26578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1916833:1918312:-1 gene:WBGene00053995 transcript:CRE26578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26578 MTGIQEAVLPKWIVLWLFVSGMICTYDVAYTMNRPFTNDPASSRANLLFGGWALYSSVDRHYLTTNDVFTCSTGRVMLLECLLNFIAIGLAAARNRHGLLLAFTSNIMVLWKTILFFSVFLAQPEGHLPPMNPNKYVWSKFMIFWIPNGVWIVMPTLVLFALWNKLALPPKISEKYWEASNKCRGDLNFEDQKKIALD >CRE26577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1909935:1912974:-1 gene:WBGene00053996 transcript:CRE26577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26577 MRFSFVLAILVVAIYAAPLPLVEKIKRTLDFLKKAKTIGEYAINTPTGIYPIKDINDDNFLDIFKFRPEIEKLRQEEKANAAAAAKSTDAAVNSASVDTGYGGGDIADSAAAPAPEPAPSSAPETAPTAVEGSGSIEGSGAPAEVATTAAAEVASGDNTVTETLTAETEPAIILPPTQAPKKLSPPASGYVTSDQEKGTDAAAPVPVSEETPAPAPVAEETPAPAPSADETPAPAPAAEETPAPAPVAEETPASAPAAEGAPAGYVDGTANAAAPAAEETPAPAPAAEETPAPAAEETPAPAPAAEETPAPAPAAEETPAPETVSAAPDSVNPYDGATGGETAPVPAAEETPAPAPAAEETPAPAAQETPVPAVEETPAPAPAAEETPAPAPAAEETPAPAPAAEETPAPAAEETPAPAPAAEETPAPAAEETPATAPAAEETPAPAAEETPAPAPAVEETPAPAAEETPATAPAAEETPAPAAEETPAPAPVVEETPAPAPAAGSYDAPSEPATEAPSTVATAPEAETAAPVAEPAPEAPAETATPEAAGVVAPTEVVAPTEAPATDAAALESAPAPAAEPAPAAEASAGYDAPSSGPEETPAPAPAAEETPAPAAEETPAPAAEETPAPAPAAEETPAPAPAVEEIPAPAPVPTDTATTAPEVSAAPAASGPYEAASQSATDAPAAETPAPEAAGAPEAAAPTDAPVDVVADTTAPAAEPATAAPASSDAYASETAAPEAAPVAEETPAPAPAAKETPAAEETPAPAPAAEETPAPAPAVEETPAPAPAAEETPAPAPAVEETPAPAPAAEETPAPAPAVEETPAPAPAAEETPAPAPVAEETPAPAPAAEETPAPAPVAEETPAPAPVAEETPAPAPAAEETPAPAAAPEGAAAPSPIASSGYDAAQSTDTVVASSAPAATSDASGSTNYEGQQFAIQAARKKVRRAAFVGWGRA >CRE26326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1908253:1909615:1 gene:WBGene00053997 transcript:CRE26326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26326 MGYFAKLVPPYYKYPVLVFLFCEFVYSAFVLAISEAYYKSAALILPIAYRIFDDTVKKNKPGFDWSPEEKEILEVYKVQMLFLWVISAIGVILCIFVMIPQFFDFNDKKGNPSHLCLVRKKLAWVMFIIVAVYIIVLGIAVVWAWSDGGAAAKHFHTHFEGAEKEEIYITQLEEAFDCESDDDQEVAEVTMCWEKVNKTFISHTWLDILFFAYLSGHILVFASLPFFNKQLFKEDDDDYINATSNKLLDN >CRE26325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1903233:1904636:1 gene:WBGene00053998 transcript:CRE26325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-16 MNRYEFYELKSWLYLPVIFVGLLGNFASFLVYRTAPMRKSTVGTLLLILSLIDILLLILITPIFVLVFLPLWEDQWQKYSFHMSFFAYSTRYVYPLCMMTKSCSLYLMVLITIERWIAVCRPLQVHLIGLRYLNKSFEFQAKVLCTNRNTLKAGIFIIIFSIVFNAPRFFDYKIGDGYLSEMWMLDTEKHWWYFMFYFVILSVIFDYALPFLIMTIANYHVIRALQESDEVITGLAVQKRKDQKTTVMLLVVTIFFAFCHLFSMFLKLAESMFGGFLTQTSFYWEVFAEFTIFLIIFHTSSTFFIYYGFSEKFRTIFHDIIRCRPRSDDVKCSTYLPVNSSGATSEVKTRKSPASV >CRE26324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1893253:1893658:1 gene:WBGene00053999 transcript:CRE26324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26324 MCRPFSALILISILIHLTLSFLQPQPTCNGCPTCQNANGCPAGGGATSETTTEAPEESTTLGYYEQYWQGNGWKTDDAGNVYVGDDNAKLLLIQQGTYCPVSICKGWFGIW >CRE26323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1891449:1892405:1 gene:WBGene00054000 transcript:CRE26323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26323 MPFKMSWFFDRMHEEAHKIKEKIKEKSRKRKAPTAEEAIGNLRDAEELLIKKQEYFELRIEQEIEAAKKHMKTNKKLALAALRRKKHHEQELSRIDGVLTKLEAQRGALENVGMHNEVIDVLGKTNETLKKEHAKMDIDKVHDLMDEIADGLAISGELNEAISAPIGDVADEDELMQELQELQDNVGEMSTSKLPEVPTELPEAPSGELTRAGRRGKFRKMSTMEELEQWAASN >CRE26322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1889576:1890605:1 gene:WBGene00054001 transcript:CRE26322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26322 MFYLLVAFLLLLGYSEAGTEANFEDTRCRCICPSLLKFLNVAENATEKSDGLKRRFYTKTNIEPSHCKPNNIVKDQVSNLVDETHMDAFLANCDCRYESRNTVLLKVVVIFVICVIAVLTGYMVFLMCLDPMLRKKRLSISYQQHNDEMEDNIFAAAPSTDDESSTASNSMDTQGTTRARSNVLGRVEAEQNRWMKKVEEQRRNIFEDHTMLN >CRE26576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1887968:1889332:-1 gene:WBGene00054002 transcript:CRE26576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ran-5 description:CRE-RAN-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LRC9] MSKPFFKPSALAQKAEKMWSESKSDYSATHSTVTHVHLDKKSLGDIIPKNTSASKEKEVEEAAAPQFVFGSKIADRVVKQDGEASKSGESEEKTMTATELFKTAVKKDDEKNGAKNFREEAEQEAERAKEAEKQHAGTSAVEITTGEENDTNIFQAPCKIWAFDKARNAYSEKGVCTLRINKRVEKGLTHHRIVARTSSGTLRVIINSKIFSDMLLERVDKRVRISAMGPEISGVQIFLLKIGFTKTETIPDSEIFYNIMSDLLKLEKGENCRKRKADCDLNASTVKIKDGKEDDNEQDQVDEGFVIVNKPTDEEVNEAEPAQEEAQEESTSESTTPAEESTTDATTPAEESTSDATTPAE >CRE26575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1883706:1887118:-1 gene:WBGene00054003 transcript:CRE26575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26575 MVLFGRGLLAAGRIALRRPTIARHLQYDAFVDRHIGPRRLEQQQMLDFIGYKDLDDLTGTNVPNMIKLERAMELPAPLDEYKMLKELEAIASQNKIYRSYIGMGYYDTIVPAVISRNILQNIGWISQYTPYQAEISQGRLESLLNFQTMRFQIAEMTGLPTTNASLLDEATASAEAVALAARTTKRNKVIVDSFCHPQNLDVIKTRSDPLGIDIEVCDAVEGFEFDEKVAAVVVQYPNTEGRIHQFDELIEKAHKNKSLVIMVCDLLSLTILRSPGDLGADIAVGSAQRFGVPLGYGGPHAGFMAVAKNDSKNALGRNMPGRIIGVTKDANGNRALRLALQTREQHIRRDKATSNICTAQALLANMSAMYAVYHGPQRLTEIARGIHKSTAYLATHLRNAGHEIVHKEYFDTLKVRLKNGEALEELKKRAEEMKMNFRFYDNGDVGVSLDETVKSEDLMDIIYTFNGTTEKDVTKLREERWEVACPLIGNSPHSRSSLFLQHPVFNTYHSEQQLVRYMKRLENKDVSLVHSMIPLGSCTMKLNASAELIPITWPTLSSIHPFAPIEQAKGYSQIFGDLEHWLCEITGYDNFSLQPNSGANGEYAGLLAIRNYLIHKGQEQRNICLIPTSAHGTNPASAQMANMKVVVVDSDHHGNINYKDLAAKAEKYSNQLAAIMVTYPSTHGVFESSIRDVCDKVHEHGGQVYLDGANMNAQVGLCRPGDYGSDVSHLNLHKTFCIPHGGGGPGVGPIGVKKHLAPFLPGHSVIPVQGRKAGSVASAPYGSASILAITWAYIRMMGATGLREASQVAILNANYMAKRLENEYRIVYKDEQGLVAHEFIMDCKPFKKHGIEVVDIAKRLMDYGFHSPTMSWPVHDCLMIEPTESAFYLGFTEDKGEMDRLVDALLAIREEIRQVENGTLDKHLNPLKMAPHTLEKVTSDTWNMPYSRELAAFPKPWCSHKAWPTVGRVDDQYGDRNLVCTCPPIESYQ >CRE26574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1882067:1882945:-1 gene:WBGene00054004 transcript:CRE26574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26574 MPLPSFIIILIFVIPELKSLDVDLGGLVKQTVAGNERALHNCSVDQDQVLQQCYINMFISYGLNVTSLPSAEDDPFKSIRYDATGMCSSYSALRHCHSDVIDSCLNYNTFNAIFGSETNAAYYISQTAFLQFYCDYGADFVAYYECMQAVSLNDLTDTIYTECSVLEVGSCDSVSSATQCERAVVRKSCGKSGVKSYCQYQKILTHMTGWNFCEYMPCVNSSKSTNVLLLILFCFTRFF >CRE26321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1877412:1882057:1 gene:WBGene00054005 transcript:CRE26321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tpp-2 MIVIRNGQRISYLSFCRFSTRSLSTQHFQKTPLLLAVRPKVASIFKMTSSPPEIVPQQPLDALLLNKTDTEQDMFLTKYPTYDGRDILIAILDTGVDPSLPGMQVTTTGERKMFDVIDCSGAGDVDTSTTRTVKDKAIEGLSGRKLTIPDKWKCPTGVFHVGIKPIFELYTKGVKTRVISERKEDVVTPSHNLSAAEALKQLTEHEKLVGGTSDKISDKWDREDLACKVDFLKSMSSVSDIGPVADVVTWHDGESWNVCIDTSFRGRLGMCNVLGSFRETGDYAYLTDKDSVVYTVRVSPDGNLTEIAVPSGAHGSHVAGIAAANYPDNPQKNGLAPGAKILSLNIGDHRLGAMETGQAMTRAFNLCAELKVDVININMDSKTLESLFFRRVIEEARRLIDRKDVIYVCSAGNQGPALSTVGAPGGTTTGVIGIGAYLTAESADTLYGVYKPVDSNIYPWSSRGPCQDGKLGVSLVAPAAAFAGVPQYCRQSMQMMNGTSMSSPNAAGNVACMLSGLKQQNLKWTPYTVRMALENTAFPLPNIDSFSQGQGMIKIATAFEKLSEMLANKVFPSRLTHFEVKVSDHCKKSKGIYIREPNWNGPQEFTIGVEPIFQNHQTDDNLPAISFEKQVILQSTAPWVSHPQTMFVVAQERTMVVTVDASKAPKGASYTEIVGIDTADPSLGPIFRIPITVIIPEKVAVDQYTSKIVGKSGVSERRFVQIPSWATSAKITLKSSNKDEMDRFTLHTVYIEDDKCSRNTETQKIQGPIGNEWNKSITVQGGKTLEACVVRPWSRGKTPVDIDMIIDFFGVQKPSSIALIHGAASCPIRIQAAPTKGIDIAPAIALKSLVVSLKPQSAKVEALGPRDLFLTSGLQVNRLLLTYQLKIQKSSEVQLQFAGLTSYLYESPVDCVLFQIFGANKSYVGAASSYPDRWTQKLEKGEYTIQAQIRHPDEQVLQGLKEIPLLVHSKLGSKVSVELAASASDALMGKESKFSGKSLLPCQEMTVYAMNVADDKLPKNITLTSGSFLTGTFSALKDSDLSAVDKSEVIYYLSEYSTRAAKGLSMVTTKKDKNQKEEMTEAIRDLEVSWVPKLTDEKAAKEFFDTCLQKYPDHLPLLQNRVKQLMQTKLSDQTTENIQKVVELCAKILEITKPNEVLQFLSVKQEHDDDILTVEKWLAVTGGNEEQRKDAAKVVSSFNDRKSATILALQALASLEQDLEMRKSAKNIPASIQYGGVTPLIFGRKQGEVVNKKSDSFDALKTKAEQIDAVVSEELKKLDAHWTGNQFYVKLLVWLSADDSKTALISAKHAAALGQFGRCAKMLNKAGDELKASATDSQAVDSTLAEVCENQEWNHLSTYFKNLALIKNRASFRLF >CRE26573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1875339:1877010:-1 gene:WBGene00054006 transcript:CRE26573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26573 MAEILDRNYGAQFPEELEGQLDLQNASANCCKFNRWGSVVAVGCTDGRVFVVDFITKNIARTFSAHALPVSSLSWSRDGRKLLTSSADNSIAVFDVLAGTLLHRIRFSNMVTFAMFHPRNDNKAIVLQVNYQPTLEQFSPRLQKVLTNDTPGNTDEVVSCVSYDRKGKYIIAGTGKGKLIIYNSETLRCVAWCKQNTVQQIRQIIVPMKSRFIITNTQDRVIRTYELEDLIHQKGQVVEAKYKVLDMVNKAAWKNVCTDSDGLYVCGASTKAHSLYIWESNTGSLIKILHGNKGEALLDAQWHPTRPIILSIAQGAVSLWTQAHVENWSAFAPEFQELEENEKYVEKEGEFDMEDEDADDDMNNKNQDGDDDFIDVVNVRPEEFLASSDEEDCNMMKPTKNLESGPLWYIPVPPEIENPEAERKLPNDISQLDVLLNPAWVAASKGYEAPRPQ >CRE26572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1863628:1874747:-1 gene:WBGene00054008 transcript:CRE26572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rgs-3 description:CRE-RGS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LRC4] MWRSYKAETPDFSDETQEVDINVSESDESDHEGRKSRSASVNSNNSHSNDVTLQVPITKSAATSPTPSAGSIYFIAGMFDGKEKVNREQPPMPTTEGVEYPRAASWAAGNCANVLNDEKGKQLFRVFLFQSLAEENLSFLEAMDKLKKMKSGDEKVAFAKEILETYQASINLSSSSMKSLRNAVASETLDMEEFAPAIKEVKRLLENDQFPRFRRSELYLQYLEELLPRNYAEKWAQSFESLLGNHVGRHHFRIFLRSIHAEENLRFWEAVVEFRSSRHKANAMNNLGRVILSTYLAEGTANEVFLPFGVRQVIERRIHDNHIDISLFDEAIKHVEQVLRNDPYVRFLQSAQYIDLLGKLKSS >CRE26320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1858817:1861695:1 gene:WBGene00054009 transcript:CRE26320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26320 MQRIQSWFDQLTLGRQSRRISRRRRNHSRQPDERRKASSPSVAPMSSKRIAQPTRAASHSVVRHSRNELKPLPVSIAFPPPPTSMPPTMIVSTSSSSTCNGSFPRRNRIRTNPWIGENKMWESMTSSMGRTGTYESNKKKRAPALCVASPDTSMCSSGYASHDSSPDTSMVWTNSADWQKHYDNGNNIYHELMSASSYHSLRPTPSPKHERAESPIYAEPWTSACGPMVVRNRTYRPASPVYAQPFSEHYHRVSPRRNFKEMTDDELARDEFLHELDQQIHELQMRSEELREMVDRARLQRKEFIIPKMECTFELAI >CRE26571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1851324:1852925:-1 gene:WBGene00054010 transcript:CRE26571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26571 MVAFAVIISVMMVITGSLNTICAKWADSIKAEGVPFNHPFLQATCMFFGEFLCLVVFFLIFGYKRYVWNRSNVQGESGSITEITTEEKPILPPFNPFLFFPPALCDILGTSIMYIGLNLTTASSFQMLRGAVIIFTGLLSVGMLNAQIKPFKWFGMLFVMLGLVIVGVTDIYYDSNPLDDKNYEQKYLTKYDVPALFAVGLEGLFGMVTLSLLMVPFYYIHVPKTFSTNPEGRLEDVFYAWQEIKEEPTIALALLGTVISIAFFNFAGVSVTKELSATTRMVLDSIRTLVIWVVSIPLFGEQFIAIQLSGFAMLILGTLIYNDVLIGPWFRRNILPNLSSHANCARCWLCICGGDSELIEYEQEDQEHLIEA >CRE26570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1849040:1850846:-1 gene:WBGene00054011 transcript:CRE26570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rars-2 description:CRE-RARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LRC1] MSGEVCVQTLQRLRRVGSFRSLVENKYKGGPSKRIVVDYSSPNIAKQFHIGNLRSTLIGRYVDKVNRVIGNQVTSVNYLGDWGTQFAMIATFWPQMKPSDQYWNSLSDVEKIKQLTDCYVVANKNMKIDEEFRAKVYETFVAMEKSVTDGSENYGNDENMKLWQQIRQISRRHLTEFYNKFDVTFDEWLCESSHIRKAHQYAQELVDKGFTEDLDGRTIIRLREGDVEKKKPDGVNYAILRKSDMSSLYLTREIAAILERDATFQADRYLYVVDRAQRQHFNALKVILDKLGRADLAEKIEHVQYGRVRGLSTRNGRTEAVGEIIERGRELALQFMKSSKTFCMDPDVEHDIADVLSLSTVVFNELKRARNSEYEFSFQNAFALNQNNALALQMKHSRLSSIEEKHQHLLPLVNACTKFPDFDTNDDVKRLMRLLNDLEHSVELSAQKLEACQLTVQLIHVAAAAGSVQKQLRVKGQPDEIAVPRLMLFSAVRNVLADGLQLLGITPARSM >CRE26569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1823242:1831691:-1 gene:WBGene00054013 transcript:CRE26569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abts-3 description:CRE-ABTS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LRC0] MTTPDSDSIADPFGGSDPPSAPLTFRAPPVSNCAKSIIDRKLRKYASETSFATETASESSLEREVPSRSRVFTVKPVGTRTPGGIVLTNGASNSNNSNNGGVHRSRRRHDSDASGSANSEATVASGANTPRRCHFRRPKSTSEMHQSCTSATIHSLESHHNEERRASHVYMIDDDSVHEFEDDDDEYDNVTLMYAKHEKIPMKDFGSEIRATMDIDHLLNKAVLLLDLQETSLEEIFAKIIHEMDIQEPEFTSEQVRSVLFTQDAGNQFHILSRTVQSICTTGSVGGSFDYDQTWICALCMLNTVQHRHVAIARLSHPTNLGRTMQDLRFIIIVIAPSRAKGTKTALETTRTFATLFADMEIRQRLVMAQSVEQFRSTLLSAAKELAMDQNQWRERKSSIHLSHAKEQIFGPEAWYPFRGLKDEFKRRLAIYPSDYLDGIRGHKTVQKLFSTVVFLYFACLLPAIAFGVLNDDNTKGAINVRKVIIAQAIGGIFFSLFGGQPMIILLTTVPLAIYIKVIFKISQELGYDFLAMYACVGLFCQMFLILYSATELCSLMKFATRSAEEMFSLFIAIAFTVESIRAIHNSKTFFKCSRLFTFYKFPGFKKNYNDCDTSAISMKAARTALDAFRNGTTNTDSIVGNITSSMSIGDTGSLCRRDTSILYMLLMFGTLWLGLFLYNFRKTPYLTRSRREWLADYALPASVLIMSFTGSYAFSDIEKDRFNLRFEFPLIQMADIFSLPPSGYFVCLLLGFSLSFLFFIDQNITSAIVNNTQNKLKKGTSHNLDLFVVALLNMFLSVFGLPWMHGALPHSPLHLRALADVEERVSQGHVHEVIMNVRETRLASLIAHVMILVSMFFLIPYPLQLIPTSVLHGLFLYMALTSLSGNEMFERLLLLITEQQAYPPTHYIRKVPQRKVHLFTACQLLQLIILCAFGFSPYPFIEMVFPIVCFFFLPIRHTLIPRLIDYKYLDALDGRH >CRE26319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1819807:1822866:1 gene:WBGene00054014 transcript:CRE26319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exp-1 description:CRE-EXP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRB9] MRNPIYLESCLLLIWLWSQQILADFNSYVVPQPHAQRDHRQDISQHYDYFMEENDEPLGRGAAPNKYRSTHGQAPSRPEMTESENFVQSNTESVFSSGETRDFLQFLRRIQYDHRQVPENDEGEATYVEVSVVVSNIRAVSEVTMDYAIELFYRESWRDPRLRYDRKLFKNKTELALHESYTNFLWFPDTFVPNAIASKNPQRNSISHRSLLRLDETGKLLYSRRISLVCECTMDLTLFPFDKQLCKLGIESYGYTADHVVYKWSKGARTALELKKIRLPDFTIQEAYVTSQMESYATGTHINYQLTKLIFVVGNYSRLYVCFVFSRSSGFCFLQLIIPSTAVVITSWVSLWMETETEFQDMISIILAITFLIFSYNEMMPRVSYIKAMDIYLGVCFMIVFLSLIKLALVKYMRQKIMLTSDSGNSLREMSQMSTRQRLRARKTSSMNFRNNTGSTIPASEETQQMLTVPNGTNGLNGEDKEANNNSGKSHISDMLEIRITQRTMHRFHWVSQMLFFFGFVIFCLFYFLIYPNLHIVSIDPACDKNLAEWFAEIY >CRE26318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1815308:1816584:1 gene:WBGene00054015 transcript:CRE26318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hst-3.1 description:CRE-HST-3.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRB8] MAKFSTRCVIACLLTIGMCVLQYNLFFRNFKFKLWFNKELKSFNQLEKKFPSALIVGVRKGGTRALLDAIALHPKVKIVRRETHFFDANYSLGYDWYRNQMPEVESDDEVVIEKTPAYFTNENVPKRVYEMDPNMKLILIVRHPVYRTVSDFTQVYYNKLEQNKSLPVLSVEAFRTDEAGMETINMEYKPMTNSLYDLHISKWLKYFKIENFLFVNGDVFRANPLHEVSFPLIGLHSIDLFQLRRVEEFLGLERSITPSQLVFDYNKGFFCFRKTTRIRCLGQSKGRKHRSVSEDVVLKLSKMFEDHNQNFFRLINRTYSWD >CRE26568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1811458:1813168:-1 gene:WBGene00054016 transcript:CRE26568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26568 MRDNERVREYREREKSILFWIEHSSHISSESFLNNHHLIVMSILRHALLVSIILTVIPAQFNIPLPFGGISLNKNEKGELEIGGTQNFNILGWGANRDFKLTTGNGTFKLDKTDEAILNGSTYGGSGSIGVDEKTGLDFGQNLTLNDKKLVGGLGKEMSFFESLAQLLKPPAEPKERTELKNL >CRE26567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1810250:1810805:-1 gene:WBGene00054017 transcript:CRE26567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26567 MKLFISLLLLSILVHLSSPLGELPESGIFEDSESPEALTSSDSALVMNSGIVGSEDGEDVTASDGPTPPLAAPLSPLPEMSIPVARPPGFRPPTSFVPKKDSGEHPEHVNVGFVVRRGRAKVMGFSRRKSESTD >CRE26565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1800913:1802044:-1 gene:WBGene00054018 transcript:CRE26565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26565 MAAEVLQNEPHCFIASSSSIDRPFKLLVQKETFLIDAESMSRISPIFNVMCFGKDFEKMDLSREIVDEKSNDIDCFLRAVHDTSMINSTNFALVLRLSNKYQVDPVIEACQNFIIRQHLDVLRADEILTMLIAAFEHHCKKEVVQKLIKRLASEGNSVFTKLKISRYLPAQVYGSVISTSMNLNQIKEHEQMNGHVLKMERSKIRWRQSVCEECKSVAECANCEECKKTVCRNHLSKFKCSSDYGTKLAADLKKKIVDFEWQD >CRE26317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1798596:1800256:1 gene:WBGene00054019 transcript:CRE26317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkh-8 description:CRE-FKH-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LRB3] MTELNSSLCQLNWLIAKGGIGNVQGTEASFIPEPVSKPVEVLPPQPVQPTQPTPVPEVTAKRRIYEGSDKPPYSYSQLIRFAIEDTVDKRCTLAEIYSYISHNFIFYRENRNASWKNSIRHNLSLNKQFNRVEKAEGDRRGWWVCVDPPAKKPRILKGSPVRVNPIYEHLYQSGKNSQESRHTENNVSSDKNQEIPPQTAPPSNSVDPFLSEINEEGNDLTEREIRDLNLFESYDLNSSFRDVYNQIFEKPTSPNEKKKAAQIDWLKISLEAAGLDYHDEQELERVDTDKLKGSFKEEEQKKEICLQITFTMASQQNATVNPLLRGLIVVVSHSSSDSGLSNTMQPIQGNDSDEEYDWDRLL >CRE26315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1795544:1796638:1 gene:WBGene00054020 transcript:CRE26315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26315 MNPITNIKNQNRMNERELNLGYAGDLKKSWHQTYKDSAWIYIGGLSYALTEGDVIAVFSQYGEVMNINLIRDKETGKSKGFAFLCYKDQRSTVLAVDNFNGISLHKRMIRVDHVEEYKVPKYKEDADDETKRLWEEGCAPKPIMRTADPMEVQEKRIEKAKEVLLDVGDIDEELIKKIKKDKKKAKKEKKQEKKREKKLRKLEKKAARDPEGDWKNKAKLIDKVVAEDDLYGENKHFDFGKKKEVEEVKHNPRPDFEKADWRDIEIWKVIREREKAEKAARGETSEAWGPEDHYVSKRYQGR >CRE26564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1793759:1794696:-1 gene:WBGene00054021 transcript:CRE26564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26564 MCCHIHVDCSDCCSLRNPILAVAALAFAGVVGLTFLVLGCALPMYGTWSPMFVIAFYILSPVPLLIARRFQEDMTGTNACIELALFITTGIVISAFALPVVLAHAGTIKHGACFLINTGSTIMFGTIIAYFYLHRDDDSGSWSQSLF >CRE26563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1790515:1793188:-1 gene:WBGene00054022 transcript:CRE26563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-szy-4 description:CRE-TAG-319 protein [Source:UniProtKB/TrEMBL;Acc:E3LRA9] MSVDETHRENSLSISVSENDSSEKSITPDDASANDVSMQTESAPSNAFNPPVLRQPPARDLKSPVRNSYNHNFKKYFQAQSADVPKTSNDIIYDGGLEDKDDVEFKVVFADLHNTEMMEPDGNEVEIEVRGVKYKIPYAIECHLCNEMMNLCLRRTRYRGQSREYPAYRCNRKGCQTFRSIRKVFHNCIPSISDIGKMQAPPLDSPKISSKRTTSGEFSEEDDEEFVVQRVYIPKVDTRNPDQKPLSVSDRMRKANQVRATVFSEFSDQLRRDIAANKRVRIRKQAPEEEEQQGTLFYISKELSPQEIIELQDAIIKTLISLRKIPPPMTMHDLPLFANCPYSKNVLEAGLAKSQNEFWNAFTSSAQPPIPVGFTRRKDDTLIYRDPKPYEVPVILQENSPRKQADAVVNYHRRKEEQDARRMERRHVEKKYRSWASLKREFDMAETEDRPESKLSKTNSDDAPVSISSQSDRHSRQVTLRELMHKIDGNSTGKMSCRIRANDSEGKSESMRWIDMKTPTKESPEEMAKASNSEGYNQQDPDNELEDDVFESASKFKESSSMQTLSASNSLRSPTSPLMKTFSPLTNRSMKNPASSHSQMFFPDSHFMSNNINNHSPAFYAFLPPYTMPSLDSSLHYQNRDLVDEHYQCIGASEVVRTDSTPYTYIHPVSGMRLTDPSAYLNSFIPSPITKLAPMSFNDETSRPRRSSEPTTPSLYNLDDFNGSDEKAISSEESKKMMNSFKFDPPL >CRE26314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1789379:1790352:1 gene:WBGene00054023 transcript:CRE26314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26314 MLIPILFLIKISSGVLYDGKFFDPKDRIRAECIVKKVMSEYEYLGNHDKSIDGEACVPWIEVTESWFSTASNAEKQMKQPAENFHHSKCRNLKLPIGHAMRNVSAIGTVKTHEITNGAQGPWCFINKIGRDGKSSFSYSPSVCFDPCDETKIVSEKEKKRVTENEYTVLKLNYNPTLLDPIEKLFSGYEFGDMKYYTFKKSREQPPQYLILRRRVFTALCIIFIAVMIWILTCFCVRKHSQKIHRKKEKALEGFYESTNLANIKMQAELRRERDDA >CRE26313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1785628:1789205:1 gene:WBGene00054024 transcript:CRE26313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-37 MDVLVNITDLTPTPTTSATPDECGLEPHDFLEVKFFLISVVGTLIGLFGLFGNATTALILTRPSMRNPNNLFLTALAVFDSCLLITAFFIYAMEYIIEYTAAFDLYVAWLTYLRFAFALSHISQTGSVYITVAVTIERYLAVTVNPSCPDGSNWQSYILLPSAMASNPIYQQVYSLWVTNFVMVFFPFLTLLLFNAIIAYTIRQSLEKYDFHNQKSVVAALSASVNLPRNIAGYCPSDCLCHVPLSSFTLRGLAPRRTVVIDSSTGSVSSVATSLTSPESFRISSRNELKEKSREATLVLVIIVFIFLGCNFWGFVLTLLERIMGQETLMVDHHIFYTFSREAINFLAIINSSINFVIYLLFGKDFRKELVVVYGCGIRGISLRLPVQDKFVIWRHWKRTKSRLSTSATNRTRHKISLPQTLVEHANLERLEQTRFLAHHEDGLQTQVSPIHALRNGSIPKFDPLRDSNSIGRPCKTSIIDDNGTVVCTVTEFP >CRE26311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1774320:1776616:1 gene:WBGene00054025 transcript:CRE26311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26311 MSVPAAFLILLWSSIAGSLPLAPAPPDFNADFSKRDIQSDLMPIVGEDELQSLRHNGFQEQLHHLRQKNIGRNSATITEEMAKIRNSIEDISEFSMDSNEIDEAINDITVPTDVKLKKSSKFAELSEIHNADSSNKGLPPFAFSEDQDESESNIEKVEVVPPTTTIVLATLPTTTVSTTTRTTTTPPTTSITTTQKYVHIDSDVSVPRRTQIAISSTPMVDRTVTTSHVPIEISTTTLSPIASTTPSTTENRYYKYQKKMSHFNIFSAPPTTRSYIAWNTQSPHIFSSSTVRLPSAIPLATSTTFASRLPEIIMTTPRPYRGGPFTTEFTIEPIQKRSEGRTSLRDRIRSTSQLLNLLGDKISGIDQKAVSTGVEPKTGVLTNIYDRGEIKHRNYRTKQWGISGEGRQGVQTYDGQFSGVAYGATKQKGGGYIMRKKMGKRPWSSRGYKTKRLGERRPEYTRPSTFVEKKTTEVAVEPYGSKRRGEWIRGVKVSERRNAVRKVSESQKSFAQALLESEEASMKQLKKTLRQIREVVKIKTSSSTTSTVTTTPRPIKKKNRIDSEFFNGPFDLRRV >CRE26310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1770734:1772661:1 gene:WBGene00054026 transcript:CRE26310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26310 MFTRNETVNTSVTHGFEDLITYHIVNTSLGAISTLVNTLLIIIFISYRPFRTRYVLLILLNVGDLINSMAIVLTGLNRIELYSTAIRTMTLPVRTSLECAIEPWLILKLIGDILIPVSTFWMGVERLVAILFPIFYRFSVDGKAVKYLVVPGISLLFVFVSICVAFYLAFTENHLTSFYCGRKAAFGEGFGTFIYCCNITCNVASTIFATAAYFKALRLSKTQPRMQRQVNVIRYYLLISVLSTLLVSLPYTIALFQLYVEKVSDSLSKPAYWMQTINSGIHFFVYLALNKEFRARTFRMFKMVHSEEMSLSKKQSPDGCETPLRGSAKTLTARTA >CRE26309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1763033:1764084:1 gene:WBGene00054027 transcript:CRE26309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26309 MFELAFIAVLVAGAIYIFLTLRKNGFFVTVEPSVTTTPKHLDKPLTVYYKYHLGPYQNVMKVIDEAKQGLSTSPSPVTSFGIYYDNPETTDSHFLQSAVGVVFGTEGKDLHEEKYAKELHDNGFEKFVMPKVERAVQAVQPSTGGFASFLALVWFTYSTIRKYITDNKLETTYAVEFYTDNEIDVIFPLDDANEFLVKDYQTIDQLESEAAKKRFDSSEEDSESEPEGAEETEQEEEK >CRE26562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1759865:1761073:-1 gene:WBGene00054028 transcript:CRE26562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26562 MQLLSFPALIQKEIFGNLHFDGLLILSFCSKRMRNVIQCLQKHRIKNLKTITYYFTRNDRISIVGKSCDSILLKLNLSRSQDVICMDVFGMGREIPLWLTNLDYWCFTYNYRTEQKNIIIEGVHAYLLQFFESSVQYRVESDSSKLPPSLKHIHSSVYELPKNTTAEELEECFVSSPNQEYVSIYLDFACKISPKSIIYGIENLHVACFDYYNDHILFHFRGKSLIMETSAFKNITIIRFLEAWKSNQGFETLVFLSIGSPYLDRDKIHRATGVKQSTDVCEIKWKERSVSTSSTF >CRE26308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1751307:1756870:1 gene:WBGene00054029 transcript:CRE26308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tat-4 description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3LRA1] MTTPRPTHLHRRSSSKWVPPSAPLHNPISIFLLFILNFYLFRSRVIRPNHLFESPRYELNNYRDFTDNQISTTKYTIWNFVPLNIWHQISTKYANLYFIFIAVLNWVPFFDAYTRYVGLIPITFVLGTTLIKDGIEDYRRWKFDNQINEKTCHVWDRDRCAFRKTEWRYILVGDFVHISNDQDVPADIILLRSSNESGTCYIETCNLDGETSLKQRMVPAKVIEFSKKDSTFKPSDFTGVVTCEKPDKSIYTIRAKVEYEPGETDVIIKENMLLRGSRIKNTTFVEGIVVYAGHDTKVMLNNGRAPHKTSGIEKLTNKFIIACFIMLLLMVIYGAVTSAVWVGEHPTNTERRRHSLFQIPFILSNTPRPFIEGFIGIGAFFINYQLLVPISLYITVEIIKALQIYFISNDIQLYDPKSVSFLIVNGSLKKKIIQDRAIDCRSLSIPEELGTVTHVLSDKTGTLTENMMIFRNCAFDETDYGSEGSRANPDKPVRCDELFERINNSMHNPIQKHFFANILLNNSVVVNTIPHTDLLELGNFEGGVYNIGNSCFYDVTEEKYRAKLAAVGKEVNGDDDLSRPDMLGLPSTIKFDDRLTVIVEEDTPTESPIPDISPSLRDSPETATPTSPIYRPLSSLSSFSRKLSTVVRRSILRPISDIIPVRKRLISFKQQALNPYEAESPDELALIEGAALYNYVLLERAATSITISTPEKAEKRYELLLTLPFDATRKRMSVIVNSPKGPLMYCKGADSAVLSRLTPESSADKRVQTIQSHLDGYAKKGLRTLCFAMKYIPQEEFDDFLHSYKFLMEDASTEREKMLSEKADELENNLRLSGVTGIEDRLQDGVPETLCALRNAGIQVWVLTGDKLETAQNIATSSGLFHPQRPLKVIETEADAEEASESAGLNIIMSPAAIRLAQEGNSHLMEALKKAKTVLCYRMTPSEKATIVNTVKKKIKGNVLAIGDGANDVPMIQAAHVGIGIAGKEGLQAAMACDFAIARFKFLSRLLLVHGHWSYYRLANTFLYFLYKNAVSFGFFNAVFIIFYYQFFNGASGTNIVDPIWGVTYPIIFTSVQPVVVGVLDQDYDDATLMSKPEKYIIGRENQLYTWKHFFRDVIDGIYQAAVIYYVAHLTLYGSTASLWEMGFYVATSSILVNSGHLALQVRYWHWRLVLLFCLFIFFQFAYFFVECLTPGAYMIPDPPVWMPVNAMADSRFWYSQVITVIVALCPRFTSMCLLSSLNSASIGS >CRE26561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1749552:1749967:-1 gene:WBGene00054031 transcript:CRE26561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-blos-4 MPGVEDISSNVHRLVELTSGMNASTATDSMSTVLDKCTVVLEELRTIQILTETHSEGLSDQLKQMENSIQEIGSLFDRIDQLNDFVQKAKSDLDKLEKLYNVVDRQ >CRE26560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1748463:1749370:-1 gene:WBGene00054032 transcript:CRE26560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26560 MTQQTNKDPSQSETTTPPTTPVTVKKPALCGNCDTEKTTLSTLEEEAMAAVREHAFAVNLIGVSEMLPRTPQLLFINVTTSENHTHCIELTIKGWRVASNRNDCMNGDFRQLDIHTKYFSTLHALLTEISPLYREKFGAQLIAKLSDLKKERSDSTE >CRE26559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1745068:1748350:-1 gene:WBGene00054033 transcript:CRE26559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26559 MRLLGTIMLTAFFICSLFSTINGQLAAMTIDLGTQFLKIGIVKPGIPMDIALNTESRRKTPNVVMIQDGHRTFADAAIGMQVRYPHLVHGQLNDLVAKSSEHPSFELFKKRNTFFEIDDSPRNASSVNFKLGGESYSVEALTAMILANAKKFTEEYAQVVEIKDVVITVPVYFTPAERLAVERAAQMAGFTVLQLINDGTAAALSHGIFRRKEITEKPQRLMIYDMGAAKTTATIVEFKLVKEKYEKEPKMTVLGVGYDRTLGGIEMTNRLRDHLVELFEKTYKPKTKVNTNKRALTKFNKEAERLKQVLSANAEHFAQIESAHEDIDAKLKVTREDFNRLISDLESRFGEPIEQALRMAQIPIEDIDQFVLMGAGTRVPKVQEIVQKTIGTKEIGKFLNTDEAVAMGALFQAAHLSKGFKVKPFNVEEKVLFPVEVHFVSKVKDEKTEEILGEKNVVKTLFAANSIYPTNPKTISLTSYSDDFTIALKYGKIESLTKKQVQEIGSLLDNLIDVEISGLTEAMKNRSSEESEFKGVKVSFLIDASGIVRVRRAEALYEPKSGIVGSIASTISGLFSSKTEEGEPTTDEATGTAANDEKLEEREPSKTQEATPKPEPSVNATSDETTKANGTDDSATTGNRTEVKEKKKELPSIVRLRIINKYPSAYVPNRYDVEEEKRRMVAFAEKERLADERAAAENELESFSFECSQYLEESDFTDYTSDEEKLKLEESVKRIRLWLEDDVTKDTPTKEFTDNLLELKNIVRTVKKRQEHDKAVPEKMKSLQSLLETTLSLTTLGNNVDEEKALFKKEDRDALRTKLDKLKVWVEDVQKHFDTKKKADDFNFTGKDIDSKFYLQIKSLNREVDRFMKKMKKITTLDDLGKDGKINIDDIAAEAEKKRSSKEKTKKSEKVEESNAETEAKQKTEL >CRE26558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1743065:1744504:-1 gene:WBGene00054034 transcript:CRE26558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phb-2 description:CRE-PHB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LR97] MERIGHCFFLNILSFPINSPDVRGYNIKILFYYNIKSSVLYTLPLRIFSVSSSECLLISIDHFEIVFASFFILTTIFFSAMAKQGQEAMKKALQNARGAGLGLGLVAAAGAAVYGISQSMFTVEAGHRAIMFNRIGGLSTDLYKEGLHFRVPWFQYPVVYDIRARPNVIRSPTGSKDLQMVNIGLRVLSRPNPEQLVHIYRTLGQNWEERVLPSICNEVLKGVVAKFNASQLITQRQQVSMLVRKALIERALDFNIILDDVSLTELAFSPQYSAAVEAKQVAAQEAQRASFYVERAKQSKQEKIVQAEGEAESAKLLGEAMKNDPGFLKLRKIRAAQKIARIVSESGNKTYLPTGGLMLNIADDDYLDVAGAGKRR >CRE26307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1740353:1742863:1 gene:WBGene00054035 transcript:CRE26307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26307 MIYRYLATVALLAVNAHSQYYEWPQQQQHYNNFNGFQQFQQPQQFQQPQQYQQQAYQQFPAQQQQYQPQQYQPQQQQQQYFQQPQQQQQQQQLQQPLQYQQQQPQQPLQYQQQPPPAPPPIYSQTVIIPQAPPPPPEAYQAQQYVPSQQTPVMVQPADIPRYHQKPPPPPQKVPDVAFQHVNTARSVQQVDFENKLFREQPNSFTQNQEKVSVITLLAQPPPPPPPPAQTQAPLVRIRVPPPPTRFIPTLKSLYNVDPPRPKNPTYRPDEIIVDGHRSAYFEDTQRTRPQGSKVRVQSVGGSVHSSSANIPRTSQPAVAAVISPPQQQSFVRPNEQHQQPRVVRPQPPQQQLTRPAPVRPQTRRPVPQPQQATRPIPRPVAPKSHLAPQPAPVVALAKPRTASEKFLQCCKGRKVHNSCERICSFDVLSKKTLTGMFLGTDPCPQHHGLDLMQCAADNDDHTQCCIDKEVDRTSAGKKCLGFCNMKPGITFQADVSMLPCWSVLNDIKQCFRENLERQLAAI >CRE26306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1736700:1738324:1 gene:WBGene00054036 transcript:CRE26306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26306 MARLLILFVIIHLILPQFKCIISPPNEILKISAEIFNSWRDVKKEFTDSMKHPMGLPHFNCSYSIQEPATSVHQLHPSQIDVVAALGDSVSVAQAAKSSSIFDIFEEYPGISFVTGDDVTLNEQATLINMFRQFSPRVKGGSSDRIRKFYDFNLAVPGSFSHELPEQAKELVKILKRKLGSRSEDSWKFVNIFIGHNDLCNVCKNETLYGPETFGKSIRSALTIIHSSIPNVFVNIMPPINVQIHSQAHEVSPFCELSHKQTCSCIFELDKEEYLKIKEKFNDQLKEIVKEFNENSATSNSFTVVISPAMDLKSIPLLGGQPNVALLALDCFHLSPIAHDIAAKQIWKGLFEPVQKKTVTSELSVGMDRFVCPPIECPYLRTDQNSEGCEPPKNFRILPIIPGNTSVGLSMPPFIILGFFLFGTALILLFVRASIRRPSSEFSDERHYLLLPMRRADLNDNIF >CRE26557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1734631:1735702:-1 gene:WBGene00054037 transcript:CRE26557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmgp-1 description:CRE-NMGP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LR94] MMSWAFNATAEQTRRSLRINDWPWLDKVQVFFIVIAVLMGLFSLFFLCIGFTATGGTREIMYKDSDARCGGKAACVIAMIFDVLLIIAWLFIISIVSWLCIFYYFFNRLCLDLPGYTDGDCIDLHVFWPLVSSFANSNLRMCGGDVQQFCALTSTAFSWYVIGWVGCVLIILGILLFFGIHASNYAHIGNANRYVELGNLRIVDHREPGDDRSGKGEKVGRGVRIPDKYEIQSATQWSDSRANYSMRTSTSRQAEHMSDSISQFDYRRDKRPKPVY >CRE26556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1734283:1734522:-1 gene:WBGene00054038 transcript:CRE26556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26556 MTALAQGDQQMIIFEDDTRDQTVIIEVTNQFPRKMRFRWETTFGFFFKVSPSTGIMESRETVGSY >CRE26305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1732740:1734015:1 gene:WBGene00054039 transcript:CRE26305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26305 MFCLLIFHFIIPFVSTLFRPSPVTPIKLIIDTDGVYDDIRGLSIALTHPNVEVIGITTVHGGVSANQSAANVARLLRAIGKESVPIFIGSQDSLVPKGPVVVWDELFGSDGIGGVPDIHPKSLPSDFQMAQKQNAIDAIIELTKNTSDVVLIGLGPLTNIAMALRKDPEVAKRIRKVIIMGGNYLGIGNSQYNSTAEFNFLMDPEAAHIVLSSIHLTIIPWDMCFLKGPEYNNEVDYEESLRQKTDLSYFLSNITARGRQYNKLTQQLYAFVDDIAVAVAIYSNVAKKCLKLCASVELERAAVTRGQVTVDWLSTKYIPIVHSYQSSGDKNVSMMGRILYLFFYNFQEANWLSHTFITEYDAKKVNEILIAAVKSSGNTNNIDNNSLIVA >CRE26555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1728681:1731890:-1 gene:WBGene00054040 transcript:CRE26555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26555 MGLTKDYLKYEHAGSCGCVGSTNGQLVAIDGQTVAVSANEYLNFYNMRTTEKVNEIIESTKPITCVRQCENKPLLAIGYADGSVKLFNRENEDSEPVMFSGHKKAVNCIEFSSDGLLFATGGKDGVIVLWDILAERGMFRLHGHKESVTQMKFVRGDRFIISTSKDSLIKFWSIASQSCFYTVMDSRNEIYSMSLHRDESVLVAATSELELLVFELNWKNGAIFEQEVKSEEPSAKKATEEDMKEEDALANMANRYITTKFRGRVIRQSKGRALQLVSTHDDRFLVCVGADKVADVYRVFSEDESAKRLTKKLKSAKRKATSDSSAVSEDDVAKDVTILVTRIGEMVLPHKIKWIDVVKSVKNDGPTSIYKWYALLTDNTVHWVKMSIETTSNAVECDSVGNLDKLGHREDVRALCVSSSSSLLASGGGNEVIVWSTHSLRSSLTLTDNDIKEIVAVNFVPGDNYILTGGKNGEIGVFELSSAELVETRKAHTGAIWTIQNTPDNEGFMTASADKTVRFWGFLLVTEGSRKRISIREQKVLELPDEALAASFSPDGKFLVVALLNNTCSVYFVDTLKFFVSLYGHSLPVTCVDIAPTSKLCVTGSVDKSVKVWGLDFGDCHKSFHAHDDAVTSVLFCPGEEQLFWSAGKDGKIKQWDAVKFILVQSLDRHSSDIRCLAQFTNGSVMVSNGFSASHDKSIRCWEKTDEMVIVEEREELEREEEYEKKLIDEDDVVAGENADNEAGVASSKTSSSVVSAENIIQAIDIARNEKVQREEDPNHEPHPLIGAYNSRSLDHFIVDVIAKCRASDLDRTLLLVPLSYLTDILLAIASCTQQQYKAELCTHVAVYLTRFVLFVRLRSLRYFFRVHLSHIIASSDYVPIFEQMKTKMSEGVEKLREVTGTNLAALRLFATEMEDREQIKMFAQIELGAENPKKKRKGRKAVLKTLGKKFAVESDKTTQEES >CRE26554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1722800:1727965:-1 gene:WBGene00054041 transcript:CRE26554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bpl-1 description:CRE-BPL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LR90] MILFVWSLVSTAIEAARKRHIANFLRALLAQQRVTRNYEMLIWKTIVRFVNEFQLKSSQLKSSRSSQYLSAQRPSSSRLYRPTSEPKLDSTLVLQTDLIQVFSEKFETLRASEWRFCPSLWPCEEDSIYFVVHNEFMEKRKKEPGGEDELEPNYFKVSDQKIDERVHYTLFKLQLNEKCDRLASHKTMTRCLYACSFEMFVDVVDSFSEKLLIDEHFKVTRICSMFAPPQELDRPSTSSLRPDLRTSTLSLASNSHLPRPLPILQHLFWGDRAGQFTTTDRYGSEGNLDMSPSRRNPRRPASSATISNSTRRRRYRSCNSPENGDSEGSRLTLESGNEDYQSLPRYIRQLNQRDFGSPRNSIANGYIPDSVNFNSLPRYIRQLEKSICDSTEDVTSFRSDRALQSSSSCSHPPTTSGSCTSSLAEEAARSSTPNEGNRSSVERTSSNGSSTARKTPECHPTTTGLKSHFTMDELRMIDETDTETIDTARDVVEEQAEKVSYATLAHQNTKENGTKQRNGHKHKFANGSVTIKLDTVEMLDDKITNSDRTPTPYPPNDSERPVSETSSCHSESSPRESASKTQPNNSIPTIDFSRLPPHAQQVSRSKRSTSVVASNLRQSTQRRFSAFSIESDRGRSVSPSFEHYQNRSLRGFTSAANPHRASTRNSTTRHVQLYQFLTSRSSSFKAMCKPNSVLVYTGGDSILYSDIRSRLTTLLPPDEITVFNVSIEALKKQPWAEKSTVCVILASTKDLDDDAWEKIQAYFNQNGKIIFVCQNKLLASITGCDSSKANASILRFAFGNQSNKLKETNKEFVKFLGKNMKKLPKSSAINETFRSKDVSVGANFTVVLKKEPDAPLFLYMQNNGSLHASALFSDATTQQLIAPNSNLLRDSLHSVGVNVCDTTLPALTKGILLAEYDSIIEEVAGLRLGEEIGLQPRILLRKTDAVKELGLPEASEKLLPIELVNRDSEAGTSTEFDLNLYFNQLHAKIGQVILIVDVATTTMDIIESVNAGIPSLENVVVVANRQIQGRGRGGNEFLCPRGMAMFNFCFTVKKSSRLAKHLPVVQHIFCVAIVEAARNLSGYPDFPLRIKWPNDLYCDRSHKVGGMLLSCKTRDDAFQLSIGCGMNVSNEKPTLCLNDMLPKEAETRITKEQLIAETINRFSYYMMDYENNGPESFRRKYHEYWLHSQQEVLLSDFNERVTIRGIDEDGYLQVRSKTNPDKIFSIGDDGNTFDMMKGLIRHKY >CRE26304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1721350:1722784:1 gene:WBGene00054042 transcript:CRE26304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26304 MPSASTFPKIYLDNNATTPLDDRVKTAITDALDLWANPSSNNENALKAADAIHEARSHLGNMFGVEKEDVVFTSGGTECNNWVIEGSIRAFRKKNNALIPHIVTSNIEHPSILEPLKRREEDGEITVTYVSVIPSTGYVTPQSIFDALTPATCLVTVMLANNETGVLQPVAEICRLIRDRLKENAPFLHSDVAQAAGKIPIDVNGLGVDAVTVVGHKFYGPRNGALIYSPKSERIPPMLLGGNQESGWRSGTENTPMIVGLGEAARIYNEASSNIETVLCQNRDYFEELLVKRLRNSHVIHFLGSPRLPNTSSVAFLDYPSHGCDLLEKCRTFNASTGAACHKNECSPILKACGISFAVASKTVRISFGRSTELAEIDTVVNELVELCSPKNEELELNGCNILLL >CRE26553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1718372:1719438:-1 gene:WBGene00054043 transcript:CRE26553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26553 MSSSNSSSSQPKRLGRRVFPNQQSDQIRRSQQFLHYVPPPEPPVDYTIRVPQEKDYKVIGDVFQRPSSVDYSKEEAHLTSSSKGTPRLGGRRNTVEEVLDELDTVLFEASIPFDELKKKVERFNLTFKPYAPEITVDDLPEIPYTTDIKRIPKLDAKPTKETIQEERNRLEIERTYKKTLEKIEAAKQHFSSQYFKDQFEREGIPHWKRNLLAEKKSKETIRRIEHDAWLEFERVKQRLSAKPKVKRSSSVQLRGPRTKSES >CRE26303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1715990:1718068:1 gene:WBGene00054044 transcript:CRE26303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26303 MDSVSRRARFRFGKMLNSAVAVINSTTLRRRSHFARSATTNSYGPIPIDGDSSIPLMYASQSSTTSSTNSIVSIPGFGNVSNLIQVRSLEQSMENVLNSITLSEINEKGEIIPEPEPEKQPVKKQSVLDKFIKIAEYMYITDNRTLLDGDELEVAGISHVVSLGDDYPLGVSATKKEGHLVMVPLTLFHETDVDPSQSFKNLSSKFDNVNMFIENARKLSQKVVIYSMNRQSGFFVAAQYNVDYYALEPAKAVHHLMKLAGADWVDLSEKCMKRLKEWRKLGERRREHSPVDRKLSLSINSVSR >CRE26302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1712834:1714547:1 gene:WBGene00054045 transcript:CRE26302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-upb-1 MIFHFSLSLRIAIVRLLYIRSNCIQSSGHFFLFSWERIKSNFFSYLVLSIFFSNCSLSLHSFLFIFLIQVKMAAAQIDGVETVLAEKLEGVSLDEVKRILYGRPYKTLDISPAAEKLAQDGDFQLTGYVVDAQKEQTRAPRLVRVAAIQNRIHRPTTDSVVEQRDAIHQRVGAMIEAAAAAGANVIGLQEAWTMPFAFCTRERLPWTEFAESVYTGPTTQFLSKLAVKHDIVIISPILERDEEKDDVIWNTAVVISHTGRVIGRSRKNHIPRVGDFNESTYYMESTLGHPVFETKYGRIGINICYGRHHPQNWMMYALNGAEIIFNPSATVGTLSEPLWGIEARNAAIANHVFTVGINRVGTETFPNEFTSGNGQPAHKDFGHFYGSSYIAAPDGSRTPSLSRVREGVLIAELDLNLCRQCKDAWGFRMTNRLDMYAQKLTEVSNPEYRPDIRREQ >CRE26301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1711318:1712410:1 gene:WBGene00054046 transcript:CRE26301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26301 MRSFLRAFINYDDLYVVSYAARNGGTILSGDKFKDILNQQCYSDFHHVIRNRTVDVRFRPLTLDFVEYNSDKFYRHAPELFTCKQILLSNLEFQNLNFPDDNMNQRTDSLRQRLFALPHEPDYNLVKNLKRQVTDEKREYLCAELETILLSMSYAAGKMFREVSELPCMDNGFHGLKVEDVAPKIFAERFYRRYQKRDTKNETSQEWVMEPVVEVVVKPKKEKKVLDGTVLIPTPLSISNNPDTSKSETRSAKIELPKDQSLKSLLQWFTMAEEAAKN >CRE26300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1709019:1710702:1 gene:WBGene00054047 transcript:CRE26300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mps-1 description:CRE-MPS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LR84] MFNDISPTCQEGIREACVTLRNACEPQILDEVAVRMKEYESEFGRMLYTISILIMFSFVIILLMVRSIKRTHSTVEMDALLDAMRFREELDIRDRQRRRLMKAKTKVSAWLNRDKTAPSNGQVKRKDSEWKPPPKGTGTRPRGHYSISTVTSDIPEIVVSADDSGNPGLIMRPHTPAISLIYDFGVASPDLEEPDSRKPSIASSTALPMSSSSSSSLASSIDQRLNSMKTNPRTFSLDTNASTSSRTHRVDIDDKSFSLDV >CRE26299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1707487:1708031:1 gene:WBGene00054048 transcript:CRE26299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26299 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE26552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1704336:1706036:-1 gene:WBGene00054049 transcript:CRE26552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26552 MSADGFWLFEGLEEEPYGLLPLVNLSGKGGPTSTKYVDRIGSYQWYLNDETATILVPGAPVESFYWPGGKLMQDHGVIIYDVNHMKVREGSLDAIIIAARLLSEKKKKPIDFSQFHFITDAINLQKIFAFCNEAGEGLFRIDCERVGKTVLLTRMEASDLMEIGHVTFDQNLKSRMTRPRGAHSTGPFFQLVAYQYGQFRILVRYEVDCADYAAVKCNPVPVDKSEVLPEKTKSDINPEIEVVNYGEIPHDVPLQVLTTYPQGAGFPFFTWAQLFFTNANHELLGWFKGNGDFGKPAIYTLQDVSKMMKPLPLVSLSKVHDCLDKVYKFLTKNDDNFRCGLVWKGKAHLEIFAKHEEATGGISKGVRDFLATQCKDDEPEEQK >CRE26551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1703284:1704088:-1 gene:WBGene00054050 transcript:CRE26551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26551 MILFLSFILFIHHVNTLHVTHISDCGTARGCWKLPNGCKDASDCQTMMTWKHERRHLIIEIESKLVKPDMWLGIGFSKDSLMGNDTVFECQFPATGSGSVYLSHNTAKRNIVLKTASQLLIRDGFTEFNDGKAMCGGEWILDNIHLDSTERTLMHVISSGRYNLFFAYGKMENGEKRMHGMVGKEAPWKSQEQVRFCQRCSSSFANVDSVAADEFKKL >CRE26298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1702214:1702999:1 gene:WBGene00054051 transcript:CRE26298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-10 description:CRE-GLB-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LR80] MMGLLSTIRGKKEAVIGNGIGSAQVGPLNAKTKKLVIQEWPRVLAQCPELFTEIWHKSATRSTSIKLAFGIAENESPMQNAAFLGLSSTIQAFFYKLIITYELNDDQVRDACEQLGARHVDFISRGFNSHFWDIFLVCMAEKIDETLSSYMTEEDKKNEMILAWQRVVNSIVHQMRNGYSDRRKQQLGGSKTGVNNGF >CRE26297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1690297:1693189:1 gene:WBGene00054052 transcript:CRE26297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26297 MNGLCCCTPCKPRYRRLVDSIYPRAVTDGLLHSNMQKLTFYAISHPEKLERIGEYLVMRMVRDLSRQRPVQVKIAVEAMDQLLQACHSSPSLPQFSENHLRMVQRLLESNNAKMEQLATDSFVTFSNIEESSPSYHRQYDFFIDKFSQMCHANPQAAYGEDFRLARCAGLRGLRGVVWKSVTDDLHPNIWEQQHMDKIVPSILFNLQEPDDNGAGFSSSHIPKFDNTFADTTQSHRGDDEATPKVLSDRCLRELMGKASFGSLRAVIEPVLKHMDLHKRWSPPPSFAIHVFRAIIYSIQSQNSYFVIQELINHLDSMCSADASTRIGIATVLSSIVSIAGTSIGPLLLSIFNSLLKHLRTSVDFERSGKCSDQPAEKMYQEALINAMGDFANALPDYQKVEMMMFTVGNIPNLDERKSKQGDEFLQHVLVKTLLKVATKYRTAYLATVFTDSFLDTLLLLALVRDPQVRLATQQIFHTLLDRHDNATNLVHLGYERDVSDVQLTVEKCSRADQMFMRKHIGEITFMLLRAAASADENDLNTHIDAILCTMSLLCIEVGFDEVCISVFISEVMFPFQSLVELFRLSLALQQLALDTKQNFSDAKRNSIHNMVAKYMNLSAQLIANPSLCQQVQHVVACRAQRGIPGLNLLLNIKDSPMNDDPLSSTAVNGTAENGSAKTITEDDQNLLFNLEDVAESLKASGKDASRLFVPFSMNVNSRKLDGSGDNWQNDLQNFDSTDGRESPDGYKTVGIDDVSVDMSVDWTPPISRKQSRRNTIFSIINPPKLNASTVDDLKAYANATFDPIEEDRKEKELTGSILSEIRNTDFEERVNTNEALNERSDLSKSIARLLVRNGETTRVRDIGRPTKPKNVFEIELPSFAY >CRE26296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1688753:1689966:1 gene:WBGene00054053 transcript:CRE26296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26296 MKENELHETTTPPEEIVGNDDINKQQNDEADRFLEHMSTNHKTFSVLDRTGLRLSIGCLLPITTGDNGEQRNWGSSIILNSADLEYPQVDYKLRVSAKKRFNPQLTCNRSKGTIKQNKVLVLNYQLPESSIKHVQLTLLIDGSPHEIPLCKYPKGDYYWIGKDTTVPGPAQLIEERKQSVFVKTDSTMKNVSPGRIENIPDGLKDFVLGGDGINSVCKLLYPYSKELFRDLSLMNIENFDMMGSFENGNATDAIAEEIVRRFHESTREKFTTTPAMLQ >CRE26550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1683041:1685481:-1 gene:WBGene00054054 transcript:CRE26550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sma-6 description:Receptor protein serine/threonine kinase [Source:UniProtKB/TrEMBL;Acc:E3LR77] MNTIFIFLLIFALLKVQNCKDDYDQYDDEDLALSIPKDAVGVPMEFRQRVLKEMEIRERPHDVPKNRCYCNYDESICGKNMTCVKKDGAACYHAVEEKYNKDEKRMETRHQWGCATLERGSGASHLTCNAWRAAHRSPKSIGCCYEGNYCNKDLIPPPYAHHHKEKELEEMNNYTEDVSPFQNVINGVEMFLIIISVCMSAFGILWCTYRYCSKSGKNKKPTSLATESTFLDEKMTMLEDSGSGSGQAALLQRTVRQDLTIIKTIGQGRYGEVRKALYRGSYVAVKTFYTTDEDSWKNERDVYQTNMINHENILQFVAADIWSEEDSMTKMLLITDYHELGSLSDYLCREETLTMDEALRLIHSCICGIEHLHATVQGTGSFRKPEIAHRDIKSKNIIVKRPNVCCIADLGLALRYQNDQILPQKFNIQVGTKRYMAPELLSNTLNPMDFSQFKMADIYSMALVMWEVVIRVEVNTCEEVSAIDETSPDHSASSGIGESVSSSDNISRMQHRQKTNVEGAASLKAKPYAPPFDGTVLNDPSFDEMRDVVCVRKMRPPPDPAWKNVPALHELSKLMEDSWHSIPHFRHTALKLKKETTKLIEMTERQKQPQGKDIFQQQDSGLVESATNRYAC >CRE26295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1678940:1680075:1 gene:WBGene00054055 transcript:CRE26295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-4 description:CRE-SET-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LR76] MMNSALKELQLNEQIEDLSLAFKEIPRSDHTLTPAELAAFDDLSTTLVVDSVLNFTTHKISRKRRYLYGDEHRMASETMKKFRESQDWTNAIYSFLNMRSIKGFIEKFTFNKQAEFRDHIVRFLNIFSIDAGYTIEECNRYSAEGHKGAKLVATRAWSRGDKIDRLIGVLCSMKNNEETTLLSAEGSDFSVMYSTRKRCSTLWLGPGAYINHDCKPTCQFQSNGTSAHIRVLRDMVAGDEITCFYGNEFFGPGNVDCECVTCEKNKRGKFQLNSDEENQEPEPETEEPGSKRMKYSLRSRV >CRE26294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1676229:1678297:1 gene:WBGene00054056 transcript:CRE26294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26294 MGEVERPRSLSRITVDSDTEYLDAYDHVTYSNSLELLDTIAETQITLNLFMNNKFELAEERMAELYDKSMYHSMGYTCILFIKAVMTVNKQDMEKAAEACKMSVEVIERFREKRTIKETIFGATAKGKKITDEELHAELCYAQMLLIRAMLTFFHDDNFASFIKGALNIRTCYQTYRYCEKLMNEPSVWIGRNKKVQEQFESGTRMGLGTFSLMLSVLPSKVLRLLEVVGFSGDKVAGMRDLQHVASMTGTLCSPLAKMVLLTWHLIASFVLGTGQPDLEVCKRIMPGLTHLWPRGAIMLFMKARLLLISGDIETAIHYFNMSIESQDTYKQFHHGCYWELLFAHGYQRRWSHAANYARLLMKESKWSRCVYTYLLCIFFAADETVDEARRNETINALAGKVDGLRLRIAGKSIPVEKYCGRKAKRFVATHSLLFAHYEFIYFWNGFDIFGKNPKMVRGVLEDMDRVWELKKSTCDIDDYCLYYFLKGVSLRHLHLNAQAEECFKAVLERETSIKSFTYLPPNATYELAMLRISEQQFIEAQTLLDKARAYKSYSLENKLHFRIHSAMGTMGCRTPMM >CRE26293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1670542:1674111:1 gene:WBGene00054057 transcript:CRE26293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26293 MLPDTTDNYDDVTDHPQFATTRSGTSSLQSSLSKRANSTRRSLKELSEGVQQRFQPITHGRSLQRITEDESPSTPTAPPRPNRQVYRNSIDQSSMSEIRLKQQASEEVVSEGQRSNWSQSVERIENALHRNYYTIAYSIVIVAYLAGFTEYLYFRLTSLIAPEHLVFHPPCEGKATRKIGKDGFHYGISIFAFIGIAIFQLMCFFGLTISEKWTQSKENPRESRQAAQREEFALFVRTMISSTMYRVFLCYGICSLINCAIIFYMVGIQDSLGDVVSTIFLYLFDAVVILYFIAFPLTTVIYHPYVNCFNQRRGVPARFTIPDFGPRTQTSTLESNISGLEEAMAKPSGGDPPVHRTSPSSIPSLNFSRQDPLRQGHRSTGSISADIPVLEEYLHSPRRQFPKPQLAVEENLEDDRISTFV >CRE26292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1666681:1667376:1 gene:WBGene00054058 transcript:CRE26292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trx-5 MTLLAGVQLEKRDKTKVDASEAISGKIVGFYFSAHWCPPCRAFTPILKDFYGEVDDDLEIIFVSLDRSESDLKNYMEECHGDWYHIPFGSDTIKELSTKYGVSGIPALIIVKEDGTTITQDGRNDVQNGKSPKATIAKWKAA >CRE26549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1664871:1666021:-1 gene:WBGene00054059 transcript:CRE26549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26549 MEKFMEELLECHAADNKRKMGRPSKIPPFSHYDVYKTSDIDFFISLFSGREELYNPCEEFSERRQKAFHFIEKKCGHFLAMRKGRNAEKLWLYLFNDFEKLIRNGGDRNDEKSKSITVFYDCLKFLIPYLETSDRTNLPIMPRGKLDLRDDVAIGDAKRQKLEDSGSPEEHLDDDWFQKVIETMTNQKSNNSICSISYSIPEPPESGSSGIEFSCKSGNLTTAERHADIISCVTNFLEEIPNEKLMLCKVRLFQFIEDEKAKMKCEK >CRE26291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1662865:1663638:1 gene:WBGene00054060 transcript:CRE26291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgg-1 MKWAYKEENNFEKRRAEGDKIRRKYPDRIPVIVEKAPKSRLRDLDKKKYLVPSDLTVGQFYFLIRKRIQLRPEDALFFFVNNVIPQTMTTMGQLYQDHHEEDLFLYIAYSDESVYGGDVEKKE >CRE26290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1658385:1660888:1 gene:WBGene00054061 transcript:CRE26290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26290 MESNTPTSRDDEPQTSRDDEPSSSPKSPKRFGMPDFKFESLADSPIKEDDDDEEDTEPVEECSVCRNEIMDTCTLSDCTHEFCYDCIIGWLTKGSGPFCPMCKAPVSFIKRKGADQEISVQQIKAEQEPEATTSEDLLTEKRIVTRKIRGCRRIMNKIDEVIGSSSRNLEGQRREARAAELRKMKQLCVSQLNSLQMLRDDIEHGAAKGLIVSKAEFRRLVYERQVIVEGLPQHHRSITKQEFLTNIEHYRTVLNTFLSVELKSLPAKVQPKVDKENMWYFYTLHDAAPDDNDEFVHRIFSMISDQGIDNLNSKDINEALNGLVTCRNIMTFIGEIKSLINSRMTFLEWCGSVTYRNRLDRGGRENVGTEVVTVDDTVDDDEVEPVRNDRHSHSNYNLRNRQFSVFTPFDKIFNPSNGFQRQAMNNRHPSSSDTDQDDDIYFQAPLRLGPTGVNPFRPMAHASFPPIPPAGLYWSDLTNPSSKLTRPPRSPTSGTSSKPIPVVSLDDDDGDESSRHSNSSADEDIQVVDESDGTIVLDETLRTPSIQAPTKSGLGKRKSGLSHQDQDQWKRIKVEPLPHGLVQDVQSLITKYRIPTDRVASMIQSATEQAIISIPAPEPVDLLSSTKNTKEPSNSATSPNLQPDEALQLAGYLARNGLGPWSKNAPTKPTSPYNH >CRE26289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1654408:1657073:1 gene:WBGene00054062 transcript:CRE26289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26289 MGDSGMDPVSSEQDTSKSPKEELQCTICLSTQFAQECRIGGCNHSFCFSCISEWVCQSLRPSCPMCRHDVDKICYDFAGHPKEQKEIGIKEYRSSHMSLTPTDRNQLLSERRLVVRNLMHSYKTVSLINSDISDLDESKEEDKNSKKSLLEFENMVMQHIMKAEITLADLRKEFTRKNKSVVFNTVIFRKMIYTNKIKVKYQDQRRPKLQPYDLSKEPERFRGIVTEFLLSEFDVIPYQAQPKVCGHKWRTKFFGENLDLEKKQSFVTDIYNLMLVSPVGNEYFQKQLGNILMPVSAVHVQFLEDHLEAIVAIEKTSLEEFYNEVYYDSIYNSFSNNFFDYNAMMHMHDDPQSRFEAIFSQIHRDMNPADWLDPYSTRLLRQSSNTDGTDRATNNDGDSSRSESPEERVPTPMPDDEVRDHTFARAYFEQILEIPTRSGFTIGSEARRELERDRERLRTRNVAVPLAEASTDGQTTRTLPITHQHRSHMTLRSSARRDREIARNSTSDVIAQLENRPMTRRDHERALLDRVRDLESRSLGISSLFRSTPGNGETTSSRFTPLPIPGRIAYTATILGPSHTLVYNPRPRENPRPRENEDSDNRSERRRRLAAFVPSHQIPALEELIARGPRPVGQRPASSDADPSTSAGASSRNTENSLTVEQIAAAINQEDADNGLDFISVAHRTRAARRAARNGQPPDAPPAKRATRRDRH >CRE26288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1651961:1653443:1 gene:WBGene00054063 transcript:CRE26288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26288 MYTVAVTGGAGLVGRYIVQRLLENEQIAEVRIIDRQTTSREESRRVKTYVIDLNDRKPLENALLGCDGVIHCAHAPFPIVYSKSKEQDDQMWKDNLDACEAVVDTMISMNIKNLVNIGCAYSPIPNEDNYGLAQDVFLDYPRNYMLDAYGESRTRGEMYARKAAKRGSVNGLFLRPTFVYGQGKSRKIESIKELVQNSTLPFVNGERRGMHQFIYAGNLAAIAEKSFFFLLQNPKKFNGEIVFCMDDNCAHSIRDFFENRFLNPNYSADVAVNYWPAFASSYSNYWRYKLGLTIPENIINHVSFRLFFEKTVGFPNKKLRLLLDSKPEVSQEDAFVKYANPKSSYTMEAVKRSTIQG >CRE26287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1649866:1650706:1 gene:WBGene00054064 transcript:CRE26287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26287 MQQNGDPRRSNRVVRYKPLDSNANQQQAVSEDPLPEYMNVLGMIFSMCGLMIRMKWCSWLALLCSCISFANTRTSDDAKQIVSSFMLSVSAVVMSYLQNPSPIIPPWVTLLQS >CRE26286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1645775:1649188:1 gene:WBGene00054065 transcript:CRE26286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ani-2 description:CRE-ANI-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LR66] MYKRESMFSQPPLLKSPPPMSSTMDTNKSYRWTSMTAANNSKLIETPVKGTPKKPDTSWWSPSRGDTWRLNAPRGRTLNLVAAFDQCAKQEQLESPKYVNLSRYRRNSEPMQENEEKEIVSTNFIGQCNKNHEEMTFNILDDSMIDDHEEYEEHDIDLDMDYYDPPMVQHHRSTIGSYVHSTFPLDVGVVYRASRLPTLIELPRSTLSAMEVVIPSCHCQQLVVSSLPHRKWAKGIPVRRKAVEKLNKCSFEDLSKNFGNEESIRGTSKNSRERRRTQTIVPPSFDSPACLKQKKNIPPVSLPVPSKANIRSPSSLVKSIANEFEKADFGFHRKINAAEELADLKKHAENSSIDENQNNVIESSEEDISNESIPRISHLEMRPSIQMGVSGYNLKVRESSKYNENEDKEEVLYSLPVKSHMKKVQQNDTDQTDEKQTTTPRVKANRQSLPFSDIPEERTCDKIDEMFDFVEVPTGDFEDKTLERTVDGYAEIKSQSSPAKKIMNPLDLSTNTYQEIEFDKDVSEYITTPYVQHFEGDGSAFSASSTGPQFTRSPTLVTPHAGQSVTEYRVSEKEGCARTEKVVVQKMSQPAALATSTPKGTFAWRNRNQSSVPEDSFVSSISNFSAADKLNDSRRQITKLIETIEKTRKHIQLAEISLIDAKRARMVVQELASQRVLLICRERLKLQLDEVRRLQALSVVRHPPPPINRHFKSAMVISNIAINLNKNFNSRGSFAFIVALKCRTEIEATGVVTLLAHYQTRMHMIHFGEHMHFSNLPVDFSSNSTIQPIPIFQRVPEYKAPEKTCASVLASKFRSLLVPNTAHRRSKNNSMTANRTVHSIPGYQAPECEFKFCGKLTLDRDSSGDRQFYLDDVTYPLEGTVKLVSHCSSLPEAIDVEYRGFLYLFDERSPNGETAWERYWAMLHRGVIFFWKNPIDEKNEKVPLSQIDLTKCTNQSIEEARNERAHEFHIELLIDQTPSLLEKRRVVLAAESADHLSSWLNAINDTLYVLRS >CRE26285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1642382:1645072:1 gene:WBGene00054066 transcript:CRE26285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26285 MDSQKVSRKRTKRIASEQFESVKNQSSAAKKSKLEQLEEKLEKVVMTEEVGNKKNPKAKSAKPSEIYKRSNKKIDYTSPFIQIYTTSEPSKREIEAEKERVAEVCKDILTEFEKHCDKEFAEISAGMNQNVFKKTNLDRPKYAPLVMKVSGIKKIKVPPIPEAFKEKIAKIPEFFEPLMANVDAKDEEQLTNLPSVHETTDDALLHKYLHEHYENGIHGDMPNKFLINRQILFNVMKTLIPQTPNPDLLYYALYQTFPNYGSQSEFSKLFPSLRRTYAFFDRELIGIETWKSQEDRVCIHINRKQFEITYPDTRKNYLVKAIDLPIGNGDETCSEACYKGLTKDKIISLMKKFVLEEGLNRVKLYIRKLTPLKESPFLNALLKQNNEELLTNFCQIAKLFPERECSAWFEVLLNVSTQPVGNNLEDINPLASFTARDQAFRRSMGPEMKKQGGKLDICIPATPCDHLGPCGPNIPLCSCKVACSVYCNCDSNCRRKFPGCNCKGGTCRTTRCPCFLAQYECTELTCGPCLHNDDGTDRFCQNNGISRGSFIKIIVKKSGIAGNGAFIEQDIAKDEYIGEYVGERVSEEESERRGKLQALKSSYLFGFGDGKEQFGSIDACRAGNSFRFVNHSAKPNCRIRYALVKGELRIGFYAERNLKAGEELTFDYAYEDEHAQRFFKLAPEDRVPKFRCFEKKKSKIEKVKVKKILKNADKVQKPKTSRSDRIVRATNSSRAQNEEDESHRRHPLLSNHVNAKLDFLDIHTVFPATSI >CRE26548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1639484:1641589:-1 gene:WBGene00054067 transcript:CRE26548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctsa-1 description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:E3LR64] MYGLTRLFILGCCLSLCFSAPSTDKVTDLPGLTFTPDFNHYSGYLQAASDKFFHYWLTESSRDSSKDPLVLWLNGGPGCSSLDGLIEELGPFHVKNNGLSIYYNEYAWNKFSNVLFLESPAGVGFSYSTNFNLTVSDDQVSLQNYMALLNFLVKFPEYKGRDFWITGESYAGVYIPTLAVHILNDKANFPNFKGVAIGNGALNFPNNYNTMVPLYYYHALVRDELINLKIIILSIYLLSVCIMMLLRIAAITTLILDGTNELNMYNLYDACYYNPTTNLKKAFIERQLRRVVGLPERKHNAATTAPLCAQTNNTFIYLNRPAVRKSLHIPSSLPAWQECSDEVGKNYVVTHFNVIPEFQTMIAAGVKILVYNGDVDTACNSIMNQQFLTSLNLTVLGEQEIVNQAWHYSGQTGTAVAGFQTKFAGNVDFLTVRGSGHFVPEDKPRESQQMLYNFINNLDYSTPLNL >CRE26547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1634812:1637764:-1 gene:WBGene00054068 transcript:CRE26547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-23 description:CRE-LIN-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LR63] MSSPHPASTTQQLADLSLTEEGEHDEGKPLSVDYLQGHGDLIEEVLKWSEHEQIDFMGKIVNRLSHYQLGKVDTFIRPMLQRDFITNLPGKQYILFHITHLVELTLFNVNAESLKACEKVSTSWRCALARGQHWKKLIEKNVRSDSLWWGLSEKRHWDKFLNIGREMSVLRICEKYGYDPSAKREKLEQLILMHVFYSKLYPKIIKDIHNIDSNWKRGNYKMTRINCQSENSKGVYCLQYDDDKIVSGLRDNTIKIWNRKDYTCSRILSGHTGSVLCLQYDNRVIISGSSDATVRVWDVETGECIKTLIHHCEAVLHLRFANGIMVTCSKDRSIAVWDMVSPRDITIRRVLVGHRAAVNVVDFDDRYIVSASGDRTIKVWSMDTLEFVRTLSGHRRGIACLQYRGRLVVSGSSDNTIRLWDIHSGVCLRVLEGHEELVRCIRFDEKRIVSGAYDGKIKVWDLQAALDPRALATEICLCSLVQHTGRVFRLQFDDFQIVSSSHDDTILIWDFLDAPVLQNAPVRVSATLPELPNQAAVARGLMLFEMAARREIERRDREIIEEQQPRVVPRGNNGRRVQEAAMIVVGNAVNRNPPVDNDGSSSDEELERGDQAHPPAQPHNQNHRRRQPRPELPVRLMLQQEMNAAFDLRRPHHNLDHLAGGDIDEEMPEGRP >CRE26546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1626677:1627613:-1 gene:WBGene00054069 transcript:CRE26546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26546 MLARTIQRFSAVAQRGYAAAAAAPVKNANPEELRLTFASPDTAVFSNAVVKQVDVPTLAGMVGVLANHVPTIGVLKPGVVAVTTNEGTVQRLFVSSGTLSVNIDGSCQVLAEEVLKIEDIDESAARAELESAQKASGEGSEVARAEAQIRAEVAEALIKAATNQQ >CRE26545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1622614:1625086:-1 gene:WBGene00054070 transcript:CRE26545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26545 MNQCSPIPHFQFQMVLSFLLFCTTLSLKFHSGISKAIADSSDLPDFLNETAGDVTSSSIESSTHIPSSTMNPASRPRTAFGMDLHCPSIENSVSTCPKDSNWVYYTCCGGANMYCCEHIQTWLLSALAVIAIFLSLLLIGCCVRCCCSYKRKTQQSYSFDDK >CRE26284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1622189:1622635:1 gene:WBGene00054071 transcript:CRE26284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26284 MVIENKTRRLKQLIKVDVNRSIPLDKIQNWTKHLADEVFRSIPDGDDDVSDSSEFPFKIGIFIFQLDIVITLDFSGNSGMRKHMKKMIMDSLEEELLR >CRE26544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1613985:1615687:-1 gene:WBGene00054072 transcript:CRE26544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zig-10 MSHHYSHPIIHFCLLILLFSSSESIHTLAPKKAPSERLVPIGSTTALECEPYTSANATWYRDKHVIAVVEGHKNAILNGRVPRGGEERIPDIGFLVIYDVQKEDEGIYYCQRENDTKWGEVFQLKVAFVDEVSENKKIIVEPIVPILGRPLVLKCPVPNAYPPPKITWTVNSLPISHISSDYFSFPNGTLIISHFSYHHFGYFECNINNFAGHSSVNAFIDSRDLVATFESLKPTFINGCSAALRSSLFMFLLGCLVTSGAVLIYLICAVCLLKPGRPRRVLRPTFWSRTDPRLAPGFRKAVVPLPDCFVNARMLPPPAQNLPNA >CRE26543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1612146:1613531:-1 gene:WBGene00054073 transcript:CRE26543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26543 MEKQKVHVESWHDGPDSTAPNTPFRHVFLFENQTMKEWDSSKMLPRVPRSLKIVFFISSFILLLYFLCASTRDSSQKSIQFNHKNGEIGDQLFSLFSHIGVARTIGRTPVINTVNNAELIEQLSKVVVLRFPSILNQFSIVIQPITPVNGNLGTDEVSYEDSIERFSQYATLSIMVDGNGFKSYKYFDSIRSEIRLWMLGNAENLQEAKNLLSESLRDNFKLCVHTTSETQKNFTIKAVSEMLNHYTKENDRVMLAVSATDPGFIRNIFQDKRIKKFDIEKFSLVSSPPELQLTFSRIYCDAVFITFPYSSFGWWMGYLAREEKSPVFYFDPDAFPLQNTTIQNDMFPPKWKKVSKKIE >CRE26283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1609869:1611910:1 gene:WBGene00054074 transcript:CRE26283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26283 MPMKFFNFPHLVQENILNNMDFSDVFAMSLCSKRSKSCVILSTIKVPKLWHIVKENKQSVKIEDELGILKTVFKLKDYLQIEKTLKLKFGKDFLMTEFENDDKCFFIKVDHISETLGRKWNDHFNSLFRLTAPSCLKIDVSAFSKRTPKFENVNEIHLTSYGTLESEQLDSFLNQYSNLDFLKIEPSVNGDLINTSKILEVENIHLEHAEEFGMNLLKKFSGRNISLVDVFLVETELNEIIRKWIKGEAFQNLEAVEAMDFCFEGDLRADVIFDQVPIEEFDPTKRPLIFPYNRKFTTIMNWQCSFLFLTFSFVHSSQLTLKTEPTDPSLSPVCTNCLDGVIAIKNTIAKIANFARITIGTTVDV >CRE26282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1607325:1609348:1 gene:WBGene00054075 transcript:CRE26282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-11 description:CRE-SPP-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LR56] MPIYRFLIFSILFTSSYAIVEQKYNETKALQQVLDFVLPTFKIPDLLNPDKKDESPSIKIPNILPTALPTINIIPKAVPTIKIPEIIPKTLPTLPPFKLPTVKLPTVSSFPTDYPSIQLHFQLPHLLPTALPTLPPFKLPTIKLPTVSSIPIENPRLPHLLPTAFPTLFPPKPKDSPETNIICDICENAVTIVKTRIMSFEKVVRVKITGALGKVCDLLLSIPQLMILATPCNLFKANIIDKAFQKWDAFENSIQPETFCKHVPFCK >CRE26281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1602896:1606900:1 gene:WBGene00054076 transcript:CRE26281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26281 MEDLDAYRAACANRIRRLERYKKARSFCSLETDEPTSSSPSLSPNSDTDGSSTFDMIREKMFSLMENDMTLLKQLLQLGDQISEIKKERLRRTMSQNSLEYDEEDEKEDKFDSDQHGFSASMSAVTNLYVDDERPQFFSRQNSVLRIPIPPRSSNRFGPRRVIRRPSDILPRQQSNNIRTLHVNSDDSDCSSSGSKTHSPSSSVSNASTLILPSKTTKNRSSNSSIDSGIRDESMTPSPTFESVVI >CRE26542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1592213:1593210:-1 gene:WBGene00054077 transcript:CRE26542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-75 description:CRE-COL-75 protein [Source:UniProtKB/TrEMBL;Acc:E3LR54] MKASSLLSFSIFITFVSIFSLFSYLPSFFAQISRIELQLEQELNEFLSIEDELRKEFDKYPKTLDRRQKRHWYSYCECEYINTCEPGRKGQPGVEGQPGIDGLPGMPGSPGIPGELPNILYQQVFGCRLCPYGPKGKSGIRGIDGQPGIPGWPGETGVRGMNGEKGPIGVDGPPGEPGIPGLPGFPGLPGEIGITGIKGVMGEVGEPGSPGIPGEEGIQGPPGKPGYQGPVGPMGYEGPYGNRGEPGPPGPMGRRGGPGLDSHYCPCPSRKMFLSILREKSKKQI >CRE26541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1585347:1587593:-1 gene:WBGene00054078 transcript:CRE26541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-16 description:CRE-CUTL-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LR53] MTSMNYLLLMLALIHNAQSIVEFDNHISDEPEVSCQSGFMSLKVNTEKSPPAHVFVKGHYRKEGCSFSNTANATFDFSKCDVMRQREANPKGMAYSATVVVQLHPLFTTKVDRAYNLRCFYKEAEKSVGAEISVSDPTPTQLEDESPQPTCSYTIHKESPNGPIAKFAQLGDVLYHVWECDSETYQMEVYNCDVIGGEEYSKKVIGENGCSEDIYIMPNLIYNENRTKAFVNSNAFNFPDQNSVRISCKISVCATLSGTCHQPKCDASATSIDEVSPTDRELARDELITTQMPTSSQSSTTPSTTTSTSTTTTSSTTTTSTTMTTTEVPTTTITQVLDPTTTVTLPTDFPTPDSIFRLLKSPRKNTSVIEDEEGSGIEITEASSPRAEAQHMTSSEEPRTTKREIKRRDAMDVDVSSDITILDSAFASDLPSPQEQSGKEEVPMKAVCLPYTVLWLLLGIIIFAVSITFGALCYSARKSPRFQVLP >CRE26540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1583408:1584941:-1 gene:WBGene00054079 transcript:CRE26540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26540 MIDFPGNETHCCCISSNPAQICASEKRNTAELTQLWTVKQVLYLIFGHIGLAGIVLLLVFFYIKTVEMWPIDNIVISEESRITTILLAKSLFLVLSLMVIYFPFRKVCMNHPKGVYTTPRYFLYQIFEAGIGPSLYFSFPLVFFLFDFSLYIYIKSVKFINKSGALALSIFKLVTVPLFVYECFLATFDLWQRDHEPGYCRFNGAIWQFMLSHFFILVYHILIIIQTVLLTRMRIDIEFFQRSSRETQSHVATVLSSQTGETRIESEFSEMVYIHPRPFHVPRLGINVLNVKNNRNNEWLALRALLSTTGFYKLYPSKFLIPPGKEISIEVEQCTRADSPTANHNILIEWFSLGLSPPCTDLQRLWSKPYMVPQSHWQYFVLPVFVDDLTITNSSTVMSNLE >CRE26280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1580277:1583159:1 gene:WBGene00054080 transcript:CRE26280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-22 description:CRE-NHR-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LR51] MSADLLYEYSYPQTDYFKSSFEDVSSPEFLDDTDWSSSQDRHFQPSFDIDFCIWKENSLSPQLEPEQLPPTVQTPPILPKTTHVMPSPTSSSLCCHVCASPTANTLHFGGRSCKACAAFFRRSVAMSMTYECIGSGDDSTPCKIHFELRMLCRHCRFIKCLEAGMRRELVQAKKEETRVAKRRSKGLVVSKNENGNIENTYDEYVNSYTNALDGSPKMEPTDVIPESYLSPDISSSSRPLDMTTRISLSPPPPLPSTPSVLLTPATVMVSSHRAVSHSPPFDPQQASTSHAGVIQHSNVQYYRIVDDDNKLFDLVDHYVRTEASLNDRRKIMYTDTQIRDVFDTTCECPYERHQLKPFNYKTFCGFVKHDFVMILDYVNQFPEFQALAKNDKNVVYRMACAVDSMLASAYYTYRVGIENEHLILFNGDFIKMNPVPIGGDEPGAEANFESTQEHEKYKTLMPLKLKQYFDLALPFARLEVSFEEYTLLKALIIWQISNYRLLDDGRAICARQRDAIVQALHRVVEERGDEDPAIRVGQLLLSMSYITEQVQAMTSSYLVMTFFDVVSCDSIMYDLLSFKDD >CRE26279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1575480:1578429:1 gene:WBGene00054081 transcript:CRE26279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-coh-4 description:CRE-COH-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LR50] MVISFDVPLRASDEAVVKFLLGIVFGSQKKRNSSSRECLRHDVNGACKIITWILQNHQKRSFTVACNLIYGNTLVLSQQVARLLLDAVRAREVVAFTSYLAEEEERRKKTFEQMQSGFEDDFTTPKKKRRSKAVAEDDYLLASPTPVSIALKQNITMPDVFAMQREDDICVEDDLVPPTMEQFEMLYGMLDSENRNTTLDLTFLDRCASSSNARNPLDIAFDASSQPNPVDSELQRPTDDFEFQRPTDEFISSQQRTPNKNDRTQEEMFDPAPGLADILLYGRLPLLNEGGQRRSMEDEMEDARHHGIREMHDMMENFSSLHYSSHVPLLVKQQKMSVKEMISPVPLSLRKNCDKNLWPLFKVVQHKFNPSIGTVISLEETDDEEEEDETLQQRSKNQNFDDLEPIDLSQLKFAQTPLKDASPMMSPLRRIDDFEMPMEIERPRREDLFEPLIDPIVDPISPRLTDNIPLQEDNWNFKSPISFEPISHSDDKTPTVIREALLRECENVSPYSFQLENVLPEGATCREAARSFYVVLELLKERELKAIQITPFGPIDLRIAGTDSDDLDDMAMEMDDGDF >CRE26539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1571586:1574955:-1 gene:WBGene00054082 transcript:CRE26539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bmk-1 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3LR49] MAELSRMDLTMSTRKKVSETTSNLRVAVRVRPMNGTERSEKCSTIVKVDKGKSSIDLKSKPFGPFFRVYDTDTTQADIYADLVSSQIKKVIAGFNCTVFAYGQTGTGKTFTMEGGRTDAKSSQDDPSTGIIPRAVEDIFEQLETSGCEEYSLRVSYVELYNEELFDLLASSESDDRERLRIFDDPHKKGVIVSGVEEVPVRNRSDVFKLLQLGAEKRRTAATLMNMHSSRSHSLFMVNVVVRENTNTGEELVKQGKLNLVDLAGSENIGRSGAQGNRAKEAGSINQSLLTLGRVIRSLTTNAQHVPYRESKLTRLLQDSLGGSTITSLIATLSPSSSNFEESQSTLEYAMRAANIKNKPVCNTKVSKKTILKEFADEIEKLRRDLRAAREKNGVFISQESHEEYQRNAEKVNELEEQLLCEVDRLRKLMEDMTYMDEQYQQLYERKGALEKRLEERIRECAVKSKELADTNDVLNKHIEAIGLMHTASLKSFKQLVQAQEAADEMKTDLGAFWRKVDEMAVADDLHKEMIETLTRKMNSFIQSTSEQVEALTSDGKDATAKIVENVDPHVSTIEAISQSINKSVGEMRSTTSSAFRKGTEIENEAVEKINEIAQENLEKWNAVLKSSSEYYKAHSARSEEFSKQLTDKLDEIKKQISDFAEDFRPLLAEIRNTTSVNLEKRTEQDTAITNDVKTTCLNVTEGVRALLLQLEQLSVRIEDNHEEQLIIDQNNQESVLSTINTAENVVQSVEDMIEEQCDTKEIDEKAQSDLQGIDLDYETTIQEAKEKSLEGAEEMKKVTRGLLDKTEANRKEATCAVDSILTRISVDSETCQTTGEEVKKSCDESSENISSMLQKFGESSKKATEVALEAISKIEIKFVDPSGNPFSFFLYAILSISVNVPPRIVPTYPDSTELKSPPEPEELLGSEEDDDKLVEGEELAKKKRRVTSFVRRDSLLDTTNIFLSPSTIQKRREATIDEEEDFEN >CRE26278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1569251:1571198:1 gene:WBGene00054083 transcript:CRE26278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-16.2 description:CRE-ATG-16.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LR48] MADVVDEWRKLRLEILSRLNKRERQQKNIKSMLNNYYKLDEQLQQSHRSRSISVGNEQSNGTANDRLALMKEEMANVYRMKSKNDQDLIDANRKLSDSEARYSLVLSQKEKLRKEVDALLEKNRILEEELADLKEKNNAINTERVALVATCTFLTEKKTQLDSERFQLLNKIRELQEKSAELMNAEIVLQEERAQLRIREQIARATADLNLGDERASATFGNSPDADEFMMTDVLPSEVKFKMAAHEGEVHDIEWMSDDMFATAGSDAKVRVWRVSPNKTEASKVSTLTGCMGPVNRLDYDAQRHVVLASSNDKTCRLWNVDSQRLLSTFSGHTDKVSSARLFQSHNVISGSTDRTIKQWDISSIRCIRSYLVGSTVFDIVARCGVSQSSFISSHFDKKVRFWDSRSSDPTYSIELGQKVSSLDVSIDGLQILASSRDDTLSLIDVRNYGIIHLYSAEQYKTSCDNTRAIFSSTGEYVLAGSSNSSVYIWNTKTTKLEKVVKTAREDSNQIMSLSWNPSGRGLLACDRQKTCTLWR >CRE26538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1566062:1567568:-1 gene:WBGene00054084 transcript:CRE26538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aptf-1 description:CRE-APTF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LR47] MFNRKLMDCSDDEFVRGSQCDEEDDEEQQQQSSQRPPFYENMRTFAPSHMHISCHYDIPYPPHLIQPPVCGVSTFTYARDFPGNSNSQQLFNPPPQQQQQPQSGNPGNGEENYCIPKAEDRNHASNYNQVATKSDRPFVFFASDCNSPLEIVGEVYCTVPGRTSLLSSTTKYRVTVAEIQRRISPPECLNASLLGGILRKAKSKDGGKTLRDSLKKLGLTLPAGRRKQANVTAWTALVEEEAIHMAKDFALVCEKEFHSREIGIYLTKTSLTIDPDVVKRRAALEMSRKVIGELAELLSCDRTPLTPYFPRNMLPIDPSVQQHLSHFTLMTHGFGNVAMNAVLESVKLMIDESIKYIDRCCSQNVWR >CRE26277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1559796:1565634:1 gene:WBGene00054085 transcript:CRE26277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dgk-5 description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:E3LR46] MTALRKNSSTEDTFLPSSSTSGLKKHRKKKKSIAEAQSNPVFVISADPSGASPSTSPKPTHKRKKRSWRGESAARKLSEALPAALRSPTQILKRTKKPLGPSLSWDPEHRSRSPSMTRSRVHSDGSSETGGIVDGKNQEEDGGIVEEPRFELELTCVHCAMAADHRRRMLKTEIESAVMRLARRLGNGRSGWRDDSPSSSNISNQSSVTATVHRHTISSHHRGTTPDIVVSSISSDEEDHSVDRYTSSELGNSLQTSEASSPGSSACTSPNLPRNGNEMTFDSPGSDQLTPPSPLRLQPPSLLSPYPDSASPPRSNSVDLSTLRRDIELLSVSSGDSDIASDTDFEPPTPAESVRTKALAKSPVVRRTAADQETNNPVNKHRSSRYWIEDEGDYHILPSEHVWLPSSTGSSASADSECYVGEKDCRRSGEKRRCAACHIVAHTNCFSLLAKLNLNCKTTFRDYATKKTPSKESTDGLTAHHWVHKWRHEGRCNTCGKSFQQKMFFQGKEKKETIAVTCSWCKESYHLKNCFARDKLEERCNRGALKEMIVPPTWILRLANRKRSNRTPSHPRKHKKSHRQFVVKPTDLWSSGPSQPLLVFVNPKSGGNKGSKALHTLCWLLNPRQVFDITSLKGPKFGLEMFRKVVTQLRILVCGGDGTVGWVLSTLDNLNWPAYPPMAIMPLGTGNDLARCMGWGGVFSDEPISQLMQAILHETIVTHLDRWRIDVEPNTSCNLEEEDDGMQSALPLTVMNNYFSIGADAHVALQFHHSRSANPQMLNSRLKNRIAYGGLGTIDLFKRSWKDLSEYITLECDGIDVTSRIKELKLHCILFHNITYYAGGTIPWGESSESKPSCCDGKVEVLGFTTATLAALQMGGKGERIAQCSRVKVTTNKAIPMQVDGEPCLLAPSVITLGFHSKVPMLKREKKTPCTPNLMRRGTRYGQKDSQVQSTSLIIQLPVIVVGRADYDTYKDCFERLKDTAYEIGIVNVESEAELDSARVLIQRLLVEHNSLPYEPDKNWRFLDYVSNAEEGTFRVSRQQEHVQSVSDVCNTDECLLILDHAFPSITDREAVELFQPQQPVSSSTTSSNTPRYHNSRRISETLRIVLSSDAQETHL >CRE26275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1552485:1553246:1 gene:WBGene00054086 transcript:CRE26275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26275 MNGQMLFETCHFLPLPPAPTYRDALTSSCSDLIETVLALHSNTLVSISFLYPTKNLCLVINRLRVVLKLRKTFSENFKVETISN >CRE26273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1542422:1545945:1 gene:WBGene00054087 transcript:CRE26273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-del-10 MVRMAERLAENFIPEARNESEPAYSRYRSRGALNRSRLNSRASLGSLTGRRITLVETDSGLIEVESDKQFLDAFKDANMDAVHHLNAANPVTRGLWCLIIIAFVILVLVQCYSQIKLYISEPVATNIEAEYPSKIPFPTVAICNNNQFRLTYLTGGRIMNRRSKSISGSLLSTGHDIESVFDTVLRKSWDMDAVKFLRSAAHWKSRMILGCTWPNGTSCKLSDFKAVWTTTGLCWAINTDPHNPFEVTGSGEGHGLRLLLNVESYERVDACTKHFRTKSLPGLKILIYNQTDIPDSSLNGVNVPSGYSMDIPFKMQHVRGEIKRNSATFISFQRSKLPGVHCVEESDEQIEATTDFNNPENIRTCTLRKYMTEVENSCHCTLRRAYTSNSTDLKMGACNVDQYFGCAQKAMKRVREEGTASACLPPCKSIDYTAWQDMNRLPQNLMPALIEEQEEDDEDDVEQEELDENVAFSTVSGGETFSCEDSAYLDEKQVMRIKRDAHRAYEMQARHQEDIFLRSRRLITRLRNAIISIERQKWGWHSDTFSGVADRLSNLTCFSNFSERHREVISILESRPITSEEKKANQMFFLLDENGFERNSTRYMSIGDLKSRYGDKVDDVAEEIAIILRIMEKLWHVFMPDSYLRSINGDFSRMDRIIELMNQYELNKLQRRAWAEKMQSRQMKHFFEDDFYESYYQPLIKDLDTTLVKQIDEVQADWPKVEYYLQRGSAGKTGAIMFFGDGKKENRQKFEKLIIEMHDCASGKLRKEAGKMLHSFKKSYRELQAAYGKLFKEELPDYLENFQFGNKFVGDNFAMVNIFLHRMNLEVWSQDRTYGFWSLACDIGGALGLFLGASLLTIIEIVYLCIQYGLCGKRARNMKCIPMDALTRQVKKVATCSCCSIESSVVFNAFMFKGTPLRKKNKLKGSDREHPVKTQK >CRE26535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1546187:1546865:-1 gene:WBGene00054088 transcript:CRE26535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26535 MNPFLLSYKVLRKGTGSFQHATMPEVAKSLNRIGHFCLNVFATTALIIALMIFIESKLLTTSQIEQCAPNCVAVLYAFAMWMQLATVRYRNVVPLVLTVAIELVILGLVMATKIPSVAIFQIREVMFYVLFVFITIVRLVYMLILYKVLQFKNPRFTLATTVFLKPTNTPVTFSSVLAPQELDPTNPYYPQTVYNSNQVKSNLLDKSLT >CRE26272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1539646:1540660:1 gene:WBGene00054089 transcript:CRE26272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26272 MSMIYDFSQRPINVIKQEHLQENLVRYSSPIPEPNPNYNLTLKQYNALEKSHKLVRLPETSTRKVILSLMKDRPDLWKRRHQRIETDKWKELGLDVFQRTGVFVNVESLVHTFRKARESLSRKMKKCRTEGRSVLETEVQLSQWELFSSFQYYYEFKLNNRHFDDEDDDDIEFLGHHNPSEIIEQRDSSPNADNAIAYSERANSFDYLAAMDYDATEPISKKPKLELTIDKDVEHIGYQIKRAFSQYPEKETLIRKAMFATILEFDDSNFQDLGELFTSLAAKYTTGDRKYKL >CRE26533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1536432:1537908:-1 gene:WBGene00054090 transcript:CRE26533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26533 MRDSVDFCYYVVIYSFENFHKTSNRFGMSLLLFLFVTAAISVIVPTLLGVSQRGFFCDDDSIRYEYRKDSITAIQLMLYNLVMNAVTVLFVEYYRMQKIEANINNPRYRWRNSHLHALFVRLLTYFGYSQIGFVMNVALNIATKHVVGRLRPHFLDVCKLANDTCVTGDSHRYIIDYTCTGPPELVLEARKSFYSGHSAISLYCAVWSALYIQARLGTVINNRIVVPITQTVMIMVGLGISFSRITDNKHHWSDVLVGAIIGMFIAVYTSVFWTDIFSNNTTESETQPLLLSTPVRPTRAQWSSEEEERPRGVIVSPSSASSVVSAIGGQESDDILLPVPTSA >CRE26271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1534338:1535288:1 gene:WBGene00054091 transcript:CRE26271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snr-3 description:CRE-SNR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LR34] MKLVRFLMKLSHETVNIELKNGTQVSGTIMGVDVAMNTHLRAVSMTVKNKEPVKLDTLSIRGNNIRYIILPDPLALDTLLIDDEPRKKARAARAGASRGRGGRGGMRGGRGGRGRGRGGPRGAGPRR >CRE26531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1532745:1533949:-1 gene:WBGene00054092 transcript:CRE26531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsp-5 description:CRE-RSP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LR33] MPRIYLGKIPYNARERDIERFLKGYGRITNISMKYGFAFVDFEDHRDAEDACHDLDGKTMDGGSMRVVVEMARGKPRGSDARGGSRSPRRRSRSPRRRSRTPPRRRSRSRSRDRKRTRRSRSRSASRSKSPVRDNHRREESRSPSPKREAKREASRSRSRSPVHVNSKSRSPSPFKASPHKNGDNGKNHSESRHSSRSRDDRSVSRSPSPRSPNA >CRE26530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1530410:1531747:-1 gene:WBGene00054094 transcript:CRE26530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26530 MSDVSETVVQDPVVVEPTEEKPVETAETDNDVIVVEEKTEEQNGEKTEETQAEATEAAEEKNEADAEVAEEEDKAENGEAKDTNGNDRKRVSSANEEAVVEDEDAPSSKKSKVDEVAAGDAVAAE >CRE26270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1525917:1530365:1 gene:WBGene00054095 transcript:CRE26270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-49 MLFPFILPSFSYQPNSFQDMLERVKASTSRLTSATRSPCFQRWSPILLILLTTVFILFGATCFYLFERDPQEMTVRKWYMNLAVERRQFARTISSRIFNDTRNLLIIIDREQTERVQVMLLQQTNQAVEEKLERLTPPPKFQVIVDEAGESKLTAA >CRE26269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1522578:1523567:1 gene:WBGene00054096 transcript:CRE26269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26269 MACGDCLWQLFLFLTCLFSVLSITNILSIFPINMHEFDTLPEEAQKDITNHVRYRLETYRGRLSAHNRAMPRQDLMLHLEPQICNRGERAPDGTGPWPEEMTQYFCASKDLLEWCHKYFKLYQTTEKVFPLSSGNELHVSLSCYRTRMWNRYLDDINIPICIITCIMVSCVFHAWYIDWKKYRGDFGEDGENQGPPSPSPSPPPPPSKKKATGNPAAPLPKLRSRHENFRNRRS >CRE26529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1521402:1522292:-1 gene:WBGene00054097 transcript:CRE26529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26529 MISHILTILIFTSAAVGAPHRYEKPQAQLPLRGEFVHFVKERIYHVIDSSNDAPPKYMMIYVTLTACHENRTSHRIISGHVQTTWEEETCGTEYMQKWCQENPGNLITVMTGVKKMNTSAMAQFRCKMSEADDEQSIIVENIETEWMKRIVPLMIVVFILAVIFNFRRACKEQEEKGKLANAIKIVVDNSMDTITLDSSRDEKTRKEYARFDDDSDPSLGTLFTL >CRE26268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1517606:1521195:1 gene:WBGene00054098 transcript:CRE26268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26268 MVDRSRHLGILPSLNPLIFLLVISISQVFGNGCDRYVKCRNELLEVHWKCAEPSRRFTQHACKINDLYGNHKATSYNIESLFSECTAKYSAISEIDFLLMTDPTCHSEIEMSSRPLSFSSATCWSDLMRLTQKCFALSRCCPAAQRCGLEVEREIINEQYELEKSQMKSRLEECAIDMSSVLRSPISREDAMIARKVSEATKVMLRSVVPFRVYPDPQKKMQLMSKHGKKAREFAAPLSARPKPIKRLNKSRYGISKPKYSTTIRAGRAEFLHAPIDPPTTSLRPFTVLHQGGTAIQTTSQPPAFSPFTFQSIDFDARRNGRKLRKNKKRRLRKKKHDFEDEPPFAQASKISESRFDPQHAALKLDKLNGNLKQKGEYGRSILPKMLIVKQNKGSFLGYAQPVIKPLYQSKQEQLEPSHGVDQIYDDILEAQISENELTNSIDEAIATEEPHFMPIEQDNTPDLREAFINNNGAWTMEPTTEQTTEQPPPSSTIPPPTFPSTPLSTSSARPPSSRLTFAPIRRITTTTTMEPTTVEETTTTIETTTTTEMPTTTTSREEDVVSSIPPPTPSFSVDPLVDYVTHELLNKHMEKTKSDEDEFDFSSADYIDISAMDIEPSTSTTPVFPIPIARYEQANSSSSIWPMDGPHNPDRSPPTDHTTTPQLRTTAAPLSPGMLSEQQNGDLIPLPTAAYTFGSIDVTPSPSISTTPLEDQLWPLPIRKRHRLKAMGAKMMEKTKPIVILPMSKKSAKKMEEYKRTLQTGCQRYDKCLRKEVEMRLRCAQPYPTPYDKCSAQLLPLYRLIDEASNNKLQFFIKCVSDSQEQNAKCYAPKKLYEKEVTCENLESWSSYCRQLELCCPAKDRCDSEAEVDHVGTRLRLLEKSFSLRAAACQFKHAFHRTLARRI >CRE26267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1512353:1514593:1 gene:WBGene00054099 transcript:CRE26267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-toe-2 description:CRE-TOE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LR27] MCLTLLLTFKNVRSLHRSKMSISSHHLSSLAYDEDCIHSVIDEKTPSPPPKPPRGILRKGAPMKPQRSALKIDPLQNVNFNFVDDKENCNQPQPQSQFKAKERMEQMQDYFKRSIAVIRRSEEFTGVEAADILTAYIESHRDDFPENVGRSNAVKLLGIWLESKTIQSVDIHQKKFLDSERTFYRLGGESEKYRTNFLKCNIFDYFSLYIVTTPVPSRDQDDHSTSVSRASSTRRSNSFKRLFSPLIPVRRNRSTSRGRDKDNGKEGGSTLLKSTWSLFSSSDKQEKKARKAEQQMIKEEEAEVYELALFHLLSLIEVEFLEDVALPVQDVKKNASFLSSILEKVGLGAGEDRLDPQQEEEMDLVIETHPLIRDARSWFQMARCCAPLLYLENAPGKTSKMQMFLWCRAALTAVKARLEKITQHGESPLFPAEFSPLLNKIAQQHIMDTGEKLPTAIMYIFLMVPNPLRKTIDQIVHWLELTMRADAVEDLRSPFYLGQMDPRRYRENFLVIVEELCPFIFPKGCMTNGQEIIFMETLVELRRNKRLGLRPQPLENSLRAKHNSTENELTPVRFTVPRESVRSKSLKSTELSETDSFLVQMINNMLDDKKTTLSDKRKRLAEFEKCYPILYKNHFNGML >CRE26528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1507658:1508896:-1 gene:WBGene00054100 transcript:CRE26528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26528 MQVLRQLPHHQLAPSPSSSCDIKSIYAAEKVREAIRDHEQTIATSTSPKNKAKIVVLGDSGVGKTSIIYRHRYGAHYRPVNATIGASFVSFDVGSDRDEMVRLQVWDTAGQERFRCMVPMYMRNADAALIVYDVTDRNTFEDVEKWLKDLDRSSGTEEANVFLIGNKTDLVEKREVSEAEGRAMAAKINAKYFELSNDEPNLFAAILSELAEDVLQSRQQTSEKKQEVCSIRKEKVALGEDKFEENPNIQLKTRKSKCCSML >CRE26266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1505708:1507394:1 gene:WBGene00054101 transcript:CRE26266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26266 MAFYNDPFQEVSYVELNKRRRGDGARHEGDKLVNRMNRPKVKKIYIKRNGEDIYEKPKLFVWRQWQSPKLEQLLEDVGPYVGMDEGASAIYTLEGEEITDTDEIVDLGTYYVSGDESLMLPDSNDRRRSSDPDVMASRGDNYHSRYTSLSAPEYYTSNSVRSTYPANLTVLGDSGVSVTVPPTPPPRPYTSVQNSSKTTRKPTTKSREVDMSQYDSYYDDALHELRERRAMNQPRNFDTTMEFFEGNPHSSEFDNEETIRKDKQALEKHRQKMATHSRRLSTMIFNRKDQTHPDVYLIYVFLNGQGMECQFMNFQRKQLEKGMNYVLELIARRYNVNPGKLVDMDGRKISEVTQLMSRGAYVLIPVGQSFRDTWYFLPDNAIDTR >CRE26265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1503159:1505468:1 gene:WBGene00054102 transcript:CRE26265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abch-1 description:CRE-ABCH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LR24] MNEKHVLECKNISFMTAISNGSWFSKIYKPPSVFQILKNVSFTAISGEVHGIVGVADSGKTTLLEALTSGAGGDIGGIAMLDKFMLTRRRFNKFCSHINYRNQYPSSLTVRSLLYYHARLCLSSSHTTLEIDHRISELSAMFDVIGYAHDKLEDLSISAKRRVMTVLELLKDPILTIIDDPTADLSPLSAYQLVYALHFYAAKFNRIIIITLRNVRSDLSHLLGAVTFLFYGEVAYSGPMRLLPTHFKKAGFECPSDENPAAYYLALLTIDKESMEKVMETQEKATKLVAFRAENETPTEVSGSTATGTGVLLDSGRKGPTSSTVFSILFRRMLSIISSTPSTSLAGLIALPVVAAVSSFLRNSCSSTNLPSSLFFWKLVTFLFLLLQIIVIFPNYSKTRLLSFRESNLYCQTSSLITFFLLFLIYSTLQSALFVGIASWSFNFTGMFQITLTLLLLSIFSFSIFSICARYLKDCSNVLFFQSAILLAFFVTGNGVTRTTASSSMLYYGGVANPFSYSNFLLSKIITNSTESEECTYPIYMCKLSMNLHSDLYPPWYTPSDTLTNFLILSCLSTTFLVVSLLIHYTEPSVQLQKHMKAS >CRE26527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1495810:1502555:-1 gene:WBGene00054103 transcript:CRE26527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abcx-1 description:CRE-ABCX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LR23] MSSEDVRIESPDDNESSGRDSIYFSTIRSRHADHFFADMHNHNTQNEKKGVIRYLEYDQIITQSMRPYPNLLLNKLSFSKDLRSSSDKALLRLPVLKQEFHNVSFELTSADVMAVMYTKESEMLSLLRVICGKRDARGKLSGDIHVNGHRMSRDRLEKTVAFVSLESPISTLTVRQYLHVHGNFYPPMTERYGGVGHLVCFLFIFKQNQSCKFQINKLMTDLGLIPVCDIICSRLNRSQWQRVKVAAQLIRDPTIIVISDIFKDVDVHDQCFLIDYLREWAVKTNRIVIMAISPTSIQVLKMFSKTLILASGRVVYFGAPKSMQQFFESVGCPCPPYKNVCDYYVDLVTHDNLTSDASRESSVRIARLVNKWNQTAPPVRRTASGKFLLDLPSAGVIMKPLTVLRYHLFWFNFSNNQTSRIFFLLFVFSLSTLLSLFLSDLSLTFPDAFLDRNSFLELVTLYYPLLIGLLILKKSKLIVNRQRLKEFLRISSFRDNCSSLLFACLSVIVEIPFSLISSLIFAIPVSIFTDYQRKSSNYVTSVVFLSSTTFLNLSVTQLIANCMTNLFSEPLSFFFFVVSWFFLFVSTGFPLPIPSMLKYFSPLMFPSFSIFRFLYEESPSLYPQVTTNMSSPLQLYSCGRTYLNVFSDQLGSKYSIYFQTTQVTKGPCQKVSSLELLKYYGFTMEELNEDEVFAKYYAAKKEFKKVKKNLSFNERIAQMGGDNGKFSRKLTAETTFETYFESAVDEWRGEDQGPDLEAFLMSIRVNEIKTYAQLLHRSDEIFNVLCEHITKPGSRSIPALCGIMSALARDLREKFNKHCWRTVEILVNLLDLGERVAENMEAAYLCFSILVKIQANFLSKQLKKSFTNLLPLFASSRDFVRRFAAEAFAYLLRKATDLRAITGFVMKQAFKTPHNFLSDGCALLFYNTFVGIAGSFHSNCELLFKDIVHALVHAEADNAEKSDEFHEFCVEILVQMVGYTIEYAKHSNYEKRFFYQNVLTAMLGESKTMKEAISLMRLLQPCIVLKNDELMNEVTKKKKKKEKENKKHKGKNELKTAEKVEFVCVPELKKSIENVVNVDDFELEQYSVRFISETLLTIFNDDKNRLFSRDITLKIVEKSKNYQIVIELLLRTINLESFDLYMMPALGKIAAEIVKENNPDSSLTKQIVSFYSILCSTRRPIRDTVERESRSNFFDLSNHYVFRNWLISNFKVENRRKLDFEILVDMAVAWPWLYSQSETVKGAEDVLDTLQESISSSNDSILNSQLVYACTAGLFLTNKSLLEKVKSEDVEKFLERQHCSESSLLSYELFVSVYGVSEDVDYMNKTVDLLFPAILSSNGEVRKTVFKILMNFKLPLPKIVDDEQNVHQQNKTVFEVLYDAEDSELTNFRERLLHFRKLRHGDHKEFLPVGSSEKVEMMIVADMASQFFVGFSPLWKGVYEVLATYASGMNIDTFWKVLNLWISNVNDHINKKDIEIQNGRLVGVDQTNRSDFVNARIQLFTFFETIPDVAERRTRVISPLLLGLYEEYKRLTSSTSIASVTTENEDEEKDDGDDNQDISEEIEKIPQNHFENSNQKQETIVVLKALTALLTVYAKFNAAKSVYMEPKLLEMYEQLLGSRYESIQKAALACIFSYRNAILANYRENLEALIDEKTLRQTLPYFKLSDDEGDVQVADEHRAVVVPILLRLLNGKLLINNKQKGMISRRNGMIYIIGGCRSDELTFFLQLFFEQIYKLVGQDASFDEIETKCSKDSFMSTLNIKVFQR >CRE26264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1491401:1495698:1 gene:WBGene00054104 transcript:CRE26264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-15 MCHHTSSATVPQLPNAESTKGPPPHIVALSMTDGSMAFVQQDAFKHHDMQTLDFSNNQIQTVNVNAFRGLEVNVTNSVDSKKNIIQMKLTQLDLSHNNLSVIPTWALTYLHNLQILHLENNRIDVLRSNTFDETQLNNLQFLYLDNNQLRIIPNLAFNHLRLVVLMLANNRITEIQKMSLPHTLNFLVLRNNLLAQVCTFKLLECIYAHMEHFQIPYVALNDLKMLQSIDLEGNNITHLMDSNEVTFESEMKVILRNNKIRRLDKNSFRSFRKIRELDISYNQIQTVEDSSFETVGHMQSLDLSYNKIAYLPRGMLKNFAKTLKTLKLAENMVHATPEALRDLRNLTHLNLNGNKLNRIDGDVLRGCKDTLVELFIANNYLEHIPHGVLSGMKQLEHLDISKNKILSLKKPSSLLSITKEETSSVRRLNLAGNRINNMSDVHIFEHMPSLTYVDVSFNRIRFISPRVFEMLKNLESLFLQNNHLTHFPSLFRLDKLRHLMLDNNQIQKIDNFSLADLPKLQHLSLAGNQIDLITENMFGSSSSSELKSLNLAHNKIHTISSRSFSDLDNIQQLRLSHNHIRTIPSMTFANLRNLRYLDLSHNRIIKILPSALYQLPALDVLHLDQNNLNEIDRDAFRSFSDLQSLKLSHNAFRRFSCEFLGSISQVHQLDLSSNQINEIDISCIARGIRKISLASNSVEKIHRKLLQDATELTSIDISHNGIIDVDSDAFAECRKLSHVKLSHNYIRNLWKGTFQYQEKLHTLDISFNDILFLHQGTFGKNNILQLHVNNNKLSRIPLEALSSTMSSLHLLDLAFNNIKIVDSSQLTSFGNLSVLSFANNKVDSIEDGAFENLMSLKILDLSNNPVTSWSPTAFRDLSHSISSINMANTGLFSMPKFSHRSIQSLNISCNFSNKIYELSERDLAPLTKLVALDISHNNLKQISPMAFEPLIHLKQLNISANPITHLTNEHIQQLYQLETLHISDMPFLLRLPDSHAFSQMNNLKHFHLYNIPDMARPYQISSILFNLPPLHTIHVDIKEAILDRQFYTADTRLLRHLVVAGKNMTTIDVGAFATVRGFKVRIEVHNSSIQEFPSRIFETLTGISILSLSGNRYTTTSFSLTDNKLTTFNPFQSTTAPVVNQHGTILHSLELRNNPITCDCQFKWMDEFIRVTSLLSDHHNSHDFDKVECADSQTTKLESIASAANELFSYRAKLTLLSKSDDFDMECAIKSSRSHLLKMSVVISVFILFM >CRE26526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1483739:1490017:-1 gene:WBGene00054105 transcript:CRE26526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-184 description:CRE-TAG-184 protein [Source:UniProtKB/TrEMBL;Acc:E3LR21] MYVTLKVALHRFLMGGQPRKSDRLVDRNKEKRNVLHGVSEMLKKIARSMTSNEQKMILQVILTCGIVCKYAKKADNINSDAVKNLRMNVCERLAEMFNAFPDREFSKEEITVIKNTIFLHYVEEIPLVSVIRRSEGNIPVAPFAVVKLLASICRIPSLYQILTININWKIQEQKDKILKCDTLDLLLAPLLWPGCFELMLKTIRNGILKLSELADEPMVQISHLVECEHVETKKKINFGTSLLTRKTRIVLEFLTNQIEKEVAETKRPAPESITLLERFSDYVSDSDDVANRLATPLLLCIERKKCNEETLVNMMKSLARIAPLLKDPESYFCKFPRLFARMEGRTLRDALVLMVEGFVASKNLDEETRNILHLLCELDAWDKTKVDEPHFERRYEAYSALTKIWNGDTATNHVILAMILSSHFHLISTTSDLSLRMTAGNNVRTMIQYAGRTLSVAEKRLFLDSYLNPTVIAFMKHDVDAVREEALNTLGVMAKGFKESQHLAELDEFSNEDEELDFLTNMNHIQLYRRQRAIRKLVEQVSSGEKNISFNAMNKYLIPMIHPYLVNYSAKFNAISDESLGLLKLIMSKAPWGKYCSYLEGWLSRVEKATAAKDNEFTDKALVRIVVAVIEAFHFDVSLEEGETYEVTQEAEDGEEVVKSEKQTILQRISRVILPRLSKSLDSQAQAVQKNATSAETHATALHLDIQRSPIALAIVKLLQKLPDAVTGQHLHGVILKLCNLMMTRSYDVRETARKTLVQIVKCLGPKYLASVITEISLTMVKGFQVHVAIFSVHTLIVAMKDSTKGGELDSAINVIVKMCIKDQFAAEDKDNGAVKAECPEAKGNRAPEMMLHLGRIVSPAGIQMVLTPFRDVVNEHPSAKAMQKVSELLSKFAGGLKDNESLDHSQLLSYIYKSLTSDVKKLMEVETKNGQKDAKDKGRRPESCLILPAAPQRIGAMTKVVIRSRDHVFAEFFVLLFSSLLKEKKFDLSDDSMVSRLNPFVKLILDCFDFKYEKLISCSMRALGSMIKMQLPAIAANSQRVSDTLFVLLSDYSSIGQAGNKPAIILLNQLIYKGFTNLITTTGSDFLDNDKLTLLLAYAEADVIDQHKQATMFSLIKSLVKRGVRHKRLGEIMDNLSEVSIRSPLVNIREQCRETLLEYIGGASDTEKSVEKHIEFFLDQLEYEYESGRQSAAEMLEGLFKNLVAKSLAPVHMLCVVKMGAAMMNEESPKVANHIGLALRHLFESVGVSQRQETFEVICQWLKAVDENARAVGIQVAVQLSYVEKETMLSRLQSIMDQVKEVIFDDDVFENNSEATITVILHGVTRIISNVGKSAMTKFDAISFIRSMEELSKCEESIEVMLAASTLIGQILSHLESSEVPKDLSKDVCFWMTRHLRHEKMTTAIGEQASKNIVCLAKNIDLEEYRILIGFIAAACRYEIKHHVKQTLKRINCFKLIAALFVTGDSERCTIVLDSFMPLFVRELKIHGDEELSKLTQEVCGLVKKKIGEDDYSHRVASCQKNASEKIVDRKRKIRELAVTAPDDAAELKRKKNKKKTEVRKRKLDEMKPYRAMKRRAAEKRKAQENEEE >CRE26263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1475809:1479335:1 gene:WBGene00054106 transcript:CRE26263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ect-2 description:CRE-ECT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LR19] MDVSMLQSQQKHSRNMRKLAVWKGDIEEYKEIFDMINQQKEKRKVEVVPYENLDELGSDWSEYTFFCGDFTSDLFHTLTNVEDSDASVLIVGPAVLKHKLQTAQDFMLLRPCRPLYCELMKNVTMKLSTEVMNKRALVDMVHFMGGSVRKDTVQRTNVFIAAKVEGKVQSMTLVGVPTLRADWITECWKNRDDPYFDVFEQDFIDKHSLGVFEGLRLYFHGFKKDEIDDMKINFNNTKGTLVDDPSKATHVVYNTINDDIEPLPVASNRVHVTQEWFWVSLHRGCCALEDNFALPTGSLLRKSLDYRDINSPLGISSRSNNSRSLSSMRDCSGEGPSSNMTPDYVYSNDDEENICKSPRQPSKRLRVCMEMVETEKNYVDLLKLVVKFKQALETEISQNEFMRRTDVAMIFGRFDPILELHEKICERLTTVLNEANNLLSAANGSKNDDRNLDFSQVWIDAKEEMKRAYPQYLNSFDTIRGLFDKHDKENSKFHTFCKAKESSPEFHRLKLADLMIKPVQRLPSVILLLNEMAKKSDFKLKSTAELASKAIDEVLKTANKILEKNDNLICHFSKFTDIESIPPILVAANRMFIRELVVSPIASTSSRLSQFPKMKLFLFHDVLVITKVRSEKNAMQRFARHASFVSLQAKKRRPYKYIDHIQLITMRSAFRIRAPDDVFKFLEARSHVHGTKPEGFGFLVYFVFSDLFVWCLIHRDEQGGDTETVFESTDSKEVRDFLDDIHLKIMINCGRDFFLPDPFEVDDLNDAQVADLTNRFFRRLCGSKVQNGHGSQMSITELSHNETVMGPPKLQSRMRRALSNAQLTITSTLGFGRNRNNFTRINENASFMTSPRVPCDPAALAKVPSIGMPGENSDSTPKRGHRYVLLYKYMFSSVISALHSLRLHSIELELMEEADRHTYYLTRSRNRLAHEFHLISTHSFNHWLFAFYNRKFYTTRSLIE >CRE26524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1473855:1474948:-1 gene:WBGene00054107 transcript:CRE26524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26524 MTKLPQTQGVLNFIKKYLYNSTNYKFEDGTTQAINVPYPDSGVYENYILHYPDATSTEILDINIDQLYSEVMVLTDAKIERAYEYILEERDPGTSTTNVLITVGVDDQAVFDGSATSYLQQLQNDYGFRSIVVSIGSQGNYLGDLAESKDWYFTATESNSQWVADQISRIICHLLNDFILIFVINHSLHTFHIKIVLLQAIKITVIM >CRE26262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1471930:1472956:1 gene:WBGene00054108 transcript:CRE26262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26262 MPAFTPLAATNEKNRPLDAIWSNEEDDEEQETVVEKRGIVAKLFNSSNAQHAKLLVLAVLALVHFYYLNDFLVKFAAVDEMSQDARYIKASGPNYAMDMASVRYTFQGFIDYKKNLWMTVGAMCASLATTASLLTIYSGPRDAFNRAAMIVIRVFDLCAFLFISFLLFARISSAVVINHTTQHSLRAASRISSNIVNDLANTLSCTVEPRDELPICSRQIIDSIFPVKLIEYLIILCLLTGAYIGLAYLIEWCIRHFFPPTHEKLTPISAISRNLVASSRCSSDNSVLIAA >CRE26261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1468984:1470255:1 gene:WBGene00054109 transcript:CRE26261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mpz-6 MATNNNSFHVKGKEEVQFDRKNVVVACDVVNGKITYVDVSSQLMGVLFAGDEILNVNGEANIKTTADFIRAISAKLPGKVSIEFMRDDHCLVSEKVLTPRRPNTQMVELTLTYRGGAATGIIIHRMAFDPKTVTIAMVQSASNACHYVKDGDILVKVNDIYVLDRDAARKLFYASVNNTKTVRLTLERSTTTDPLGPAPPPPDGVPTTAIPGGVKKTGSSMMLPPPKPPQGKANKQFDVLLPPDVLEIMKANKDFYKKMCKNPPCIIKTANPAPPIPATLPSDPAPEVRIPYIQSPKPLKMTPKRVGS >CRE26260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1467737:1468629:1 gene:WBGene00054110 transcript:CRE26260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26260 MKLLLLAVCVIGAVTSYEIVPRATGLRSKGDVRRSPLPSISSFAPLAKSLRPASTGVRRAPAVVTIPTRRAYRPANPTKRVPMTLPLLPPLQPLPLPQFIPVPVPVLSPQDLPTLPTLAPHTFPTFTPIPGMPTMPGLTMPPSFQRLLGITTTTMKPAEKSMENEESESESRSESRAYSAPTYNKDLNTVRSRLSKFVRGSEKKASKAEDNADWIIPFH >CRE26523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1466834:1467392:-1 gene:WBGene00054111 transcript:CRE26523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26523 MRLTVLFLCLLVVITIARRDANQKLKACCARQKTADKMCKKRFCDFEAIHQGNMLHYLNTCGPKNQTVQLMWDCASSRADHTECCKKNNVLPACLPYCAAQGTVPNEHVTHVFCMQNFNNIRECFRSHLDKRPNIFGDN >CRE26522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1464525:1466067:-1 gene:WBGene00054112 transcript:CRE26522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-27 description:CRE-SRA-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LR13] MYTNQTAEELDLMRCTSEGIFKAQNSNWTKFVCILVFFLIFLTFFLSFKAAKMLKNRNIYSHGSQILLLSTLLNANLNQAVFLEIKVNVSSLSSVKVKYFQIRQLIHILINSKDPCKIEFRSSECFYDQSVYAFSNILSTALVSALTCDRFIAFLFSHIYPMHSKIVSIFLLFLSMFFTLLIHLHTYGGVSRAGYVPSCQYPPQLSLDAFDIVNSINFWFILVNCALTVVILLLNFNRDKKVKKSVFDTKIKYNSFENLLTTKAICSITFTQFAFLSFSTGAVTIISSIEAGISEEVFHIVAQSLTGLVYANVSIPVIILLKTKQCIEQRRISIDKMTTSTNHADYYMTSLRASWEKF >CRE26257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1446551:1447495:1 gene:WBGene00054113 transcript:CRE26257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-31 description:CRE-SRA-31 protein [Source:UniProtKB/TrEMBL;Acc:E3LR09] MNPDVNCTTEAIRSTLTSPFMMSNHCFILLVILVSFFATNLALQKLYGRNIFPNSTRILLCSAIANGVIHQLTVAEIRLRTIFRALVYGSDACAIQFHSSECIIEQNIYYYTNFFTSLCCISLYFDRLFSTNSKHFQQNYKFFVVLFLVFQSIIPIFLLHLVYYNVTYTGYVSMCNYPPPSIGSKFYIFNRFRFCVLGLFFILSFLTFISNRKQEKRMIHRVYDTISRYKSYENLLATKAVCIIIIAQIICLATTAIASEKNIRR >CRE26520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1442169:1443413:-1 gene:WBGene00054114 transcript:CRE26520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26520 MSEVGIYAYRVVAYGRTDCAPPISDYKMRICCQNYYKLINSLAFPMTSSSSTTLSIALLFATFWTSANACVASGTCGLGPCFNPLPALPSPCASSGCGPGFSCGSYGCYRSKARVHAAGTHHQGPILFGADRFSQFRRQQPTPQQATDPNALFMQCCEQRGLPDACLQKCTFNTYSKESVSFSQCLSVIYFIIFQLTRMYFRQDACPLAASAEIQFCAAQGRDHRSCCIRNGVTTTLAGDKCLTFCDQRPGNVTLLDYSYVSCYDRFENMKSCFWHDAAERSKKY >CRE26256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1439889:1441958:1 gene:WBGene00054115 transcript:CRE26256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26256 MTDSLSNSSTQQMLALRVMRLARPKFAPVGGFSHDPVDPTGFGELLAGKVSELSKESRNDLPIGDYLIAPQMFENIYLGETFTFYVNVVNESETSVVNVSLKCELQTSTQRVVLPCSVQDTTIESSKCDGQVISHEVKEIGQHILICSVNYKTLSGENMYFRKFFKFPVSKPIDVKTKFYSAENQDVYLEAQIENTSNSSMFLERVELDPSQHYKVTSVSHEDEFPEVGKLLKPKDIRQFLFCLSPIDVNNTLGYKDLTSIGKLDMSWRTSMGEKGRLQTSALQRIAPGFGDVRLSVENTPACVDVQKPFEVACRLYNCSERALDLQLRLEQPSNRHLVFCSPSGVSLGQLPPSQYVDFTLNVFPVAVGIQSISGIRITDTFTKRIYEHDDIAQIFVS >CRE26255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1438725:1439795:1 gene:WBGene00054116 transcript:CRE26255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-icd-1 description:Transcription factor BTF3 [Source:UniProtKB/TrEMBL;Acc:E3LR06] MDSKAIAERIKKLQAQQEHVRIGGKGTPRRKKKVIHKTAAADDKKLQSNLKKLSVTNIPGIEEVNMIKDDGTVIHFNNPKVQTSVPANTFSVTGSADNKQITEMLPGILNQLGPESLTHLKKLANNVTKLGPDGKGDDEDVPELVGDFDAASKNETKADEQ >CRE26519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1436683:1437793:-1 gene:WBGene00054117 transcript:CRE26519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-32.1 description:CRE-VPS-32.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LR05] MTHRSVPLYSRFTLRFSFLFIVLRIFILLFFQKMSLFGKIFGGKKQEAPATPQDAIQKLRETEEMLEKKQDFLEKKVVDEKANAVKYGTKNKRMALQCLNRKRNFEKQLAHIDGVLATIGFQREALENASTNAEVLNVMSHASKALKTAHNNMDIDQVHDLMEDIAEQQEVANEIAEAISNPVGFSSAVDDDDLMRELEELEQEELDKELLDARAPPVVLPDAPSVALPAVPASKPRAAVAADKDLEDLESWANA >CRE26254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1435233:1436467:1 gene:WBGene00054118 transcript:CRE26254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26254 MKPYLAIFIINTVISVPLNRSEFVGNDHVQLMPLERDGEINEKFRQEMLFSHNLNSMDTNELADSIREMFKMTDTNEDGFLTLDELKQQVRKNMEEHLEKSKNDSEAFFEIIDVDKDGYIIWEEFEPHFSQMHGKDHDENELMNAHTEDPHRVDDEKRMFNRSDITRDGRLDKMEWHVFLHPEYSAQGLVEIVNDLIDVYDKDNNRLISRDEFVNGIPGTIEEENSEFEKMEKAEKERRLVEFNTEIDTNSDGDASFRELYEYVDPQNFRLASKEVNDVSCFHINRKRAIIQIMMLADANNDGKLSLEELLERDWLLARSSLLSGRNSLHDEM >CRE26253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1433660:1434961:1 gene:WBGene00054119 transcript:CRE26253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26253 MSVKSPVVKKTISGGKGKISEYSDGTKAVFHYQTLFPIEKPGKGEPMPAEKDAYRCIDDTRKSWPDGYGKPLEVVFGKKFQLPVFEECLKTMLVDEISQFDVECIDLIQYPFVSKKLRDIVKPCDGKHDHGHTTHMCAASVAQGTGYDELDDLMKNPRPLRFVFHLLEVFEPDQYEHDSWQLDEEDKLKSVEALRQKGNELFVKKDYKEAIDAYRDALTRLDTLILREKPGEPEWVQLDRKNIPLYANMSQCYLNIGDLHEAEETSSEVLKREETNEKALFRRAKARIAAWKLDEAEEDLKLLLRNHPAAASIVAREMKIVTERRAEKKEDSRVTYSKMFKQ >CRE26252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1430237:1433138:1 gene:WBGene00054120 transcript:CRE26252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-8 description:CRE-DNJ-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LR02] MLLLRIGVLLLVLVVVAQCEKGDPYEVLGIGRRATPKEIKSAYKNLAKEWHPDKRKDDAASTRFMEIAEAYEVLSDPVRKERYDKFGTFDDVKQFEDNAERARSFVIFQKKIFKYRNDLQYGFGGFSGFGGFGFDESVFEYKYRMSYQQYQFKILEQSNTKPYIVYIYSNYCQMCYRFHPQWKRVIADLEPLGYGIVTVNGNREQNLMEKMRISHVPALVAIVEGRIIPMRVDQSFSDRSIVAFAQKVIPSYFMTKINSGIMLSRFVEQWKTSNKISVVIFGAAVNPRIRYLLAAMKYSQFARFAYVSLADPSDAVRILKESVDIKCVQCENILIYGDMEHEDAVDRLSISEAKKLTMEALEEFIEKNKLLTLPRISSQVMLDEICPVSSRSPRHLCVILPVTSHSSESEHVDAFRRYVRDTQSMWKGKKVNFAYMYVDKQKDWMRPFAEKRKGELKNEGRDLLIFWRLEYKKARFTWLEGAWTGHKETDDLIMNVVEQRKRLDETCTVGNISDEYGLSIFTKFSRTFWRMWEVIWFHVSNEETYMFLSAVGTLFMIMSIGWLFSYFSEKPSDIKKRKPKANDVADLTGDPTTTNDWHPDDPNTKKKESESSKQLSSGKSKWSVIMKPLIHELRAETYFGMIRLLKPGCRSMILLVDEENKDALINKFSQYVYPLRNNKTFSFGFLVVPKNLDWFRKLLEHTLPTDGKPTPEPDVSQSMYKRLKLINHRHTIGTVLTLCGWKLYFSIYHPKHVELSRRNFIDTDEEPSSDDEASYRSDEYASMGEKKKLHRSSSQRGVNVENVLDGFPNWMDRLLEGSIRR >CRE26251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1427207:1429703:1 gene:WBGene00054121 transcript:CRE26251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26251 MQQAAAGLPPHQFAALLPIAFANLASQPDPSSPLHSLCLQHVIFFVFHHFPDNVVNGLDLALEGCNTNSTPASLLDAIVDKLDAKDYLKKNVSLDLGAAKADECARVLSKRLDEARTKLPNFYGIWSRYMDSVTRLAQLFLFVPIRDGYEPNKAVSVLQRECYEYFARVAAVFSPLIAPYSPTHPPFSPSHEAQAMLVLDRFVEFLSALHFNSSIPPGMQNIQSLVWQYYCEKLSILTHGTQHYYDILERQLVRLNWQALWPSRLAITAMETCLDTRSQDCASFVSQMVARIPWSTIMQTMHEDSRPSYLASLFGVLVRLASRSRNYDKVRASLLELVKSLSLRADWNRISPEDAMNISLAVTKSLPSDSLSNPIEIVSVIQVIWRKISCFVAREPFSEISLQKQILWIQTECALLLKAEPSQIPAAYNSLISDVNSLATNHSNLREFRLVTRELTAMWKNIENENLGESLVSQWTEYVTANPSSPLILTSLNTIIDSLNNDQHTTALKVIEKLIAAYFLRNDSNWAEVLHWIQFPNKNFESVKNYLLTVPKSENKQQMLPLTLKVFMDYGGADDNKFFELHYYVTSVRAKHFTSEAGFLCLLARLLQWIGKRSPTLPSHFAPTDDLLASVIKYLVKQQKNSNSKVGPFSLCLHLFISHFRMRVVLQILEMFLTQQTLGDGRRPRCDANSPVLNSRIAALRDMAQQRANQNMTNAFNRATAYFVQIDIHQIQSASKLLLEIGRSAFGDRFLSDV >CRE26518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1425133:1427012:-1 gene:WBGene00054122 transcript:CRE26518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-33.2 description:CRE-VPS-33.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LR00] MAPGTAELEIDETLHLLRMVMQREFIHYLETLPGTKELFIDKCLLRPLDMIATSSDMKRHGVKRIMHFDLQKNPQVWNLEIDQRVFFLRPNVDNARKIVEYVEESDENRSICVIWCNRQLEECDLVFESSGVIGNITQLSLNMCLLPLESDLFSLQHVESAQSDLFSVANMFVALQNLYGVVPTVYGLGSESKQLWNLVHTLCSSNELRARPDQPISHLFLFDRQLDPVPVLLTGASYEGLLHEFFTIDCGKLAFPVDMRKQVPTGPLDFDWIEINPEEDKEALQQNRGDVVKLDNCEDIFASIRNKHVTAALEFLHAKAKSIQKSIEKSAMIDDVADYRNFVEKDLRALKKDHKHCELHINACEMMMNKVKMEDYRTMFKLEHEMLLGTVTQEEYFDFVFERVPMRSCRDIVLSMMSLASLKLDGVSDDTYNEFVEMYIQKYGYEHMFELQNLRNSRVIYARRHITEDRTISERARTWDTLARKFRIIKGNEPMDMSNPSDMSYVFGARISPLLCKIVEDTIDHGWNQSEYERIMGVFAIPSFNFKSDFSGKEKVLVEENTYIAADRRPDNRTRKAIMVFINGGITYWEVAALR >CRE26250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1419448:1424747:1 gene:WBGene00054123 transcript:CRE26250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-epg-5 description:CRE-EPG-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LQZ9] MAELVRPRKPKHRERPQSDHAPTIPDRPVLVNGMRLPVAPSHDIQQVRERSASPEPEEQVLNDVDESSRSERESESTKDVRSSPVRPAPPPPRAVSQERDAPPIPPRSLVFPRSSSMVTESTREPAPVVAPKRSVAMAPYPTVPELAELPSYTAALQHPQMYPAVSGGQLQHSHSATAIPVSFLFIFFSFNFLFQEKTRFSAPVERERVREGEAPPMYPSIINYERNEHGLMTEENLVTFYHNPLYEQAEIFVDQFIKAEEVANHSGSLFPLLARLKSVCDLMTVSEVKGKENTDELQKCLRECWIQQTLSVEAKGKCGDNNDGTGKATYFSYELQQAVLDQMKKLLSTNRTNLMDHTLCEETSFRSLALQIQWQIIIVNNNFMAENGLTTNCPPNLVATGMMTPGRIALRTAISDIFYHLRYPRLPKRFIDTLVGWIKELTCILNMRQSCDDGIFILCHLLRLPSPIDQWAAPFVQTFIQSQSPPRLKLDYCVALLTHLLNPIKARESFLRHVAQSEKEESTWEILADDDDGEANEFSFVTINESDLTAFLDQIPISEIYSIAYLAFTSYSDKGSQFTAMVAFQLLLMKILDNGLTSYSQPGYKMFCKQIGISLKHSVRELCSNWRLVRDQIQPGEESHLQKEVDRIVLLALNYLIHRDSVGLFQFVVALPYGVVSEECRSRCEYALRSEKKMTIHEIYDTPICEVRARISSQGISKRVASLGAQDSEFLINSLASIGSYSNSDVAQLLKELVDLISEFQVCFCDESTRDDFYKCGGEAIGQILTKRPETLHQLLTIVDRNLQHMDSYAINVLSSSRLFECRLTEPMISIIGKWLINNPPEHGANRLARRVLSGLHWGLAADGHNLWIDVDVHVIAADTVVKAHSVHCSRSNSMISKSISKISKLASKVGDAESLFQQFCWDLLVKLKLPTVPVALVQNDLTAHYVRIVQNYEDDVVVYLEKAVPLLSDLVSSGSSVASVVLLSRLIAQHYQKVNLLAADKNFMATFERLLHVDQLPYAVQWLSGPSSTPTPIVRLICSAISYYSVKLPELPEFLRAWITLLCSARTGWNEDAVTYQIVGTIARIAFVNDTQKLYGITSILFQAYQQQMAAEKNQSKGLLSMFSSDNTVSPLIPDSMLAISPFASYIMLRVEQKSFNTFYGHLFEALGKKDKYTLDNAVKKAASKCSMTLPVERIAVFRWAKLVTVCKDSPLLPILLQQLSGSAYRLRKANNLNLCYARRLIDDPQVQDVMSECRKAIEETPVESKGLSKAVVGWLFTKHEVTRTGFDFSVFDLDYLLQLILAGDKNMWLDYVNMSQFGSEYIAEQKLYSTTCQLSPKNRDSPTPPEIGSPRTRGTAKPFPVLPVHSGLPQAPAIDPSMIFQQHLVLQAAAPFISTIKNLAKQYTECGDRMDIDDDAYCKLIRILYQPTQQTVPVEIRCSYCSKPKPCTMAVKPNVLSPDTDVKMSQNRNKRIEFWNELNVSLIDKAAVATASVEHLSRVISKLAGGLHQATRNNAQITGHALFYLITSSVGENELLFSVASESYSHSLRALGEEFVKFRPEEQMDVMQLALNGFVLSEPLVEVPFFFNQPVSINFLARKD >CRE26517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1408665:1411831:-1 gene:WBGene00054125 transcript:CRE26517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klf-3 description:CRE-KLF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LQZ8] MHIFAADTGQSEIRILFIRIQSNNIMKTMEPSKIDEDFLQAWADVIDFIKRDSSSQTPPIEGEHSERRAIVSNFEIPVHETRRYAFSPLVTPNLNSAGTIHHRFAPINLPTREPPRMELPPTPHFQAPFSPQPTPVEQVPNYSPPHPPPSYQYHDYYPMTSCYDVATTSYGNPPYYPSEVTAPPTTTEIVYHPLSPPTRKSVTPPSSPETATLGPIASQLPVIRTDIPLHRLPHDELDSTRSSPSSYSTSSGDRSPPRSNRRIKNPQSKQSVVHACTYPGCLKKYSKSSHLKAHERTHSGEKPFVCKWQNCSWKFARSDELTRHMRKHTGDKPFRCSICDRTFARSDHLSLHMKRHSPPHTLHQHKIEQC >CRE26516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1407295:1408380:-1 gene:WBGene00054126 transcript:CRE26516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26516 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LQZ7] METKQSMDCILKDPNAKSNKPVIPRLITVNPLNIIIESKSGQIDKTLELKNIYPCRVAFRIQTNGPTRYTVCPNKGFLSIGEKSMIQITMIDGNKYQSNHQFIVQAMPAPGDFADRKLIWKQPNYLGILTNTRVKTMRPGQPLSKPRSKSPSGLTTPIDNSGMNSPAVMDSNESLVTPSAPSWTAPVSSIDHGKYADKINDLASQVKKAIAEKNTRASEMVSVVNQIKSIEVELDRQAQLVNEMSERVKKGELQYSNLVLHERTIRSDLERMKNNDMDPFGP >CRE26249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1400439:1401595:1 gene:WBGene00054127 transcript:CRE26249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26249 MVAATPIKTMWTIFLHLIDNLKTRASSSPSSSNPHSPLSPPTSKLNMQIVYEHLYDFGKPILAKDDMELIKKAYDAKFYSNGSKRNITKRESTTIMERLFGAIMITVEASSRPFPYRDRNVTNILDAFDIIKDKMDNEPSLSNKKRMAKWKKMLSKQVRYIYDTQYIKNVTVRIILDQ >CRE26248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1398089:1399905:1 gene:WBGene00054128 transcript:CRE26248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26248 MLKLLLPLLIYPNLSAGQNLIIDVRVSMLPSIQLLVVQVSQNAIQEYVSSMSSHFLNTFQKSKLPPMEASRFICGVVLEETEITKFKFSNFSSELAGPFKDYYLNITDLSITISGKYIRNFLFFKFHDSFSVNMTFKRASLGALVPSFANGLPFIHGIINCDFAGLIIENPITDGWFVTSLIYDAVEENIKEIVCHKMVESLDKKIRNDIHELQVDFPIFFNSSEMSYRMFKKAPYISPSKQIRYFLEGEIELPISEPAVFLPNEVVEDSIQRHVAYHIHEKLLAEMLDGICEKGLFDSNFTSLPTMKPVSYLCLGTSVIIQGLSNTITIIIHITSLETYTDDQEKTQLRSFMVTPLHNSEHELFIRLKSQIANRWLDNTFTEQLFNHLSKVLSDNFRLPLPFIHNSSISDVIFLANADYFTILSNFDFHQDDTQFRL >CRE26515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1391819:1395223:-1 gene:WBGene00054129 transcript:CRE26515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26515 MAPSSQSNGKIFGRFDPIHIALAVTSVIFLILSIVFIILFATKHDTSTGFLATGFTDSKTDDVYSEWKGLLNKKYTVNSFALDVQNKAVSAVFSLRDSVSTSDVQNVLSGSKLASQLETTYGDKATAVCRQVQAFPGTSSVAPVTAATTKAPPVVARYCNSNPITRDVLIVVDMNDLAKNNHSEKMASLNAVRNSLMNGLTFPQANVFLKAVVNTEVRDISTKWVSDANSFNADFNKLLAINDFVTQTYLIMSDIFTKSIPTIQTGRQYVPGALFVITDKQVSQNPITTNPKDYGVYVGIIGVRKQYIDQYKSLVDNSLSFESWTDLGNKDPFGTMICSFYQLPVTPKKSFYNYPLAELDTVDTPKCQVLDIIIAFDISESLSRIILPKYVAFAKRIVAQYKYKDNDFTRVGVLTFNDIVTEKLTLQKGVDLATINAAIDSVEYLGGLTDVTAALKAAKDLFSKESDNAHSKVLIVLSDAVPTVDTYADEIAAGQALSAAGVATFFVGYNHYSDDVLKQLGQVTNPAYVFGDMSDASFNGITQQILTAYPCPQPKCVTAYYAVEISEATDDYVIQNLKDVLAISSKAQPMQTGTESYQLVTYNNIHNTKFLPQGDASFKSFQNFVQDLIDNPQKIADLRSGYTDLVEAIDEVTAELQNQAAKNTRFSSNIIFMGQANNAVLNPDQTVPERIAHLKAAAASLQAQTAGLIFVVDDSRDPVDFGDDLWSSVTTNNRIIQKSDNVADALDNTDYYTTWKQLSCSLPALTTCYDTPLDVAVMIDLQKKDYNFINYITTLMSRFSSQDDTHFSMVAYGSRKTSVLSNLAVHSAADVQDMAVTYEDWRNGTYFMTTTTPVPTTTDSK >CRE26247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1390503:1391705:1 gene:WBGene00054130 transcript:CRE26247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26247 MSKETKQDDNNLTPRAPQNQKNEEKTFANKEILPKMKKIESEEPSHLQLNEKFLKRWSIEGLIGKGGYGEIYLAVDVVKGEEVAIKVEPKKRRGKLAKRMILEQHVMFKMQGKPHVPLIFGSGYTEKINFIIMQILSINVGDIKKSNPNKRLSQCSVGRIIHQSITALRDLHETGYVHRDVKPANMCFGIYPSSRHILILLDFGLVRRYKLDSGEWREPRVRAGFRGTTRYVSIRVHRRCEQSPYDDLVSVMYTSYELLSGELPWKHLEKSEEVLQLKEIMTENGVNPELFKGEKSVLMDFFKMVSETDPYKTPNYDQLIECIKVLYSPKTLTDPYEWEENHKSTDECSEENSK >CRE26246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1383718:1389547:1 gene:WBGene00054131 transcript:CRE26246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26246 MRQEVLAVLSLCLLTVYGSTRVFQGSCAAEHVLCEQLCEALSPETYECSCWEGHVLQDDGLSCKANTDVQYSVEKRELTKKRTRKLEASTYPYRRASETKRRNYNANRPLSFSGSNYAEFPIKDDTYLETNITIQFRLDEHKDGIIFFAGELMGDDFLSITLDGPNVIMRHDCGEGTIEDMYHGKFAIGEWHEITVWRKHCDLTEMSIDGGRKLVDHADEFKNFKGITMDEGVFLGAAPHNIEFLQQKTGTTEGFHGCVRLLIVNGDVLLNSNQTINKALDSSTNCEKHSIEASRIQFISDYCSDEVKVERKMKKIVIVTDDKMKESAEGDEDVIKIEMFDLTQRGIPKIMETPGMHPSLLKTTTSSPPLGHPVLKEISVSQEPVRTTTPAPVKTSWKVAHFNGASKLVVPAPDSISSYLELSIQFKPEKQTGVLFFWKENSKFLIVTIENSFVKVYASLGVDATILRYFESESAVSLYHWHKLEVWRSGKGVLLKVNKQGWVESELHGSKYDILDGTGHIFIGELDVQDIPTVMNDIGGFYGCIKRIRLNGRSITMQSIYATNVVECFQDPCSTFGCPKKCIAHNSMPICQCDWPMSGRKCTFATAPEISAMKFTGFSYLEVDNDSVMSHITGDSLDMAVNFKIHNTTQTVRQIVVSAGDVTHEDDFFELSIDTNRFVRFALNLGSGTIVLTHPKRIDEEKWVTVEVIRKKNLVKLSVNGEDPITGFAPDGAEQLNVYRNVYIGDNHMLDGNPDGRDFKGLEGCILSLRFDQTTISNPKQSKTAINIQDCAI >CRE26245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1379063:1380199:1 gene:WBGene00054132 transcript:CRE26245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oig-8 MIYLLLTLFAIGISPPVFCTIVQPDQIAKTVFLNDTTFLAYNSSAIKCRIDCADAETMLQKDFRSFQKVWMFNNTEMFSADDKTFIDSNRSLEFQVLNMEDTGSYQCCIRSTDLAYQSFVCYSTLLIVVEEMPEDGMNATAPTTTGLFASKAIKKNSVFSEAPPPPSWNVVIEDGDILNAQPENNYFLKIFEDNVTSIHCLVNEVEIKIKTSNNFPPPLYNDVLIQSFNLTDHSGVYVCNGTLQIGNETTIESVSFTVSQSWNDNKNADLLDAKLVAEALKSAFTVFSFFFISLFILFSVFGA >CRE26244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1375872:1377717:1 gene:WBGene00054133 transcript:CRE26244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-26 description:CRE-SRA-26 protein [Source:UniProtKB/TrEMBL;Acc:E3LQY9] MNKTAEQLEKEVCASDGLFKALTSMFMKINCVFICSIILISYLFSYKALRILWNHNIFSNCTRLIFFIGSINSIIHQTTMMEIRIRQIYRSIVTEIDHCGILFHSPECAVDLYFYYITNFFTTYSVFSLTLDRYELTEQLHCFSSFRLIACKFSSVYLKYQYTIATILLTIQLIFAVGTHFFGFLGVPLAGYVPMCTYPPRLASNFDTINMIRTVVMVFSIIVLLIIFRSNVKTEKKVHQHSFNTKTRYTAFENMTTSKSVCTLIIIQFLCISISSFGVTLIRKVESFMSEEVYHTIVPFLPGVTYANLCLPVIVYYKTKQTIRRRKEAIDKMTSAPGDVESHMKWLNETWTK >CRE26243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1373500:1375262:1 gene:WBGene00054134 transcript:CRE26243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26243 MSMEKIEEDRKNITFANKTRYIVLFIGLCCICFTNGNYNVINFSVICMQDVIESQSVPNQTHWLENTADVSFIFSAGAVGAIVGLFPSVPLTTKYGVRNILSFYGLLTAFSTLAFPLAVSIGYYPVIIVRMAQGFGASILFSAIGSISEGWSPISEISTYIAFLSAGFQISNIILMPVSGVLCESQLGWRSIFYLFGGVSVVSHLIFFTFFRDSAKVHRNVSHKELRKISTGRLETIGRQPVPYLAVCKDKVILSIWAAAIGGNMSLMALMTYGPTYLNKVLGLDVRETGFLNAIPYILATAVKFVSGPLSDNMTFIPETWRMIFFAAISQLGLAIGFFVMALTDSRLVAQIAYTTAIVLAGINIVGVVKCAQMVARQHVHFVMAVISLSAWGAIFVLPIIIGFVCPNHTASEWAVFYIAVGIFVIAMNIPFPFFATMEAADYTKPEWEQKKNVVAPEQRI >CRE26242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1370218:1372366:1 gene:WBGene00054135 transcript:CRE26242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26242 MVKSEDKRWTFGNRTRFVVLFLGICSIICNHGAYTTISFTVICMHDVIEEHVALNQTHWLQNSADVSFVFSAGAIGAIIGLIPSVPLTSKYGIRNVLAFYSFSSSIATLLVPLTVSIGYYPVVAARLIQVFITNEFKSVKHFQGFGASILFSSIGSISEGWSPISEISTYIAFLSAGFQLSNIITMPLSGILCESSLGWRSIYYIFGGIACVVTAAFYAFFRDTAQVHRNVSTKEMQKIGAGKSETSGRRKVPYVAVCKNKVVLAIWASALGGNMSLMTLMIYGPTYLNKVLGLDVKDTGFANAIPYILATAVKFTAGPLSDKLTSVPDTYKMIFFAAVAQGGMACGFFVMALTRVKLIAQIAYTAAIVLAGVNMIGVVKCAQMVSRQYIHFVMAVNSLISWIAIFILPMIIGYLCPNHTPEEWSVFYIAGGIWVIVMNIPFPFLATTEAADFTKPGFGEKKIRDVEKI >CRE26513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1361765:1368462:-1 gene:WBGene00054137 transcript:CRE26513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26513 MFRDLGRVHIVSFAVGIVGLVIGIVGIVLAIVFGTSQTTCATPVPPTACPVVTTQQCNTNLFAIRTTVNDWPWSEDLRNPNSDAYKMAVQNMTNTLTASLNTGLNSNQVSAIFNVLAVSGPTSNNVKIEINQFADGGNGLAIAIGNGAISAAVPLAAMPNATSVQTVITQQPNTYKDVDVSPQVCNTTCTPTVCPTYTTPTPPDCPICSTPTPSDCPVCTTLAPVTCSTPTVQTTPTTVPLTTTPTPVVTTTTTSKLTSGPTPQGTTTPQATTTPTATQTAASVQTSAGTQTTPTSAATTVTTQGGSTSGPVITTNGASTSTAPGTITTNTPAVPSSSASTVTNSVTSTTQGAPSTSITPLVTSASTQGSPTTGTPQVTSTSTHGAPSTSITPPVTTASTQGSPTTGTPQETTTTMGVPRTSATIPTTVGIISSTIPSTHNPATSTPIPSQAPTTTQNLSTSSVITKTTQISPSSSLTSLPTTTNVLSSSTQMKTTTKFLSTSSPGPSSSVPTTTQNLSTSSLITKTTKNSQSSFPTSTPTTTQFLSSSSPGFSSSVPTSTQQISTSSGPSISSTAYNSQTSSPNISTSTTSIPSTSTVPLTSSSSPGTSTAMPTIAPGQCYYQSNVAVAFETSSTTDEIDLQIQNFITNNLFFYSGAPYILGNKNDKKTELSLVPYPNEDQLIPYMAYGEASTATEINTLMGLFQQFAQGTSSIADAFNIIPKNSRIGPPGFVILVANLADSVQNAIASATSLKNLGFKIITVAYKSSGSFKDLSSDPNYNFQIHQDADQSGVAMAIGTILNSSYCLSSYSSSSAPQSSSLATIATSTTVGAPSSSAGTPSPSPMISSTVTIVPVQSSSASPVPSSSLGTSTIGSQSSTVTLPTIPTASSSSPPLSSTATVPIGSSTSMFRSSSTVSYTTTNGPTPTTCVCTTVEAGSTTPGYSTSTYATSMGSSSYSTAMTPGGTSSTSQQTQQSSTQGGLTSSPSQQSTTQGGSTASPSQQSSTMGGSTSPSQQSSTIQGSTSPSQQTSTQSYSSSTYSASTGGSTPSVPTGSSTSSPSVTSSGTSSGTVPSTVPTSSPVPSPTTSQICPNQQTVFNGQVGVIYEMVPASTQQDTINNFVENILLNSNFYGLAVDNLTNQNRTLVTAIPYPSTSSYNVQGYGSARSVNEFKNQVIAFNGAVQPRKGDISDALLYVLSNLPAGDVKSSLIIVGNSKTLIGASALTLAETLQENFEIYTVAVGDNAANLSTLSSGNGYSFIGNTQQIANQIGQKMATSNPAIYCPPPVPSTSAPSTSTIITSSTSPKITTMTSTTRLTTVRPTVGPCQCTGRWVYNGDLAIAFEYLKGSDGSQNVTNFVRNTLLSNPDSYGLSSDINGNQPSNLTIVPYPDSSTYPIFPYGSIHNPSDIGTFIDAFSGIQTTRTDPSISDALDLIDTFKVDTGVAKVALIVGSNGIDVTASTASAMKLKAAGYTVITVAQTASADVFRPLASGNQYALHIGDGNDNDIATQIANLLLDISFVCFDDGSSTPSPITRCPGSPGTTQPGVTGTTTVVPIVSTSTSGSTATQGSSTVPGSLSTSSSGSSTTQSPGSSSTVTSGSSTASSTVAQRSSTAQITGSSSSVASTVSQGSTSVTSVGSSTVTNSGSSTTQASTVSSGSSTTGSSTAVNTGSTTAGPSTAANTGSTTVASSTAMNTGSSTTGPSTDANTGSTTAGSSTTPASTVSSESTSTGYSTAASTGSTTSGPSTVENTGSTTSGGSTVTQGASTAGNTGSTSSPVQSSSVPSTTTQNILSSTSAIPSCAAPYTGRIVTVFELNAVQPELQEVVTFVSSNLYNSANYDFSGITQAINIPYGDTDNYGTDIQNFGDSKSLTDLQSNIDTLFTNAVLSTNPSVSDGLAWLRINREPPAGGSKAVIIVVGYHADDNFGLTSNYINQLHAQGYKFISVAVGANHENLSPIADKPEWYFQVGDSNGQSVADQISSILCNL >CRE26512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1359053:1361495:-1 gene:WBGene00054138 transcript:CRE26512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ift-74 description:CRE-IFT-74 protein [Source:UniProtKB/TrEMBL;Acc:E3LQY5] MERPSTASSRPRTSTGRAPSARARPPSAMRAPPPAAPSESRPMTGMSIRNGGPAASIPVPPSRSGMIPVPPSRSGGPPAPMPMSRAGGPPRAPSSMGGRPTTGMARPPTTGLRPVTQQGLRAPPSRMGTGNARQVFDKSYFIGVLRAKQNAIKVEISRMKERRDKGMRDRNELHAYESRASAQAQEITELQGKLLDLNKIMEKIHLNGDMSDIELEASKMKEQADEMKSLTEEVFNERSEKEEELHRLEIEVEEQKKLNEAVTHAMDPQMKEKYEDLKSEAKILRERVLEMEAKNEDLDDRISKYEIEIRSNPLKKRAIALQENLDTLKKQEETIMEDMQNALSPEAWKEKIIESMKQLNADLVVIEKQHKTLKDQISLASEELHEYDSQGEAQIMAHHTKYLELLSKSTMLDEDPETFPSQVLLLQQDIEEFSNAIVLNLRRISANLKKVNLGDQITDLDERGLNLKSGNVEELKEMHVRLQEELISTEDMEMALNEEIDNMETEAKRIEEELDGLEKNIGSDDLRQELEEKKRALEDEAPALSDEMRQLEANVANIRNELHSVPGYAQHKVLRDKLNAVEKRVSAKTLDLNLRRTEIEFESIKTEALRLQEEYNALLLANPLQRFN >CRE26511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1350378:1357668:-1 gene:WBGene00054139 transcript:CRE26511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26511 MSSVSSAEPTAQQNFNPSWMRPNAAANRGGLISSGGHRLSGIGGGGFDDGGEDISSSSAIAATAAAILSSPVVSEYSYTRERLLELAPTGSIMPEALRDQLFFNEKNLPLVSNTPLTDLEQKLQHNINSSKAMSLLSHADRASIAAGAAYGSGYGGGLSSLQNGQPATNRWTPKSSWSKSPLDRPVAATARTGGSVGRAAGTFFAGRGAARIGNENGYGGLANGGSSPVSTEDTTGAYQPKFTALRRGGGAVSVGRGGSTTGASFNTRADALYNPNDPTDRPKAVNVAATRSESDDEEEEGWSKVGATPRTSTNTAPSSSDRQERPAWVRSESWIQRTQQQQQQQQQQSSQPSSQPPISSWNSGSDSAVWRDRNQMVASVKKTSADDSSSPQTSHQQQLSSQMSVPSREEMESAEVSHLSMPTYQPNSSTWSNNPMGGGMGVFFQPPIASAPVPLSVPKEEPVEFYYMDPTDTRRGPFHKDQMTMWFKAGYFTDESLRVQRGENGEYKTIGDLKKLHGLATPFDYPEEIEKPRVVAPPPTSVSSIPAYPSNSNPMFPAATYSGMNMWPNLQPNDMMSMMQSTFEQTILAERQRLAEEHNRRMQEEAEKMAKFQEAMYRQLSIQQELSQQQIREQEMALQRHRDELIKQEEQLKRETQARQESIEKTARELANRIEEEQRKKREEEEQRRLLDENQRREVEAKLAAERRIAAEAAEKERRRQEALYQEAEKKRLEIEQRERAEELRKLAEKERIAREAAAVREQAELEAVWTSKKVPVPAPWAANTEVQQKSEKTLLEIQLEEERKLKAEKEKNAKLKAKEQSVIVPSSTASTEKSSSLWGATKTWAAPETNTSSKSFVSPFLDGPSLEAANKMALQKKNSQSKIVVSKPASPAATKSKAAPVAAPPQSTQKVKKSKEQLAADELQHWFVKRFQQHSVDIDALTLFECILPLENPNEVEDIVMSYLDESKTVKEFVREFIKRRIAMRAAGGARPDADDLTSARTAAAAPSDSNSGSNSTSGNGQGKKKKKTQKQVLDGNILGFRGAAASDRLNKGEIDAIPTAPVNPSRR >CRE26241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1347533:1349525:1 gene:WBGene00054140 transcript:CRE26241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26241 MSFDYKQTDFEIENLGKKQERSWMCWGKTRFLILLIGLVCITCTNANMILMNFTVICMNDVISEQKTYTNGTHWLEKSSDISLTFSAAAVGAIFGTIPAVTFIAKYGIRRVLTVYGLLSAAGTILMPLAVNYGLIAVLIARLFQGIGASVLYSSIGTISESWSPITEIGTFVAFLSSAFQVFLIISVIVHLRNFQISNIVTMPTAGFLCESALGWRSIYYIFGWITVVFYLVFFWYYNDAPDKHKNVSSNELKKIRDGKIITSSTSKTVQNVKFIQVFTNWEVFEITLAIIGGSTSVICLNNYGPIYLNKVLGLNIRETGYSNAIPYFFAAIVKFAAGPITDKLAHISERIRLIIFALVPQITMAIGFLIMSFTTHVIVAQVAYTFSVVLAGLNVIGMVKCAQIVAEKHLTLIMAVISMSSWVSAFILPIVIGVICPTNSHSEWSRFYLFVAIFVILTNLPFPFLARVSGRKRVSPETKF >CRE26240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1340475:1345845:1 gene:WBGene00054141 transcript:CRE26240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26240 MWFICVYSTSKINIMFTENAHECPRMNPTVQMKSMGAIFLAGGIKKILDDRDIKRKENLQLKKACECVLEDLKAEEEHKTEASSSSFEADHYFLSLELACNSKSPEIVVSSLACIQKLIAYGYLTGNGVDTSNPGKKLIDRIVTAICAPIVAHGANETVLLNSNKAILEVVLSSHCEVHGESLIHAVRTCFNMYLTSKNKMNQATAEVTMKRVINTVIEKLKQFGDVKDDETIVREVVEMLVTSTDEMELQPDGRAGIHKGNRTKNNEKEDPLSFQNVYQEDVFLVFQELCILSQIEENETTNEISLRFKLLIMEILLGVLQTHSVVLQSSQPCITVMKRVLCIALTQNATLNPNIQVFERSCDVFVELLDKFKAHLKASIEVFFKDIILPILVLDAYSFEQKMIVMKTIEKILTNPQSVVDMYVNYDLGLTSGNLFKLIVEEISKTTVLTANDYTPYAQKVKEREMRLLGLSCLSNILQCLADWWQVCEVQKITDDLDEATNQNKIEKTTVQTFEALKQQKNLLEQGIQIFAEKPKKGLKFLQDNGFVGESAIDVADFMMKEERLDKTQVGDYLGDIDDFNISVMNAYIDILDFSSIDILAALRLFLEKFRLPGEAQKIDRLMMKFASRYIDCNPNQEIFASASAAYVLAYSIILLTTDLHNKTIKNKITKEGYFSMNRGVNDGANFPEELLVSIFNDISKNEIKMKAGATALLRSRVTPGQGALATYEERKKMAALEMEAMSQTARALMESASDTHSHFTPAQHQHHVNPMFEMCWAPCLVAFSMGVQLSDDEEEWSLCLKGLRVGTRAACVLQERNGTEEKEQKERNKRKEAFIKALVGFTLLAAPGAKQAPLLKKNTDVIHTLLLIGKEDGEYLDESWIDVMRCMSSLDFMQLIGGKLPDIPMNEATIQSFQEAFSYTFSQSVVVPIDRIFTGSSRLSSEAIIHFVHALCEVSREELAYPEAPRMFLLGKVVEVAFYNMNRIRFEWGRIWNVIGEHFNAAGCSSDESVACYSIDALRQLSIKFLEKGELPNFRFQKEFLRPFEVMMRNNQNAEVRNLVVQCCTYLVKAHSSCLRSGWQNIFSVMTISSGDESMEVVKSAFQTTSYIVEHRFKHDFLWILESFQDVLKCLEEFACNPNLPGKNTEAIRLIGLCAASVSENSHKMNEESHSDSQLYKGLTADQHIWLRGWLPIFLKLSSILNESKSDVRKQSLNVLFEIMEKYGSEFKDEWWKDLFDIIFRIFDPSKIENHNSDKQEWISTTCNHAMPKVVNVFTKFFTQLSTELLPIIYKQFSVFIQQRMSFQFLTSSIIIINSENEQIALCTISCFETLISKNGEKFTESMWDQTIELIRDLCSTPTTDSPLPLEFYVTRYELVDSISRIVLGDTRESLQHQKSEGLFLHISPQSLLKICDVLAESYKMAKLENPNKPNLIKLETRSLSTMLSITVRLLYDLRAKDISEEVFKRVLEVVSLSFKGYGSAKLDETRGAYGDVVCELLSQCNSLPNEMISLLGSEFPSKLCDLVKTVKGRRMRDLLSDSLRKLTHTKNTN >CRE26510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1338019:1339290:-1 gene:WBGene00054142 transcript:CRE26510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26510 MRFIYLFLTILPISSYSFIVGGCIGPKSVLERFLNDKQKTELRKIVHNKFDGSNSEQVLEESNRYVYGHVTEEQWHSIVPELAEYQAKKHECSVYAQLLPKQLYQQLLKSVLRASEMGASKYDVKRLVDDYVDRLAKNGVLPDVMDKAPTLPRVTLPESEIASQSYRKYPKKPKTMGKGNMNNGNMNRNGMGPVHPTLIKFPEQQRKTTNNREKNPRNNYGNIGNGPVMNRNKNTGRQSGHPDNMGLPMFPIARPTPYDLSEFMEIGGNNGRSSHRTPQNFRRYPEHECDYYAGPCATSF >CRE26239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1334119:1337003:1 gene:WBGene00054143 transcript:CRE26239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26239 MKFLIYRRWVYNGDLAIAFEFLSGSGGSQNVTNFVRNTLLSNPDSYGLSSDVNGNQPSKLTIVPYPDSDSYPIYPYGGIQSPSSIGSLIDAYSGIQTTRQDPSISDALHLIDTLKVDSGVAKVALIVGSDGSDVAASTASATTLKTAGYTVITVAQTASADVFRPLASGNQYALHIGDGNDNNIATQIANLLLDISFVCFDDGSSTPSPITRCPGSPGTTQPGVTASTPVGPSCATPYTGRIVTVFELNAVQPELQDVVTFVSSNLYNSANYDFTGITQAINVPYGNTDNSAQYIQNFGDSKSLTDLQSNIDTLFTNAILTPSSSVSDGLAWLRINREPPAAGSNAVIIVVGYHEDDNFGLTSNYINQLHAQGYKFISVAVGANHGNLSAIADKPEWYFQVGDSNGQSVADQISSILCSCNPSTSTVPLASPGTSTAMPTIAPGQCYYQSNVAVAFETSSTTNEIDLQIQNFITNNLFFYSGAPYILGNKTDTKTELSLVPYPNEDQLIPYMTYGEASTATEINTLMSLFQQLAQGPSSIADAFNIIPKNSRIGPPGFVILVANSADSVQNAIASATNLKNLGFKIITVAYKSSGSFKDLSSDPNYNFQIRQDADQSAVAMAIGTILNATYCLQFLLASASVH >CRE26509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1326684:1332317:-1 gene:WBGene00054144 transcript:CRE26509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26509 MDVSMLGLSLGAGREQAPGCPYMRKVEVVPYENLDELGSDWSEYTFFCGDFTSDLFHTLTNAQDSDASVLIVGPAVLKHKLQTAQDFMLLRPCRPLYCELMKNVTMKLSTEVLNKRALVDRVHFMGGSVRKDTVQRTNVFIAAKVEGKVQSMTLVGVPTLRADWITECWKNRDDPFFDVFEQDFIDKHSLGVFEGLRLYFHGFKKDEIDDMKINFNNTEGTLVDDPSIATHVVYNTINDDIEPLPVAKNQVHVTQEWFWVSLHRGCCALEDNFALPTGSLLRKSLDYRDINSPLGESLRSQNSRSLSSTQDCIGEGPSSNMTPDNVYSNDDEENICKSPRQPSKRLRVCMEMVETEKNYVDLLKLVVKFKQELETEISQNEFMRRTDVAMIFGRFDPILELHEKIYERLTTVLNEANNLLSAANGSKNDDRNLDFSQVWIDAKEEMKRAYPQYLNSFDNIRGLFDKHDKENSKFHTFCKAKESSPEFHRLKLADLMIKPVQRLPSVVLLLNEMAKKSDSKLKSTAELASKAIDEVLKTANKILEKNDNLICHFSKFTDIESIPPILVAANRMFICELVVSSIASTSSRLSQFPKMKLFLFHDVLVITKVRSEKNAMQRFARHASFVSLQAKKRRPYKYIDHIQLITMRSAFRIRAPDDVFKFLEARSHVHDIFVWCLIHRDEQGGDTETVFESTDSKEVQVFLDDIHLKIMINCGRDFFLPDPFEVNDLNDAQVADLTNRFFRRLCGSKVQNGHGSQMSIAELSHNETVMGPPKPQSRMRRALSNAQITITSTLGFGKNRNNFTRINENASFMTSPRVSCDPAALANVTSIGMPGENSDSTPKRGHRYFSAPLTSATFNRTGAHGRSRQTYVLSHSEQEPPRS >CRE26237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1323557:1326121:1 gene:WBGene00054145 transcript:CRE26237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpy-1 description:CRE-RPY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQX7] MGVFVRRDNINLITNHRRSMGQRQAKQHMQAGVKLYHQRHYAQAINKWRQSLNRLNNAEDRFITLGYLAQALCDQGEYEGMLSYALSQMQLATDQNDSAMKCEAFLNLAKAYERLADFTKALQYGKASLEHPSMDPRTPGYAHLTIALAHLGMSQFQQCLESFESAMNVANETSDRLLELQICVGLGSLFTLLRDITKALIFLRNALAIVQSVTVDDVHAKYRCLILYHLSVALRMKGSLVDAKEACDEASQLAVEMGNRAIHARCMCSLADIYRELGESEAKETITKSWARYEDAYRVMRGANDKMGEVLVLSSMAKSASESRSHYTGQCECQAIQLNKKCIEIANQIGCKHVVLKCHLRLAELYSQLNDDDSEETARRAASRLTQEMQLFCNFCGQRYGLKDESLQALRCSHIFHEKCLHTYLLQRTDQNCPKCRCRAVLSDNISIRSSIASTADVQSPSTSFAPPGGVATPLVSAAAELGDITPQATLTRRPQQDKILRGAEKDIDRVDRSLARLKSQQTTANSCAAIAVPSPEVPSTTTSGASEPVASTSNSLPLNQTTANHKPPPPPRKPCCSQAALPPSSIVALPPAMPLEEGGPLVITHTPTVTDV >CRE26236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1317529:1318745:1 gene:WBGene00054146 transcript:CRE26236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-10 description:CRE-DPY-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LQX6] MKNNGREDCRTFSLTTNYSRQMIYRCVTGLQIGFSLFSFIIICVALPIMYNHVQNTITYVDREMAYCERSNDEAALELQYGKMRLSGNRTARGAYGSGASHGFRPTAYGDEITGSPLETECPGCCIPGPPGPRGPSGTPGKPGLPGLAGKPGMPGTTPNQTCPLNQVREPPPCRPCPKGPPGIKGWPGFPGDVGPPGPPGLKGIDGEDGAPGETGPVGPPGYRGGPGAPGDKGPTPEGDLKEGPPGDEGPPGPIGAPGMPGLPGRNGLTGSQGERGWPGVSGESGEPGYPGPEGPMGGQGPPGEPGVCVCQNVDSILLINPGPQPRIRADDYNNDEGYGGSRGGGDRAGYQGYGRK >CRE26508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1313941:1315409:-1 gene:WBGene00054147 transcript:CRE26508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-2 description:CRE-DPY-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LQX4] MLFSFLFKFHSFFYVHFLFPFLSISITVQTCRFSAMKSQTSGYVDPQTEDLDESREKSYQILIAVSGVLSITSLLILVLFVPSMYNYVDNISRFSRRDFEFCQTSADDLETEMMSVREGLLKGRNVTRRAAGYGHYNPSMLAADSPQFQECPACCIPGERGPSGDPGLPALPGAPGPDGAPGRPGTTPNASCIPERVFEPPPCLPCPQGPRGVPGHPGFPGDSGEPGIGGRPGSDGMPGKPGEPGLAGPVGPPGESGPLGDKGRTPEAHVIPGPPGDSGPPGPWGPPGSSGMPGEDGYAGTPGEKGWPGPPGAPGPGGMPGPLGPTGEQGPSGTPGTCVCQDTEVVMNDEKGRIPAPRDNVSFHFDIANSIFSFLQVAPGATGGSYEPQGGQDAAAPSAISQSQNDGYGTGNSFSEHKNNGGYYHLRKFTQ >CRE26507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1312386:1313096:-1 gene:WBGene00054148 transcript:CRE26507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26507 MALPRILEPEEEGIVSPFELKVRHLLSLTFRFILAVFMASHLFDFMFSSIWMHGYVWTLNLPIDLDMTDKPAGALVRHQLDNMGNYERTIQAVLIVMAVVMLLLAQGFTAIPGKTTWQLFRTSSIVGLICGFVRPVQLQQRFFSSLHEGFYCYFLFFIIAFILTIRFDEKLPKATPEVKDSSNESEKKTN >CRE26234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1310171:1312273:1 gene:WBGene00054149 transcript:CRE26234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpb-2 description:Cytoplasmic polyadenylation element-binding protein 2 [Source:UniProtKB/Swiss-Prot;Acc:Q6E3F2] MSKSRRLFLSMQGDDDFWGNGDRLEEKKLPSIKQEKSKVMDDEDLEDRYYFKQNKLGRQQSESRHENEENKVSQEEKWNLRVPLEESILIKKEEPVPEMEESETIIASEEEQLLETSPSVDTNEEFYNNYRNYFQRRPEVIFLIRSMDENAVENYDKEFIDLYEKTRKSLEVCPNPELNQILRCECSSNTSTANSDNRLPNNHAVVVSNFREPDRRLGRYSKYYYHHNVGPEVYSRKVFVGGLPGCVKESDILNFFSRYGRLQVDWPSKHFGCKSDSDPSVCGDATSSFQQTSHLAMSSPPFGQINPFMSDHSTTSSETQNFGMNRNGNGGGVITHGMVRMMNAARNAGFGGGEPRSVGGESSEEKKQHHLGYVFLLFEKERSVRELVSDCFEEEEGLFITLESSIEPIRVQIRPWLLADAEFLMDFNVPINTKLVAFIGGVPRPLKAVELAHFFEQTYGNVVCVGIDIDNKFKYPRGSGRVAFSNYDAYVQAITDRYIVLDHEDIHKRVEIKPYFFHNQSCEECSSRYNRQYAPFFCPSLECFQYYCEPCWHKMHSHPSRFHHMPVVKGI >CRE26506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1308317:1309753:-1 gene:WBGene00054150 transcript:CRE26506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-10 description:CRE-TSP-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LQX1] MCLMSRFNYLNSTCFTYHLSFFLLQLLVISFILGLINFFVFSRTEKSDRMQLNNQDKVFLGASLATAVIGIALIVIGFVFRFGGGFGTFVTYAQQDNDFLELKRLDMIFGLFVAAAGVLILSFIVAAISVLKHNTFLLKVYCAMIALMIVVQLVDGLLAFTYSDQINQLASDDIMYESLNKTAKISRPPIGTLSNDAETQFWANTQNTFGCCGVYSSADWPAAWGVAGSTADLEALHCYQRHYNDGCEKVIRNRISSDAQYLGAASMGVLVVEIIASFLAGYRAYTLAHPEFEDK >CRE26233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1304897:1308053:1 gene:WBGene00054151 transcript:CRE26233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26233 MDGETALLDEYNSNLASSSSASDVGERTNNGRNHHMTTEFNEATNGFPSCSRYPYEMTQANGHVTVTQPGSSQPDRLETAVDINDGDTDIEEELSHSCKQVIVPSKSQVLFYVSTSTHLRLNHQGSELQVTDPSDFPLLDIWSENVCDEAPFWIIESYGRRVAVVTDTAPRSLLSFFIPFLRPRRTVPTFSIIDWNGEVLGYYALGSDGIEVQDTRHEPIAKAFCEPDPSGGSTWRVVCEKNSQRQLAILTPDGRLSFSSEIALPLKLLLICTLSRAIVNRTTSSCFPFFR >CRE26505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1298027:1299635:-1 gene:WBGene00054152 transcript:CRE26505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26505 MEERRREWSIVEGRKGEWKGMSSSSCCSKNKRKRWRRREKRSRSQFRVFIPMNQLISQLSQLFARIPFDGWVGERRGKKALEETVSFVYFGEPLLTNCDAFCYFFFFCIGASARDHLKFDTTIICNVEENDTYNLTIGWYEVDFFGADNMIDPVHYTPNTGNFSFTLSGIQQGDEAFSEGYKPRAVIHHNCFDNEEKHRLTLTVDRICEMEQSVPTDCYPKEKSFFSDCHYRIINNITNKKGDFNIKPANFTYDDYSPFPDFTSDIH >CRE26232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1296215:1297941:1 gene:WBGene00054153 transcript:CRE26232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26232 MCSKFSRTFFLLLTMLVCTMVIFSMAPRMTMSFWSSTKNNILWRRKEAEHLDCGRVLRNDKKYIENFTGDNRISIVTNLKYLDMSCDAIQNRIIPFNFHLRPLKVGVVFARVVYKDYEFLEKQVQMSYHPQNIFCFFIDSKSDSDFKWRMRRLGRCLPNIHVMDGMLENRRRRISVRSISEELPIDSAGHNMNMAHYKCMEKMVKIPNWGYFVLMQNHDVIGKTVYEISKIFDILDGANDIDIDKEFGRIEERFEWDLKTLRLFRDESMYTSSFLNNSLRISKGSVQGSLSREAVEWMVKTVNPRVYLDQWNKGKYGVDEQWISTFQANEFLEMPGHFTDQCLKETGNKTDFITRWSKWSWSDEMGEKCGSKYVRHGVCIMGIEELPVIARMPNIMFNKMMPLFDYSIVECTAELIYNRTFLGQEDHPLEEDYYASMINVYYHKHHLETDYVLNCTPSYELWRFKKYPL >CRE26504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1294230:1295257:-1 gene:WBGene00054154 transcript:CRE26504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26504 MRTFILLLCFLKASFTGRLQIILPNNLPPDSESSSLDSVSLLELNKHILGVESSARGNFELLTCFAYFECSGNRPLANEDLFEKPKALIIISITGLDNFNYDGRVYNFTDEFDSQQIHESLKRNFGEEMINANVNSSGIFGSSHFKRNTVMDEQSLENQDIDNMNKLAEVIRGKDRKNANVVDYYRIHLDASNARNEKEKERLEQKMKYGIDSLQKAIDQSYGLNVITEIYTHDKTTKTETDKLKEIWKKFQITGFRNTEYPAIFAISALVCFCILFGVVAMLWFMFDESDMGRNSLMYPSAARQKKD >CRE26503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1293480:1293994:-1 gene:WBGene00054155 transcript:CRE26503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26503 MLKSASSLAKRLLLNSQSFRLCSSTSSSPVPAVVHSEDEPVVLESNPYTKEPRKCLLCSTGVELDYKNSRLLQVYLKRFCQSSIKSFQQFVSTFSGRVYDRHITGLCDEQQKKLIEAIAKSRRAGFMPIFVKDPKYARDPKLFDPLKPIRPHSFA >CRE26502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1289097:1293305:-1 gene:WBGene00054156 transcript:CRE26502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26502 MSEPKGEEELTESQIRADWNHRAENVDGDEQVADFLAHIRIVDQSTFQIVLPTLCIVASKPITEPREKDLEAICADVLLDAESTRPSRRAVLACLKEFRFGSAWSDFFTLIEALEEPQFHIIRPVLPRFDALLSAVRENKIEFLWLKTALFRAISHTNGWIRVWTIEKSVAIDCDILKQNHQFITDVVIPSLNNNDVFWRQLEKSKIQEFLLQLGSTVDTIKSTPGFLHNLLKSVESLSCPTAIFLVVSTFTRIKREEILNDDDVALMRRVVLRARYIPHRSIRITTIRNLVIFYANVAHLTPIILEELSNLIGFISQDATTSMIDSIFQQIHQIIISTGYQSSEDHCASLFENKFGEDVKNLELHAKMWWISMGTDQSLQEKLFSKIQLEVSELLGEKTSRDLTTQLFLLKERPSNLKCNNDVLECMKNDLGEYILSKVVSNEGSKREFQLLQSLYIPLFLKYCCHLVTPFAIAVIKVLTEIKECETTAFLLTFFEAIVENVSEDHLKILGANFFEYLGGSDVIGMKRQKKSVDEYDSKEFNSIVATLHSLRIKLLNKFITEVDVNSFLSECIEQLDIASAFPVKQQICHLISRFIRKCSDHKLAMQCIRACSNIVNEEKKSLNSLPALECFVNVTLSGPQNNPEVCRESIEYIESQLSIACQSTPVALILVDAITKYRTNLNISWAPIIVKLALFGPVPKKESRVLSYAYSKIFDEEDTLLENDQIERLDEVVQKARFKAVLLSLKLSSEDCKTWPAALIEEIFNASAILDQSSSRSFGLSMAHRQKTRGVELLHLLAARIEDETLASKIFEFCISCVVDPCQQFSIKLIVEWTLARLCIKFEKPFEKLIDSDFEKNMASQRIGSMCSWLNVLMLISRAAPRLVDSCLDKVIVWCTAQNFPVRCTALAAARLMFSTFDKDHRKKWRLVKSIVNFDGEPSGNSKRVIENLCTDFYFAKLHINDHFDFQTILSIVASRTGMPPEETISEKIISQLNTDPNLVKSTNEDVEFLSAPSEVYSALSKNTSCAPTMEHDNAVDDDELFVSSEDPIEEVVTSSFQRKIVKDSEVKDDGVSLIVVASLVDKPNNLGGICRTSEIFGVDTLVVADVLVAQDSNFKALSMSSENWQKIEGVKPANLLEYLQGLRSQGYTVIAAEQTTDSVMMHNFVFPKKAVIVMGDEKEGVPVNLLRAVDQTVEIKQVGHTRSLNVHVTAALMIAKFAEQVRFAKN >CRE26501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1287757:1288797:-1 gene:WBGene00054157 transcript:CRE26501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exos-8 description:CRE-EXOS-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LQW4] MSSEVLRGIDPRGYVSTFIREGVYPDGRGLLDEQKLVFKQGECGGVGSSVVSTQGVTVSCTIEASVSLVSDATLVDIQIESSQQLAEKDAEDYNNLLLSLFTNEHFIRRENLRCLDTSEKTLPLEWQLHVTLKVLSLEGSLLDAAVCAIGAALADTKLPSIALDHADTDESAIEKTQIQADYRTVHKLSLEEPLMCCSFGIFVDSGAKKNDEILLLTPTLEVIAVCRSTCSVVVGKNDLMVLIRERGRLSQFSLIKKMCMITAERRRKFVESLRSVV >CRE26500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1285761:1287589:-1 gene:WBGene00054158 transcript:CRE26500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26500 MRYFGSYNRNKGLFNEGKDIMMYQQARDEEIERRKAAKLEVDSDTHVGLWLLCHPDNSVAVAMFNTMHRLAEDLVLEVTHDDIISWLGTAIRCHPSNLVPRGEAMTIREKQTLSADPRGSDWNVGVGITQTCLLMQYLASCSLNKISVDERANVLFALLRIFSDSNEIATSPHTRKFVRCIYSPNVRVELIDAFASIFFQLSTDVATMANAIRTMWKITEDEPLVMRLTVEILMCLCQRSEVVSNLPDFKLGDQMYCKQVKMIILDLTSDAHDQVPDVHQKDELSNLVNEVFNLKK >CRE26231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1284466:1284812:1 gene:WBGene00054159 transcript:CRE26231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-15 description:CRE-INS-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LQW2] MRFLLLISLIVLMSSTTAFTDNFFHPHDNKHHVYRTCGESLNRRVAFLCNGGKIQTEVLKALDCCSHGCTDKQLFSWCDYQL >CRE26230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1283757:1284056:1 gene:WBGene00054160 transcript:CRE26230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-14 description:CRE-INS-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LQW1] MLLNLKLVVLSFLIVINSVDSESINCEAKFISKITKLCRHGISEEKVIHLLSKCCSAHCSKAHLKMFCTMKPHKFERHGNHTE >CRE26499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1281155:1282786:-1 gene:WBGene00054161 transcript:CRE26499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26499 MGTVCKDNSENQVTEAVELRTGYRILDYKVVRFIARGAFGAVYQVIFSEEFLSFMKKTLLPLRSPYFCRLFFCGKTEKFNFIIMTLVGKNLNELRSNCPKQRFSRSSGLQIGIQMVDVVQQLHSVGFIHRDIKPANFCMSLENPRQLVMVDFGMCRKYVNDGGELRHPRWYVTGLKGTVRYAPLAAHHGRDSARKDDLESLFYVLVELLVGTLPWMTMDDPVHVEHSKQVARTVGLRDFLSGCSKQLVHILLYIDNLRFYDAPDYAMIRGLLHSALESYPHDTAFEWEEEMRSERRKSEYLRSKEEVELEDG >CRE26229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1280174:1281012:1 gene:WBGene00054162 transcript:CRE26229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26229 MMFQLLVIATAIGVSIQQNLGCNSAPLPDLNGSCPPGTTIIPGQGCCPNGDLFTITTTTTTTAAAVTTTRTGVTTTTCVDLTNPSTGTSDCTRMAGYCFNSVYLTLMRQQCPRTCGYCTATATTTATSTTCVDLTNPSTGTSDCARMSGYCFNSAYLTLMRQQCPRTCGYCTGTVVTTTRTTTTCADLTNPSTGTSDCPARAGLCNNSVYLTLMRQQCPRTCGYCTVG >CRE26227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1277143:1278624:1 gene:WBGene00054163 transcript:CRE26227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26227 MEANKRFCLSKSIPEIVFAEKAVPLRIRYIDFGDLGFRVNKTTYSLSIYRDFHQGENILESFQRENNDRSLVDDLDQYGFRVPFNRTDVAPGEVVFDDINERNNHFMPFPPTFPWASEQGNERLRRFYERHLEIYQIALARRPEGEQGFPFPMMDIVFTDDELLAMRGPIIQELTPEALELKLAALNQMSTCSLKTFITKLRYCLQPFDCRQNNKALPFTPLIQLTIQSEDGQEKRIERYPYTFKLHEAMRKLCGKLFGERNSIVQVNNFSLNNINIVLRIPEGLNIRVRNLRLGEKRIKRLEALKNLIDESSYPLDSLIIHGSGYEVDHFGHSTLTSARQLILEGELPDDITDLLNLKNTAVCCKYSWSRDFSNEELLAFAENIVTTVFPIGTYRSFKIFQEERIKNLLNQVREKFNGRTKKSSASIAMGNGSKLRIFYKTDPRHQEHKKWLLIMKVVPA >CRE26226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1275216:1276693:1 gene:WBGene00054164 transcript:CRE26226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26226 MLSFSFLIYLAFLTVYSSQQSYGCTSTPQPDLNGACPDDFTYITDSGCCPDADIYTITTTAATTATTASETTASTCVDLTNPSTGVSDCPGMASYCTNAVYLSLMKQQCPKTCTSSSATTTASTSSSTCVDLTNPSTGVSDCPGMASYCTNSVYLTVMKTQCPKTCGYCTSSSATTTFTSSSSTCVDLVNSSTGVSDCPGMASYCTNSAYLTLMKQQCPKTCGYCTFSSSSSSSSSSSTCADLVNSSTGVSNCASMASYCTNSAYLTLMKQQCPKTCGYCT >CRE26225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1273049:1274637:1 gene:WBGene00054165 transcript:CRE26225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26225 MQPITVVVLAVLCSVSWACVDLTNPNTGTSDCPARVSLCNNSIYLPLMRTQCPKTCGFCSSSSTIGTPESEPQSTEKGNKIARSEPQSTEKGNKIARSEPQSTEKGNKIARSEPQSTEKGNKIARSEPKSTEKGNKIARSEPKSTEKGNKIARSEPKSTEKGNKIARSEPQSTEKGNKIARSEPQSTEKGNKIARSEPQSTEKGNKIARSEPKSTEKGNKIARSEPQSTEKGIKSRYRNPRVLKKGIKSRDRNPRVLKKGIKSRDRNPRVLKKGIKSRDRNPRVLKKGIKSRDRNPRVLKKGIKSRDRNPRVLKKGIKSRDRNPRVLKKGIKSRDRNPRVLKKGIKSRDRNPRVLKKGIKSRDRNSG >CRE26224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1271365:1272805:1 gene:WBGene00054167 transcript:CRE26224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-95 description:CRE-SRX-95 protein [Source:UniProtKB/TrEMBL;Acc:E3LQV4] MYFQFNSTIEIQPNERTWTAVLLLMISFVGGAINAYIASGFMFGIRFRGGFFIFSISKSFSNILICSLVFLWLVPAVFVKENLLSDYFNMILSQFLLFGLYIQGNLTQCFLSLNRFATICFVGSVKRKNSDLIALAVFTSWFSAIIWTLLGYPECTCFFSSESLTFRYADDCHVSNYQLQLYCAFVLMMISNMLNVISFLIICCGGAKSVSFSCRNASRRKQRNARMFIQCMVQSILFIIDVFLISSLNSGSFGDIIVALPSMAFFALFDGLVMLICNNDIQPACIASRNLQKKSSVISISASDFRM >CRE26498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1270111:1270944:-1 gene:WBGene00054168 transcript:CRE26498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fis-1 description:CRE-FIS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQV3] MEPESILDFRTENEDILAARARSVTRENQIQLAIVLVGSENPKEVEEGAAILEEIVKDTIHSEDSRVCVHYLALAHARLQNYDKSVRLLDALLRTEPSNMQATELRRVVEKKMKREGLLGLGLLGGAAALIGGIVIASFALKK >CRE26497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1266352:1269484:-1 gene:WBGene00054169 transcript:CRE26497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26497 MDRRRDPRYKQQEPAHHYDDRYNATYDNYDYEEDYGNQYANTVPHHHHPHPHAHPHHPEDHGYDDEEDHWGNQRDQHDFYDTVPATNLPPVKPMGPPKNLARVQISTSMAQMEPENVAIPQAPPPPRFGPTGGYNNNNDRKTPVSKYGNMATTDLKNRRETSDVHIRFDPAAKRDFPPKQTAFIDGFRNGDTSVPAGKKKETGGQTTNTIRSIINNLKSGGTEKQEDTIPRSRVSTNPFMRANQQQQQQQQHLHSQNHNTSRFEAKNTSKNVAEVVEKMNNGDWPIQIEDEESITPPLDPKRGHQQQSDKARQWETLHRKKDEKRSILEDIPHLTGTPPAPPLPVQQPPPSNQLTQKQQHPQIQKQQSREENDKMSDKSHEEEHTSVSTDSALCSESSEIRGPSPYKLPFDSQKPGELAEEAEKLLFYFKTHKEVLNYLGIGLTDRLWKHIQKLPNFETSIRVFKKQEELSASKPPPAPPINQIIATPSTVSSNVPPAPKITISQSFAPQDRKVCIYFFPLNMKGFNSRAPSDTLTIAVFPRLLLNEELSPEPNGFGNDESRFTKNLESSSGNNRGDRSNNGGRFVKKSAAALIAKKQGMQSEDPNSATEIIDPRIAEEIKNLRDREEELKRSRSELALVTSPPPSSYQMSPKKTSPGSNMRSAQSYDHLDNSIRSPIERSHSSHNVYHMKSAMNGGDSSPYHLGGAYGNANYGSMPRAQHRKEGSYHPQMHEHRTHDGGYRQSRNGEFFRQTPTASETTNAGWQKSTASFETKPSVAIHSGLQLPTANISISSYQQRSSDKYQNPKSMYGTKQHDAHRKIGL >CRE26222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1253660:1261544:1 gene:WBGene00054171 transcript:CRE26222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-agr-1 description:CRE-AGR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQU9] MRPTISFFFFLLSITVWSLDGSCLKPRPTRSYIHSLFENSDVIVAGIINGISYRVDSLYIEVLISVLICFNFNSIQNITVIPGRIYKGREYIEENKNIVISNILETEPCAHRLLASDVRIFALTNQQGSFLLDAPMIRVSLPIFDTLYTISRKQAHRKRRRTKQAICEASLCPFGSKCGLKTGVCECKAKCRVVTDVVCGSDHVSYSSFCHLSVRSCVLAKKGVRLRVAAKGPCKKRNPCEDLRCGPGEDCVVNQINGILLAQCVCPTQCPNYGDSVESSPVCSSHGVDYQSSCHLRHHACESKTNITVKFYGRCDPCHGHKCPNGQTCQLGIDRRPECRCSEQCSMNSAHVCGTDGKTYLNECFLKLAACKEQKDIIIWKRGNCDEVGSPCEKMECGFWGSCVVKPDRTAECECPSKCEDVMRPVCATNGETFDNECEMKRKSCETKAMIKVKHQGTCGIGVCATFNSCKKPQVCVAVDGKPKCVCPSCTDELKEVCGSDGKTYSNECKLRNSACLTQKDIFVKYNSVCEGCKDKKCDFYSTCVVGDNHKPECKCPDDCPLYSMGQGKEVCGTDAVTYSSECHLRKSACHQKKFIVMAFEGKCDECLHVQCRYGEECRGGVCICSYNCPANPPLSARICGENGVLYPSLCHLQLASCQKGAPISEMPPAHCHSAQTSFPGLSMLILEPRRPCVCSFGATCHNGVCTCSTCNLSSKYPICGSDGIVYENQCQLNTISCRDQREIHVLPLISQCRKRVENEISDACECNRVGSFGHNCDESGQCKCRPGVAGMKCDHCLPSFWGIHLIAQGALSCRPCGCSAFGSARSDCEQTTGKCECKNGALGDKCNLCPNGFMMAAEGCVPTTDYKTPRDCHSLRCFHGAKCVPSPASFPDCVCPQTCNMDHLGVVANMTVCGSDGTTYSNLCELKMFACKHQMDVVPVSMGICDDESFEVLDRLQREQNSEEKRLGSPCTQHEECEKVVFCKEKSKIKLKIFSYLLSVSLAQEENQFVIVEKDGRVIKDYVLRFQKKGVRIKDRSFKILTFLEYDQLELTTDLDSDWFVVRKDVRRFSKLSMHIHLKEKREGTLIRMMTEDKKDLEIVHENRRIVIKVGKTRVESLQTIAYNVSLELKWRRNEVHFKLNGEIQKNTFEDVLDSPVKKIFIATDGKWTKNRLNAIIGFLEVDDVPVRIDDVKPFYMPPEISRIVRFKENGYMKYENLNMDVREKTFVEISFRPYRTNGLLFYWSVPSDPHTDFIAFAMIDAKPHFVYELGSGLSYIRGEPIPLNSWHTVRIERFAKDVSMFVNETLVKKHTSQSKNAHLDIVKKDVLFVGFVPDGEISHKVRKMNVPFEGELQELKINELPINLISMIDVTTRK >CRE26496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1262039:1262760:-1 gene:WBGene00054172 transcript:CRE26496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26496 MRFSVLVALFALFAVSYGFLYEMEDSYPVAGELPKRSLENFWRNIHLQMPSGRGGQKRTESLSRASANAYYRLG >CRE26495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1252195:1252926:-1 gene:WBGene00054173 transcript:CRE26495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ssup-72 description:CRE-SSUP-72 protein [Source:UniProtKB/TrEMBL;Acc:E3LQU8] MEYPSKLRFAVSCSSNMNRSMEAHLILKKRGFNIESYGSGTQVKMPGPTIDKPNCYEFGPTTYDDIFADLTNKDKDLYRQNGLLFMVDRNRRIKTRPQRFQAETREFDVILCLEERVFDQVIDFLNRRVGTSGNPVHVINIDIEDNAEEATFGAFFLAELCTKLEKSHDFEEEIDQIITDLEEAQPKRNLLHTICFY >CRE26221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1250422:1251980:1 gene:WBGene00054174 transcript:CRE26221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26221 MIQVEASESHDDVVVLEGGIVVPAMKKYTPPLKDRNGSEYLHMNELFRGRFTVKGLIGRGGYGQIYHASDPVFPEDVVIKIEPVVRHGSTSKRMLLEQKILYRLQGRPHVPIMCASGHTDQLNFIVMQLLGPNIGDLKKRSPVKRLSPSTVSRIIIQGIAALRDVHSLGYIHRDVKPANMCFGITQNTRHVLKLVDYGLVRRYMNPDGTRRKPRSRPGFRGTLRYVSVRVHDRIDQSPADDFVSMAYSGVELLLVNLPWKLSSIEDLKNAKEEFQQPNSPFLQLTGAHYTLFCAAVFSLRSSDEPDHSALQALLLEMMGNKTMKDAYDWEENYRDACGSVSSDLKDFGEL >CRE26494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1248084:1250044:-1 gene:WBGene00054175 transcript:CRE26494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26494 MFRIPSLNGSDTILDLNCTLNVIHTAKFLRNQLHAQAAQDNKFGADMYAVTIIGAFASVIVLLMFRSIRPHQSVDEQVTLMMSTMKMRVEVDEYERRKIKMKEAKKKAQDWINNLKNRSMRSLSRSSKRSKSSMAETPPTHSDSTRSYKNENSLNVPFSMSQQAIQVEDETRSGASSTRQSVCSAPTPHLLEINLPVRCNSHLGFLPEIVVTEERPKLCLLLPPPTRRRKSVAVTSNIPIHKSVSCQQLTISRKSSMSSAHSVSPSLSEEIVFNFPDSGSATPNDSPPYDI >CRE26493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1242347:1246496:-1 gene:WBGene00054176 transcript:CRE26493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26493 MRSFHTLTGIILIAFVTMSIGAQIAMGASKGVCDPEHAFKCQDGRCIPMSWRCDGDIDCQNEEDEKNCPKVCGEKEHKCGDVTSVRSSLERFKCIPENWVCDGEFDCEDKSDEYQCKNVSCQENQFQCEELSGDYSLCIPQTWVCDGQRDCTNGKDEMNCTTKATKCPENNFQCANGHCIFKNWVCDGEEDCSDGSDESQSAPSNCNQTVNQCPPGEMWKCGSGECIPSRWRCDSEVDCKDHSDERNCTKIQHTCKLAEEFACKSSNKCINKAFVCDGELDCSDGSDEDECVGVNTECKKDEYTCPATYGAYGAESGHVICLPQSSRCNGEEECPDGGDEKNCNATTPATCKEGSEYTCPSTPLQCLEMTKLCSSARYDCGDGNMSVCGPTATSTSNFNPDQYIHFVKLILEMCKTGSEGCVCRPSYITNSTVCHCKDGYKLENKQCVDIIECETPGVCDQICLNTPGSYRCACHAGYQLSFGETKTGSRGVANRCRAMGGDPLVLLTNRHTIRQFDLVNKMHFPVSSKPGSAVAMDFHIKNGTLIWSDVLSKQILRCYIGNVSDAFLGTEMCDKKHEVILSGDKIHTPDGLAVDWVHDLLFWTDGGLDQINVLDMKTGKQRVLYSSDLEEPRAIAVDPEVGLIFWTDWGKKARIERSGMDGQHRVVIVEGDRVVWPNGLALDYVDKRVYWADAKIKSIFSCDYWGKNIKTVLHSHEYLRHPFSMAVFEDRLFYTDWEHDGVITVNKFTGADIQTVMEKVKSPMTVRIYHKQAQPKIQDKCENAACDHLCLPRAVYRESDRTEEKTWHDRPFSCACEGSTVSAAMECLADLDTKSGFSMFTIFLLLCVGGIAAGGFVMVRRRMGSRPFTSLNFDNPIYRRTTEEGDHQMEDPFRDPFAEPTNRTRNEGLPTLASADNEARGDTLSF >CRE26492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1238744:1240853:-1 gene:WBGene00054177 transcript:CRE26492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26492 MVFQSNRFYCLLLLSIIFFINLISAEVWSEIPLAPYNVKLMCRQGRRFSKAAQATNQCPTSSTSCGYFEFSSGDQKNGNPTTGVYDCVDGGILSEDSGEVQDSDDYIGFQGFSEMCGAIPKCTTIPVDKLNPSFIKYVVQEYDIKLELLENTEIRFCCALFHSTLQRLVTSPTFSLQENSAPPVSCQGKTCAPGAVGCLLHAVHEEEYDDYEGELNFEDTDLELITREPPVETSTSTTSKPTLPSLKLPMSLEDLTTTKPMTTTTTTTTPKPKTTTPSVSSKSKTWNTKHTYDDDGQEVFELEPKQSTYCVYRHLNNEFYRYCLLVHQSRDGDRCYHHQGHTICCCFVAPDKETCDPTEMDLIVPPPPANGGALPPRVIVTIPPFTTTTTTTTTTTTTESPSTTTTTTTTPEPTTTTPEPSKYPSLPPQIYRPRPIDQMKKRKQTPKKCRVAYVRTKSGSRTRPVLVCEPASASNSYFYPVFLVCSIVFLLQVPFF >CRE26491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1235997:1238240:-1 gene:WBGene00054178 transcript:CRE26491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26491 MMILKDLNIYAAQRLLILLLVPVRIDALISRISTDCDIEFAESATKLASEHITDPAIVQYIHAVMSSCNKAPIQKMAETSVFLLEKGTITPRVMHQIRETYDKIQKKKEGELSKKMSQLPPELYSTAQKIKTISTSGGLSGNERVQQIEMALGALPSSYQRQILEILQESPPKLDGATFEQPEKPIDVTSLPPSFAIPKNTELTENKPRFMIPERQESREEERHFEQKISFPEDPVMVPRVNMPFQPQEKRIQIHPQSISGNQQNMLFPDLPPTRPVSSHCSFKFKKNRFQIEPTIRNQAAGLRSLLENPNFDSIISSIVQKGAKIAEGPLPRTVGAVDHTKTGQNELGILNPAMWSDAAKFLSRSTNDLPTALSKFIENGSQNLPQVLIPSGNQIRQSPTIKNYQNFLPSRRQNDGALDKYDTHIDRFATNGPTNPINIQGDLSSLRLPPVGTTNTGNTAIQPAKVDSIVGAMPTMPPSAGYGTYAPAPSAIIPKVVERILSPEEITGSYRQQQIRPIYNERLYNGQLRNVKIDLVNEQRL >CRE26220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1233901:1235795:1 gene:WBGene00054179 transcript:CRE26220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26220 MDMDMSLHFGEREKILFSWWKTGSFSGMAVSMLISFLLCILYEAIKSFRYFLAVWNNQKRQQRHAEASITNPQNSGGDTISEDSVHIAPLVQLSGFTRRLFTSYRLAQGALYGLQALLAYALMLIVMTYNMNLILSIVVGEAVGYFLFTGNPLVDQHLTDCC >CRE26490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1229053:1230359:-1 gene:WBGene00054180 transcript:CRE26490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tab-1 description:CRE-TAB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQU1] MLRPLLSLLQNNITELPHFHTLLNTKSSQKGFMKMINTTSSFSIDDLLRGHSNEIQRILKLDESSTNSQSSSDENSEKPSMSMISLPISSDIAPNIAPALSEQLWLAAAAFPIEHSLLLAQRNGVFPGFWSTADQIRLSNASKAYRRLRLECSRRKARTVFSDQQLQGLERRFESQRYLSTPERIELANALNLSETQVKTWFQNRRMKHKKVVRKDDNSVDDGHDNESE >CRE26219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1226561:1228611:1 gene:WBGene00054181 transcript:CRE26219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26219 MLQIRFHRDSSYSTIMMKTSLIFKACGLFLAVAGAPAIIPNGGDIEIPTDEPVSILHGEYQVQTSNLENYRPTWASVWKINQHDLENGIFYVSTWPPKYCHAIYVCPITEQSYNQALNFKTTEEDMCEKEYAKFYPHAKKILLKKEGGAEESKFWMKNRLEFEIDKNRQSKLRVLDSEPESHSEFMEESKKGITFGFDLTGKELLILTNSRMCQINKIGGEFYPIFREGVKKTPDNYPKWFDDGSAWNSSLSPTDYLPPVKSRKTPKSIDLLAYLKDKTWTDKKIGNLVKSCEPVVGWRAGGRRKPTNAMFQKVSVGSYTVNFPNTQWSGKAFKRFTLNVEKCHWFRLWFSDKGMEDYKSAPLSEMVDFFLNEGFYISPESDEAHPLPGVNELTKFTFRVAGKDSTLVDMLYGETQGSPIYHDQFNIKDIQKNFFRLHVIKAPGCEISMDSRPLLWTDHSTSRSINMWNFGTCQFTHFE >CRE26489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1217880:1222629:-1 gene:WBGene00054182 transcript:CRE26489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snt-1 description:CRE-SNT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQT9] MVKLDFSSGGDEEKDEDLTKEFVMDEAPMEETATQVVKQVATTTKETLKDVVVNKVIDVKDVVKEKVMQQTGMPEWAFVFLGFVFILLVLACAFFIIRKLFGKKRHGEKNKKGGLKGFFGKGQDVVDGKNIQGMAQDLEELGDAMEQNEKAQAEEKEEVKLGRIQYKLDYDFQQGQLTVTVIQAEDLPGMDMSGTSDPYVKLYLLPEKKKKVETKVHRKTLNPVFNETFIFKVAFNEITAKTLVFAIYDFDRFSKHDQIGQVLIPLGKIDLGQVIEEWKDIAPPPDDKEAEKSLGDICFSLRYVPTAGKLTVVVLEAKNLKKMDVGGLSDPYVKIVLMQGGKRLKKKKTSIKKCTLNPYYNESFSFEVPFEQIQKVSLMITVMDYDKLGSNDAIGRCLLGCNGTGAELRHWMDMLASPRRPIAQWHTLGPVEEEGDKKDEKK >CRE26488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1187897:1200237:-1 gene:WBGene00054184 transcript:CRE26488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-27 description:CRE-EGL-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LQT8] MSRFDSQCSSEDVNKEDECMPSSSEDSQDGVSSPMENDDEPEFSQKHYDIEPCYYSLTGKSDRNCRGIVYRYRKDSDLKGFQSHDGTLYRLRDSVFVEVSQNEPYVIAAVCGFKYTKRDHVVVKLTRYFRADDIPELSLNLMKQERAELEINPHLCPQSLNRELFNSELQITQPVSCLRGKCIVEYVKDVRQARTVADFSLDNDTFFFCLHYNQDSTKLASTHYAIRVGTSFQATLPPMAKCSVGDDKDRDELLYRPNSIEPREEEDYIKLARCYRTFTLSGNHMLDSQKNARYLQVSDLLMDEAIIQLHRSGYKIDDALSELNANDIILTTDVDYMTQDDAKKFAKGIKQLGKNFSRIHRELLPHHSREQLVSYYYLWKKTPEATKPKQAARRVNPTSIKRPTKEKVKASRPTSTEYLDFDSASESDVENNGPSGRACHHCYGAESKDWHHANGLLLCTDCRLHYKKYGQLRHVANRPTQVPACLFKRSNSDEEESGVRTRAGKKEQRKRTPSSMSETPDRRSPSTISNGAPLLTAEDTPTKRLNGNMKRVPKRPLHNGAINGEKASSSEPSSPTTPPPTLTNGLTNGHAPGPSSPNGETITKRMKMEQVDEDSDEEGKMTIDEGDDEPMPVLNGFKKEESVEEVKLELNGDLGKEENGIETDPTTPHSPSGSECGADSAIAAVSLDASDDQGIEATIEPTTDEVDDDDDESVEPDSETDTFEIGKSIEFESQNATFIRSFIRSCGPRCARTDLYYGFKAGSAWAQNKEEKEEKKRVQAQNQKLHQETEPKPTSQQVQIKKEQQAQHQAQQLQQQQHHQAQQNAQQMQQMQQLHQAVMMGQLPPELLRMMPHQFGMDPAAAMVMQLMGGQNPQGMNQAALQHFAAIQQMEHAQVSS >CRE26486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1183737:1186042:-1 gene:WBGene00054185 transcript:CRE26486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26486 MYVFNFYPTHNHRHKSIDFKSDVDDLGFMRPWSPIEESGCQKQYETWYAAYLPIVVRRRCRWEKENPRRNSHLLQRFVRKGIPHTFRKELWLRSCPSRKDGVWERHEVPDEVIKQIKLDLPRTFPDNKFLKTEKTRKTLGRALFAVAEHIPSVGYCQGLNFVAGIILLVVNDEARAIDLLVHLVSQRQDYYGKNMIGLRRDMHVLHSLLREHCPRVVVTLEKLDVGLDMLVGKWFVCWFVESLPMETVLRLWDCMIYEGDEWLFKVAVTLFRSNMIAISSCETIDQLMTEIQNIGTSKAALYCHQLILKSAALPITNKSIEYLRVDAEKAIPE >CRE26218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1169673:1174304:1 gene:WBGene00054187 transcript:CRE26218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-5 description:CRE-DNJ-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LQT4] MSSVDDPEKHFLGNLLEDDPGTTPVTRESPFSGLNGATSSGSTSQASGVGPVMCPSVADGLMSSAWPEPPPPYSPRLESWGLPFDANTTLFSSTTLPTPNWFPFTDRKETSTTDLLNSFNISGTSTPVTPPTPTRAPHPSSSQVHHFHHNLHHHTHTHNVQVQNHYFGSPPPGLESFAPRTAPPPPPPTTSASPLYDSMATPTWNYTGLANINTNSNSLLFSDWKKENMSQTSPGSTIVSESSVSSNSVKRDDQENGNAHPPLSPSEVVEVAKEKKQVSKSYAEHLSKSNAATPKRETPIGSEKKQKQELAKKMSNQLPSEPMAPRIVVKGQPHSAPVVSRMPFSYRDVAARLEPAPTGNHAAQHPDEAQRERKETSTTDLKVSDSKAAPRKHSDILHDTDKSANSVENRSNSKKSRVDNEFQKINSRKNKKEKNSNVVAAPLIYEGVHPVDASSRYDVLKNLESPGQQRSEKKSANGRPPLIQKIFSRSSSPAEEMIDTEEEETQRTRSTSTHVHQNGNSQIKKDQRRRPVQVTQRRRKGRKEDPTWIQHAFGTFIDLLLFVWGHFTFGLQWTFILIVEVCQRIADIFISSGKSMWAGACKGVRSIILAIIYVCLFVFIGIKAFFIRLLSFLNMIGVDDEEVVEEVEWGCKRELPIPTSATEYADRLSRETVRDAYSVFGLKSDCSDDDIKRNYKRLTALVSPDKCNIDTADDIFELVSMAFATIGYRESRDHYTAETSKTNDFHLKLVAIWNKLAKAVEDARNTIFCDCEETHYRVVTDIHPSQARSCKRCGIKHPAKQNDIWVEKKWLGLFATYFTCTDNVVYDITSWATCTSQRAMLKNMRAHTHNVQYRLLSPLTTDYDTQSQYFNSSLNYPTSSTDARFLTDLQEEYSSLLRQRSLAQISFKNCEPREEDRSRRAANRRQKRWR >CRE26217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1162628:1164979:1 gene:WBGene00054188 transcript:CRE26217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aff-1 description:CRE-AFF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQT3] MRLWQWLITVALFLLLVSAETRLRRHRKRRFVTSHFDELYCGESANAQSQFEEERESNSSKVSSVHSTQFNWGLDNTICIKLQNVVHVLKYERLEQRYPIESSYTFSVPLIDTTCKCHCYGFGSNDVCNVEKFADDRNCTINSEYPTCYTKYHSAVEPLDCPVTSIPAKACCEIKLKPRDGRMFRAVKLQQPINDMIITHSIFANNSGKIMKVLGPEEYRINLLKGKEQFELTEYHRISVQLVASSPQQQLREGMYYFPEENHNDLREGKINEITESDLDKLGWYRRIGNDWQVATNGLLLRNAHKVVIKNCKGQLHMDQFSGTKNFVLRGTQYNDTYNEKKVTENNFVRSVKVDESSREITIVHEHGTAAQVSLKTDTRPNLTKSQSLLANFTGSITLDHDGNRMLNVTFFGVKGTVHIKMYVNDRKLVATFACTAQFGTTIKDDGSRISLPSSINQAQWVCILPDEQPDKSEICKWIPYEEKAMRTPRQEQSWSKGHSPCSQAECNSLKSGVSDLFPWIINFDYFMAHGGDFTEWLKMGIHIVIAVGLLFLLIILFTKCLVPLACCSLSIPFKSRNKKKKKNSSDY >CRE26216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1156315:1158105:1 gene:WBGene00054189 transcript:CRE26216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clhm-1 description:CRE-CLHM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQT2] MTTSINSVVTVFQNVFTNHGSTLLNGILIATTIGGQSLVRKLTFSCPCAYPLNMYHSLVFIFGPTAALLLIGITINSTTWKLAHGFFFRVRDTRHSWKTTCASWIEVLLQSSIAPIAWLFVVFLDGGYYRCYRSHEFCLTADAILCKNETVWNSYATSTSFNRISDNGKYCPPCICFPNPTDASYLEAESQIYAWALLVVAGVGAFLVITCHRMCDKYTLVQRQYVETYKNVETQKFDAVAKEHASQLAEHNARAFFGQKDWTKRDWDWVSGIPEINNPLFARLRLIAAEKTQQTMYTPLQLWNDNKGYRIPQPDLQLTQIIVDETKED >CRE26215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1154995:1155925:1 gene:WBGene00054190 transcript:CRE26215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26215 MLFKLAILSSLLALVASRTHLTDCLDEDKYCVGLPRGCIGTECYVSFSTISNGTHAEIEIFGNDILDKTWLGVAYSADKVMKDDFVVFCIRDDKGTNINNIDEMGGLAYNDDHSNEMIGTIAAMKKQKKDEYKFNMEMVEYEKEDKTLYCKMTHRVDPVITHFNMTKVEILMAKGVWIKGQLTYHGKTRNNVGIVDLSGKKKETKSAAGSFALFSTAMTFIAAKMLF >CRE26213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1148515:1152081:1 gene:WBGene00054191 transcript:CRE26213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-36.5 METPHRRYSISEGLQHGVRRISEGFLHMTNADRMLDLHDIGMHNVQSEYQLVSKWDEAEEALGPQQRKKSFVERKEKISSKFALINLMKGMLGAGCFSVPLAFKQSGYVAGLIIILVLGFLCALCMIKLVKCAGYLSKINQSAPLDYGNMAYKATQASYTPIRKLAPVSRMLVNTSLCVLQLGICCCFYIFVVYHLHELLEFFTHDVPSRATLFPIVLPAFILLVSLSSMRALSFVSLGGNFLMLIALAVIMFQLLTTEHKKLADLPPVTDLGGVVSAAGAILYALEGQAMVLPLENRMKKPEDMKVVVLNGVRREQQPD >CRE26484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1153154:1153814:-1 gene:WBGene00054193 transcript:CRE26484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26484 MCYSRLTPYPVAEVVQSANGHEEEMPLLGEEDGDEVVDFNGDLNGGLNEEVNVGGAAEGINEIGNEEAANNIIIEEIHGGVDDEANVGGDQGSNEAANPDLELQLLRSIAEAALENQRLIFTVRHFQLVIEQQTQLLERRVQEHLGMDLNNNEDEPEDVDEEADDEEEDEEIDVVNVDDQMPSGEDAKLPDLDQPSASS >CRE26212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1145111:1146397:1 gene:WBGene00054194 transcript:CRE26212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26212 MSFHLLFAQTSFFSYRFFSNAVYLFVSQPLSFCFLYHSFSPERIVPSKYLACPLFFLIIDHFHAVITKCRLSTYQCIQMFQLSVISRGNSMQQVMTFFYYFAYGSNLLGDRIRYKQKGAEYDCNGRLDNYRLEFANHSSRWQGALATVMEENGKEVWGCVWKMPNEYSDSLDEQEKGYHRLMVPVQTSHGLIICRTYQFSDLEADPMRPSPHYKLVILEGAKEHKLPKDYIKKLESIPDNGFKGSVDVDIPLLAKLNVKTEM >CRE26211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1142023:1144921:1 gene:WBGene00054195 transcript:CRE26211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmp-1 description:CRE-PMP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQS6] MAVLSKLQLLNSTLTYKNWSPAFIGIFGCITIAKIYSAGFRNEGKGLSLSETVGVGTVKKSKASLNAEFFAKLQKLLRILIPGVFSTEVFYMIVIGFVLLARTIADVYMITNATSVEASIIDRSPIMFAMSVFKYFLNLPAISLINALLKFSLSELKLRFRENLTKYLYGKYLGGFTYYQISNLDNRIQNPDQLLTQDVEKFCDGIVELYSNLSKPILDVFLYVFKLGRALGWEGPGLLFGYLMASMVVLTKLRRPIAKLTVQEQVLEGEYRYVNSRLIMNSEEIAFYQGNKPEKQALMGSFNNLVYHLRKTIMFRFTLGFVDNIVGKYITNIVGWVACAKTFFDVNNEKFNEMDRNELMQELYNNGRMMLKLSEALGRLALAGRDMTRLSGFTTRVDTLMKVLDDMKAGRFEKAQVADENGEEHQRLTLKAGNGKLVAQDNMIKFEDVPLVTPNGDVLIESLSFEVPSGRNVLVCGPNGCGKSSLFRTLGELWPVFGGILTKPAKGKLFYVPQRPYMTLGTLRDQVIYPDTVFDMKMKGLTDKDLEQMLEDVQLTHILEREGGWSAVQDWMDVLSGGEKQRIAMARLFYHKPQFAILDECTSAVSVDVEGAMYRLCREMNITLFTVSHRKSLWKYHEYSLYMDGRGSYRFEQIDDQSDQFGS >CRE26210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1138367:1141604:1 gene:WBGene00054196 transcript:CRE26210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmp-2 description:CRE-PMP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LQS5] MAVLSKLQLLGQAIPAKQYAPGMVGMLAVFALVKLYKQDIRGTKHLVAKTKKDGSKGQKAHVDGVFLSKLARILKILVPNLFSKETFYLALIAISLLCRTYADVYMIITSTKIEASIIDRNPLLFALEAFKYVLNLPAISVTNALLKFGIAELKLRFRERLSTHLYSQYLKGFTFYKMSNLDTRIQNADQLLTQDVDRFCDGIVELYSNLSKPILDVFLYLFRLGTSLGFSSPSILFSYLLFTGVGLTYLRRPIGRLTVEEQALEGEYRYVNSRLIMNSEEIAFYQGNQSEKETIMSTFASLVQHLRKIILFRFSIGFVDNIVAKYLATVVGWYAVGSSFFDKQHKPFIGLSRNELMQEYYNSGRMMYKMAEALGRLALAGRDMTRLSGFTSRVDTLLNVLDDVNNGNYKRTMVGEKDDSSNALMKSDLVAGSGNLLVCDNVIRFESVPLVTPNGDVLIESLDFEVPSGRNVLVCGPNGCGKSSLFRVLGELWPLFGGTLTKPAKGKLFYVPQRPYMTLGTLRDQIIYPDRPLDMIRKGISDKDLEQMLENVQLTHILEREGGWSAVQDWMDVLSGGEKQRIAMARLFYHKPQFAILDECTSAVSVDVEGAMYRLCREMNITLFTVSHRKSLWKYHEYSLYMDGRGSYRFEQIDDQSDQFGS >CRE26209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1136230:1137794:1 gene:WBGene00054197 transcript:CRE26209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26209 MLSRLTSRSFGTSAACSRTSSIWRDKREVAFPVAGKSPKVVSLADAVRDIKSGDNVFVHGIAATPTPLLQSLSEHAVANNLKGVKLHHLHLEGATPWTAEGVRDRIRSNSLFTGHNLRAAVNNGIADFNSCFLYEVPLLFRKGAIKLNASLIQVSPPDANGYCSLGTSVDTARAAVTNSPLIIAMVNKNMPRTFGDGVIHVSHIDYLVNEADGFELHQRNIGQQNEQEKKIGQIIAENLVDNGATLQMGIGAVPDAALSALSNHKDLGIHTEMFSDGVLDLIEKNAITNAKKAIHPGKLVVSFVYGSTKLYNYLNDNPLIEFGDVNWVNDPAVVRKNPKVTAINSAVEIDLTGQVVSDSVGKRFLSGFGGQVDFIRGAAIGDDGLGKPIIALPSISKKGQSKIVPYINEGAGVVTTRAHVHYVVTEYGIAQLWGKNMRQRAYELIKISHPSQREALDKAAFDRLKVMPSAD >CRE26208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1131624:1133094:1 gene:WBGene00054198 transcript:CRE26208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26208 MGNKKNKKKQQPQEESQADRSRRQQREAKRNAEEHEFLDNEIAAEQMKRADAATFPLNVPKDLAFFKKYPKIELHAHLTGSLSPKTISEIVEHDEEKAKNIVSRYRLTEPIDMDKVFHRFKAVEEILDNPDSLRIAVIRTIREFSEDGCLYLELRTTPKKTATMDYEKYIRTVCRAIIEARMLHPHMKIFLIISLNRNMTFDIATEILHYTGVVQQESNVIVGMDLGGDPKLSAFQLLDVLYIARRFHGLGITAHIAEKRTIPNDTTDLLMMKPDRVGHGTFLHTNDHLAQVFGRSNSLLEVCISSNVYTKSYNHPRRSHFAFWKKRGVPIAICTDDKGIFPNASLSEEYYKAADEFNLSLEDLKKINLDALKYSFANKYIATDLSEIRRKIEMHALE >CRE26207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1127858:1131108:1 gene:WBGene00054200 transcript:CRE26207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26207 MNFSLRMNCYISHLLNFRVIMSTGIRRRHVDEQKKNLLEKENTENEERHRELESDVRLLRPFHWKIIGIFYLLLIFGASFLHKCLPEPKDPNQEETQFSETRAVKVLQELSDYGWKPAGSYNCEELTRNRILKELSDIKKQNVDVEDLRFDIDTQYVSGCFDIPAHDTEGMNICYRNVSNVIARLGKGEKKDKISVLLNCHYDSWPTTGSDDLSSCALMLELIRLYSKNPHQLNHDVIFLFNGAEESSLLAAHGFITQHSWRHEIRAFINLEASGSGGRELLFQAGPANQWLLNSYLEAAVHPHCSVIGQEVFQSGVYPGDTDFRIFRDHGRVPGLDLAFVQNGYWWHTEFDTAERITQGSLQRAGENVHATLNHLLKSPYLEKPAEYADRKTVFFDFLGLFVVIYPLTFAHFINLTAIIAVFALVSHRFYTKTFLTFLALRDYMLTIVTIAITLKAMTFMSVFTYGAMRWYTRHWLALVAYGLPSVWAGLSVQGLLTARLAPKIREDYGSTLELIHLTLISGILLVFTYYDVASGFLFALLLIPLIKSLASNFGAWPECPTLNTILTLIISLPGCAMAIYTTEMLLSIFIPIMGRSSYNPEPVVSFFVAFSAACIVLSLVRLNLFTVFKFDFQGGLVAKSRNSRPVNEAGFLEFVYNLLGVLLVTLTILYVFSSFWPSPYRFDEKYPTAKRTQFFHVNQMFYDRNNQLSVNETRFYAISHDYRGAEDIPFVKSDPEYTGLQCHYENNPWCEIPFLFPTKGRLNDRNIRVRAVEERLKFKHPVKILGISKKHGVDMDDGKGNIEYSFSVIGTGQISVYVIPDPTWLISNTSVSEPKEPQENMFLYFTCSTPKNICEWMFKVTIKKTTQTPSDEKPLLVGISSHHLHGPEMQSESIKNMISKIKENRVHSPEWTVTASTWNVDQVYKYF >CRE26483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1124094:1126734:-1 gene:WBGene00054201 transcript:CRE26483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26483 MLHGRGGFHHGNDPPSKRYRRDDNADPTNPNPSIVVHVRNLHQKVTEADLLEALSNFGPVAYATCIPHSRMALVEFEDIEGAKACVNFAASNSINVGGQAALFNYSTSQCIERMGFESAIPNKVLVVTVLNAQYPVDADVIYQISNAQGRVLRVAVMHKPTIVQALVEFESMEIAKAAKHAMNGADIYSGCCTLKVEFAKVCGLFFFLNHHCIRFQPDRVRVTRQDKDQRDFTIPENETPQPDNTTRKTLIPSRPDDHYRRPYEDERNNPYDRRDYPPPPPPPQQYGYPPRGDGPPEYYGDRGGPPQSRYRDNYDDRRGGPIGGAGGPSIVFSIICPLPVFQISFMRTKTETGIIEMGTPDERHNVLDFLQGFECFGLKLEFKPSHQECVHYLRDPFQLPDGTPSFKDYSTSRNQRFTTPELAAKNRIIFPTKILHWFNAPGTMDEKKLLDMIAERSQHKAVKVEIFPTRNERSSAGTVEFETVEAANEVLCLVNHMPVDSPYGSAPFIIKWAYATPRRWEGSGGDDGEGGERKEAFIHPAVRPPPYRRGGGRGGYGDPGFRRDSYRTGLHGGGPGDRFGPYPPRGGGGFRGGRGRGRFNEY >CRE26206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1122974:1123747:1 gene:WBGene00054202 transcript:CRE26206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26206 MMRKSAGYEAKAYTSEDAEIPRKCVVIHKKKIGNTMEKIELRYVAYNRIENGVHSTTMEVINDASTYFKDRAEDLDYQNDSSSQSSLQKTVTIAKSEEKEKKNKEKLEQCLPLLMKIRARFEENEKNSSYSAHNRSQNGALKVLCDEIVAEATYEINNGHPISSDLIKKIANINTLSRQVIAKESKLESLVPDENELAASKSKTSDKFAK >CRE26482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1122026:1122761:-1 gene:WBGene00054203 transcript:CRE26482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-psmd-9 MDHHSKAKQLLQQRDELDEKVKELMLVLETNNSTMDSPLTDAEGFPLNTIDVYAVRHARHDLICLRNDRAELTEKIVAEMEKEKKEDDTGLVVSEEKPVHRTSNEPFVKVSSVVELSPADIGGFRKDDLIIQYGTLHYGNFNDMQQVAEITKQSENKIIRVTVIRDNRPVRLEICPKRWSGPGLLGCNIVPIAGANV >CRE26205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1119360:1121836:1 gene:WBGene00054204 transcript:CRE26205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26205 MIKSYKLIKISNSLLLSHYFSIFLYLRKPLFFILSSSSFFIIIFTFSFFFHFSSEFSKFLSPTTTSPDLFKKHVSDGFFVIRNFERIIRTVYCFSRLLPLICLECSPFQGNNTRRPLEMSSSDKLSADDVLNTLDKRNRYILTCILVCGFAWAPLAFTGLCPSFVVKAPENSSFIGVADEFDLTGDATWLADSTTTFYMVGNMIGGMFIPPLADKYGRLPVFVITVLLMAGGGMLSAISNSIMMFCIMRLIHGIFYTASGLAGWVLGYENTPLRLRFFTSVYFGLMWVVGACILALLAYVLPDWRWLMFCISVPNVFVAFLIYMTVPESLHYLVSSQQTEKIEAWLEKIRGPKGDISASDIVEIQDEKSSSFKSLCREMWKHKMFIVYIFVMTYIWIVDTFIYFGLAFYSKNLAGNIYLNFVLMSLVEAPAYIFSPIFMNKYGRKVLISGTHIIAGLSFLGIVLSTEEWHIHFWLLGKFAISCSFMSIYMFASEIFPTDGRNKCIGFCETLSRLGGMLSPYLSHLTALHSLAPAITLSLIAVSGGLLTLILPETLNTKLPSTIAETASRRQLIDRSDSNSN >CRE26481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1115732:1117887:-1 gene:WBGene00054205 transcript:CRE26481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asm-1 description:Sphingomyelin phosphodiesterase [Source:UniProtKB/TrEMBL;Acc:E3LQR7] MKAFYVILSILILSEATVLRTKESLAKNVTLDKYGFQPLCASCTGLITVASFILKFDVSEPVVLEFATIICKLFAKQPWAVCDGISSQFRDEFFYVFRRLANESPSQICGIILPDCADPTDPSEAGWKVALPPKPKRKRVMKPKRPKISSPSQNLNILQLTDLHVDFEYKYPSEANCDDPVCCRISVADPKKASGYWGSVGKCDIPYWTVENMLSHINKTHLIDMVIMTGDYINHVDWEYSIEEHLSVLRKLHHLVQSTFPTTPIYWALGNHEGVPVNSFAPHTVDERFWPTWLYKEFQAMNTPWLSEGSDDSLLKRGSYSTQVMDGLKLVSLNTGFCEVTNFFLYLNQSDPDSSMSWFVKELYESELKGEQVYVLAHIPPGDSECLEGWAFNYYRVIQRFESTIVAQFFGHDHLDYFTVFYEDMHDITSKPIGVGYAAPSVTTFEYQNPAYRVYEIDPYNKFKIVDFTTYSADLEKANENNKPVWEKLYSAKEAYGMTDLSPISWNKVIQKLIKSDKKRQQFYKYAFRNSSPHCDTTCELQLMCNLRMGHHNSTLYCPTF >CRE26204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1111916:1114320:1 gene:WBGene00054206 transcript:CRE26204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26204 MAVNKFSFDATILDIAFVGKSQMAVLFDERINIVKSDTGLHTESYDCDSQTMNVYGLEKELFVVCKKGVDCLDIDNSTKTNVFSFKDSLITASVHDVIDNQLLLATQQDTESKLYTVDRRVARGEGNKMAAEGTITKIYPFNSFEIADAAVQNLYCNLGEIIVQEHHKITQINASTGISQHPLTLSNEHKCVGLLFGDFREHYHFLFSSVKNCYFGIVGRNKYEVDSTKYFHRWHAHPRHPHIFTKLGRELIATSDGTSKKKEFKKTSETLRQKYKNAKGQEQKDKLLKKGIEDTKRHERELEKKKYDAPSNYSIFTDAYETIRNIDKGTFGIVKEAKSKKTGLSYAVKILENKEALNEKFLVKRELSHHWSFSHKNVVSCIDVMSDGTSKYIIMELMMGILYDLLKEKGCIDEEESARVMKDISKGLQYLAGKKLVHRDIKPKNLLYHVKSGNKKLYKLGDMGLVTNFEASTFCGTVDYMSPERFLMKKYSFATDIWAAGVVMFQTTTGKLPLNHQHKDWKYWQNEKSWKVTMPKNNLPRYD >CRE26203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1109285:1110243:1 gene:WBGene00054207 transcript:CRE26203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26203 MDEISSSPAFPLLKLPYVVLNNVISNFKQREILEFSFQTRNFFFNFSKKCSSIIKTSNLFRFEIRISFKENLPVVSFTPPGKFTLGNCHIGKDYFETRENYMKVDMNKLSGDVNEKNLQLSITWADYIFDLYHLNKIAGIYLSSNSSPNKTKTIVEWLNRLISYSMNFLFYVSETNDGLELFLNRLKVNMRTLSLQLTVVKDLKPFIRRILDLDRFEAYGKSFTYPIKWITMDYILSSTFKSIYMRYTSFTEMDFNRFIKGWLNGNHSRIESFYADVQQMNPDLVIDGIEVEERVDTVKRVFTG >CRE26480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1107536:1108707:-1 gene:WBGene00054208 transcript:CRE26480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26480 MVVVRRPYILLFCDDRDLVIRGIINLANARIEHSEDLQAMVKVPNTFSVCTNQRGFLSVESELKGEQVYVFAHIPPGDSECLEGWAFNYYRVIQRFESTIVAQFFGHDHLDYFTVFYEDMHDIMSKPIGVGYAAPSVTTFEYQNPAYRVYEIDPYNKFKIVDFTTYSADLEKANENNKPVWEKLYSAKEAYGMTDLSPISWNKVIQKLIKSDKKRQQFYKYAFRNSSPHCDTTCELQLMCNLRMGHHNSTLYCPTF >CRE26202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1106698:1107401:1 gene:WBGene00054209 transcript:CRE26202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-10 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3LQR3] MSVTLHTTSGDIKIELYVDDAPKACENFLALCASDYYNGCIFHRNIKDFMVQTGDPTHSGKGGESIWGGQFEDEFVSALKHDTRGCVSMANNGPDTNRSQFFITYAKQAHLDMKYTLFGKVIDGFDTLEELETIKVDSKYRPLVQQKIQSVTIHANPLATDG >CRE26479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1103532:1104159:-1 gene:WBGene00054210 transcript:CRE26479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26479 MATMRSILVILLLLALFAQTTEAAKFGSRHFQPKYFRYRRWDPTHDFYDDYEFTREVRRPFHPMAYRQRLQR >CRE26201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1102988:1103399:1 gene:WBGene00054211 transcript:CRE26201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26201 MSLYEEYDVLVLSYQGFLYYFFSILVGSVSCCFVLRWTTSDSYNLPAFKNKKRLHFGSNLSDADSLSFYRVREIPTKKK >CRE26478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1099920:1101478:-1 gene:WBGene00054212 transcript:CRE26478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26478 MRVLTVLLLFLLATTTFQSINPVISPVQLFCPTVGTNAYALVTVTEGLSQIDFEGILESYAKFMPFNSTQQIKYDFYFGTNYSTIVTTNDLALEIELWKNDTTYISMLWHSSKTNGETDELVGIAKSMLDNVAKDNQQDTTVYLKKQVVLMTDYVPLNVTNVFNKNTNENTQRLGIMFALDLTPLDNAAEFPVFKTDEENVGGTRAIFQETRDFEFPALIHEDKPLSDVYVFMTSANMSQLMQVIENTIGGPTCQLSPTKMYSKFIHTQLVQNDTVWELVIPWLGQKTHNFASNCMKALGHFKTKKNCTMSKEQVVNDFVQLIHMTNNQTFAYLFLDDTSCATTENLTTTIQDSTHIRIVLEDGLNDVYEDFTLPLVNLGFLDKTVDNQVIYNNIFYLQNFSTSCTDEIPMG >CRE26200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1098806:1099579:1 gene:WBGene00054213 transcript:CRE26200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26200 MMRKSAGYEAKAYTSEDAEIPRKCVVIHKKKIGNTMEKIELRYVAYNRIENGVHSTTMEVINDASTYFKDRAEDLDYQNDSSSQSSLQKTVTIAKSEEKEKKNKEKLEQCLPLLMKIRARFEENEKNSSYSAHNRSQNGALKVLCDEIVAEATYEINNGHPISSDLIKKIANINTLSRQVIAKESKLESLVPDENELAASKSKTSDKFAK >CRE26199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1095280:1096971:1 gene:WBGene00054214 transcript:CRE26199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26199 MNFWNVIFCITLFGSSNGIQYTTNKKETISESSTYLNVFPADDVWRTATITEDKRTYIESLFSKSFYERECMHDTVLKPVYYYPGEKVRIECVMCNIALVLNGNPKGWARVRNIDDFMEKGETFGSKDGPDIEMVQNADFLEDETETESNETISEPYYHQENGYLVIENVSNTRFWNNPQLKFQANVRSQGVYFCFDEDSVASQRYFHVLIAILPVRHVSNKHINKIKEICETEVINLNSQRIFQQTAALLLLVVFPTTSGSILMKRNVLMIRKRVSREMFRTSIREQGCENPASSCRLPISFPTLPAGIPISISLEWSPWSDCRNTVQTRKGVCFISLDRQISQSDVLTEKYNWLWKLNRMTNNLAFRDGLPIYNSLLASWLYEVDSLESCLDTEAAKNGSIDGYDDFFKNVLNSVFPNKTRKFETPESALKYCIKYEKLDEKYDSLRGTHTEDKRSCRIGKN >CRE26476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1079938:1091766:-1 gene:WBGene00054215 transcript:CRE26476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26476 MQAVVALCHFCENHGPRVVMTCQPMRDVDDKDSSCNPSTSLGPSTSNGPSGPIVPLIKLDGDCEAFEKNQSKSEDGTAYPHYGNCTKYTIDTEDRCSACSSFRNGPCLLSNDHQTRTSYISSEVALQERVYERVKNACLRSLSCEVSAASKRNPVPPPPPPLSRPTTPRRWHPQVIHEEPINGTRIPPSAAVLQEPSEDGVFEQPAAEEADGCVIFGDMDNGYCFAFIFRLADAKARGFYRLFSLIVVSNDLTFLTNNFEYFKTTLGGIKTDLQKLAQDVFNREIDTGEKLTEDDIHKPEYQKLVGKMPSWYRRKIAIETDRNLTVVTASEGIWVQLHRHMMWTLRAPTLACRDQVMEGKPTQDMMVLLELDESQIVELELRHPNQHLVSENFIIRFSSWSHYGKRTFEATEKRKKTMWSHSLFTQSSKGSHFAFDDTNSLFSGSLTSPSHKNAILHVMLNGVFQPAS >CRE26475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1075072:1078634:-1 gene:WBGene00054216 transcript:CRE26475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26475 MCVASVSSGCNTHHEALLAVRDERPIIFEIQNIPPSFMMRVHEKSETALATRPDNFEGLENEVIKGEVSMAQLANLKIIANNLNACSEPGDLDLLIRHIVTGGQVVVESCEKEYCRQFLLAISNLLPIGCIKLATYKEQYLPEYSSRFNLIGGPHNMDIPLEVSDVIVFRVSPRDLSVVETEKMSLTNCVIEVRRRPENDGSSGPLPQIVKRYRDLLLDADVKDTILETVLRTTREGWMHKAKICFQMKHQLPGPEIFKYITGCGVEDRQVVMYWTAGLSDAYKQHVLSTIQQTRNTASGSFSATTR >CRE26198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1071099:1074803:1 gene:WBGene00054217 transcript:CRE26198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26198 METNLAELPVRVFCVLSQELGKDNLWIKVVDFDQDPIYSMKQIDIDTFLKRDNCCDQVLRRWGNRGQTVGDLLARLQLLSRTYEDEFDIVQFQLRRKFKVLRWVPYPEEQVTMTFDEQFIRLECKAQGFPTPQIKWYTNDSKEPVHTGRVYTILRCKCSTEHQYKCVAINQVPEGLSFSEHYRKPGKLWNSVLESDFVDVTSCVRDDELCESCKNFEMGRLSQILAEDEKVETKTVPVRPNLDVTLRAADKVALVMSNCSYVHLPELITPHCDAQTLADALQKMNYKTVTLADLTLDEMRYFIREYKKLIGDGVYAVFYFVGHGFEVNGQCYLLGVDAPADAHQPQHAMSMDWLLSIFRDKQPALNLLLLDVCRKFVPYDAIGAFVEYSEQFKKFHRAHRNMVYGYSTSGGVGAYEVKGEVNGVFMKYLKNHVQLEISVIDMLNKVLLDIGDDQKVCDLQVPEIRSTLTHPRSLADPLIFDGHTASFDNHTIHWRLMHELPNPATIRFETQQLVATIWFQFCGNFTNKVYVLSSIADFRPSQEDNEVEETEEASENALNHRAFVEFPEELHCSDAKEYSDDEEGVSMYWILSGLQKIKKENGLSCEVHLRHVNDLEKTIEKKHVDIGHILITRIKCLQ >CRE26474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1068403:1070315:-1 gene:WBGene00054218 transcript:CRE26474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-38 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3LQQ3] MESSRTSTLTNGSEKSRRRNTDYLQIDPSSTFINNTSRGFAEELPENFLDTISPHPVTPSASSSSEESASVADDIPASSSAPQLASLAPMSLNTDQPSSSFANVDLLSSPYEHIKNEPEFSGSSALLSPLQVDPRRRESHDFNTSPYIKEEEDLDSSHLLMAGIRPDTPPNDRDLSDMSMLNEDHHNGPMIGSPSPHSSFGSDPTPMIQRQLIKNEDDVSSGYGKQRPPPYIKPRNGMRMEFEKTPYQRNSRKQKKPLGLLNQSSTSSINPPAISSSNIPTPPSAHVQPTRRIYSTQDSNDPLNAEIGEDIYIDTKDLCKRIAFELKNHSIPQAIFAERILCRSQGTLSDLLRNPKPWNKLKSGRETFRRMYNWVAQPLATRLAILDMKTEEVNRASGMSPPTPAQNVRTHRRSVSDHDGPVSKRPRLVFTDIQKRTLQAIFKETQRPSREMQQTIAEHLRLDLSTVANFFMNARRRSRLGGNIDEPTPYQQVNLDFSGYSSDEFNGMIE >CRE26197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1056640:1066559:1 gene:WBGene00054221 transcript:CRE26197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tra-2 description:Sex-determining transformer protein 2 [Source:UniProtKB/Swiss-Prot;Acc:Q9NIW4] MSLRSNKLLVAAVIFTVVTFGLLLTSSILNNKTTTSLTYGGILPKFGQRIIEKKSNEEYIIEKIEHTQKDGEDVRSTRYLTHHSYLLRNLAKMEVKHHGKDFSINDICYKPHNAIFETTFAPENIDKLPNYLQRLILEAQRLSPCLIVTPLNCYHDSYRIHSEMSKWNTSNVTNFLNRKLRNSYIDAIGESEERPYVKSTYGPDLIKEWAHLMFKLPSKQTSSFSKKDLSSKIELWLSSIESKTNLTELGRPSEVDNYFDICTSMQQVHDFDERKRKFGLYDDDDEFLIGLDCVENKTKFIEWIQERELRRVSKPFNPNQQCDGIFKNSEGSGLEFFYGTRSFGNNTAPFDKMKAEIGLMTPEQILTTMLHSDYVNGFESIWTIERAQELLDDFRLAIRDEVKRFNQNRSSQKIGVDTRVVEREESNETELEISSDLDSAVYFIIFIRCVLLIFFAFFAWSVNPLRSAVMFLVRDALTSLLFSILCKSDGQIELNSELLGYIILLTIANTYLTTRVSWYKDRNETCIQRAKDFPSRSNFSLLFSIDSLRENCDSRQLQYALAKLSKYLTALDTYSTETFMQLPNYWPFISILFVPITGCYWYFVDFNLPKISVVLLPSFIVATIEQRQVEKSLLKERKAKREFQKVQKKKMEKFLSDGAVDRLLSGNPESVEDKKLYKSKDCVIHKESAGRLYELSRSSYDVSKIMAYPNQRVRDFRFDALGCYFWLMKLKSAGILLYGSAVLFVLLSVAVMLIPIQRTSLQKDMNNEVYFGFSINNMSSDWANINKNLDAFNSEIDSIQSLQTISNWKKGFDRFEGRFYKNSSRTSDANHYVEWMNQEPINWSVMAPLTRISPKFGIPSPFKFRFRYQIEVNNNESEVIDTVQRIDTLLTKYKGTLSSPIVDGVLYEYYHGNAAVWNSFVFNELLASGILSAFFALIVVIFSITPSISSVLIFSFFVIGSRLEIAAVISLFSLDNQQLYTDSAVLVGFLVAWAPFYELSLFRRRLLFKLKTRCTPELSSGKRIRPPFTKAVDTAQVFAIVLAASLIIAVVAGVVPEFQTFFWPTVILIVVQLVAFGNSIAVLVATNQMFEREVRNFLDNEFELGNGTTAGQVCHMAQKLIPPKYDIPIPMNDFHIRPTNMSKFYAPPPAKKRAISNNETDPEKKEDEPGTSNANNVSQEEAAQRLAILPWHFVLGGIPVDLTTRSDQIPVFLQILNFQIINGPFIGISSDAMRTHEINSELEDQDDYSSESSVEDVESDPAPEEEIKYHEENMLHMIEKVQKDAAEKEKEAKGKVHQVESAQRRAPNFDDPNVAGPSHRYQRNEERISTDIVPADPPREIPANPVPPPTHVLVQRAPRPHEMPPVIDRTIPRDPRTEPPNLQECIQQNDDPSLPPHPRRHQYPDHYGRAMISYCEDVYWTYNDGRLPPNVAMPPRPFDWHYRRVAPPEDFNYVPPPGQPSIPIPAEAMALREERARAHREQEQRDNSQSPSPSPEPGL >CRE26196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1054586:1056368:1 gene:WBGene00054222 transcript:CRE26196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppp-1 description:CRE-PPP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQQ0] MHEMQGVLLCSGGGTRMPALTQHIQKCLLPVVGVPMFLYPLSSLLRTGITDIKIFVREVFQPVLEKEVKKSKLLEKYPANLEYITVSQEDNGTADLLKHHHNKITRDALVVSCDFISDASLIPLVDFFRATNSTLVALIADTCVSSPAPGAKSKKPKASDVMAIVESTGQLAFLCGDDDFDTSLLMDKTLKTFPSIRLTSKYNDCHVYAIRHKALQQLNKSKHISSFKADFIPHLIEKQFEPESDVKCLAYRLPHENGFVTAHANTLGAYFEVNKAIQKSFTRLMEYRGNGQTFNHKVDRILVHDSRIEESAQIGKDSIIKRSIISDKCQLGEKAKVKESIIGKGVVIGNGASVTNSIICEGAEIGENADITNCIVTKDQKVSAKAKVQNEIVDGEDEEWRDD >CRE26195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1052456:1054281:1 gene:WBGene00054223 transcript:CRE26195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26195 MSVWCPICNELKACEEAVQLIPCLHTICKKCLKETSKQIRVCPVAHCYSSLSAPEFMTSTCEGEHCQRKIMINADILRTACHHDICQHCYDAASRKEKMSCPALGCSESMVDEEDLICEGSCKQPLVFDKTITIPCCGARMCDECGPKWTNGRDFCDPGKCVIKEASKKKKAPDMSRQPCMGSMNCEGEVLRNFPSEFECDHDVCIQCIGTMLDECEKNGKSPMCPAKTCAIPYRCESVLALAAQFPERKGFFSKFTLQAKFSMQTLRDDSVSNVIHGDLKAMTGQKFSLKIGQCDDDDGGMPMEYVREGSLGDLIREIRRVLKILATDKIYGYYRVDDGKKKSLDVTKETIRSPCSALNITENTTILVDTTGIVSRPKKH >CRE26194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1051123:1052229:1 gene:WBGene00054224 transcript:CRE26194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-art-1 description:CRE-ART-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQP8] MSGVLEVYDAKRTDNLIITLEGISGSETIKAIKKRIAQKKLKLTEERQALRVEPKGKPLADDQKLSDLGLSSQKAVLYVRDLGPQIAWKTVFMAEYAGPLFVYPLFYLRPSFIYGEAGTNAVMHPAVQIAFFAWSFHYAKRLFETQFIHRFGNSTMPQFNLVKNCSYYWGFAAFVAYFVNHPLFTPPAFGDLQVYLGLAGFVISEFGNLSIHILLRNLRPAGTRERRIPKPDGNPLSLLFNYVSCPNYTYEVSSWIFFTIMVQSLPALLFTLAGFVQMAIWAQGKHRNYLKEFPDYPKNRKAIVPFVL >CRE26193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1049253:1050420:1 gene:WBGene00054225 transcript:CRE26193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sod-1 description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:E3LQP7] MFLSLLSQVSSAIFPQVEAAQKMSNRAVAVLRGDVVSGTIWITQKSESEPAVIEGEIKGLSPGLHGFHVHQYGDSTNGCLSAGPHFNPFGKTHGGPNSEVRHVGDLGNVEAGADGVAKVHITDKLITLYGQNTVIGRSMVVHAGEDDLGTGVGDKAEESKKTGNAGARAACGVIALAAPQ >CRE26472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1043541:1047280:-1 gene:WBGene00054226 transcript:CRE26472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26472 MRMFLFFLPILYRSILAQYESPDPSSPAPSSNYCAAFVECASVSLLEERLCLGNSLWRPYWLPERRDTYDCHQKLKNDYTTLERLEEELDGQLVACLNENSKPLDKKLNEQCQLIGRPPRFSFSRTITYVPNHCFTGVKRRVERQCGQLIKCCPSIEKCKLLSSESTLQKMINTTRTNLRKRADDCKKGMPVSPILLDGVLFSEDESRERTWSPTGHVNVRFTDQETNRNQQEGGRKDGFVNVRFTTQQENEEKARKLLIRYGDKEGDGLTLPKLSYPESSSKKEFEKKFNAAMRKTDSIKQRIETLDRSKIAKEGFDSLLDAATVSETINNMPPMGTVTLLESNDDNIVVEDSGDKTSVSSPVVFGSLSESVTTNPIIFVEKIAIPQKNETIERGRVSTTLRFTNILKMLGENPNSKDFKEVKGLVESFEQKLRGKELSDEQKNKAKLIEKSLEMLLENFDDTDYKKVEKDVEKVVNETRDLPICKEEESTNNSTIVTSESIDDPTSTMLVDDNGDKFIIQKGATTLVVGGDKEEKLEKKEGEEVIKNFISEEYKVEIDAFRREHNLWTKQNSNTTLIKRNETTCDMYMRCRSQMHLAVDSCAWRFASDQLLATMAESAESLLFKDDGVCSQKDGDKFVQLYENMIKRNDKLRVCLDDKNEQFFEDSVCLAYPEQRRHEYDDALRRLLNTSYEYSKSRECFHDANLIQEKCTHLRDCCPNFDECREETFEVEIERTIINLTATINEIKQECVKRKAKEAVKNVVRQLLLSTDAGQKLEAIAQLGLDISRGARVVRMAKYRA >CRE26470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1025971:1034900:-1 gene:WBGene00054230 transcript:CRE26470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-104 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3LQP4] MSSVKVAVRVRPFNQREISNASKCVLQVNGNTTTINGHAINKENFSFNFDHSYWSFTKNDPHFISQKQVYEELGVEMLAHAFEGYNVCIFAYGQTGSGKSYTMMGKANDKEEMGIIPRLCDDLFERIDNNNDKNVQYSVEVSYMEIYCERVKDLLNPSSGGNLRVREHPLLGPYVDDLTKMAVCSYNDICNLMDEGNKARTVAATNMNSTSSRSHAVFTIVLTQKKHCSDTNLDTEKVSKISLVDLAGSERANSTGAEGQRLKEGANINKSLTTLGLVISKLAEEAGNKKKRAKGVVPYRDSVLTWLLRENLGGNSKTAMLAALSPADINFDETLSTLRYADRAKQIVCQAVVNEDPNAKLIRELKEEVNKLRHILDEKGIDVADVQDTPGRPNKGPKLSAQVHNTIEQLQASEKLIAELDKTWEQKLVKTEEIRKQREEELREMGLACLDDGTTLGVFSPKKLPHLVNLNEDPLMSECLIYYLKEGVTSVGRPEAEHRPDILLSGEAILDLHCEFINEDGNVTLAMQPDASVYINGKQVKTPTVMHTGSRVILGEHHVFRYNDPQEARQSRHNLAAITAEQPIDWKYAQQELLEKQGIDLKADMEKKLLEMESQYRKEKVELEKKMYLQTKEYETLIESLQRQVDLAQSVISSGGSIWEGERMLTSSLMEFPEELKWTSDQKRVVRKAAIKWRYHQFTSVRDDLWGNAIFVKEANAISVELKKKVQFQFALLTDTMYSPLPPDLLPPGEDLTLRPYPKTVVAIQVQDLKNGATHYWSIEKLKQRLEDMRIFYNSELSVAGTPVECPYPPVASEGWLAALHFNPTRLIPDRQRLEAMRDMYETDVQMSPTDGDPMMDALMGTDPFYDRFPWFRMVGRAFVYLNNLLHNVPLIHKVAVVNEKGEVKGYLKVAIEPVQKDEVQNQKKGVRQTAKLHFRKEDFLKTHKNGETDESSDLTFPDHMPEKIEFCFRVVVLQAIDVADAYSDVFCQFNFLHRHDEAFSTEPMKNSKSPLTFEHTQNLHIKMSKTFLHYLHHFPIIFEVFGHFQPKKEQFNFERQNSALGRRLSTKLTFQQPSLVISTPVKSKKANAPIHNNTASVKSKHDLLVWFEICELANNGEYVPTIVDHAQGLPTHGIFLLHQGIQRRIKITICHEKGELKWKDCQELVVGRIRAGPEWAGGDDVDVLSLGLFPGTFMEFSMDDRTFFQFEAAWDSSLHNSPLLNRVSNYGDQIYMTLSAYMELDGCAQPAVITKDLCLLIYARDSKISAASRFCRSLIGGISKSPEMNRVPGVYQLCLKDGSDSGSPGAIRRQRRVLDTSSAYVRGEENLGQWRPRGDSLIFEHQWELEKLTRLQQVERVRLFLRLRDRLKGKKNKGEARTPVSPCDPVCAIPESVKLDEKEKGIVGKVLGLIKRRIPMNKDPPTGNKAQELSDESGSNSITSPTSDKSLIKSSQSSDLLCRQKSKSDQNLASNDDILDSIGGMKRSLSGSRILQLNILVPEVLEERVGVVVSKKGYMNFLEEKTQGWTRRWVVVRRPYILLFRDDRDLVIRGIINLANARIEHSEDLQAMVKVPNTFSVCTNQRGFLMQMMPGDEMYDWLYAINPLMAGQMKLHGNQNGTTLKSPSPSSTTIAP >CRE26469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1024358:1025283:-1 gene:WBGene00054232 transcript:CRE26469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26469 MGETPESPPFPLLKLPYVVLNNVISNFKQREILEFSFVSKKCSSIIKTSNLFRFEIRISFAKDLPVVSFTPPGKFTLGNCHIAEDYFEKREIYMKEIVDMNKLSDDVDEKNLQLSLTWADYIFDLYHLNNIAGIYLSSNFSLNKVNTIVEWLNRRIPYPMNFFFNGSETNEDGLELFFDRLKVNMRTLSLQLTVVKDLKPFIRRILDLDRFEAYGKSFTYPIKWITMDYILSSTFKSIYMRYTSFTEMDFNRFIKSWLNGNHSRLESFYADVQQMNRDLVIDGIEVEKEMTM >CRE26468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1019305:1022771:-1 gene:WBGene00054233 transcript:CRE26468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26468 MAVNKFSFNATILDIAFVGKSQMAVLFDERINIVKTDTGLHTGSYDCDSLTMNVHGLEKELFVVCKKGVDCLDIDNSTKTNVFSFKDSLITASVHDEIDNQMLVVTQSDDCIDTRLCTVDQRVPRREGNEMTAEGTITKIYPFNSFEIAVGSSSGSVQMLDKRMKNPVWTLSGFKEAAVQNLYCNLGEIIVQEHHKITQINASTGVPQHPLTLSNEHKCVGLLFGDFREPYHFLFSSAKNCYFGIVGRNKYEVDSTKYFHRWHAHQRHPHIFTKLGRQLIATSDGSSVVLQYGNICVEKKEFKETSEKLRHQYKSAKGQEQKNKLLKKEIEDIKRHERELVKKEYDAPSNYSIFTDAYEVIKNIDKGTFGIVKEAKSKKTGRSYAVKILKNSKANDEKFLVERELSHHWSFSHKNVVSCVDVISDGTCKYIIMELMMGILYDLLKEKGCIDEEETARVMKDISKGLQYLAGKKLVHRDIKPKNLLYHVKSGNKKQYKLGDMGLVTNFEASTFCGTVDYMSPERFLMKKYSSATDIWAAGVVMFQITTGKLPLYHQHKDLKY >CRE26191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1017629:1017745:1 gene:WBGene00054234 transcript:CRE26191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26191 MNRDDAEYGEINMHRSLLKQVSKDFTRNKVSRTDGEFQ >CRE26189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1009293:1010746:1 gene:WBGene00054235 transcript:CRE26189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqv-7 description:CRE-SQV-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LQN5] MTSTQQSPFPLRVLSAVFYGVVSVLIVFVNKILLTNYKFPSFLFVGVGQMMATILILFLAKLFRIVQFPSLDSSIPRKIMPLPLLYFFNLISGLGGTQMINLPMFTVLRRFSILMTMILEYYILDVKASKAVKISVGLMIGGSFIAAIYDLSFDAVGYTMILINNICTAALGVYTKQKLEAKDLGKYGLMFYNCLFMLLPALCVVQYTGDLDRAYAFMLSDSMTSSVWACFILSCICGFVLNYSLVLCTHHNSALTTTCVGPIKNLFVTYVGMFSSGDYVFQWANFTGINVSVFGSILYTYVTFRSKSTTISYKPLPMTMPLDVHKPRK >CRE26188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1000391:1008803:1 gene:WBGene00054236 transcript:CRE26188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lst-6 MSTNTNDIDVTLVDYFAVAGYDPDIGLVVDTKYDPCHTPGESPGSSSSAFRPPLQRSFVARIIHHFPQRRPGNPFSDDILHLCMPRGLRFYTEKDVPKKPMVHTFANIKEDGSRINGTAITFYEEVKDVAICEAMAQLQQDHVREITARHNGIVEKHQRVHHPPGTVSGGTHTLPRNRRDRTKRISYYEGGGHNSLFMSRTICVLSRLPLVNSTSALLTAFHKIIASPTSPHLPLESYIYWCLYEVPLPSPSMTLKIPLLDTTLVVQRPGPKELPFFDDSIGSIFDYLPVEKFIRLFSCFLLEHQILLCSKDLSRLMTVCESLLALSFPFRWQMTYAPVLPYSKLDFFEAPVPYVMGWYYEDTVPEELFQSNVCVVDLDTGRSELPEDVPVFPGARQLANDIKNAIDRLAGAEKMLTSATTVRGELEDIAEGGVKMRKKNKRPEDWAAKRMSRSFDLEDGSAMSEELSELGMIRRPSRTGLQPLPLENVLRNNSTLARVAEIARRAGVVVDVGNIETEFAGAQNLDSPVARHYFVDAKVNNAIRECVLNRFVEMFYSYEHFVIGGQGCDDRDAYEANRESMASFDKASFLSDQPDSHLAFLAAFLETQMFTTFIDSKILSQWETPDEGVVLFDNRISVMRDLLGVSIVRTPTYEATPLFAATEDLISKREETTDYVVPAPHSLAGAFPVRYEGAWPVHQLNTTLLDGANLISPAPSPWRQRYPRLRSQKDNSNGNSGSQSARPTSVYGGAGLMQVESSQQIAQQQYAFVQQLLKETKAKTKRMLVDKMGKEAVNCGHLDPTFSGVEENTLVASFCDLLERIWAHGLKNKHGKSSLWNFVLQHQDFEKPGLTTRASSTSMLTPEIRGSRAPSLPPVPPHNRRGVPIDEVPAPIILSPQNNTDVLTAISDIVDSFRGAQEEPWSKSLLRAATSIVEKYKNGEKDSNGNPLENNSSLPRSSRYPIPHPSIVRARNQSVEPSGVPSGKTMKKSTSIGDFTNPSWNGSQTDVSNLGGYASVEGSPRKVRSQSRARSPDGARVVLSPLPTHVAYDLKNVLRMTEIKTDIGYARAFVRLALERKLLHKHLGALLSNSRVLRELYKPYAFVGTDEEKEQFLYYILTLNAAQFRCFTNTFTKTKMDYQVVIVTGSGRGAIPAIWVTVEGSLSSTPPIMLKPNTPLFKFDHKNLGTLSTLRIGHQQSEKPVQWFLEYVLVRNEITGQTYKFPCSKKFGEDRWFGNGEDITLERMLVAEPFIEYDGNDNGVIDREEPCSPARTRRMSSRSQTPQRDRSPSNGRLSESVSRNRKTKVSEVEHILVEAVNALVKYFCSERKVKSELAHLLCGQNGLVLAIEQAFQLGRQESLLKYFRNTCPWDYIERVCSWFFELCRKKEVDKFPKEQKTMIHHTLRLYRKIDAKTSLGKDGKFHVFVLLSIRDHILPGLLPLMRYSPVTADMYNEPSFLRTPAHMTYFAKLMYSLSEYNIIIDPSLTYGIS >CRE26464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:998388:999636:-1 gene:WBGene00054237 transcript:CRE26464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lst-5 MIHTSETIGMEMKNYRWREGNPLVFMFRRFRHKEYQCDECDRMFTLKHNLQNHFVQYHMGCKTLHKSCPSCKCTICGKIYSAASVLAEHMMSEHGKHMDHFECSQCHEQFLTQAGLQKHMKLHLQSKKSCPYEECFGLKFKNSRELHEHVRHEHKLKELSCSVCSAVFRQLSARIKHEEGHEKDLADGGQSRCRTRERRAKCDEDLVKRELDSPSPPPLKCRKVYSPQFEAVPIRKKTTKRLTKAEILAKIK >CRE26463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:993742:997577:-1 gene:WBGene00054238 transcript:CRE26463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26463 MPDDAADEKKPDETKKNNQAKGRGQGSNANRGRGGSNNNNASKKLNSSRPTPNSRQPTKVDMKKYERMISAAHTNFSDIPCGPKSVDCDICCKKNDVFGIGSCRHPVCSECVIRMRILGNSKTCPVCRADIDILSFCTLDEDLATVPLSFKLSGHPDEYRFGIRFSNKVAGTKYEKYLAHVCKICKTDDGERLEFPSFMSLRQHMASRHEQSYCHICTDNLNLFSRERKTYTREMLQRHMKQGDFDDKSFKGHPQCLFCEQKFLDEENRYRHLRKEHFFCQFCESDGTMTNVFFGKHDELKKHYKDHHFICETEECKQMGIAFANKFELDLHRANEHSEKRNLIELGFGQRAPDPGRPMGRGRGPLRYNEPPPPPVPRERIALVQRQVETNPQSDPSQFTTVRSAQSKGVFTSTRSAFTPSNQDFPSLAPQAPPPSIRSSEFPRLNKVNKSATAAAAAAAPVVDHFPSLGETSTPSAPPLRIKVPPLVKKRQPPPSAPVQQKSRQSSSRQHAPREEDEDYTSSRADVPQTVVKVNILYFGSTLSIVTDQQVAPSASSSSSAAAPGMFDFPSLPAASAPIQPANSSWLNSKNSKIKSAGAISSVTVPANYSKATKNQNKKKNKVSVPKTEVWSSLGPAPEVSTLAETDTWQDIPLSKEALAEKERREKKEEWARKKAEIQARVAAASKEKSTAVADEVEPELPQRSVTPPSNNTPPPKEESPEWIVAAPKKAEVTKNAASQKKNKKNKEDVATSTKQEPTPSAAPPVEPTPSVPPAASIASTSSIAPKREEPAAEPAKDSYQEKMDALWNMPKLPSMTSMFSSFSISGIFGMGPNSNVPTPTTNNPPPGLENVVLTPPPGLGFPTRKPEEISFASAPMLSHDDVKEKRERMEAERRKKEKETEKVDDGWVTTGKATNKKNKKK >CRE26187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:985390:986675:1 gene:WBGene00054239 transcript:CRE26187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26187 MADVLCNNPFSLRSCILYEFIEGNEPFETYQKLIKKLGDEFMTYPEFEFWYMRFAQGKYDLDYDRSLDPKTRLFTDLPVEIFDKVGDSLNLNDRFALRNVSKDMQEIVDSWAPRVTDMELWDNWNITESTIPSQEVECDEDSNENWMSLFKNPKLRLETLMLNCWTRNKLRFLERNCFNHKIHVKKFKMRTFCSIRSIFLNMLDRETLEEVSLPITRQCIEKLDEFLSSDHLKDIKMLNITTDLCPSELPLMNFFQNLPRLTIEFVGKGVEGRWDDFKDRFIKKLADFIKYFLNSTQLQFCHLTGRFSLDMESIMIELQSREIMVPGFPNVRRYPILGSNEFYEIEFNGGGYGGYGILHDFEIQIKRKQ >CRE26462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:981517:983686:-1 gene:WBGene00054240 transcript:CRE26462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26462 MNDYYQEERVWRRRDGEKQKPLSVTLKKYSLTIAAISMLSHVFLDGLMSVSLLSSIMFTYVLSYRKARINVNETYYDLRRNYYKTCLLIFSSTFLAILRVAFISLSDESRFSLYLAYLALFLAFTENLQMMSEAESYTPPPPPPVHVRRMPRYAAAG >CRE26186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:979996:981320:1 gene:WBGene00054241 transcript:CRE26186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26186 MAESSRPLTYPVLRNLISHLKFPKRKEVARRVSSIRSVEKSIPYNLKSVQITEDYAANCFTLWIDGMVIRFDRTERQNGLVRIVTVQKSTIDLPETAINRCLDYYLNRPDLHIKQFTINRAAWPLKQFFPSDISSMKIIVGRGTERSLKQFKDVRFKRVIVDNSFNDSSIFALPMVHNTANLSIRSVEMQNDELLNMPLNSFATVHSNFILNATFTDFCLKLLKLENPEIGTSFTGHSFVKNFNLKRLITVFQGRSDTKKSMWNGRKSTTIDMGDDKELVITYNLTGTVFEPKHHVTATILKKGSSVDRIPGIVQNLVNVFNGIRLT >CRE26185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:975462:979141:1 gene:WBGene00054242 transcript:CRE26185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tcer-1 description:CRE-TCER-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQM8] MSHENQENYDDYGGDQDNKYDQQYEEQGRGDDYGGFGRGGSSSGRGRGFGGPPGGYGSGAPPQYGMRGRGGPGMAPPGFAGRGRGFAPPGMGAGFAPRGRGMPPGPGGFAPPYRGAYGGAAVGYNYNGGPGAYQAPPQAPNPQDQEERLKRLAGCEDGQELWVETETAEGKKYFYHPVNRNTIWERPQNSKIVSQPELAQLINRSTEEEKSREERMHGHPQSPDDAWTEFNAPDGRKYYYNSITHENTWEKPRALADKDNGSKSPEPVQSAAIAEAQAKAQAALAAFMAQQKNSSNGGGGMPLSKAQASGAAAAAAVNAEAAKKKDSTRPVSSTPVSGTPWCVVWTGDSKVFFYNPSTKTSVWERPPDTYGREDVDKLVQNPPTPKAEDDAQSKKASDTESDSDEDGPPKAKKSRAEKKKEALLAAQKKEKERPRQMLQKPVDPAIEAEMLAAKEREKVPLEERLKQFKEMLEEKGVSTGSTFEKELSKIVFDKRYLSLGATERRACFDAFCREKVEAERAEKKKKAKEAKEEFQKLLAEAELNGRSTFTSFSSKFGKDPRFKAIDRTRDREDAFNDFVGELHKKEKEEKRAKKEKLKAAFVKLLEEQTSLTRKSKWSVVKKTLEEEERYIALDSSSTRESLFRDYVANLGDETASDIEEEQEREKRLAAQAAIANRQKEVEAELGDQLRERTKESEKHKLAENEETYRTLLSDLIKTTEHSWHESRRILRKDDRYANCDMLDKTRKESLFDEHMKSLERKRREAFFQVLDNHEKITPMMRWRDAKRIIQDEEDTFVKVASNSERKVERDFRDWQERRHDQLTDEFKEMLAETKIITHKSKKLMEEGEQHMKDILAVLEHDKRWVRMTQMSASERDRMLEDHIDNLARKGTPPPPTQQERDRRKL >CRE26461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:973840:975078:-1 gene:WBGene00054244 transcript:CRE26461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26461 MSGETLEFSGCNFFRIRLAYSILSGRPIRIVNIRKNDDRPGIRDFEAKLIGLLEKVTNGTKVEISRTGTQVTFRPGMITGGIVNVDCGTDRCISYFLEPLILLSPFCKLAMTIKLKGVTNAPGELSVDGMKASWLKVYNKFVLNDEKLDIKIQCRGLKPEGGGVVIFTAPIVKTLRPVKRQQVGKVCKIRGQAYVSKVTPSLAYRMIDAAKKAMHGYIADVFIAVDQRKGDAGGASPGYGLFLTAETTEGVVYQAEAISRPKGEPGNPILPEDIGIEAGHSLLQQIYMGGALDSSAQVLASTFMTLCPKDVSHFLYGPLPMYSVHTLRHLKQFFEIEFKMEDYRKVQKEDEADLRTGSLDKAMITAVGVGYSNLNKTIL >CRE26184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:973028:973670:1 gene:WBGene00054245 transcript:CRE26184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26184 MMVYKRGKSERGCFAVVQGRRVNIKKKEVHWIPRSIQYTGPAEVSSNFIREQIDGESAERGMFRGRGMEGAEWKAPEGYEIHVLKERKGPKGVMLDIESRPDAIRVWQWDRTCGEDNADRTTIARAFAYLRIAQSLADD >CRE26459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:970561:971371:-1 gene:WBGene00054246 transcript:CRE26459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26459 MYDVLSDEVWDFFCKRLPRNEEEIRERKEKCSEIEWLTQTEELKSRLVRSTVSKCDESFVMIRIPLMTQIFELKIRKSECFPEEAPEFSGEFIDGFHNLEWTAESTLYELSEKITDYCITVDVAILEIKEADSDGFHIVELDMDDEESGHLRVVLKATKYKEQLTLSLDVEDFRSFPRVLRCSNPSRTASFDCEKWISDDKLGTNLRRLYGELELNFTSDDPITKEIASANDSFEEVDEIMDFI >CRE26458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:969926:970467:-1 gene:WBGene00054247 transcript:CRE26458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26458 MSYTIGPAQKVDTEKKEVHSIPCSVQYTGPAEVSSNFIREQIDGESAERGMFRGRGMEGAEWKAPEGYEIHVLKERKGPKGVMLDIESRPDAIRVWQWDRTCGEDNADRTTIARASAYLRIAQSLADD >CRE26457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:968653:969817:-1 gene:WBGene00054248 transcript:CRE26457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26457 MGRVFKKKVGAKREAEEEKKEELVVKKKAKKEEEPEPEPESDPEQEEDEDEDLSDEEAEDVDDEDDEEEEHQLMDFDFEAYPPSDDDRDGIVNMLTQTFLRADIDLKSMAEGIIAKAPHGIVLTQAYDNDETEEDFMAYGLCTTVVLNENKDNVPKFIKDIFVYLLNRAKKGAPTEIYKKIEEIQEAGNGQAALFVNERLLNFPTLVVPQIFDSIRTDLTGFDTKYKTIIYIQKLRIAEGDGSSGANDKAGNSSSGAPPKKKGKMGKAEKKRAVAAALANSEIEFDNPEDRVLFELKEGKEVHFDYPVHMDVEPGSKFHTVEKDGKKYNPFRRLVIMDDKRFDAFLKKGSEGVIV >CRE26455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:962245:963957:-1 gene:WBGene00054249 transcript:CRE26455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26455 MFYFLIFLYLYNQLAILVQQKEKRLVSSECFLTISSKPSHLSTPSSLFLHQDPAPSFFFFSLPSSSIFLSYKSIHYQFSTSVYLFYYCSRNMSTFPHFGTAAIHVGQEPEQWDMNQVVPPISMSSTYKQDQPGEPKGHDYSRAGNPTRDVLQKNLAALEDAKHCQVFASGLAATSAIINLLKTGDHIVCSDDVYGGTQRYIRRVAVPNHGLEVDSVDLTDVKNLEKAIKPNTKMVWFESPSNPLLKVVDIAAVVQVAKKANPEIFVVVDNTFMSPYFQRPISLGADVVVHSITKYINGHSDVVMGAVITDNDEFQQHLFFMQLAVGAVPSPFDCFLVNRGLKTLHIRMRAHYENALAVAKYLEANDRIESVLYPALESHPQHQVHQKQTKGMSGMISFYLKGELQQSRSFLSALKVFTLAESLGGYESLAELPSIMTHASVPAETRAVLGITDNLIRISVGIEDLDDLIADLDQALKIAIPKV >CRE26183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:957522:962119:1 gene:WBGene00054250 transcript:CRE26183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-him-14 description:CRE-HIM-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LQL9] MYSNKSLQRRQRQYISESRSEEKFSNSLIRLNGQSLLDTTGNNTTTRNNSSDVVIVIMEGRGSNEGQIGIAMHDVRHPEINLCEFVDSREYTTLKTMINVHEAYDIVIQNGNEERGSTKLLGEALMTAFPDASLQSISSKYFKSERGERQLNSLMNAEVSTVSEGCLRRSLALGALAVLLKYIHETRCVFFRVKSLRIKEIGVDDTCMIDFVSWESLEIIDTDDASKARKCQMKQKRTLMSVLNHTVTTNGYRLLRSNVLQPSTDVNVIESRQEAIEELIAKPQLKDKLKRTLLRSQELDRVIAMCIQTSTSWTVRDSEAKINQIIKLIQTLKVIQVIRELLDSARVKSNLLNEKTEFLKDPRFDQIMEILIEKVDDTLLDGRKNSLHLQNTKCYAIRNHVAVQLDLARQTYEELIAGVEEVGAREIAQHFHNNASVRLSFSQARGFHYTFVTRQAETVTIPRHFLEVFRNRTTVTFNSREVIAYNGTLKYFDIPLFMVSLLLDRLDQAVSEMFLASDVIVCAMIEEMQPMIPVLYYAMDALSTIDFLCGLATYSDLRETCRPSFGPSFSVSQGRHPILDWADSEKTITNDTCLTRDRRFGIITGPNMAGKSTYLKQVAQISIIAQMGCFIPANYASLPIFTRIFSRMGHNDELMRNKSAFASEMSDAAAIVQYADKRSLVVLDELARSTSTEEGIAITYAICEKVLELQSYTFLATHFLDIAALANYSNAIDNYHFLPQTDENSFKKHKLLRGQYRGPLYGFELIELSTFPDEVLQHAQQLAAELRAQVEDTERDYDSERRRVKVFMSHRLRESAEYFMEMHGEKWKEEPAAIEKMKSLRNYMIEELAKIDRQEQMSQESE >CRE26182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:955332:957412:1 gene:WBGene00054252 transcript:CRE26182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kars-1 description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:E3LQL8] MITVQSLFGRSALSLLRQKSPSLLGTTAFLSHNTREQKRLAKQAKKDQERLEKEAAKLNVAVADAPKVPREADPSDPQEYFNMRVRMIEARRAAGENPFPHKFNVTISLTDFIAKYSPLENEQVAEEIVSVAGRIHSKRESGSKLVFYDIHGEGTRIQIMANAKFHTGDVDFVTLHDRIKRGDIVGFTGQATRTKAGELSLIPKEVLQLTPCLHMLPHSHFGLKDKELRFRKRYLDLILNPRIKDNFVIRSKIITFLRRYLDNLGFLEVETPIMNQIAGGATAKPFITHHNDLDMNLFLRVAPELYHKMLVVGGIDRVYEVGRLFRNEGIDLTHNPEFTTCEFYMAYADYEDVIQLTEDLLSSMVLAIKGTYKIEYHPNGANTEPVYEVDFTPPFKRVHMYDGLAEKLGVKLPDPSTLDTEEARQVFDKLCRDNEVDCSAPRTTARLLDKLVGEYLESTFISPTFLIGHPQIMSPLAKWHRSIPGLTERFELFAVTREIANAYTELNDPITQRQRFEQQAKDKDAGDDEAQMIDETFCNALEYGLPPTGGWGMGIDRLSMILTDNNNIKEVLLFPAMRPEDGTEKKPEGDAPSTSA >CRE26454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:952270:953921:-1 gene:WBGene00054254 transcript:CRE26454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kat-1 description:CRE-KAT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQL7] MLSSSGHAFRRGFTTSAALSNKDAFIVGAARTPIGSFRSSLASVTAPELASVAIKAALERGAVKPSSIQEVFLGQVCQANVGQAPARQAALGAGLDLSVAVTTVNKVCSSGLKAIILAAQQIQTGHQDLAIGGGMESMSQVPLYVPRGEVPYGGFQVIDGIVKDGLTDAYDKVHMGNCGEKTSKEMGITRKDQDEYAISSYKKSAQAWENGNIGPEVVPVNVKSKKGVTIVDRDEEFTKVNFDKFTSLRTVFQKDGTITAANASTLNDGAAAVIVASQEAVSAQNLKPLARILSYGDAATHPLDFAVAPTMMFPKILERAGVKQSDVAQWEVNEAFSCVPLAFIKKLGIDASLVNPHGGAVSIGHPIGMSGARLITHLVHTLKSGQIGVAAICNGGGGSSGMVIQKL >CRE26453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:950819:952175:-1 gene:WBGene00054255 transcript:CRE26453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26453 MVDKKVFILSGARTPIASFRGSYANFGAVELGAVAAKSAIERSGVAPEKIEEVIGGCVLQAGLGQNVTRQIALSAGLPVTTQAVTVNKVCSSSMKALVTAAVEIKAGYYDAILVVGTENMSQVPFYLPRGEIPFGGITTTDGIAKDGLEDIKEKGPMGLCAEKTVKDYGITREEQDAYAIESYKKASNAWSTGKFSEEVVPVVIKTARSEVVVSEDEEYKKLIESKVSSLRPVFIRDGSGTITAANASSLNDGAVAAVVVGEASLPPGAKPLAELVAFAEAGRAPIDFTVAPVDAVRNLLKKSGLQVSDISLWELNEAFAVTVLAFIKELNIDPSIVNVKGGAVAIGHPLGMSGLRIVNSLAYSLAPGQLGVAAICNGGGEATAVLIKKL >CRE26181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:948509:949011:1 gene:WBGene00054256 transcript:CRE26181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26181 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LQ92] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE26180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:945847:947728:1 gene:WBGene00054257 transcript:CRE26180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26180 MATSAPPRFCTDYSKRVSKCQKCKMQLEKGILRLGKIIPNFFLAAKDPSKPPPDMKQYFHKNCLFEMLFKARPTTKVIDDTEEIEGFEDLNAEDQDEIKKLVDELVEKRSKDGPAEPKKTPAKKKTDEEKGEVAETPSRKRKAEEPAKSKRPTEFNEESDFNSFYKFVKVCNVLKEISSKSEKSAAITSLLQKKGFDGDLMLWLTFLIRESDKRNYNVSDETLIANFAKILDMDQAKIQKYVNKSKDVAMSISHAHEKKVTNEKSNWSLQKVDRYLEKLEKLEDEDAILSHLKFAAKRFRHDELEVLIRLIRKELDTGADASVILKGVHSKAPTVFEADGLDNVVDKYYNNNFEEPKKADSKKKFEAPKSKKSRRQQESDDSDGEESEEEEMSDSESEDENGSDSESGEENISGSDSDDVSEISSDDEDGSPKKENSPKKLARGTRTRPRGNDGNIKKKEECPPDMEACRYGEKCYRKNKDHLEEYWHPSR >CRE26178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:940264:943753:1 gene:WBGene00054258 transcript:CRE26178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-farl-11 description:CRE-FARL-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LQL1] MGIRPNFAEDVYGDKDRKTADDDHVEYSESSLAARRSANVLKLKQLKEAQPMITPAYGGFVHHESSDNVVAIDKDLDFEYGDSDTGIREMAELYSYSEIDEFGININNWKEYIEESYVSTLQHLISDLNSYIQDKKHEQFPPAFEEFSTVQKNYVIQDLCSRMESADLEVRIKSARIILFLLQGSATDFACLENEEIEYQYDQKLLMNVPVRKTKEMDPEGPTMVYHRAIENSFICYKNGVFQALCTLLMTEIKEPFENPPIDGRMSKASSRSSRNASLADLSDSEKYRARQLPTMVDNEMLRVILAGIYHMIVQILDERSGREDETDDDIEMRKAFREEVQEPIENGNEPLLIVLFDMLQPFYIGTAPHFPIKKIVLLIWKILLLSLGGWEELAAKKREKRLKAGLDIMEDTITVAASQAAFIAKDQEHVRNMAHRAGSLARAGMMARQMAYNDDSKDEDAYSDTSSEAPTLASKKEDSPSATSSAPQSITESSQNFRQGSGEQTPRVGSPAFPVVQKKNLPWKCKTNAQDIEDFIQKGRLKYFNYDFEPGDDSSLFGLPPAFNGAVNILRKNKYTSLTEIQVKEDEKLNRYLFSMKEEIPETKTEALYRKILPNLSQYICALVKVMVSSVPSTKARHEGLNVLIDCLTPEMEASDILSNSISLDHSSASPLEDGFRLAIDINRHKEIIVKSLSAILLLLVKHFKLNHVYQFEFICQQIVYVNGIPLILKFLDQASHFLLCYNSSKSDLFSPKFNCRSSFFSAYLIFHRLTFERYVQTSKLNTNKLIQSRHEIYAYNYPQCLYYYVRNNEEFPQLCQENIEEPRPPGAGPYFMWRNVFFAINLIRLLNKLVKAKNDRVKMLMVFKSAPVLKRLFKVRVSILQLYVLKAIKMQSRYLGRQWRKSNMDIISAIYSRVRHRMTDDWAFASDIKRKCDYQKEDSLIKASIERFHSRRYSKLYPQFAIEVNDAPMPGDDYLNRVDMRDFEPVDTCAHSVLGANINLGRQFKKGYEKWLEQEVFQASIDWDKLLIETRGVDDLN >CRE26451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:939122:939699:-1 gene:WBGene00054259 transcript:CRE26451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-33 description:CRE-RPL-33 protein [Source:UniProtKB/TrEMBL;Acc:E3LQL0] MAETAAARRPSAPSSGRLYVKAIFTGFKRGLRTQSEHTSLLKLEGVFNKDDAAFYTGKRVVYLYKAHNKTLKTGHTVATRTRAIWGKITRPHGNAGAVRAKFHHNIPPTALGKRIRVVSFHSIIVFNHMDLFSASLPIQHLSGVLCYCYCSQLS >CRE26177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:935144:938937:1 gene:WBGene00054262 transcript:CRE26177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26177 MSEAAPSSSSHSVVVEPPDSIFSQGETADSSQAPWWQRTILTKDKVLFGTWDGVFATVMVNIFGIIVFLRLGWIVGTAGVANSILLLGICTALALITVFSAIGIVERCQIKSGGIYFLVSHVLGHQLGGAVGIIYAFGQAVATGLVAVGFGESVAHLFESESKVLIKVIAILTLMVLTAVNTAGVTWVVRLQIVLLMTIALAVTDFIFGALFTSEPESGVFRFSSARIRVNAEAHYEAVNCSVVGISRQIPEQSFFTVFGVFFANFLGVLAGVNMSGDLKDPHKSIPLGELSAVGVSSTICFVFIMILGGAVDRMSLLCDVMISEKVALTGIVFLVGLYVCSLSSTVGSLLGTPRVLQGIAEEGIIPCLNVLARGVGPNDNPVLAGYLLMGVASLFVLLGDLNQLAILSTMPFLITYAYVNYSYVSLAMSYDLATVQHASEVETVGYGSTTKVNELNELFPERRDVNITSTEEAETGIITQEATWYSMFSNRYVSFLGFLVNLAILLLIHFWFAVAHFAALIALYWYIGRVCPTCGPGISTFSLCHMFKTVFSSLDSLRSSATTILPQTAFTSDVSTSQQNEANPDYQDRRQYHHSENVTHQLD >CRE26450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:931698:932543:-1 gene:WBGene00054263 transcript:CRE26450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26450 MARSRRDKNVSLTKVKKKTKDTKNNLVNEVRASVDQYKNLFIFTIANMRSTRFIAIRQKYKESSRFFFGKNNVISIALGKQKSDEYANQLHKASAILKGQCGLMFTNMSQKEVKAEFDQITEEDYARVGDTATETVVLPEGPIAQFAFSMEPQLRKLGLPTKLDKGVITLYQQFEVCKEGEPLTVEQAKILKHFEIKMAQFRLIFKAMWNKKDGFKELDA >CRE26449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:930804:931459:-1 gene:WBGene00054264 transcript:CRE26449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26449 MLRVIVGSRSLLWVQARGMAVKKNAPEPKFTQSAAFQGRGSRGMPVFKPDYYSSDSYGNKKVFSALGSILAVVIYFGWLREPSDLDEIWSAPPHILTSNLERKMLREQIKQAQEKGMDTALLRAQLEYVDVKEEALRIQFEQKTRQQERRNQQS >CRE26176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:929096:930698:1 gene:WBGene00054265 transcript:CRE26176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-5 description:CRE-CUTL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LQK6] MLIFIILYSVLLVVVSCLKYPNEIVDTPIVICESDKIIIKIKTSISNPSHIYVDGRENDLECVSRNQNKIEVAHNKCGMVDEKTDQPNGSIRRLCIFVQLHPLFVTESDRSYCAQCVYVDSHVMKDIESTLDISEAPPLQLTPQFDAPVMPKCNYSIRKQGKDGPPVQYASIGDSVYHVWSCDGDHNGILVQNCHVEDGQGNKILIIDQNGCGIDHYVMDTPVYNGEQSMAYQETHVFKFAQRTVTRFICQIKICMKGDDCKRLSPPEACPTLEERFNEETPAKGRVFADEIHPPASMPSDLTGPNDDTSVEFVRRAPTKGSIYFGVGYNPKRHRREILINTGTRPQKQFTMKNGYPEMEMVGELRVFENPQDVEYFESQSSSATGPSSICESPSSSLFFSLLVTALVVLSILLISLFLLISSRIRSGKNNSMHFAQ >CRE26448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:924927:927934:-1 gene:WBGene00054266 transcript:CRE26448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spon-1 description:CRE-SPON-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQK5] MLRFVATLQLILLLFLFVSPGNGDETKCTIRPYEAKGEVSPGSNGYLIEINGTSTKSMDTTKGFVPGEVYKVSIRGWRTQYTVKTFRGFVISSLFEDNTSAGSWEVVKGHGDARISPGCRRSGVSHANLKSKTSVHMMWKAPEVSTGCVMFRASVIETKYVWFTEAEGLTLKFCVQQGTQILKAVDDPSATCCACDIAQYDLEFTGIWSKNTHPKDYPTLEHLTHFTDMLGSSHSSNYSLWEIGGISTDGMKEIAEWGNTYKAEGEAKAKASEVRSLMKVKGLWFPDVQGTTKSQFVVNKYHHFVSLATMFGPSPDWCVGLSSVNLCLPDCTWVEERTFELQPFDAGTDSGPAYMSPNAPTEPREPIHWITTKLNPLSPFYNQNSDTIPTLAKVVLRRKNLTSSECKSDDIYKAEAHNITNTSEDEEYKDRRECMMTQWEPWSLCSATCGKGIRIRSRVYVFPIKAQVFHCHRQTTEKQFCNAKINECESMQTVKPDFQLYSEAFSSKCQVSSWGAWGDCSVQCGHGMRSRNRTFLNPATKAGDCSVELERKDICVGENGDDCNVTPDPLCKTTAWSDWSPCSASCDEGVRVRTRLFFYSEHEKRCMHVNLQEKDTCVMQSCRRFIEINSEEICQEEKQAGQCAGSFPRYWYNHEKTQCERFIFTGCKGNRNQFETEEECKQICLPGYEKTKSLIPNNQLLEDFGGDQVDDGGERVDCEVSKWTAWGSCSVSCGRGKKTRSRSVVKLARNGGQHCSEHLMQELQCRLRPCPVKLTCQVGPWSRWSPCSVSCGEGSQTRRRRVIRARNDDWEEIECDEAETEVRQCTGRHQC >CRE26175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:923410:924924:1 gene:WBGene00054267 transcript:CRE26175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26175 MDEETSRSSLTDEEDYVDDNDNDNGEDYEATIEEEELLDEGDYADELKDLEDEGEMTIEELQRKYGYHPPTEVASNTGPAENGEAETTGESSSAAHDHYDPTILDFGNSQSGYDDDDDDYAPPDPWKRTIRVDPVLFQADVPDFEKSSSQDSNTRDEDIVLWSTNKPNQPNDEVLNNYLKDIVDLRKTHEQSVPPAGCEARDDEDALCALYRNNFDTEKAKESFPFPHINAPFRTVRPDALGFSEEESRIFEESLQMYGKDFSLITKMRMPYRKVGELIEYYYQWKLTPAYRVWRDAHPQHVPVVQPHLSTAWHQQSSDHEAHPHGPTSFAGASFSEPSTSEESTTLTN >CRE26447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:918753:922810:-1 gene:WBGene00054272 transcript:CRE26447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gld-3 description:CRE-GLD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LQK3] MGEQSKEGEADEPASFDPFVRSEVEYDSTTRLHMAEDVASTRRLFVSPALKDIIVNPENFYHGCQQSAKMAEDANQRRQVSFNTKREAFIHQLRANGLPLPSKIPMIEINPTRVTLSLEFEAQYYSLMTNDYGNHENVASIMQQTNTLIQFPDRSESSHDPFVQQITITGHYSDVDKARKLMRDNCHVSIIMSLQDLKVSQSELQAFAEQNPIQNVDMFFLTSSSEKNGEALPHLRFTSRTKNEHELIQAANMILKKAYGNELQRKHPLIEQRTNVRISYPGYNTKEDIRGNLFTITINGTVENVLEARKYLMDLLPVSICFNMANTDMAAQSELADRCIHVLIEESRIMFKMTPSVYEPSELLSDEVPLHWASLRSKEFNIKHMYTAYQKVLAKKIEVVAPQPTDYDKSIWHRCLPQGFLFFLLLCRGESSDSSASHRRHRSTSVTSSQSKQGFPKGKSSSDVPIVPTRSQPRVSSVSECISMYSPPICKNYVYCFIAQPYHPMQMFTDPITHSQLTQMIMMQQQQAHALMKGSPLLQPVPFAYPIVFDQGTGAPICFPYHPIDPNFFQYPGIPISEGFDRSTNRQETSTSGHQTNSNKKPTRMMNRPSSGGSHHYSSSTHRPRRGYEQIRDDDARSSTRSGSRRTSTSGDEPTVGSYEDRGFDRQFSRHNQRYSKDDNMRWKTGSRGDINARRNQNFQKDFRPASTSRDYDFHVGSAGSTDRHASTDQLQFQSTHHLKLKPNEVDLDQERLFTHDSPQVDERAYPQSGFGSGLVDGDFVQKFISNVNVNDPKKRSRAVSCFIERDDQIARLTDSDCAYSVTDQTITQPSRSIDSFKKLPGAGVTKTMLEPRSRNEKEYAKINLEHKDKFTGEPIDEDKYTDMGPSFGSRQYRIDPMKLIASVRESSEQLPRIHERQFNDVLNDKERELAASAFKERNMETNLVQERSLDDTSPLDYAFRNDQSTDNIR >CRE26446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:910925:912933:-1 gene:WBGene00054274 transcript:CRE26446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-31 MMSPYFVGFLYLATVMTGIFGNIWVVCSVARSRKPKSLMSRSSPSDRLRAYISVLAVIDLTVLMALLVRSLYHFLPHFMLDSNSCRAMFVLENSVKITSLTVLSCISIERYITIRKPFCSEVRRQFVNATPIGASIFVGLVIGAIIVQINSVTVSTDGMNCVRSYRGKAIPRVAAYLTAVAFLVDLTIISLNYSQIVRHVRRKFTKRRARVQANSRVRESLVNEPRYMREMTAAIVRVGVFHVVCWLPMSLMQFIPDNTIQSELTAGIRLFSNFRDFSFTRWLIFIATWLTSTNAAGDWIFYAVMNRDLRNLIRFATERRKRSTMSHAASPSTNRSLRQQVPPSMKVLHSISYRSSIGGSMDDAAGASFLQSSPKESTVSQEPNSPFGVRAKISILTRKESDGDMV >CRE26445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:907916:910605:-1 gene:WBGene00054275 transcript:CRE26445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fust-1 MQVRPTERSRNARPRRKRADVFRYVRLATFFIYVFCSQFSFIIDLLSISDDVPNRTENLDLKTTTIMASYDQSQPDYSTPEGQQAYWAYYQQQQQQQGAQPEQYGSYNNPGAQAVAAGAHAHDPYSKSQQDPYASAAAYGGHEQAPQQQPQNPYAPPPPGNDPYGQGAGGPPAGSDPYGQSRGGSRGGFRGGYESGRGGAGRGFDGGRSGFESGRGGYGGERSGRGGFGGSSGGGRGGFDGERRGGSRWDDGNSDRQGGPPGGRGGYQDRGPRRDGPGGGGGGYGGGGAGGAGAGSREFGSDGRVELKETVFVQGISTTANEAYIADVFSTCGDIAKNERGPRIKIYTDRNTGEPKGECMITFIDAAAAQQAITMYNGQPFPGGSSPMNISLAKFRADGGDRGGRGGGRGGFGGGGRGGPMGGRGGFGGDRGGYGGGGRGGYDGGRGGGGGFRGGDRGGDRGGFRGGDRGGFRGGDRGDRGGFRGGDRGGFRGGRGGGGNANMEQRKNDWPCDQCGNSNFAFRRECNQCQAPRPDGAGGGERRGGGGPPGGDRYRPY >CRE26444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:903462:907713:-1 gene:WBGene00054276 transcript:CRE26444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26444 MCCTLPCVFLLHSVPRLPIPLTSAAGVSLSVFSLLFISPTLSASPSLSCPDLPCVLFGANPLSHSPNLLGETVRSGQTFDRIMSSITGLQVKSLRIGVEPVEVELDEDAGILWTSLQTAFPGCSGMYYRERGDLCKKSVRFDGKKFQAPGGAWNDREYYVTLSQRCHAVTGNPGNTASYSDATKQFEKSVQAVQKMMLASGMKIDMSHFTKKRQHTSSRETASSTSSSTVTPDPIQAIEERSQMLKNAGRDLSPLEQQFLDLCRISTAKDQIIDSNRQEISKLNETLRNLEKNLKENEMNLKEANAMVECKQQELDMVSEALKRLEESRHTISELNQKSGDLEGNVTELQMKLMHFEEENQGLRANLETSHNRIKEMADSISMLTSSKDILAKELDQLRPFAEAAGIEDYENIPTFIHAIQENKELQKVNEKMKIETEESKENSKQMQSIHAEVFEENAKLRNRNEDLERRFAHVDDELTCVNENWAKELKEKQQDWDKIKYAAESEVLSLREQLVHLQSILESATREAAENARRVSELEHERHEIRRLSDAVHIKTQEELTRQVRILKERLAESDSQVAELNVLVSSLAADATASRREISDLL >CRE26174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:900620:902965:1 gene:WBGene00054277 transcript:CRE26174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26174 MGSFRSLLSYTALLIGLISFAADSINYVFTSKYIQVFFDEYHLPPSYLKVVQILFLVWNAVNDPMMGYMQDLGCCGMKWIMDRRKVVLYAGPVFAASFLLFWFPWSTSVGWLTALHLLVSLFIYDTLLTLVLSAYCGLCVENSRNHQDRVRVIVYGEIFTIVAGLLIYPLETMPHTNEHYWLFQTCCVVVACVSAALMAYSGYNLKVERPVNEDHQLEKFQEGEVVVEKKADTWKNALQVSWQVAKEPRFICLVGAQFFRILRFMANENFLIVFTESLLVNNGFFEKNSGTLGLFYILARSCGSILFLILWMPTNRFGTQAVIQSLNILSIFNVLFVLWVGMDNITAVAVFIILENAISRCGWQGFYIVVAGEVVDTDMKTNNRKTPFSTIIFTLKALFNKPADQLAPVLILSLFLEQGGYPQLTVPCQHFYEDNFSNSTLFNSTSIETSTFSGYPTTQQCTNYNQWMFLALAIFPAICAIGESIFVAFDQYWRRHIKGKTGHLGDD >CRE26172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:895366:897623:1 gene:WBGene00054278 transcript:CRE26172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyf-13 description:CRE-DYF-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LQJ7] MLNLFRNRKKNAAGPALKKVQKMPELDDFLANQDYEGAISLLNIQIFQHKLRTGNLDREQEDSLQLWLAHCYYRLRNYEEAANVYTFLMSKEDAPAELGVYLACCKFYLKQYLEAKTIAEKCPKTPLCIRLMMNVSLRLNDEKRILTFHSNLGTSIEDKLCLAGINFQRMHYQDAIDVYTSILQTSPNLIGLNVDMALCYAKMDYPVVAYNLVKNYLRSFPNSPFAKNLLLSILYHTITTKTTIEEKSDLARNLDKEGTSLVPEMEAMLKQKLYPEVEYLCRHNLVLFKECETALQVLPSLMKHVPEARLNLMLYHLKRDNVKDAMSLCKDWEPTTPYEFLVKALTFLRFGQDYHSREHLKIAENFFQMVGESGLVQDTIAGRQSSAAYLFLAFKFDDVITYLQSIEAYFSNNDEFLLNLAQAFLMYKNYVAAEEHFIRVSGPERDKILYKSMLARCYIRNKKAHKAWDMMVKTVNFSDRLSLLKVIAQDCYLSSEFYYASKAFHEIENSDPTPEVWNGKRGACAGLFRQLANHKSDQELLERMREVIQLVASKPHPNCEFLLKVIRSWGETHHVNLT >CRE26171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:891332:894142:1 gene:WBGene00054279 transcript:CRE26171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glc-4 description:CRE-GLC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LQJ6] MSGYMKAMCCTSNTGYKLHPQHHTDFPLIPTRRESARNAKKTVFLPLFFVMKTQLCVSLLLTLSLFHTNLAKKSKTKSCKRTAFSRHTTNYQAWREQMTVCDLLQDYDSAVRPSGRTPYNDTRGAVIVTTSLNIRSISAVSEKNMEFVAQFRLRQEWYDDRLRFIEHQGLLSSDYRNFEFIHVARDQSLWIPDTFFQNEKNGWYHMLNQENRFLKIRSDGKLIYDRRLTLHLSCSMHLSRYPMDHQNCEIAFASYAYTTADIEYIWDVPAIQIHEGANGALPNFEIASFKNGSCTSKTNTGTYSCLKVEIRLNRVFSFFLLQLYIPSSMLVGVAWVSYWIDWKSTAARVPLAIVTLLTMITTSHAINSNLPPVSYAKSIDIWVGACVGQFIFQFDCERPEISKIMYISVFIFFSLIEYAVVNYMGILDEHRQMRKAACNRSRLSNVIDNDNFGESLQSLTFSPQEKKRLIRRRPKKTLELQEGDFEAIEMVDRGPPRSAGLMEEGWTFHDTTDLVYIGQRKRVELVRWCSVLSSRGRAERIDIIARIIFPIAFILFNFAYWSIYLEEEDQDES >CRE26443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:882245:883221:-1 gene:WBGene00054280 transcript:CRE26443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdl-1 description:CRE-PDL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQJ5] MATTATRHQDPKMSERAESILAGFKLNWMNLRDAETGKVLWQSTEDMADPKKEHKAHVPKNLLKCRTVSREINFTSSVKIEKFRLEQRVYLKGTIIEEWYFDFGFVIPDSTNTWQNMIEAAPESQMFPPSLLSGNVVVETLFYDGDLLVSTSKVRLYYD >CRE26170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:880413:882051:1 gene:WBGene00054281 transcript:CRE26170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26170 MIFQAMFPGDGEILLRSRLYVICGILVLIPNTICLVVFNSSKDFRQRFVFFTLLSVSDLINGVSFIMSGAGRLDLLFHAKYHFKTSSYECMTGYLWPIFLLFGGQLPATFHCLLTVERVLAVNRVSWYRSRWTWRHRLYLSSLGIFICVFLSFIAFLVSFASPTLNENRICAVMDSTGIIYGTIHYCWIACSYMIAFAVTLNLFLRSHGSKFLNHTEKRKQMAILILSGINVLMVSVPNFVLVADQWHTDEFDVLLVGVAYLLYGFQSCFNLPIFYFFRLEFRRRCHELLRFRRTGSNTIVSNDMSRQKLSRVNASFVNTAI >CRE26442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:875920:878287:-1 gene:WBGene00054282 transcript:CRE26442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26442 MSVSSELPTVLSKPPKTRTKLPIYVVSAIVVALVVIVVVINIVHRLPETPDDSDDVKTTYSPRTTASLYPETSPYSSSTEPMITSTDRSTSTSESDSSSSNSDEDHKDGDNSTLIPVTFTTTVTPTTSEATTVVSETTQTADLSTTSMAFESESTTSSTSSSSSTSSSESTVHPDPTVIPPTGVECGKTCSVSVVESIPRGIWFADRVHTKNAYESWAELLGTARSEIDIFAYKMNLRGKELRYDVDNSTFEGRQIYSILEEQAKSGILIKLIDCQPPTFPENDYDADEMERLGLIQRQGLDMNSMNGGGGGVQHSKAFIVDDRHLFVGSLNFEWKSFSQKLEIGLEFHDCPCIAQDASRLFDEIFNSLSGKEKMETVDFEPHKIGNSTFQFLASPSFLLNSSHSWDLEALLNLIYEADNFVDISVMQYFPSWIYFKNREFFPSVIEYKKFRFFSQIDNAIRMSISRGIKFRILVSGDQKEEQKLMFSYLHSLSVLHSPSENRFIQIVSVFFFLSSDHFLNFQKFILIPQTAQEAYKDRKMHAKFMLSETRTIIGSSNYAPEYFYKSTGTAVVVDEAPFHGDINRQMKAVFDRYWHSTYTQKLQTFGETKGWLPKTSKPSNPFAGWFGFDQIGVFNEKYTASGLVYEKNSGEDQILDPLIFI >CRE26169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:873598:875723:1 gene:WBGene00054283 transcript:CRE26169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acdh-12 description:CRE-ACDH-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LQJ2] MLSTLSKTARISQTRFLRLSHSAAAKDAKPKKAPVAAVDSPSFVMNLFRGKAVTDQVFPYPLNMTEEQKETLGMVMSPLEKMLIEVNDVVKNDETSDIPRAVLDQFAELGTFGVLVPPELEGSGFNNSQMARVAEIVGAYDLGFGVVMGAHQSIGYKGILLEGTDAQKQKYLPDLATGRKFAAFALTEPTTGSDASSVRTRAELSADGKHYVLNGGKIWISNGGFADVFTVFAQTPVKLADGSTKDKMSAFIVERAFGGVTSGPQEKKMGIKGSNTTEVHFDNVKIPVENLLGKEGEGFKVAMNILNNGRFGIPAACTGAMKHCIQKTVDHITTRVQFGKKLQEFGNVQEKLVEMISKLYATESIVYMLSSNMDRGIKEYQLEAAIGKVLASENAWHVCDDAIQVHGGMGFMRETGLERVLRDLRIFRIFEGANDVLRLFIALTGAQHAGKHLAEQASGVGGLIGLAVSRVTGGNTGSNFGQVVDSSLQDSAKALDQQIALFGQTVQGLLMKHKKGIIDRQYEMHRVANAAIDIYSSAAVLSRATYAIKHKASSADFERKVAIYYVEKVLKNKLNFLLKIIFQAMRSSNRYLKDAANGTENKEKVATIESLAKEVCGNGGLTLQHPVEL >CRE26441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:869250:870308:-1 gene:WBGene00054285 transcript:CRE26441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-maoc-1 description:CRE-MAOC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQJ1] MDKKTACAHVPEPSEFEYTTRDAIIYALGVGARAKEDLSYVYENDEDFKVLPSFIVAPGFQAHTLMDWPGVEFDLQKVLHGEQYIEVLHPLPAEGKLKSEARVVDILDKGSGALILGNVTTFDENGRKVAIQQFSTFQTGSGNFGGDRTSPHEFKAATVPDRAPDAVIEQKTSVDQAALYRLGSGDMNPLHVDPQFAKMSGFKTPILHGLCSLGFATRHVIAAWAGNDSNKFKAIKVRFSSPVLPGQTLVTETWKTGNRIVFQMKVKETGKVVISNAYVDLLEASELPTVPVDLIAKL >CRE26168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:867556:869109:1 gene:WBGene00054286 transcript:CRE26168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26168 MLRQLIPFVLLLLWRIEARPQGGGEEPIPISKDTSSRRASYNHSPSEFARAPVEETTHKPRRRHRHRQPSYDEAHEKQMEQWRQQRKEANKARQAQYDQYYQDYFDRMKQYAINTHVSRYNQLLAQQEKEKQRFAQNMEEFGLRSSALGIDRADIDSGTTVSQRPSSPFGGITDKAQLEERAKAADVRGMIVNRPPSAVEPRPEDIDVHRKALHLEALCNRFLPTVRKHCFSGNKTEERYGSKCKGYFHDCQRFLPKSDPLYNIAYAFNSNVGLNLGTWSVKGIPYYPINEEGAIGAGREMNIPFGSWGGGYSDHIGVRDYWSQYQEIGANWYEGKYGYKSGWSVPLVQSLGIEGDTHAVVSVPLKPGEAGKPIGVDVGGGVGPYYQQNQHVGVDYLNGQVGTNFGVGVPMAGVGVNTGVGVSFPGVNDIMGKKKRK >CRE26440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:865238:866406:-1 gene:WBGene00054287 transcript:CRE26440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26440 MAKIHRECREKSLPAIRLTKPIDYFSDISDWTTSKRYYVRNRDIVRENIRTIKSSLPRVPTVRKVPPFSWQSVQQNTSVVPLPMIAPNRSRSVDSNVSDDCSQFRALFSRGDLHVRIVHSGGAGEKPRELRWAKDPSQMKNETVCNLLAKFSTGMSLLDHPYRFVAETGITDLLIALRNHQSIVTVLPQLVRGVRAGLYSFDVEKKKFCLKTLSRITSMQGIGAQLVPFYRQLLPPLRTVRQSRSRSDRVHYDKGRQIEEIITSTLNDLERTGGPNALINIKYLIPHYESCQYV >CRE26167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:863657:865182:1 gene:WBGene00054288 transcript:CRE26167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-56 description:CRE-SRD-56 protein [Source:UniProtKB/TrEMBL;Acc:E3LQI8] MCTSSEWYVPFFNIWWYFYGVFGLSFQIILVILILRKLPVSIRNLKFYLINTACIQIALVIFSFTTQHRFLTNSTTLAILPAGPCRYFRPEVCFANYHIAMACGLAAGLAILITVVFRFLSLTLNRVTRKRNIILISLSYIAPIYVLIIPFTAPWDFHKVQLATAHDHPTYNLTPYLPFPGFSDVKSFEFISATLVISLGGYGIPLGCLILTTKGLTIIRKHQHMADKTKEQARKLIHGLIVQSILPVIAYVPMVSSYIYTQTTGQEVLISEHLTLVTNALPGLIDPLISCYFIIPFRHAVLDFLCKNRRARDIVAITNHSSSAPT >CRE26166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:862121:863246:1 gene:WBGene00054289 transcript:CRE26166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-58 description:CRE-SRD-58 protein [Source:UniProtKB/TrEMBL;Acc:E3LQI7] MCSNSNCYVAFFSYYWPLCGFFAITFQMILLYLISYRSPAFLDNLKCFLYNTSFIQIVLITSAFISQHRLLSNSNSAAVLTIGPCSYISPRFCFINYHIFMATSFAAGSAISITVLFRFLVLVQNQVTANQTYFMVFASYIAPFVLLLLPFTDNWDFESVQRTTAIEHPTYNLSIYIPFSGFENAGSPQFLSATLLLSIGAYGIPIGCLFLTRKVLILIRFHRHMSDRTKKQAQTLIHGLIVQSMLPFISYIPSFSGYVYTQTTGRELLFCEHLILVSSAFPALLDPFISFYFIVPYRQAILEFFLPKRQSQSRITCSVTNNSTSGFN >CRE26165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:855921:861904:1 gene:WBGene00054290 transcript:CRE26165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26165 MKKLLSLLKKDAILVRRNKIWTMFEVIIPVVILLLPLFILEEKYLLTYKDQQEEKKSLKALTGLINEVELVEVVPDIDVAVDGCQVPPDLDLRIYEKYVGNHTRPDRKRFSKIVKNQARQCKPIGGVFVTSEGVDMIVPATSNKINDLKKPIVSTPERNTASFYASYIPYLYKAMSIKFRKDAEAMGFTPLSDRDRIKTIYIYSENEHSISFFGFMSVLIGLSITLPVINTPYLNSIGLSRTLFYAEHLIFATVKSVILILLVIVGYCILLTVISVSVLFNKCEFQRFNAFWLVFGVFVYIVASVSFAMFISAFFSKPRRAVEAMALIWILSIYFATFYYRPKGWKTIPYSLNLNQALSSYIKAIEPHFVKEDGMSFSDGFTSGRDDQYSCIVYLLIMVFDAVLFSVGSVFASKLVDKTSDYVVKMFWKRMRNVDQTEKTTSTAETSDAEGILLGEEVVNGRKNAVSDIELNALIKIYANGETAVNGLSMRAVRGQVSVLLGHNGCGKSTTFGMITGILQPTSGTITIEGVDAVENRKITRESVGYCPQYNPLYEKLTVMEHLRLVNTLKGKSSEGFQEDADNLLSQISMEDKKNVIAKNLSGGMKRKLSVCMAMIGGSRVVLLDEPTAGMDPGARLDVQKILNKVKDERTILLTTHYMDEAEKLGDWVFVMSHGKMAASGSLPFLKKKYGDGYVMTLVLDSDDNVEDSMPVVERLSTAFVNDAKIKDQRGQMIEISLPETQKDKFLSLFKAIEAIIDKQYDSEDLRYLSSDLTEQVKKMKIVAMGISVSSLEQVFIKIEKECDRVLYGIDDEEKRAKAEKNFNSLAEAKKEPPIEGSSLISLQLKTILYKRCIHLLRNPSQLIMQFLIPCFLLYLIFEKTAINPPADPTALISNFNLSGFPPSKVILQFEKAPDSRVTDYLKQFHQLEVHEVSIKKKVASLVKNQTITDKKIGLIISVFERRTIIHYHERTSSCLPIALNLLANLKYIRINSTTPVGNNTLYVEFVPMKAAQLTAVLTIGSSVKLYLLATLLSLVIIPAVLFLIEEKTTRFQHQQMLTGISPIVFWTGSIIWDHLLYLIVGVYMCILFFVFGTFSDYHHLIYLSLFLYFFAMVSVVYLVSVFIKTPSTGSTFLVFLRILTFVVGFGFYAYFLVLSKDSLLYIRKWYLFGLLDPGLVLMYALTKIDMCQARLRFAYYTARNFIFGFDSIYEFPAIWVDVSYLILLSVLFFWFFVAFRSRVFKRLLTGFKNAEPREKKRYTVVDEASTTKGESSQLVNLTGSAPSAPSVPATATSSESSAAQNGKPVVVAEQLVKDFGKLRAVNGLTVTVRERECFGLLGQNGAGKTTSFDMLTGLSIPSGGTATIAGEKITNRIQIGYCPQFDTLLGQFSGRQCLRIIAQLQGYSNCEEVIEMVLTCIGMTEHADKKIQHCSGGQKRKISVGIALMSRSPCVMLDEPTAGIDPRARREIWDILHNMREKGNSSIVLTSHSMDECEALCTRIGILREGDMIAVGSSQELKSKFGNFYLMTMVLQNLEQFKPVIEAVAEKWPDALLKTEPTQANLNIVFQIPKGKGSKWSETFKQCEELATLLEMEDFMLSQATLEDAFIRLNSV >CRE26439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:854355:855467:-1 gene:WBGene00054291 transcript:CRE26439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26439 MSSSDVRKRQFDSYADADQTFAVTGTTAGIGVETAKSLALHGAHVVMLNRNVAESEKLKNKIKEEVADAKIDIIECDLNSLKSTKKAADEYIEKGWPIHCLILNAGVCGTASPKTSDGLESHFGINHLAHYLKNYFIVTPITFQLIQKLLPTVRQSSPARIVILSSTANQRTAIDPSLPNKEKLKILCPEDPSESNWYRFYARSKMCNTLTAFKLHRDEHSNGISTYSVHPGALIRTSIFRDSWLAWFGSILISPFTKSISQGAATTLYCATHPEVADVSGKHWDSCWDDESKLDKALANDESLQDALWTHSDELLKKLL >CRE26164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:853146:854270:1 gene:WBGene00054292 transcript:CRE26164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-7 description:CRE-DHS-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LQI4] MSDDSIRTRKFNGRTYAPVVSRSFFICLFNFLYFQTIKGVNLAGKTFVITGTTSGIGIETARSLALNGAHVVMLNRNLVESEKLKKKIIEEMYDAEIDIIECDLNSLRSVKKAADEFIEKNWPIHCLILNAGVFGTASKTTVDGLESHFGINHLAHFLLIREFLPIVRNSNPSRIILVSSSVHANCGVSPSMSIEDKIKILCPESPLDASWFRLYARSKMCNMLTAFKLHRDEYLNGISTYSVHPGNGVRTNIFRDSWLVSIASFLSTPFTKNISQGASTTVYCAGHPEVANISGKYWESNWDDEKNLYKEVARDEKLQDELWKHSEKLLDNLLEDRKNSCLFEC >CRE26163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:851723:852870:1 gene:WBGene00054293 transcript:CRE26163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26163 MPADNKRKRQFHSRTHANQVLEGFDLNGKTYAITGTTSGIGVETARALILKGAHIVMINRNLKESEKLKDKFLLEKPDAQIDIVECDLNSLASVQSAAEKYLERKWKLHGLILNAGVFGPTAKTTSDGFEAHFGINHLAHFILIKKLLPVLRESSPSRIVIVTSMLSKHTCVKPSQSLEKKLETLCPMDASEWYFRLYAKSKMCNMLTAFKLHRDEFKNGISVYSIHPGSGVRTDLHRDFGLWSITNFLSIPFTKDASQGAATSVYCATHPEVENVSGKYWESCWDDEKNLDKAVARDEELQEELWKYSEELIEKSVGATNKNSDNNMENVRL >CRE26160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:849225:849705:1 gene:WBGene00054294 transcript:CRE26160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26160 MNRFILASFLLVAIFAVSEAALAQQQVKDGAKLEIDAFKGAKAIKRTVPAGEQIFHFEGEHKGTFVDGKGKKVESSNYEAKNGVLVIKKFTKADVGQYSEHPAKNIETKQANGDVSAVPGLTLNISLE >CRE26159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:845911:847175:1 gene:WBGene00054295 transcript:CRE26159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26159 MSTFPHFGTAAIHVGQEPEQWDMNQVGVQLPIFQSKILFQVVPPISMSTTYKQDNPGEPVKHDYSRAGNPTRDVLQKNLAALEDAKHCNLFASGLAATSAIINSLNRGDHIVCSDDVYGGTQRYINKISVSKHGLEVTSVDLTEIENLEKSIKPNTKMVWFESPSNPLLKVVDIAAVVQVAKKANSEIVVVVDNTFMTPYFQRPISLGADVVVHSITKYINGHSDVVMGAVITDNDEFQQHLFFMQLAVGAVPSPFDCFLVNRGLKTLHIRMRAHYENALAVAKYLEANDRIESVLYPALESHPQHQVHQKQTKGMSGMISFYLKGELQQSRSFLSALKVFTLAESLGGYESLAELPSIMTHASVPAETRAVLGITDNLIRISVGIEDLDDLIADLDQALKIAIP >CRE26158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:841334:843095:1 gene:WBGene00054296 transcript:CRE26158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26158 MERELRLKAFMEEYARIVQLFDDIVSMKESMGRLEKYMIIMVCQVLVALMGGAFFMCFRFFQNQRQRGEYELEHRFERGPSGRVPVAQAAHQKLNKNPIT >CRE26157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:830295:839319:1 gene:WBGene00054297 transcript:CRE26157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clh-3 description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:E3LQH7] MGSVVRFMDNLKQIEGYVKYVKMNQNVNMLMIIFFTLAVKVSGLLTIFVPSNITTRMAVIVSSTLITMFLLGSLLLYEYWIGGRHNRKSRYVVVLICNIIALWIFRFVTNGMPPNLSTMIALSAPSMVVFYYFSWNLIEWSVYINEIAMILPRPLMPSRTPLAKIEWQSLLPLPPEKCAKPSEENNEEIEIVRLAAGKEYDLQPGSHLGVYKTVRGVPIDEDCKSMGIGTNILSKIEKNKTSDGLTIPLTHNDQTKSSRWCSSESFKTFFRTVIRDWIFLALLGFIMAALSFGMDYAILNLQNGQMRLYDIVKLFHWSLGYLVWVGYVVGLILLSAVCAHYIAPQAIGSGIPEMKTILRGVILKEYLSIRTLVSKMIGLTLSLGSGLPMGKEGPFVHVASVVASQLTRLVHGSNVGIYENESRSGEMLAAGCAVGVACTFSAPIGGVLFSIEVTSVYFAVRNYWRGFFAATCSATIFRILRMFSASAAVTVEAHYQTNFPPQNVFLPQELPVFALVGLICGLAGSLFVYLHRRTVLFLRRNSLAKMIFQKYWLLYPIFIAFFISSLSWPSGLGKLMGGQERFSHTMKEFFVNCAWTASPNNSYACAPPVNMTPVSGDNFDIRHWTGQGEDTTIPAPYSPFVTLSIFQFVYFFLAILASTLPVPSGIFMPVFVLGAAFGRLVGEGVFTLYPDGYESGDVMFFIRPGVYAVVGAAAFCGAVTHTVSVAVIVFEITGQLCHLLPVMIAVLIANAVASWLQPSIYDSIIRIKNLPYLPDIPHTTSLYHQMLIEQFMISPVVFIAKDSTVGDVRRALQTKTRIRAFPLVENLDSLALVGSISRGQLQRYVDSHIGTKARFAEATRRVKQRLEDEESERRRKEESKSDETADSLASKGAGERRASRFLVVPVAKNGSQVTKNENLSGLSDENARKILTVEEKKALFDAVSLKVPKGEMNGRTVTTGHIESHHTIGGEKKMNSKYAFHFSDIFRSITHLSFGRQNIPKKNNQNEFDLYGGERAEWEESVLETKLDLSQLDIDSTPFQLSEYTSLFKAHSLFSLLGLNRAYVTKKGQLIGVVALKELRFAMEYLQAGKEPTPGISIFTDASADQTTFEKSGRIESGMDSQNPTFLTDNGEEDASDDYIQVIQLVDLKKTFNFQPPLEVVRRGALTPNQLSELNRVENVRLVPDSPNFEVSSPSTSSSCVSIDLSPLDTTYSDNGSVGGLVLNVPSLPSRARSAAELNGQNSHVQINLPDNAVHDEKF >CRE26156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:828016:829149:1 gene:WBGene00054298 transcript:CRE26156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26156 MGESMWRLFPRAFLLTVMIMKLLKLPLVVLREVFSCMNYKEVFQFSFCSKQSFYRIKSLQLVRFRNIKFVQFMFTQEQIDVTIMPKNGDPRLRFEHILSVKPEDTEWKTFVQTEMAGNKMKFRRQTADDKLVAVYGCKSQMKSIRSAIYAHVCNLFGNDVEYRMRHDLFHEDQSKPIHKNIKVSRIWVQNRTVRDLDDHFSSLPKQKFINICMRNMKGRLKEN >CRE26155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:827003:827704:1 gene:WBGene00054299 transcript:CRE26155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26155 MMKILKLPIIVQREIFSCMDYEEVFHFSLCSKQLFYRIKSLQLVRFSNIQFVQFLFSQNYFGVVIMPKNGDPRLHFERIISVILEESERKQFGQIEMAGNMMKFRRQTAEDKLVAVYGCKSQMESIRSAIYTHVCNLFGDDVEYRMWNFNEDTHWINRESV >CRE26438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:823029:824030:-1 gene:WBGene00054300 transcript:CRE26438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26438 MKLIVFSTLFVFALAFPREKRQLSIGTISVSGAGGSTGCVVTGNVLYANGIRLRNLTSSEQSELATYQTEVEQYKTQLREILNQRRENLRNRLMAHGRNQQQQSNDVSSQNAPDDGSIPKAPEKPSFCTAEETTQYYFDGCMVQNNKVYVGGQYARDLSSDEVSELQTFDTQQTAYQNAVQAQMQTQVRGLFGGSDFLSALFGGDGQPQQQQPQPSSTTPASTSSTTLPPKPTVPQFCTAIF >CRE26437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:818043:821240:-1 gene:WBGene00054301 transcript:CRE26437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26437 description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:E3LQH3] MFRSFLGVVLLATTVICQGEKDLITNLPGLLFKTNFKSYSGYVNANANGTWRMHYMLTESRSNPDTDPLLVWFNGGPGCSSLGGLFEELGPFYVNFDGETLYENPYAWNAKANVLYLESPIGVGYSYDTTTPRYSQANDDQSAAQNLLALTNFFNVAQPKYVNRTFYLSGESYAGIYIPMLTDLIVQGINNGSFPNKNFQGSAIGNGFMDVKKLLNALALWSAYHGRVSLQNWDKIKTKCAYGADMDNFDFSQYTLTNNSIDYIGDNSECGKLIQPLISQNGDKEGFDQYNFYQECYDASLFQAPPPSGAGKRAKRSAFSGVSSIKKNLQYPTLGSFKGTSNLAKNTATLVNRFSNDNQFSYFCWNEGAVGTYLNSDKVQNALNIPQEWKNQNNTWADCRDKMYDDYILKYHDTNQFFDNIIKNLKTDFRFLIYNGDVDTVCNYLGDAKHIRNVAKNNGLTVSFNSSSSSKTTLFQTETDRVPWYYSDNKQLAGYVQSYSGKNANGANIIIDLLTVKGAGHMVPYDRAGPSVQMISNFVWAAKNAPIDYTSQANFNPNIQLSDMIDTGSSSTTSFLFVVSAVLLNLIL >CRE26154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:815590:817946:1 gene:WBGene00054302 transcript:CRE26154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-1 description:CRE-AQP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQH2] MCFSTLSILLISSAFFNVTSRLHLINLKSFQKIMTAKEDTLPERLRFHGVHTNILWRNLISEFFGTFLLCFIGLSIVFQFHAGGGKTTEWIGVNIGWGFAIMFAVMATARMSGGHLNPAVSLLLWSLGHLKLAWVPLYAIAQTAGAFVASLAMYSYYYGNFHFLIKKGHRKLFSEQFDAFDGGNRTILGATGTAGCFASYPSPNLGVWGPYIDQCVGTGVLAYFLCVVIDERNQIPKIWHPMFFGFLVMMIGTGFGMNIGYPINPARDLGPRLFSYFVYGPGVFHSPYTNYWIAPALAPFVGALVGGWFYHFSLGMHNPDIEETENAPIDQSPKSVEQQKLLQA >CRE26436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:801235:805630:-1 gene:WBGene00054303 transcript:CRE26436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skpo-3 MWWTKPLAACCGLLLLLWMPTGCEADDDNPVTSRFHCLKNGCCDHHEWCRFWASVGECKTNADWMVDNCQLACNTCTQKNVIRPATRTPNRPRRPPPRKLAATTRAPVVVTTTVRPAPPQSRPPPVTRRPTFTAATKLSTSTHAPVLHHQPARPPIRVASSFGSRGKKVTRKFFFFFNSTRTIPFTTTITTTTPMTTTTTTLPTTTTTTTTPPPTTTTTTTTTAPTTTASTTTSTTTTTTTTTTTPTPAPQQFIPSFSDGASDASGPFIAFEGTTVNFETTSRRLQTQTRRPFVNRRPPPPRQRPNIIRPTIRPTPRVFTVTTPIPTFAFVPDIAPVTRFFVQRPAATRRPSVFFTTRRTFPPPPPPTTTTTTTTTTQAPATTHFTREFTQPPTTRSFINTASNARCREIINDPIVAAEDMWRERLAVATEDNSRRQTVDLDQVIRSNTANACTPRLDEADCEANMCYNALYRTMDGTCNNMKGEPLRGSSYRPYTRLLPTIYDNEVSEPVGSLFTDARPSPREITRKLTSSQASVESPDYNALIMQFGQFISHDMAKTTLVPSSKCNVCQNITSRCMAVPITFDDANANFRQAQCIRVSRSSPICGSGNLKPRQQLNENTGYIDASPIYGSSVHDSKKFRDGTSGFLKLPMFNGNAFLPFDQNKCRNRGQCSVIFTAGDSRVNLFVGLSAWHTIFTKEHNRLVTAFKRLNPHWDGERLYQEARKVVGAQVQAIVYREWLPKVLGASFATVVGDYRGYDSDVDSTVANEFTSAAFRFGHGMIQEFYQRLDTSFRNITFGALPFQKGTLHSDVLVNEGGVDPLIRGMFSQNVKRPQRVTTTVTENMFGSTDLSTINIQRGRDHGHPAYVKYRELCGMGSAFNFEHLSREILNTGTRNKLQEIYGSVDKIDLWVGALLEDPIIRGLVGPTVACIIGPQFKRTRDGDRFYYENPGVFTRRQLVELRKSSLSRVICDNTNTITMIPREAFRVGHMVPCSQIPSMDLNQWREF >CRE26153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:799604:800988:1 gene:WBGene00054304 transcript:CRE26153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prx-13 description:CRE-PRX-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LQH0] MSTPSMNQPPPLPPRPIDNQQLSNPMMSSGFGYGGYSMNGFPGSGMYGTGGMYGGMGYGGYGGGFNHMGYGQSPDSNFARLAEEQSRGAFQSIESVVNAVSSVANMLNSTHNAVYSSFRAVIGVVEQFGRLKTQLSSVVVSLALFRWVYRFWRWLLVMLKLKPANYASAAEMAWGASQPYATDVLGSTRTPASVNWPAALFWVVAIGGPWLIYKCVSQMVQAAEEKRKWATGSAAHYTAQALFDFQASNDQELSFMNGETLRVAPKEEQPRVRGWLLASAADGSRIGLVPINYVRIVGKQSQSPPLTQQSNLDSFVDAFPSKDLNSNFQ >CRE26152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:798550:799394:1 gene:WBGene00054305 transcript:CRE26152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsm-4 description:CRE-LSM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LQG9] MVLPLSLLKTAQNHPMLVELKNGETYNGHLKACDAWMNIHLVDVIFTSKDGDKFFKMSEAYVRGSTIKYLRIPETVVDLVKTEVNEVRRQQQREQSRGRGGGRGGRGGHRGGGGNRGGRGGAR >CRE26435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:796171:798202:-1 gene:WBGene00054306 transcript:CRE26435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ada-2 description:CRE-ADA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LQG8] MADDSEPPTCFNCTLQVNETIHVKCNECPVNICMLCFQCGAESPPHRRGHNYELLKPLEDGDGMTWTHEDEFELLKAAHRFKMGNWGAIAESIGRGRREGQNCKDYFEKHFVRGWMGQFSIKSANWEKTKFEMFDKQELDSVLQKNCLESTERMLLIRDAIRDSEETFEETDPKLAIKIQQLLEKYIQKCIDNDVEIKYERPQPLKGQYETELTPDDCDRDDVRNRKSVKLEIQTDDSDHDDSPGPSCSYRRSGPPSAKKSRTTRVVMESSSDSEEARKSASPENDTLYNDLERDDQDPDADETAQEVDSEEEEEEDTKPKKGATPGKRKRRKRFGLSKKDRRLDEFRKKMNREQKQVEKKLATLGELCTAEEVKVLRKEYSRLLHENGVSKSDRPKIKQSTELSLLGYNIEREEFETEWFNEAEQLISRLTISAAPPKKDEKLDIENDIKFARLRHYIRLLGIRKAKRNTVLEHDKINEFIRWYKEACLLSKTNSISDIMDSRSEKDKLLEMTQQFLRRDEYQNLRACIEKIDGMVERIEVLQELQRNGENRFSFISVSCSSSIKKKIAMEWNKYKKWHTQGWE >CRE26434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:793110:794385:-1 gene:WBGene00054307 transcript:CRE26434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26434 MDDELAEARGENTGRKREFHSRSSALDTLKGLDLNGKTYAITGTTSGIGIETARALALKGAHVVMFNRNIVESEKLKKRIEEEKSDVKIDFISCDLNSLQSAKAAADEFLSKHWPLHGLILNAGVFAPTAKFTFDNFESHFGVNHLAQFLLVKELLPALRQSSPSRIVFVSSVSSSHTGLKAEMTRHEKVKKLCPENANEFYYKLYAYSKMCQVLTAFKIHRDEYVSHGISTYAIHPGTMIGTGRSSSHPILLNYLFSDISRGFGFFGKFWNVISKPFTKSLAQGAATSVYCIAHPDVTELSGKFWESCWDDEKSLDADVARDISLQDELWEHSECLIDEWFKSQKPISTIGSDDSINSPAADTSSEENA >CRE26433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:788728:790145:-1 gene:WBGene00054308 transcript:CRE26433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26433 MVKCSFLLHSLSIFSLVNVALPCVATSGGTVTTTVAPTTTSTTATTACTSCTASQVTFAQGDGGIQIDTSGISGTDAATGCLTLTATCTADTANIAFMQFNFNQGGPAENANMGMTINALLNCVDGNWVYTSGGVSRIVTQVSCNQAPEAAG >CRE26431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:761381:762264:-1 gene:WBGene00054309 transcript:CRE26431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26431 MATAPKYELKGNLRMRDEPDPEVEARPQKIPKTVMVTGANRGIGLGLVQHFLEYDGIELLIATCRNPEKADELNKLKNDKRLHIIALDVDDDDSIKKVFDEVSSLVSSNGLNVLINNAGILLPYEVDGPMICRKTMLKQLETNSVSVAIVTQTFLPLLKIASSLEEGEEVRIDRAAIINISSTMASIAKNDGCFSGPMTAYRMSKSALNSFSRQSFMELSKYHILVTSFCPGWVRTDMGGENADLDVNESTRTLSANILRLDSRNNGLYFDRFLHPIPN >CRE26151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:756798:760909:1 gene:WBGene00054310 transcript:CRE26151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26151 MESGDHLGNVFSDDEENDSFDDMVPKTKAPDEEEEDDSFDDPVPGMEELGGEENFCNSAVPLVVEDEENEEEEEENDDCDEEEENEEERELDLEEEDFNLLTIEKVPMNVLTSRIARVMAEILSRGEQAAIALFDVANKIVFQVRNLKFVFFFINLIILQSTMLDVEINSVIALIIRGLESVLLDARQREQPAHQIEQIVFLFITKLSVKNKSMKCNDLLNNLIELIDSLYFNIESSVRARVIRLVSCLKEVANKYEDAMRERGDNIFLFTDEDPGEEEDVIPKGVHKRWLGRITKSLMDKSPQVRSQAVVALSLYDHDTVCKTKNGDELTVNDMLWKSMHDVDESVRLTVARRIHIVNEEEIDKCINYIERSKDNRVRQEIILRMASDVHLSSFAENQRFRLVNLLSDSESARIQEVIHQRLVESWMKVAGEDIVSPSIFPAPDAEHEIPKQFPSIILEYLDPFADPIAVYVFVNSKVFVVPHFILLFYSDTDPKSELRRTFLRIFITRCFVDVIFKLSDNRIDSHHLRNRALMFYLPDVTTFVYHLKEFCNTYFKKDDETTDSCKELLLYNIIHLINKAVKYGDAGNDKLIYKQALVQILENADLDFSDDSISTMVTTCFDLCESENETEELCDWVCKTASQLMLHETNEDDEENPSLKKAMESDTVSDRMYLRGATMLLSTCEHEDIKKPTDSMTDLFQRIIPALLGNENKAIKKVGLELIGFATVIDFTNCEPYIKLTRYLIERDDEVLTSTGLHTLVRVIKTHEFPKTAMAIFREEHEDEQSDEEALAKLFEKALISLQGVALVQAVQDCLSMLSHGRYAWPKMYCSILLIAFQKRNQNYQLAKMMNKFCKRSVKSDYRKANLLNGFVRAVNEVSKTNEQDANFNLLEMTELVCTTISSVPIVEEDGEKMKKKEENEKYIEVELAHKMISRSESLPSAWFIRDVFTAMTTSLQLVCVPMEQLNELHDHLIDALTPVRFNAEKTTVIAFKRFMKNCENLITLHQRMKGMPLTVDMKKVKLEASDSQEMIATSSSTSSARKKKNRQEWDDDEVAEESDDSFEYCPKPTRTRSRKRLITPKLGTPSKKKKEGFEKDEVEEEEAYEEINIDDSFEL >CRE26430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:751742:753606:-1 gene:WBGene00054311 transcript:CRE26430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26430 MVLCLYYFLLVFPLVIHSLILNTPPEYQPGYGYVGPACAVFDSTLFLHGVSKRKLSDHEIQIFRSYQHDLSAFKDSSSSFDSFEASFPTIPKFCGGYDDSIEVVLDSCIVRNNHVYVGDHLIRPLTNFEKQKIQLVKMRRMYGESKRKRSRRSSKPLSVSITRLKTKQLFIFRPGTLPQRDFSEMLHKLLNINSTVTSRFLPVVSQSPLFAMEGPWQNLLELGKDKDMIELLAASQFKPQQPSPPLPMSPVVDITKIDSPYRATFTTMKPRKSQKPEKKVDIFNVFTTKTAPQTTTTTQSTTTSTSTTTVPPTTTTTPRYISLQTLPSTTTTRRPITEKVKNQHSSALSNIQTVVDPIILKLISKALEKNEMIDEYALKQALSMRNVETTTEALPLRFIIPTSPPSNPFQTSSRVGGLSHNHLFIPHQPFTTLVSSDSRYSAPNPLSHRLPNEICRVHMNSNPFHQYRV >CRE26148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:750027:751013:1 gene:WBGene00054312 transcript:CRE26148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ify-1 description:CRE-IFY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQF9] MDDLQFEDTGNLPLPYTMQQHLQMKLGKPADIQKTTSRGGVGMIVNESKTPGARSLQSLTFVRMSTSSIFQDEQEDIVLEEQQPLKQKEIEKTPCSPIDTVDRYQTSDSLCDIIADDMLNWSDRVVVRFDKEPLTDFIDPKVVEAEEMAKLEVEEWDEYPPIGPASRIADDFNYPISLDELCDHDIDELEDGDNPPITSYTPKIEWNREERKERFDRLMKSSYDVYDLIAEREAIAKNLYSAENAAVPI >CRE26429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:746245:749362:-1 gene:WBGene00054313 transcript:CRE26429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cul-4 description:CRE-CUL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LQF8] MTSGANQTNSAEKSRGKQLRKPSKRPNNSEGGTEAKQMRGDNEYVDEEMDDVSTSHNGREVDTNGLLENFMNQRENASRSAANNERIKKKIVIKNFKTCSSKDTFAAGYNDITNADGPVGRDWAVLSDNVYAILEDRKTTSTLEMLFSKVRAVCDKNQSKNLYDLIVVIINNYAKTLRESLSSVEEVPLLDDNCEQYLMKFGSIWESYPIKINLIRNIFLYLDRIALSSTDSEIVPLWESFMQIFQKAFFPDVFKEFKTIKLFSALYMAMQKMMGKYPVDSPLKSLTDMLQTVHVGEVFANFLLTQLREHYNKERIEKVPNMTCNEYMTYAEDQINRYSELIRSSFDEPLAVREVRTTITNCLIQQAIPEILTHDFDALLNSGNIVDISRMFNLCRQCIGGEDEVRAQFSKYMKVRGEQLITTCPDNELVTELLAFKKKINVIMAGAFHTANDPTKMRQCLSDAFEFFVNKNVDRAAELISKHFHTLLHSGNKHVTDERTLDQMVDDAIVLFRFLRGKDVFEAYYKRGLSKRLFLERSASVDAEKMVLCKLKTECGAGFTYKLEGMFKDMDASENLGQLFVKHLAHMNKEKVNFTARVITPEYWPTYETFEINVPKEMRDTLTDYQDFYRLQHGNRNVRWHHGLASAVVSAEFRPDFKKELVATMYQTAILLLFNKCETWTVAEMVDCTKIPEVEIVKNIVALIGGRDRPKILTMISDASTGKKENILETVKVSKFTVNSNFNDKRCRIRITQVNIKTPVEEKNDVEQEVNQDRQSHIDAAVVRIMKTRKAMTHSELMTEVGQQLKFPVKAADIKKRIEGLIERDYLSRDPEDATKYRYVT >CRE26428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:743258:745901:-1 gene:WBGene00054314 transcript:CRE26428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-brf-1 description:CRE-BRF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQF7] MVRTCSNCGSAEIDEDAARGDATCTVCGTVLEESIVVTENQFQERAGGSGHTLVGQFVSAERAAANNFNGMGSQESREMTYAKGRKVIDELGSQLRINAHCMNTAFNFFKMCVSRNLTRGRNRSSVVAVCLYITCRLENTAHLLLDFSDVTQINVFDLGRNLNFIARSLRINLPATDPCLYIIRFACVLDFGDKQKDIVTLATRLVQRMKRDWMSTGRRPTGICGAALLIAARSMNFNRSINDIVRVVHISESVIRKRLDEFSQTPSGSLTIDEFSTVDLEHSEDPPAYREARRRAREEQLRKEAEQAESMKDQLGEMEAHVEAALDKKRKEKFSKSPYAKLISEGLGLEKGADEMVRNEILNSVFDAVDEEPCSSNSLEKYDKYRPSLESLGIKPSQVSQELPRISSVPVGDIDEEISDSEIDSYILTESEVAIKTDYWMKANGDVMKAIEERRREREVNGDVKKKRKSTRKTETVCTSAASAVEKVIAEKKLSNKVNYEMLKDLETLGVKKQYNEDTATPIHQMIKVESLAVTPENMTKSERMARVKNLRTASGGSAIERLRNAFNLKEEVLDVVKTPTKTPAQPLILKKEVTDSPAVSSNSEVTVVAEKPSAVPPAKSRYSKMKPIIGAKKLASVSQIFCCLVISSYIFQLADVKNVPQLTETSKPIEAPPPTETQAPVDSVPSKPNIKTEVKNPTKVVSSTPEVSKEPVVINTKTESSHVEPQPSTSSEPAVETKPAVTVKSRYAKAKPNVKLTKPKTAATEAVKDIASGEPTAKRAKS >CRE26146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:741533:742349:1 gene:WBGene00054315 transcript:CRE26146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26146 MANLPSSSGMLSRLLSNVFNTTVASRCSASGRWWPQPDRHFSTKPDELSSLEPSNTDHSDVIVTKQGITLSKANAAPIYYKPKSTGPGYELVEHKKGELLANYTLFEAAVNKAKVPWVNYDGLTSEERTIHMAHMRAINDRKLTYLDPKTGYTVFTISHHLKRGKCCGSGCRHCAYEMVNAKEEIRSQKVWNGAFYT >CRE26427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:738796:739871:-1 gene:WBGene00054317 transcript:CRE26427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnep-1 description:CRE-SCPL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LQF4] MTSFAQYVFYLLSGLFNFFLLYFRKTSRAYCKYQVVRYHSNIPMSPLTTHRLLSVKRKILVLDLDETLIHSHHDGVLRQTVKPGTPSDFTIRVVIDRHPVKFSVHERPHVDYFLSTVSQWYELVVFTASMEVYGSSVADKLDRGRGILKRRYFRQHCTMEVGGYTKDLSAIHPDLSSICILDNSPGAYRKFPHNAIPIPSWFSDPNDTCLLNLLPFLDALRFTSDVRSVLSRNVQVVPDGQYY >CRE26145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:737888:738139:1 gene:WBGene00054318 transcript:CRE26145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26145 MNVFHVFVLLLAFFTSTSSSFPTPLVNRDTRALAPSVESWCIFYPEKCRMLRFAGTHKRYILDDVEY >CRE26144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:730578:731696:1 gene:WBGene00054319 transcript:CRE26144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26144 MSSTFPLLRVPQVVFSEIVQALTPTDLTLLALCSRRAFNLVKRFWKKPKNARLRMDGRYRFHAAIIIGYMYYDLLHVSSIRNIPKCPVELVEIRGTKVPIGYFETGIIYWSRKYFETYWEDKEFGFKTVMDFVSELFSTDIHTVEFLYDTYWCFDWVQSRQKIVNRACLGDGMPIEYSEYYKILETCTAENLTLHAFLFQEESPKELTFKKRNHLSIYKTYWVEVKHLIMMDCVEIVIEQPLLEISDLAVFLKHWLNGGNERLKYLSIKRRMDFDTNEFCRREFPENVVYLNEEREYESELVQTGVIPKGYGLKRNDGAIASIFWNRGFNSFAMYVPSDIMI >CRE26425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:729229:730292:-1 gene:WBGene00054320 transcript:CRE26425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26425 MKLLKLPLVVLREIFSYMNYREVFQFSLCSKQSFYRIKSLQLVRFSNIQFVDFFYSPKEISVTIMPKNGDHRFLDWEYIISVIPKESEWKKFVQIEIGGKMLKVRRQTAEDKLVAVYDCKSQMVPFLNVIYTHVCNLFGNDVEYRMLHALSNEDQPKPIYENIKLSRIWVRNRDIQEIDEHFSFLQKQKFIELHMGTMTGRLREDSKIFEAEVVDVVDTEGPIAIVIDVLRQFNGQQATFYTEYSDFPEIFQFMTRWKSNQSYQNLMTLEYSWTKPVSDLNALMESTEIKHTNSQVDPPVYKYDHRLVFNYSV >CRE26424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:723451:724590:-1 gene:WBGene00054321 transcript:CRE26424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26424 MIPKKVSRMISVFPLFRLPQVVLFEVFRFLDPNDLIPIALCSQRAFNLVRINWRKSTKSAIWMDSRLYFGSDMKVNDIFYNLLTVSRIEDVPEYYLGLVQIKGSKIPIGYNRERNSLETYWDDVCYGFKAVMEFITELFSSDIHTVMFDKNTYWCVEWVESRQKSLMNAHIYRDQLVDNIKYSEIMNSCSAENLMIHAYQIGLMISTETVFRTRNHLSIYWGDWVQVEHLMNMDCVEIEVKDSFFKKSDVSSFLKNWLNGGNSRLKYLSISTQYIDIDLFCQEEFPENIVRSDREMEYESERIGKCKVPICSGLKRKDGVIAFIIWNHRERLLTVIMSNGVTTS >CRE26143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:720473:722826:1 gene:WBGene00054322 transcript:CRE26143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26143 MNAEQSKSLLSSGHLSADPYINNCYSEMCMSTTQVAPQPGKHKIGWVIAAIFIIADMVGGGVVAMPVAFKLSGLPMGIIIMLTVAVSFEYTGYLLGKVWNKIMERNPHIGVCRKPFPEMAKRTMGTNMQRFTSVLGNVTQFGVSVVYLLLSSNIIHYFLSHVLHIESVSNCLVITVLAFLIWPFTLLASPGEFWVVIVFAMLTTVIAVVSIHTGIALDSSACFNAVAYPHTTSTSTVLSFGIFLFAFSGHYVFPTIQHDMKNPRDFTKSIFAGFLGVVILYLPLCVFAFVVYGDSMAESVIYSIQSPSLQLLANLMIAFHCIMTLVIVINPLNQEVEHYAKISHAFGIGRVITRTIVLFLVLFVALTVPDFQPVMNLVGASTIPMGCAVLPSLFYLYSEAATEEEWRKGKIPTLKEVLERTDKTVLIINLVIIFGAILGGVLGSYQGVLKLIKAKFTEPCYIRLFTETTYNSTFQVKNVCCGMNRDINVFNVTDFC >CRE26142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:711361:712882:1 gene:WBGene00054323 transcript:CRE26142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npax-1 description:CRE-NPAX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQE7] MNSGEKVKAVGRSYNPGRPLCLEDRKKIVRLYEEGCRVSHIARLIGVTHSCVSKIMSRYRRTGSVQPRSFRATENQENDHSTWQKQQQKKEKPLAFSIERILSPEIKKEILPYQRVI >CRE26423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:694496:696939:-1 gene:WBGene00054324 transcript:CRE26423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-21 description:CRE-NHR-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LQE6] MFSALFSETTMRLMAKELPSQSLVANHKASICCAVCGDTALGKHYGVNACNGCKGFFRRSIWKNRTYACRYLGKCGVAKEQRNACRACRLTTCLKVGMNPRAVQGDTDTASTSSASPNLVSVEMHPYTKSEEAECQTEVTNIPSHPTSPSNLPFVSSASSVCSVSSCSSVSTVYSNCIAGLPPNPTLPKYDHLLSTLTRIFDRFDEFSTEPQPNTYSFEHAFYNPHLICNRTKLTPTGQRIATLPEVLQDFRRIFVLFTDVLSILPEFSRLDESDRMVFAKSRFSFFYWWLTCCWTAKTGCPGVCYANGSYHPINKHQQAFPDVKGVTELSVETVSKPLANINITDPEILIGSVFAIFYEYPLPPKMSYASSHLLSEARDHYTQCMITVSPFCNMEAKSASRLAEISLIFSSITNLKYLTSDNIEVSDVLHVMEVDQLFSDAFDVHRPID >CRE26139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:690798:691790:1 gene:WBGene00054325 transcript:CRE26139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26139 MKLLRFPQLVQVLVFQRLGLDGLFMLSLCSQKMRNQIKSTFRAKSKGLSMVLDSLREEGYIYFEGLFDHFKVITWIVENPETTPRESSVLFLKLDTDMVSCGLSFDTDTGHPTFGFDEDNWKSAPILMYNYISDLFHTSSDIQFITNTRSFEDLPNIKVFKNFYHEGLRLAGSKLDAFFETHEITNCAVILPYIKTDDLFSRGSIKRRSSLLKVKHLLVYKANFLVINNILSFRGSHAVFLESYMKNNDIKKFLKLWMAGRFRRLDYLLITKLYTPFDPENILFEFNTMPFDESRRSAMYINKSTYVSSLTG >CRE26141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:692601:693859:1 gene:WBGene00054326 transcript:CRE26141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26141 MKLLRFPQLVQVLVFQHLGLDGLFMLSLCSQKMRKQIKSTFRAKSTGLSMVLDSLREEGSIYFEGLFDHFKVITWIVDNPETTPRESSVLFLKLDTDIISCGLSFDTDTGHPTFGFDEDNWKSAPILMYNYISDLFHTSSDIQFITNTRSFEDLPNIKVFKNFYHEGLRLAGSKLDVFFETHEITNCAVILPYIMTDTLFTRGSIKRRSSLLKIKNLLVYKANFLVINNILSFRGSHAVFLESYIKNNDIIKFLNLWLSGRFRRLDYLLITPLYHAPLNPEAILGKFNTMPFDESRRSAMYINKTAMIDFIPARLRQPDWSKGIDIERETDSMLATIVLERNQFHFCVWKNCFPEQIPTTSDYNEFPKRLHEGYLPFRSIPQLWSF >CRE26422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:688587:690229:-1 gene:WBGene00054327 transcript:CRE26422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26422 MRFLNFPFLVYENILKHLEPSELLLFSFCSLKTRALVSKMRHASTYTIFILDKPEKMSYGFVEKPEKEQILLSWTWKKIAMESENLDNWTQLKLKDVHLDCRVTFHGKLNIPTLLCRCEDVPTRKRFATALHSHMCEVFHVKPEMQFKLSLDYMNELPDTNTVRDVTFLKSSVNSTVADEFFEKFHVTRALFSKRCVPDRLLKDSYKFLEIKNLFVSWSSWLDISLLLKVKCENLVVQCSTLHKKDMIDFLNNWLEGNNTRLKAVSVFRSVANDAHLIMDNFNLEAWDPKVDKIKYDEPVRDYCEGLFCFMCDINKYMLRSGILRRKSDGTRALVRATYEQLHFLVLKN >CRE26136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:679434:681753:1 gene:WBGene00054328 transcript:CRE26136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-4 description:CRE-HSP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LQD8] MKVFSFILFAFVASVFCEEEVAADKEKLGTIIGIDLGTTYSCVGVFKNGRVEIIANDQGNRITPSYVAFSGEQGERLIGDAAKNQLTINPENTIFDAKRLIGRFYNDKTVQDDIKHWPFKIVDKSNKPTVEVKVGSESKQFTPEEVSAMVLTKMKQIAESYLGHEVKNAVVTVPAYFNDAQRQATKDAGTIAGLNVVRIINEPTAAAIAYGLDKKEGERNILVFDLGGGTFDVSLLTIDNGVFEVLATNGDTHLGGEDFDQRVMEYFIKLYKKKSGKDLRKDNRAVQKLRREVEKAKRALSTQHQTKIEVNCIISSRKLTLRFQIESLYDGEDFSETLTRAKFEELNMDLFRATLKPVQKVLEDADMKKTDVHEIVLVGGSTRIPKVQQLIKDFFNGKEPSRGINPDEAVAYGAAVQGGVIGGVENTGDVVLLDVNPLTMGIETVGGVMTKLISRNTVIPTKKSQVFSTASDSQSAVSIVIYEGERPMVKDNHKLGNFDLTGIPPAPRGVPQIEVTFEIDVNGILHVSAEDKGTGNKNKITITNDHNRLSPEDIERMINDADKFAADDQAIKEKVESRNELESYAYQMKSQIGDNEKLGGKLSDEDKVSIESAVERAIEWLGNNQDASTEENKEQKKELESVVQPIISKLYSAGGEGGEQAAEEPAEDHDEL >CRE26421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:683215:685116:-1 gene:WBGene00054329 transcript:CRE26421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26421 MRFLNFPFLVYENILKHLEPRELLLFSFCSLKTRTLVSRMRHTPTYTIFILDKPEKMSYGFVEKPEKEQILLSWTWKKIAMESENLDNRTRLKLKDVLLDCRVTFHRKLNIPTLVCRCEDVSSRKRFATALHSHMCEVFHVKPEMQFKLSLDYMDELPYTNTVRDVTFLQSSVNSTVADEFFEKFHVTRALFSKRCVPDRLLKHSCKFLEIKNLFVDWSPWLDITLLLKVKCENIMFSSSTSVANDAHLIMDNFNLEAWDPEVDKIEYDEPVHDYCEELLYFLYDIKKYMLRSGILRRQSDGSRALDKTGSYCSS >CRE26135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:675880:678504:1 gene:WBGene00054330 transcript:CRE26135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-haf-2 description:CRE-HAF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LQD7] MHRWINTSLYLYMIVDVAITNLSFGYFAPGWKFHTDLFVNITTFSLPYHFTTSPLEFQIFLFIRQTFCAIAVLLIILGKKEKTKHLLPIVTFNAVITYCFSLVKFLAFSEDYEQLYYPGVWFSVVWAILSGALQVLVWYFVLSSQSFDYHRLLSTTADSEDVPSPETAADSTSDTPSNSNVESGATSPATPRTPLRQVLRQLFSYCGHQWPWFVAGFFFLIIYAVARVFIPNYTAQVISDIVNRGGTAVLLHSIFILGILTATSSFFGGLRGGCFDYATALVALRIRLDLFTSLINQDIGFFDTSKTGETMSRLTSDCQTIASTVSTNVNVFMRNGVMLIGAVVFMFVMSWRLAMVTFIAVPFVGFITKVYGKFYDKISEKLQQTIADANQMAEEVLSTMRTVRSFACEKREKTRFENLLSSTLSVNRKRALAYMGYTWNNEFCNNAILIAVLWYGGHLVLNDKMKKEQLITFLLYQMQLGENLYMLSYVMSGLMEAVGASRKVFELVNRKPQFELNGRLKPTVNGNITFSHVGFTYPSRPNNPVLKDLTLSIKAGETVALVGPSGGGKSSIVSLIEHFYEPNDGTVSLDGVQIKDINHIYYHQKIALVAQEPILYNGSVRHNILYGCDFATEDDMLNASKMANVHDFVMELEKGYDTNCGEKGVQMSGGQKQRIAIARALVRNPAVLILDEATSALDTESEALVQQALSRCAQERTVIIIAHRLSTIEKASKIAVIVKGHLVQMGTHSELMSETDGTYYSLVSRQMLSAKVGDE >CRE26420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:673084:674082:-1 gene:WBGene00054331 transcript:CRE26420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26420 MRRALPQLDVLLNMARLARFWDSLENDNLFDIVPQPPKPTAARFQQQWLTSLANARDDELQTILYAKPLLSTPESIVHLPDEEFYGAKKISVGYR >CRE26419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:669746:672493:-1 gene:WBGene00054332 transcript:CRE26419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-insc-1 description:CRE-INSC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQD5] MLSTSLGNLSAPPKPQRRIARLRSVANDCAASLPQLLEKNTISEMMNNNLGQKCNASSTTLCSSVHAESCPTDTEDAIIRNIKLPGFRGNISRALSQKSNSPSGCRTPTPRTAPPSYEQFQVLRRNSMKCENSFSFNCPPEQFVSASNPSLSQKNGILSPQPTNPSSSRSNTVTVNGVHVQQAMNRIDTSTDSANTILRSPYSTRSDSITSVDSGQCSGDQIERRSLCSIRQESKEEDDTGMPSYARMASTMDHVLRTSSALYTLLSSPLDPSICRDLLAKSSVFIQLLESSPCSNYLPKTEIARLKLNVQELQKNRDKGTIDMNNMTNFFAILLRKSIESVLLVFVRIIGKYLSETNGKDRLTPICLEHLIHICLFGDELCIEAIQKNCISSVIRIMKNDQPQENTLRFLLRTLAVLCGVSKGALALLTQGGLDLVVDRLLSISSSICSVEAAGILTQLTNPQSAFIRLNHVEPIISRLLDLIDQCKSGDSLLLATAALNNVTLQNPNGVDIMYRNNAIRRLISAYNRENCATIFVQEQIVTAFSRLAARHLDHQMVEQNSIPVLLEFLSLTHPVHADYCRRIRYKAAVCIGTLANSDVGLKALYDNNAYAILSNVLYDDNNTSNPFNMICNNIRTKMESKYQSESAV >CRE26134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:668945:669627:1 gene:WBGene00054333 transcript:CRE26134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26134 MAYLERAYFGLQCFWGESAWAKLKGVVVTRVGYAGGKQPNPTYKNIKDHTEITEITFDPKVIEYSKLTNFFWKHHNPAERRKKQYQSAILYVNDSQKKVAEDSLKAAKEKHGDIETYVEPLDKFYQAEDYHQKYWFRQKKNLFDELSLLDTQVAEGELATKLNAYCAGFQDFHDLERLQKEFVLPQSFVDKVKEYALSGGDPRNCHA >CRE26133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:665765:667223:1 gene:WBGene00054335 transcript:CRE26133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtch-1 MDGHQQDTFLGLAPEDEKFAKNLTAKLALSSLSLPLTVTRTLIQLGHEPFPLSTGKTLICAGRNAYFLPNAFSYMKQLGETRGYLTLWTGIDSAIVSLAVQGIVSHRTQQYIDQYYPNIGGPPENQDKEEDELSDSDSFRRVLRNAFRDSVIRVVAVTAARPFTVCFIRQVAQIIGNETKYTTCAQALGVIGKQEGPGGLFSGLAPQILGELLVIWGVHLITNGIQRVILKTEIGDTKQQDESKVKAAKDVHKFIHAAVPFVVNSFGYPYSVVSSIMAVAGSGLAVSFLPYSPSFNNWHGAWDYLRPTGLKRGSRLFLREQVGAVTVGADQQLYASNTYFA >CRE26418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:664684:665389:-1 gene:WBGene00054336 transcript:CRE26418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-4 description:CRE-RPB-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LQD2] MSSGGGNQKSDGQVEEDAAECKFPKEFETTTCDALLTAEVYLLLEHRRQSNESKDEIEEMSEVFIKTLNYARRMSRFKNRETIRAVRAIFSEKHLHKFEVAQIANLCPENAEEAKALVPSLENKIEESELEEVLKDLQSKRTFQ >CRE26417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:662464:664587:-1 gene:WBGene00054337 transcript:CRE26417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26417 MTEMLKPPKDLLPNVVNMIQNDHPYIKALNEDINKLYINQKQSEELSAERESYIAMIRLYLKSETIKKQIEPQGVKINRVTVFGSFSTHCASKDSDLDLCVCASNEGAKKALPVTVLQTIYRELVNNIHSRNYFFGDNEIREISFVSTAKVPIIRFMINNVPVDLSATFENTPPRTSLAAKYINAYCQLDDRFKVLVTFLKTWMKSEGSAEDHLRDFPNSYSLILLLIHVLQWYDIVPNLHETHTELFHHKHFKSWKLAEVSHEFKYPLDENTIAMHNKKETRKLSIVQLLYLFACQYSEESIMMYYRFNMKNGMIEGRSQKENPVTIVDVYDTRNPARSARSILDMTGSLTYLRRLFLAPRDNMFALLMKITVEKPYLRQNAFPYPMYPPMMNGAASMYQIGHFGSPQFMYQQPWFQNNSVWNNQNNGGQQGTSNQNANNHSNKQYVQYMSHPPVQSMTNVNMYQSYAFGQYPFSYSQYPQQPDGNVKQIDNKPKRR >CRE26416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:656842:661646:-1 gene:WBGene00054338 transcript:CRE26416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26416 MAETANPPPTVKGDSKRTAVMSDQEIVARILGTSVAQANWYAANPEEMYFMVKQDVMHEFSNQIEYDYACYRGTNKGVYIRDDYYVSFYQWFKSDEFTKHVGVNYTFSDPYLVGRAWTPIRTDDATVDVYISVRGKKSLLPAVPQRVRDQFVEAFSRKFATEEGEEVLVKHNRIFLTTPTLKITITLVAEPNPPFAVLLTSALNQYDDFFDNFSAMSFFIHRMFLDIRNGCQGTQRLVVPSSHSINCLIIFFLQFYEMIPHFFQSSSHSESYLQSHPMEDIIKYKYPAYHPSMYQHFKDFENLRRDRKPKIGEVVLMFFFFYGYLIDLPHQTLNVITTEVTCKEDIDVFLFSSVALSITTVDTKTGSEVPDAYRLQNLFREAFNLVKDAQNPHTVTKRLCRMRLDDSFYCIRDRIMRSTKDTFDVVHKAWCGTTDHILDEKYHLNTREVHGMIVTDCNPWIRYRRKETQDLYGKRFPKKPFSDSMHQMKTYFRRPTNYQNCRTIPTLEHLQKRAGRMFMTTVLANPNNHSELSVIFRPPNCKAARLRPVVDPVQELSMWSFEMPYHREPNFFELARMGCYEHMREIELSKKLGEGSSWDKEDQRWIRLREKSIRENYATDSERNDLVNEDDDTSGEDERKMSTPFEARDLSLSTEEIPGTSTETESNATINEETTTDPLVVDDSDTTDLMKKLSELNASDLTLEEKEEYLKTEAFSPYLSHEKDIVNEKARGLAFFVFAERLADSWTNIELDEDYRETDMLECRLTHARFLDLIHDPFFKKTLAELAPVGIVAQQVYVFPTFNSMTRLRKETYVYIQYFYSKISKLNIKICYDDDIPDVLLGEVYCKACSLFFPHLEPLVLFFHSVMSEDSVGGPYGRTTSFDEKWLPQYKTAMLVIFFLQHCELIPLIMRDTDVFVPTNVTWEKLIDWDEGRQRFFTDQYMFEGRKWASRSIYAKAPLGKLFFLMLVFYTKSIHFGEGRIIIDKGTHPPLMEQEQNCLVIDSVLLRRTSDEFPRKMVYFVIMKAMHSYLCGCRDAGSVGDDLSSIRSQLIEVRRAEEAGRPLPKIPQ >CRE26415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:654579:655909:-1 gene:WBGene00054339 transcript:CRE26415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26415 MTSAVGKRLDEVIHFDFSKPHDEKVRAKLTVQLCSQFLEPLTAEIEKKGEEESVDEEEEMKDELDIMGQMNKFLGSPESEKFFKRRNLKCNAVLPFISCNPLSDWEKRDVSVVASFGFPNKVPVRLLARRRSNKILDIFIDQIKHMFPQHEVTDIEHNDAAKVPYLLCNVDNCSVIIRISIKPFCGPQYLAKDVVEMYRKKDDRFIALANYFHSFLKAKAIKKGDKRKAKSVIPKLCTLKMMFVHLFKHYGLLPADINSLEGMMSSLSVEDSQSISLGTLFFLFLDYYLDVISLEEDFLEISTGESIQKMSIGLTNLNILSISDIFDDHIPGERVNDTLIFKKILKASFLCIEKHLNTRTGDILNDLRVIPLRPKHITL >CRE26414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:648452:649815:-1 gene:WBGene00054340 transcript:CRE26414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-3 description:CRE-SRE-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LQC8] MRIFHFQNITVMHYLIYYSSNQSKIDDTWFYYQVLTYFEFACICISYPLVIFLGFQLFKHRIFHQNLQNCVRAAVVEYLIQLTSRSIQIFLIFNSKENGLAFYYASLARCTCYFFVSFSLPGFVIERCCASYFLSDYEKRSRHYISIFLLSVSAGSGLLLSYLYHQSESTLVYHIIVLVINILSSAVLAIIEKYNYKRLHESSNLRKSKREYSLAERFQISENIRVCELMKTIINVVALFNLLSTVSAALDNFDLSPTFLNVAATFFNFCVLTYGSLVFFLFYYLIPQFKNELKAVFMRMRLVNPVSPFPDILKEKPMQMAAKETDEYFSQLQKQWL >CRE26413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:640911:642657:-1 gene:WBGene00054341 transcript:CRE26413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bath-44 description:CRE-BATH-44 protein [Source:UniProtKB/TrEMBL;Acc:E3LQC7] MDNTLMQLKHAADSFSTSEVRSLTHKHLWTIRGFSQLECRYLETSAKIKDISNDEWGLQAAPSSLPSSSGGLPELPDICFRIRLHPQGNKESNKDFTFFQCFTNQNAQQTAPTFRAKFKFSVHNNRGDETPTTVYSGTQQLHGYFEYIRREVLIGHVQPTDDLHLSLIITVTFDTVTKASQNIRSIAPELPKPVEVTKDLENLFRSGKHSDFTFIVEGRELRAHRAILAARSPVFAAMMESHTSESQNSRVIMEDLEYDVVEALLYYIYTGTCPNMGSHALEILAAADRYALPGLKNLAEMAMRNNLAADTICKHLAHADLYNMTEFKKEAIKFICLNANSVISSDGFINLTKSNPHLIADIMSTLANDRHSSPSYFSRDGSLEPLNKRARMTETNI >CRE26412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:637514:638673:-1 gene:WBGene00054343 transcript:CRE26412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26412 MSSTSCRRLDDVALWSILKLVDAATLQNCRRVSTKWNGEIHRLSDYTSKFKPSSLIMELFGTQFRITIGTPNGPKGFEEDPISSETGKQVLRHVDPPKTLLIFLNEDVDLDSYEMMMSGISDDWISKIRELEIVYQNSNLPFSKVLKHLEKTPNLTYLSLSHHCNETKSIGDIFEMLPELRYLRIFGFNPNDGSGCSSGLIFDDNAIEQLIKNQNGFRRIQNIELFNTDVTVSMDMMIHALRYLARLPAHSDCLQESKNPEEVNLLFDNCRWDSIKELNLLASIIRISSDFNFPIQQPNENILKGDFRFSIFETNFILKFMAG >CRE26132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:635235:637222:1 gene:WBGene00054344 transcript:CRE26132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-7 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LQC5] MILSWIFTLAAAIPPTLGHRNRIQDDDQMIVISDSTDSLNLEDFAFADKLTREELFGKHIPVEVVNDFKSDIRLPRRHKRNGVSRAAKLWPNARIPYAISPHYSPHERALLAKAVKQYHEKTCIRFVPRQAGEPDYLFIGKVDGCFSEVGRTSGVQVLSLDNGCMEYATIIHEMMHVVGFYHEHERWDRDNFIDIIWQNIDRGALDQFGKVDLSKTSYYGQPYDYKSILHYDSLAFSKNGFPTMLPKVKSATIGNARDFSDVDISKINRMYNCPLEKSVTAPFARARHVPIYSPQYHHKYEDRPKIPLRSFDMQQGPIMPSMAQVPSQSLVVSSSSGRVNYNTNKPSPQSQCEDRITVCWWTADRCRSPAIYQVMSSLCPKTCKFC >CRE26411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:627541:629164:-1 gene:WBGene00054345 transcript:CRE26411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-55 description:CRE-SRD-55 protein [Source:UniProtKB/TrEMBL;Acc:E3LQC4] MSSDSNRFVSYVDIYFKIYFFGGLTFQFVLLILILTKSPAILSNLKFFLINTCALQIVLISLAFFTQHRSLPNSKSFAVLPHGPCRSFGPNTCFTAYHLFLVCRKLSASSIKNFQIQGVALCVGLGISNTIIFRFRVLRKGRESRKRIITMISLTYIPSLAIIILPFTSPWNFAEVRAVTYFEHPTYDLSIYEPFVGFFTITSFQFLSATFLLVIGAYAIPAVSGFLTTRVIHLINDNRGMSLKTKEHSKTLAYGLACQTFLPVICYIPFPSCYIYSQISNVEMLLTEHLLGILICLPSFLDPFISFYFIVPYRQAILGIFKCRKSKPLKTVISVRHLSKQNASIIDSN >CRE26131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:626158:627412:1 gene:WBGene00054346 transcript:CRE26131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26131 MLLQRIGIEHLRIWILLLLISLVPAALIRDRRRTSDDFSLFSYDYDDNAPDAESAFRKFEALCSARSQQPNTSKPSLIDALCTSALKKE >CRE26130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:607952:612379:1 gene:WBGene00054347 transcript:CRE26130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kcc-3 description:CRE-KCC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LQC2] MGKGGGRMETVGEDGIPADYKGNRKFTTSLGHLALYKEDEGIGTQASFISGYTTPGPKERATSEHVKANLGVMLGVYLPTIQHILGVTMFIRLFWVVGMAGVAWTMALLAICCLSTLLTSISLSAVATNGVVESGGAYFIISRNLGAEFGSAVGILFYLANTVAASMYIVGGVEVILMYLWPEMAIGGADALHDTEMFGSLYNNLRLYGTIFLLFQALIVAMGVKFVQLLAPVSLMCVVLAVMACIGGGIEKQITMEGMNCFRVCAIDNHLLQSSIITHPTHKNQTSWFNETVDFCNLCDKSLYLESVFCANVNNDEASAEDDVFCTHYTSKKMTCQMAFPGFNMKTLNDNMWPEYMEKTEVVPGVRGKETAEVVQDESSTFFMLMAIYFPAVTGIFTGTNMSGDLADPQRSIPVGTIAATLTTSAIYYVLAILFGGSVNRSVLRDKFGRSIGNTMVVAALSWPHPAVVTVGAFLSTFGAALQCLCSAPRLLQSIAKDDVIPILAPFARVTKNNEPFLGLVLTVIIAECGILLGAVDKIAEVLDFFFLMCYAFVNLIAVLHSLLKSPNWRPRFKYFHWSLSLLGAALCFFIMFASSVPLACIACTATAAIYKYVEWKGAKKEWGDGMRGLALSTAQYSLLKVEDKDPHPKNWRPQVLILLTSQWSKEMIDRRAVSMLNLGAQLKAGRGLAIACAFLKGTVDSQKDKNRARDVKTTLVKDMTSVRLRGFAKTMFYNNHQINGTISGLYQSIGIGGLRPNTILVNWPNDKNADELVLFAEEIIHGAANDNCLIVTKGITDFPEYSERLTGFIDIWWIVQDGGILMLIAYLLRQHKVWKGCTLRIYAVSEQDSTKSEDMKAGLQKYIYMLRIDAELFVSSDLSTGVLAIVVKIVDLLDMEVSDEVVEKAAEVERKQKEREEMRRSKSGYLNDGYMEDNGKPRPVTMRHSDSTRSFTPQPHTSINLDESAETSFTESLFDDFYRSGTPNEEMEGAMKLNIHKMNTSVRLNRVIRENSPDSQLILLNLPSPPRNRLAFNNSYMTYLDVLTEDLPRVLFIGGSGREVITIDS >CRE26410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:604121:605450:-1 gene:WBGene00054348 transcript:CRE26410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26410 MDERSDYSNRFVPYVNAYFQFFLFAGLTFQFILLYLIRTKSPASLDQLKYFLYNTSCIQILEILCGYFTQHRSLPNSTTFAVLANGPCRYFGPTVCFSGYHIFLGVTFCVALSISNTVVYRFLILRRRDITKRHLICIIIFSYIPGIFTMIIPFTDKWDFPVAINQTYLEHPTYGLLYYAPFSGFANINSTQFLSATAILAIGAYGIPLCSFLLTRSILKLIRAHSNMSTRTKTQAKTLVHGLACQTLIPLLCYIPIFSVYSYSQLNGKENIASEHLLMILTCLPALIDPFISFYFVVPYRNALLRVVTNRKEDEKTSTMAVASTVSIRQINAHIMS >CRE26409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:601363:602513:-1 gene:WBGene00054349 transcript:CRE26409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26409 MSSDSNRFVIYFDAYYYLYFVIGVFAQMVLLILIKTKSPPSLESFRYFLINTWIVQFSVLVMAFFTQSRCLPNSTTYAVLPRGPCRLFGPNACFGGYHVSLAVSMGVALSIANTVLFRYLLLRFHGFQKKHYITMIALCHIPTVFLATVPFFDDWDFQCARSFTYKEHPTYDLSIYEPFPGFSNIQSIPFLTATGVVAIGAYVIPLGSFFIIFSISSLIKSHKSMSERTKAVAKMMVKGLAFQTILPFLSYTPIVSFYLYSQFTGEEMLLTEHFLMLCSGFPALCDPFISCYCILPYRQSILKFISRKTRRGSGSSRSKVSTISVRSVNLHVF >CRE26129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:600334:601292:1 gene:WBGene00054350 transcript:CRE26129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26129 MSVYTTIEYTDNKGNAYSGELYVPSSPASEKHPGVLIFPAIRGVTQLEMTRAKLLAEELGYVALVADIYGKGIRFTDIPTALTVIRSLMADRTGKFKERPEASICALRALPSVDQKELAAFGFCLGGLCSLDVARHRYEGFRAVISFHGTLSPIEEIPLDPIDDTSIQVHHGDLDEHVPKTAVDAFHEEMRARNADFVFISHGKAMHSFTDPDSATIAPSGVGYNENADKRSWKATVELLKEVFA >CRE26128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:597656:598485:1 gene:WBGene00054351 transcript:CRE26128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26128 MTITSKHIDYKDADGTVFEGVVYIPENAKNSKVPAVLVFPAFRGITEFEKDKGHQLAELGFFAFVADVYGKGVRPTERADAFATMGPLVSNRVEKLKPRLFAAFNTLKTFSEVDQSKIAAIGYCFGGLCVLDLARYNAKLKVVVSYHGTLKPIPDLPLDPILETSVQVHHGDADFHIAKDQVDGFHEEMRTRQADFVFVSHAKAVHAFTEPEADSFNAPGIGYNEKAAKRSWKATLGIFDEVFA >CRE26407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:593119:594352:-1 gene:WBGene00054354 transcript:CRE26407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26407 MRILNFPVLIYENILKHLQPSELLLLSFCSLRTRALISRMRHTPTYTVFILNRPEEMNYALVNEPEKEKIVITWNWNNEQMGGVRTERIKSKYIDLECRITFKKNSNTPILWCSFENQSSRKRFATVLHSHMCEVFHVKPEMQFKLSLDYMNELPYTNTVRDVTLLDTTVNSQVVDEFFEKFHVTRALFSKSYQMNNPLKDSCKFHQVNNLFFDGSTWIDGSYLLKFDCQNLVAIVPYVRENCLIKFVNQWLEGKNTKLRTMAVMLDEDVNAPIVLDRFNLTPWNAKEDKLSYDLPVHDYCKRLFKYFNDMDRSGVLRRQSDGLRAVMRGKLGQFLFHVLDN >CRE26406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:585315:585923:-1 gene:WBGene00054355 transcript:CRE26406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26406 MVKDNHKLGNFDLTGIPPAPRGVPQIEVTFEIDVNGILHVSAEDKGTGNQNKITITNDHNRLSPEDIERMINDADKFAADDQAIKEKVESRNELESYAYQMKSQIGDNEKLGGKLSDEDKVSIESAVERAIEWLGNNQDASTEENKEQKKELESVVQPIISKLYSAGGEGGEQAAEEPAEDHGEL >CRE26125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:582911:584497:1 gene:WBGene00054356 transcript:CRE26125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26125 MRFLNFPYLVHENILQHLEPSELLLFSFCSLRTRTLVSRMRHTPTYTIFILDKPEKRSYGFVEKPEKEKILLSWTWKKISMERENLEKWTQLKLKDVHLDCRVKFDRKLNIPTLMCRFEDVSTRKRFATALHSHMCEVFHVKPEMQFILSLNYMDELPYTNTVRYVTFLKSSVNSTVADEFFEKFHVTRALFCRRSVPDRLLKDSSKFLEVNNLFIGFSPWLDISLLLRVKCENVVITSSMLHNNDMIDLLNNWLQGSNTRLKAMSIFGSVGNDAHLIMDNFNLEAWDPEVDKIEYDEPVRDYCEQLLYWMYDIDRYMLRSGILRRPSDGLRALIRTAHEQLHFLVLKN >CRE26405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:580246:581255:-1 gene:WBGene00054357 transcript:CRE26405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26405 MRILNFPILVYENILKHLQPSELLLLSFCSLRTRALVSRMRHTPTHSIFVLVRPEEMNYALVNEPEKEEIVITWNWENEKMGGVRTERIKSKYIDLECRITFKKNSNTPVLWCSFENQSSRKRFATVLHSHMCEVFHVKPEMQFKLSLDYMNELPYTNTVRDVTLLDTTVNSQVVDEFFEKFHVTRALFSKSCKRNNPLKDSCKFHQINNLFIDCSSWIDGSYLLKFDCQNLVAIVPSVRENSLIKFVNQWLEGKYTKLRTMAVLLDTDVDAPIVLNQFSLAPWNAEEDKINYDLP >CRE26124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:578371:579379:1 gene:WBGene00054358 transcript:CRE26124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26124 MSVAHSLLQYKDSNGKVYEGDLYVPRTTGMRKLPGVVIYPAFRGITEFEKEKAKALAQEGYIALAADPFGKGIRPTDKMECLGMVRPLVSERVTGLKPVIIAAVDAIKTVQGIDVQKIGAIGFCFGGTCVLDLARYNIGLKAVVSYHGTLKAIPDIPLDKIVGTAIQAHHGDADTHIPKEQVDEFHVEMRARNADFVFASHAFAEHGFTEPDADSFGLPGVKYNEKAAKRSWSATLSLFKEVF >CRE26404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:577002:577445:-1 gene:WBGene00054359 transcript:CRE26404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26404 MTSRPLRLFSFEKSMILVGFLSLLFTISSAAITTAPPNGEVECDIVPVTPCPVTCGGGFQLSKYVCVDKTETCGCPKNPIYYQCNNRPCLGKNPTLLDTFYDEENKQQEENGVEYVEDSGEAAKPEENKSA >CRE26123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:572900:576914:1 gene:WBGene00054360 transcript:CRE26123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sro-1 description:CRE-SRO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQA8] MKHSTLTSNLIVFAVVPLVLNLVSLVPIFYRRQQKGKSIRDNASLQPLVWLLVVDVLMSAQLVVPIHNFLMEKGTFSEWSCIAYGAADMTLSLVEVLLACMIAFDRYIVTITPKWGKWRCHSNYFKLIFFGAIAVGLWSFVPTAGYGKYSTFHHNMFCSIDWRQGNIEPDSEKSRLSAHRYIAFLTATCLVFFLIPVCIASSLYYSIIDHVDSQNSSEVVQLENGVPKPEVCTWAPKNHVAKVGLGCLLVSVLPFFAYSVVCLNPMKSDFHQIHYIVIPVIISRVSTLLNPIFYVWLNPEIIPINEFIAKRAKPRPQRPLYHTINLIADVPGMSFPILTPTIPRRQLPKIPPHLASPSKPSLNDFLDDDSDSEPKEHTPML >CRE26122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:564419:568978:1 gene:WBGene00054361 transcript:CRE26122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aka-1 description:CRE-AKA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQA7] MEESPDMDDLLNQMESDLIRKTRTIQSAPPLLPPTSIAMQPRGTQQKQTTRSVVTVSKYVMSEDMNTHMEGHVNIDSSLLPNTFQSKPIFRNETTVTETKKENISPPPTNGKLTESIPVVEKSIENTVVVVKEKPTHVEDSQKMDSEPELEISSEPEPEEISEVEEDTLIPETQIISNLNVTARSSMPVVASEAVNLTHHNLDLDTEMESMLDYLNGVDEGGNDTETVIENGHAPSRKEGGRDSIDDSTDESILTQFAHKFSEEVMTAALNTRNLHYDPAVQEFYLENDSTPDVVPMSSSSNSESIADNSNYLSEPESVTEKKALASVSEQCEESEKEAEDNENSESLEKVEEKKSECDQNENIEAVKEEPSSNADIEMEKELEATEGNTGDSTKTTETENDVQKDTVSVSLEEQKEENVQEMDASESETVPEQEDVVDESESTVAVAEEIEGEHEEDTSVEVAEFEEREVVEAVGQEEIDSVTDNASNGSQESSLEGIDGDSENRPRFDSSIATIHVLHESDSDESATPRRERRLTESELQLGKTSPYWIPDSECPHCMLCNTKFTIITRRHHCRACGRVLCGSCCSEKAVLDYLQEEGKKQQAVRVCKPCSTMLARIEAHEQEEQRRRESVASDGFSEDEATSSTVPVPVHVPRGVLKTRSMTQGNEEEGASTSNPVSLVAAQDTSRRSVMFRDGVRPGAPVDETNPEVERSTALKPKKKSRKRTAVVRRIAELKMEDELACALPKDGVTKVLIVKPDSEQPKFENASIVFESLCNFSVVTVVLKKNLNCTVQIFNSEFQTFYVMFTKLFSISDPNFGLVWAVSTQGFAQIGLDELFFSWTLSDEEKQKVDSEDPELDEKSAVSFLPLAVLNRISTVCLEFTGTDIKPHFFQIYTHSTEHEYAGVRRVDNRLMRIHTVTDPIYPLTKHIMFFRPTVQAGLQNMRIPTNPFLIACFLHDDELNWATALPNRLLYKLGEKYNVFPTPFVNNIGRPSLYSTDVSGTVLKVFTDFRSWSYRMRHIPGCTVSLTNDKTVIRIPKSCIKELKEILNFSRSTVAWSCDLNQEDDSVLVCEETDPGLYSTQVFAKYIGQRESTSASFVILDGGSKVNSLQVNVVEDGVALRLQSEKLETILNAINEGHDVVESSKDMEFRVEFVEDGDWIAPESDYYPKSQIDGLFLINKYQYGLSLERALTQVLQVQGISDYGVRMSHVYNLGDGRLQPEEEPKIYSMVETAAKECVAVLEPHIQPLIRAGIGSVSIRLFVSPYEFEYDVSRWLGLEAENDKYKQSLDQLIPMLYNMIEYVPNGFEVEFVLSIVSTKALPI >CRE26403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:562368:563980:-1 gene:WBGene00054362 transcript:CRE26403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-6 description:CRE-UBC-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LQA6] MTEQYNTKNAGVRRLMQEAKELRKATEMYHAQPMEDNLFEWHFTIRGTIGTDFEGGIYHGRIIFPADYPMKPPNLILLTPNGRFELNKKICLSISGYHPETWLPSWSIRTALLALIGFLPSTPGGALGSLDYPPKERKRLAKLSGDWKCKECGCVMKDALLPITEDGQLKETEEAKKLAAQLKFQDESVVKKEIADANGSASEEIQSSETTQPETSEVTNDSSESDESSEESSGEETEAEQPVDPEPAIRDPSPTPLFQQPARQLASRSFDYSLLIKIPLALICLAVFMTLVARRILVESGNSFKEGPEL >CRE26120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:557336:560601:1 gene:WBGene00054363 transcript:CRE26120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cah-2 description:CRE-CAH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LQA3] MRKKLLFLYITTEILTVIDGVQYPWTFDNDLFGGPDFWGLLHGDWRMCTAGQMQSPVNIDPSQLLYDPHLMPINIEGNIVEAVFENTGQLPIVTIKDLPNKPTINITGGPTMPYRYKLHQISVHFGRADEGEKGSEHTVDRVRFPAEIQLLAYNSALYPNFSIAQTSPRGLLAVSVIVDVNWENDSCRTEEIDGSFAKHNLQR >CRE26119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:554354:555359:1 gene:WBGene00054364 transcript:CRE26119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26119 MPEKLAVTRISDCSNHFKGQIKAGTMKVEVPESLKKSRCYYQHDWIFCVCNNTYCNSPQYWPLMFARFFHESPPATRRLPGAFADAKKMIKEHGLNVTINKNEKKSFRENLRCFVWFSDTVNQEELNPPFYLYNYITTVHRAPPLADALTTDPALRKDSDWGTIQDHTVTKLHIPEKEPYEDGTHETDPDYKTYPHEMKQDQENSERAVTFLSIGLAVCIVAFGGTNFFLYKICQRIRDHSQFTRVDDRRARGRYTRCFKTPKLPTEFVKISGKELKNVDMEAGPSVK >CRE26118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:550527:552548:1 gene:WBGene00054365 transcript:CRE26118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-23 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LQA1] MRLLFLTLFVNAYAIDLTKIPKLSDLINPFQQFKLRDILSSITELETPDVAADSAGISYPKHEYTDRLSSISDINRSKRDLLFQGDIHLSFAHLSNIVREQLDHPRRKRTAFRNEQYPKTIWKPYVPFELHSSLSAKSRSSLVAAMTFWEKNTCVTFRKRTDEEVYLLMSGAEEGCWSTVGRDEEQKAQILNIGIGCEMFGITSHELAHALGLFHEQSRYDRNNYVQIVKSRIAQKNFYDFAVVGMKNMDTYGQKYDIGSVMHYRPTEFSIDGGNTIIAKDINMQNTMGQFRGPSFLDVAKINRHYDCAVNCKNKIKCVNGGYQHPRNCNVCVCPPGYGGKDCTGIEASNPAKCNGVLVAGETQRKFTINMKPKNVVNGVRKCNYHIQAPPGKRIVVIVDSVIGNCVQGCYEEGVELKMYDDKTVTGARFCCKLQKPQTLISQGNTVPIMLVAGKAQSFVQLRYSTGLISKKKLLCSIISSVDHPQNRSPKDGNATSVSVNPLVLEKYLDESIDSEAIRREFLIQSDNVDREDFETLVRREFIDENSIEI >CRE26401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:548104:549113:-1 gene:WBGene00054366 transcript:CRE26401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26401 MSREQEQNTPSFSEIRQRLQSGEDFDLAHRLQEREYEMYYNHNRNVNGTIVSDRKKTREEQTAEDEHSASLRRMGLAERCMTDEEYARQLQEEMDRMDAAEQMDKDAQLREDARLAWLLQQESSNSSASGQSVSQVPPDLISFDDQVPTRFVTEVQYNNMANQFLEEQPTPAHTQIINLSPTNPFLHDVEEYYSQQQN >CRE26400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:547028:547569:-1 gene:WBGene00054367 transcript:CRE26400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spi-1 description:CRE-ISL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ99] MPHPYEHLVFQTIIWNVQCESDMYDDSSVVKTEETEEVKPCALNEVWMVCSSCEEECGKPPQPCPRICQPARCQCPAHKGFRRNSKGECVFCHDSVPKL >CRE26117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:544844:546937:1 gene:WBGene00054368 transcript:CRE26117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-14 description:CRE-SRAB-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ98] MASDDFVKTARKALVSYSVSIQNYTEDDCQIAFHATTNSFMQTIRLVHIFFCTLGAISSSLFIYVLLNSSSRNLHRNLRISLASLAFAALIACLQLDFIAFYHLALTLTADNACDSMYEARKCAILRFPVVLSIYSTLCGIIVLAIERTIATLKYRTYEVCFRNMWFSKEYCFQANGSRAVGLALIAGQWLVCIVVAIFSVLLRSDPGYVHYCTAYISHPRTSVFSLCFMSALEVITLVYFVLLLQSNQRRQVNEFVNKAMHSLSERYQLQENVRIMKILIPSITVHAILGFVGLGSMLVFAIMYRTADERLIVGFAPFSEVVLLVIPVYAVVFPIVAVFQNKQLRLASRRALPFLFNPESQESSEMLPDPPPSHTMITSRPSRQLEKESDTHFDLLNEMWKKG >CRE26113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:529290:530488:1 gene:WBGene00054369 transcript:CRE26113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26113 MKLLSFPWLVQENIQKFMEPTELLFLSFCSLRCRNLVSQMRHTPTYSEFGLEEPGKMSYALVKDIKRNNTTMLTWTWKSKFWGPVSEEWSWLKSKDIDFHCKIIFEADSTALLWCPSENQASRKRFATALHSHMCEVFRVEPEMQFDLSLDYMDELPYTNTVRDVTLFDTSVNSKVLDKFLETFQVTRVLFSKTMKPNNPLKDSKRLYHLSNMFIHSALWLNGSKLLGLNCEKFDVMDKFQLETWNSSTDKLEYSTPVREYGKNLLAQINRTEMGRSGVLVRQSDGLRAVLRAARGQFHFHILHS >CRE26114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:531031:532231:1 gene:WBGene00054370 transcript:CRE26114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26114 MKLLSFPWLVQDCIQKFMEPTELLFLSFCSLRARKVVSQMRHTPTYSVFGLKEPETMSYALVKDLKRNNTTLLTWTWKSQMGDRMPEGLRWLKSKDIDFHCKIIFAADSTALLWCPSENQASRKRFATALHSHMCEVFRVEPEMQFKLSLEYMDELPYTNTVRDVALLDTSVNSKVLDEFLETFEVTRVLFSKIIKLNNPLKDSNRLYNLNNLFIPSTHWLNGSKLLEWNCENLVISLTGLWENDLINFVNVWLNGNNTKLQTFLHIAGSRLDHAAVVGHFELEPWNPEVDQLEYKLPVRDYCRLLLAEIDRTEMERTGVLVRQSDGLRAVLRASMDYFLFHVLHD >CRE26112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:528034:528617:1 gene:WBGene00054371 transcript:CRE26112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26112 MTVATEELLHTVTRTRKVVMTHSVRTLDCEFYCRKTCGLCKDPNVAYTTKEPLPVPIKRTTKIVCKDDSGTENCEKKKHLCTNPVFPEYKESCLKTCGLCIDPADLPTTIPSCQDKSTGCAEKKYLCTNAFFSDFKYDCEKTCGLC >CRE26399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:527371:527845:-1 gene:WBGene00054372 transcript:CRE26399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26399 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LQ92] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE26111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:527053:527321:1 gene:WBGene00054373 transcript:CRE26111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26111 MISYQFLVFSLLIGVTVASGATCDDRGTPQYCQDNKEFCDDPFGSDTRYYCKKTCGLCSGSTTAPGSKFLLI >CRE26110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:520134:526451:1 gene:WBGene00054374 transcript:CRE26110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-9 description:CRE-GLB-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ90] MDELSTSPYQFRRTQSCRVTNKGNLGTIASLTFSQKQALNLSWRLLKPQASTCFRKIFLELEIASPKVKQIFYKAALVDAFNKDEDNSATMEVHIKLTTKFFDELLSTLDDENEFVAKIRGIGSAHAILAKGSNFSSDIWERLGEIAMERVCSHEVVTKTREASRAWRTLIAILIDELRGGFEGELRQHRKSSSTDQIEMGKVEDEEELHAKLQQLRMDYNHTLPYT >CRE26398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:513186:515015:-1 gene:WBGene00054375 transcript:CRE26398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-53 description:CRE-UGT-53 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ89] MRLFLLLITLFNLSSSLNILFYYAVIGPSHIAYLRTAIGKLLARGHTIDVVFAVYNELANLDLSPEVRRVYYNRHADPDYWKKNANHFSNMFEKTKTPLGEFEIFHESGYQLCRIAINDMELLEFLKSGNYDIGFSSDYDPCGNILMTAAEIPSIGSIIATPIFLPHLVSAGLPTIASVFGSPLYPENDGSLYHKAFNLLRLTYYHYVIAPDMESKYNKLIQQRFGNRFPDVAKIERDLDIVFVNSHEFLEKQRPMSSKIKYIGGMAIREAKKLPEQFENLLSASSSEFVLFSFGTQVPTVKMPLQIRKNFVEAFKKFPNVTFLWKYDNLDLDAEIFEGLKNIHRLEWLPQTELLHDDRVKLFISHMGLNSYLETATAGKPVFSIPLFADQLNNAQNARDREIGLVVDRDQLTVKNIESSLRELLENPKYLSNAKSISKMMAERPEKPTDVFINWLEFTAHNPGLHKVLRMPGSDLSPFYYYCGDILLILFSIVFLVMYFILKRVSVMTTKIKSD >CRE26109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:512068:513150:1 gene:WBGene00054376 transcript:CRE26109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26109 MLSVNTPVFYTTILIIFVIFSIKELTSPKKITKFAKWSSCFQEGIGLWKNSSENDGFWNHTAKISSSCKIVLDLSIEKLSESKIHVFPISNNSESVHLTIRVSEDIEAESKLKKVLFILDNYSFLLFIFQKSPQTLLFGTFPNSKQVFFRKIGKVFPVTIGMNSEVIDTPTNDRNETRNLTITDSESLMQLDLIYFLKEVLKHEFYISIWFNSIFEELPNMIYRNGRVDKNGITVCQMNLKINENEKTTAKKLLLNVINDRRYAVIVDQFDTFINMFLINFDNIKCKNELGIDR >CRE26397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:509354:511850:-1 gene:WBGene00054377 transcript:CRE26397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnh-1.1 description:CRE-RNH-1.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ87] MIRWFRNFGTLFRKPRGPGVVKNEQTNPNSQRVESRAIDRSEEQRSEGGKGGGGGGGGHGGGGGHGGGHGGGHGGGGHGSSGHGGHGGHSSSHGGHGGHSSYGSHISRSTSSASSGYTSRGSSGISSQLLLHPVLEASFPPPRLDPADQFNPNIQLTQRNQRNRQSQPTHLAQSNRIPLAVYTHKKVENPLKVSIHLEKKFEIRHVPGSKSSTSSLGSKPIEKSRRDSRKSSSRNDSVASRRQLRSVSNKSKRQSNVSTDSLSTVSTGRSRGRSTARRDNINSVASQRQSRNRSVSRNRSKSRSRNRVNRVKSESPGKSTGGQHVSRKDAAMNNVLSPTNRTNRLDRSHSAHHERTYHERQTKSNGVWNETFPKGTLIMYTDASYLKREHRSGIGIFVAPGHELNRSQRIREPIQDNNFAEFIAVKTALENALKNDTYRNQKVVIRTDCLGVIEAMKGTQSTAYPSVKSEVIKLANQFPRGVEFQHVYAHEGDPGNELADMFAGIASEKRDVYGSGYRRNRSASRERNRQRSKSNDPSIIRSRSHSIQGRL >CRE26396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:506720:507785:-1 gene:WBGene00054378 transcript:CRE26396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26396 MIPSTILSNNIEMPLIGLGTTHSGGYYHDAVLHSINKCGYRLIDTAKRYGVEKQLGIAVNNCSIPREDLFLCTKLWPVDCGDAVFDAFRTSCEKLQTDYLDMYMIHMPQLPDWIVDQKETKEKTWRQMELLYEDEHVRSIGVSNYSIDDLEELSEFASILPHANQIEFHPWFHQSDLKKYSDENGILTMGYCPLAKGKYLEDETLCRIAQKYNKSPAQICLRWSVQQNVPTVPKSTDSKRLKENTDVFDFELSEEDMGTLSSFSSQNRKIVDLSNICQKMSLPDGYKLNGRVFGVPEDNERMQKSCSKCARDHNIPQPVCI >CRE26395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:504018:506388:-1 gene:WBGene00054379 transcript:CRE26395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrt-1 description:CRE-WRT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ85] MVMNPLTATLLAAIIGTAASASCGSSGIPFRFEVLPSGQPVLGCGSPTCFGSENGGKDLRHDSNFMAGPDGDDGFFREGDLARVRVRNSDAPAQMANCPREFSSSSCSNPMTWVGGFKASESGDLSLQCCHYEGLRFAQEVGRPVVHAGEVYSGGEVLRDGRQTGFDAISNVKKITSGNGDVAYELTVTRMNCLPNPAEDSNEVSFDIQRDIGRILEKVGETAASGVQTNQIEADQRLSPSTDVQSDSYVTPTESDPQETVEQFVQVGEQVVPVTSAGYYYPVASGVPACFTGDAKVMTPSGEKEMRDVRVGDLVLTSEYGKMVYTRVSSWLHRLPETKAAFIKLTTDHGPEVSMTPQHFIYKADCVTEELNLVYAEDINKGDCVMVKETEKSEFSEEGILTYFSKISDLIMTVVTARSTFYETGVYAPMTETGDLIVDDVYASCHNVIKTNTLSHTFLNLATAMQQKVRSILGFFEETGHLPASSEFFLSIIDVLLPHKY >CRE26108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:502756:503855:1 gene:WBGene00054380 transcript:CRE26108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrt-10 description:CRE-WRT-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ84] MLLISLISCLVITALAKDANLTVYEDGALEAECGPVPCGEVGRRCIDDQTSCRAETDVFSGMRWAPNGESILLRCCTMQSKNKIYVGTDVVSAGSFYEGGDVAAKDLYGDKGGAEYDFVANARTEQGGVRVWVYRMICAKGEKPVDFEPITTTSAPKVVKTTTQEPTTPVVEEEDEETEQLETEGEQTNDNEAEVVESNDGEEEVEEEEEEEEVTTTPEPKVFNPLRYRPPTFPRQSTGIRRA >CRE26394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:500279:501676:-1 gene:WBGene00054381 transcript:CRE26394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26394 MTQTIPRHVSPYAHAPKIFGLKFKIASRVMLVFESILGKLMLVLSICSIIYSPSWYPVFVNFAFLFFVIFSIVTYNLCLSKQSPIYSYPMLSYQLVTIFWLLIWLYAALSAVASGVMWSLESLGGPSTSRIRTSHNIKDPNYANPNETHPETARAIKYGSIIAAVCVILICLKMLAFIVARRTYFQTLKMQHESIEQSVKDDSFDGNESEISNIGGTSPSYPVAYKNRPPKPKRMAILEEVQVEEYTPEPSPAPRRMVKAVINQSEASLSAKYNSLPKYEESAF >CRE26393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:495441:499051:-1 gene:WBGene00054382 transcript:CRE26393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nfi-1 description:CRE-NFI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ82] MLAMLSVRKILVPQVDVNSAGGSSTSNNPSTSEIQEPPQPPQPTMPPPNSDWNNHFNSPEAVSPKANGIKCFSPYSQEDMGPFVEQLLPFVRASAYNWFHLQAAKRRHLKENEKKMSAAEENRKLAELQNDRDDAKVKWASRLLGKIKKDIHNDHKDAFVSAITGVEPDKCIVSVADQKGKMRRIDCLRQADKVWRLDLVTIILFKGIPLESTDGERLERTDSCVEPLCINPFHMAISIRGLDIFMANYLKGIDTKIIVNYGPKLEDGNDMNHYIKQEPGASPIMAPHAVLGTSSTHNRVWESTQDSSSEPMILSYDPQKACHTYFGGRATLQQSLPNPYAYLANKNAIDNNYYDSKRSVLCLPPPPPQNCFAYPGAGTSDSMPMELSEDSNDGPSDKRSRDMSSHDSPNSSTNEEVRRIVENSDNKLILGQSSTIWTPTGQYSRLANLGGPGPSRQVHVRHITDFRNQDPNRTTAFRATGKAIRRMTVNAGDHGDVGVVVVDERHHQEHQIPHAQTLVSALSSLCTTPTMRESPLGRKRMHPGSESPYDYLNNCSQDTMKILDSLVSGSEVSPPHAAVSNLISRESSGYMASPTKFTTARGDTTSFSKIFQKIEAKHGPVAVGQQQQHQLQQQQQQMQQQLQQQLQQQEQQQQLQQQEQQQQLQQQEQQQQLPQQQQLPQQQEEQQQDEPSTSYSDSQVQLPILSSKPVDSSVKLIAPVALKPTMLSVSAGNSIIGSPINTPGNVVMSEQDIHLISALGLALSRSSGSNTQVTVSPILLGSLMDSNSRSPLLSGATTFSALVDAVNGLAQAQAASSNEPDGSENNGSVPVGGSTGLSVPPAPTLAVSQAPTTMTPLYQMPIGIGIAGSPEDSNSSLEAANQAPVTNAPKDPNAPKLPTDFSQALADEKK >CRE26392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:492767:493915:-1 gene:WBGene00054383 transcript:CRE26392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rol-8 description:CRE-ROL-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ81] MDVKETEEQRQMRRIAFVAVVVSTAAVIASVVTLPMLYNYVQSFQSHLMVETDYCKARSRDMWLEMTALQAGKGMGHRMKRAWLFGQWIPETSAGGGGSGNAGGNYGSGAAGSSANTAGYGGYGAAVNAEPAAVCCTCNQGAAGPPGPEGPPGNDGKDGRNGNDGKNGRDAEVLPAPASEPCIICPVGAPGPMGAMGPKGPPGPKGSPGEPPQDGKSGDDGMAGQPGPIGRPGRDGMKGAPGAAGRLIPVPGPQGPPGKAGPIGPPGPKGNPGPDGQSYQGPPGPPGDSGTPGHEGRPGPNGPAGPAGDNGEKGDCGHCPPPRTPPGY >CRE26391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:488338:491000:-1 gene:WBGene00054384 transcript:CRE26391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tph-1 description:CRE-TPH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ80] MDTLFQMASAMKFQYYSKKAAGKTMSNSVSMSSDTRMEDFKRRFRRSGSLGIPFVPEEDVKQLFTPTRTIRKEPSIREGDEDEGVQILTIIVKSSRVSDDISKMIANLPDHTRVKHLETRDSQDGSTKTMDVLLEIELFHYSKQEAMDLMRLNGLDVHEVSFTIRPTDIKEQYTEPGSDDATTGSEWFPKSIYDLDICAKRVIMYGAGLDADHPGFKDTEYRQRRMMFAELALHYKHGEPIPRIEYTDSERKTWGVIYRKLRELHKKHACKQFLDNFELLERHCGYSENNIPQLEDICKFLKAKTGFRVRPVAGYLSARDFLAGLAYRVFFCTQYVRHHADPFYTPEPDTVHELMGHMALFADPDFAQFSQEIGLASLGASEEDLKKLATLYFFSIEFGLSSDDAADSPVKETGSNNERFKVYGAGLLSSAGELQHAVEGSATIIRFDPDRVVEQECLITTFQSAYFYTRNFEEAQQKLRMFTNNMKRPFIVRYNPYTESVEVLNNSRSIMLAVNSLRSDINLLAGALHYIL >CRE26107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:486390:488057:1 gene:WBGene00054385 transcript:CRE26107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26107 MVIPMIRLLLTALFVLYSVIAQDHLRKVSGDAAPEKAQEPVDEFDDFIPTIDSSVRLEDTIPEPPPLPIGLQFVPKTTEEPQPPASLPVAPPSAQVAPPSIRPPEDSEPTTLTPPVSTSFQNLTTSIPYDDEVTVTIDIDDILPEPSDDLEEFQKADILEKEENVDVKDLVKKTTINSTDDYYITSPATTVMSELQEDTVEEEDVFDFDKLFDKKILIKDMGTTNEIPSRSITTTTTSAPTTSTATTTSSPSTTTQLKTTTTTIESQKKTCIHVKELHRVDDDSVVVQAGVKKGTIEVSVELGEAEDDEENNDDSSEEEEKTPVRHVRENKKPVVWKKFEMNCDEEEDDKGKICKLWAAGGLCGTHKPTMFLFCRRTCLCVGPN >CRE26106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:485497:485913:1 gene:WBGene00054386 transcript:CRE26106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26106 MHNPLKKLSRSISHKLLGKRSNDSSSTESFSSASSTTSSTATTPQQTSLDEDPYREILRSYEDNVSHLVYHGSSLRSMTSLEQLRKKSDEVRRPATVASNRTVTFS >CRE26105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:478497:479263:1 gene:WBGene00054387 transcript:CRE26105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26105 MFLRLLLLAAFVPVVYLWCTPFIACNQTIYGDFSDAEKGLKVLLLGRPFQKMDELIISGEYYNNGSEVMDDASFTVGLSKGLIMHQLGEDLEQYLLTTTLVASEARMNVSGSITGKTKNLFGVMSKLKPNVTCDEPFTFKIKSIDGYGFSFGNAENFSDKQQYQYAKKDRAQSVFFDGQIKTTKIRFNCLDE >CRE26390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:477494:478324:-1 gene:WBGene00054388 transcript:CRE26390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26390 MRFWLFLLIQTLLVSVVLAQSGGGATPPPDAQTTTVAADGNSTTPEGPHADCYLCAPTVQCGETIDGDYSSGEVNVLLMKPMEDGDSLVVKGEVFPNALKIFIDIFKDLSVGKAQEGGNSQPALLQFEHYYDSNLTFSRSKLRGEADTFREATLPLPIREKKPWTLMFRMHENLTGFETGDETGWFNNHIPWNATATTQSFNVRGDWKTTSIQYNCVNSSIPIL >CRE26389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:467778:469155:-1 gene:WBGene00054389 transcript:CRE26389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26389 MLFRSIVSSLLLLGAISANSLINPSNLTDDFCKNGGSLVNGKCECTLRYEGTQCERERCLNGGRRHSAKGVVRCHCPYGLSGDRCEKVTYCEPGKGKLIEGKCECFERWTGLFCNMRTCFNGIPTGGLDGFCLCDVGYTGPFCDAPLICENGGTVTQENECSCTAGYTGDHCEQCAIGYIKEAQYCVPEVSESSLLAHTGSLGNQPFGWPIILMACAAAIAFIVLTLTVIFAVRKWSNKPSRVNSVQGDPENGTDV >CRE26387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:462426:463882:-1 gene:WBGene00054390 transcript:CRE26387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26387 MRFIHQVLFLLIFLAYVDSKAKLDLQNQGEVEEEEDGDNDDGPNGKDVYSFLASRMKQDLGLKKVKKASIYKIPGPQEPLPGRSHDGDYWPVFPFQNQFSGGVDLDPAISRHIGGDMNFAVPSWGMLDIYGRFYSRTQDTMTKFGYLNHPVNMLDLEKEDFVKLMSDPATQANRRAHPTLPLGKFGKQYMPMSCKPPLCNPYHMNFALGVEHEIGGSDGMEGDLDVAVPMSKGVAYRFPFSGNLYYNRDNVTVHYGQNLSPVDPFASLFGYQKNRDPALANAIPRRWPRSIQEAPPAQRHMGQVDSRTQQMYELPFQMAMMPIMNIPPFYNSFREDYRPMVAMRRAPPRRVRRRIVYDPSFNNPNFYPTPYF >CRE26104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:456618:459957:1 gene:WBGene00054391 transcript:CRE26104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mks-3 MLNYVIFSLLCIPLINSQFRSQNIAFTTAENCTRIQYFNRIELRCETCPNSTIPSDDRLSCRCSEEQRELSRNGFIPICQDCTPGTFPSPDQSECLSCQNGTCECSPSSILVYRDTAGHLLTSGAFCDSCARGFFRNDDRECTRCGNSCPGINFTNSDQVYTRIKLQNGVEMKSNYIENHLSSETKLCSERNIQSCEALVNMCALQNFEYGSPLNACGLLENIKRSFNPWQNAWDSLATTDDSELEKENVIDHQYLFKDDSYFELFFARYDVNGTFDGFFTSEHIDLLLCGDSLDPFAPFLFGRRFHKECKIRKTSFNDPSLERKFFEAYLKFTDEQGRPKLYPMHVLNSAVRTNGQLLNFANKDRNRWVLTRRFYLVDDYSMRFDNSSKLMRFAAKVGINVVLQKERDGYINPPYLTIEYDDTTDEILEYNLDVIYHKDPSGYDQKLIIALSILVPLSLFWSALCSYSWGRRHGKPSAVDASSILYFFVCEVSVLGDVFFALFGLIAVWITFAYKNQTYVLYNMLSEDQERALFHYIIAALVLKFFGLLFTMGALVFQETFFIDWERQKLKQTDEHGMPLSRDLSKSSEAEPVVVWRTYLIANEWNELQQYRKTSLALQIIMMILLMEYFQVKNYALVEPGFERNDVDASTTLSTLVSSLAVTVFLYLSLALAQVIIRVLVVERIVTDPFHNFVDLCSVSNISVLSLTHSLYGYYIHGRSVHGKGDAGMSEMNEFLQRERNNLCGFRGLETNSELQTFTVNLPQLFRSKYDEISAISKQTTSGVVGHEAVTARMNSTVEAHSQMNSFLKKFVDHSITDIDYVVRDRPVLESVLDMEMSDSSVTGTFTRDPVEIAYSKCFVYGNEWAWSSFECLTLTVFYLWTGSIYLAGAVVYLISHMIRLVFGYLSTNHLIKTSLVDQRFLV >CRE26385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:445464:453110:-1 gene:WBGene00054392 transcript:CRE26385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syd-1 description:CRE-SYD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRR5] MPYPPTYLYQEEPVNNSECCCCWLCRILCCCSPVEQTALRDRMNASQPPPGGGGRLPDEVYRKIKAVDQGQSTLTQAGIQGLSARTLSEEQRGDLVFQLVEIIKKPGQSLGLYLREGNGKDRSDGVFVSRFGDNSELAKYGEVMRPGDEILTINNVEVSMMSIDDVVLILSIPRRLLLRIRFSKSMRHEVISSRSSERPVVVFHKYDDRRDSETNAPILSQPTSTANTWLGKKSRQQMEEMRNATTSSTMRPHASTSSPRNHFAPRLVNGHSHPIAVPSASSADHHYQRFASEPSDSVSRTARVPPPRLASATVRRTESFNSAPGVSTSVPMYTLPRSSTAVPPPDILGSIPHSARDPLMRSDLPYDPMTGRLSSSVPTDPLLSRSLCSPILPRTLRQPNDSNKSNSLPRRRIMTGGRNVKWRNDVVSTSDLCGEESDGAISAPEYSSPPFSRLTQQQQFRLSNGSPGRTVNDIFSAAEYRNWAGPYDPRGMYGPYPPGQRTTRWSHTYGEQRAPRTASLPGRTVLAQSLVGSPVLPRHPPPIVQDRPSAVFDRYHVSPLMNRRAPLRAAGPGINVDRLSVSSLTGILYVHIVEGRGLKIPEKQKGLTEEMYCVLEVRIVTNYLFPISYLFQVDEQHRARTGVSTIEQKFKWRETFHIDVVNATVSNFFVYSWHPQFRHKLCHKGSLKLLEAFVVDQLNDDRVFALNLEPRGQLIVRIGFHDLQAVFRRTVNPRLNGVFGIPLGRLVQRERRDTPIVLTRLIQEIEKRGVDYSGLYVLCGSVEKKKMLRAELESNPLGTELSAESIPDTNVIACLVKDFLRELPEPLISPQIHGMLLEAASVALPNDIQANRTLVLKIIDCLQLSAKNCLLLVLDHLSTVLCSSPHNGLTPTRLSAIFAPLLFFCLDAISPYTTSPTSKMAAVRTLDINQASSSLQMILSIWPSRVNSESGSDSPATTCQKGGIIAYVSESQC >CRE26384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:439844:444341:-1 gene:WBGene00054393 transcript:CRE26384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-21 description:CRE-NPP-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LRR4] MDVDAPVEAAEQPLVDPEDDLSNWEIERAELKRNEFNLNQELVDMRERVEDLSRTNGRILEELSRHGAEIKEHSNRQRVLEGVRNDLTNKNLELESAVARLKIEKEERDAAAVAATKLAQTAQIETYALKDQVQKLTYEQANFKHSKEALEKEIQSVQFERQKYTAERELHAESKRWLMQEVAERDNKVSSLRLELSNKDIQGANERLQYVQQINLLNSQVESLNEKIDMLQFSNSDLLKRIENTELSNNTAVANLKEELRCQVEIQQEMKTSRDEAKNAADHFKDKLEAQENVLVEVRKVLQEHQDDSERVNLSHAEEIRERDEVIAQTRAELAKVSEMMKSMSDVKLNVSEEELSELAPAAAETVRYLRGSGQSLSSLVLEHARVHGKLAELESENDKLRTTLEQLLDTIEQNKPQIISQRVVADEFFDKSNKYERQLDLAESERKELVSQRDTAQRDAAYLRAELEKYQRDFEFISKRNAELMYAVERQSRVQDPNWNEQADEQLYQNIVQLQRRNVELESDIENAKTSAAQDAINAQSEEMAQLRADLAVTKKSEAELKTKVEQTKAAFDSLKERTEHFKELVRDSVTAAEARTARLRADEAVAAKVVADATIERLRVQAEEYKADHARREQELEQRVQATEAHITTVTETNIKLNAMLDAQKTNTAAMEREYESAKKEKETAMEELRKATFADAEKERRMVDLGRQALEATDQAGSLRVRVRSLEDELQSARTEVNCLRMTTDGQRNNLENEERVRMSVVEMANFLSRVEAEKLTHANTQLDVLRLERDSLKASTTRLSDQLTHAKNDSKLVQQRLERELEVARKRLAEKEEQVARDEMELVDLRSKLALVHNQYTSADTAGMTPDRLKSEYNKLKTRTQLLENELDEAKRKLIQAETTQKRMDAENAISATHNNVLEENLKQSEQMSAMEKERLISDSKLFEDRAKQLTESLAQCQTELDELRKKNDEETFRFQTETAELRRQLDVSSLNLDGVKRDLEVANNSLLSSKNEATRNAAALEQHTTSVRQFEDRISEIENARARIQAELNNKSAALVAETTAKNEADQLREHVERLLQKKSEELDLLEAENRRKQAEYDAKLSQLSMQYESLSASLTNQSSAMELNDSSAEPSGLVDNLQSLIQFNRQAKEEALSRAMNAEVEMRRLRAETAEFERGKNELLQKIRDLETEKIATAASLVDRANLIEKVQSLTTVYNVNTQLTEEKAKLQAQLVQVQTQKAELEKQQSMLSAKNEAQKLKIQSSDSEASQLKREIEQLKQRAQQNVMPPNTKAHLEQLRNQLNAARQEAIAATERAKASELAKNTAERYRASSIKHASLPSDIVMRFVYRT >CRE26103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:438741:439766:1 gene:WBGene00054394 transcript:CRE26103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osm-8 MLKWILCFCLLGKALAQGAPGQMPFYASADPMMSKLSQIQFAEMPNMKGDKYPDVDAHRIIGPIMSPIMEVFDQMKENQRARDQAEKIRKDRDDHPLSTSRSLWEMFQRLQQPTTTTTEAPPLIERLFKPYIEPWQKQLDDFSKDMAGITLIPTTTTTPAPTTTTTQNILEKSLSMFFPSLRRKPQSVPTLPPTTTPTPRLFDPDMFDRLFFKRDKRQATVAPVPKFDLFTVPPPPPLFQEWEKPILGVANPFTLNPLMKMFTTPSPPQTLAPLPKIPDPNPYEMTKGLPEPQFKLQDPFYNPLFPSRKSKIFDLLAGGEAGRLLG >CRE26381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:433709:434650:-1 gene:WBGene00054395 transcript:CRE26381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lips-17 description:CRE-LIPS-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LRR0] MIQVVAAFTHRKVDVIGYSLGSPIARKAILGGACVDTGENLGPPLTALIDTYVSVAGANRGSFLCALPFPGACNMKNGLSCMSEYIKDINSRPRYEGKYIFSIYGPSDDKVGYRNTCGQLCSQIAGANAEFERPGNHDDVLIKTAALQFKLIDKHAG >CRE26380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:431900:433165:-1 gene:WBGene00054396 transcript:CRE26380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26380 MSPRHFILVSLLLGLVSADFSTSFKNFIIANYGQEMYDNLARNDLGSVGSYGGGSHDGNSATSKRAVILVHGTTNNAGTFSFQRNTLLNNGWSDETVYGTTYGSGSAEITPAPDVAMECEFVQQVRNMITVVANFTKQKVDVIGYSLGSPIARKAILGGECADNSTVNLGSPLTSSVETYVSVAGANRASYLCVLPVTNACSTTNGLFCLSSFLTNINAQQGYEGSHIYSIYGPSDDKVGFYNLPCLTRNSRIVGATEYANATGNHDAILSGTINLQMTYLNAN >CRE26102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:429752:431458:1 gene:WBGene00054397 transcript:CRE26102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26102 MKPRVLPFFCEPCRMGFRSFTVAKEHVCDKEAVRKAVRKEEMRKFSDYDCSNEMRLALNAEKQWRFNALAVMEQSLRPDMIMPKKVEMAEVPTETEHVESEPEPEFYEAKEKEYEDDVQHFKIHEVLIESTQPTRPESKLRTSKCIEHKEPGVFCFNCKGTFESYSQYDLHLSEDFNEGKCSKALPDYYYIQNEDRTGMFDKRYKHSVMHIMPVKRDVRHIQCTLCKAVNFATSGDLYSHMVKCASSTNHDDKESPMDCQTAFGYGMPPSFNACQYVFPDPAKGIPRRRSDSDESIETEC >CRE26379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:428916:429933:-1 gene:WBGene00054398 transcript:CRE26379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oig-4 description:CRE-OIG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LRQ7] MNFRFWGRFIFFFCFLLGAIDSRGGRRGGKGKGKSNLQFAQVAEFSLVQTVLSDNRSAQIITGSHFSQTYRLGYKLLIICKARGDPRPTIKWYKEGAEIQPKASIHYYEKPIENDTIWSKLEVDPATMGDQGVYACVANNPHGVMAKNFKAEYTY >CRE26378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:425647:426795:-1 gene:WBGene00054399 transcript:CRE26378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-42 description:CRE-CDC-42 protein [Source:UniProtKB/TrEMBL;Acc:E3LRQ6] MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTVMIGGEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVVAPASFENVREKWVPEISHHCSKTPFLLVGTQVDLRDDPGMLEKLAKNKQKPVSTDVGEKLAKELKAVKYVECSALTQKGLKNVFDEAILAALDPPQQEKKKKCTIL >CRE26101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:423427:424852:1 gene:WBGene00054400 transcript:CRE26101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26101 MPSNIRESDASCLEMIRSIIRSTADDNSKWVEIFVDFENAQPTNEEREIYNMAEEVLHDCDVVLADVSSYGNGCYIERSQLKNDSDTQALKILMTKLEPFVARTCSYYEHVAKIEKIVPILLWELSSGPLPLEEQLANKQSIAKQFARLIGFVLDFDVVKMKTAQITNDYSYYRRAKHIVYQDFEDQGVPELPQVQMFLCEGNPMLKALCNGVDSYMHTHQSLPINNTTDVFVTIINVCRFMLANEDCLARLTDSSKHLCCRVMTGLVILFDHVDQNGAFVSNSSIDMRDVVRLIKSNTTQEQSDCLLAGLRFTTKHYNDSSTPKSLRHLIEVK >CRE26100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:401295:415753:1 gene:WBGene00054401 transcript:CRE26100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pde-4 description:CRE-PDE-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LRQ4] MASARARARRRRRRRRVVRGASEPAVEAAEQSTESRVVVSCPGATLLCSCCRSSYQMPRRRGSSSSSSAAGGSGGGGGGGGGFGLSSLRRELHLHNFFRTSSPSASSTSRTPPAVLPPRTSAVTIPGTNHKLTSSASSYHPPRELTVSTFSAGSATAADGLGGAHLTPSLSSSVHARRESFLYRANDDLREASSLRPVSRASSIASNEHGHGDDLIVTPFAQLLASLRNVRSNLISISNIQNSDDSRHANRSTKRPPLHNTPLPDDVVQCAHDTLEELDWCLDQLETIQTHRSVSEMASSKFRKMLNKELSHFAESSKSGTQVSKFLITTYMDKEEDEPSIEIEVPTEVQGPSTSGPMTLSILKKAQTAAMNKISGVRKLRAPSHDGQLPEYGVNCAREIAVHMQRLDDWGPDVFKIDELSKNHSLTVITFTLLRQRNLFKTFEIHPSTLVTYLLNLEHHYRNNHYHNFIHAADVAQSMHVLLMSPVLTEVFSDLEVLAAIFAGAIHDVDHPGFTNQYLINSNNELAIMYNDESVLEQHHLAVAFKLLQDSNCDFLANLSRKQRLQFRKIVIDMVLATDMSKHMSLLADLKTMVEAKKVAGNNVIVLDKYNDKIQVLQSMIHLADLSNPTKPIELYQQWNQRIMEEYWRQGDKEKELGLEISPMCDRGNVTIEKSQVGFIDYIVHPLYETWADLVYPDAQNILDQLEENREWYQSRIPEEPETARTVTEEDDHK >CRE26098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:388063:390498:1 gene:WBGene00054404 transcript:CRE26098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26098 MVNRSVSARLPTSGQSRTFAEVVVGADYPRILSLRPGPEWQSNATKFVASDGTPIREDSEVKSAPIRDVSRRKCTTIREGSGVESATIRVESSVLHSAIREELKSMCPPIRDESGVHVISSPGNGDGKLTSVSAASICEGSTTNAEVVNICKRFTVISDKSEHCSHLSTLPCVMSLSFADGPALSCYSGADEEDLNEFIRSFEDKFALLGKDDKLKGKHLLAHLQEDARDTAQEVLDNNSDATFAQLVEALRARFLHPALSDRYKEMFRSRIMRADETVEDFYRGLTRLAKKIYNTTSSGIAKSDILEQFLYGIDKDMKIHVGLNKPKSPQAALDLARRVEALMPKPKTAEKEALKQAGQSSDYNGRIRNQEDHHQQRSRSNDVRKESGDTFYCHYCNEAGHYAYQCPEKARKREARQQGGSTQPRIGVAVCKKTNEELQQELKASNEQVEALKQRLNRLSALEYGSYYGDQCMTIKCPDQTNQTAGARLYESIDTPSNSFCAKIPIKANDFSCIALVDTGATITVTSGIMCSFLGIPSPEPHQKEALVAFGNNKVEIVGSRMVTFSIGSYKIQHRVHFTAEPCTPRGQYDFILGTDILSRLPAIFDFRQAKLHIGKDVLTFHEEAKCQSCQCQVLDTNTTRTHQEVLESHSEHTNVGSWAKEMESIRNQEIAPTKESKFSKVAKCQSTPKRKGTCYFCKKEGHWIRECRKKAKQLAATVKMQRTLRTSNRGEDPISSRSKGSSQEVGTTTSETYWTQEYKRLLKQVEGMQNKKLMVEQQPVKVDEDQEDPTPKSTFVNTDGSTSPTDSSL >CRE26097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:377546:380306:1 gene:WBGene00054405 transcript:CRE26097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alfa-1 MEADEYFTTYDALKDQQKKEHAVRRQLPLKTSENCGIMKIVWSQFDFMTGPELKFVWDVTPSTSISPVNLSGEDGSIADGESLMDSSGSNQSVSFEEIDDPLKIELTILDGLDANLVDSFNALDMMNAGDYKYKTMKYLDEYMTDSATTSTKTLTMDDSRITDSPESSGKSLRDEGLDHCCEGKFLEDDKLGTSFTLASPSPNDTGHLGKLLASKYPNEEDRELAEYLRDKIASTSTAIPTNECIAHLTEHYELDRLSPITNDDELRRSCVDSGVGVSTHSDLSAMCQSPQSGNSTRRFEDAFGMMTSTPNPNVFKFRDEREQTNTPPPRDIFDFFDDQIDFGETYVTDEAFVAKCVLAELICNAPHSRCPLQHKLIVSPSRHLLVAAFIFSAQTRTGSTITYAISFLMSHLKQEWYLDRHSWFERMVGDSVPKMKASLFSEDSDDVLVRVTSELSRLLSLLSALERFPLVSQDRPLMIKNTLFADKGTSLSENRILAKAISGCLQSQGHTVIIGSDHVLVARLLYTLAFFVPEAHQWCCLRPYRHKYNPYLRLQGVRRAELPSVIMSGTSSPWPICIIDLDRSAVCMSASYQKHRVLRARNDSRGVRLILEHAGVMTSKNTKVPQIELSPCRTLECVNSFLKQMDMLPMEESVRAGFCSQLMLYFDNLGAAFIAFVRDASRPGVDEKECGTRSKSFSLSECRKSLDLTNDALFNAVLARAELLQPDISEFIYM >CRE26096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:376050:376989:1 gene:WBGene00054407 transcript:CRE26096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26096 MRDILLACVLAFLLFHVVNGTIKPAHGDKDVVPHEEQMLDVDTIFRALGVPYCMRKCIDPFINSTTSLWYMKNVVNQARKVCSLHAQAISCLNKEQFCDHNKIFLKASSSVEYMCATKHVLFERMEKCLDPIVDDVMSACDKECYARSNLTAFSQDSNIKFAATVGGNAFIVADHLGDLCTSLQCTLPCVTKRLNNKCALSGWLSLDMLMQPFDAVSVMVDQLSPPLKDLISKKIDKRCRFAIDPHRLNRIRNGDFGAFNLANLS >CRE26377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:372529:374946:-1 gene:WBGene00054408 transcript:CRE26377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpa-1 description:CRE-RPA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRP9] MAEIQISHDVFNKYHTNGKLRLSTGFVQEVLEKQGYPGHDGIVQILKGKEDIGEQMGYPFTYRIRICDGIFQYNTLVSADIDDQVRSEAEHLVEGAIIAITNLTIFSQGAGVKTSFLITGYKLLSRYHQVLSSPEVKPRSHSGNPEEHRGYRPNIVVEDLWPEADSWGTEFQENMSNPPAAKMPKRESGGDTSVNRMAAPEPQRVRPAPPPARRGASNTGIIPIAMVTPYVNNFKIHGMVSRKEDIRTFPAKNTRVFNFEVTDTNGDTIRCCAFNEAAESFFGMITENLSYYIAGGSVRQANKRYNNTGHDYEITLRSDSVIEAGGELLAAPKLNLRRVRLSEVAGHCGGLIDVLAVVDKMDDEPTEFTSRAGKTLVKREMELIDESSAMVRLTLWGEEATKADAQEYQQKVVAFKGVIPKEFNGGYTLGTGSGTRIILAPDIGGVADLYDWYHNTKPSAEVKMISQTSGGSNDIPRTIAGLQEMQFGKDSEKGDYASVKAMITRINPNNALYKGCASEGCQKKVVETDGEYRCEKCNKSMNKFKWLYMMQFELSDETGQVYVTAFGDTAAKVVGKTAAEIGDLKEENPEEYNGVFERIQFAPKMWKLRCKMETYNEETRQKMTVFGVDEVNQDKYIENLKQLIEQMKEIKDEGGY >CRE26376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:368731:371487:-1 gene:WBGene00054409 transcript:CRE26376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lir-2 description:CRE-LIR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LRP8] MLTNAGPRTAKISRDVSNFIITQVLERSDDLIKNSYRRLTTENVARNEHWSRIAEKVTEKFDIPAEMERVKSHFHNRKKVLLARIKDELKLIQNSCVMTTDEKIEELVKRRICIGEYDEQLARVCLDIEDEEKGRTDQFGNDQFMQQPTMNLFTETTHQSLLCQLLSSSGPQPTADKPALNLSSMESASASSRDSDVPVSIPIPTTMASTRAPLLSKLLCDPSTSKENSFSKNSEDSKVNRPPSGVRNMPVLFPERKRRAASPIEKNNLSSVLPSPNGQYLSHLIVDITSPQELKKLLSVLIDNGFSRFQHVATSDMVASSIEAGIQRASRIRNFENNETRHEDQFDRDETLFWPTRNPENGSLTVSPDMRSDVMDSIKNRVERVDVEVKLEPCTDEDDEYEEEVDEQTAQLEAQLASEALQTGLALFGKSPSPKKMRSDHSASSSWSDIVTATCEMCGTVIRLNSGGSKWNFYEHVMMKHSKHKPYKCPLCPYQTGRKVRVKQHALSQHNTEIEPVDMITPEIRSEWLNTMSKCFPDHQYGKSTRI >CRE26375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:358942:368597:-1 gene:WBGene00054410 transcript:CRE26375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lir-1 description:CRE-LIR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRP7] MPKFVVIELNDDAETIDLLSYCVKKNFDRVSLLTRLEDHPYLRDDQDVVQDDTQSQETEGMLLQLNDEERQRLMKIISTPGPQTLRMEQIQMGGETQHILQQHQISEHPSTSGYSNNAFIYIKSDDAYKDEAQQQSNQFDATVNSVAHGQASLSTLEMFNCNGSPNGDNLRRKTPVRKQEDSIKATCKVCGHDVMYSPQRTWNLMRHVWIMHQSSKPNQCSICGYSHIKPYVRKHIDSQHKHDANASILDLKSPELEAEWSQLLDQCFGVTYRKWKHHKEDIQQQGKLIFYVDQFRETQ >CRE26374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:356279:358579:-1 gene:WBGene00054411 transcript:CRE26374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-26 description:CRE-LIN-26 protein [Source:UniProtKB/TrEMBL;Acc:E3LRP6] MLSKFVVVEVSNTNNTMTLVEYLVTHGFDKFAVVTDLMDHPSFKYKDGSSSPESPSTTVSTAAQHTPPRTAVSTPTSISTPVPPHNNKPRASIESIAKALSTKKVSPAPAEKQMPAHMSMLQHQLSNPHAMSQLQKLLEEQQKMTIQKKEQERQQAEIHRILLQQAAHLNTFGIERMTPEYDDNQHSETISKASSEDLKAETDSSDFGLGTSDEQVRASMIHLLNPAFGSAFGLLDTEAMFGTPSTPTSGTKRRNGDSGSVPSKKHRWLPVNELEESRSSRGKNCGRVHCKATYKCALCGKPTTLNSTGSRWNLLRHVIMIHSDAKPYKCWDCDFTGIKSNVISHARQCRHRADDAHDITTDEMRAEWNLRLHECFPDYVRAKERGWQPEESPEKKPDEESPVKTETIEEIEHIVQPLLKIEAPLAVSS >CRE26095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:354894:356046:1 gene:WBGene00054412 transcript:CRE26095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26095 MIIRLKPCGFPFRRLFSSCHVDSAGTSSQSAPAQNAVFDREMKQMQRDWAVRQPDFQAAQYLKEEIGWRVADKVFDLTKFNPLVLDIGCGVGNITPHLIKENVGKIIQIDMSGGMVQSSAACDDSEVIVERRHVDEETLDGFHENQFDLLLTSMSAHWINQLPQWMKKCNEILKPDCPFIGSMLAEDTLYELRCSLQLAELERLGGVSSHISPFVRSQDVGGLLSSAGFDMITLDSDEIEVGYPNMFALMYDLQLMAESHCTYRRNRTIRRDVLMAAEAIYQSMYSKDGKYPATFKIVSFIGWKKGPNMPKAAKRGSQTVSLKDIGKMVEDPEMMKKMSEDNVGKEKD >CRE26094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:351976:354609:1 gene:WBGene00054413 transcript:CRE26094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26094 MRELVSRILLGLFSANELGFNAVAAILMIIEACLSHIVILKVKYTEIDWSTYMQQVECYVNKGIMNYTEIGGDTGPIVYPAGHLFLYRILYFLTSSGRNIRLAQHLFQAFYLFNVFMVFRILQKTMRIPPIVLFLVTVTGYRIHSIFMLRLFNDPLAMMLFYVAMDRFLDRKWLVGCVFYSVAVSIKMNVLLFAPALFFTLILNNTFQHTLGYLAVCGLIQLYVGGIFLFHDWKSYIQRSFDLGRVFMFKWTVNWRFLPEELFLDKRFHIALLVGHITVLGLFAYYMWFRRLNGLPASLHIRVFQGIHTRTGPLETYYAFCTANLIGIAFSRSLHYQFYSWYFHQLPFLLFCDYPEVDSISKIPWKQFFWKVPLLLAIELCWNVYPSTWWSSALLHVCHIIIFWHLISTRPQLPMDFVSKHTKDTIRRNQEMMKETLEEYSNVVPSRDFEIYDSEEEAERAFAEQNIREKEREKTEELLQKTRKEPKEVKTRDQLDQEFERYYDEETKTLREDYKVYVRRDCSDDGEMQIADLLPNIDRSKLKIPERVQAIYMRALMIQEMVESDSQSGSECGSAVVSESESENQEVSTETDESDECTEVDDSENESLISEESEDENVSEISGMKSSSEGIKKKKKRNSGSSVEAEDDDEQEEEESKEENSGKGEEEGSKGREEVKEEEEETSEKQIKQELNHSSIPTGDFQKISENTNGIRRRVRFVSQEKLLV >CRE26093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:349129:351806:1 gene:WBGene00054414 transcript:CRE26093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26093 MDGQIKVFIARKRVRENHGRVLRLQLARIKEEQKKEIQSATQNRPVEAAPPVKRIKSEEDEEEDEDDEKLSKKVRRKIDVQSLDDPELDEQEKERKWRALTEDQLEDVTLELYRIGCYSPPYNSFDDTMPGIEVIDSQVDVENLTLRRNRNRGDIPSGSTAATSSTAPDSRMLAIARQGMEGDESMFSVEEQLETQKHLWSDKYRPRKPTYLNRVQTGFDWNKYNQTHYDQDNPPPKIVQGYKFNIFYPDLLDATKTPTFIVTPCDDVDFAVIRFKSGPPYEDIAFKVVNREWETLHKNGYKCQFQNGVFQLWFMFKKYRYRR >CRE26373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:349254:350496:-1 gene:WBGene00054415 transcript:CRE26373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26373 MESLNHQDEVFEEQQDVGPALPPPRANQAELIDENNVDYEIVNGIPCYQPDHVIDGHVKIFERIGYDDKVGATFFGLAPDEKELVVRVAPVDALTHVVRAEAGFLCKVEAELQDWRLFSQVHKIFMTDDAFHMTLYFRGGPTLEQCFEIRNKFTYGTAGRLATDVLNIIRCAHKHGYLLRNVNLDCFHFDAASRHLFMGDISSLVKNIQSEDGAPTASYVGILDYAPLIHHSGGKVGARQDLESWFYQTMHLVLGQLPWSSLSYKEAGQKKGEFLKSEEFTTLPDVFHKIAEIVFSKENSVTDDECVKLSELAEQIYKDLGGITDHEENMDFEREPTPEEIPRFVMCRDDEIPTIPEEEEPEENENQEEEETN >CRE26372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:345509:347378:-1 gene:WBGene00054416 transcript:CRE26372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eat-2 description:CRE-EAT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LRP0] MNFYFFLFLKLFTIYLCFLTKSVDSSDDEYRLLKDLREGYDPMERPVSDHMKPVNVKLRLILQQLVDVDEKNQVITLVVWTQYTWSDYKMKWSPDEYGNITTLQIPYGTLWKPDILLFNSANEHFDSSFPVNMVVSSDGSVLFAPPGIMQFSCSLSMTWFPYDEQVCYLKFGSWTYGKKLDLQIDDADLPDGHKMDLQYYVPNGEFDLISTPAFRKSTTFLDETYVELYFHMHLKRRTMYYGLNWIIPSILISLSNILGFTMPVECGEKVTLQITNFLSIMVFLAMVSEVAPPTSESIPIIAAFFSFAIVILGVSICVSLITVNIFYRHPKMHRMGDWTRYIFLEWLPWILLMSRPDHVFRKPKREKKKEEDEESNTGKVEIMELLSEQQNQNPRPRLLVNSQIVMDSTIPFLEEVIGYLKVFKAKLDDDEEEEEEILNWRFMAMVIDRFSLFLFTGLIFGTTFVIFAACPNLLSADQIIETAHVP >CRE26091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:341422:345268:1 gene:WBGene00054417 transcript:CRE26091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26091 MECPIEKENSPENNLSSDRPISPEKKIPEENKPEEKPPEQQPLEVTHEKQKSEEAKIEKRNARRRSSIGLSILNSLMAPGTEDIPSPVDLKPRPKRAVQVKYEQKKPVVPVIKRAKPIRYSFDLGERVAVKPCLKRPGVKRHVIAATALKSLTQQAAQKVINKYRKSRSIGENSTPPIDKSSKLKFHNICSIRVITPRPSYDPLNLALPDDDQVAANRERLSTVKLVLTPTSQTMIDSIRDSDVVQFNAEMVFGDGDLFATTSSSCENVVESSVETAESSVSSEKDLESQDVRKKSSRRKSLIKGSASPLKSPAKVEKPRNTDYEDEVIESVRKCYIDILKLASVLQKPTRKSRRSVRPNSDTIEKPENSDDGELQEREKNSKKKRVLVPEQEKIEPNPKRSRRKSGYLIQESVETVTEKTEGNFEKKNVTMLSFFTPKSSKKKEEDARKAIENARKAEEKARKAEENARKAEEEARKAEEKARKAEEDAKKERKKARRSSAYFGTADRRKNTVKEVSEEPDIVVISECETIASSKSSNKVDLEVIAETTVIATTSSSSSSSFKSNETVTTISTSSTSEASIFSKPPKKAISDTKFNEASTSAPKPSTSAPKLFSLFSPKPKPKLDLNSSQDPISLSDSPVVPKIKEIPKKSIGVKRKEHWGDLHPESYEFMLKMPSQPSVFDKDTTYTIDEKLFNSRLFDESILVAVDSVDSELRPIESKVPNLLVPSESTEELTIEKLSVPDGACTTTIYPPDMKSLVEGSETEDQINRWLNRWKRRVRKDLERELQKEKEKKNGGSKRGRKKRDREEEDSDYEEEGYDDDLENPLVLIGPTGVGKTALVIRALAKEANMRIISIGPETDRSGAEIKKKLQESIRSHRVDQQPTRFETTFFKTISSQKEPTPRKQPKDFIQSLVVFEHVDVFFDTADRFGVNGLLEIVNESAVPIIFTCQNDWPRRTAQTELKRPYLEVRLGRNDFNIQKYVQRVVYSCRNVIIDDSTIRQLSESVDHDLQGLLRQAHLYSLAPTKPFPLTSRHLVSSGFDKDWERPGGSSSKTHERIEHLMEEYFDVIPDGFSVTSHPEIRRIWDDERRELAVKETRYSENLQSIYEALYGRHSRKELILDVNPFLVQIDRIEREKISKNRRHFHHFKEPSDIPVDGHTLCDFIGAWRINRH >CRE26371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:340100:341012:-1 gene:WBGene00054418 transcript:CRE26371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26371 MESVVIEKLENLDLNKDEIMKRAEQIDKDIKDGKYSIIPPLSDEEQKLLDEEIAKRAPPPEGVPEAVDFPLHDLVRELELDKPVEGTDPEFYEELKRKDAKTVYKNMKEVGFQVLAEFDLEKLKIPDSIAGKYLPDLARRFVEFERRVKRMERMLWALPREDRSLEEDRFEILTELLDKSCQGMEIWEEHCERKIPLGHRCVLEGELIHLITSKFDLIEKICGEFHKLKGMKSEVDDERDMLRYEIRHCDMIFTEIHEKFLKSYLDMDW >CRE26090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:337762:339981:1 gene:WBGene00054419 transcript:CRE26090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26090 MKTDGRRNVLSMSGRNGKTVMEYNFRIPSPRPRAQNKKEKEYLIGKKDEKKQQIREVSGEKKEAEKPFLFFYIHSFSYFFTFFRHEYYIDSLELIQTRMNTFLRFALVSMLVLGILAEIRIPLFGGSITIRNNSNGSYTIETEKKSPTKAEKYTSFTLRNGTVENFEFSTNSTSSEPNEPTAEAPEKKESMRKRPSQQRPKSDVLNALLSMFGRF >CRE26370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:329975:333734:-1 gene:WBGene00054420 transcript:CRE26370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26370 MSILRRMLRTRRVDEQFLRHSEWARRLGIPLMVALAGIKSISMMLFIILPFSLTQVAGPSTVLALIFAFLIIFFTSAHLSELSCTMPKNCVQYHFAYAVVGELPAFVIAWISLVDYVAQAGLFCKAWADHFNLLFRGLPAKSLTFDVFSKDSSILSPQIDLLTVLSALITLCLLLCSLRVVGTICVSLLAVTLLIAASCTMVAFFHSDPENWIKAEFFHFGYQGVLYAICALCACYTGIESTSCLLEETKNPRKRISGVLSFLVLVLTTIFFVLSITFSLSTNVTALSEGIMIPEVFSVINIPAAKYMLSVCSVCALSGGVLASFLPATRVIAALCHDRLIPYARDTSTKSPYFAVLLCTVLVALCSMIQKTLLLNFVIFMAPVKMVITILLVYIQHYYPEQIGIPEETSSYKSIGKKRTRVHIDDGVSIDDSVSSIAVQRVKKGDDDESEVSHSTFDTTAFLYMKATKMETERLQRRLEKEQFENENEERQPVLAKSVSQYHSMDQSQKTTKKSKKHAHNCVAEQCGADNDDDYNSQEVHLFANEEPELPFFSTFSSSAPRPPSPDVSFTNYHKSRRLLFVFCFMTAAFSTFLRNFAMPTTTCWLLSAGFVVIILVFTVNINRLQTNDYLYKKESKVTLFPTASLFSLFLLLVSFFGSVPTRTLIHLTVIFFTSMISYFLYGYRHSKHRKTACLVIENRYSDTSDDQYCPIVGLDTSSSSED >CRE26369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:326740:327595:-1 gene:WBGene00054421 transcript:CRE26369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26369 MGKYKFLGNMNFKKKESKVTLFPTASLFSLFLLLVSFFGSVPTRTLIHLTVIFFTSMISYFLYGYRHSKHRKTACLVIENRYSDTADDQYCPIVGLDTSSSSED >CRE26088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:325587:326597:1 gene:WBGene00054422 transcript:CRE26088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26088 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LRN3] MTATMDVDNLMSRLLNVGMSGGRLTTSVNEQELQTCCAIAKSVFASQASLLEVEPPIIVCGDIHGQYSDLLRIFDKNGFPPDVNFLFLGDYVDRGRQNIETICLMFSFKIKYPENFFMLRGNHECPAINRVYGFYEECNRRYKSTRLWSQFQDTFNWMPLCGLIGSRILCMHGGLSPHLQSLDQLRQLPRPQDPPNPSIGIDLLWADPDQWVKGWQANTRGVSYVFGQDVVTDLCMKLDLDLVARAHQVVQDGYEFFASKKMVTIFSAPHYCGQFDNSAATMKVDENMVCTFVMCKPTPKALRKG >CRE26368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:323618:325038:-1 gene:WBGene00054423 transcript:CRE26368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26368 MSGYRRGGFRGRKRFYKDTFAPGGSKTDPLNLAIELLDNPEEEKRRLGLLDPEPATVPQPKRRKTKKKEKKQEKAEEEETDSPKKSPVKKNRHGSPKKEPRREHQPTKEEKEASEFRKNKNEYFNRKYRYGNFDRYYGIRLNPGETDKRLSAFDKAWFEHKSILDIGCNVGFLTLSIAKDFSPRRILGIDIDEHLIGVARKNIRHYCDHETAVAGKFPVSFGVQFGTISQRTEEPRPFSTKFPDNVWFKKENYVLESDEMLDMIQPEFDVILALSITKWIHLNWGDNGLMRFFKRAYAQLHPGGRFIIEPQAFETYRKRAKMNEELKANYSKIEFKPEDFEMWLIETVGYESVEKLGVATAKSKGFERPIDVYLKPVRPKTGAIPLGYI >CRE26367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:320610:322306:-1 gene:WBGene00054424 transcript:CRE26367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26367 MFNGDDDFPMGAMNSFGQMHQQMMNDMNMMTGGMLRNMGMGGFGMMGGPFGNPFGGIMGGMGHPFGAITDGSGQPPSRRRQELEANPFAPFGGLLGGMGMMMPPPGQGSNVYMSSSVMTIGPDGKPRVEQQTVRRHGDVTETKRRVEKNGESSMSIGHSIGDRSHYIDKKRDREGNVRKQQRFVNLDEANAEAFDREFSSRVRQGYGGSSRSNLREIDDGNTSRRSPGTRRTEDRTTSRGAPIVTLPEEEETSHSRSSTSHRIGGPLIREISEEEAEQTPSSSIPKRRRGPI >CRE26366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:313074:319304:-1 gene:WBGene00054425 transcript:CRE26366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnt-1 description:CRE-CNT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRN0] MTVSNNGMAMPASPPKLDCAEAIQDSPRFRATVAQHAAYFNRLENRLNEMLRHITAMIDFSKNYVNTFYKLTVSVNQLCDESFSGNPLAATTFQGLSEAYAHTVNLFRTYYDHSNVVIYTKLSNFIKNELAKVAESRAHFENMSQSMDDALVKNAGMSRSKPIEATDERNKLTAVGTCFVHTTLDYVANINIAHAHKDHMILDALWTLVRESSAFFSKGHATFDEWTAADNGAIADTIQTFAAKSKLIERKMQDVHSLVPKWNYCRMPTSSISTSLTSSSSSTFSLPQHHTVTELITFFSCEDEPSSYSSTTFVRTHDDKSGIRTSKVITRTVTISVEAKQRMESLEEERRERRVQMELEKAQIRAQKVALEQENASKVAKELKSGSKRSLVRRAAKRTKVKITSILIRDSSRDDTLKRAGKKRGNSLEWDNSIGCSSRFSESFSDSRLMEMFQHPSGMPIEADVMMEGYLYKRSSNAFKTWNRRWFQIKDKQLLYSHRSTDLEPSTVMEENLRICLVRPAPSNIDRVGCFELVTPTRIHLLQADSESLCQDWMRALQRTILALHEGDSPDVESTSPRNKAASSSSGGNVTTANAISPTSNNFDITKGRSVSDPASTSGNASLNASTISASNVPSSTAFEQIRRVPGNEVCADCNSKAPKWVSINLGVVLCIECSGAHRSLGVQTSKVRSLCMDSIDNELRDVLLSLGNRQVNAIFLAHLPPTESIVPPPINEKSARPAREAWIKAKYVERRFAVSEDTRARSTATNRQEHLKHKTSIGGSTNGVNRSSSYADVQDADQHSGLLDADPWSSDLSIPVSPASKRLSACGSDTNLDIVGNSIDAKTVDWESVKEACESGDLLALLKAHAQGFDLLALHNGTSALHIATRSGQTAAVEFLLLNGAKINMLDEKLNTPLHLAAKEGHTLQVCQLLKRGADNNLANVDSKTPLDIAMECTHADIVTLFRVTIMRNEFNADFNNPMDETVEVVISDIARRAATEKEQKKTASLRETSETSEI >CRE26087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:310616:312971:1 gene:WBGene00054426 transcript:CRE26087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26087 MRIRIRNVEQVNQHLRNAYLCSTQPSVAPKTVLAPQPRPVIVDNGESSRYWVDPTNHMRLPKSLAAALYQTQNTQSKRSIGNMEKPSFQPKKVLILSKLTRYEFEKKVHKGCDDDQLATILKKRGSDYNRLLSKHKIHHSYLNTLQRELENAGIESRLVRRFGYTQEAVDWADAVFSAGGDGTFLMASSKVRTKHKPVIGINTDPQGSEGYMCLMRKLPEENLAGALKKLFHGNFEWLYRQRIRITVTGDDGISDAIELHDQQLNRDPSTARWTDNPRSPAREIDVEECMSLSPPVKKRMISESDKVVVPIEKETVELPVLALNEVFVGESLSSRVSYYEIGLNEEQMLKQKSSGITICTGTGSTSWHFNINKLTEQCVQDLMKIVAEHCNLPQIPHADKNAVSEICTKFNQQLIFDPDRRQLAFSVRDPIFNATFPPTDPRGFADKIRIKSRGYDAHLVIDGGISYRFNDGSEAVMEVRDEDTLRTVVFR >CRE26086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:305673:307979:1 gene:WBGene00054427 transcript:CRE26086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26086 MQINLSGKVLVEPRDYRSFCFRGEGRANFVISARHRLTGVRIVWRFAKARKSGLLTVKARSEMVNSYMERIVAPLFYEQYLVDMNIVEFDTIDVHQLAKIPSLPANQKIERFEDLFDLPDEYSFLPLNTFQRVHGAVIVTNPKRMTSLQMLDATQLPMTVADGIHSSTITVEIKPKQGFFQNHRNANVPHCNNCILQIEKSCGQSHFSQMYDFCPMDLFSGNYSRMHKAIHSLFLVPHRNLRIFIDGNQVHSDEKPLEKEIFSETLFPRNEATSDDLISALCFALSGNQSKKKFHIRNSSVLGQILQAQKVDEIGIVEAHAIYDRMDPHTKSTLLDKSSLTRAGLEAILGPKSSENEDLQKLRKYFLAATMKDCSKKYKTTCSVPGGFHILFISVVF >CRE26365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:301625:302662:-1 gene:WBGene00054428 transcript:CRE26365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tpi-1 description:Triosephosphate isomerase [Source:UniProtKB/TrEMBL;Acc:E3LRM7] MTRKFFVGGNWKMNGDFASVDGIVTFLNQSADNASVDVVVAPPAPYLAYAKSHLKAGVLVAAQNCYKVPKGAFTGEISPAMIKDLGLEWVILGHSERRHVFGETDALIAEKTVHALESGIKVIFCIGEKLEEREAGQTKDVNFRQLQAIVDKGVSWDNIVVAYEPVWAIGTGKTASPEQAQEVHEWIREFLKEKVSPAVADSTRIIYGGSVTADNAAELGKKADIDGFLVGGASLKPDFVKIINARS >CRE26364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:299795:301525:-1 gene:WBGene00054429 transcript:CRE26364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-16 description:CRE-CYN-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LRM6] MSNQYINEPITTGKVTLETTAGDIEIELWTKEAPLACRNFIQLCMENYYKGTCFHRLVKNFILQGGDPTNSGTGGESIYGHPFKVSIVYNNFVPNYTILIFKKNAYLVSNFQDEFHQRLKFNRRGIVGMANAGRDDNGSQFFFTIGERGAPELDKKHTVFGKITGPTLFNMLKITEVESEGDKPVTFYKITGARIDNNPFDDIKPREKRKKDKSERRKEPKVVETKKTNLLSFGDEADEDEEALAVFNKKTANKPKSAHDAHDNDTVGLSKQAAVTRDEMSNYCPEDEDAVRDASSISSIREKLMKKSQKRKVLMLTMDERVAAEDEDYEQMIEDEKKAKEREEIEKMNSELKDMQKEYMKALRPVKEKKKKVKDETLSEAMQEYHDLKMRFKTKTKDVVKQKDAQREGQTMQMLERFKNRLGSSNQEAILFDRKIKLKEEEPVKNEGEEEKKFGTMDLDAEDLQGTSWMSHRFKAEDVVLSSKAKDANMREESEDWYHITDPRNKMAKRRRGEE >CRE26085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:297050:298188:1 gene:WBGene00054430 transcript:CRE26085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26085 MASKHAAKTIDPKDLSPAWKILQLQLKEEKQEKERAEEAAKAEKVANGEVEEDNEGFTKVQSKRQKQKLNRKRRAQEALAAALEVKKVHHDIPVVIEDSERGEPTKIIAIDCEYVGAGMGGTTDILARISVVNELGKILYDKFVKPTEKVTDFRTAVSGIRPENMTKAIPFDRAQTEISKLLEGRIVVGHAVHNDFRVLKLNHIRKLTRDTAKCSILKNMAKCQGTPSLKKLAKEVLGIEIQKGEHDSITDARVALRLYESVKKQWEAEIKRYR >CRE26084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:285608:296840:1 gene:WBGene00054431 transcript:CRE26084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-29 description:CRE-GLB-29 protein [Source:UniProtKB/TrEMBL;Acc:E3LRM3] MESMVSREHSPPCSPLIRRQRPATLYVKHSPRNSHVTPIKKISIPTVSVHEMASTNAECLTVRQQIPPQQQLCPNSMVPPSSYGERFSRSASSSPRRGGAGAGAGTFIVGPDGQLRRRESVLQMLNRIWKSDECTRRPSVQKSNALDVPTEPLSRQLSLSDTNVTPQINRCAKLNLTPKQRKLLRQSFNAMNSGGTFLKLMEKIFRRLENKCPDMRSIFLTTAFVNSLSRERQTPPLVKTEHDHCKCMVGIFERLIENLDNINEQLTMIRHYGEKHAQMAESGFTGAMIEQFGEISVFIIGSQDVVKFNHETVKAWRLLLACVTDEMKVGFDRMTRINGRRNSCNPPNSTVSGN >CRE26082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:278612:279952:1 gene:WBGene00054433 transcript:CRE26082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhps-1 MSTNSPSEASQEDIATAQGAVLVKSCQVPDGSIPIRGFDFNSTPDFSLSAILSSYMSTGFQATHLAQAIQVRIQQVNEMLTLRETPLNCDDDEKKMPYPEGRDKRSCTIFLGYTSNLVTSGLREVIRFCVQHNLVDCIVTSAGGIEEDLIKCLKPSYLGAFTMDGKTLRSNGMNRAGNVLIPNDNYCAFEDWLNPILDECLEKQQKEHFNWTPSKLIQVLGERINDESSILYWAAKHRIPVFCPALTDGSLGDMLYFHSVKSAPGLRVDIVEDVRHINTIAVKSLKTGSIILGGGVVKHHINNANLMRNGSDHTVYINTGQEFDGSDSGAQPDEAVSWGKVKPSAGAVKVHAEATLVFPLLVAETFAKRVHRKN >CRE26081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:277492:278366:1 gene:WBGene00054434 transcript:CRE26081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26081 MVYVKSLLRRTDNFMYIVKKNAGARKAFLVDLVNEENYQDLAEKENIDITAVLTTHHHYDHCGGNEGFRRQFPSVPIYGGDERVPALNQPVKHNQVVELADLKIRCLSTPCHTSGHVCYFITDPSNESEVPVVFTGDTLFIAGCGRFFEGTAPQMDTALNRILKNLPDDTSVFPGHEYTVANLKFAAYVEPRNPDVAAKLQWATEQRENGAFTVPSTIFEEKATNPFMRVVESEEIQKKVDTNDPIEGMAKLREMKNNF >CRE26080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:274942:277189:1 gene:WBGene00054435 transcript:CRE26080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ash-2 description:CRE-ASH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LRL9] MRSSKGGRGRQAAPKTAPTSVCYCDGKRELGSVEVFCSSCLKWFHGRCLKEYNELSSSGVPFMICYTFTCKQCRPNAEDWKAKKADLVQMCVTVFATLSAEKLKEDGKLSAEIIPEDFTYLSLKNTVVPYMTKNWFMLTSLKMRKDWDNSLAPTLLKEKNVFVQHNDDDDLFALAEKNLALVGPMHEAVKQIGKRPPTERENREPRELPPIEGPKTRGASKRRHAEAPAPGKKQKLAADYSSAAMPDGVQIDIPNSKDSYRYYLTEKDPNVPEDPEWDQAASYVIPPYQYRVLLSQTVIVSPNDRAYQLHASGQKLTGFEGYAMARASHGVSKGTWYFEVNFDDQPEDSHIRIGWSQTHAALQACVGYSKFSYGWRSKHGTKFHEAKGKKYHFGGFKQGDTLGCLIHLPVDKKTLVPANQPSEKYLPPSYKNGTLINFKNNLFFEIHEDSAEVAKNLQEMPGSYIEFFHNGKSCGIAYENIYAGTYFPSVSLFKNATVTMNLGPKYKYLPRGTTGIHMRAEEQQHEQALSDLLYLVSSDEAKQKILSPVKKEIKEEEI >CRE26362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:273166:274553:-1 gene:WBGene00054436 transcript:CRE26362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26362 MMGNKDFRSEEEQLLSLLDETQGPYPLLSEQDPVIFHNNSYESELFMEYNLDFGGESPSSSLQHPMKDEEPMFLTEAKLEPSWLLSPSESEDPTVSPIHYQKEEKPLKMPTIRRYPVSTFNPYSSGPLIKSPSSKRGRPLKVTSNTKMANYARNYREQKKSEMSMMQMRNAELEAELRLTREENTKMKKALTNAKEEIVQLKRVIDQDSQIARVVANMGAQSSSQLGYARAGVCVHVGSLGTTVEVCKQCADNNQQRMDSKFVDDLNGTELFGGDFSAFDNYLNGDC >CRE26361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:271527:272618:-1 gene:WBGene00054437 transcript:CRE26361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26361 MSAEMFDIVVEYTEKVSSRHCSPTPSTSSSSPASSSSASSSGSASPSNVVRQSTRVRRLSKTKKSLMTSLRRSSRKILTGELPSSMFGSIIIITVGKKYAKKFTSSSSKHRLVKYKVIDKQYQQQLAESKARVERLKREREDLLAGNSQTAILCEEVTTQARSLRESYERQRPAIYRAVLSAFLEQEEEDDYDDVEAGFIGTFYY >CRE26360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:269199:269650:-1 gene:WBGene00054438 transcript:CRE26360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acbp-6 description:CRE-ACBP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LRL6] MPISELEKKCTGLNFEIAAEEMRRLKSTPSEREQMKLYGLYKQALHGDIPNEDIYPVPAGNELGMKKYAAWKAQKGLNSEKCRQDYVTIAEEMIKKYGRNIVRCKWNSEVWSVDY >CRE26079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:266960:269173:1 gene:WBGene00054439 transcript:CRE26079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mex-1 description:CRE-MEX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRL5] MQSSNGEHHQQQQQQPQTPYFLGAPCDPSILAYAQHALLGYPHHKNMYQQAFPTSQMQPMMLPQTQILPAMHHSLSHRNVLPSLTAAIPEMDKLSLEEPIEAGSMPYRRSNNKSASVSVADDSFNNLQRSTSSSHFRRHSAQWETMTDDEREMIQRQKRKEEAFKTALCDAYKRNGSCPYGEACRFAHGENELRMPSQPRGKAHPKYKTQLCDKFSTYGQCPYGPRCQFIHKLKKGLPLLEYNRALYQGRISPARDDEITNPDESHSEDQSLVNLTRTLHRRRSAGGYLDMSDSGYSGSGRRRLHHQFEQCEEPVTRGKTAAGKAVYPPMQVVNIEMATGQKKSLTTGSSENHGSTPSHCQSRISQKDQVTSVIDQADYEASMAGGKIFGKPVGGKSISVDILDHFQENIRTYGAMIRANEDHVERNQTGTTKKDRSKPLTKRDLSLIREEETLLVDGSQIQPTAVVATTTQTPNTLQAENQPWYEKIFGKMTMITEESMGDEDDSRLLAHDDPDYSK >CRE26359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:263610:266231:-1 gene:WBGene00054440 transcript:CRE26359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26359 MNDNNNITASKFGVSEKHRTDRPSSSSLECDSSQAPQQTSNVNENFTDRQTSSDDINDINRIEKTSVDIAIESVLKQGREHNSKRNYHSINTNPTNEESSNTPEQLEASAVVKTEIVVQSKQEIKEEKHEVRNQKQCIKSISYLQGSSNRDLFDDGTERCQGCGQSGNEIEEALKRRREKPMSKKKSHLAPGPDSDWIMCDCCKSWYHFGCSGLEQFEYYLFETFFCPKCLPTSGPSKMFETVAPHRLRWFDKDEVNAAMEVGSQSWIKQFTTREHTLPSPSEEEACVVENGFEFQKKFLDNGGSHKWDKVFLIKNMDGLNMTMPSHGFDIEKVVDLMSPDYPVDTIDVYNQCTYSMKLSTFLKKFRDPSPRSLLYNFLSLEFSESQEFRKLAKPPQFVQEISLVDKLWPDPLSEAYENLLNKKLDLPEDFRPKVEQFCLSGMGGSYTDFHIDFGGSSVYYHIFKGKKIFYIARPSESNLAAYQKHEISRSNLEWFGDKIRSEVKRIVINEGETLLIPAGWVHAVYTPEDSLVFGGNFLHFGNVKMQMRIYRLENSVRTILKTPSKFYFPNFEYLHWKFMKNVITPKVRGIHQCLHASLTTNISEMTEEGTNIRKEDPDFWESAKFLYETLTEWLHRDIEESASREPRKEEEAWDGRFDADISFDEKKRILKTIQKLIVVPRKNKQKREAPGDDDDYTPAKTRKYTKQTKRGSSGAIPKVAKEPNEEKEKPGSLIWFRVVVFIPFFSAEQQSTSRDHV >CRE26358.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:260658:261925:-1 gene:WBGene00054441 transcript:CRE26358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-7 description:CRE-RAB-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LRL3] MSILISLFIFDISISSRSLLGFQFFCFQRNTASITTMSGTRKKALLKVIILGDSGVGKTSLMNQYVNRRFSNQYKATIGADFLTRDVNIDDRTVTLQIWDTAGQERFQSLGVAFYRGADCCVLAFDVTNAASFKSLDSWRDEFLIQASPRDPDHFPFVLLGNKVDLESQRAVSSKRAQSWCQTKGNIPYYEVSAKEALNVEAAFLAIARDALARESQETNDFPEFPDQIRLNPNQQNQQNSGCNC >CRE26358.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:260662:261925:-1 gene:WBGene00054441 transcript:CRE26358.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-7 description:CRE-RAB-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LRL3] MSILISLFIFDISISSRSLLGFQFFCFQRNTASITTMSGTRKKALLKVIILGDSGVGKTSLMNQYVNRRFSNQYKATIGADFLTRDVNIDDRTVTLQIWDTAGQERFQSLGVAFYRGADCCVLAFDVTNAASFKSLDSWRDEFLIQASPRDPDHFPFVLLGNKVDLESQRAVSSKRAQSWCQTKGNIPYYEVSAKEALNVEAAFLAIARDALARESQETNDFPEFPDQIRLNPNQQNQQNSGCNC >CRE26077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:252254:255190:1 gene:WBGene00054442 transcript:CRE26077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26077 MNPGFSEGVFGDVGPPKRGEMRAGERMEDYNPLNDVDFHVENQNEYQLAQARRRWRLQLAVGMHLARHIDKEADAQFFGNATAASKLFHAVSALILAVWCHRRQSFRVPLVAGRLIAFGACLLYLAVEMFDGGRRYVMALCYVLFGVASSSSTILRAYVAAISCHRDRPQAYSGLNSATMISIIVGPMIQAAFSSIHYPGYEIFPNVKFHIYSAPVWVAASTNFISIIIICTILKELPRRAQSEMKKNQALMTFAGVKSRIERISQMNLNWRIVVLCWIQKMNATLSIVTLTTLTSVIFMTNYGWSRSHTVLAMSVTMGAVGILAVLITSLYFFCHLGNYIQQRFAFLVGLIIFMSMYLFTYPWKSVSNPVPAYNSTLDLGCNSNVYDWCETSYAPADPLLLIVIAVVMGIGIPLSSVALDAIYSKTLGPINQSVMQGAMIVAEDVILILGPIYSSGVYTHFGLETLWTWNGIIVAFGSLLWIGHLRKLADYS >CRE26076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:250701:251404:1 gene:WBGene00054443 transcript:CRE26076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26076 MDTGYLASTSETLLIRYELYNLLDRVTISFSASLINLKSLKCCHLGNYIQQRFAFLVGLIIFMSMYLFTYPWKSVSNPVPAYNSTLDLGCNSNVYDWCETSYAPAAPLLLIVIAVVMGIGIPLSSVALDAIYSKTLGPINQSVMQGAMIVAEDVILILGPIYSSGVYTHFGLETLWTWNGIIVAFGSLLWIGHLRKLADYS >CRE26357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:246063:247467:-1 gene:WBGene00054444 transcript:CRE26357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26357 MESFKLMCTPFLVFQKIIKLMEIIEVFELSQTSKRMFGCIKYSRRRIQPIYVFKREKGRTIRVVEKNDVNSEFAIHLTLENMEIDVLRQLRVEDTIFDVCDKNEKCLSCYLPNESKEEEVDSQLMHLFNYLSDLFNNKTVNVWLQPSQIATSYFLFSNLKFESCQFIKFIAKSDILSNDDVSQILEILKPTIGITLKCHIEEDFGPRNILNLPRLYIVKARWLTFDDLLNMKCETAFFKYHSFTEEDVKKFINHWMAGSNPKLMHLRLMGFKLEPNWEHILEGIEYGVWDEKEKKKRPRNFKDHYIYRTEEIDCKNGLDFEKKSDGMIGTVMHQSDWIDFFVWHDTQL >CRE26075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:244338:245798:1 gene:WBGene00054445 transcript:CRE26075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26075 MTWKNCTICDGAIENDRQDDATNSPQKVIALRSYQCNNLLFQTVNYHEASATRQFRIAIITNCQEVLENNENNESEGNIENRLTRTVNFYVDMSELVIICPFFERFKEVSFWYLKLKIKTLFQLNCLDITTNCTDSWISAPPSVAASREDCYHDYKAPVNAHHFHAFLESACPSLYGIRPAPITLDCIIPVLDMLSHFHSEPLLTNCERFLMSTNIGQLDGSMLVRLLDKGLCVSLDHRILGRIICVCLLNTATKMSDVSQDLIGTVGSVFAQAFIANATKSFRHVKPLEQENALWPAAFRHLMIPTAPAVASTVESTSSDDKKKYKKGPKKHECDHTEESYALDNFLYEVRLQLYPHTYRPHLDRDLLREDRLSDYILRHQFQPIAED >CRE26356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:243040:244042:-1 gene:WBGene00054446 transcript:CRE26356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26356 MLFEVTLLIITFPFFASLALVNCLKSHWKFKGDDHESPLGSNYEFSDEEKRPGLQLLPSPAPDMVKKLKARVRRERRGKKSPQDYFMNNFQCNDEEDSLFNVPSLIHDQPTVKSPRSATVPVDIQDSRILRVNQDRLGYKKSHTIYATRTSVSPYSERMGRLSGSSSGSATDTEPATVIQNY >CRE26355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:228661:230446:-1 gene:WBGene00054447 transcript:CRE26355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madf-5 MAFQPAFNARLINEVRKYPCLYNHSRRGSGDTMERQRLWESIAKNIDPNCAAEFAKKRWLQLRDRYRKELKIAIKNGFVTPVRWCYFNQLSWLDPFLKDNIGQAADEGKKTGKTDSFDETSTTPFSWFGFPNLNAMKEEMEDDDSDPALESSVLDRLLAQTAQRLDSISPEIENDESGTQSLDGTIDVDGVDGEDGNIEEEEMKLKQEEEDFGGDDEEENIEKPPMKNQAMAMLTEAINAQNVQNAHVVAHVQQQQQQKPSVETLIAANQARFAHHLAAQLTGMSSMLNGARKSESAATPVRAPTPPPPTSSTPSNSNHFHPYKETARSRHHSRQAENMMRHHLQQVGRVALEWLNDEDLLYSRIIGLKLKKMDPKKRKKVRHQIMSLLEETDDHVDNDDSCSSHSPSARDNDEECTVEPTENEIPSTQC >CRE26354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:224272:224678:-1 gene:WBGene00054448 transcript:CRE26354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26354 MNSEYNLNVSSKIPIVRDENGFRSEWERMTTCKLIITIGGVCWAVFILTFGLYNYCAIKKPPAPISFDEALCAEEEALIGTKSQKSSNDTTTAKSSKSIRK >CRE26353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:218708:220800:-1 gene:WBGene00054449 transcript:CRE26353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26353 MAVSTAPIEKYQIPSISMNDLKIADESSKEDVNESEQESEIETDRKGIMIASLVMFIAAIENTVVGMSEWPYMNEIDKEADAQFFGNATAASKLFHAVSALIFAVWCHRRQSFRVPLVAGRLIAFGACLLYLAVEMFDGGRRYVMALCYVLFGVASSSSTILRAYVAAISCHRDRPQAYSGLNAATMISIIVGPMIQAAFSSIHYPGYEIFPNVKFHIYSAPVWVAASTNFISIIIICTILKELPRRAQSEMKKNQSLMTFAGVKSRIERISQMNLNWRIVVLCWIQKMNATLSIVTLTTLTSVIFMTNYGWSGSHTVLAMSVTMGAVGILAVLITSLYFFCHLGNYIQQRFAFLVGLIIFMSMYLFTYPWKSVSNPVPAYNSTLDLGCNSNVYDWCETSYAPAAPLLLIVIAVVMGIGIPLSSVALDAIYSKTLGPINQSVMQGAMIVAEDVILILGPIYSSGVYTHFGLETLWTWNGIIVAFGSLLWIGHLRKLADYS >CRE26352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:212411:217558:-1 gene:WBGene00054450 transcript:CRE26352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mog-4 description:CRE-MOG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LRK3] MSVEQFINDQLHAIVGISDKSICQYVHALAKKAKTSRDLVEKLGEDCDIKVSPAIQSFADQLLSRMPRQAAPVRQRGPTATELAEQELKRLNRAVGVLQDDDYVSSKTKNVRKRKESSSEDEAPKPSKKSSKPVKKVEESDDSDIEAIEARLDADIAERDALAARINKKEKEKTRSVMEKKRDDNKDKEGSSIDKLREESRRQYLKKRKDDKLEELEAIVYDDQTLFANEKLTKREREDMEYRKKVLEYAKAHGKAGEVMKIKRYHLPDATSRSMPTEYIDDDEEDLLPGGDGAKWEADQLTSAMLHIGAKDAKKNEPEFELLLDEQIDFIQALQMPGTNEKDEEKETEAEKKKMSIAEVRKSLPVYAFRDAFIEAVKEHQVLIIEGETGSGKTTQLPQYLYEAGFCEGGKRIGCTQPRRVAAMSVAARVADEVNCKLGTQVGYQVIEDGKLILFLQVGYSIRFEDCTSEKTVLKYMTDGMLLREFLNEPDLASYSVMMIDEAHERTLHTDILFGLVKDIARFRKDLKLLISSATLDAEKFSSFFDDAPIFRIPGRRFPVDIYYTQAPEADYLDAAIVTVMQIHLTQPLPGDILVFLTGQEEIETVQEALMERSKALGSKIKELISLPVYANLPSDLQAKIFEPTPRDARKVVLATNIAETSVTIDGISFVIDPGFSKQNSFDARSGVEHLHVVTISKAAANQRAGRAGRTGPGKCFRLYTAWAYKHELEEQPIPEIQRTNLGNVVLMLKSLGIHDLVHFDFLDPPPQETLVIALEQLYALGALNHRGELTKLGRRMAEFPCDPCMSKMIIASEKYECSEEIVTIAAMLSCNAAVFYRPKAQVIHADSARKGFWSPAGDHITLMNVYNKWQESQFSQRWCIENYVQHRTMKRARDVRDQLVGLLERVEIELKSSTDTIKIRKAITAGYFYNVSKLDNTGHYKTVKHKHTTHPHPNSCLFEETPRWVVYYELVFTSKEFMREMSEIESGWLLEVAPHYYKGRELEDSTNKKMPKQRGKSAKELER >CRE26074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:211170:212173:1 gene:WBGene00054451 transcript:CRE26074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-41 description:CRE-NLP-41 protein [Source:UniProtKB/TrEMBL;Acc:E3LRK2] MFGLVCKMLFALLFVLCLSVSAAPALFELPNRSVRLIRSDPAAYDGYENSFYRGYGADQQFRFNSQPNW >CRE26351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:200453:208488:-1 gene:WBGene00054452 transcript:CRE26351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-29 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3LRK1] MLTNFWNFQFIFIIFCWIPIVFSEDEKNEQITLRIGSISSKENGKMLASIMDMAKKKMIEQGVLGKNFDIEVINVEGCGASFEGVAAAASLYHVQHIDAFFGPFCAKELSPVATMASYWNIPIFAYTATSAEFSDSKIYKTLLRTSFQSLSSISDATAAFMIHHNLTKASIVANIGTDSFEKISSLEKALRSRGITITRRVMFEESSSAQDLVDNGIMNELKDNSRVIIPLFSSTRDLSSVFRNATQLAEMSNSDFVYINPLIVSRNSAEPPIFYGKIAQKTIKTDYPNTIQIYNSYGFSDDLLNEFIAVFDKTKRIYIDEQDLFNYVALYESYCVFAKMSQQYLHLNYKNTTAFVGNGSRIDGKSFYNMAIGMTFPGVMDNITFDNGAERMTSFSAFYVDGSRDQIRTVAVINSTVTSKNCMEAVCIELIVSDVVTKFWPTLTGKFPDSEPECGFRGENCDYTQTIIMITAGVCLIITVVLAVWLRRACETSALEKMPWRILRDDVQILDEEQAKSVVSLNSATTKMSQVETKLIKNHAIVGVNTHAVYDLYEQRQNIKFKREDLVLLTKVNNFKNFKISYSIGIFQMKQAVHDNINPFIGISFNEKAELLLLWKFCSRGTLQDVIYCDKFNMDEKFQGAFVRDITLGLEYLHSSPIGYHGGLASWTALIDKNWMLKLTDYAVGDPLKRWEKHGRINCKIDNESEKQWQQMASLYVPPEIRSANEKNRIKRMDQKWQGQTIIRRQQSDIYAFGVIIYEILFRSLPYDEKMDLTELAQKAAEGDKVQKPSIQRNKKLNPDMIALLQDCWSDQPDMRPTIRRVRLATEVALKTKGNLVDSMMRMMEEYANNLEKLVGERTKLAEEANLRAERLLFQLLPKHVAIELKAGRSVPPKMYDSATVMFSDIVGFTKLCSASTPIEVVNLLNKLYSEFDSTLNRHDCYKVETIGDAYMVVSGIPTENGQRHVANIASVTLEILELLKKFEVPHRKDYRLTIRLGFASGQVAAAVIGLRSPRYCLFGETVNIASIMESSGEGGKIQMTDISKHLLSNEYPEYIIEIRGINTSMKQPDFITHWLIGKDDEYFKKKSNLQNL >CRE26073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:197524:199971:1 gene:WBGene00054453 transcript:CRE26073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cec-9 MVKQEYEIERLIDFIRFEEAYEQFYHYKNKEFAGIFTVFHSVLNQSVIQQLQSARFRKNARNTYVTSRYYFKVIFLVFLYLKKSNFQVKWLGFDRNSTTWEPEESIPSAVYLGQFKRLNNLEEHLEKIEVSFELKQKRGYCPLQDVQIVEKTADEPVNLSLFSPVLLDAVDNGRFGMVPIPAGENLNQSSFFFKLNSTYYFVKNAVYNAETSDDVESLIKTFHHENKCFIQGYIRHENIVSMLDSFYNFDPSSGNVRISSVFEDCPQALRWKITNEGVNWKRMTFDVLKGLAYIHTHGIHQGISIDNILFGKNGQFKISHFNDFTFSKSIDAVNVKSVGVELAPRKYGLDNQFDFSVDIYALAIVALQCSESVLLVQRECNTLADIEEKISQAMENLPSDEDRHMIRMMLKARLLMHQGTGSYRFSAHSLSCWPVLYNSNMVSVECYFLKTMDATPIIDRAYYAPNDSVADVTNRFAAFYGCSLQTDYRMLCSTNFDPSCSADILSRHENFKGVVDNETTLGRKKFLIVPLLKPSVAPDHLMQKYVILYRQELGGFPIIIESPTLTPPELLTLLKREVVSEEYKLREMGLFAEELDNYEDDIPKCMIFSISSLISNIHFPDSENSFLLKITDLPENAIKSRLSTLEDIKKPLTGDLLKWTEIAGIHSLFGQKNAEPIVKRSRKRSSSCMN >CRE26071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:193637:195228:1 gene:WBGene00054454 transcript:CRE26071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26071 MGRIFFFGFIGLAISWVALYYRYSDEKNTLARKYRLDPPPGLIGNLFINADLEKATHILDGKISGPESMVVDDDAIYASVYDAKILKIVNGKVVSKAAYSEKSKFFPDCGHFDTEPECGRPLGIRRLVTGKPKFVVADAYLGVFIVDFTNEQDREFVETESFLVRIFIPATSTQILDSRVPIDGFKPRFLNDLDVISEDEIVITDSSIRHDRRHFMPLILEHHADGRILHLKISSKTVKVLADKLYFPNGIQLTEDKQSVLFSECSMARIKKLTIASGKIEMFSSNLPGLPDNIRSSGRGTYWVGLAATRSATHPSLLDRLGSHPAIRQFLVDIIPTQYWKPLLSLFKSPHSIILELDSTGQIIRSLHDVTGKVVGDVSQVTEHNGELYIGSFADDFIAKLKL >CRE26350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:191560:193294:-1 gene:WBGene00054455 transcript:CRE26350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spat-1 description:CRE-SPAT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRJ7] MEFNVSSFYDGEESGKQLNSTALEQDENEQKEWKLSPITAEERRVKTSIFQRSSHLQSSNFKSPLRAVNSTDPSTSGYDSKNTTACTPQQSSSSDPKLSMSAELSSFVANNSPNPFDSILLNSLHKSINFSPRLILSSQESGSQQKGGERFQWSVEQLAILKPAHISEDEIAASYRSPIPEIEEKIQEALNEYWSNNVSYIPSPDGPRFVNLTHRDGTVTTIRYGEEAASSEGNTPSLANIVRRKEAVKAAYATSSPKQRPRKNLRQVRNRVCQTELTIPPGLDLDLKKLLGENFVYQDQEAEDEEVFNISVCSNFSTRRRLFSNGGGQQEDGAEDVANSSIISTDDLLMNESSAQPFNDSLSPVRRSVDVTEDIEDTTDNLDQENASTSATRSHASQIAINISILNDCMMNSIDEAENEEIDEEKLEETDERSEEIRRNIFSDSHLRE >CRE26349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:191039:191428:-1 gene:WBGene00054456 transcript:CRE26349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26349 MTCLLAVSALMKSLYFNIIIPDANEYEILDRSSSSNATPRHEDLDWTPRRFTGSVPSSVVREPTSSGTFGSGGAAPQDFRRFVFDMSPIHPNHNVPAALRTPIQRCHMNLPQGRLDLNDDEEDSIDGSK >CRE26348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:190079:190500:-1 gene:WBGene00054457 transcript:CRE26348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26348 MKLFVHNFMSSRFLKNVTVGYPLELTVKQFEEKDIEFDRENTIVMLDKVQYEALLVAAAAVNQADRIPSEQPAKYDELSDDQLKQFHHILMNIDVVDGELICPETKTVFPIRDGIPNMLKVDAEK >CRE26070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:189034:189933:1 gene:WBGene00054458 transcript:CRE26070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-147 description:CRE-CLEC-147 protein [Source:UniProtKB/TrEMBL;Acc:E3LRJ4] MNRPFLLLLISCTISVQAMFKPIRHVYYGGDDNCEESKTTTTTSGASTTMKWEYSCEPGWKTFQRTPSVYNDNTGIWCVKFVPAPSKKNISINEAETLCKENNGELTAFENENERLEILVEAQKYMINELERSSGAIAIAGKSIAECKSMTSKLPEICSDNTKAYTLPESSKTNPQFLWENWVTNEPSFNKWTYDIEECLQMFIFASNTTAGNGKINDFYCRFETSPSEVKNQRYWNFGALCGQAPGIESTG >CRE26347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:187586:188601:-1 gene:WBGene00054459 transcript:CRE26347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26347 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LRJ3] MTATMDVDNLMSRLLNVGMSGGRLTTSVNEQELQTCCAIAKSVFASQASLLEVEPPIIVCGDIHGQYSDLLRIFDKNGFPPDVNFLFLGDYVDRGRQNIETICLMFSFKIKYPENFFMLRGNHECPAINRVYGFYEECNRRYKSTRLWSQFQDTFNWMPLCGLIGSRILCMHGGLSPHLQSLDQLRQLPRPQDPPNPSIGIDLLWADPDQWVKGWQANTRGVSYVFGQDVVTDLCMKLDLDLVARAHQVVQDGYEFFASKKMVTIFSAPHYCGQFDNSAATMKVDENMVCTFVMYKPTPKALRKG >CRE26346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:184664:187092:-1 gene:WBGene00054460 transcript:CRE26346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbm-28 MSRGGYSSSRGSFRNYGYGQKRDYNNYHNDNEEKYGETEEFEHRNANRQPLTKRPKTEESGETNQRFSSTGEKSAVRQRFEKAKTKEWRLIIRNLPFKVKKEDLQNICSAIGPFAEIVLPPSKKDPKTSAGFGFIQFVKKEDAEKGREYFNKNKVLGRSVAADWALDKDTYETNAHDEKEHLKKKVKVEKVEEDKRKNVKKFEDSDEEEENDDEEEEEEDDEEKDGEDSEDDSEDDEEGDKKKKKKPVAERKTDQAIIDGKVVFLRNLSFETKVEQIKEELSKYGQIDLAIICKYKDSGHSKGTAFVHFSSPLEASNCIEGIEDGVIIDNRLVKANLAIPRKEAAEMEKDKLTKVPKDKRNLRLARFGLIRDGTAAAAGMSKEDATKRERIAEAMRKKLENTNMFISPVRLCIHNLPQKINDAKLKELAQKSTSAGSLITECRVWMDKKRLTPDGKPKSSGFGFIAFKEHMHALECLKKLNNNPDTFTKDHRPIVEFSVENLLALQARARRNVKNTTEKMSERVVNEKIRQQVKQSIGEVHTAGMKFLPKFTGKKIRHRNLSGRAKKNVDRVKAAKNSKQPEMPASVGKKKKAMKKNVSKYLSLST >CRE26344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:180659:183317:-1 gene:WBGene00054461 transcript:CRE26344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26344 MASLDLLLERLVTNCSIYDEMPHSFDDTLIDKLVDSIEFEESSITVVRNFVRGIDFESRCIPIQIIIRLLDAVIVKKRFRDDDLLLEFVQKSEDLLPQSRPPKLLDDLFRLYQRPEVFAIRKPDAWLTVIRWAINQIDDDSTSVFLRRQYQSFICQVPPADARRLLIISGAVEMFIRRTRRGQQSNFILDVVTRILDKYSNELEVEELMSYVESIRNSSRIGENSLRLLAKLRELHSTLKIPLTPGSWQCESNRVDLICFLLEMNQNPRDRVIAINDEVNEQFVENIDQLVDLLIYSPAVKLHHKTKILHRMSNKQLKTFLEQLNVEVKVENKIRITEVSKLLPKLASHVTIQQVATLFEALDVRVLESSSLLQELSRVYGPDIFSRPEFSNFKNRLRARLTDMIRTSALESEWEQTDTALEIAYIFPCFLPENEDLQALSRSNRNSPYVMSMVLKLMRDHYGGIPDDLLRYYILESADPAPQLVCMHYLSTPMIFGSLSREEIVEYLESGLSDNGMDMRQETLKFAETAMAKPNLKDAVITVLTEYKNDRWIGRYVRRLLCEEHIQQENESVVIVREMLASLNVHGNDEDIKDCY >CRE26343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:158736:162594:-1 gene:WBGene00054463 transcript:CRE26343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cog-1 description:CRE-COG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRI9] MSLIMSEPQLATAPDEKDLENRKHSSTYSISNLLLEKKESSPSRSSSSSEDDSSSSNDEDPRSPSSETAASSFMFAPTSSSSSSESATSPTQIMAQLMANGNGSVDPSLQAYFFLLHQQLNSQSMINRVTQENVSRTLNSFNLLGNLTGALPSLSPMSRLQHSMQLSPNSLNMQKKQSRPTFTGHQIYQLERKFEQTKYLAGADRAQLAQELNMSESQVKVWFQNRRTKWRKKEAADNALVKRGASGDKSPCSLSPFLTGI >CRE26069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:155518:158246:1 gene:WBGene00054464 transcript:CRE26069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26069 MSRLSSLLILVCIGALLFETFAAPDEPIIVRSKRQQCRCVKNPNGGLTCSCAKGPANGTSGSSSSVGNGVQYDVPQSADIANQLTQMSQQTTGMNAARCGCIQIVFQGAPQYNCQCGDQNGNPLPTSTTMAPTTTSTTTTTTTTTPAPTTTTSTTTPAPVSYPQVPTQTQGNNGACNCIMISITSPQTAQYQCQCAQPQQQQQQQHIIVDYEDPTVEYGSQTAIPGTLPAPNTTPVPPTTTTSSTTTSTTTPTPQQYPHQNPSMFTQSPPTVYPAIGQLATGQETCYCLDPTTSVMSGCGCRCNCATLMVTVPNAYCGCPQPGQQQMQYADTYDYTQVYSTQAPTTTTTAPTTTQTPPTQPPTTTSPIQYADTTATQYPLTTTCVMYVNVPTTACSCLPQYDQCAQNVCCLKAKYRSYKKAEETQPSTIDVLMNVLQTIKTKLE >CRE26340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:113529:118242:-1 gene:WBGene00054465 transcript:CRE26340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pde-3 description:CRE-PDE-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LRI5] MHYWKTESTPSNNNEHEIPVDVLRKISVSRKESGTHVDTVVTTIDGQRYDTKELDNDPDFSETAEWAFPIFQISRKYPKTILSRLTYNIFQQSELFRIFKVSPIKFFNFFHALEKGYWEIPYHNRIHAADVLHGCYYLSVHPVRPQFGVVKSPDSLLPAPLPVAASVMSQMSTLELMALFTAAAMHDYDHPGRTNAFLVTVEDKKAILYNDRSVLENHHAAESWKLLCRPENHFIENLDPAEMKRFRYLVLEYILATDLKQHFEIIMTFNDRLSDMDLQVESDRLLVGKLLIKMADINSPTKPYGLHRQWTDRICEEFYEQGDDEKKRGLAITPYMDRGDAQVAKLQDSFIAHVVSPLAQAMHESGLLPILPGLETSELMINMQHNHRKWKEEIEIETASYDSTTCNGGNVNGVIEEESASTSDSPDPQRDSPSLDSELSQVPFTICCSIAEEEYV >CRE26068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:109120:112282:1 gene:WBGene00054466 transcript:CRE26068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26068 MLPLSSVDLTEINVEDLEIKGECLARFQLGQGAIADIFHVFYKGHDAVLKRPRNNYTDQEKKEIRREARVVAALNNCENVVRIYGICDTMPFNGIIMEYCAGPNLSELVFKLYESRVEMETIRIFKWCHELSKTLCELNVTYFHGDVKTENVIVKERPCYCQNGVYKDVTIRDTTYHLCQTCHGVHLEHLSLKFCDFGKSYEHGTKERNFGGTAEFAAPETIQRGEYTQKSEVYTFGHLMLILVIGFPTDQCADGQRRFLQLYNNKKYDLSGCKSNSICEIISWCLDKRAENRPTFKQLLEKLNSRFDHYKSLRGDDKKSAAHIANIEREEFLEHYKIPRKITMGHRTVTTSTFLSISTDSSNSQLDLSATYYGGPLDNDVFEETPLPSPSTSRKFNDGYELSRSFVENTLYTGDEENIIMLPTRRRKGSFIRRNILFKYLVQAKNLISAKITTLARRGRY >CRE26067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:104549:108652:1 gene:WBGene00054467 transcript:CRE26067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elo-9 description:Elongation of very long chain fatty acids protein [Source:UniProtKB/TrEMBL;Acc:E3LRI3] MSAEVTERFKVWTGNNETIIYSPFEYDSTWLIESWWDDLTMHTFFKNHWFKSVYLSAAYVIATNLLTRYMEPRKPKSMRPLLLLWNGILAVFSIMGTWRFGIEFYDAVFRRGFIDSICLAVNPRSPSAFWACMFALSKIAEFGDTMFLVLRKRPVIFLHWYHHAVVLILSWHAAIELTAPGRWFIFMNYLVHSIMYTYYAVTSVGYRLPKLVSMTVTFLQTLQMFIGVGISCIVLHLKLNGEMCQQSYDNLALSFGIYASFLILFSSFFNNAYLVKKESKPAVKTD >CRE26066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:101309:102043:1 gene:WBGene00054468 transcript:CRE26066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26066 MAWRKKSKKNVPVVQMSEEEVNTIEERVAKLMNTQLPMGRVKKIIRMNSDVEMINSEALQLMTKSAEMFIKELSNAANQNAAMEKRKTIQPKDIDKAIKKIWEFAFLEDTLDGWPKIQPKKRNPGGNSNQDDTVMEETLVEDTVEEHEEDHEDHEDVEEHEEEDDEEEHNENDVEAEEDELPETVPEVDGDDEFVEKDVDRPAMKDPFAEQF >CRE26339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:97933:101061:-1 gene:WBGene00054469 transcript:CRE26339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26339 MSKNDPIDLFAISLEDISMEEENLALWTLLEDVNAGIVPEILKKEETFYQISSLIIGEQDDVVQLVLKLICSVSDGFPHLAETMMNSSIYRNFRKVIQDSESLSPAVFLTMFDALISLSTTPQIFDKIVNDGLWSIVKIHYEDNLKFHSVVILSNVIRDVLRLLSKNSSSKTSANNCITSMLSTIVRSSPEAWVKFCDADGNEVMKNFIKNSPNDRAAGVAAFMLVNIFSTFGGRPTGQRVKYWFEKKKVGGEEWTDEEAVYREAKTYRMRAIKTKPRIFEPASSVYSLPNGAQMKQKETIEQFVHKTKLVNTLLSVYTIMKQRIADHKKKRFPNDGFQSTLDFNEGTLQKIQDLLLRARCTYITDTTKAEMSDFFKKYHRNLRFEHQQAHRKELDLRMDPVAKRFLVPQFYSKKEIVEIKDLELMSRLTYPSQVEIVQHISFLKRLRIETTAFRNAVPFRLKHIKMDKDYFVIDGCLFNRHDKITKLLEERKHIYVRILEIDSDDGLKYLQINGKLHVSHVWYSKFSVNKLPLQYITQLLSKNSFPLKSIDIRVLDPNDPILHTLIETDELFAWTVNSGSTIWRDFAMTTTLPALYLLNVQLDANDIIKLIQSVISRDEDYVRTIGVTASQTNNLRVGIQYLIQCYFPAAKCVNSPSGFTIVLDKRNVKVFNRDIRRNEKTIHLIVIQRANNYTVGREKEVMGLDGKLAADAATKQAQEEPPVASASAFSDAGPSTPTSNAAPSTEIMKTRGRRAKDNK >CRE26338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:93832:95274:-1 gene:WBGene00054470 transcript:CRE26338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26338 MNSKPLQYESVKVVLLHLNPNVRIQIAGRLPAIRLTEKLVPLRIHELQFGRFHTIISNTTYRLGVYRKYPPLEKVPNREQTENEEGGAQYDIDRYGFGSYPGNTFFGPGDVSFLFDKFSDLRIVTDKDEVSYQNEMKKNEDELAQKWKISPYARKESDIDCIKALQSIIDHYREELLPFHRRRLNLPTPYVCELQVTIITGKIVKQIHRFPYTMKLPEAVKKLNNFLFGGRRVAIQVPRFGVPSRNPTLHLPVGFKIKTKYIDNVAFLSLFYDSLMSILDDSCFPLNAVSMYPHVDIEHNRRFPKIENARKLVITEVDGDSKLQEILKNISSQHVLLSDYKTDYQAKDYFDLIQNWLKNDFPRGRCYSFVVKKEEIATRLLNLISEQLENTTKSERCISIPAWNSVRLEVSYGQSIGPCNEENRRYIDVKNWAWVLDIQIFRD >CRE26065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:90954:91690:1 gene:WBGene00054471 transcript:CRE26065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26065 MAWRKKSKKNVPVVQISEEEVNTIEERVADLMQTQLPLGRVKKIIRMNSDVEMINSEALQLMTKSAEMFIKELSNAANQNAAMEKRKTIQPKDIDKTIKKIWEFAFLEDTLDGWPKIQPKKRNPGGNSNQDDTVMEETLVEDTVEEHEEDHEDHEDVEEHEEEDDEEEHNENDVEAEEDELPETVPEVDGDDEFVEKDVDRPAMKDPFAEQF >CRE26337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:87468:90413:-1 gene:WBGene00054472 transcript:CRE26337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nsun-5 MKDEPIMGCAEENPEEKPKCNPFGTRFLTDDAKVFEHNAWDDVEWSEEQQEEAKRIVENQKTMKVDEEKALKLLSTPADQWDAFYAHNENRFFKDRNWLLKEFPELDVNEECNLQVSTDFIKLNETFFKKETVKILEVGCGVGNTTFPLMQVNNSSSRLFLHSCDYAPNAIRVLKSQEAYDTKKMNAFVWDITQPAPEESPAPESLDYIVCIYVLSAIHPDNIRKALNNLISLLKPGGTLLLKDYGRYDLTQLRFKKDRLIDGNLYCRGDGTLVYFFEMDELESLLNEHGMEKKVMHVDRRLIVNRAKQNKKALLRLSCESLKFRPVFDEILQDKELKKMKNDPNIGGSVDLLYVLMYETLVGSGLNRCSQELKSVISRRSQKIKDVEKELEADGRGIKSIKEAEEGAKKVLLPGNKSNHIEYSFLFQIIIPRYARINTLKWTAEEAMKTLETEEWKLQGSASVENFAEVVGNMKEDEIYVDPHVESLLIFAPNIQNFHEYWMVEQRYLILQDKASCLPAFLLNPRPGSQVLDTCAAPGMKTSHAAAIMDNQGKVWAMDRAADRVAVMKQLLDGSKVAIASAFCGDFLKTDITDKKFSKVKFAIIDPPCSGSGIVKRMDEITGGNAEKERLEKLKNLQAMILKHALKLPGLKRAVYSTCSIHEEENEQVIDEVLLDTYVRQNFVLKKDVLPEWTHRGLKTYEVGEHCLRADPKVTLTNGFFVAVFERVKNNE >CRE26064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:83915:87143:1 gene:WBGene00054473 transcript:CRE26064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xrn-2 description:CRE-XRN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LRH7] MGVPAFFRWLTKKYPATVVNANEDRQRDADGKRVPVDCTQPNPNFQEFDNLYLDMNGIIHPCTHPEDRPPPKNEDEMFALIFEYIDRIYSIVRPRRLLYMAIDGVAPRAKMNQQRSRRFRASKEMAEKAASIEEQRNRLMAEGIAVPPPKKEEAHFDSNCITPGTPFMARLADALRYYIHDRVTNDASWANIEIILSDANVPGEGEHKIMDYIRKQRGNPAHDPNTVHCLCGADADLIMLGIATHEANFNIIREEFVPNQPRACELCGQYGHELKECRGAENDTDLGDDYCKPEQREKNFIFLRIPVLREYLEKEMAMPNLPFKFDLERALDDWVFLCFFVGNDFLPHLPSLEIREGAIDRLIKLYKEMVYEMRGYLTKDGIPELDRVEMIMKGLGKVEDEIFKRRQQDEERFKENQKNKKARMDQYGRGRGRGRGRGRGQPAYVPTFGILAPMSAPMHHSGESTRQMASDARQTAMQFNTTNDANAQAAANLKALLNVKGEESPADVAARESRKRKAEEPLVVPEEDEEPKDDIRLFESGWKDRYYRAKFDVGSDDVDFRHRVAWAYVEGLCWVLRYYYQGCSSWDWYFPYHYAPFASDFETVGEFKPDFTKPTKPFNPLEQLMSVFPAASKQHLPVEWQKLMIEDDSPIIDLYPADFRIDLNGKKYAWQGVALLPFVDEQRLLATLKSVYPTLTDEEKQRNTRGPNRIFIGRNHKSFAFFQQVAESKSTDMVDLDPSLLNGVSGKIASDSTATAPGLPFVSPVNHEDCQDLPTNCGICVLYEDPEYPENYVFPAVRLDGVKEPEKTLKPEDWNERREGRFNPTIGFNRNAPRGGLDPSGQRHINHHVRGAMSDRQGGDNYRGGYRGYQGGYDDRRGGRGGGGGGYRGGYNDQRPDYGRNYGGREGNVEEMFKNDDNFSGGGPQRHHDNYQGGHGGGGGGGGYNQQPYNQDQRRGGYQGGRGGGPPGYQRPPYRGARGGYQGNSSWR >CRE26336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:80464:82671:-1 gene:WBGene00054474 transcript:CRE26336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26336 description:Ribosome biogenesis protein BOP1 homolog [Source:UniProtKB/TrEMBL;Acc:E3LRH5] MASTSTAVPPKAKRKLDNGKKKPKTLKDEFGEKLDVKPVIPKPADEYDYDSSDEEDLRNTIGNIPIKWYDDEEHIGYDKFGEKIIKPAKKGEIETFLEKMEDPDYWRKVFDKQTGTDIRLTDEQIEKIHNIASGKYPTIGYNPYEPFLDIYSSQKEIHPIDNRPEPKARFIPSKDEMRMVSRMVHAIRMGWAKGPKAKKEEPKAYDLWAAEDALDNVTKSQLSRMRVHMPAPKVALPTHAESYNPPEEYLFDDDERKKWEETEKEDRVINFMPSKYDALRKVPQYDKFITERFERCLDLYLAPRQRKMRIHADPTDLLPDLPNPNDLRPFPTTLAFYMRGHTGQVRSISVEPERGELLASGGEDGTVRIWMMATGRCIKTFKMDGEVTSIAFCPVADRTLLAVAYEGKYVAILNTGCGDRLHVQQTESLLAETPTESQEDGAVVTWRKSKDKLVLKMPNEVRQVTWHAKGDYFASVCIDDIAKSVYVHQMSKAKSQCPFQKRKGHVQAVTFHPTQARLFVATKIHVREYDLARCVLVKKLITGCKHISSMATDANGENLFLGGLDRRFCWMDLQMGNKPWKKLKHHTAAIRSVAYHKKYPLLATVSDDGTAMVYYARIYSDFSKDNELYPVKRLRAHERTSNDLCMLHTTWHPTQPWLITAGADGTIAIFTY >CRE26062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:78400:80222:1 gene:WBGene00054475 transcript:CRE26062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26062 MAVPYRLKKAFLNLLLTISSVYFYTIRFVFWFVNYFFRERVYVTPPTDRLLLISATQAVRMISKKEISSTALVESYIHRIEQVNNTINAAVIKLFDSARQQATEVDTFMALADEEDIQKKLEERPLYGVPFTMKDALEVENEIITCGIYNRKATKCDRTAEAIKRLKAAGGILLAVTNVPEVCMWVESVNTIYGRSKNPYDARRMTGGSSGGEGALLGAAGSVVGVGSDIGGSIRMPAFFNGVFGLKPTPGVIPLIGHVPEPTGYKTHMLRIGPMCRFAEDLPLMLRIMAGENARSLNLHEPVNGKKLRVFYMEGITGSPIIQPLEDEMRFALKKAVNFLERKYDIVAQRIELPSAKHVMEYFTLSMHEDTTDPAFNKLMLCTNGTKGEVNCYTELFKYFTGNSIHTLSGIIAGIIDSRDPPFSANHTKDLLYKRDRLKRQVKELLGNDGILLFPSWPCTAMFHNEPILAPFNFCYTALWNVLSVPVVQCPLGLDSYGLPLGVQVIGNQYTDRNLIAIAQVLEEGFNGWTPAGPL >CRE26335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:70025:72960:-1 gene:WBGene00054476 transcript:CRE26335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26335 MIPWLLLYLFGAVSSEDLHKLLSTLPQYSDSRLPPILRPLSYDLQLQLPLSEVSDPDVPLFTGRCVMQYEINQQIGPESAFISDSTSNRAKIKVTFLPSIISNSVYFQFDIIMLDHFENVSLVYNGNELEIFNVQLTDDSMELSVDQPVLFPGRYTLTIHRYKGLIGSAIYYRYHILFESTPSDHPIFRDAGEHAVFGSHLFPNRAPAVFPTYLGSTEKVTFSITMVHPIGTIALTSGASESYPRKMDDNWQMTTFSTTPSITPSMLCFLLLPHEYTHIESSYTGINISVHYNKFRVQKEQARHLLHTATQVLALLKDIFSSLVPVPKIDIVTMNEVSSTACFGAIVVSEVHFFSADYANQVKMLATWLAKQWIGGYAAISEGTELCLQEDLVSFIADKVIKRMTNDEFTRLGQLAKIYLSESVFLPGETLKLDEYPNELEITEKCGLKGVAMLESVESLIGEKAMISKINEMIYNSKKGAYSSETLYGLLNGTVDSDIYVSQLLHYWREHGGLPYMTIDRLGNAIKITQNGSNMTVKNGVGTWERMPLWPLPLKFTEFKLPIQIMISHGIQLSPVREGMIFSNLGFPNYYRVNYDIDTWREIKTILTENATSYTLRERFQLVSDFCYFYSIKSLPEPAASVLRNEFVQLVRLRPTSFPICDAAIFQCVVTHEHTRPRHLDKSQMIQLRRKVFDSFTNSSEMECRSGLAHDALNDLCTKLYGISCL >CRE26334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:66144:69429:-1 gene:WBGene00054477 transcript:CRE26334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26334 MTDRGDRKHINSTIANLAKRRHEDNAEMYHEERVGFQASKRALQQDDDEEDLRFDEPPTKKPLIAAEDDLRFGETQTKKPLTAAERMMASMGYKEGEGLGKNKQGIQEPVALSTQRGRTGLGNQAGKAVARDFNEVWDETAEQKTVEEKVRWLANIEEGKRQEICNKLNDDKWMVVRKEKKMIDDEIDFCSKTVLTEMIEAKNVFDLMSDKDLREARTRANPYETIGSAFFQNRAAMKTANMDKIYDWILSRENTENDRFLLKNPVEEGQTAQNIDRDEELFYFADVCAGPGGFSEYMLWRKAFYNSKGFGFTLAGKDDFKLQKFTASSAFFFETFYGTKENGDVMDPENIDSLEDFISRGTDGKGVHLMMADGGFSVEGQENIQEILSKRLYLCQLLVSLCIVREGGNFFCKLFDIFTPFSIGLIYLMRVCYDSISLHKPHTSRPANSERYITCKGLRREYAEVVKNYLKRVNRKMDELKNKGSKDDVMELMPLDVIKSDEIFMDEIIEHNEHLANRQTMYLRKYQSFAKNQGQFDKDQGNLREECLRYWQVPNRQRPRGGDRGNRNANTERLSPHAVFGKYSPKICSDTDFGNQFPEFQIKLLQSPIPSNISYEEYRFVSLGSTSNPQLLISTGDSVFFFRNGHFEQITNNYARIPENTVLLIDWAKEVKKDGNKIQISRDPEVIRIIDAAVLYGDNIADLPYETRMKTAQKFVKALKLVRNWKFRTKRTVQQGWGNRAQQITPHLITCAQTYSLNELDAFRSNLEETKHERELTILNKEGNFTFFNKGLRFTRIIKQEWQMGWSKSKQVPYVHSPEHQKMGSILVEQWAEKAIYSSFWDCVILTKRDRMKMDEMVRHNNFAVQSSIWSWKACYRTPYGPDKILNHPEEFEGKATLASVKSQIEKTDASVQRVRD >CRE26333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:65711:66031:-1 gene:WBGene00054478 transcript:CRE26333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26333 MGGWKLETGRFLMLITFPVGAFWLFNQPTIFKEFMRGYRIPDSSAGDKAMAEFKEQLLANKRKEEYENFLREQMAFEEAKKIRAANRI >CRE26332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:63745:65492:-1 gene:WBGene00054479 transcript:CRE26332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asc-1 description:CRE-ASC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRG9] MGKKQQSGKGGVTGRQNVLAAKAEISLADTLRKGRHECLCQARTHKLVLNCLGCGRIVCEQEGSGPCFSCGTLVCTREEREILNRGSNKSRELLAKLTADGGNVGSLGQISSSYQNATEFRNKLLEADADTERRTKVNDLQSDYSTIENSPFLSAADREALKLRREELRALREKERKKFVVSLDFDGGVVQEMTRRNGVEELQDKNDPVIQIIMERIDEKHRIQEALHNNAADARWNPIGFVPRYLADESQQSQQQECSDGDIIDADSLMIVSDELAAQITEEKGYVLSLTQPSATFIVHGLCRFLRWPTDLNLKGPLFITAKPGSTNEKGIREFAKKFVRDVNKISELDFADGAVLGRAFLQECMMLDDFFDKYGSKSEIPGSGQFVLCFTAFEPLVSSIPHISTAESDIYEMDRQLKRSLTKW >CRE26060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:62038:63709:1 gene:WBGene00054480 transcript:CRE26060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26060 MTAYVGRAWSMLRFYTDCAYAGVAYLVNSKAHRAMPNLTHVEPIEKLSDAVTRILGHNPGPFTLQGTNTYLLGTGTNKILIDTGEPNISEYISALKSVLSSTNSQIAYIVITHWHGDHVGGIDNITEEILDKKKIPIYKMQRDKDEGVERFTYVNDGHEVRVDGATLKFIATPGHTADHFALWLEEEKALFSGDCILGEGTTVFEDLHDYMTSLQKIRGLDATRIYPGHGPVIDKVVEKVDEYIEHRMKREREIVAVLKNHEEITSMDVTNQVYSDSPWAVRLAALNNVKLVLKKLIKDGVVENPYFESFKWVGPSDEKKPEAANL >CRE26059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:57580:61405:1 gene:WBGene00054481 transcript:CRE26059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26059 MTTNEGEPTASQAMEAKEAVRKEQQDLMDELTEFDHITRFFKNKCFAFADEADQTAAISSLINCEDKFVEAYMVTVGKVYQESRKRVYAHYKNVKHMYLFLTAEESLLDSDGHRINSLVAFIGVMYNTLLKLRSDALTLCEAIRQIKTSQSSSFFPLHGSASFQKVWMHIAEIMYQFSAVDQLASLYPQLGNKFSEFQTKIKKLFDSDFTPEEKKLYEEGAKAQEDAQSMILKGNCFRTLYESAGGDMDNSINFANEMKEAILEMLNNWEKGYSELPIFISELSYFYFGNTRFISDQGKSDSRFFCGISAITVYYYHHFSNYVDQSLIRKVVQASKKVVYYRMLGEELFIPMEFLRREISNPKIFDSKNEKYVKQLVEEARDLGKDNEDGMLKEIKDYCGYALIWMAEFKQKKREQMESINKLPQWGLDVAELFLKCIRIMETITRNIYLLIRSKREQDFHRIALEPKCSWAILTAIETVKKLEGFVLDNWNTVEEGAFLARQQWRKHMLRILGDARKSYTSSKSKNISTIESTTKRSFYHIAEAQCVNEMIASRNVVLSLAYEIGKLETHVSNTDRKQVHDLMSRLETFNSPRHLLEKASYTGLLLSHSWLPLMYFDTLIHRKPDLEGIEAFCCALGGFIEGAKLTGQTVSLLTKLRETVMTTIITKMAAKIDLDLRILGNSHLAIGTIEQSDVPDEEMAYIGYLIKTVKRFQVGTTIVPITESLRIWLENHWYSMGILAPKDAQVYSKMQQIAKFKYGLVLLDADLRQTGVDESLILLDLLQNFPRFVTNYGFFSQGYMFMEKASDSKRLHCIRMVDLKNALLQHGVGILPTAVNAAYQLIRNKIQTFLSFLAEDTVRYQIQKHLHEMEANKSSSDGRRRAHYKVSWAASVLKNLAKQNLTLGGVVSLGPSGTENGTEQTPSEVVFTYFDKFRLIITQIGNAISLVRMLCQAARENQYTREDLFPAIKKHMEDLESISRGLIHTGRRAAPINITRLHATYRLGLVKEMFERVSEHRNYTKMMCVEFKTLLNKSKLPEDRIDVLDYFHGVIPALTLSHVNYMMTHENRVKKTFALKGHKDLLVADDGFAAGIAFLLHVLDGWDAYFKLNWFDSYTL >CRE26058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:52699:56631:1 gene:WBGene00054482 transcript:CRE26058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26058 MLPSLLTLPLLFHTVIATSCRSNGDCASGSKCFFEDEEPSRNGSCRMIKDLRYNYFNAMFSDLISNSVGKIPADLFYPQSNKSCETSRNCEYSEVCMISAKNASSNQEIQKHCYKSESANFLISFHIFSESPYWTTVPMWITDSIEPCNSNSQCSNNFCVKMGGKITKFESKTVWNTEKVIIWSPTIFENSKKTMKNATIFLDETDKKNREWKLEVDGSEIFQKNMSGCFFSFQKPGDSPSTPIRIRSARLNCLEELDEAIHADIEIFGETLATNTESMEFEGLCGHPGLNCTNCLVDNQLFSCKEDRDCYDVGSRDWIIRNEKPSCSSYVYNGQRLCKREKFTCPPDSLNSDGAIPPSGKLCEEDEDCKNMTRRELCVRKDILPYALPVESNGESEACFKDEDCVMFPGSRGSCKIARLLTTKLSLREQFYINDSAKAYKYEYGMCCYNERLLCSPGTTPFEVELADNACSEHMDCNPYLKPFQWNSYCIENKTCCRGKTSEYMCPDGFTPYLNEPKCDGYNRIIEYSGNCPSENGMCYKGHCCPRLIVDNQNVATPWHSNYETNASCDPTEPLDAVYQWAYCDEETEKIVVLGNRSWGGGEEVEWEMRRCSVNSDCGNPKYLCVHMSYGLRYCVLSHKFKPENSQLDSWTLTIGIISLFIGIIIVIIWTPTSVFQ >CRE26331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:49617:51467:-1 gene:WBGene00054483 transcript:CRE26331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rol-1 description:CRE-ROL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRG5] MEVDSNTKAYRIIGYVTVTVSTLAIIALCVTLPIVHGYIKEMQHSMKVEMNQCQNSAKTLWTDVYMLRASFSRGFNRTARQAGYEYSADETTPFAPPKFHYPVVGENKEEANPYDAPAPPQTEAPTTTTTTTTTTTTTTTTTTTEAPTTTTTRRTTTTGAPAYPDAPAPEAETTTQGYDEVVTHSPSTDRPSESPYTPESPAPSRAPTSTLEYLKSTVPPEEPYGTESSASTTTSSPSIGVHENHDETESTSTCENCCLPGPPGPPGAPGRPGPSGKGGANGLPGNPGKPTKRPCNPVTKPPCAPCPQGPLGPAGPAGPQGNRGRPGTFGEKGPQGPPGQPGQKGRRGEKGKDGAPGAPGAPGEDVKEVPAIPGPQGPPGPRGRQGPRGAPGIPGKDGLGGDQGAPGEPGIDGEPGLDGVPGNPGRDGVNGHGGEKGVCPKYCSADGGVFYEDGTRR >CRE26057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:46777:49339:1 gene:WBGene00054484 transcript:CRE26057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26057 MSDFMSNTNALNLLHNAVKSYEKDAATFYMANEKATSKFTGRFDFKHFAKKIFDPIETEILSNLYVEMLEESHAYMNAHQKTRVGAQGFQHSFVTVPELLLNMILAYQLPHQKFVPFDKEKDKQKEEEQGIEGNQEEKVEKDKTETSGGGESSGGSRKKRRNSQAAKRGKNVTISPRVTLTTELSAKLLDQMLEIPLSSEVCKIFLHIELIKYFQSKLWKTAKYVLCPSNCPKQLALFGEIIAKSTKSGLISFNALNFFMHDPVINRQLEDPITILHALYIGPLNSQTVEVFAANRSDQFRQRCREVLRTAVHASKDPLTFKLSKPDFIAKTHSDRVKYRDFVDTVEQLVRDLNGEYQGSTGIHTGWACAAIKKFGQKRYVDKTWKDENYYDLIWTVLAQRPHLKKFVVDVLEKNFKDFNAARFWRRMQPYQMNATQIFNQNVSTEDPLKMSDEFLNFPPQMKHIIIVSTEKEMRDLQMLLEYKVSREEIVYVGVDAEWSAYVSPSRATILQMALYDCTYIIDLESAAISPDTYNLVLSYLFYTPEIVKIGFQFNEDLHQLRAAFRNCKELYKPNNVVCVGKLIMDLMDEVGKLEFGEDFKRDWLPFMIEDPSLTSGKDIGNTSLDESGKQANTSSIDLNESVSNESVEGGPSEPKPVKEDGKQQFLNKGLSYICEKLLGRPLDKTEQCSVWDRRPLRHLQLRYAAMDAYCMLMLYDKCKDVFAKLGYDVREFLAKQTPIRISLPLLSEEQL >CRE06725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1643867:1645394:-1 gene:WBGene00054485 transcript:CRE06725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06725 MEQEVSSTLDSNSNRINIFDVFDNSPPSSTSSDSEPIIINDDDSPSPKKRAELYQWLNTSYYGSIIRKVSGQTPYQPDYVRIEDNLTVPIFENEEMDEYGDGIETNSLLKEHKIQIEPQPSSTAHESRSTESYALCKSCTSRYTRHRTTRHARIIWLVLTTIALSTSFFPYGLLSVVLLLFIPPQIRRPICKKCRTSFWGF >CRE06485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1637346:1643712:1 gene:WBGene00054486 transcript:CRE06485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06485 MGKIANETTIASDQIANETNIANDPVIANETNIASDQIANDSKANEYLPGELGYVSTDFHKNPYLKCSRLADKDVWMWNQDWSVNSKSECHEWLIKLFKARKFVNFGLEDVDSEHIALKSQLIPAIFGFVFGPFPLFKTRTKGWGFLVPNWKAVEKILENPEVNSIQLRVDAKDDAVRKEFPLRNFYETVQNNVYMFGELPISPDKKGFEMDEGGLVRFYQLDHPTGEGNVGDPLTKHFAKDLKEQVLRPTRHEDLFSVVLDSIQTTQFWTSYSDRYRSEVAIWYPEKKWKAGENEMMEGAIAAAVVPAGTVSRRSVHKLWVTLTNQSTGHVIGTGIKAMVQAPEGFRLVGADVDSQEQWLAALYGDASAEKRLHMARTPVRQSSRNSKQTTRYDPSSPPKMKNKNETRKRKPEAVEEEVEEEEEEEEEEQESQSEPPEDEDEEGHQEPEDASDDDVPPRPARNKKSKRSKTSKKPSKNSNKPSKKDALVFNMTQKVAGFQKTDFHKLRQVVLSFGGEIASRFDIIGIGDQWEEYSSTIKHCCKLFGASNTIGSQTWISMNNYFGKKVDFDSNGNLQVLLTAGSDSGKLSCSPSVYHCMVDPKLKDSVLAIENPSDIPVRDEHRHLNSSQFQFQKAGKWSSLIFQWMNWSSGVQVPEALRKYKVDKKNFDYMFVSKVFLPLWRAIKHGSRCADCFYAESLHPSIDGVMQGLTRVIPIQVDLDYAQMRIVTSLDGKKKITTEGFATSDDAPPPDPDHNMLSQIVDRLEDNKHKSGYPFGLFNPRMVISKDPEAKKPHPCNLEAAFSFKNPKWRCLDDEKFDINFIKKALEEEQDFNDGSSTSDVPPPLDDSHDPRDHHTQRRVHPIDSDEDSDRSMGGRRILRGKVDRRDELEFDAPGIDEYEEFQSPRRSQSPDSGNNENQESPAPPARRASPEARNSYPSLNRARPSLNRARPTHILNETKTLGHICVKICRIFALELRVPGKTAFSNMMLAGSKSDNTDLHSVVANQLKISRNHAKVLNYARLYGSGETHAGKHLMRIGGMKQIDAEATAAQLFKLTKGETAKYMKVDLKMNCFVDKYIEETAKDPDSSKILTIDGVYYMPSYSSQFSSETVEFENWFLSKYSSLFNLNESHQDNLIYSIYENAEDQRKLFVGGYESSTFNFLETSAAAHDLRTPILGCQIADSLGKLPEGTPDSAYFDRRYKRSVMNWIVQSSAVDFLHLLLISMQWLCDIYKIDARFVISIHDEVRYMCKEKDAPRLALALQLSNLLVRAFISQRVGICQLPNTVAFFSQVDCDTVLRKEVDTESINPDGTKIENGVAWTIDDLLKLTGGKLD >CRE26056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:22120:23871:1 gene:WBGene00054487 transcript:CRE26056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26056 MRSLTRILSYQLQFATSSRRSNFERKTIETVCPRIHKYLFPHVSVPSTSSATEYSGALPSLVAENVVDHFRVLAEKQTSNYRKLLEEACRFDLNRANEVLENINKNELWQYKTGWTMYPFDNPQNSKPIDSPKDSILFFDIELVVLDGTLPTLAIALGENAWYGWCSDRLINETDYPDVPTSEHLIPIGDIGKEKIVIGHNVGFDRARCLEAYQKENGSKIRFMDTMSMSIPMFGMADHQQALFGMYDTDNNEANSDWVNTWKDRVSKNSLVAVHEHFYPFRKLQKHQDGDESKEKQRSAFVKEPIENIRLKFQKLMTYCARDNILCAEIYFGLWPEFVKRFPHPATLSGMLNMGNVYLPINSYWKMFYEKNVQICAEKKTEATRKIVEAARLMASGEENEEGELANTHLLWNHLLFDHLLYLSHLLSLDRLLYLSHLLFDHLL >CRE26055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:18638:21930:1 gene:WBGene00054488 transcript:CRE26055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26055 MDSFILVQAKGRTLKVRARRNGTITEKALRSAFLLKKEIPIGLSRNSIALECRSERDVLVFKLENQWEGAEFEMSWEEERQSRPITPLHLGILYFDKLLLTICISDNSAGYRSNNVRPESPYVLDEQLADDLRSRLFFIPRSQVALEKPGKSSIPRDGCINGGCVSPISSRAAVTYRHKSHLILREYEEGKDDDFNKNSIVEICNALNHSIRHKMMVIVVDNHHDFVILRSKDGNEIFKDFPHSTRSPKNFEWFLGYGLSHETDGGQHITHRSGRICSDSVDHRGRFLASSSIDGGDSGGPCYSSDRALIGIMVASTTTDPRLMDKDNREELEEEIIDASSSPADTWIAPGHLISEAYKIYQVNHGLLQVPRERTVGEPKPKTSKNSEEKCAQQASSSKEITHVDTSNLRQAAQRKPNSFLVYTGGDSVLYSDIRSRLTNLMPPDEITVFNVSIEALKKQRLAENSTICLLLASMKDLDGDAWENIYSYFNQKGRIIFVCQNKLHASICKAHASILRFAFGNQSNELKETNKELVKFLEKNMKKLPKSSAINETFRSKDVSVGANFTVVLKKEPDAPLFLYMQSNGSLHASVMFSDATTQQLIAPNSNLLRDSLRSVGVNVCDTTNCSRECSS >CRE26054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:15869:16512:1 gene:WBGene00054489 transcript:CRE26054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26054 MAKTTGILDKSLSRGKGEINLSTFAVLFSEMVLYAQNRSETVTDIHDKISSYGKQVGLRMFDIIVLREKGYKRETKLLGMLMFIKSTVWKNLFGKEADKLERSNDDHCTYLLIEKDPLVNTYISMPRDKGVLNCAAFAAGIVEAILESASFKCKVTAHWHNGTAYVIQFDESVIARENALLDSNR >CRE26330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:12355:15029:-1 gene:WBGene00054490 transcript:CRE26330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26330 MRTADIPDEESEEKKNIAKFYNLMLRPNIGELLGSFFFSFLACFAGQYQRSNDLVYPFLSGFSLYISRSLVGHLTPAHLNPAVTFLHWLRNEIPLVLAVSFCFVQLIGFLFGVSLFRSLVTQTEFNDYIVMYEIVAVEGIRKINRLQAFLLEVVLSIIFFTSHSVEDWIIVWLVRPPNPSEFIRCVECCCSIKRLMSVTHVLFALVLHPRMDLGIDIMVQQRRWQDWMAISGTYRSRKQLLKVDL >CRE26052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:5212:7028:1 gene:WBGene00054491 transcript:CRE26052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26052 MTEESRKGHGDSQESNDVKEDENEATSTRLIDRFARNSRSRGGQRDFIEEWSHRNLLDGRKDHSKIELYDDIFSQGRTSEGRIEGPKSRMSQDSILENEVVTDEDIESIVYDETTLLETEPEITSESLIPIGESDVRASRREDDQSLNNSKDRNEMMAEAQKQEDVKEAVNAFMKSIDDRIESSNSKSSKKLKEAKKKLEEAVDQYKAGESSEAEAKFLRNIIRYQYAAQQVLTETIDEELEDQSKLIDEVVATSEELAKKNEELTQKLKEMRAGEQEKDHPACFFCESTKHSAVVCYQFRDYEERTNMLIAEDKCLLCFQKGHKKTECADLARYESCKKCKQEKHHVSICPKYATKERTEMKQARGPSQKKEDEQGDMSGRHKEEWKELDGPKQSSSEAKKQRQPILPP >CRE28847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:166818:167030:1 gene:WBGene00054492 transcript:CRE28847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28847 MHHVEDWIIVWLVRPPNPSEFIRCVECCSSIKRLMSVTHLLFALVLHPRMDLGIDIMVQQRRWQDWVRRE >CRE26051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1177:2873:1 gene:WBGene00054493 transcript:CRE26051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26051 MIGSESEDVDDGGHSLSELLHSCTHLINNCYIFFLFRHDNFNLEPILYCFTNLQSIEITERETERDKARKELEEALRRRSLSKWNVASEELKKRREAIHHGFTETETLANRTRQVSDRDIMRKSLAEWKAALDKIDAQIKSVKSDYDHASDLIKNGVKTLSQTLDIKIPAADTLPNLVKPPPVQVVAPSPSVIPAPIMPPTPAAGVIGQPRTPIGASRQGPSAAVDSANAQATPPRSHVQRVPSPVGLKASRTEENPSSVWSWNTIGTIGNLGELREPSRSETNFNSSMDSLQRDIWAANGNTNGSLGHDFLMRPPSSSLSNGMASGGGPPTAPFLRSHSSQPQPSVGMNQASYQQSQLQQHQHHQNSQRMQQQQQQPRGYGDMWNAQSMQQNSGSQDYGNQARMMANHNGGGDMSNNQGYSPWHNPPSQQQLRGPTPQQQSAGGHHNMFQTHFFDNGM >CRE06484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1609793:1614552:1 gene:WBGene00054495 transcript:CRE06484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06484 MRSLTRILSYQRQFSTSSRRSNFERKTIETVCPRIHKYLFPHVSVPSTSSATEYSGALPALVAENVVDHFRVLAEKQTSNYRKLLEDACRFDLNRANEILENINKNELWQYKTGWTMYPFDNPQNSKPIDSPKDSILFFDIELVVLDGTLPTLAIALGENAWYGWCSDRLINETDYPDVPTSEHLIPIGDIGKEKIVIGHNVGFDRARCLEAYQKENGSKIRFMDTMSMSIPMFGMADHQQALFGMYDTDNNEANSDWVNTWKDRVSKNSLVAVHEHFYPFRKLQKHRDGDESKEKQRSAFVKEPIENIRLKFQKLMTYCARDNILCAEIYFGLWPEFVKRFPHPATLSGMLNMGNVYLPINSYWKMFYEKNVQICAEKKTEATRKIVEAARLMASGEESEEGEVGLADKDVWMWNQDWSVNSKSECREWLIKLFKARKFVNLGLEEVDSEHIALKSQLIPAIFGFVFGPFPLFKTRTKGWGFLVPNWKAVEKILENPEVNSIQLRVDAKEDAVRKQFPLRNFYETVQNNVYMFGELPISQDRKGFEMDEGGLVRFYQLDHPTGEGNVGDPLTKHFAKDLKEQVLRPSRHEDLFSVVLDSIQTTQFWTSYSDRYRSEVAIWYPEKKWKVGENEMMEGAIAAAVVPAGTVSRRSVHKLWVTLTNQSTGHVIGTGIKAMVQAPEGFRLVGADVDSQEQWLAALYGDASAEKRLPLELRVPGKTAFSNMMLAGSKSDNTDLHSVVANQLKISRNHAKVLNYARLYGSGETHAGKHLMRIGGMKQIDAEATAAQLFKLTKGETAKYMKVDLKMNCFVDKYIEETAKDPDSSKILTIDGVYYMPSYSSQFSSETVEFENWFLSKYSSLFNLNESHQDNLIYSIYENAEDQRKLFVGGYESSTFNFLETSAAAHDLRTPILGCQIADSLGKLPEGTPDSAYFDRKYKRSVMNWVSRKIKISYNKFSKIIQIVQSSAVDFLHLLLISMQWLCDIYKIDARFVISIHDEVRYMCKEKDAPRLALALQLSNLLVRAFISQRVGICQLPNTVAFFSQVDCDTVLRKEVDTESINPDGTKIENGVAWTIDDLLKLTGGQLD >CRE06483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1608936:1609580:1 gene:WBGene00054496 transcript:CRE06483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06483 MAKTTGILDKSLSRGKGEINLSTFAVLFSEMVLYAQNRSETVTDIHDKIASYGKQVGLRMFDIIVLREKGYKRETKLLGMLMFIKSTVWKNLFGKEADKLERSNDDHCTYLLIEKDPLVNTYISVPRDKGVLNCAAFAAGIVEAILESASFKCKVTAHWHNGTAYVIQFDESVIARENALLDSNR >CRE06724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1607274:1608534:-1 gene:WBGene00054497 transcript:CRE06724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-12 description:CRE-AQP-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M1D8] MRTADIPDEESEEKKNIAKFYNLMLRPNIGELLGSFFFSFLACFAGQYQRSNDLVYPFLSGFSLYISRSLVGHLTPAHLNPAVTFLHWLRNEIPLVLAVSFCFVQLIGFLFGVSLFRSLVTQTEFNDYIVMYEIVAVEGIRKINRLQAFLLEVVLSIIFFTSHSLEDRQESTVAAAWGFIQFVSYPLYGFTSNVTLLLVTSTVSYVFSPLTTPSFLLLYLNVFASLIAVLLTWCLDL >CRE06482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1601139:1606551:1 gene:WBGene00054498 transcript:CRE06482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-87 description:CRE-PQN-87 protein [Source:UniProtKB/TrEMBL;Acc:E3M1D7] MEGQCEGTQKKKARTRIGCDVGCQTEQTSSASISYVQQHNQSSQPSSNATSQQTAGGGSSNCPVDTTSSSASASGASTPANQAPPSSPAPSSNQTSQTPIDKKLAGPAGVKPNEIEAYFGEKQPVTGTATNTQAPPGQTLSASQQSKSRAASTTGVVGEVKKQNGNANASSSNAATNGNAKADGPSWSDDNCKLRLVINRFSQLEETMCSPPKSIEGVSWKIMVMPKQHMVQKKQQKCMGFFLQCAPERAYSEYVESESNKKRFLIFSQWSVHAIADMRMISYKPNVPHFARRTTHTYTSKENDWGYSCFMTWADIIDEGQGYIRDDTVVLEIAVKAEAPKNMMTHEDFLDKIEKWIVLADMQMKKGNIDLALEANQSAMKFCKGKDDECYQRLETQRETFVNAKLFESIERIEKGPVVCTDTTHGKPTSLRQALTGAQKSLNGKMTAKGGKKTRAVVTVQHMKKKKPYDQNNPNQRTNTGPTVKDLVEKRKSSKSDDKKDSMKTKEELDADEQTESDESPDEKAINSDEEEEIEFDDEEYNEGDYCSQADECSTLELFENLQDIVEEENEDQQWENDDDDDKDNADQVMKSLKLVEKDQCLLIFQGQNEDGILVCDRMVQTDFEEVLKNSVEDIEDRDMVINEERLLAETVLCKFQDQDVGKEEVETKKYYDQTGVNAKPEDTVETVFGGDHAGGADAFSNDVLDSLPTAIPVPTPEEEPSQLQYTEKFLKSWLDLEGALSGNVDPEGVFARLSEEDRQDLLDELNFKINPLIVALDHEFRETVSCANNCLESARGALYHSQKVIGDCDKMKETFEERLTSGFSGVYKEQQKRPVETTTKKTTSAAATSGSSAKSMTNGRPTVIAKRSMKQVVLDPDSEEARNRAAEYLRTVENVNNVVQGDEHTQRMRDALEGFKDLHRKLQPMMATITSNNERFHRIMQVICSDNGVVADYVKKLMATHNNNQADMSNELKQAREAIATAYDERKANEKKFQDNITRTAEEIEKSARASKEIKELQNKLKKSESKEKKEETRANLLQISLNELEEKSKVMRKELETLKKKSTEERAKAKKEKDRDSQTIRQQSIEITERETERDKARKELEEALRQKEKFEKDKKAVAGQLVSMTERARTAEIAVMESKWNVASEELKKRREAIHHGFTETEILANRTRQVSDRDIMRKSLGEWKAALDKIDAQIKSVKSDYDHASDLIKNGVKTLSQTLDIKIPAADTLPNLVKPPPVQVVAPSPSVIPAPIMPPTPAAGVIGQPRTPIGASRQGPSAAVDSANAQATPPRSHVQRVPSPVGLKASRTEENPSSVWSWNTIGTIGNLGELREPSRSETNFNSSMDSLQRDIWAANGNTNGSLGHDFLMRPPSSSLSNGMASGGGPPTAPFLRSQSSQPQPSVGMNQASYQQSQLQQHQHHQNSQRMQQQQQQPRGYGDMWNAQSMQQNSGSQDYGNQARMMANHNGGGDMSNNQGYSPWHNPPSQQQLRGPTPQQQSAGGHHNMFQTHFFDNGM >CRE06723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1596879:1598653:-1 gene:WBGene00054499 transcript:CRE06723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsr-2 description:CRE-RSR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M1D6] MYNGIGLQTARGSGTNGYVQSNLSHLMQARRKIEYNGEDDLRKMEAELNKKPNEEIMDHNRKRQVEVKCTEFEMLLEDKGFDDEDIEKRVNEYRQNLLKQLESGELNVDKELNTTESHARRRAAAQNRDKMRSALGLGEDYVPGSSMAKMNKSDIVGAALESELPQQNDKQQLIESLRKHGAEKKKAKKSKKKNSSSSSSSDSDSEDSSSSSSSSEDEKEKRRRERKKKEKKLKRKEMEERREKLRQKEKELLAASEIKKEEPYSSGDEERDRKQRETKKRRDKTPERNDDRRRDRSRENRREVHRDERRRRSPEERQERRKSPDREDRGDRRRSPEERQERKRSPDREDRGDRRRSVDRENRRERRKSSERNDRRRSPERRRQRSRSAEKLREDRRERSRSAERRRRHDSSDEESRSTAKKARMVRERYPKWTDEIEVKQEILSDSETAPVRVDKEDDRKSEKSKRKQQSSSSSSSSDSDSGSGSSSSSSSGSSSDSD >CRE06722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1593209:1596751:-1 gene:WBGene00054501 transcript:CRE06722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sinh-1 description:CRE-SINH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M1D5] MGHVAKEELLNVIRHEMRLEDDDGPGLCSRLLLSPTRRVRSGGLPLDFRQKGHEYHGGDGGYDDIYEIPLYEEPIHTRTLINDSLALRTAEKIKEEGRKDNIYSGPLDELFVKQETIWPLPERDPAEKSIVEKYLEENSESLNNPLGEYLKFAATGTDPSREIEIIIPKPPNSEESFKSLKIEVLTTARMREVIGYCMLQYFLKFDENLPGDVDDYQFYLAEEDGEIEYELPALDSSKLVGQCGFTCLGLVSQIKKNGNKRQKKAVVVWFVDKDQYVIEVDNMEKPLKWLRDEAFRLREETVKDKESVEGLLEIKEYFLEGVDTFDIKLDLEASIASARTLEFVMVQKNSSRAGFHPRGGRYGRQMSAMLTLKMPNSPIAAGPSTPLPTVMEQNEERGPPSGSTLGISRDESSGALVSSPSVTWNDGGGQLSSFIVQRVHRFKPKWKARLIIRWTCFEIDKYQVDKSAFLPQGYQKQTKVPWEYVGGVRVHLKDAKPGRVDTWLITFYWLPVLSEETIQKVVGDDEWNLRHVAKLYENEEKWRSVSVETVFKPEVDEIFSQMNSILQARDASIYKAFSHSSFGSLSPAASADLALMESAEGGAILSPTDTPSNSSNTKNGGKIRKMSKIFMNSVMGRRSSTSE >CRE06721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1590747:1592295:-1 gene:WBGene00054502 transcript:CRE06721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06721 MRSLLLLALVSATAYASFDKIKDSIQNPLARGFGDDIAWVKWEDAIETALDTNKPIFLLIHKSWCHACKALKKTFQQSNAKKAFKKLSEHFVMVNTEDDDEPFEEEYRPDGKYIPRLLFLDKNGDLLQEFKNKKAEYKNYAYYYSSPADILNSMKDVLKHFGVDIPEIKRGDKLKPKKPEGKKKEL >CRE06719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1583972:1585280:-1 gene:WBGene00054503 transcript:CRE06719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06719 MSMKIEKPVKSIKSTSSIVSSLVSSDSSQICPKSHPIIAHDGRSLVLCKDCVQGVCAKFRTSNVEVCCQNSDDICGPGSQVLTDGMVPRDCDKKACGKGYECSLTPSGLRVCCSLARCPSGVLARSVCAAGCLRNEKCTEIQNEMWCCPSEENSFSIRREYICREGGKGTGEKCDPAFPACSQGALCELNLENSAHICCKRYRSKLGNRKTLLPPPFLFTSTTQMPTTTTTTQEPFPFEVV >CRE06481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1587569:1588180:1 gene:WBGene00054504 transcript:CRE06481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06481 MTQRRVVHKKKSSRAPFSKEHLTGCRAIGIPGCRDDVKLRQPLLTKNKKVVGKFKDELNALIMEKLVALKPKQYGYKIAQETRENFIEYYNRKHQEEMRNPKLPRTQKPGDESKKSKGIKKNVVKNELTVDDFKSFLFGKTIVRKMQYCIRSVKHQIFTQCQNKVVLNNESGDHKRYILKDSHSTMAFGNCHIKSGVLSFLES >CRE06478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1561837:1566325:1 gene:WBGene00054505 transcript:CRE06478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06478 MTRQRRAKERVIPPSQLAWVCKPDDEWNSIAPTKEDIARAHFENRQLKRDFIPSVVSLDYRYIPEWPSCLEEVEEEYKLPNIDPCLPFQGRACGDATLLESGTTRGIDHAQFDALFYDLTMKAKHIFTPAWLKTAVMYPLGPEQIRKIKKNNYFKDIRYRLLVNNNNSTAILAVENIKNEEFTISIIENRLGEPSHKTGNIVIAAPNSGYAMHMRYGELPFHVLEANETPFALVFDEHYNPRGVFSPEVFYERSIECHVRSSRVLSDPEKAWLNTRCGRNDLRHRRIRLLEFNSPIERNVAVSNGLFEYLNVLFCDAMFSCTQPTDQIHLYITYEIEPKYLECVAVTVAHENDKQFVESSFNSHGLTVNYYNDNCRKEPSLKYDPSVKTMVLHNFPRHLRTFESRKWLIKRIASRNFFDLESIEPFTERDSDSNRYCSSIKNQEFKLLIEWQLYKSGYFNNIYRPIDAPWTDHMQGGLGARNLLRVVKERADIPWVVRRKNVGPNQPKEKFTIHFKNIDFGIRYIEPLLREYHKWPLCRNNSGDCYGPTFTPCFRRSFTISRAVRIAIRTPLYALDSRLRKTFSRLSKNEDVMMKNEESTYFGVRLHEEWRDGNDFGIIGVQGWSPQGIRHFGGRLLKLLTPKTFETTNHPELTFGIGEEYVRSLNQKYEGEVVVDIDKYRQTINLIGGRVTEAMNDLKTYSKNKGSIIMSVRIPLHFPFIDGRILEVLENVSIEQLAFILGVNRLEYNHQDKVILFEGSIEAHEKLVKGLEDISQEIYKREVRNREAPGKYHHCRNIYCVNLHNKISAIANQTCPTCMTEIGQSDFYRFHCGHNVCRLCVNVMIKNQIEAAELKFTCLEDGCEEFISPNEIMDIVLGDSRRIRDFDTMKLEELVMKMKDAVINNSHLSLKPCPTPDCLGIISKSNEATEEAKECNNCGHKYCRKCLLDIHSDRTCEEHARLQIPDASIQKYKEDSGSKNCPNCNHLVNKTDGCNHVQCQCKTHFCWVCLFQASESGPIYAHMQEEHGGHGGNYEIPEFEDGNIDVQRAIAENLRLQDRFPDDDWDTDETDDDFDQEEEDRLNREFLNQRGINPHLPLAEIRRINREHEAAAAMMRNLNLAEQHQVVPVPEVFEPAPTQAPEVLEEQRALQVPQVFMAHQETLGNDQIHQWPRAGFATAPQTAPVYQFTTEAERLEAERETRRALNIPEIPSGAARPARYLDEFPALLLEIWPEYYESADRRAVLLAWINESTNQDELDHRVSELFRYAQDGEDLDA >CRE06477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1558621:1559035:1 gene:WBGene00054506 transcript:CRE06477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06477 MTSRLAPVARRLFSATQISRSLTRAEVPGEQIDAKRARLLYQSKKRGILENDILLGDFAEGNLKKMNETELKAYDKLINGEHMEWDLFYYLSNKKTPPEDVESCQVYQKVKKFVDEKRVPKSS >CRE06717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1557161:1558315:-1 gene:WBGene00054507 transcript:CRE06717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06717 MGWVPDEWSIDHDTLIDAGGYVQKLKLYPYFDAAHYVLTCISVRHDLGPEGISFSRKHPFSCWLSCMLMSFAGSFLSCFLLGEPIISPLKQHNDVILGSIVWYLIFYSPFDIVFKLSNWFPIKVILSVLKEIQRTHKIASGVKHAGRIYPESYLVQILVGVAKGAGSGVVKIVEQLARGTWIPTNHEILRPSFTTKACVIASLVFTLERHSMYVTAPHDLVYLCVVGFFIYFKLASICLSVHDVLLPIENVLCAVFMGGIIDAFAKAVDATKQAIHSNRIMSEEEILAKEREKMLKKKKAALQQQMSNGTDKKNN >CRE06716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1555069:1556724:-1 gene:WBGene00054508 transcript:CRE06716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06716 MHLEHDLNAYLKIELDRVVEDDEQQSRSNKKHTELMIQLTDKKASKAAALAKKVLRWPIGQTLPTQITYDSSEEQLIVVGPTSEAVVPFPKSKIDSLTATAIAKLGVTAKAKTFSSFAVNPIDTFDVRTVMKSIQNVIENPEKEKRWKQLTEKLEECSNGEASGALSAIEQTQLMDAIRKYADDVDSDLETLDAMLVAVVTARILSYRNEDVFLRLCVEKQKTNVVEIVLNDSARVVSEFILAELLKIAAGKPEDERREQVCQVLARNFSKQPMTEATAEVLTVSESIDIMTSLTEIYRELSKIDISGKALALITILMDAHGSRIVYEDKLHQKFAAVSNFIIEMQSLVGTFARLEAAIEETSDSLPASNVVIRINPIAHPINW >CRE06476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1551947:1553198:1 gene:WBGene00054509 transcript:CRE06476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06476 MPKGPKLVKKDWEQDKVYLIQFPRTGCIPSPSPYSLKLETWLRMAGIEYENVSNEFKHMSNRGQQPFIELNGEQVSDTSIIIDTLATKVQGRELNELSTKEKSIERAFYALIEHHLNWMGFYSRSQTFKWIGTDAGYGKSFSGIKAFFLKRVVVPRFERKLKAKCAGQWIGTLTNEEKISELKKDINAVCVLLADKPFLFGDEPKTVGYLTREGHGVSFEYWNLIDATLFGHLAQIFYTPQFTGEIKKYIETTTPNLIAYLNRIKERFWPDWDEIGQTLRMDTKWKQ >CRE06475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1550768:1551695:1 gene:WBGene00054510 transcript:CRE06475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06475 MPSTNLIKRDWEKDHVYLIQFPRAGLIPTPSPYAFKVETFLRIADIPYTNIDNEFSKTSARKQIPFIELNGRQHADSSIIIDNLVEHFHKTELESFSAADKAIARAFYALIEHHLCWVSIYSRGQDFNWLATPAGFGRQLTGIKGFAFKNFLVKKFASTVRGRAKAQGMGTFQKEEILDQTKKDLDALSTQLGDKKYLFGNSIKAIDATAFAHLAQLIYTPQFSPEIKTHLEEKTPNLLVYVKHIRDDYWPDWEETTETMNMNTKWKKD >CRE06715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1547412:1549495:-1 gene:WBGene00054511 transcript:CRE06715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06715 MAKIGRYLFVSFPIPAQLTDDDAKRQPQLTLKMIIEVRDDLGSAASIFSRKHPLSCWLSSMLMCFADAFLANFLLGEPVIAPFKRHDDVILATFVWYCVFYAPFDGIYKISKITPVKCVLAVMKEVKRAYKVSHGVSHAAKLYPNSYLVQVLVGTAKGAGSGIVRTLEQLVRGVWLPTHNELLRPSFATKACVVAASVLALEKSGTYLTAPHDLIYLVIVGFFVYFKLSAVILHVTDPFAPIENLFCAVFMGGLWDAVSRALAASRDRRAAGHSNENGSSISTSEKKDQ >CRE06714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1546113:1546948:-1 gene:WBGene00054512 transcript:CRE06714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-parn-2 MSDEQAGNESESSSATSSVSSDTVPPFSEIQIIEVNRDNFSKIWPYMLVCIKSADFIAMDLELSGLGGQGLRSKDVQERYRAIRDAAHTRSILSVGITTMKLIHKSEKRRSLRYETQVFNLLTLSEKPFTIEPSALQFLAKHSFDFNRLIQSGVQFQGVNCPLKTLFRELLGSSSTLCLHNGLIDLAFLYKQMYGVDLPETLDEFVNNLSDLFPDDFLPVADSKYLAEYQTRYTSSYLEYVFRRT >CRE06712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1537891:1538195:-1 gene:WBGene00054513 transcript:CRE06712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06712 MHGSKSEYGFRRPAFSFARPTDFLGTIREETRENARLIDNFDGVPKKTSTMTKSRSVPKKSATFHGLHDLRKCSEFLQKRLGMVL >CRE06711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1513673:1521382:-1 gene:WBGene00054514 transcript:CRE06711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-marc-5 MGERIRLFSTASFVSPATTSTTRCSEPTEGGGASCGGRAAMTVTTSGGGTTVIGLAKSHSLNSFDDSTAPVFVSTTTTRCQSGRKSHHFNHLRNNSGVGTTEENRNYEKKKSRIDAIGSLLSLPSHDEAMSNAGMDAMDLEKGLEKDVSQLGILCHHCSTTDLTCPKTQKELPSPSASSVYSLARSDMSNEPLCRICHCCWPPDSNDPLISPCRCSGSLQYVHVSCLMHWLDISSRKLHRPAICELCLYKYRRRRVLKYREMKLPQCAQADIRFYTLFVIAIVLMILSAFATVVCFQLEKSYGLQGELRNRTLSPMNVEGVASDLTPAAPPPPAQLSQVAPNTDETTTSSVVLGARKRIGDITVSASSKDEYNRRDEAENVLSTVTLIAAMVFFLSFFLAMYAHVKSGLSFCGYLTLCWSSNLEWSIEEYKHSRDTQYLNKLEELRRKINEKQKKAADEVEPLRSSVIVLE >CRE06709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1493991:1501864:-1 gene:WBGene00054515 transcript:CRE06709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ras-1 description:CRE-RAS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M191] MGGRSNNNTAAHQNSILRIVVVGGGGVGKSALTIQFIQRYFVQDYDPTIEDSYTKQCFVDEDLCKLEILDTAGQEEFSTMREQYLRTGSGFLIVFAVTDRNSFEEVKKLHELICRIKDRDDFPIILVGNKADLENERHVARHEAEELAHRLSIPYLECSAKLRRNVDEAFFDIVRLVRKYQHDERMPVHTHDDRKLESPIKLKKKKNCRIQ >CRE06473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1485522:1491840:1 gene:WBGene00054516 transcript:CRE06473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06473 MNSTDAVVKEEIEEQSSDQDPAPVLEREVRPPSHPRPNIQPGKLADAKAYRDNLGNVKFDPMHPDDLLELRLEGVTNPHQKLEIINLHNREMISMRIAEAQHTGIRFNTPNGALRSFVNETDARYLISNIIPKPTQEERPAEDPPNIVVPSIHREPPPPRPRVIPKTTPAPAKPTSMLLTPMIPAPPIRTKSRPVPEVNPVVNANVNKLLMQATVEMQLQEEEKNQPKAPSGSQRRSRKSNHPQKIQNGVEEEEPAPAPAPVARPPVRAPIPEQRVPAVHTPIQTPNRVQMQPSILAPLRTPPGNVSPVSNYSHCSVSVEFGDDQGHGSGGDDDLESSSSESFEDHFEISAEDEERFTISPSADTTVVNKDTKQADEYIDETPVDKPKDGPSSWSNPTAPQKPEEVVSAEKEAQKSMIAPPTSPQSETSSTVSEEIPPVDSPAPSPAPTPAASSSARDSTSRASADVSVKGAESEDNHQNADTSTDMDTSEKMKTPRRRQKTEDTSNRPVKRRLTEADRLMNMDFGPKEGGKLGELDVVWSADKRRRTTQPAPEPPARKVLPRRGAEKKKVIDNVDDEEMEEEEEEREKKEEDKNIPGTSSSTGKVTRKRGRKSLADGNAAKSAKRSGSKNEDREGEKDSPVEKEAAQSAQKSERTEGSVEDGAAPVLTPVQRSPEPKSPPTEQSTPLIQIQLSCRKTLQXXXXPSGPTTSSIQVVKVHHINQPKVVPNLLPVQTIPQVHHGQPTPVARRSNPQPAVMNIPPFQVAPPHNNSPHNGSSVSVQQAIRAQQGIPPRRPPTYPVAQAQRHPIAPYPQSSHHQQPNSAQQQFLQRQQVHPAQQQYQQQQQQQLQQQQQQQQQQLQQHIEQQQHLQQHIQNQQEQQRLEQLRLDHQRRRAPQQQQQRRPNPPRPTHNGIPPHLARPPVIPIPEPARPVIPIEEPVPPVILTEPVRPTEPVLLTEDINRFLLYIPPTCDHNYSNWTPADVSAWMKGLLKLNDADPMLRKVIEEEIGGWALEEFLKDGSFGVTTLGLNAGRTIGIKSGVIKVINNFSKKQYEIDMAEYNQQMRLYEIQQRENRRN >CRE06472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1480352:1481935:1 gene:WBGene00054517 transcript:CRE06472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-52 description:CRE-SRE-52 protein [Source:UniProtKB/TrEMBL;Acc:E3M189] MIFNVNNLEFTIWIPVFILNDESYKSNFYRGLLIIELVLSIIALLFTVVTVYIIVTTKAFHSNLNSLVAFILSSWVLSLIGRSMLTPYLIGMWKAGDVSSDVQFWWTDDVAVMPEIRSIREEWPLFLGGFMTWYYMFILITWFFIMALERTCASRFILDYEQTSRYHIFWLLFVSQHITVWIMLWFVFLNHVNFYLCFLFTVSVNGSAVLVLYLNRRYNMALIKKFERNTKMSIKIYTLPARFQAKENVKAFDLMFRIVVVGFFLIFSGLLCLVFVNYKWLPSCGTLFLFCFENLVHLNPLIVCPVLILSVSQWSNAISHSKIPVLRSMSLRPKTLPGPNISQEQETDFYFTQLNTSWEREIS >CRE06470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1475753:1476620:1 gene:WBGene00054518 transcript:CRE06470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06470 MVNNEKLVYFLKDSWKFFINPIYFILKHACNYTSMFPFFSNVIFWHLMPILVFRLPFIFHEVKVNAQALCLVNAVLMVFIFFETLRVKSDEQFLTDIKFGLIGVVSCLFILLMSVFAIGHVDSTILCYIYHPTISIIFFFMGAFVSYFSDSYIQPPYEKMPYAACNGYVWALGIIHLIVFGVATIITVSMIFCIRNSTYLLQFHWLECLCILNLSFFFSVDLYCACSINSYMIRRHQHYKWERSPEEGIIQHITIRKRNNVAAESIPDPIPFET >CRE06469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1471186:1475134:1 gene:WBGene00054520 transcript:CRE06469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-49 description:CRE-SRE-49 protein [Source:UniProtKB/TrEMBL;Acc:E3M186] MIFLVNGTYEMWVAIPIMNKALYTSWKYPYVMAVDISIFFLTLLLIIRASSIIRASKIFHINLRVLLIFQLCQWFEILVARYFMFPYIIGYRFLGDSRKIYHHFWTENVEEMVPLTDAFGEWPLFLGGFLYTHHFASCIFFLFSVSAERAIASFYLSIGKFLFMLWIQLSHTQLLLLYKFLSGTSYFPHLDSYIRFSSSTRRPMNLICIKPKCSDFFSHTIPIWNAITSQTSYFLSPSEFYTLISSSITGY >CRE06468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1469196:1470646:1 gene:WBGene00054521 transcript:CRE06468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-51 description:CRE-SRE-51 protein [Source:UniProtKB/TrEMBL;Acc:E3M160] METKNRTKKGFLKYFDLSTAEIKQMADVMSQKFHDKNDPKKERNLCTSLIHVSNFFTILPLFLPGLLIWHYVYSMFIGVTCVSIERVLATYYIRDYERTPRTHIGMGLLCSVHCISFPFAYLMVNNRIPFIIADSFCIICVVYVCVIYFILWLVNVKLGIRFSVPGTYRLAQQFQVKENMRHIMLARNIICCATFFVAIACSLLMTIVLDLLPVWLNNPVAHCIENCIFLNPLLICTVAIFSVPSWKKEFIRWLPMVRKLRNEHVLNQNVLNHEDETKEYFQQLKNAWL >CRE06466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1459522:1463181:1 gene:WBGene00054522 transcript:CRE06466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-42 description:CRE-SRE-42 protein [Source:UniProtKB/TrEMBL;Acc:E3M156] MIVSFQNSSGLLWLPVYSINDRVHESSFYLFLAIFQMFIYCCTGYVIVKTCSIFLRIKIFHENINLLMAWFLCQWFEAILAKLAIMPYQTGLIQVGGDPSRAYFCWWTRERSEMLIVKDKNEIWSLYISSCFLWHYIYSAMFAPIIVGVERLCATYYIQDYENIRRRHIPILLILVANIITIPYAYFVINNQIPFLVAYAQCVLNASIVFFGYLISWKINVIWRNRMDAERIEDHSRYSLARKFQVGENIRYLLLARRLVIAVVIYLSISLIILAILVFGEVQGYDILFVYVLDNVILSAALVVSITWIFCSSSWKEAFLKGIPCLRRFRKRSVTRPRFTPNLSEVPEGEVYFIQLKNAWMIVTFQNSSETLWIPVYSLNDKIHKSSVYLVFAVFQIILYIFTGYVVIRACSIFLTVKIFHENKSILMVWFLIQWFEGIIAKMFILPYQTGVIIIGTDPNREYYGWWTENQNDMVLVQNFQKVIPLFLSSWVFWHYVYSMIFSLVALALERFCATYFIEDYENVRRRYIPYLLIVFTNLITIPYSYLIVNNMIPFWIAFAQCVVNGSFVFFGYLAFWRINVHLKNRIVRRRMHKKQEYSLTRKFQIEENIRSFKLAIKMVFAAVIYLSISLIILLCLIFELFQGYEHIFVHIFDNIVLAAALILSLTLLSCSSSWSESFIRGLPIIGRYRSPRVGHFNFPPETSISESDVYFKQLKHAWL >CRE06465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1456901:1458599:1 gene:WBGene00054523 transcript:CRE06465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-41 description:CRE-SRE-41 protein [Source:UniProtKB/TrEMBL;Acc:E3M155] MIIAFQNSSETLWLPFFSLNDKVYESPIYIFFAVVQLIMYICTAYIIVRTCSIFLRIRVFHENKNFLMAFFLLQWFEGILAKAVILPYQIGLIVIGNDTEKSYFSWWSVDKQDMIFIRNTQEILPLYVASYVFRHYMFSMFFGIMAIGCERALATYYIQDYECVPRRHIPIFLILFVNIATIPYVYFVIHNKIPFIVIYSHWALCIILLLVGYLVILRINIIFRNQLFKCADHRKYSLARKFQVEENIRSLTIARKSVIVIMVYIFLTLSVFTCLIMGVFEEYKTVFVHILENFIVLPAPVMSITLLCSSRAFKDEFLRKLPIFGSCRGGSRVDNYDFRQKQSIVSESEVYFEQLKSSWNS >CRE06464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1454242:1456481:1 gene:WBGene00054524 transcript:CRE06464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-37 description:CRE-SRE-37 protein [Source:UniProtKB/TrEMBL;Acc:E3M154] MVSAIFNSSGASFWIPAYSLNDKVYKSYFFYIFAVFQIFIYCFTGYVIVRTCWIFLSIKVFHQNMNILMAWFLCQWFEAIIAKLVIIPYQIGLISIGVDPDKTYYDWWAEDREEMIVIREDIGITIIPLYISSYFLWHYMYSILFAILAIGIERVCATYYIQDYEHVHRRHIPVLLILITNCISIPYAYQTTNNRISLVLTCFQCLLNGSIVFFGYFVLWRINLIWRNRISSLKYSHNETYSLARKFQIEENIRSLTLARKLVISAVIFISGVFFLLVFQVFELTHGYDTFFVYALDNSILLYVLPIFNQNKNKSSNFFRPALVMSITLLFCSPAWKERFIVGCPGLRRLRDPRVAHIAQNTKPSAVKETEVYFEQLRNAWT >CRE06463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1452717:1453750:1 gene:WBGene00054525 transcript:CRE06463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-280 description:CRE-TAG-280 protein [Source:UniProtKB/TrEMBL;Acc:E3M153] MGQHQAKTEQPEQRLRKFYDAQFENQLIADNLKWAQERAVDLLVRRDQLQWEVLAAATTTTVLLAAGSIYKRKDLIIPIVPLIMGCGYRYDVAHGDNRQLVREEAEKVLKTPEDLHMLPQITLKQIDEFRNLNLQR >CRE06462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1450129:1451608:1 gene:WBGene00054527 transcript:CRE06462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06462 MIIQVLNSSESLWIPVFSLNDKVYQSPTYLLFGAFLIFFYALIAYIIIRTCTIFLSIKVFHENMNVLMAWFLLQWFEAIIAKMVIIPYQIGLISIGVDPAKTFCSWSSFEKDDILIVRDKNEIMPLYVASFFLWHYMASILFAIVAITIERGCATYFIEDYESKNRRWISVLLIIITNIITIPYSYYILHNSLSLLLSYSQGVANGTFVFVGYLMLMKVNLYWKKKMGSHDNQKYSLGRKFQIEENLRSLQVSYQNERSAQNSVYFQLAKRLVTASLTYIAVTVVILIFSTLNIISQLGIVYVHYLDNCILLAAFVMSTTLLFCSHSWKEKFKNDIPFFRNFINSRVSNSHLLHRAFSNKESEAYFEQLENAWA >CRE06461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1446776:1448561:1 gene:WBGene00054528 transcript:CRE06461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-38 description:CRE-SRE-38 protein [Source:UniProtKB/TrEMBL;Acc:E3M151] MIIRLKSTNKTFWLPIYIMNDSSFQSGAYLILLIIEFILYILTAVIICSTVRIFLKIKLFHRNMNIMKTLFLCQWFEAIVAKLMIIPFQIGVFKICDSTQPYVSWWSEEGSDEIIVIEKASTIVNLLIIASFLVWHYAYSMIFGILNLGLERIFATVLLKDYENKPRLYIPVLLICSTHAVTSVFSYLVLTNRTGFYIGTSPCFINSGLSFMMYVIVLKVNKRRRKKLEDPGPGCDYSLAQQFQVKENYRALKLAKNLLIVVLCAMSVPCALLIMLVIGLIPSFKMILIHVMENSIYLNPIIICTVLMFSSTVWRDEYLKLIPGWKRFRTARVFKVRPKPQLTHRASTAPVEPDEGLIYFEQLKKSWQ >CRE06459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1441901:1443733:1 gene:WBGene00054529 transcript:CRE06459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06459 MIIKLFDSSGNFSILWLPVYFYNESYNFSLYVSIFELLLYLCCFHIVNVNIYVILKVKLFHRNLYILAIPLFSLWYELIISKIITICYRLNVIKLDYAIGEHIVIWTDDPAKMLELKSLDGLELLIIAGFMQWHYMYSVVFGVLAIAAERAFASVLIENYESNTQLFIPALLTMTYQISAILVSLSVLFHKIKSTTSHIPWIVCCSISALVYLFVKKVNESFNRDIKNPNRKRIFTVSQQFQVKENLRALRLGTRLVIAVLASIALCGSGIAALTFEFIPTYYSHFIENFIFLNPYLVCFTAMFSVPQWEKQFKKMFLTYRIIKKRRRKPQLASVGTIDNTKKNLDVETNLYFKQLADSWI >CRE06458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1433669:1435243:1 gene:WBGene00054530 transcript:CRE06458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06458 MYAIPPANRPSRKYQQVAVATHRFPHPETFWKEFIRRRPKMNIPASKQFFDTHVCYEPSPIDSNTEGRGRCGACGNNWGGQLMKAHSAYNCGVPKHYQLEFVATNTAAYCPRCLSSEEFHVSCREKDLTCKKCEERGNGPRPHNPIVQWCKLKEGTDPTKVWEWRTAYYQHLQEESKKEPFEIRMVNDRPIKFEPLYACWGFPPLNGHHLYQPLRYAPGADYPGLLPATMPQQQAAMAKELEMLEKRQVNRQVARANPTAPVSGTGVTLTTRAPGEGIEASARLRYPSQAPAEIPAISQAPNLSFWTAHSRKEAEGIWEQESKKAATFWNTSQDVIQSLEEDVGQMGYPAKIDEEYQYYEDEYQLKPNDLKDMLIQGVLIEGSPDCFVDRAMALQNTITGQRQEEDTIRNQLFGLDSATVIVAYLEMLWKLAVVLSQSEELPKLQLADSKNPYVSARAWKELVTVPTIKSFKSVPAQVRYHFFTLWIINVAKNLEKKSSEGEGGSTSGMDNGGEGVIGGGSGAQ >CRE06457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1430541:1432889:1 gene:WBGene00054531 transcript:CRE06457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06457 MSEGEKPAEDKNDRVAEVQNYQQDSKNHRRVRRFTKFERTSLRCAIVTSKHKDKVEHMKNSISSWQSTIAKMKVNMQNALQYADDTSEEYNLLLRSLRKEGAIGESTDMSAHHPEEQSSRVGEGSDGDSSDMSDILQLPSNKEYQPPESDEDDILFVPASSFKTKPTISTLDRRFSEIQENKSKGNATFVTIRNNTSKFGQIKIKDSESEKESAKEDDIPAEKRHIKVTISQDRQPNSAKPSEKHRTSPKTHKGPAKEESRLPDKESSSRRSKSVERSRISKRRSRSRSWNFHRSIERREAYSDSRKRSQYSTERTKENERRQRRHVSAERKIRHQRHPPVHSYKTGLRPRSYEQGRYCDPRRYRKRSTYLTRKETSPDKRSPSPKRSPKRLSHQSRRRQRSPSVPATHSSGKKKRTTTTTPSTEQTIFPLLGTDEAQELLEGQICVFCKSEEHSSKDCVLGDSSEIRRKFCEYQGYCKICASREHRTKKCKSKYQRKCKRCVEMKIPAKHGTFVCPIFMAGRQQKLEEDEEKKKEEEKLRATTTSSNLALPTSEISLPASFKTPTTSRKIHSSRSSSGTSNTSSGTSNTSSGSSRSSSRTSSSSSHSSKSSAISRSTWYTTSTYSRSSSTSSNTSSSSNYTTDSSDMSESPIDSLEFVPRKPGNHRSSVSSEEGFDKHWETLGAKDQSPEVSEYQPPRSPSPFGYHRRTERSRNRTFKPTTSSVPAKIPIQIVIAESVKERIQNSEGDDTRRQGVGADIPAGGQMEEKQDENLETNNIENL >CRE06706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1427227:1428245:-1 gene:WBGene00054532 transcript:CRE06706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06706 MEYVDLFLTIVSTLGFYGYAFKEIYDGEEGRLAAKFGNILAGGFYIACYHVVIKTNSLLMHFTSQSTSMAFFHAVLQSCIFLSGFVFDGTTLQRLLYAMCYGANERTMSMWWDEKYPCYKLWSFLMELLLAVIGLLIAAIFHNNIHGYVFFFSCAGLLKVGTFILTFKANNNRLYQIRKLRRSRRNDYRQVAVIELKREKALEEMEGLLRMEHDKEMEDTVEGNIRNQVAEYYEDINKIKNWVLELLQIVSCFLCSKFRCP >CRE06456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1422073:1424072:1 gene:WBGene00054533 transcript:CRE06456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-27 description:CRE-SRE-27 protein [Source:UniProtKB/TrEMBL;Acc:E3M144] MIIKNVDPSTSIPYLWLPVFLYPEPTIMSQTIVVIELILYIICFYIVVVSLKIFVQVRMFHLNFIILAAPIFGIWCELIIGKLITMAYQLKIFSVRFEINQFYVLWTDDPDKMLIVDSFKGLELLIIAGFMEYHYMFSVVFGAVAVAIERICASVLIDNYESTNKIFITILLTIVLQILAILVSCLALFHKLDIITINATWIVSCIFSSIMFLLVERINEKWRAEMENPHWKRVYTISQRFQVKENIRALDLGKRLIFSEIGTISIIGLVIAALLLELVPPSLCHIAENALFLNPFGICTVAMFSIPAWKKRYKNSFPACCRLRSRNARVDVASMEPMEEFNKRISIETDMYFNQLNESWI >CRE06704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1417199:1417955:-1 gene:WBGene00054534 transcript:CRE06704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06704 MSGILKLNFRGTVIHAEKSSLARHDGFSNLLAVSNQNPHLEPLFVDRDPKHFLKLLDYIRDKEILLPESEVEINEICEEARHFNLHYLIFKCQQKVENMKLKNKFRHLNGSAEILEAVGNSEKTVIIFYYTIDLSKESVPIQALEEFIDEYKHKYDIYFHKEEAIKWFSCKLISKTRVVNVLDANPKDIHFCLDEVRRILGTL >CRE06450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1408660:1409265:1 gene:WBGene00054535 transcript:CRE06450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06450 MFCILLSLFLLVALPNDVTSCDITATLTSQSYYPVYAMFTFHDGRTSVLYLPSYGSSQSTRITGSFCSSRPTRLDAYRSYPGYGAYPYASSQAFLEGVGFVNYSVLSDGVFMGAKAGVMCAAGDCGRG >CRE06449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1406498:1408242:1 gene:WBGene00054536 transcript:CRE06449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06449 MTPTKPKVYIVGVGMTKFCKPGSVDWDYPDMVKEAVTTALDDCGMKYSDIQQATVGYLFGGTCCGQRALYEVGLTGIPIFNVNNACASGSSGLFLGKQIIESGNADVILCAGFEKMAAGSLEKLASPIDDRALSVDKHIEVMSETYGLEPAPMTAQMFGNAAKEHMEKYGSKREHYAKIAYKNHLHSVHNPKSQFTKEFSLDQVINARKIYDFMGLLECSPTSDGAAAAVIVSERFLEKNPRLKSQAVEIIGLKLGTDMPSVFQEKSNIKMIGFDMIDKISKELYAETKITPNDVQVIELHDCFAPNELITYEAIGLCPVGKGHTIVDKNDNTYGGKWVINPSGGLISKGHPIGATGVAQAVELSNQLRGRCGKRQVPNCKIAMQHNIGIGGAGVVGLYRLGFPQHAQSKL >CRE06448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1402726:1405037:1 gene:WBGene00054537 transcript:CRE06448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06448 MLIDTLSSSEMAVIYLVTELCCLTAATLSFVFNAYIIYCFWRKKIPAAENLRLALYLAIGDFGYAAASLIHIGYLAWNWSNVYLDYNPYVIIFTNSFLPAHLKIVVVISCSMALDRCLAIFFPVVYRQLSKTYFANCAMACGYCWFFFDYLFQMLTAPYKRMPNCATMACFVNRTFLMYVSYSNTIAGLLIVLMSIFVFAGIRKISARKIGASTGTKTTNVFRQANRITVGILFCSLFFVTIPSLLVTGYEEITGVSLFAELGPFYICAILVNGFADGLVFVIVNRKQIGSRNRTLSSTGAKNTVAPLHRASIADYSVAPPHVTSSAQQPDVLI >CRE06447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1393744:1396497:1 gene:WBGene00054538 transcript:CRE06447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06447 MSAMKWFHLNAKGPILRDAMGLKLYLITDCYCIFMGLLAIIGNSVILYLFFTKRLDSRRNLRIILYMTVTDIVFALAIMPEICYFIYFWDTTFVDYNPQVIASIGWPLPMFLKISSGLNAGAAAERILVSYRGSYLYVQFCFFQALYFPLIYRKIDHVHCSNILMVVCVFIGLIDTVFCIYSFRFEQRINCSSLGCFVHDAFRTYWGSSNMTCGIIIIVMSLFVFFKVQKLSRESGWMGATPAERKKNMAASQKFRQANRTTCGILLSSLFCLTIPSLLVSLVETITGFSIFEKFGAFYVASLLSSGSMNCLIFLILNGSVKSKIDTRTLSDAAVTTVVSSRPFNSSKQTTFVDLA >CRE06703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1388934:1393207:-1 gene:WBGene00054539 transcript:CRE06703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pitr-6 MNYNNPLVLYPQYYVTLTTPAQLPLVPDAYKPYPHYNLEAVQWALLFGVCFMLGVGMGANDVADAFGTSVGTGTVTVTQAFILATVVEMMGSVASGFAVDGKSLSIVDTSSYADNPDELVIGQIAMLVGCATWLIVATFYSMPVSSIHSLLGATMGFSFVLRGVNGIIWKRAFLIMAVWILSPIASAIFTLITFFLLDVTVLRAKNPVETGLFLLPGIYVIVVFANVFLFLQDGSRVLLLDQIPFMYTVAVSLAIGALGGFLALFVIGPIMKRRLKKKTEELPRIASSLSYTFPIRPSGWLRKAVYWAFPPMRNDDQKAVRLFSFLQILTACFAGFAHGADDIRNCVAPIRDLIHMYNQGYRDDNTQLNISVYVGLLTTLAVLMGIWTLGIRVIRTVGENFAKMNPATGFSVEFGAAVCALATNMYDIPQSMTHCLVGSIFGLGLVRSGPILKWHTVKYVFLSWILTIPVSGLISAAVMYLLQAILS >CRE06446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1387314:1388900:1 gene:WBGene00054540 transcript:CRE06446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06446 MSYDTSYLKDECAATLQYLPFQLALVLHSSLTIASFFATFYFLEKHIKNSAIHNNFKFLLHLYFFNCYVRGVFSIANAVSSFQGNRSYCFIFQSSFFYRVFIFNGTEQNLFMNPIIFQSFHLTFALSQVMDATIKGLLVFERTVATGRVEQYEDQKSACWIYLIIVIIFPLSVVYVTYRTADFNTPSCFAFFAPRNTEQSVIIMINILFIASFVFAVLSFIMLRLLILLNNKKLRIQNFRLTTRYQIRENLTCTRLVSSVLLTGLVVTIFFGSTMTILRSGNIQLFNENRPLFSTVKLAIFPFTFADLIIPIYTGCVMNQTKNAKLATLNHSLQTFQSRQQTTDAYENMLRKQWE >CRE06702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1378270:1379967:-1 gene:WBGene00054541 transcript:CRE06702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06702 MIFPIIFKAYTVYMCFFSNSFHFNICVLYGIFMLHWFELTISRILVYPYQEAILLLTPPSLTNSILQYTFDDSVVPIPKFDAYFHLLIGGGIRARYMLLVCFALPCVAIERCLATWLVRDYEQKSRAFISVTLILISEILATVGAYTVTFKIVGVFYMAVTAGVLQTVSYGIVRVAQQRTKYIEKKCERSHQFYSLSVKFQITENVKSFRVIHILVVEVALMILTASITIALAGLNLVESRHTVYVFFFLEKLIQVNPLFICTAVFCIKPHWFRRLLRLIPFRLKHRTHAEEYVVEHSAHRCSLADAHFEQLKKLW >CRE06700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1374569:1375788:-1 gene:WBGene00054542 transcript:CRE06700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06700 MQAETSNELYLERLRAIEEKIMKMKEHTKETDLQRLVQAEEIEDLKKKVINQEWRDGIFRKEIEKMKSDAQKQQESQVETAGIRAEPAFREAFKEIQKQVKTFGESVVEEIHLIRGKLEAVEKEQDNQREMIQAIRENNNPPRTDYSRFIQMAPNPLMQIVQDAYPGLFNSRNSCITVGELDKRCGAIQGTINGIYQMVYSIKSEVMSVKPIFLIFLLRKLPFLQLDAMVYKQTKLANDLCQFKEELHDISQNRTVSSVSSHCNEDDITKTIVGEWKLISEKNCEQFCTKNTNYSHEVHSDNIKFDLEGFRLNSYFFNGQIYTFFTSKMRGEPSATRTRWYIVDNSIVSVNVDQKTQLVEYCKHSVKGDNLMLINHMSGIECTRTYKRIQ >CRE06699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1371554:1373777:-1 gene:WBGene00054543 transcript:CRE06699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06699 MSRHKYIDYIREKFAKIENNIAEMDYRCNMYERKVEENRITEERDVEHVRKCIMDQEWRDDTFRMSLKKLENRLKNETDKIESEKSEQQAMMNSVNAELRSIREDFSSFKNLFYESYMMNLEKIDENVYEKFRCLETSVEELRIKSRNDYSNVSLENNSVDYYYFDNEMKAISEWITNQELRGEEVREELEELRLEIENHKEDMISIKYEQRSESRNLNSEIEAICDTVADIHNQNESDMTVQEKFNEDVKSDLNKIGDFIKQMNKKQQAFEKSVNLNGCSGCSEIEEMRECLMRQMTDNQEMNRAIDELRNEMRSQQELVYRHFLSFVSHFSFQAEAVKIKQELFNQSVPLEVRSLRKEVEESRRIGNHAVAELFAIRLEIDELKQKQEKETDKLESENIQQQNLIDLLRSEIKSLNEDYSQFDGKIRDEFHYPRVDLKKLSSTCDERHKESSFVDENSNLSKENVDRTITMKNTSSKIESTIDDLRHEIEEIFEKLQTINQEVESIQNFQKGKFNGLAVVINDILERIQHDSFENFQMLEFENNNRPIQEEIIGEWRLSGSKNVDEFVERNQAYPHEVYKENAKFAVDGDWFRSYFYNGKEFIRMKRKRLGILESNRNFCFIENNHIKSVEFHGLDNKVTENAERCTRYMVDDQLVVVNRMGGIVCKRVYDKIK >CRE06697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1367401:1369009:-1 gene:WBGene00054544 transcript:CRE06697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06697 MFRFFSEGVNGEVNEKLNKLSEGLEEMKKEKEKNEISAEETRRIEAEEQENLKKWILKQESNDEFLLEEIKRTDKEIECEKSAQRSFMRALFMEMKDCREDCNAFGTRVFNEISNLRSDIKELRKLFTSREKERLEKPIKYDQSVSNNEALVSTSDTDSGNGESDFNRMMMKMTKSYDNEEYIREYRTTVKRLEKSVISLHEECTEIRITLDNFKLEFEAMRREQAEKLVSIEQTFRKELEALRNEMLSEKRSESQDIKVILQEALTHPKIIVPIQKSESTSSALKPKSKIPPKDAPIQEAIIGEWKLVSHDKLHNFCEKNKKYKHEVHLENVKFTIDDGKLTSYAFNGKSYVKLKSKAMKKGNNKKNYWHVENDVIKSFDSNGIVDPKASEYSSRYIKKGQLIITNEIGGINCTRVFQRIK >CRE06696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1365597:1367042:-1 gene:WBGene00054545 transcript:CRE06696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06696 MTRKRQKLVIKRTANLTDSMRKERQSETFEEMSTAVSKETTSVESLRQKKKVKAARTIRVQREKIIRYEAKLAAAYQKSENTHSLDTVTEPEDIDEFDKKCEESRHIGPQLNPMKFVATDVETPAQAVFVSDFDLCEAMHRPEPNSTQSKKVESSKRFEVEQKFLEELVTDIEKLLASSGRMDVELQVVKTRENNGSPCSKISLVRKMKAEKVGENLKNQRELYGFEASEIPMKDEEFKRDHGNSEVKITKNQKVPSGYKMYSSFKRLFGY >CRE06695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1361659:1363572:-1 gene:WBGene00054546 transcript:CRE06695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06695 MFFSFLSASKMLGYLSLLCLIAMVPCGIATPAHRAHAVGWEEVILEVQEAIRSLVNHRSTFLHDEMKKTCLGKDFMLMKVNIVKGPRQNETIFENYAHWGDNCVFHVYDAGVVSLQQWCLEDPARHLIYEQNQTESPLKGTQMIVNTKCHLFEEDPDDYYRNLTENFIKFFIIVASISMILTIINWIFRRDVVVVVNNAADKAKEMENSLIERHNEWKEREVDNSTGDKALLLENQEQNESA >CRE06445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1359445:1361031:1 gene:WBGene00054547 transcript:CRE06445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-16 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M102] MNMATDPIYINCSVKYDDFVEISKYVGTCLYMIPGVLLHIFILKTVLYSQRKIFRNNSFFRIFVTDSVVSIILLVWDISFSRLTAFIPPLCNVLSPLFVMPNLFLKVYYCTYNHARMAKSVGQILMVLNRMCCVMWPMSYEKVRMSVRGYPTINFQIWNRFSLATVFLIILVPFAGTWNLYLSPRMYLLPSYGGFFVTYVKYVEWASLSKFQSIYIMTALAFTLVCTSISLYKLIILPDRVKTAEKSLCLVSAFYSLAFIVVTASQICSDNDSNKFPTVQTSMDSTKI >CRE06694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1356845:1359176:-1 gene:WBGene00054548 transcript:CRE06694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06694 MRNWSKGITTIIECSYSMSEEKNPLSVQTVTVTNDSIYDDIDLNDNTTAEIRYQTANDTENTSPSVAIEHKQVEKQDDTIFKVKVCEFEKRGGFFDVHFVFRVETQVFPRKLHRNGYFSLQVEGVVGFTRKRYDTWRRFNDFLVLHEKIVDKYQQKGIIIPQLPEKKVSVMAKAMASSNNFTDPQLLQRARQLERFMNRLIQHPRIRADCDIRDFLTLDAELPATNPTPAFAGIKMMKAIKVCQDTFEKLMAPIGEEVDQWFEITNAMFEDFDANIRKLYSESQTLMAHRKDMAASGEKFALNLSLLAASEESMTLSLALAALTETYEKASSIWNKQSEINDARFSESIDEYISLLSSLRDVFSDRVHVWHQWKKFQRAFEEKRAKKTEIELTGNVKQDELNKLSLDILENKQKMIEFEKKFDVVSKSIQEEVERFEAGRKDDMKNMLIEYLEDMIKCHTELSKLWQQFEPYAHKIQN >CRE06693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1353818:1356375:-1 gene:WBGene00054549 transcript:CRE06693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-17 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M100] MNNSDIIIDSECDTEYDPLFENFIYLGTASYLILGLFLHIRILWTILIKERSYFKDNSFFILFAADSIASVSLILNDLLFTRVFMYIPQLCPIVSPFFWTPSIILKVIYFLNNHARLAKSVAQIFMVLNRMSCVIIPAVYNKIWSTLTPIACVLVVILPFGGLWNILISRIFVLSVRGGFGTSYIRAVSWASLSMFQSIYIITALIFTFVCTSITLYKLILLPGRIKSAEKSLCFTSITISVTFLLVAVTQVTFATCLSCRSDALYIIQFMAFDTFTVGSAVIMVLTNQHIRSSIFSSSRKRKIINVTVTAMPSGRFSNTS >CRE06691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1349867:1351355:-1 gene:WBGene00054550 transcript:CRE06691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06691 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M0Z8] MSSIFTDSFPIDCDTSFNPFIEILKYLGTVFYLVIGLILHFSLLRTIFVSEKSFYKNNSFFHIYAMDSIASSLIILADILFNRLFMFVTPLCPIVGPWFFSPSYVAKFVYILGNHARFSKSVAQIFMVLNRMSCVLSPVGYNQLWKNLLPVARVFIVIVPFVGTWNLWISRTLIIPEFGGFALSYTRNVKWAALSLFQSIFILTALGFTVICTCVTLYRMVVLQERIKVAEKSLCFTSIFISITFLLVAATQILWVTCCGNDLMYAIQFIAFDTFTVGSAVIVIVVNKQLRNSIFSWKSTRVKTNSIMISVAPT >CRE06444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1346802:1348287:1 gene:WBGene00054551 transcript:CRE06444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06444 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M0Z6] MSNTTTLEFYSDLIPNECSLDYDPFWEAIIYLGTVFYLGIACLLHFVIIRTVMVTDKKHFQNNSFFKIIVMDSIASIILILNELFFNKLFTYITPLCPIVGPFFWRPSVILNIVYISLNHARFSKSVTQIFMVLNRMTCVLIPTEYDRVIWRSLTPISCVLVVILPCGGMWNVWISRVFLQPYRGGFNIGYLKTVRWPRGQKLNAYLESAFSQLKSKSQAALSLFQSIYILTALFFTVVCSSITFFKLIFLPARIKSAEKSLCFTSVFISSTFLMVAATQVRRISYSVNTNFQLSFAFCLSCDREIMYLLQALAFDLFTVGPAVIIVMTSKKIRESLFWWKEQSTKKISVISVSGLL >CRE06689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1345079:1346195:-1 gene:WBGene00054552 transcript:CRE06689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06689 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M0Z5] MCLYLTLGLFLHFSILKVVLVTEKMAFKESSFFQIFVFDSVFSILIIMTDLFFNRLFIYVTPLCPLVSPFFFDSTVILKTVFVMGNYSRFGKSVAQVVMVLNRMSCVLQPTSYKNAWKSLTPICYAVLTILPIGGIWNIAISKVYADPTRGGFTINYIKAVKWAALSMFQSIYILTALVITIICTSITLYKLIVLPNRIKAAEKSLCFTSIFISAAFLLVAASQVRYQPTIVVSITHKFQFPFFICSSCDVDLLLLLQAFPFDSFTVGTAVILILANRHIRQSIFGIRMQREERVRTMSGQMNSL >CRE06688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1343230:1344443:-1 gene:WBGene00054553 transcript:CRE06688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06688 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M0Z4] MSQALAYLNLSDSIPEVYPIECDKSWDSYSEMLIYFGFFVFLGIGLAAHLYILISILFTKRKIFKDSSFFQIFAMDSIAVSSRFCEYQFLCFFQSVVIILDELFFIHLFLFVPPLCQTISPWFFRPTFIPKYVYISINHGRFMKSLAQIFMVLNRLSCVILTAPGKYEMLWKKYVLPSCIVMTVLPFAGTFNIFISRVLIVSMRGGFALGYGKTIKWASISLFQSSVLIIALSVTIICTSITLYKLILLPGRIKSAEKSLCFTSISISITFVIASSTQSLIAYCSTCNLDTLYIFQSIAFDTFTVGTAVIMLMSNKKLRGSIFQAVKRVSIVSVTGKMNSI >CRE06443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1342009:1343174:1 gene:WBGene00054554 transcript:CRE06443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06443 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M0Z3] MSTYNSSEISFVCKSAEYDLVWEVSKYIVTAMYQGIGLILHLSIIKTILITERKYYRRCSFFQIFVTDSIESSILIIFSLFFNQLFMYIPPLCPIIGPYFYNPSVFLQFLYVIINHARFAKSITQIAMVLNRMTCVMMPAEYDHMWKKLTPMVWVSLLVIPFGGTWNCIISRVYIGPFRGGFTMNYIRTVEWAAVSFFQSIYILTALLFTVICTTVTVYKLFMLSRRIKSGEKSLFLSSILISVIFLLVGATQLAFAFCSVCQGSLLYVLQLLAFDTFTVGSAVIMIITDKRLRYSVLPCLWGPKKI >CRE06442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1339464:1341655:1 gene:WBGene00054555 transcript:CRE06442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06442 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M0Z2] MIPYNSSEISFVCKSEYDPFWEISKYVGTVIYLGIGLILHLSIIRTILITERKYYAKSSFFQVFVTDSIEVSVCLSSILIFLSLFVRFFIYVPPLCPIVSPYFYNPSFLLQFLYVIVNLARFAKSITQIAMVLNRMSCVMIPTGYDSMWKKLTPVVWIAIAILPFGGTWNCIISRVYVEAFRGGFTMNYIKAVEWAALSKFQSIYILTALFFTVFCTIVTVYKLVLIPGRVKSVEKSLCLSSIFISSTFLLVAGTQLAVAFCSVCQGSMLFILQLLAFDTFTVGSAVIMIITDSKLRACVFPYTWKPMKIRTASPSKVRAASISMY >CRE06687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1332582:1338774:-1 gene:WBGene00054556 transcript:CRE06687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-15 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M0Y4] MDTLKTVDYEGYELTSSELSCNSEIDADVRRREYFKNSSFFTIFGLDSVASIILIVWDVCFGRLFLYVSPLCPIVGPYFWMPSMIPKNLLLRADSCKIREISSSNNHGFESNDLCFDADFLYWSELDFELERRVSMRFQIWARLTPISIVLIFLLPLGGTWNLLLSPRYYLVPSYGGFAVVYVRAVTWATASLFQSIYILTALGFTVICTSITLYKLILLRARVKSAERSLCFTNIFISFTFLLVAATQLYYLACIPCRTSDYLFAAQFLAFDTFTVG >CRE06440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1328997:1329533:1 gene:WBGene00054557 transcript:CRE06440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06440 MYKFLTLFAALSTAIVAQQTTCRAQTLGPAIGGLCPTGYTVISNGECCSSSQVTSSASTCVDKTNANGVNECPGTPSSSCVDLTNPNTGRSECAANQNLCNNSLYEETMKTQCPKTCGYCK >CRE06439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1321848:1322384:1 gene:WBGene00054558 transcript:CRE06439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06439 MYKFLTLFAALSTAIVAQQTTCKAQTLGPAIGGLCPTGYTVISNGECCSSSQVTSSASTCADKTNANGVNECPGLKSYCNNSAYRSLMTVQCPKTCGFCTPSSSCVDLTNPNTGRSECAANQNLCNNSLYKETMKTQCPKTCGYCK >CRE06684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1320240:1321358:-1 gene:WBGene00054559 transcript:CRE06684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06684 MYTLLAFLAFLSVSALAPMPGFPDQFGPQTFQTTCRGRTIGRSINNVCPPGHTVISNGECCPSQQVNSTGTTCVDKRNANGVNECLGWRTYCDNIFYKNFMTENCPQTCGFCNTATMRPEVSSTIRPGMTTTRPWTNNCTDKLATGGFNECLGWRSYCNNMFYKEFMTHNCAQTCGLCASTMRPGVTSTLHPGMTTTRPWTSPNNCTDRPNANGINACPGWRAYCNNLFYKDFMTDNCPQTCGFCIPSTGSYPTLRPGMSSTIRPGSCIDSRSDCAFNRNLCNNNSFREQMRIQCPRTCGFCQ >CRE06438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1316495:1317630:1 gene:WBGene00054560 transcript:CRE06438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06438 MYTLLALLAVVSVPVLAQMPGSPDHLDFPSQQTTCRVRTIRKSNNNMCPIGYTVISNGECCPSQQVNSTGTTCVDKRNANGVNECLGWRTYCDNIFYKNFMTENCPQTCGFCNTATMRPGVSSTIRPGMTTTRPWTNNCTDKLATGGFNECLGWRRFCRNVSYREFMTENCARTCGFCSSSTMRPALTSTTRRGTFTSTSRSVTLSNTCTDKLNASGSNACAVWRAYCNDIFYKGFMTENCPQTCGFCTPFSGSSSTLRPGMSSTIRPGMTSRPGSCIDSRSDCPSNRNLCNNNSFREQMRIQCPRTCGFCQ >CRE06437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1314281:1315421:1 gene:WBGene00054561 transcript:CRE06437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06437 MYTLLALLAVVSVPALAQMPGSPDHLGIPSQQTTCRVRTFRSSNNNMCPTGYTIISNGECCPSQQVNSTGTTCVDKRNANGVNECLGWRTYCDNIFYKNFMTENCPQTCGFCNTATMRPGVSSTIRPGMTTTRPWTNNCTDKLATGGFNECLGWRSYCNNMFYKEFMTENCAHTCGFCSSSTLHPGLTFTTRRGTLTSTSRSVTPSNTCTDKLNASGSNACAVLRSYCSDIFYKDFMTENCPQTCGFCTPFAGSSSTLRPGMSSTIRPGMTSRPGSCIDSRSDCPSNRNLCNNNSFREQMRIQCPRTCGVCQ >CRE06682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1313090:1313627:-1 gene:WBGene00054562 transcript:CRE06682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06682 MHKFLTILVIFSVPAWAAYNTTCIKMAIGRRFSNMQLFSGVSLISASILNACPPGYDVVSNGDCCDRDYITSTANCFDQIDETGHNNCEKNKNYCNTDGYRKEMHEQCRKTCGLCTPSSTCADLKNPNTGRSGCHANKAQCNDKTVKEAMKLKCPKTCGYCK >CRE06436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1311012:1312553:1 gene:WBGene00054563 transcript:CRE06436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-48 description:CRE-SRH-48 protein [Source:UniProtKB/TrEMBL;Acc:E3M0X3] MSTTLLMQYYYQNYSQLCLKSNSERSYIDTPEFLALAAKIIGIIGIPSNFFAYYILIFYTPKSMIHLKLCLLNLKFWTLMIDLIYAVFLIPYVFYPIFAMGYIGIFSQYFRIPSEIQFYLAMCCYGGIASAGILIFENRQHHMIPKGYKFRIQNPVFRIILVVFNYFLGMFVMVMAILLRADSDDLKYKFLKLNPCPDPLYFTTYTFAVDSNRNEFSICIAIVLSLVSLQYSFFITHCIWYIYSEEAARYSRSTRKMQKMFLFASFSQLAIFMTVFVIPLGVFALILTTGYRNQGLLNVCNLIIPTIGINTTIGLVVMYKPYRDFLIKKLKNRRTDCGMVNSPSAFTKSVVF >CRE06681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1306231:1307402:-1 gene:WBGene00054564 transcript:CRE06681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06681 MPFLFFSNKNSQNSFPVTAIMLSTRRLLPSITNFTHRSLSTTSILKSTDISVKEERPHVYNVKLNRPAKLNTFTMDMWREFKKAIDGLAEDPKCRSIIISGEGKAFCAGIDLAAGLSNIIQVIQDDSIEIGRKGRAVRRFIGEIQDCFTALEKCPKPIIAAVHSHCLGAGIDLITACDIRIASQDANFSIRFLFKEVHIGLAADIGTLNRIQKVVGNDSWTREIALTARDFGADEAHRYGLISRICDDRQSLLENSIELAARIAEKSPIAVQGTKETLNYARDHSTEDSLNFIKTWNMSQLLSTDLMNSALAAMNKQKATYEDV >CRE06679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1303292:1304571:-1 gene:WBGene00054565 transcript:CRE06679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06679 MTTVTLLFAASHLFQPSTNITELDWISSFVSPVTSKQMENAAIRQGPRAYDVPRFRKPLNFYYRISSPEPPKVSKVPTRLQKRTNSIASTASSSNRRSNDRPPFRVSTYCGMAFLNCPRDVITSNDVLNFVLHHFKFFRSVKEFHSTICKNLKDKTGKFDRVVNAGEQFGNMNTYTLKPGADPKTWLTTRDRYYMEHIDTRGKEFYDRIFIGDVGLPRQLFYSVIGRKFPNLAGPENSALFYHLVSMKMNPWNQKLLFREFKDTEHSGNEPKFIESQYLRYDGRSMREQKKWDSNGAGIPFYRDAKSFLDKIEKYYQLQKTCKARELDNWTTPSSDDVAKILEIII >CRE06434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1299689:1302918:1 gene:WBGene00054566 transcript:CRE06434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-copb-1 description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:E3M0W8] MSSAGELPCYTLIHVPSDAELPSETQLREKFEKGGDKERTEALKKLIYMVLNGEKTSQTMLMYVIRFCLPSNDHTLKKTLLIFWECVPKTDSNGKLLHEMILVCDAYRKDLQHPNEFVRGSTLRFLCKLREPELLEPLMPAIRTCLEHRHSYVRRNAVCAIFTIYKNFEFLIPDAPELVTEYLETEQDASCKRNAFMMLLHVDQARALDYLSGCIDQVGSFGDILQLVIVELIYKVCHNNPNECARFIRCVYNLLQSQSPAVRYEAAGTLVTLTNAPAAVKAAASAYIDLIVKESDNNVKLIVLDRLVQLRNTSSNEKVLQGLVMDILRVLTSPGLEVRKKTLNLALDLVSSRNVEDMVMFLKKEINKTATESNDENGKYRQALVKTLHTATIKFPDVASSIIPVLMEFLSDSNETAASYVNEFVREAVHKLPNLKTAILASLREGIPSIQSPNIFMSALWILATYCDAEGSLEVLRLIKQSLGDLPLVDTELAEQDQPKQEEIEQKKVPSGPKVTADGTYATQTALSSTVVSKKNEKPPLRRFLLNGEFFLGASLATILAKLAQQFTELNGVGSERSNRFRAECMLILASIIHLAKSGLISHQVNEDDLDRMGAVIKIIAQGIPGLDEQYGDACKKSLELMLGAKSISRLDAADKLTTSGSARSKDFVEIDKTINFTQLLAKNNQQGENLYDSSLSQALGTAPKDTKFDFSSSKLGKVIQLAGFSDPIYAEAYVNVNQYDIVLDVLIVNQTSDTLQNVSLELATVGDLKLVDKPTPVTLAPNDFSNIKATVKVASTENGVIFSTISYDVRGSTSDRNCVYLQDIKIDIMDYIVPGNITDIEFRQMWSDFEWENKVNVMTPIRDLREFLNHLSTSTNMKVLTGDAAIEGECGFLAANLCAHSIFGEDALANVSVEKALPMDDSSPIVGHIRIRAKSQGMCLTLGDKLSSAMRSRRDGQPIAAPSA >CRE06678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1297658:1299061:-1 gene:WBGene00054567 transcript:CRE06678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06678 description:Zinc finger Ran-binding domain-containing protein 2 [Source:UniProtKB/TrEMBL;Acc:E3M0W7] MSSKYDDDREQKRRTVKDGEWACVDAKCAKINEETRQFCDDCGKAKPRAKSKIGKELGKEMAEKSKGLFAAEDWVCSKCGNVNWARRKTCNVCNAPKLADLERRTGYGGGYMDREDVEYIKRDYDEEFDEFGRKKKKKGEAETSLEREDGEESSDELEEKVSAEQRKEDMEDEEDEEEEDGEDLAKYDFNFDSDPELIQKVEELKTVVSSIANGPSQCDESDCSCSCSGGECSCPDSEDEKEKERERRKVHKSSRERDRESYRKRSSSRDRDRKRGKRSRSRSRDRHRDDRRDRKRSRSRSRDRRRSRSPRGERRRDDERRREKDRRRSRSRERSRR >CRE06677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1296231:1297337:-1 gene:WBGene00054568 transcript:CRE06677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06677 MDLNFQNFENVNSTNEQSGAFGGNIGDRNNLVGSGASSSAPSGGVFDDSQSSTSTRKGNLFSFEYYQQFFDVETDQVIKRLLNSVVPTHRNYIQDFLQPIPDLWGPFWVSVTLVFAIGIFGNLAQFIENDGAKGTYGSDFRMVTSASTLIFLYVVIVPLLLYGLLWNRRSELLHPYVDLVCLYGYSLAIFIPVTFLWIIDIGWFRWALIFMSVGLSGTVLARAIWPAVQNDVNKMVAFGTIITVVVLHFLLAFTFKVYFFDSMHT >CRE06433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1291767:1293385:1 gene:WBGene00054569 transcript:CRE06433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06433 MSNFEQRSSAKRTHDDSPDESDESPKRWKQYSYPQEIEKQNAVIRIEHPIPENCAGLGKNRCIQRKYFFLIPVIGRNGTEIMSISQISQCQLQVIVDLPINGYRMVEIVGTPENVECAKKCIDETISRAAVNRYSTDSSHSNISNYKITIPIPANKCGLVIGKGGETMRNLRVSLSKKQNFEINYSLQALSNCFMLLSQDHNLANNTKSLMITGDQKAVEYAKKLVADVIANEYDSPATMIGNGSLATMSLLVKVPRSSVGKIIGVKGQSIKKIMDETKTKIQFMPDDDPSLMERSLMLMGKTSSVTVAAHLLKQIVDSTNPQANTSVSVFYMSIPTSKVGLVIGRGGEIIKQINAESGAHCELSRETGKDPHEKTFVIRGSDVQVEHAKHLICIKVGDIPPNTPFVPRTAQQPQVQMQQPYPLQHQYQYPMQYQQPMSIRPDQPQYLQPHFPPVCTQQNYSTLYNQPSALWHSQM >CRE06675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1290128:1291289:-1 gene:WBGene00054570 transcript:CRE06675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-8 description:CRE-LIN-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M0W3] MSHGSSSSPPIAYHKLPPLLPLPTLPGPDPSRKLDFKDYCELLKVPGYQFDEVDQSNEVMKKVVLSEIEKRPEVWSSRKGSSIQKTFPMIAVATFKRTGVLLSVPSIKSVYKCAKDNLRNRLRIAICRHKLSPEAVEKYMWRWDFYGFIRFYREHTQHWEAELYKEMAGGGNSEYVEEPKRKQQKFDPEEIDFGITVEEEPYEDFDLIYDEKPLVEQSPYPQDHSDLMSYFQSGLQSANTSNFLEKINSAASTSQKETNNGMSGTDCDSSSTVIPPSALNDFSEEMKQIAYQANRIAREQPESIKILRRTLFDVVLAFDDKKYKSAGELYRELAEKNPR >CRE06674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1286687:1287946:-1 gene:WBGene00054571 transcript:CRE06674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06674 MSSTHQHRTIKSEPTNPQIWELPPSVPLPKLPLEDPNRKMTINQYLSISKNPQKVEQKWPTIDIPVKEKEVLKLVILDCISRTPEIIENKNTKTVHLDYAKVAIQVFRRTGYLLSPQVLMFVFRAAKEQLRIHLRAAISTKLVSPEEVEKKMWEWPVYGFIRFYRCKLQKWEAQLRQKYIKPVGGDHVVFDIDDNQLEDDQEMFFAVEEESYEEEDIVWETTQSESPPAILSSTVNIKFDHHEQFQEPPTSSSHDQWIPLMNNTFEHQSEGIIPIDQMIQLDQTDDHENTEIKFSGYTSNLTDEQLEDIREFEISMEHISHQANRVARRQPEKINRMRQALFLAVFELEKSDVTDMGEFFAGMAELYRSK >CRE06432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1285110:1286354:1 gene:WBGene00054572 transcript:CRE06432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06432 MTENLNIDFEVPFHLIYHYYASGAISFSLNLLVVYLILFHSEKLDSFRFYLLSFQVTGILLDKSAMILFQITCIISDLNITIFMQPIGLFPICAGYCYGIFSRFFSWSSHVLMTLFVFLLSGQIEALTICFLRKHKAIMNLGNMSRTSDWKYPLTYVLCISYNCVYTLSIYLSGDSHEEQMKVLEELYPETAPKFRALREFHYYIMNERLLSFFVLTTFGAAKTTILVSISVIRMYRTLQKHSSRMSRKTLARHKLALRSLIMQFLSTPISIFPGFTIMLTVIFPSHYSQQISWYSLMIGTTHSIFNCIVVIFTYPEFRKAVFFWKNDIRKLVIPNMYSRLSSIFQSVNLSFICETFGFMTT >CRE06431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1283175:1284206:1 gene:WBGene00054573 transcript:CRE06431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06431 MNIDFDVPIHLIYHYYISGAISFSLNLLVVYLILRHSTRLDSFKFYLLAFQISCISSDLNMTLFMQPVPLFPMRSGYCYGISSRVFSWSTHAMFTVFTFLMSGQIEVLTICFIRKYKAIMNLKNMSKSSSWKYPLTYLICISFTCSLALSVLLSYDSHEVQMRELELLFPEIAPKFHALREFQYYTMNWRLITFFALVGLGTVKATVLVTILVARMYRTLKEYSSRMSRRALERHKIALRSLIMQFMITPITFFPACICLLTILIPTYYSQLISWYACVVITTHSIFNSIVVVLTYPEFRRTLFFCKNKSRR >CRE06673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1282188:1282832:-1 gene:WBGene00054574 transcript:CRE06673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06673 MFKFTTAIFLYFISLVACQYQRDFLVFTAHLTCKLPGIFRYQIQYMEDDTIFPHDRVTRFDSVGNGIGEVISNNFGVMYGDGFLDRNYEIYVIILHNCTKNSKMMREYQFYIDPPCETTGGCKRQFNLDITDLGEEVTPKVIHYPSYSV >CRE06430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1280755:1282125:1 gene:WBGene00054575 transcript:CRE06430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06430 MSSSKLDANIEDGGNIEPMNKKKGIGGVNRFLDRALTRASFDEEMDEEISSGCNIIFTVLTVCLIIFGVARREECDGQPMIPVFIIVLGFLWLTRDIIERLRRRYQRKRESPLPSEDGELRDKLGESGFPLPCGYIHFLLNALIFVWFFFGCYWVFGSWAARAYCDWWTFHIAAFYLIFSAFWLLMGLFCCMLR >CRE06429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1276456:1279649:1 gene:WBGene00054576 transcript:CRE06429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06429 MASRIWKAITRKKKFEGDSHLDTNLRRCLGLMDITFLALGQMMGAGIYVLTGTVVRNQAGPSIVFSFILAGIAALLSAFSYAEFGARFPRAGSAYTYTYIGFGELWAFIVGWTIPLEYMIGNAAVARSWSAYFDNLLDNYVKNTTIGIVGELSQVSIYKFVVFFTYRFPFQPGGFFSTYPDVLSFILICLCACVIAIGSRVSANVNTSFVVLNILVIIIVIISGMCYADFNNWSGTTSDGRSNFFPYGVTGTLTGAATCFFSYIGFEVLATAGEEVKCKLKIQKGNFNKPNSAPHRTIPVATFLSIGVIMTLYILVSSTLTLMVPYDQVHTTAPFAEAFDARGCTVVKYIISIGALVGLTNNLVTGVFALPRSVYAMADDGLLFGWLAHVNSYTKVPLNAIVIFTLINAVIALIFDIEALVEFLSIGTLFAYSFVSASVLVLRYQSAPIDGDEKRMDGGGELSSWIPARNFWESLPSGTSISLAVGALIASFFWLSFTFRTGFYESWYGQMSLGLNGLCIVLIMAFILGHTQNSLKTNFKVPCVPFLPCLSLLVNVFMMSYLTTATWIRLFVWMGVGLIIYFAYGIRHSKEAKRLTTIADIRMSSTFPNKNNRIPKT >CRE06428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1264314:1269191:1 gene:WBGene00054577 transcript:CRE06428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06428 MASGPPQPATPGLHVNPAPVSHLGFTELRNLFATEINRAHHNPVAQCMLIEQFRSEQEHLWRMEMQKQFSNPFFNNFPMFQPQPSPQLSPTGVSPIGQTSPQLPLPMNGLPFSPQFSPDLMQMMLQSQLPFFPQQQLPQLPNGQSLEANQNKIPQIRRERRPRKQEPKHKEHQQLPAQPPPQQPQPVQQQQKAQVPQQVQQQQQTPAEMPQLTPLIFQQLQQQLQKQQKQLQQQQQQQQLQQQQEMLKSPDQLQISPTGRGPRLPNISKMEPEMGQLIEKLYNQTMEMKELMEERDKKLSNQPASEYPRPQISYVVYKEMLRNFSAKTFLMNHTGIENVTDNQKKLEAELLQIKVELYGAGHPLVLQASQLQPEISQPSTSTSTSAQSSSQSSPNQNPPVLEPQLPIRKSVKKPREERRHSKSSSRQSSPKQLLKKTSPSVIIPVGSEILKQEVYKTIQEGLKNIPSTSQQVDLDTEQPSQGQELYDLLDELLLKTNDPLRNTVLRTQSLTPEMAAQILDRLSARFGNDSVSTLVQPANETHVQQPPVSLADIRLVLPDKSEMKLTQLYSWLLICASQVPKTSGVDKTIQPSTSPKTNEHQQRQSGGSIAKVLENLSKKQREEERRQRIETNQTRDKDRQSREVWESCFDFVNVSRPKSVTTQNEPSTSTAWISVEDTDNQTSHKPMSLVGSKKRKSDHRIEESPTEEAVNLKSVIRSPIENVETCEIPKKKTRVESSENVAQPISLSTSSCIPFQAHVDNQMDTTPTEQASPVGIEKDDDGTHMKENQRDRSNSSLNAKQQPIDSVQIENDVTDGSCLEVENEIEVPMELSEAPQNNNVVERTQPVPVFIEKIPVEQMTEIHFANLGDFVVTSGNESTNNNDKMEVGENVAVESATIQSSSNLQVTAEKVVPPVTVIDQTSQPARGDSFETVDLSDDDDDCVLLEVVTPVKNQPSTSSQTSRAGNVGSENWDIAAERAAISSRLIATLLNESVDEKIIIEGLNMMIEEMDRIGDDADKAQHLFSNLVAKIRNEEGKE >CRE06427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1255533:1257547:1 gene:WBGene00054578 transcript:CRE06427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdz-2 description:CRE-SDZ-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M0V5] MNSLKVVKIEISTKRNPVQIPPRRFPITKAIYTEKSKKKATCDVGKDINLKRVVLVVLEGKPEIWKHAARAEYSAWESVGIEVYERTEQIVSVLSLRRVFTLCKSSVKRKLRISILNQNLDTVQTETAMWSLPYYGEFKYYRETLKNFENKLRDRVFNEKFPPATDDEIQFIGFGSLEECEDSDDENYEGVPRHAEEETEMDSSNDFEVGEQSQEHQYQDDGVVNYYAQSYREHGMMEEVVNDQPVVGRYEEIGGSLNAEQDQHHGYEMEEVVNDISVVGRYEEIDGSLNDEQQNQHQRYEYADALYHQDQPGPSSRAMPVDHDALAIRNCMIQLFVNHPDRFEFHKGGIQKILDYLEKEEYPDAVKTFGDLKYMEEMMEAEKKEQEEKEIEEKAKAVKAEGHKEEAKESKELIPKL >CRE06425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1238278:1239599:1 gene:WBGene00054580 transcript:CRE06425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06425 MLKSRGLWKLPVRFSNFSNVSMSPKQLTRAEVVFLTSPSEKYVDEFMKAHGNDRAVFKKGDLAQWQNSFDGYKFKVAVLKGTSKVIAMGHTCPFDPIKGTGNKPIVFMGFGWIDPEYRSPTMATLQNESCMDEVHDDSVNIVSHINEPGRRFWWKMCGIREQEDIGHRTGDAGYKSFYDAKDVTIPENLDTTGISIKNAREVPKKDIIEYDQTVHPYHREKYIISHMYDRDGYGKVAYDDDGKVIGIGQAIIYDNKKDCNLGPIYADDPRVAQAMFYEMLKDIKDSGKFVSQFEVRSSQMAPNSFHWISPFLKCKPSRVHICNLVYKHWAPKNIDRTKVYCPTHAQLFVV >CRE06672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1236089:1237452:-1 gene:WBGene00054581 transcript:CRE06672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06672 MLVSRRINILKLSNRFLSSSSSSQSLPGIEELEIVTNPSGKHLDEFMKAAGNDRTVFKREDIELWQNSLKDYQLKVTCLKETKRVIATLHFCKMHPVPNSGNEPIVFMGFGWADPEYRSAPYIKFQNDMSHQMIPDKRTNVMAQYNEAARKWWHLLSGKTDHPEKGHEVVDVGYRSIYDAKEFVLPESLDTTGISVKNAREVPKKDIIEYDQTVHPYRREKYIISHMYDRDGYGKVAYDEDGKVIGIGQAIIYDNKKDCNLGPIYANEPRVAQAMFYEMLKDIKDSGKFVSQFEVRTTQKSANSFHWIAPFLKCKPTRTHICNLVYTHWVPKNIGFEKIYCPTHLQTFIC >CRE06671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1233880:1235550:-1 gene:WBGene00054582 transcript:CRE06671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06671 MIHAQEVKPLPFKTLELWEGPPLYPLEDLPEDDGTRQMSLMEYMDAVRKQRGLHMPKHSDHILKKVVFNEIEKIPGMWSTKATTNQPKFYQCVGIGVYKRTGKILPRKSEISLKKNYLIPAKYLATIFKFGKDAIRNRLRNAILHKRLSPVEVEEHMWRWDFYGYIRYYRTPLKPWEQFVRKQANALEQKIYHDVEDSAIEDGEMMGVEEEFAEEDDYQDEKSMGGLDDVKVEEPTNGFMEQYSPPQYYAQPLYSAQHPEKLHQNHQYSPPNVPQQGIQMSQMYPPRMREVPSLPTSNSSAFEEKPGSSSSFAIDFEREMNQITYHATRIAREQPHRVKMIRKVLFDSVFAFDEKEYNSAGEVYRDLADRNPVRKLN >CRE06424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1230431:1233498:1 gene:WBGene00054583 transcript:CRE06424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-20 description:Phosphatidylinositol-glycan biosynthesis class W protein [Source:UniProtKB/TrEMBL;Acc:E3M0V0] MSGDDEHSRFVSTMTGCAQRELFLTFIITMLGLFIRNLTLPWIFLGTRYDTSKVTYWLKFVADVIFLCLPSLLAMTLLSEHLYILTLIMSIVSGVLLLFVLFEASEHNLSSSLRQAWTRIVEEQHRPTKFVTYFRSLTMVLVTVAILAVDFPIFPRRFAKTEKFGHSTMDVGVAAFIFQSALGSRMAKSPSSGRTLSNHSRPWYFTSTFLLFVLGTGRALVLEIIGYPQHVTEYGVHWNFFFTLAAVRVFYSILPRCYPFVLSVIFGICHQTMLKSTGLQEWILDETANRRENLVTANAEGLTSLSGYLTIFYASLAIGELMAKTSIRVKSWIRRCFQLFIISLLLFLIQLATEKFVDPPCRRVVNITYIFSQLTLMTFATAVCLSIQMFNVVVWCASFPQFSKGDDPFSPVSPCLSDSINRNSLAFFLISNVLTGLINLSVSAHTLSAQYSFPILLGYLTTCAGIVHFWEYRKVNFVRLHAE >CRE06423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1229239:1230317:1 gene:WBGene00054584 transcript:CRE06423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pinn-1 MSSENPLPAGWEKRQSRTNDRVYYFNTATGVSQWDRPEASAFGKGSDLKSVQCLHLLVKHEGSRNPSSWRSDHITRSKEDAINILKNYERELRDASNIEGKFRDLAKQFSDCSSAKRGGDLGPFERRQMQKPFEDASFALEVGEMSPIVDTSSGVHLIYRIK >CRE06422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1227474:1228955:1 gene:WBGene00054585 transcript:CRE06422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqv-2 description:CRE-SQV-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M0U8] MRFYETYLLVAGAFCSICTLAVIFNCGWDDNRAELKNERSPYSLPSTANLPETFLFVSVLSSPNETDRRQNVRETWFRLSAKGPSVFITRFMVGTMGLTGEERKELDEENEKFGDLSFLERHEESYDKLAKKTLASFVHAHEHFKFKFFLKTDADSFVRITPLIMNLKTVQHPMLYWGFLDGRAKPFRKGKWKEPEWNLCDRYLPYQLGGGYILSYELVRFLATNAPLFRIYRNEDVSVGAWLAGLDVKYVHDPRFDTEWISRGCSNEYLITHKHTMEEMTEMYNNLKTSGRLCTKEFQRRLSYVYDFSKAPSECCTRINGTNIP >CRE06421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1225641:1226668:1 gene:WBGene00054586 transcript:CRE06421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-26 description:CRE-UBC-26 protein [Source:UniProtKB/TrEMBL;Acc:E3M0U7] MSSQVPTVAFQRLKKDYQRLLKEPVPYMKAAPLETNILEWRYIIIGAPKTPYEGGIYQGKLLFPKDFPFKPPAILMLTPNGRFQVNTRLCLSISDYHPDTWNPAWTVSTIITGLMSFMNDNQPTLGSVVTSESERRLLAKKSKQFNMKDKTFCSLFPEEADQIREEIEKMNQEELDSIKEEETALRNRLVHRLTLTG >CRE06420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1221556:1224893:1 gene:WBGene00054587 transcript:CRE06420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-15 description:CRE-UBC-15 protein [Source:UniProtKB/TrEMBL;Acc:E3M0U6] MLNLGPGVTVPPAGSASATAVRRLQKDYAKLMQDPVDGIRALPNEDNILEWHYCLRGSPDTPFHGGFYWGKVIFKENFPWSPPALTMITPNGRFATNTRLCLSISDYHPESWNPGWTVSAILIGLHSFMNENQPAAGSIAGTPQEQKNLAAASKEFNVKVYREFRRFFPQLVQEWTGVLPTPDEPDVAPTMLPEHATPNGIPVPTIQQHSSRAAYNNRQQIDEMMQAVLRPGNQPRMQQLIPGVQYNMFGLPRAGVVPAGPPQPPVANPVLYRDLAAIAAMQQQQMQQAQQQPGIVQQVMPQERNRAGRRGDEGMPIDALAAAEPVAQPEPPKERILRPRAPPKRDGRRAEVRARLGIKEDPQPEEEPLGRGVRRSTRRNEPPRQNAANEEVVIPPKRTRGPRGL >CRE06419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1218479:1221085:1 gene:WBGene00054589 transcript:CRE06419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06419 MGDYENVLLVKPKVFVYRIPPIGTGGHKAADWNLDAPAWTGRMRLVAIGKRLEMRLEDGETCDLYAKCPIDAHPGNAVEAVNDSSRYFVIRLQNDNGQQAFVGCGFQERGDAFDFNVTLQDHFRYIERSAELEKQDLTAGPSLDLAFKEGQTISINIGKRDKNAVSRPRPAAAATGGLVPLLPPPPGAGTVIRNSRPIGSSTAATAAPTSSPFPSDPFGPPSSNSSNNAGNLL >CRE06670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1216941:1217941:-1 gene:WBGene00054590 transcript:CRE06670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06670 description:Receptor expression-enhancing protein [Source:UniProtKB/TrEMBL;Acc:E3M0U4] MSFLARGLSNLIGIVAPVIHSFKVLKKPTRPKLIQCLHFWTIYGSFLICDWFLNTFFISCFIPFYDFFVLSFITLLSVPHLGFASVLYTKFLAPFLRKNERRIDGITMAVMLRLWECMPAVAMTVPAVMASIVNAFNTPMADIPQLEVEEMEDSLIEIHSQSRPSVSSRRVSSRIVQRVQPALDLSFEIQDESENDENDGGDVFREFPVRQVKQEEILDEEERVDHAPSSLRRRPAPTIAMRTRRQLRSQSAQ >CRE06669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1211929:1213390:-1 gene:WBGene00054591 transcript:CRE06669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06669 MCMARIAKLHSTSQSQLMLLLYYILAVHCFGGSFEWLIGWNTQIALFLSYTKAICLLIVCYFYLDIASSMMHWSSTAGKRLCFVALFLLFTYFTAFLVMGYVLASIEPRLDCRAPYWIWFSTGQFIIVQMTLVSFCMILRRMNRISAPVQMRAAQRRDVFVLMWAFETATFCDLAYHIGMFMLADERTANSCSAIFAHDQIRYSMLKAPYDLINFIMPVWAILYVFRSKAKPRSDTDDDSVESSTASSWSSNHSRNNVRIADVVTVRNWRRRYRPLTQPSASERPVLHSQWRQNRAMTSQNPNNQMLSPPSVPAAPRLRSVSSAPMIRRISVSHSIVSSPLYSIPEEHLVKNR >CRE06418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1210146:1211546:1 gene:WBGene00054592 transcript:CRE06418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06418 MSSSFNEYYYEDGSHPDDKVLESFADIVLTIAYYLTPFQLVFSCIGFVFNLFHLLIVTRKSLRNHSIYVIMTGITICDICVILAILYYISIDFTGYFGEYDCRMPSSYSDIIVALIAGMFHDCCRRLSTWLAVLMALVRLLIIKNPLKSNMGFLSTPSFAIKTILMVFMFSFMITLLVFGQYELLDFGTYEIHYCEYLPSNYSVPYDWRLASNTVFDDIRPKLQQTILFVDGTSKIIPAVILPILSILLIRCIQKAVKSRKSLSHSRIEDSSEKLDVILVNLAVLNSIVHSIICLVISSQYRNEANKIFRCGRRRNVVSFKNNIKRKIILFEVVQAPGGNSAFSTNPRFTHSNAVVD >CRE06417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1206610:1209067:1 gene:WBGene00054593 transcript:CRE06417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-66 MERRNILILLSILISPISSDFSSDLEKVTQVYNCDPYCTFNESEITSKTIALFPKDCYAVCGILVFNENTDIEKSEIKTLFKGMTTLFGSLRFENTRFQNFDFFSVDPETHIFDFYCATCKFIMYLRNQIKFIPVGLSIVNNSKLTNIDFLENLFLSTDRLTNECTLSIENNPKLDVSDLCQDRKYKHMFELKTIGNFKDCGCRGDTITLENMESFQNCTILNGGLILSYIDTDTQLTSLSRVSLVRGDVEIQKTNFKNLSFLKNLKRILVKHGLTNEKVVLNIHDNSEMIRFGIPSLTSLYDVLDGPFIMNLENLHSEFCLTFEEVQFFLENYVSFNKIHAKFCPGAESIMIDDMERRVCQFKNMKTLAKSCIFIYGTVVIDSGDEEYVYKLESLETIFGSIVIKNTKLENLNFLEKLRFIASLEDGYVMEILSNQNLTTAYFPDLGNIISQGNRYVVLNDNPLLITDDCVIFPITYQTNVAFIGNNCENSIANGYKSETISFSMFIGTFGLWSLAMKHLV >CRE06668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1203262:1206498:-1 gene:WBGene00054594 transcript:CRE06668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-64 MKLIFFSYIFMFFPLKISSDFSEDLKTIVSTKDCDWECTFNYSEVTSKTIEYFPKKCEDVCAILTFNSNTDVAESELKKVFRQMHYLIGGLRFENSNITSLSFFTLLKVYDTFNFICDTFGLSIVNNSFLEDIAILQNFEFWVTSHSAYECPFQISNNTKLDTTDVCTHVRVKDWFGNRISGNSKDCVFQNLYDVLFGPLFINLENLHPDFCLTFEELLFFYEQRVIFNNIHAKYCPESKKRINKQKLCEIRNLYSLDDDCNYLFGDLVIDSFEGRYLYKLFNVNILFGSLKIQYTKLEGANFLYNLEYIASLNGNNRRGYNQFSKVQVQVSDSHPAILVRSNKYLKQLKLPNLKHVISRNKDAVVIEDNPVLFSSNMECLMYRITYATNLRVKNEACVVLFY >CRE06416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1200724:1202933:1 gene:WBGene00054595 transcript:CRE06416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06416 MSDVWECRNLIGETSNAVAGVCPEGSKLIDEDSCCLADSVFDVNSHACKSEPMPAIGKECPYGFILVANEGCCPNSDAYAKESTEEKK >CRE06667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1179768:1183277:-1 gene:WBGene00054596 transcript:CRE06667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-88 description:CRE-NHR-88 protein [Source:UniProtKB/TrEMBL;Acc:E3M0T8] MFAPSAVYTYGHHGSGTDEDDGQPVMQGMDSGDGQCMVCGDRSAGKHYGVMACYGCKGFFRRTIRSQQTYTCRFAQKCSIDKDQRNACRYCRFQRCLTVGMEPEAIRPDRDVIGKQKNPRKKKMKAESSNETSLPSPNGCDSPVSAANEDVNILTFLLDVEEQATCGNNHMSMPIGISMMMKTDPDFDVSTLFHSQYVRNQESFPITYAIGRTASVEQLIAALRRYVLSAVHWIDAIFNLAHLTEMHDKTKLLKSIIGPFTIFNIAARTAQISEGDLICLCNKSTISRQPARHLLDTNLVGNNFVGRVIDDLMVPTRKLRLTNPEITILSALIILDPDARGLSTDTSLALLGVRDRVQNALFNLIRDNSSNMTSVTSRFGNLLLLFPPLAKLSSLIGENVQLAKMFGIPIDSLLVELYVDADSPELISQNSHHRERMDVSTQTASMEGSSAAMTPDQSGDYIKEEMSNDSPSSSEEPMCIGAPSSASTVTAATNLAADLLGLLPNFDATGLELATAAAAAASASYTTFYGFGNLGGSLDDSSSSGTGSVGSFAPHSAPPISNNINPFATHGFFETSAPNTGIVHNQPFRFV >CRE06415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1172529:1172875:1 gene:WBGene00054597 transcript:CRE06415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06415 MFKVLAISALLFVLATEAANPACKKSADPAIGGACPDGSTLVAGGQYCCEKADVYDADNYFCRDGNSLGPAFGGICPDGFLLVAGDECCGAADFLAKQK >CRE06414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1167952:1171993:1 gene:WBGene00054598 transcript:CRE06414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06414 MYLHQVRLIFLPLKPIPHLSEQTELQLVTEDFLTLARITNAIFLQASLIRKNLDVRETIAEFLKIDQADLSGIVDVDAQLAISRIENLRRDYPSLWKRRLTPEPPFLEISRDLKSLKKIQEAPLGPDISADDVNNGVVGAAGNLSELETKCQESTLDELTEIIETYTYKDKNIKESEAPKEFDFVEGKLEYFIECMEYHHSYSAILDNPTFWNDYSKYESTYDAVSNVLKYVNVMIKHTSTLKEELEISMNLRKNWDKTGTTGAQIQQVFDNHIRQMQRFEPKPPVLTVAFREPKEMQRIDDDLKSPWFQKHFVRGSKAVKILSKALEPLGSIYESIQKLDDAYQQFRTFGRNRSNEETIKKTAFALTTLEKLTAEKTKPLKHDDIVDNSNRILTECLSTNQPDADFNSTFKEFETQEKDLITVLKNIVKVREDIERHGKTESIRKRYMDANKDCLMTLKGTMKSLKKREPDLVEAMHVSIEQFRECTGENLELYDLFDMYLDSVKLLKKLRESVKAFQEEVKRRAGNELVKFNEVLKKSKVMEMEDCLKTKGFHAENLNDGLIVAKTFGSFLNVDLEYTSRYLNVVINLTIIFQIQTALKTVEDSFHVAEYRTKRAAVSGVASNPVLSLNNSKLHSENLGICTVALLNMVEVQSKREDLKKIKKFDTEIRDEMKYAGALLRNFRDPEDSITEILKKTDQVNKLAKQWKDEDPSKMAEIFYQIAGIDGIIGNREGLAKLLYEHRKERVFRKAAPKLRTLISLNLDFQTYKSRLLDGRFTVITLKKYFDEIFGHVKKSNPNEKTKVVVEKHTPVLLIILIGVGILLLLIIGVIVIYGLTKKGREKYKNLYLFHFGKPEEFEKRWRYSSFMDKVNGENALLGSIHEINKTNMLIALKRGVYINAYNKFGNTALHSATKAGHPELVDALIRHGADRTLLNVENRTPEQMIPFKFQIFYPERAERYEQIQNIYKKYQKKKYKIRVPEVFPLTSYRIWIEDRTDDKLTNQFMDVFQSITSIEASALTTHCVMKTDENGVLVTDNTNLLFWIFNGSIIVKEQWMIDCIQDQKLIQQDFKYLIEKVQFKGVLYDTVLQWSEAMAKGDVPYLYGVQVAIAMKACSNIVTLSALITNHGGILLDQFPDKSNYNSGSHPYMHSHLGPLFVLHDGETDLSKFKNDKMFTLFTEGEFIAFMLRRDIKKDSSENPICVLREQE >CRE06664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1162468:1166556:-1 gene:WBGene00054599 transcript:CRE06664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06664 MFLHQVRLIFQPLKPIPYLSEQTDLQLVTEDFLTLARITNAIFLQASLIRKNLDTGETIAELLKIDSTHFSGIVDVDAQLAISRIENLRKDYPSLWKRRLTPEPPFLEISRDLKSLKKIQEAPLVPDISADDVNNGVISAAGNLSELETKCKESTLNELTDIIETYTYQERNIKESEAPKEFDFVEGKLEYFIECMEYIHSYSVILDNPTFWNDYSKYESTYDAVSNVVKYVNVMIEHTSTLKEELEISKSLRNNWDKTGTTGAQIQQVFDNHIRQMQRFEPKPPVLTVAFREPKEMQRIDDDLKSPWFQKHFVRGSKAVKSLSNALEPLASIYESIQKLDDAYQQFRTLGRNRSNEETIKKTAFALTTLEKLAAEKRKPPTHDDIVDNSNRILAECLSTNQPDADFSSSFNTFEAQEKELITVLKNIVKVREDIESGKTESLRKRYMDANKDCLMTLKKTMKSLKNSEPDLVEAMHVSIHRFRECTGETLELYDLFDMYLDSVKLLKKLRESVEAFQEEVKRRAGKELVKFNKVLKKSKVMEMVNCLKTKGFHAENLNDGLIVAKTFGSFLNVDLEYTSRYLNVVINLTIILQIQTALKTVEDSFHVAENRTKRAAVSGVAPNPILILNNSKLHSENLGICTVALLNMVEVQSKREDLKKIEKFDTEIRDEMKYAGALLRNFRDPKDSITEILKKTDQVNKLAKQWKDEDPSKMAEIFYQIAGIDGIIGNREGLAKLLYEHRKERVFRKAAPKLKKKTLISLNLDFQTYKSRLLDGRFTVITLKKYFDEIFGHVKKSNPNEKTKVVVEKHTPIVLIILIVVGVLLLLIIGVIVIYGLTKKGREKYKNLYLFYFGKPEEFEKRWRYSSFLDKVNGENALLSSIHEIDKTNMLIALKRGVYINAYNKFGNTALHSATKAGHPELVDALIRHGADRTLLNVENRTPEQMIPFKFQILYPERAERYEQIQNIYKKYQKKKYKIRVPEVFPLTSYRIWIEDRTDDKLTNQFMDVFQSITSIEASALTTHCVMKTDENGVLVTDNTNLLFWIFNGSIIVKEQWMIDCIQDQKLIKQDFKYLIEKVQFKGVLYDNVLQWSETMAKGDVPYLYGVQVAIAMKACSNIVTLSALITNHGGILLDQFPDKTNYNSGSHPYMHSHLGPLFVLHDGETDLSKFKDDKMFTLFTEDEFIALMLRRDIKKDSSENPICVLREQE >CRE06413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1157989:1160187:1 gene:WBGene00054600 transcript:CRE06413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06413 MKKYLLTILVLCVCCSNAVFQRCRSVPVPATIPVCPSRSVVIYGNQCCPAKDYYEVSSLGCKGAWKSAGNSGVCPYGQSMFWIFDERYCCDNKDIYDSATASCHAGGVLGAAINGHCATNFYISLLFSLFWLLKPPTQPARNPLTKLSEEPVQMVRLLSLEDKIAVRMLMFMTLIATSVVTETRSAQLSEESAQMDSSWLPTSIEASTLTTHCVMKTDENGVLVTDNTNLLFWIFNGSIIVKEQWMIDCIQDQKLIQQDFKYLIEKVQFKGVLYDTVLQWSEAMAKGDVPYLYGVQVAIAMKACSNIVTLSALITNHGGILLDQFPDKSIYNSGSHPYMHSHLGPLFVLHDDETDLSKFKNDKMFTLFTEDEFIAFMLRRDIKKDSSENPICVLREQE >CRE06662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1152295:1155824:-1 gene:WBGene00054601 transcript:CRE06662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06662 MFSLIFLLILLKITSSVPVVDPEFEEYDNWLQRNRSILMMKHLPKNANYTWKEYFTSWNMMYEKEMARKELSFEAAEFIENTLSTIDSTTPSAIQFDVSLKFCPNRTGWYQLDRRIEEVPNDFRIHITWSAEYYGSETIGEWCKMGSRETIKMGGNVTIKTVLHGVNVTFTCPFSMYHAVRNVFIVETKNSWRFSKFFYISVIALVVSALIGIVVLILFRYTQEYYRFGTTPAETPEEFDHNHNETEVPSEKEDFVPVRK >CRE06411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1145577:1150584:1 gene:WBGene00054602 transcript:CRE06411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06411 MGKRKRFTVPTVNGEYSVDDLFRSKPKFAVINLEDNKNADPFDLAKNLFNQGFPTVQFYDNLAQMNGQPVGGQVNDTLLSVLTKVLVPKKTNNDCVANQINPMPTSPTPTNPYVQDPAHATQISASLPIPHVLPYANHDPTRLLSSLLTAATQNYSTGTLCTNQARLRDNRKEAPIPEEYLPCTKCGDRLQITETPQLVKHVNRHFNIAEYQCPACLFMNDSSHVMRKHLKYMHGEKNPVAMKSPEMSSSEMQKLLEEHFPCRDAQMKKVREARSRENSVARFSTSGLNSYSASTIDSVSESVSQATDTKGNKKNNQTPPIFPTTIPNPFLMQPQQTIQEVLRMLQATPAPLSAPQVQQPKKIKVYHCSYCPKLVECDPGTELRSDGQLAQHLRTQHARDCLVYKCGECSYKHETQWKVRRHITIRHFMVAEKTDVVESRRPAWKMFLRKFFYQLEVYGVIKECTEDLECGKLEKDMDRWKKMIEAKQQMSSSSSEPRAKKKRVDEESKESVITIE >CRE06661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1122384:1126661:-1 gene:WBGene00054603 transcript:CRE06661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-119 description:CRE-NHR-119 protein [Source:UniProtKB/TrEMBL;Acc:E3M0S5] MAASISRKSSLSQIATHSGANDTCRVCGDGNAKTHYGVVTCFGCKGFFRRTLKRPSEYTCRHNGNCVVDRHERNSCRYCRFKKCIDVGMDPKAVRPDRDATGRHYQGRQRRSKLSAEDEGEVDAEWMRKLPVDMRTTLMQLLNIDLIVGGGDGHTEPSKIYPLPFATSIKQLLEDPTLLDGKRTEMRYEAFREINPEELPCIAHRRLIAMIDWADHLFDMMDVNNMDDKVAIVKASYGPLMIFSLCANTARHKQADIVCLSTFGYISRYAPNSWAEPYHFGNQLAERCIDELIDPLRKMNLKEEEITLLKAIIVLNPYLKTITQDGSEAVMDLRDRIQETLYHVVRETHPKEVASSRFGNLLLFVPSVMMLGRLVMENLSFVDSFGQMNDRLVHDLLQEAPKVDQSRSPNNSSPPQSNLQMDTSPSPPINGDMTNGCSSSSQDEQLGGSNGLARRHSEPRMVHSSSSSSIESLNSYQSSLDGAASYQNQIGTLPYNLSCNSLPAQVNDFGVSLNGASSMPNLEMNECDPDYNVTITPDMYGGEYLSLFPLNISEKSSVLDMRQAMNVAHGGGMEIDEVSNGQVNNGMGTPNLERRDSMQLPQSPTNQQPMFYISTVESTKHKFTVTTTSYDRFQNGTNGHAYGENGYQQNGMPPQSGSFGQMPSQSNQSINQHETLCKTNSLPQQYMQSQQLQQQNYQDQVMYVSQNQMECDDANYINFNPPS >CRE06408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1118394:1122074:1 gene:WBGene00054604 transcript:CRE06408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srpa-72 description:Signal recognition particle subunit SRP72 [Source:UniProtKB/TrEMBL;Acc:E3M0S4] MADASAGGLYQCLTDIARADTSGDYQKALQAANKLIRKHPKETFAFKCKLVAQIQLSQWADALELIRKTPAHQMGHVGFEKAYIHYRQGELDEAVNELNTCDKDDVKAQELKAQICYKQENFQEAFEIYRYLLKNHSDDSDELRRANYLAVQARLEAQGTKQQVDDAEDSYSQLYNKACVEIEAERLPQALASLEKALVSCRKSLEDEDREEDEIEEELDSIRVQKAYVLQRMGKKSEALQIYQKVQAANHSDESVKATITNNIPAASSDFALADSRKRFKAALQIDQSKLTRRQRRTLMLNNALVLLMSNQREPCKKALEELVSKFGTSKDVALIEASLHVKMGETENALKVLEGNDLEQSVVRLHVLLNANRLPEAIKAIRALPNASELGPSSLLTSALIASDSKDEAVKALNTASTAKNQSSEALKSILEALVEVEQSRGNEGAATKHLEKLVEKFPDDLQVQCRLVGAYSKSDPKKAEALSAKLFPESMEVDVNVDELEESDWILYGEKYRQKKEAKSPPAADSEIITRKLKNANRKRKIRLPKNYNPDVLPDPERWLPRQERSTYKKKRKNREREIGRGTQGSSSANPNVEFVSASPNSPRPLPGPVAEGPRQQRPNFQKQKKKKASKF >CRE06660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1114011:1116949:-1 gene:WBGene00054605 transcript:CRE06660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06660 MAFYFALLNVSKKDNTQEKQSSSSHRRHRRHSIASVESPLLDYRLLPRPQLLSDDVVITNDLAHQVESSSSSAQPNRLSDRRSRGSARASKWVQDNHSAQLNSRSHHPPLQRESHQVAPSSSRGHLSRNDTESDPPPLSRSKRMAFGGEKEEGNSTKSEEKVKKRGGRAKIEERKRGERQSEEEEDGKQKQNMRPRGRGKKENVSGEEESGKETAKEDTGRKIKSDGKGNGGQVGQKKEELRKKEPVKTMRRGFKSQLPIPVHVPSTTNEQGLSKTQSTTFSKNGREHLHPPSKYTPLAVTTSSSSSALNSNSNSRYFSPPVPNGRKPRQVGTADHPLRSSVFQVMAGSSATDESGRSSSQMSNESITPRRDDSPASSCCDPKEQEVTMKVSGHKDYQLDLLNFQLSAVSMSSRSSEPRLKKVTFESSPYVISNSFLGDGAGKVLVVRRGSGTAVRRVGAMGNGWNQSKVLIEGKPEEVSGDDWWTHCGKDEEEEAIIDNQLNKNLEKGKRSILRRGLIRRRSTSDKSRLLAYRNTGFLSSSQERKSESTSASRMTVSVDRPKYK >CRE06407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1111871:1113770:1 gene:WBGene00054606 transcript:CRE06407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fut-4 description:CRE-FUT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M0S2] MFSTCGFKNASTWITILILGAYTILVLVCWEMCDSSKIVSQILSYRLPTILKQNVTIYAADTYYHDPINADLVLQTCPDVKKFCRISHFYPDASHADAILFHNRNFYETLNLFNWTMTYRKDADLWAPYGYLEKRNVTVNYDLNAIWNLKNKTATWLGSNCQAPNNRFFLIKAMLEKGLQADVWGSCGRPAGACDGVLKQTEPCVLELIRPYKFYLAIENSNCKDYVTEKFWKSLDDRMIVPIVMRRQTVRDLGVPDSAYIAVDDFETLPEFIQYVTKVSNDKDLYLKYHEWRRDYKVVFDNGFSGWCSLCHRIRDKEKVMDNQKSYGDIDRWHSFEMCDDSFTMKYLKSLSRGVEKNAYLQTGSARNSLEMQYYALKNIPKCRSRRDLYL >CRE06659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1103649:1104820:-1 gene:WBGene00054607 transcript:CRE06659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06659 MTNFSLDYRLDIKPDKKSYRTSPTAIVKCETDLFGNRGCDYSDEDKESFGLFKRTMNMRYAIEFILMVAHISFMVYVYRSSKKSKRSTNILFYPLSITIVLRSFICLYRIPYDNFIDPHWYPAVTYVRINLYINFFANFFFLSLLFIMSLIISLKLKTHVWKKTLGFLVCDILLSTYVTSVSTELKFSDFMYEYYALWLDKGTDYFQEVTSKFCYLVPTGSIFCSFIYYYLNHKKPSENTPDSIEDQLKLLVIITISDVLYITPFMVNHLRKHKDSVIGVLNYHFLFYFPDIFLPVSVMSLYWRSDKRVAVVVPVSLKC >CRE06403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1089079:1098382:1 gene:WBGene00054608 transcript:CRE06403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptc-3 description:CRE-PTC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M0R5] MSSEERTSLLVDAKHCIRNVIDKTQKKFLENRLIGNFDSEDFSDAWKKKFAHAPTWCDADMSLQQINRGKATGNRIALYARAFFQLWLFRIGCFVQRYAWWTILISMILYCLCLGGLQHVVIETDLVKLWVSEGGRLNEEMDYLGQVKHARETGQLNHVHKIKRDIEKATKPATPAPVKAETRRGPELPKENGLGGGFQVVIQTPSFEGENVLSKEALQKHTKLMQEIAGYEVHMFNETWTLSDICFKPPGPSFNSGPLAGIMSKLLDKIIPCIWITPVDCYWDGAKALGPNPPLNLGPEVSSFISSLPPGNVTWKNLNPTSVIKEVGALFDLGPIGNFFERAGIDGAYLDRPCIDPLEEECPKSAPNYFDRCAALTKFNEWNLAKSMSEQGMKEKTSVTLRISDPVWDKSSDVKLKAGFQKTKSVALHTAKEGGGAIVFNSSYQCIKVRLNDKTDSHPGLNRHHFQPWMAGEIITSWQRNFTKKLYGHEANKEQRVFHPLASTSIADMLEEFSQFNYMIIVIGYILMVIYAAFTQGRFQGWWLAVQSNVALAIAGVILVTYSSICGLGFATHLGINFNAATTQVVPFLSLGLGIDDMFLLLHNYDEIINICDKNEIGVLLKETGMSVMLTSINNILAFISGYVLPIPALRSFCSQTAILLLFNLIFLMFIFPAMIGIDLRRQRRGKRDLAYCSRGTPQMPASQSVPSNVSNKTQMSSRAELQGYGKQNEEFRRYEPWYTVGGFLNNIYIPALKNNVVKAVILIGTATAVVFGLYGMSTSVLGLELADILPEHTPPAAFLRAREKYFSFYPMFAVLRGNEIDIPHQQQLIEEYRQQLGASKFMIKAEGKLQPYWMSMLRVWLQSLDKALEKDLAAGKFDLTNGNPIKVNGEKPSPESMIAARLVCSFGNTYNCDGRLGKMKMVDQNEIINPEGFYNYLTGWFNVDNMMYYVSQASFYPAPPGWEYNEKTAKVVPPSEPLLYSQMPFYQNDLVDTPAIVKMIEEIRATCEEYTERGLPNYPSGIAFTFWEQYLSLRFNLFMAILIIAAAVFTVISILMFNPWAATLIMCIVVITTIELGGFMGLMGIKMNPISAVTLICAVGIGVEFTAHVELAFLTALGTIDQRLESCLRHMFVPVYHGAISTFLGVVMLIFSEFDFVVTYFFYTMTLLVALGVFNGLCVLPVILTLVGPDPELTPTSGDSVLPPPPPLRQQNAEKEAYGGGGAEGGLRKRKEKKQAEVEMSARDSPSTSSASHSSDEEATSK >CRE06656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1073794:1075491:-1 gene:WBGene00054609 transcript:CRE06656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06656 MTSLNYGRKARSRVAWKLFSDRIQLYSLFPNFSLNVITFSKNQKACEVKPSSSLTTSWLFSPRRASFHTFKQLYTLPTRSMKLAILLIFFFIGPGVISQDEASMVEIYAKVASEVPSTRGSLIPPATCVTNCLNNTYCILAYMDTEGYCLNYNYSDFNTTFEIVTTLNTDGFSVAVKINKVTCPKSYTELEFAYVDSRNDVNYPFQWTKTETGWALVNPCPEPGKIFRRSETVVVCLQFYPIAGKIQSEASAFCESQGKKMSGIANANEKSVLTNGPAGTMWVDGTTTCQTKCKAADYTFTDGYTNTTEMDPNQNGQVRAYCLTIGAGSFWVQDCSNTDSIGVVCGSRLP >CRE06402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1072208:1073711:1 gene:WBGene00054610 transcript:CRE06402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06402 MKNRWIFSIIFTLFLSSVSSQNSTSDSCDSDKCLMLVAEIGPIIRAHESVKPKAEVLKKMNDLCADIKKCFKAATCPKGKHTYESMRDACEHLELMTGNVQPCLKKFYSAVYHEKYNCTANKDYLTEDLPKRRVSYTLGRFCFFEVIGKECSAETVAILSSNFNYDNLINVLTTLPLGFEDNCNRLFHSFNKLQCEALEDAITAKENEINWVDTTQTNDTDLVSFLQLFEDAEKCIAKSCSYNDIHRLIFKSKKDWFELYSTEFFMCKRKMMLDKPSSAKFPCLKDHNIVGSKKEETCERYSKLKDCTKTVMEAVCGKKAIEEYDKTAEIIKKHFDCK >CRE06655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1069681:1071013:-1 gene:WBGene00054611 transcript:CRE06655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06655 MSCNNLHQVRTHPAPCQMFLPATLFLLLSLPLTCSIVSNNIYEFLYKPRHHGNCTPFVKEYKNLISSFDGKIVTEKGHLENERLCGVLLVTNIIPCFDWLASNSNFYRVHYTKSIFEGICDNFEIYMTHVLECYENLIYEMYSGAWNCSKKYDFLAKNLTIKRVAFTVGKSCFLQILEKKCRKSAYNTLKQDSSYEKLLNILTMEDTEKNEMRLRFRQAECDAMRRRLAEREAFIKWDTVEIDDPEVLMARGIYENVNNCINSYSHLVEFFQNKMNWFNLHNSHFYKCILKLNKSPVSHERPCLGNHNFTSEKRKEICERYTELRNCTKQILEDSCGEKAVDNYDYSVEV >CRE06652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1064168:1066071:-1 gene:WBGene00054612 transcript:CRE06652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06652 MTSRKTSMMMRANNFIPPCKFSIDAGYSECELVFEAGGRKIKRRLEDLNSAKRKISRFLCSRTFKELHLNEDSEWGVSWMSTLLRFGSGTIKTKMVVFEKILCNNIAAGFLKRCDTNTLECVVFGKKQDHNIIMEASLNLGVKEWKIQNYMNESNIRKLIKLVEGTASAKGKMHFGGYDALRVISEMVLPQLVTLNKAGCFEFKLSHSRKCRVTKEGMEYTVSIE >CRE06651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1062756:1063767:-1 gene:WBGene00054613 transcript:CRE06651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06651 MKQECLKWMDLKTKYQLRSTSKTERRVVNSQKFYIHVIRLKIGNPITLSICPNHTVGLVTDEIYVNFQDEDIMPTIVPLLSFIFTNARIRSLYCTNTANFETPRFFTHLEGRGYQIRMLRGLFPPSFLEKCALNSIERMVYDVESLHSEELLRHPVIDGVRFFTIFCLDCSVSECIKVGQKWMDNDVEIGSRIETINDQYQQIDDYIEAVKAQGWKIVNIAGKTLQIETKNRKKHLLLRVLQDTEIRIHYKEGTIIFIVVPADIQQNDYEKYVGS >CRE06400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1061906:1062171:1 gene:WBGene00054614 transcript:CRE06400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06400 MSASERVVVNKLSSSLLDMKFMLKKKKQIEAKGAKRREARKLLRKKKKERLPAQWSFRPHKNLKKFATIWRN >CRE06650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1059605:1060320:-1 gene:WBGene00054615 transcript:CRE06650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06650 MSASERVVVNELSSSLLDMKFMLKKKKQIEAKGAKRREARLDAKIAEKEKEGEATSSTVVLPAQKPQEICYDLAKLENLKFGRLSFKGFNKDVEVLMEYYERLQNGELSDEEEDGKDVDDQEMAESLGGQKLAALSKKAQTKRERRQDNEKNVEATGTKFNFKDIRKRRNNEEIEGEPERKFMKPQDD >CRE06649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1056069:1057203:-1 gene:WBGene00054616 transcript:CRE06649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06649 MTKYAFTVELCVKEFSSGDPLAFKMDGHRFDGAQRTLKWSTDQTYAVKITTKPATEVTRINVNGNDLDVTKEKLGVFSGNWNTAGAEVSKRGTRFPLIFLVNFAPEGQMRVEFQSKVYSKNDSHAVWGHKMNSVEFKCSGDDDGYVKIVDESYK >CRE06399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1053153:1055782:1 gene:WBGene00054617 transcript:CRE06399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06399 MDGPSSYPSLPDDASQFVWNEELGFTDDQLRSSNVWMGSGTAESTVTQGNQEQSSEEMKVKRARTGEDGNCQNNSPLDFETLKEIMTRSFEIQADTLITPRKRTRGPGRAKMSEEDKQMDADKKEVRKVLNDIVNVVSSESAPETFLHKYNRIMKRRTRASRPPKTLQISQEAPEEPEIVNCYQDGHNYWQNFVPKTTEELLHGFNFNQFVLSLPPARLPSDPALEATMYESRRNMVSAEQMISFPAPNTRAELLATIGKIVNYVTYYHAITMDDPQNSGQDSAAPQESTPLPVNNEFKEPVRKKRKYEKKLKSVIPEVEDSQKALQPQTPLSLSQMVYEDAIRDPSFVLFRDKMWLNHRLNNLNHRLENYIRNTKSRTSQPSEAPPSSSNPPLRPLPNLPYQGPKNLPPLIQVKNLQVTVPLSQLLNFPTTSVPSTAQTTPRFSSALWADSRSENESPTI >CRE06648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1047992:1050041:-1 gene:WBGene00054618 transcript:CRE06648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06648 MSCSKPQEHQCFICFETPAEVWLVQTKMELLLLLTMACFKYHTTTQYACNQMYRRNHTYCPHHIFEAATELVEIVELGAKGQMSTMGVRIVEIMAGNVLGGDVKPGTLDKAIHTFLRNFRTPYDDFVCDSYEDCELIHSPTHRCLQCGEDNVTTATTFFSRPHNKRAFAVFAGPSHAKLMSTAQIRAAVAIPSITLCTHHLEGHAWTMKQLEVMLLDEDFEEKFLSELKEIFIDDKGWFNTMKAVYCCLTTNLPKRMLLNPVCKICKEDKSRSCIQHDQLLGFAAHWFCFEKIAIDIQWDLKRTVLEDNHYKIKVPELEEFEKWDGYELSQLLNVWRSYFGHQHRRSESEERIRVLLFLAKYWRFNLKDRIKEELIRLGEIVDGAAVEATPKTPSYATVSGIIFS >CRE06398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1046701:1047629:1 gene:WBGene00054619 transcript:CRE06398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06398 MNMNNINNNGKKEGPPAVHVPIEPAGMNLHGTMHFSQGLLPSSCWLVVPVSSLSHPQVPVPQIQVMNSQDYVKIIEIACADLAITTKLKCQKKQQQIMSETANTKDFMQHMKEQFERGRYDRTFAMLNNSGAPSPSLSTSPSMSPRASNEKANLYD >CRE06647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1042928:1043520:-1 gene:WBGene00054620 transcript:CRE06647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06647 MEKVGKFIHYVQHKITGNYSPISTLDPNEYVADRLLQSFTSISQI >CRE06645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1019034:1026686:-1 gene:WBGene00054621 transcript:CRE06645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-2 description:CRE-NEP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M1Y6] MRPDEEDVTIKSKSSSIWTRIWAFVAIVFIILFLLVLGAAIYFYINYKDSSDVCLSPGCIKTASVILSSMNASIDPCDDFYEFACGQWIKGHPIPDDAPSVSNFENLGQDLEFALKELLEEDEELYDYDTSAVGKAKYFYNLCLNESEILENWRTTFDEVVRSFGGWPSLGHPLKPDASIEMLYADMVAKFKADSLFKATVQPDDKNSQRHVLLIDQPQLNLFARDFYVAAENEERMAYLQLIRDVLILLDADRARATTDAREIIHFETALANITMADEQRHDIAELYTKITLGDMRISLPHFNWPLFFNHMFKDLHDKNGKRIVFDDNTEVVVYGYEFLRRLDVLIPQYDTRLIVNYLEWCWFFKTMLRDLPDPFALTIFKFYKTLNIMNVQKVRWHGCVTRINSLMPMATSAIYVKNHFDHEAKQQVEEMISLIMESFVDLLLSEDWLTKETKQFAKQKVNEMKRKIGYPDYLNDPAAVNKEYKTFTVYPGHYYQTKFSFYEQYQRDVLERIMEPVDRERWVAGAALVNAFYSPNTNEIIFPAGILQPVFYSKDFPSSMNFGGIGVVIGHEITHGFDDRGRLYDNLGNIRQWWDNATISKFEHKAQCIEKQYSSYVLEQINMQINGKSTKGENIADNGGLKQAYRAYKKYEKMHSRPPRLPGVNLTHDQLFFLNYAQIWCGTMNDKEAIRKLRTSEHSPGPIRVKGPLSNSYDFAKAYNCAPGSRMNPHEKCRVW >CRE06644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1016753:1018733:-1 gene:WBGene00054622 transcript:CRE06644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06644 MTSSTTLFILLLVATSSMMVGQVSAARSIPQSYAGVDPEIQYEFLTEKRGDGYGWNDCEFSPLSCLLKRRRRSIQ >CRE06396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1015103:1015636:1 gene:WBGene00054623 transcript:CRE06396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06396 MLHERLQFSKFFYDFFPSHKECIPARVAGQPEDIANVIVFLAYRQLSSYIVGQSIVADGGSPLVMGMQAHDMMDILKS >CRE06395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1012055:1014883:1 gene:WBGene00054624 transcript:CRE06395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sup-9 description:CRE-SUP-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M1Y3] MGYTCFHHQPFFLSASVGARVEIFCDAIVEFYFYDIAYLLSYLNSFFCFQYLRKMKRQNIRTLSLIVCTLTYLLVGAAVFDALETENEILQVRIEKVILRKLVQRVREKLKTKYNMSNADYEILEATIVKSVPHKAGYQWKFSGAFYFATTVITTIGYGHSTPMTDAGKVFCMLYALAGIPLGLIMFQSIGERMNTFAAKLLRFIRRAAGKQPIVTSSDLIIFCTGWGGLLIFGGAFMFSSYENWTYFDAVYYCFVTLTTIGFGDYVALQKRGSLQTQPEYVFFSLVFILFGLTVISAAMNLLVLRFLTMNTEDERRDEQEAILAAQGLVRVGDPSCDDDFGRLPLSDNVSLASCSCYQLPDEKLRHRHRKNNTEHAHGGPPTFAGMSTARPKF >CRE06394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1010208:1011716:1 gene:WBGene00054625 transcript:CRE06394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-11 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3M1Y2] MPVIGKKWYTEMNKQPVLLYEDISHGCERFTVPVFSNPRFKLDTLVFDNFKYTSRIIDLSGNLASRSATPTFVCHCAGQCTEHCECSSGVYGAGGTVEDMDKLMWDTVRECNENCECALWCGNRVAQKGAMYPVEIFARDPWCGWGVRASVDIPFGTFIGEYTGELIDDDEATERHDSTFLFETRVGSVTLTIDAKYSGNYTRFINHSCSPNVKVANVSWDYDEIQLIHMCFYTDKLIKKGEELTIDYGEAWWANKKFACMCGSSECRYQNGKVINE >CRE06643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1002011:1004794:-1 gene:WBGene00054626 transcript:CRE06643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06643 MKLLLLFLLITTVLSYKYVIFVPYLANSQVQFSVRVSEVLANGGHDVTLLFINHLSDYKTDVKIPKGIKSEFFQLSSLFKTISISAYQLDAFVDGITKKSMEKDQAATIFKDAGLRDIPGVIAMFSRMGNMFQEGCRLALRNKEFLKWLEDEKFDVAYAHVMSTCPLGLIHHAKIPSWAWLNSGPLMEFIAHAVGVPIIPSYVPPVMMESHDEMGFVARTKSLIGHGLMGFMYKRISADAETEIFRQELNDPTFPHTMDIGSKCPLIIVNTNEIYDLPRPTLAKVVNIGGLGVGFDSAKPLTGDFKTISETGKGLIVFSFGSVAAAHEMPLEWKNSLLAAFASFPDYQFVMRYVMDDLNDRLPKNVHLFKWLPQKDLLLHNKTKAFITHGGYNSMQEAISAGLPLVTIALFGDQPKNAKVARKHGFAVNIQKSTLSKETIIEALEEVIGNESYKQKVSRLSAMVRAQPMKPAERLLRWSEFLAEFKQLDNLVPAGQKLNFLQYNSLDVLLFLSSVIFIVLYFSYRALRAVFRCCFFRKPVEKKKKNE >CRE06393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1000532:1001664:1 gene:WBGene00054627 transcript:CRE06393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06393 MAEIDFSIPYWLLNSYHIIGGSSLIFGIFSIYLIVFESSKIDDFRYFLLNLQVKSIVSIQHLILLTSRLFVAFSMQPVLLYPLVSGYIMGFSRYFGGTMYSCLLICIALIVYQVESIVFCFIRKHQTIANTLKKYKLPKWFVWSLFIFFVTALVLLIVFFSRTTVDQDKRFEYINEHFPQYLTSYQSLPNFSIYVADNYFITVVLTAVSAGIFSFSILCLIIVNIFRMLSILKTQISASNYQKHRVAVWSLLAQFATSSVCFVPPIFYVFIVLIGVNGAQCECSLLTLWSLNIMKFAVIVEYLLVIACLHSSLNVAVLVLTFPPYRKFVISLVRRKSEQGLSVKR >CRE06392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:997197:998571:1 gene:WBGene00054628 transcript:CRE06392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06392 MYDIDFTIPYWLITYYHVIGIISLMFDAFSIYLVLFKSSKIDNFRYFLLNFQLACAVTDVQLTFLMQPVPLYPLVSGYILGFLSHFGVTTHNCMTIVIACLIYQIESMIFCFVRKHQSIANTLKKYIMPSWLVWSLFVFFAFDICAVVGLYSRTGIPTEKQLEFVKNNFPEYYSGFQSLPNFSIYDPDTFFVMTVLFAVTCGIVSFLILCLVLANIFRMLSILKTQISASNYQKHRAAIWSLLAQFATSSVCVVPPIFFVFVVLIGINGAQIIVELLLVIACFHSTLNVSVLILTFPPYRKFVVSLIWKQKRDKKRVGISVLSLKPSSIVVSHN >CRE06391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:991958:993908:1 gene:WBGene00054629 transcript:CRE06391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06391 MFFRVALLLLLAQAVLIESSKIHDILKKIGKRLPKKDNTELGLLETIVNDVDAYNGLSEEEQHKRKLETAEGMMHLFTRVSSNYHGDFNKAFTIIESLLEPDMTAEICGDGKKLNAYQFMKHLRQSSTLYYGRFVQSDYFDIFKTDRDTLHMITNFTQTDKNGLKVVFEYDIKMKTTVAKAFFFNTIQCFQYTTSYIFSITHVTQGGSCADNGLASFSNPELEGVDWMVDDIKELQTKPSAKLFFKLFTPAVVQNFEENANMIPKQWMGGINSSVTKITVCQEKIPDSVEYTEEAFRSWYLQFRLMWHPKKGAEDTYTLQILELKPDSIIARVTMKLQMGRKEDAPVHDWNFKFSGKNISGTWNFERIEVLCNPTVEYKDQSLNFIREVVARKFGDDLKERNNTEWYSTVAFIKDFTKHNNFEMTDCIKDTVQKITLYDLFKKDQEDVHGIKFTKYYIEEENIEFPAPDTATFRFKTASKPADASADQTEEKEHEWTFDIKWDQMDQFYYIEKMGIGCEKKWSFMGTVQNIFGR >CRE06641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:988969:990988:-1 gene:WBGene00054630 transcript:CRE06641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06641 MFIRVALLLLLAHAVFVESSKIHDILKKVGKRLPKKDNSELALLETVINEEDVYNNLSARRTTQKEVGDCGRTGATCSHESPPVIMETSTKLSIIIESLLEPDMTAEICGDGKILNACGSCADRGLTSFTNTELEGVAWLIDDIEGLKEKPTVKLFFKLFTPAVYQYIEENPNKLPRYWLSGLNSSLTSITVCHEKMKDTFAYTEDQFRSWYVHFGLMWHPKEGADDTYTLQVLELKPDSIIARVTMKLQMGRKEDAPVHDWNFKFSGKKKDGVWYFEKIDVMCDPTIEYKDESLKLIREVVAGKFVDELKYKNGTQWYSTVDFIKQFTKHGHLVMEDCVNDIVGLITQIDLFKKDQDDVHSIKFTKYQIDEETAIDLPAADTATFRFKTVSQAADSTETEKVETEREWSFDIKWDQMDQFFYIEKMGIGCAKPWTVKGVFKSIVDAIGKKK >CRE06390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:985255:988424:1 gene:WBGene00054631 transcript:CRE06390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06390 MFIRVALLLLLAHAVLIESSKIKSVLKKVGKRYYGEETMGILQLMDGVVASTPLQQFNEFSDEEVEKFKEEKAFGIVRLFATASSNQHHQFKDALTIIESILDPKMTAEICGEEKRLNSYQFMKHLLHSSSQYNANSPVQYYFDIMETERNFLHMVMNFTRTDKSGLEVVFEYELKLSLTVSFHHLLQKFIYFQMKTSYFYSIIHLIQGGSCADRGLASFTNTELEGVAWLIDDIEGLKEKPTVKLFFKLFTPAVYQYIEENPNKLPRYWLSGLNSSLTSINVCHEKMTDTFAYTEDQFRSWYVHFGLMWHPKEGADDTYTLQVLELNPDLIIARVTMKLQMGRKEDAPVHDWNFKFSGKKKDGVWYFEKIDVMCDPTIEYKDESLKLIREVVAGKFVDELKYQNGTQWYSTVDFIKQFTKHGHLVMEDCVKDIVGLITQIDLFKKDRDDVHGIKFTKYQIDEETAIDLPAPDTATFRFKTVSQAADSTETEKVEIEREWSFDIKWDQMDQFYYIEKMGIGCAKPWTVKGVFKSIVDTIGKKK >CRE06640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:982986:984906:-1 gene:WBGene00054632 transcript:CRE06640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06640 MFRHLAILLLLANVAFIETSKIQNVLKHLGKRYMPVHQIKPRDDLKPSYFEKYFTDSGAYRALTEEQVLEEKNHTAYEIVSLFVMAASANNTGYETAVTRLEQRMEADFVAEICGDSKQMNYYQYWKYLTHNAALYIPGAEFKENYAILKTDRFELKFSFNIYRRDTMGVDSRLEFDITMKTTHRNGNVFGLYRIVQGGDCPDIGKVSHSNRDSFDLIIDDVAGINGHNRTKKFLDLFTPQPWQLEHYHPNDLPATWLYKFDLKETKTFVCQEDVTDMVEYTISQFASWYFHFGTMWHPDAKMDLKEAFQLQVTQFQEDNIVARTTMKLQMGVTPDAPVRDWNFKFQAKNKGGENWVIDRVEVLCNPEVKYKQESLSAIRDIVARKFVDYVEEQNVTQWYSTVDFVKEFSQNGEVEYDYCDGGTITKTSGFQRFFAFIKPEFSAQLQLFTHDMGQAHSTRFTKYWIDKEIEPTEKAPDTHDFHFKTMSTAATENPEFEYEHEWTVNFKWDQMDQFYHIDKLTMGCEKEYKDGEATAANYMIFNFGG >CRE06389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:980286:982373:1 gene:WBGene00054633 transcript:CRE06389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06389 MSELFCCDNMTPMRKNISRSRNTDMSSNQPVDVFDFQQNPIFYEIQPSIELFAEESYQKNDNIPSSSNCSVVRYIDPRMIHKCNVCHKTFKEFKGLKQHAVVHTRDRPFKCNICLKHFRFKSNLFEHQSVHTDVTPYQCSYCGKACRLKGNLKKHLRVHVTSKEELEAAYKPFSSKKSTKKYKAEQRRYWAEDCPTIFQENEQKEEEFTDFTDSNRELEKSIERAETSENCSPSFKRSIEMENNYIESSIIHNPAVITWSDLMEIAKFIFFEDYNCPVCHIRLMSRAICIDHFNMDRPSNTDPFNFFCTKCFRRFDNEKSYKKHLEYHDYVLDFIKSPGFSV >CRE06638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:976022:977579:-1 gene:WBGene00054634 transcript:CRE06638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06638 MTKRNNKHPPPPKRQRIEAENDQNAEIDPSDSTIGRVYNACQEVLEKQKNIEKSMTEIVEKLRLTDEKLESIQLQLKSDKIDEATSISSNSLDSEAPVKATSSSDSSQEMIPREIMSTTGKYFVLKHVFKNVSKLKENQNLCSEVDEHFGIEWYDTESMFVRREKDYLTFHMHCLQSQDTGKWTIEKAIRHLLTSEKGVTLRDGRPKFIEWAVLEKDYLVDDKLTAEIHVKIEKTTGIYKDNLRNFDATMKEFSDVVLVVNEQKFYALKLYLAAHSPYFKALFLGNFNDSKKSEIKLTGIDQDDFQKYLELLYGEHPIDEYTCEGLLLVADMLDTPMVIRKCEQFLLKESKKTLKKKLELSTRYNLETLKKKCLVEIISIGDLQAVLPGNVQDLDKSIMGELLEKSISLHS >CRE06386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:967412:969599:1 gene:WBGene00054635 transcript:CRE06386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06386 MACLRSMDETDRKIELWRLYEIARNDSAVTVPRQSGKKQSARIGSRQSALNNIRKITNISDLTIFKDTEDGMELSDDSDEDTTFVVPTFSENHSNDDDSQESGAEQMYQFEDSNQNTVEKEFDRNNGNIFEQSPQSSDVSTFSPILTSTRLNASAQRIRRSTRRYSPGNYSSSLKQHAVVHTRDRPFKCNICLKHFRFKSNLFEHQSVHTDVTPYQCSYCGKAYRLKGNLKKHLRVHVTSKEELEAAYKPFAAKKSTKKYKAEQRRYWAEDCPTIFQENEQKEEEFTDFTDSNRELEKSIERAETSENNAASFKKSIEMENNYIESSIIHNPAVRTWSDLMEIAKFIFFEDYNCPVCHIRLMSRAICIDHFNMDRPSNTDPFNFFCTKCFRRFDNEKSYKKHLEYHDYVLDFIKSPGFSF >CRE06384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:964501:966617:1 gene:WBGene00054636 transcript:CRE06384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06384 MAPSRNKIARIERAKQASLFKAAKNHEIEHEAEKETMFPKLKKEVFYLKKEVEELKENLELANKKLQDAEIEIQHIKSEKCVILAEKNHEKEQLLSSFREKEKEGTYLQSRADQLQKRVDTLVEESPSRGKCLKQYNLIRTNETKKDRYERIIKMISSFVGPLNVDAFLYDFLKMADEDEDLKFTLKLSPWNSFFTVVKHQLSDGFLKDFKQFTKQHLHIDIFASRHQIEEVKKTNSACXXXXCSTHGSDTASLENFDFEFSGEIRTLSDLKKTGVPLLDVDPLNAGPPGVHTILGICQYYCIDWLIAMAINFDTGSSSPANLKQLKKDLKKLVLETEETTNLVDSLESSLERINDAVTTIQKNCKTTKPKQKNSSHCTSSFCIVGSSKKSSFRDSSIFQCTSCKAAVHDVCAFYITEEQRLLMDQSNAVCLDCRHGMIPSIPDRLSLALEIQKSVNEQLLQAQDILEVADNERLKLEQHLKGSRIRSEVSTRQLLEAALRSIGCDSRIWYQDLTGNQARKFLRHSSIDKVLAVFTSNSRRAPNASEKVKIDLMRSVMLDLATLMSAASNSVKNDDEIEEIERVLERFVGNLREAQPDASVTPKLHLLSSHLIPYLKRYRSWGRVTEQGIESLHAIFNRLNVRFAAVRDPIQKATLIVDRLSHFNLIFDIGSSWYKEE >CRE29281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1439:959:1655:-1 gene:WBGene00054637 transcript:CRE29281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29281 MEITLSGPDDKCSKYTKRGNDFLVITHARIFQIKDEDWNIGELQIHGNIECHGKQTNATNYDFDCDGKTTEDYDGLNIMFSVTTRKQMLSGPIDYRYTESSMQLIKNGYLNPANLNKQKFHHDQHIHIKTVTITGLAKEGDRFSPSMIDCHDKFPQKLIADHGLYMYEGAGKYDNILQRMYFEPDSTGEYIVVTDTKLS >CRE25350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1736:2457:-1 gene:WBGene00054638 transcript:CRE25350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25350 MFNVVSDVSEYHHFVPWCRSSSVTHEHESSQIATLEIGFPPFMEKYTSRVIYIKPSVVHGNPSVERSCTLHYDLVFEFESQFHSRIAHLFFDKVVKTMVGAFLHRAEKLYGPPQFPHSPPQVLQYKS >CRE25351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2802:3720:-1 gene:WBGene00054639 transcript:CRE25351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25351 MEPSISKEPISSRFFDSEQYQESSSNSFNQLESQSSPSQIVYNKDYVENLRRRFNNQQNEGRNVRNNEKLGCDTLHIHQRLKKHSHPCDLKTLTCLAGCCGRSFERIQVLAFHVSYAHQDFMTSVSGDLTCLLCGKKWTTVRRKIMHLSLAHREIGEEHNSQCMLQVESVIAPNAPKAQRLEKARLIYGDRIGDEYQDEFVEASAEVEEYFD >CRE25352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:3815:4655:-1 gene:WBGene00054640 transcript:CRE25352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-11 description:CRE-MDT-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LSP3] MEPNPSDIVLSDRIQTIVNTEKSIDEMMKCAREIIQDLGKEKQIGKNKMEENANTFKKLITQVENELSSQMQYLSHVCVGSSHQGSTFGVLQNSLLAQSGLASLHSELAHILTTVDPKQDVVSNGEGDEEEEDGDEEEEEGAGEGLNLDNAQEDVVAPLTTSSTGTASTSEEGSGGSGGAQEDDGAGSSAPRSQEETGRRSTEDEEEETMQH >CRE25062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:9286:16782:1 gene:WBGene00054643 transcript:CRE25062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-larp-1 description:CRE-LARP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSP5] MAEKQPMLSFAKVVSGLAEEALSQPPLPQKQPNSSSSTQNETGQPDKQTQSQNNRRDKENAGGRQSDRPRGEGKGKRRNNRKNDRKQRGEAKSEKTAEKSTPEEAKPVEVPVVLEPAPLPTTNAWFKNKEEAAAAAAAEAAAVSLHEDTKKETVSSSTVHQVAQKHSAPVPEKKKAAEPTPKQTSIKEKPKNRESKKEPWKTSSVPVSTFSSAETVTVSAPQEWPSLAKSELNGHVSPSNSDDNNEGGSNSQHKTGGKTTKNSWKKVEISVDYGSKGKGAPRSNGGEKGTRRTANDESVRRRSGEEESASGDEQQNWSRSKDNKSPVNEMSNERVVDSGANGIYYQQGGTHGWKKKVNNKSGSDLPTPPNSTSPQQSEENSPEHVPKDRTNMNGNARNAPPASRNGNASNSTKTGDYWHKTTGERKDDKAQPKAYYQRNDRYQARANPHAPPKLTAAQRKERGPLPRWEDIEAGEDNFDYMTLMEAQYSQYYGAPQQYEHQLDPHQASILIQQAQQHMASFAPFRPPMPMISPNLMSPPLDRDGGISSPLANGEPINTSIPFAPIYHPPAPPRPVTDDTLKEYVRKQIEYYFSEDNLQKDFFLRRKMSPEGFLPVALIASFPRVRALTEDVLLIGEALKDSTKVEVSSDSMQIRARENPTSWPLMPTVSGAADSMPGTSTQASQQFRQNGPSTQPATTQSKVSTEQQAQTQASSSKTNKPQHHQDDWEEVKTRKGKAKGRLTSGSQSTNESKRQTQSQISSQQGGSDQPELDFQFDNEISGGGGSTQTPKRAEKSKKALLSAIDSEEITDDVISKLIIMTPSRRTLDRTGDFTTRSQNQVEFNEEVEIGLRQYEEELWTVPLEKEAPTSKVSTISAEQFHRMRGDSETKKDSEEPPQIPPPTGTQPTPDSVWTKKAKERAAASVTVPKSPMQRRESQEQKMNRFYPVSKPSAPLDAKSPRKKKTRHSEKPPVEMPVAWVLGREDGLPAAPIGIAASSSQVPANHPSVSLLQEDRFVQNVYSTWRQSCLKQRKSLGYDCAEMNTLYRFWSFFLRDNFNRNMYEEFRKLALEDSEIGSRYGIEALFRFYSYGLEKKFRPEIYKKFMKDCTTDVQKGELYGLEKLFAFLQRSKIAKQLVVDDFLTSELNKYKSTDDFRNLPLSTKK >CRE25063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:18017:19349:1 gene:WBGene00054644 transcript:CRE25063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25063 MSSYFSRLKQVYMGIIPIYPLGYIMVHGNFRIQYSTTKLVLSGFRGDQIWAKPYLDRSSMEPSEHLKELVEYEIDKMDRLRKAKFQVVLTDEMEPKVYGGLFLSSGAELQFPLRMTLDDVETARRIGANLEVDLGLSKHRRKVEVNSETGDELIARMMLSDAAKQFIVQRQLQIANSGEYFCAPILAWIGFSTMGYGVLNAVAIMAGPYIATAAALGFTLTTFSQFSRYFEGYKTTKADEDTIKRGDEYLIGAIDYFESTMKLNRLYRRVLGEEGEKRIARNGDNEEDTVKLSSRLREVRKLKKQMNQEEKLLNEQEENKEH >CRE25064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:19552:21355:1 gene:WBGene00054645 transcript:CRE25064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25064 MGSTYTEWNQKATLWLQTKVGRRARIGLLAATVVGYPIGSILINGPFVNLTFPRRYSVESLPDRLVPLVDEEYGRFLERESRIPKDAVVSVQIQRNLLDSDETVASGSLGVRTGLKLAVPMYSKFKNGNEAIDYFKNQYPEGVDFMGERVPIQWGSEIAEEFADCYTLSDNAHRFLFLRDLYAYDGYASLAQRSISWATWTTFSSIFTYWIHNSSRLLSGSAASFAIAYPLFLGAAWYANKQWHMLYRYLTDIHADAEAARASFQHAEGGKEYYWKMLKRNRIMRDINPSLWTHVTATGDIRGIATPIITRYDHLKDVNEEDDELKGVVGMDD >CRE25065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:21573:22942:1 gene:WBGene00054646 transcript:CRE25065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25065 MSVAIGLSACALSSVLFGSMFVPVKKCQSGNGMGVIIFIKQLLVPGIFVQWLMSSAILLVGVIVYWTQGFPEFEPLAMLGGVFWALGNATAVPIMNTIGIGMGMLVWGTTNCVAGWAAGRFGLFGINATVPTSPILNYFGLILVVFGGFLFSQIRPNESQTSSERSPLMVAPDDDLADDVSPDASDIIVPRGGAVPTVIGAHRNQKRLMAIVTSLVAGVFYGITFVPVIYIQDHPELYPTSPKTGLGYVFSHYMGIFCTASTLMIGYVFVSRFFQNNPFAPSRLIGPSMTAGSMWGIAQASWFVANDNLSQAVSFPIISMVPGVIAALWSVFYFRDISGSRNLRLLSIAVAITLTGAICVGVSK >CRE25354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:23101:25098:-1 gene:WBGene00054647 transcript:CRE25354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25354 MISFEDFLLRPSKVVDPFYRFVAKIDPFLTLVEFCQVIGPRPLAVVSQQPDDKIRGVDINEMAIWLMSSDTVPGTMIVLENNQTGIYAAAYYFNLYDMRARAFQRNLCIAYLCSEKPTKETLHHFSTTMKKLVSPVLICNRRLFIRHVTDIVKFSDSVDETTLKQYYTLNGDSQKLTDASRFNVVFEQTRLLKSKFLTKRFQEMAFEDDICCGHNTENMGEAEDIICSFRVPSIPLSPVETLTPCAYDSIIPKLRKLCSELNSSSNSSNTPGALFCGLRPIATMAPITTSSMPWNTESGITDCDMRGEETMKAITGHLGDVLFPLLCGEDMVVCGAEQRRSTVDDMLRKLGKVVPRESARRVEDDLRMEKDPNKIGNGLGGLLCNRNETGKLARWRNILDMNRCILKTFHYDGTLLSGLNKKRKFPSDRSLILFIIAYLTNICQLAYVCRYLFIRSPNSVDKVLGEQQKISSDDERILINLLMGIDFEKFNRLKLSPNKDGGGAKPSRTINL >CRE25066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:27199:33502:1 gene:WBGene00054648 transcript:CRE25066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25066 MLLSLHTASSRFRTSFSSICVSILLILSLLITSTFADQQSWPLPEEVSASLLHEIPVLHTAFVQIGEELHYLNHPIRSDNVHHLPVFDFQDVSESSNNAPSSQRKQKTPTFNVLKMSGKGKLPMEMDPPMMDFGQNSVGTAQKRKIYIRNMRNDRIFLDAIVVNSIEFQASYFEQFKLEPHGVTSLEVVFLPREIGKRSTTVQFYTSVGLFTYKNFQFQMQGDCVSNPYRISPFTGFRLPMNSSISKPITIFNPYAYTMRITEVGSSGGNGHIELPHEADSLMAGEPPQYWDIRPYQTKQIATLVLVGATSENSTVFVRIASEIHMSSEHRQKITDIYLTVPIEVLKRRGVYSTDEILDFGLIRQGVKSEAKIFSVAQYQIGGRLEFETLYVEKGDHTAIYMEFASHPPIIVHPPNKGSVTLGPKSDLVKVYLEGNRVQMTSQQQIKHISGHIIAVSRGGNYNVSIPYRADVFRGDLVSIGNDLSIQEDLRPPHQRIIRIENQLPFDVAIFNISMSPDLVPHFSVRLIDRTALIRSGHISPVFVLKYNKKLSATFDNSTIFVHTNVSTFNLSLSTYTGKMSVEMTSVDKNSFDFGFVERNDTRTIRFVVWNHNRAETRLKNLAVPDRTAYRLYEVGVKSIGNFSDVRNDERLEYVETTDVDIPPMKGKIFDLELKVPWDGNVRNGNIVFETDLESKVFGVTYQVSSGSLQSIPEEISFGQTFPSKLVYRTLQVFNSFDEDMTVTRLTTLNEDPRFFFEGFDPLNPPVLRSGRLTNLGRVMFSPSAPCEHEYCYLGLPLASTDGAWFTHGLGLPSNLPEIDSYLYKQLRRKYDALVKSGKHHVNTTIILDTDKAKNIKIKTSAELIWPRLLTRNSINFPLTALGNFTIVNLTLANPTNVPVAIQVIPLVIYPDAESLVELMRPHLVTDLTEWVEMNETLMFSLRDTELFTLKPDSPVPKLREAFEYHLNHEMARYNNEINIPRFTLSMILKPHMKVRLRLGFLPSDYMLRSSLLLIRNNLTALEPVVMYGKGARIGVKVEGAEARSRKPLLFEIRHDHLTDCNNPKRLMHKLHSTLTVRRPFQVLNTGEVQFTVTNMSINGVPCENRGFRILNCYPFRLQPNETYALDIAYTPDFLTTTNEADLQLYMHMNGSAWLFPLAATVPGDMLAKCHQALPRPPFENIMYYSCVTALIFCLVCVLACAYLEGDRAIACAIRQQFAIPRHVFDLNNLKGSSSSTSSVPTATSSTTTTTVPSPKKPETSTPSALRASSDSWLIKKWYYSLANWVVKCVHLVWKWSLFWRKDKSPADKSSKSTKPAKKKNPVTMQKVEEFRQMLEFVGQQKKQKNANEIATDFDENEEEELAEMWAQRKDSAVSKASEVQVPSVSDTEPVLSKSQKKKKRAAQQKENNNLEAAKPVKSTLNVSQNRAEPVTSLTPDESPKPRGNQRKKTVPSAKVPSTEPVPVVSTIPQAKPAQVENPKPQQKSSRASSKNPSKAHPDVSSNPPVNANPTQEVLSPVLTTASIDSFYNKFLSTMGMPMGMPMDADMWDSPAAQAAMPFMNLWSYGDPLSVEQMEELIRQASGNTIVSNTPNTTTATTTPSERAVNLAEGQIGGEEEEENEAPDWIDEEVNLNDAEMDFSSMAAASRDIFRDDIDGLSTEMRRQRSPSRESSTFSSRVKNKCFLFQIESSPQKMGGRRLTIGSEKKNNQLSNEFSRTPGHPNRFNGSSQNPTDSSTSGSIWGDRWGASSSQLPSSSTAEPPPPVDNDPLSLSHLGLNLGLFLSLNLFCFNIRFSAENSPNGPLFGDTMFSGREFSMWSSGSLFYPPVSQQQQPPNEENEEDKKND >CRE25355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:33907:35051:-1 gene:WBGene00054649 transcript:CRE25355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25355 MLRWFHVEDEKTFLFGIRFRNRKLVTFFALIQLVVACVSFAQHIYSVALFNKIFYCSFNETRSNTGHFLSHDVIIFDFGLFHELINVQECIANYLDGGYMRCLWCFTQMIALALTIWTTLCIPKPHPLLLWPMLIIQNAYCFGLVILTIATADKLLVALFHPVNAHLNLMILYFAVGTSINHFFDYILWHYYWYEEFQYIGRTGKHVIPFWV >CRE25356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:40723:41028:-1 gene:WBGene00054650 transcript:CRE25356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25356 MATQSAVSTASVPQGAPSTNVSASVVPPQGLWSSAQQLFSFTAPKIGKDGEFSFQFLTSPQRAKPAATVNADNFYMYACGSINMP >CRE25357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:43284:44906:-1 gene:WBGene00054651 transcript:CRE25357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25357 MTSISPQNMSTNSWNSLPKSERNKIFLQVQEANNKAKLVIDDHQKTLSELRKNIPEECLEMFEKEKTILLQHYTTAELLPHLPKEGLSNDEAQRMISIIKERVEAQVADQEESKIIKQQIEKIISSGPIIVYRTAGCDIENFRDKFEIIHGWSPLLMSTAKCFTRLIISNITDPVCIPIIRSLTVNAGVNVQLYTSTPTKPVIQENENCIVSQRKVGTDDCPDVSTAVANVFLADMNNFNETVDLRINTDATIFNERIDSIVMKAGSSITINRIIQTSGGATSTTANS >CRE25067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:45562:49443:1 gene:WBGene00054653 transcript:CRE25067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25067 MSKAELAILERRLRPIYDSLDSQNYKKALAECEKVLKKHPATTAAKSYVQVLKALTLVRLDKVADAMEITDALDVAGVHHDEFTLQAFVHCYRDSNQHMKVVSLYEKIIQVDPSENNLTQLFMAYSRERMYKEQQKIGLRLYKDLNVTTYYYWSVMSLILQYLQAKENPELGKKMLLPLAEKMCETQIAKTGYTEGASAELELELLILEGQEKWKECAEFVDRGEAAALPMAPYNLVEKGMAYLMKDEQYAKASELAVGVVENMPDNWNIWKIIISATLSQVEKLVGSENEEDIESARLLIKALGDLIGRIQSKSEFKHRAPYIVPFFAHMKLGEISRKIPGMEDLTPIFGDKVKKMLLYVEKFYTKPVCFADLQLYFKDMTDEQKQEFLKGLTSWMSGISAAEQAEGDESKVWAIILMERCRRALGEYDKMTADDHRSLFQQFIAQIAAKGRSEHAQGVLCNFTTAHLWDAYRKENDAEKFYEMILLLEFVATTNRTDPLCKLGLVRAYSGLCATGRISALVKTLDIKAIQLDTMGHMTFPIYETSGRFNLAIINNTQLTMMYEQAEKEIQDCIAQSYRNGKFTAIPRMAAASQKMRLSAQKTACEVMNRYLSSLFVLDDIDQITVTLWGDEDPIDWKQLIDTRDFTVIPYTETSDYENLLEDMKKRTFQELIDISELRSTMCRALGAVGRVTHDNMEPRLARLQLKMTVMEYKNHLEHCCKEYPSFLIPSKLAQSPAPQHLAQWVHSGGPQMILEYLEAAVKLVDILDSGEHPDISLVGTRTEMAKKLIKLIEVPPRREEGEKLPPFWMVDSIIKSSRALQTIAAIQVVLRLIEKVVLKLAKNVPTAAPESLSKPKGKKEKKAAEEAIAKALDECKAVVFLEHIRAKHVELRSAGNFLHSHFSQMLAYEDKHVPTNVGEGLGKAQLVLQEMSPSVESRLQRSYLNAFEDMHTTIKSRF >CRE25068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:50478:52368:1 gene:WBGene00054654 transcript:CRE25068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sma-3 description:Dwarfin sma [Source:UniProtKB/TrEMBL;Acc:E3LSQ5] MNGLLHMHGPAVKKLLGWKIGEDEEKWCEKAVEALVKKLKKKNNGCGTLEDLECVLANPSANSRCITIPKSLDGRLQVSHKKGLPHVIYCRVWRWPDINSQHELRSIETCSYPYESSSKTMYICINPYHYQRLTRPQGLSSSMPSPQPIPSPPNTLWQSGSSTASCASSPSPSVFSEDGELQTHQRPPPFRHPKSWAQITYFELNSRVGEVFKLVNQSITVDGYTDPSNSDTRICLGQLTNVNRNGTIENTRMHIGKGIQLDNKEAQMHIMIINNSDMPVFVQSKNTNLMMNMPLGKVNRIPPHSQLCAFEFNLFFQMLEQSCNDRDGLNELSKHCFIRISFVKGWGEDYPRQDVTSTPCWLELRLNVPLAYIDQKMKQTPRTNLKEPNSMT >CRE25069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:52767:53536:1 gene:WBGene00054655 transcript:CRE25069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-158 description:CRE-CLEC-158 protein [Source:UniProtKB/TrEMBL;Acc:E3LSQ6] MQKILLFAFIVAVVADACTLKCPDGFMTFKRTPTCKNSMTSLWCVKTIFPEQLINVTTAKSLCEKEGSVLTTFENDDERLQISSALLTGLASKKQYYGAMILDGHRLSQCQTQDRSILSASPCNSPTTAFTTDDKHTDNTFMFLNWAATEPSASFYEKGVESCVQLGIHPLGDRDKKINDILCDYEKSPLNPAAGYFWNFGVACGRLPDYQ >CRE25070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:54872:57679:1 gene:WBGene00054656 transcript:CRE25070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zak-1 description:CRE-ZAK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSQ7] MSLPTSDESTSSSSNYEQRVLFPDIQRDDIQVGDHIGVGSFGAVFSGTWTLPDASQRTIALKKVFVLEKEAEILSKIRHKNIIQFYGICKATGNDYFIVTEYAEKGSLYDYIHSDESHNISTSSGGQNSFDVVVKWASQIANGIQYLHYDAVDTIIHRDLKSKNVVLDKNLVCKICDFGTSKDLTHSCTAPSWGGTAAWMSPEMILQSEGLTTATDVWSYGVVLWEILSKEVPYKDYSEFRIFTMITQSGITLAIPPSCPAPLKQLMNNCWKMTPKDRANMRQIQGELNRLQGNQKVMDECEKFMELEDWKTEIAKQEKSVEKMRKDLEKRREQLEIREKALKQRMKVEQAVMDSARHPPEDVHQWSEHHTSHWVETVLARVANDKKFLDRVNAAVFRNRITGARLLEMTQNDLEHLGVQKVGSRIELMKMIRKLKDSQKVLHAFPTLEQAKQIEMSLKTEKEPAGHLANGVDIVIIIGMYVRRMNPTRRKFKFYADSDWIDETDIPAKSKTKQASALIKTVCFSVLDDNTKKPINEPSCSISSGMTTNPDWITVDTEDEIKIKVIVSVYFADTVVRKDYSVFIEQLLFQTQPRNTEIVELITSLEESKILEERHVHLRLRRSSSSASISTPSPSVIPPVYHPFGHLNNGFHHVISTTSSPQLRGFWHRKQAGMNRHGLTETELSSLQEHLRTPSPDKQTDENVVLTVPKIPRRRRTTTTNSEETEIKETETPARRIHVHGGKDKWNWKKGKSRPKFT >CRE25358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:58051:59505:-1 gene:WBGene00054657 transcript:CRE25358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-1 description:CRE-SRV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSQ8] MTIAFLDVAITIEYVSTAISLVCLPINILFVYILIIERNRPPYNTPFFRLCIHLSIADILMEVFSTLFFKFPSFGVFPDTFYKENWSVVPIAGMQYLGHAQAFGIIFIAVNRFTAVHYPIKHRQQWWTPKVTKSLLLIQWITPIFFMAPLFFTDFKFLFSRNSGSVIFAASDARFHKNYFLAMAIVDGILINSIVLLLYGAIFVRVHTHVVVRKPGELALRLALSAFIIFICYLALGVCSLLSALTPPPDAWVYRTMWFVVNDVLCNSSALVLLALNRPIRKAFTRHLGIFSYQGVSTKNNSLLQAV >CRE25071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:61037:62350:1 gene:WBGene00054658 transcript:CRE25071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhhc-5 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LSQ9] MWIERTKWMLANIGWPIAFTFWYQIMVVLYAANGEITQLALYYFQFLWIIIVCSYFSASFTPPSKCQIEDDKNSTANFCELCNYSKPPRWHHCRRCNQCVHRMDHHCPILQLCIHNKNHKYFLLFLLWPLQLAVFTVWHGYFNFWKMIRSVYTGEILSTTDQLRGTGVSNALMVGFAAIYLLKNQLPNLFRNQTLIEESRETTIYDLGSWQENVKSVMGSWRIAWLPVPIDERNEKKQK >CRE25359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:62836:75070:-1 gene:WBGene00054659 transcript:CRE25359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ten-1 description:CRE-TEN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSR0] MYSESHYDEPVYYASTSLYGGRQSRNIRNSMTYRISPPHYAYCSTPIGPPPPAPRESVMTISDDEMRTTSSTLSPASGQRYLDQPHTSGALNTTYSDASTTLLKYPPPVGTHQNRRRQQVGTMNNGDSAIGGPVMTSTKKKKKFEDEGNACSRWPSKWNILLATALLLALLAICILFFRAPNYVYTQPYSSELTITKPSRIRFNATVGSGAQLVLLMSAGVHPSLSLHDALFPIRSDRIRDPKGPTHIVEEFGTRSRRSIGSSRHRNIEILAPRSATFEQFLLEGRHYLTFINERSRVEPISFVAEELSRPTTAPKTSSEASGTKEHPLASVLVCEANCNQRGECVNGKCRCAPGFTGRTCDEAVCPVVCSGNGVFSGGVCVCKSGFKGKECEIRHNWCEVADCNGRGRCDTEGKCRCNPGWTGEACELKACPHATCHDRGVCVNGTCYCMEGWRGNDCSVFADTVVHAPLAQSPPRKAQEPTDSSRGRKNPTKATTSSEKKKESRELQKPTVVPVQVSSEKHQPCSAHGQLVDDICQCESGWTSVDCSQRSCQCINGDCLDDGVCQCWKGWRGANCTDKKCAIGCEDHGKCSSDGKCKCSAGWNGDSCYLGMLRCQLINNHYIISDGCPNQCSGKGECVMDRRSSEWSCRCQAGSTGIDCSVPVEMHCDDGLDNDSDGLIDCDDPECCSSPSCSSESVCSTAVSPTEVLMRMPPIFNANFAKRVGFLIMEKSVQSYTDSSQFNESLISVIRGRVMWGGQSGNTEDLSTYYNKSTVPLVGVRASDSAHPLYGFTLTREDGYFDLTVNGARSVTLQFLRTQFQSVKKSVYVPPRQIIHIDDIILYRQSGSSSPIVSMPPARAKCSPTTRRLPEVVLISNWQYTSDGVEYDETTDSSRLVVDSRSIVESLPIQGTDIRLIYDSVRSPAAPSTMLIGLLDDRIDKELRKVHINIRIAGRRFDHTLAPRVNLTYVFAWDKMNAYRQSESGLVPVTVQVGYEYQGCDRASERVWQTRKSQMMGATARKMIGSMWTIDSHHHLDIVNNIVEMGNGGHRLISESEPRVSTLAGIDGIKRDVECSKCDGRVESISLFRPTTVVYGQDGSLIIGDHNLIRRVAPDGQVTTILTLGLADTSHSYYIAVSPVDGTIAISLPLHKQVWRVSNVEPQDSRNNYDVLAGDGTVCASAVDSCGDGGLAQNAQLIFPKGIAFDKIGNLYLADSRRIRVIDTTGHIRSIGETTPDQHPIRKCAQITKLVDLQMEWPTSLTIDPITDSVFVLDTNVVFEIDVKHDVVTIALGSPTTCDLANATSLAAASSTASFTLDHRRHLIQNARDISVGVDGAIYVVESDGRRLNQVRKLSSDRSTFSILTGGKSPCSCDVAACGCDDSVSLREVAASQAHLNSPYAVCVSPFGDVIIADSGNSKIKKVSSRLAQYDGRTRTYEVSDVERQEKYTFNRHGQHTSTVSLITGRTVFNFSYQVDSPTAMLSEIRDNSGVVLKVIKRNDSIFDLETTLGERTTLTMSTYDGTLEQVSKRDSATSRDATKLAYVKGLLSSRIDVATAVGFEYDKYGRAVGLKRDREHWKLGDEIISMGRVTTEVLLNGLRFQQVRLGDGDLAVHGSNGASTLISLLRNEGFSLTSPLGTSSLFVKSATLPEGGGEPLISRRRTTVPAIGNPQRRALTTQWDWKHVARRGEDSDNSSGRRRVAEVRFTPRINGVNMFTMEYDVKSNRDTLHLAPTTEYAQPLLFIDYTSSGRIKRISAPEDSQMAEMNVTWDSTGRKSEVAWGSWKIRLTYDNSNRLTEHAIDGARVPIKMSYAGASRRPNEIQHDGSKWTIQYDNYDRVKEVLSKSQESTSFSAIALGGDEWVLKRRTSLNSKPSLVRIDRNGKILEATTPDEMHYWLARKDPTTGRTTEILNDEDTIVMTCWSPEGQPMCSRSRDFHENATMQGHLVARRTVTIMTPTASESSTTSSFTYEYDDVLRVTTIQPVIEQSVLESIQLTYDDRRGHVASVNGLTWARDTTTSRCQGHGLMYETSKANENRQVVERKLIFGGARASLKIQRDKAGRAVESLLEIMSSGVQRNQKIVRTFDAAGRVASVEQTDQEPTRIQYNSDERVEKINDRVVEWNRGGALKLFQDIPYHVDSIGWVSRRGNDTTFGYDGRGRLISATMNSPTRLEVRIVYDKEDLVVRIQNGKDSIHFYYGYVDHPNLVSHFSRNGKISTLFYDDDLIPFVIQTDDGTRYALLTDETSTIRAIVGDSNVVKIIDRSVFGASLSSSSSQVFVPIGYLGGIEIPEISVTILNNGRPLDLNSGRYMSISPEATVRLELNDQFSNSIDLMALESNRHPFRVENIPEDFASWFSLAGVSKNLLPSAHLGLPVSSPIVHRLLSSFPRKLRPLTHLTTVLPSHLASDVSLISTVSETSWSIDDVGFSNLLILNEDVRTGDISVETLPDLKAEEREVINKLFDGVKSLDFATWGLVPTRHLWRSPNSKLELSSTSFPHFTMAVNKESVELRNGKSKIVVHFADNKVCVCNISHVFQLLRAFQEHILKRITDELKTREKIAVWRAERKRAEAGEKTWRQWSDRESRELISKGSVSGYDIEMKPAHRSALLSSVHSWKFQKSA >CRE25360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:75618:80275:-1 gene:WBGene00054661 transcript:CRE25360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25360 MSTNMRTIVCQFIQSIVTIHKNEQPAPPLPHPSECGQPCVSGQSQMFQHRTTNAQGPPPNRPMPRPPAGVPMMMASSSHEHDYTNDYEDPEEMARSRGDGFTNHLLIKTTPPPQSVNFNSYEMSMSQQRRSQQQQQQPMAPPLSDCWGSGAHDGGVLHKNADGAYYIPSGSYWD >CRE25361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:83709:84979:-1 gene:WBGene00054662 transcript:CRE25361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25361 MLKSVKKTRTPKQKTTEPPIELTVCSSSIKNPSSNAAPTSSVEAEKNHSINTSIDTSSTDTGTTSRMENGGTSSKVPVLAVGTYHRKEAAPILATAARLDLAATSAGPTPSSQPATLTKDKNKGATVLS >CRE25072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:88437:89869:1 gene:WBGene00054663 transcript:CRE25072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25072 MSTLLALFRRLLFRRRATTDKASDESGKSQKTRTKSLIIPASNNSIREKNRQNPSETWFTSMERKHVSRSTDKQQSPSTRRQSHFTIHKSGNNLPTSLAPTLTSSYRSRAASASKPTIIYIHS >CRE25363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:92219:100659:-1 gene:WBGene00054664 transcript:CRE25363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lim-8 description:CRE-LIM-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LSR5] MLRGPGQKSSDPFQFVAVTKVGDEMAESAKSTLQMAQQQKVQREKMLQGQRQMVCNERMVYSYILYWLQQKFDDDSEPWQANLDTWRKKRKEKFGDRASSETTVETPKRHEEYSSYQPRKFMSSEEGAWPRWSRLFPNETLPRLTRDQRGYVHVEITTRRGGGRAADVEMMTSSYPTSSVSHPSNFVELFDEEERKSRNKSVKWDDMLVTKEEILEVNSAARPALPRQHSASTVSPLQSSSDELNNDSGIDNRTFGSPSTSEFSPRSTDLFVSPKSVIDEEPPHHPPPPPPVEEKLDQVLIPLKLSRSQDYAPIYRSLEKDTYYDQCPSESDKDEELNSNHTNRFVESSRLIEKNQYMPVTSARVEATFKQPPPREGVKPFVSKAAQEINQNDSKVENIDLTVELNREQKATVTATKYPRSDFIMEDYHHRPSAQIHAPPPMKSSSTTRIYHAVDIPFDESPATYYPKQNNDYQQRQRRYSASSVSKQEGMFTLNVVMGSQPVHKGMGFTTFRRDNRVIVESVIVGSPADKAGLLVGDTVVSINGEDMSDKYQSGVTRILHEAARVGEADVLILRAPAPAPILTKQMSTSSTNSSNFDKARSVFTENKSFDSYAEFKRKHTRASRDSTSSSATRSSRDFNSLPRGATTQSSLNRKRDTSLSSYRTAKDNFNSSSNRSSGRSEYGDYRVTSYQEKNSPGRLTDFVPEVDRRLSRREQSEEIYSKTQREEEPRTIRNFHLSNENIARTNLNFDGRRDSEEESTTTTLKRSTLPRSGANQWKNEYSDGEEVVEKLPVTSRESSPLRSALKKSSHNYYHQSDSDSAQRPSPPRRFYSTESLQIPNQKTSYQRYSEEEDEKIEENYSSMRRHRQQIPRQFDEFHTVGSYGVASQRSRSQQPSRLPRYDDVPDDADIDDVSGVTHRFYDRSGNHVRAPRERIHDIQIQRDYGRSNPQDLDFVRNTYKWEEQQEDTKVTTTTKIYEKESRDWRDVINQQRQPAPGNSNDRRGIAATSASLSNLPTYINRRMEKEREREYLRDREYSMPPVEPPVITEKYERDEYSRVEERTYPVPVARNNMRREDTQTQMQTQSQSQSQSQKEDTVVAVSGKHRCAHCNEELGRGAAMIVESLNLFYHLACFKCYVCKTSLGSGATGADVRVRDGRLHCQTCYSNDRVQLSKV >CRE25366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:110282:111660:-1 gene:WBGene00054667 transcript:CRE25366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25366 MQPLDVQPETSSSSETFLNLLKLPKKSLKKLYEYLNPVDCYNIAKCSKPLEHQVKKHKNLSINTIYLRFDNEKSCVGAYFDKFKYTACVFYSWRKGDGVRKTWNNSYYLKAHKFQNYLFCKINHPKEVKSLQPTLFPIDCISGMMETFKELCSMFPSQNAYIYVGVNVNDRKSCEAFCSTPLPRQIHCFRLIGTRPPKTDRVRKVFRAANVNGTVRVSHQVGPACMQDELINSYNVVLDNPEWITREELLSLNNVSIDIGHNNLTADDLNAFLMQWMFLDSDQIRVERLEITLSPQAFQNKRSITNGLILRDWNPKVREGEYFDASSYLARTTIRDPSSFLDCKFSKDIQRDDGRLATILFYGKKLYLALSYFNGPIGV >CRE25367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:112677:164003:-1 gene:WBGene00054669 transcript:CRE25367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dig-1 description:CRE-DIG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSS0] MGGRNWLIRSAFLVSTLLICISIAQELLPSIEVESLAQDLQIQEWMRTLRRVKRAPTRNNRPEPVVVGRNGTGKCVISADRASHFCGMEEEVSAPPIPPPDEGKCIISKASGREICYPSYSQLDTSCTDVTGQSSNGLVVPPVVPHATVRAMAFVPPDNLRRLIIQYYRQQGKHQPKNATFSPKSFLFVKYHCDYGYEMVDEVDTMFCQDKKWVMTPPMCRGQGLCAADNGGCSHTCISYNDEKIECKCPRGMTLDVDEKTCIKPVPKSLCRSLSGCTCNGITETQFACSCGDNKQKCLLLAGPPKIYIEPQGPYEVAPGGNINISCTSVAYPFPDIYWFKNQRVNTDGQEHNTLRASQILIIKEIYRNEEFTCVADNIHGSANRTVSIVVTGPGSAPHLKSASAGRTSLTVRWEPPSIINRPITTYTLYYTNNHQQPVKNWKKLEVKEPTREVAIPDLRPDTAYYVRVRANDQLGAGKLGNQVQIKTLKPAVRPYVNIVEGDEIRVPPMTPFEIDCNVTKADPVPVLVWLHKGRSLNKGSKTQHIKMKNGGVLESTQFSCVAENEAGKSTKKINVTVTGPSAPERIRYQIDGDKVTLQWEPPQITNGPMAGYDVFYTDDPSVPRDQWKVHHIDDPNARTTTVPRLNEKTPYNFVIVGRNRLGPGLPSAPFTATTWLAAKPPVVLLEPDDEVTKEPSNDEMIIECGAQGVPKPKIIWLWSGTLIEDGKDEFRVYDTTPTDAQDRTRSKLIAQSTTRSGVATCQAVNSEGSDEKKVPVKILGPGSAPLGITPTPMHTGFDVAWQPPKITNGRIKDYVVYYTKNPDAPLSDWESITVPADTRNLTVHVDDEDTPYVAKVQARTDDGPGIISEAYEVTTGRKQVPLSVRLEVTDPSVDASSDETIVEPTQPIHFRCVADGRPMPSVSYSWLPINASESGDEPVPIPIHADENQPHHYNSIQVYSTTATKRILLCQARNPDGTVDDRHVFIVNKPGSAPQNVEVIVDPDNRVTISWQPPKYPNGDITKYNVYITGDPSLPVDQWQVFPVDETTDPKLVLQRGALQPETPYYVKIAAVNPHGEGIHTDPKHFNTVSGAPIDAPTDVLPSVSIDNTVNVTWSPPTQPLGPIKSYTVFFAPEYDDSDYKTWQRISVDAPDGADHGEVTLPKEQFNPNTPYKIRISATNDLSEGPASEPVRFETGSGEIPPTITLEPSNSTYQVEPLGAVTITCTANGVPQPKVHWIKANGEILNSATLQLYDVIKDSSATCVAENNAGKTQEAVTVQVTGPGTAPNEIVLLPMPNQEINVEWTSPDEVNGQITNYIIHYGEIAEDGSEPTTWDQVEIPRDDVNHKLANLEPKKTYAVRVQAVSDRGPGVISAPQIIKTLPLAPQAITNPIIQVHPNNSVTIEFSPPDDPENPGKKIKDFVIQYTTDEEPDDESEWKELKFSDPDDTDDTTIVSIDGENFNPDTKYNTRIIARGEIDSQPSDSTLFATGDGVIAPSQPTFNVETEDGVIRVPAGTDYTIKCVSDGYPAPDIRWVDSHGNQLSDGPLLRIIDIRKTLNAKCLAENRGGLKETDLTIFVAGPGTAPENIQLTANKPTTISVQYEVPTISNGNISKYIIYYTPLDDQDPNHQLGQVQTKPINEWQNVHDLNDGVEGPRKVDIKDYISTDTAYAVVVQAINDDGPGPYSNQYTIRTMSRAREGPPVELRVEPDGQRSAVAQWKEPVTSDVPPIGYEIYYVRGDKSVEEDDSAGLNDWIKISIDDPSKLTHKIQNLLLPDTDYVFKMRAIYPDGPSVFSEPCIMKILPDGNAPYIQISTGDNGVEGSTTIQILPGSQMTIACNATGIPLPQVKWIKAGNYEIDPSRVDADGNHAQFSLQVANITEDTTFNCVAQNPLGHANWTINVNLIEGLEPNWRDDFVTSKSDGGQIVLVFNDELPEYLKPPNEWTIQYTDDAEQPKDQWETIPSGGAPLTRVEVPNMNPGTYYYLVVDNPEKGIQTPTLVNNDDEQVIDFKPAIGSEPVKEYTISVWPTSDPSNVKKFTTPSDVTSGVVVDGLDPDTEYNIQVAAEFYEGEELASEPITVKTPPRDVSCECEHACTFELNEDEGTLEPKCYCHDGFHLTDDGKGCERNEEEDATSQAVLQVTPPSITTKVAPEELPTASTGESEDSGATQSSISFIVGPDNKPLPVDKKGKQIDSAGKPIKLDENGDPIAPDGTILKKNEKGEWVYPLVDKTGKPIPVDENDKPIITAIDENGDPLVESEDGALVTSDGKPVEVDILGRPLDKNGNPYKTNENGQFVVADVDGAEVKEEDEEEEKPPVIPLYVIEVDDDGKYLDEDGNELPVNEDGEPIDEDGNLLEKNEDGRYVISKKVEEVEPTKITILSPDGTPLPTDASGAIIGPNGDPIPTDSNGKPLAADGSPLPTDNQGNYVILPVSKDSIGTPPTDETGKVIYPVVLPDGTLLSTDSTGNFINKHGDIVEKDDDGKPMGPDGQVLPTDASGNYIYPVTGPNGEVLPTDSNGNPIYPVVGPDGTPLPTDENGAVIGPNGQPIPTDSNGKPLSLEGYPLPVDSQGNYVLLPTESGVTQTLPTDDSGMPVYPIVKPDGTLFATDSTGNFVTDNGEIIEKDEEGRPLGPDGQVLPTDASGNFIYPVTTSDGPPVSTDASGKTVYPIIGEDGSPLSTDSSGAAVGPDGEPIPTDDNGIPLDKDGSPLPTDASGNYVLVPTEKPKTKSYPTDDSGVTIYPIVKPDGTLLATDSSGAFVTDDGQTIERDSDGNPLGPDGKILPTDASGQFIYPAAGTDELTSTDASGKTVHPVRGPGGTPLPTDASGAVIGPDGEPIPTDSDGIPLTKDGSPLPTDNNGNYVLVPSDEEVTKALPTEDAEKVVHPVILPDGTPLGTTSTGDFVTEDGKIVEKNEDDQPIGPDGNVLSTDDNGNYIYPTTGPDSQVLPTNSMGKNVYPVRGPDGTPLPTDSDGDVIGPDGEKIQTDESGIPLDQDGSPLPTDNNGNYVLVPTGQSVTKSHPTDDSGNVIYPIVKPDGTLFATDSTGQYVTEDGQVIEKDDEGKPIGPDGAVLPTDSQGNFVYPAGSETQIFTTDAYGKPVHTILGPDGSPLPTDLLGSVIGPDGKPIFTDQTGTPIDKNGSPLPTNDEGNYIFVATELPTDATGSIIAQTPITKPDGTLLATDSVGNYVNDNGDIIDKDDEGRPLGPDGQVLPTDDTGNYIYPATTSDGEILPTDSKGKTVYPVRGPDGTPLPTDASGAAIGPDREPIPTDSNGKPLAKDGSPLPTDNQGNYILVPSDEDTTKALPTDDAGNVVYPIVNHDGTPLATDSTGAFVTKYGSIIEKDEDGKPIGPDGAILPTDSDGNYIYPITGPDGQPLPTDTNGKTVYPVRGPDGTPLPTNSDGVVIGSDGEPIPTDASGKPLAKDGSPLPTDNQGNYILVSSHEEVTKALPTDDAGNVIYPIVKPDGTPLGTDSNGEHITADGQVIEKDEDGKPLGPDGEVLPTDDSGNFIYPVVGPDGQALPTDSEGNTVYPVVGPDGTPLPTDSDGNVFGPDGVEIKRDDNGIPLDRDGSPLPTDRDGNYVLVPTDETVTKTVPPDHSGVTVFSIIKPDGSILGTDSTGAFITDDGEAIEKDEDGKPLGPDGQVLPTDNAGNYIYPMIGPDGQPLPTDSEGKTVYPVRGPDGTPLPTDASGAVIGSDGERIPTDASGKPLSKDGSPLPTDASGNYILVPVDEDTTRTLPTDDAGNVIYPITRPDGTPLPTDSEGKFLTENGQIIEKDDEGKPLGPDGSVLPTDNAGNYIYPITGPDGQILPTDSEGKTVYPVKGPDGTPLPTDASGTVIGPDGEPIPTDSSGKPLAKDGSPLPTDASGNYVFVPSDTEVTKALPTDNIGNVVHPITRPDGTPLGTDSSGSHVTDDGEIIATNEEGKPVGPDGEVLPTDGSGNYIYPAIGPDGQPLPTDSEGKTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDASGNFVLVPTDEDTTKTLPTDSEGNVIYPITRPDGTPLPTDSDGKFITEDGQIVQVDEEGKPIGPDGFVLPTDDAGNYIYPVIGPDGQPLPTDSNGKPIYPVRGPDGTPLPTDASGAAIGPDGEPIPTDTSGKPLSKDGSPLPTDNGNYILVPTDSETTANLPTDDLGKVIFPVVKPDGTLLGTDSTGNYLTEYGDIIEKDENGKPMGPDGQVLPTDNSGNYIYPAIGSEGQALPTDDPNRKLYQVLGPDGTPLPTDANGNAIGPDGQIISTDSSGIPLSDLGLPLPTNNNGDYIVLPSGSEKTEPLPTDDSGNVIYPIVKPDGTPFGTDSDGKFITEDGQIIEKDEDGKPLGPEGQVLPTDSEGNYVYPITGSDGQPLPTDSYGKPIYPVRGPDGTPLATDASGAFIGSDGEPIPTDSNGKPLAADGSPLPTDNQGNYILVPLGEDTTKALPTDDAGNVVYPIVKPDGTYLGTDSTGEYVSDDGQIIEKDDEEKPIGPDGKVLPTDANGNYIYPAVGSDGQPLPTDSEGKTVYPVVGPDGSPLPTDSDGNVIGPDGEEITRDDNGIPLDRNGSPLPTGRDGNYVLVPTGTTAVKPTPTDESGNVIYPVTSPDGTLLATDSTGNYVTENGDVIEKNEDGKPVGPDGQILPTDNAGNYIYPVIGPEEITTEQTEKTVYPVYGPDGTPLPTDNDGNTIGVDGEPIPTDSYGRPLSKDGSPLPTDASGNYVLVPSDDDVTKALPTDETGNVVYPITRPDGTPLKTDSDGAFVTDDGQVVEKDDEGKPLGPDGSVLPTDDAGNYIYPVIGPDGQPLPTDSEGKTVYPVRGPDGIPLPTDASGAVIGPDGEPIPTDASGKPFSKDGSPLPTDASGNYVLVPTDEEVTKALPTDDEGNVIYPITRPDGTPLPTDSNGNFITEDGQIVQVDEEGKPIGPDGSVLPTDDAGNYIYPVIGPDGQPLPTDSEGKVVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDVSGNYVFVPSDEDTAKTLPTDNDGKVIYPIVRPDGTPLGTDSTGSFVADDGELIEKIDDGKPIGPDGSILPTDDSDNYIYMASDKTTEETRQVATHTPVTKPDGTPLGTDSNGHFLTENGDIIENDEEGRPIGVDGQVLPTDSNGNYIYTEKPTQGDAVFIPTDIVPIEREEPNCDQVDGRVDTLLFVVESSHNSAPYIETLKNLIKNLLLTTPENFLPKIGTLIYSATTEITVDIGSYDSFKELFDSTNEIREVGGIPDATNALRTAKMILEETTRGNVLVLHLLASPLRTSSKVYMDRIRAIPKTRLVHLDEKQWAQDPNAVEVLRSYLCIPIDVPRPSMQPTDASGNLVIVPTYATETDGPPTDSAGFVIYPIVKPDGSPVATDSTGAYLTDDGQLVEKDEDGKPIGPDGQVLPTDASGNYIYPIIGPDGQIIPTDSNGKPIYPVRGPDGTPLPTDASGAVIGPDGEPIPTDSSGKPLAKDGSPLPVDNEGNYVLIATEESVTQEFTTDETGNVIYPITNADGTPLTTDSNGNFLTENGDVIERNDEGKPLGPDGEVLPTNSYGSYVYPGAVTEPTSETQEVTHGPDGQVGAQPTDASGKSFYPVHGPDGTPLPTDYEGVVIGPDGESIATDASGIPLSKDGSPLPTDGEGNYIFVPTEKDTTKALPTDDEGKVIFPITKPDGTPLGTDSSGDFVTDDGQLVEKNDEGKPLGPDGQVLPTGSDGQFIYPSSTPDKEIQPTETVFTHYDVHGPDGTPLPTDESGNVLDLDGQRIPTDETSGIPLSEDGSPLPTDSEGNYVLVPTGDTPIKILPTDESTDTVYPVIQPDGTLFGTDSTGNFVTATGKIIEKDDEGRPLGPDGSVLPTDASGNYIYPVIGPDGQPLPTDSEGKTVYPVQGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDNLGNYVLVPSDEDSTKALPTNDVENVIYPVVRPDGTPLGTDSTGNFVTPTGEIIEKDDEGKPLGPDGSVLPTDDAGNYIYPVIGPDGQPLPTDSEGKTVYPVKGPDGTPLPTDASGTVIGPDGEPIPTESSGKPLAKDGSPLPTDNQGNYILVPSDEDTTKALPTDDAGNVVYPVVKPDGTPLGTNSDGAFVTDDGQVVEKDDEGKPLGPDGEILSTDSSGNYIYPVIGPDRQPLSTDSEGKAVYPVKGPDGTPLPTDASGAVIGPDGEPIPTDSNGKPLSKDGSPLPTDASGNYVLVPVDEDASRTLPTDNEGNVIYPITRPDGTPLPTDSEGKFITEDGQMVQVDEEGKPVGPDGSVLPTDDAGNYIYPVIGPDGQPLPTDSEGKTVYPVRGPDGTPLPTDTSGAVIGPDGEPIPTDDSGKPLSKDGLPLPTDNQGNYILVSEEQPTAEPSQISITKPDGTGFATDSSGNYITDNGEIIEIDDTGRPLGPDGQVLPTDASGNYIYPVTGPDGQPLPTDSEGKTVYPVKGPDGTPLPTNAFGAVVGPDGEPIPTDSNGKPLAKDGSPLPTDASGNYVLVPTDEDASRTLPTDNEGNVIYPITRSDGTPLPTDSEGKFITEDGQIIEVDEEGKPIGPDGAVLPTDASGNYIYPVIGPDGQPLPTDSEGKTVYPVKGPDGTPLPTDASGAVIGPDGEPIPTDSNGKPLAKDGSPLPTDNNGNYILVTTDDEVKDSKKCDIPHSLSDIIFVLVNDGDGVENYDQFRKTVVGFARKVDMSPDVIRLSVLSVGSEIAVPLPLGGYQEKEHLSSILTSFEIPPIVGTEILSPVEAANQQFSSFPRTGISKMVVIFADNEERTTQIGGATYVTVKYGTTAKEIIDTLTEACNNGAVDIILDETKQVIDNSIHSTSSTPVLVDQSGKPLPTDSNGKYLDNNGNPIVIEGDEPTGPEEHKLEKNEKGEWVYPLVDKSGKPIETDENDKPVITVVDTEGNQLSKNDDGNWIDLSGNEIDTDELGRPLDSEGKPYKFDDDGRVVISPEVEQEDATPAIPFIIVDGEPINENDGVYTDKDGNVIETNSDGQPIDENGQVLPKNEDGEFVKPSTVGTTKATIVSPDGTPLPTDASGTVIGPDGEPIPTDASGRPLAQDGSPLPTDNQGNYVVLPAAKDSEDAQPPTDSSGVIIYPIVNPDGTLLTTDSTGNYITKTGEIIERDDEGKPIGPDGQVLPTDASGNFIYPVTGPNGEVLPTDLNGNPIYPIVDPDGTPITTDASGVALGADGEPIPTDASGKPLSKDGSPLPTDNLGNYVLVPSDEDTTKALPTDDAGNVIYPVVKPDGTLLGTDSTGAFVTDDGQLIEKDDEGKPIGPDGSVLPTDGAGNFIYPAVGPDGQILPTSSEGNTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGRPLAQDGSPLPTDNQGNYIFVPSDSVVTKTIPTDDEGNVIYPITRPDGTPLGTDSSGKFITEDGKIVDADDQGKPLGPDGQVLPTDDAGNYIYPVIGPDGQPLPTDSEGKTVYPVKGPDGTPLPTDASGAVIGPDGEPIPTDSNGKPLSKDGSALPTDNNGNYVLVPSDEETIKQLPTDEDGNVVHSITKPDGTLLATDSTGKFITDDGQIIEQNEDGKPIGQDGQILPSDSEGNYIYPVTGPAGQVLGTDSTGKTVYPVKGPDGTPLPTDASGAVIGPDGEQIPTDASGKPLSKDGSPLPTDASGNYVLVPTDQDASRTLPTDNEGNVIYPITKPDGIPLPTDSNGKFITEDGQIVQVDEKGKPIGPDGSVLPTDDAGNYIYPVIGPDGQILPTDSEGKAVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLSTDASGNYVLVPTDEEVTKALPTDGEGNVIYPITKPDGTPLKTDSDGAFVTDDGQVVEKDDEGKPLGPGGSVLPTDDAGNYIYPVIGPDGQPLPTDSEGKTVYPVRGPDGTPLPTDGSGAVIGPDGEPIPTDASGKPLSKDGSPLPTDAFGNYVLVPSDEHATKTLPTDSEGSVIYPITNPDGTPLGTDASGAFVTQDGQLIEKNEDGKPIGPDGQILPTDESGNYIYPVIGPDGQVLGTDSTGKTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDASGNYVLVPTDQDASRTLPTDDAGNVIYPIVKPDGTLLPTDSTGAFVTDDGEVIEKNEDGVPLGPDGQVLTTDANGNYIYPGPDGQVLPTDSVIPIIVDQSGKPLPTDSNGKYLDNNGNPIVIEGDEPTGPEEHKLEKNEKGEWVYPLVDKSGKPIETDENDKPVITVVDTEGNQLSKNDDGNWIDLSGNEIDTDELERPLDSEGKPYKFDDDGRVVISPEVEQEDATPAIPFIIVDGEPINENDGVYTDKDGNVIETNSDGQPIDENGQVLPKNEDGEFVKPSTVGTTKATIVSPDGTPLPTDASGAVIGVDGEPIPTDSNGRPLAQDGSPLPTDNQGNYILVPSDEATTKVLPTDDTGNVIHPITRPDGTLLGTDSTGEHITDDGQVIEKDDEGKPVGPDGAVLPTDDAGNFIYPVVGPDGQILPTDSTGKTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDNQGNYVLVSSDEDATRTLPTDSEGSVIYPITNPDGTPLGTDASGSFVTQDGQLIEKNEDGKPIGPDGQVLPTDDAGNYIYPVIGPNGQVLGTDSTGKTVYPVRGPDSTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDASGNYVLVPTDEEVTKIIATDDTGNVVHPITRPDGTPLKTDSDGAFITDDGQVVDKDDKGKPLGPDGFVLPTDDVGNYIYPVIGPDGQPLSTDSEGKAVYPVKGPDGTPLPTDASGAVIGPDGEPIPTDASGKPVSKDGSPLPTDASGNYVLVPTDEDATRTIPTDSEGSVIYPITNPDGTPLGTDASGAFVTQDGQLIEKNEDGKPIGPDGQVLSTDSNGNYIYPITGPDGQILPTDSEGKAVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLSTDASGNYVLVPTDEEVTKALPTDGEGNVIYPITKPDGTPLKTDSDGAFVTDDGQVVEKDDEGKPLGPDGSVLPTDDAGNYIYPVIGPDGQPLPTDSEGKTVYPVRGPDGTPLPTDGSGAVIGPDGEPIPTDASGKPLSKDGSRLPTDAFGNYVLVPSNEDATKTLPTDSEGSVIYPITNPDGTPLGTDASGAFVTQDGQLIEKNEDGKPIGPDGQILPTDESGNYIYPVIGPDGQVLGTDSTGKTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDASGNYVLVPTDQDASRTLPTDDAGNVIYPIVKPDGTLLPTDSTGAFVTDDGEVIEKNEDGVPLGPDGQVLTTDANGNYIYPGPDGQVLPTDSVIPIIVDQSGKPLPTDSNGKYLDNNGNPIVIEGDEPTGPEEHKLEKNEKGEWVYPLVDKSGKPIETDENDKPVITVVDTEGNQLSKNDDGNWIDLSGNEIDTDELGRPLDSEGKPYKFDDDGRVVISPEVEQEDATPAIPFIIVDGEPINENDGVYTDKDGNVIETNSDGQPIDENGQVLPKNEDGEFVKPSTVGTTKATIVSPDGTPLPTDASGAVIGVDGDPIPTDSNGRPLAQDGSPLPTDNQGNYILVPSDEATTKVLPTDDTGNVIHPITRPDGTLLGTDSTGEHITDDGQVIEKDDEGKPVGPDGAVLPTDDAGNFIYPVVGPDGQILPTDSTGKTVYPVRGPDGTPLPTDASGVVIGPDGEPIPTDASGKPLSKDGSPLPTDNQGNYVLVSSDDDATRTLPTDSEGSVIYPITNPDGTPLGTDASGSFVTQDGQLIEKNEDGKPMGPDGQVLPTDDAGNYIYPVIGPDGQVLGTDSTGKTVYAVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDASGNYVLVPTDEEVTKIIATDDTGNVVHPITRPDGTPLKTDSDGAFITDDGQVVEKDDEGKPLGPDGSVLPTDDAGNYIYPVIGPDGQPLTTDSEGKAVYSVRGPDGTPLPTDASGAVIGPDGEPIPTDSSGKPLSKDGSPLPTDASGSYVLVPTDEDTTRILPTDDEGNAIHPITSPDGILLATDSTGNFILEDGQVIQKNKDGKPIDADGQVILCLQRSILPTDASGNYVYSTSGDKQTGPTDATGSLIYPITLPDGTVIEKPAQPAKPVGPDGQILPTDSEGNYIGPDGHVLPTDVFGNFVHPVIGPDGQVLGTDSTGKTVYPVRGPDGTPLPTDASRAVIGPDGEPIPTDSSGKPVSKDGSPLPTDNSGNYVLVPTEEDASKTLTTDDEGNVIYPITKPDGAPLPTDSNGKFITEDGQIVQVDEEGKPIGPDGSVLPTDDAGNYIYPVIGPDGQPLPTDSTGKTVYPVRGPDGTPLPTDASGAAIGPDGEPIPTDASGRPLAQDGSPLPTDNQGNYILVPSDEATTKVLPTDDTGNVIHPITRPDGTLLGTDSTGEHITDDGQVIEKDDEGKPVGPDGAVLPTDEAGNFIYPAVGPDGQILPTDSTGKTVYPVRGPDGTPLPTDASGVVIGPDGEPIPTDASGKPLSKDGSPLPTDNQGNYVLVSSDEDATRTLPTDSEGSVIYPITNPDGTPLGTDASGSFVTQDGQLIEKNEDGKPIGPDGQVLPTDDARNYIYPVIDGQVLGTDSTGKTVYPVRGPDGTPLPTDASGVVIGPDGEPIPTDASGKPLSKDGSPLPTDNQGNYVLVSSDEDATRTLPTDSEGSVIYPITNPDGTPLGTDASGSFVTQDGQLIEKNEDGKPIGPDGQVLPTDDARNYIYPVIDGQVLGTDSTGKTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDASGNYVLVPSDEDTTRTLPTDSEGNVIYPITRPDGTPLGTDSNGKFVSEDGQIIGSNEDGKPIGPDGQVLPTDASGHYIYPITGPDGQILPTDASGKPIYPVFNEDGSQLPTDSTGLSIGPDGETIPTEPSSAVPLSKDGSPLPTDSNGHFVLVSSEDTTTAVHSTDEADKIITTVTKPDGTLLSTDSTGNFVTGEGQLIEKNDEGKPVGPDGSVLPTDDAGNYIYPVIGPDGQPLPTDSEGKSVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDASGNYVLIPAYEDTTRTLPTDSEGSVIYPITNPDGTPLGTDASGSFVTQDGQLIEKNEDGKPIGPDGQVLPTDDAGNYIYPVIGPDGQVLGTDSTGKTVYPVREPDGTPLPTDASGAVIGPDGEPIPTDSNGKPLAQDGSPLPTDNQGNYIIIAAGEDTTKSLPTDDAGNIIHSIVRPDGTLLSTDSTGSYLTEDGQVIERDNEGRPLGPDGQVLPTDDSGNYIYPETTSDGSILPTDSEGELIYPVISPDGTPLATDSTGHYVTADGKIVEKTEEGKPIGPDGQILQTDNAGNYVYPVIGPDGQVLGTDSTGKTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSKDGSPLPTDASGNYVLVPTDKDTTKTLPTDDEGNVLYPITRPDGAPLPTDSNGRFITEDGQIVQVDEEGKPIGPDGSVLPTDDAGNYIYPVIGPDGQPLPTDSEGKTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGRPLAQDGSPLPTDNQGNYILVPSDEATTKVLPTDESGNVVYAITKPDGTLLGTDSSGDFITDHGKVVEKDEDGKPIGPDGQVLPTDASGNYIYPITGRDGQILPTDASGKPIYPVFNEDGSQLSTDSTGLSIGPDGETIPTEPSSGIPLSKDGSPLPTDATGQYILVSSPDDVTKTHPTDDNGNVIYPITRPDGTLLSTDSTGSYLTDDGQLIEKDNEGKPLGPDGFVLPTSSSGDYIYPALGPDGKVLPTDNSGNVIYPIVYPDGTPLGTDSTGSFITEDGEVVGKDDSGKPIGPDNQVLPTDDSGNYIYPVIGPDGQPLPTDSTGKTVYPVRGPDGTPLPTDASGAVIGPDGELIPTDASGKPLSKDGSPLPTDASGNYVLVPIDEDASKTLPTDSAGYFIYPIVHPDGTLLATDSTGSHITDNGEVIDKNEEGKPLGPDGEVSAVLSVDASGNYIYPAVGPDGQILTTDASGKPIYPVRGPDGTPLPTDDSGAVIGPDGEPIPTDASGRPLAQDGSPLPTDNQGNYILVPSDDEVTKSHSTDSSGIYIYPITHPDGTPLGTDSSGSYITQDGQIIAKNDEGVPIGPDGTVLPTDDAGNYIYPVIGPDGQPLPTDSEGKTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGRPLAQDGSPLPTDNQGNYILVPSDEATTKVLPTDESGNVVYAITKPDGTLLGTDSSGDFITDHGKVVEKDEDGKPIGPDGQVLPTDASGNYIYPITGRDGQILPTDASGKPIYPVFNEDGSQLPTDSTGLSIGPDGETIPTEPSSGIPLSKDGSPLPTDATGQYILISSPDDVTKTHPTDENGNAIYPITRPDGTLLSTDSTSSYLTDDGQLIEKDNEGKPLGPDGFVLPTSSSGDYIYPALGPDGKVLPTDNSGNVIYPIVYPGGTPLGTDSTGLFITEDGEVVGKDDGGKPIGPDNQVLPTDDSGNYIYPVIGPDGQPLPTDASGKLIHPAYTPDGTQLPTDASGSSIDPDGQLVPTDSSGKPLGKDGSILPTDNSGRYILVHGDGAVTKTVPTDESGNTIYPITRSDGTLLSTDSTGIFITDEGHIIEKDDEGKPIGPDGQILPTDGYGNYVYPAGSDVGGAKQLPIDENGHTIFPVIRPDGSLLSTESSGSFVTDDGTVVSKNDQGKPLGPDGQVLPTDFAGNYIYPSIGPDGSPLPTDSNGKPAYTVIGRYGDVLPTDSLGRSVNIDGSVVPTDDEGLPIDQYGIVLPTDTTRKLHTLVPTRRPSEFCYVTSHIDLLLVIDSSNNIKVLDYRVMKELIKNFLTEHFNLRKHQVRIGLVKYGDGAEIPISLGDYDNEDDLVHRISESRRLKGRAQLGAGLREALDELSISGVDGVPQIVLVIKNGKASDDYPSAVQSLKSERNVTVFVVDSGDEESQKQNTELTDADKVIVVPQWRGADSEVLGPIADYICKVLSLIFFSYPHYSLQIVPNVESARTWPTPRTKATTPAGSGRSCSSIDFESDVIIVLDSSENFTPDEFDSMKDAVASIVDTGFDLAPDVSKIGFVIYSDKVAVPVALGHYEDKIELIEKIVDAEKINDGVAIALYGLNAARQQFQLHGRENATKIVILITNGKNRGNAAAAAEDLRDMYGVQLFAVAVGSNPDELATIKRLVGNSNPDHVIEVAQSTEIDDNAVGILKAVCGNTAQKNSEMPSHLTTKRNVQSQKYTTAPMLRTTRAVSGGLCNDGIRRPYHINILVDITSRASTEEFGRVLNHLVNFFNDRLRDEQHMITINIITVNSEKVQKVINNLRVDQLADELNGITQQLDDSVSPKLGAGIDALVELSKENYINGAVKLMIIVSSDGTSSDDALPASEYANGDFQHNIIAISVRKPATDLLSKVTGLPTRVVHLDQWSAPNELFDSWIAYITCDYATASTTRKSTTPKMTTLLPYGRDASKEDATNIELIPLSPSSISVSWTCCTNNKSNYTILYTHDTSIPKEKWLRKEATCRDSFGTHLDNLPSDHTYTICVMTTERVENSTALSIDKNCDSLHIDLNTTAPENYVKPSPTSCNCQCSEGKAILRATCEMVIDTNRPIATLPPATVDECPCKVKAHGGRCPKGYIAKDGQCYDIDECATNNGQCTEGCVNTPGSYYCACPHGMMRDPLDPFNCVNTANSFDKIAALLANYLEANTKNAESEVTSVKSGERVNYKATIKSADDKTITFEWSHVPEVVRRAFKWLF >CRE25368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:170628:171032:-1 gene:WBGene00054670 transcript:CRE25368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25368 MGITDLFSILQKYEFFSCEEKKQDESRNQMEARKKKRLELQKKFAKDRKERRREKEQRKLEEGRGRKFMERERKKKEQEAKESKKKLNKNDVWKQKWDEQEEVFKKWKTEHEDNLKEKGEANEQEMENDGGNEA >CRE25074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:171959:172553:1 gene:WBGene00054671 transcript:CRE25074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25074 MVKVKPLVNILNGIKGQKYSSENHPLLQLLFYSINFKMSTSIRVFLLFAIFTGVVEMCGSLSSQSTPAETNTASTPTGQTVGRKRRSVDGSSIVHIEFQTTLTTAGEMEFSKAENELTEEYEELLDKALRQVATGVDGGSVLKYMFIETDCGIAQLFAQAVKDLNSFVTGATIRCNDQISNI >CRE25369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:172762:174455:-1 gene:WBGene00054672 transcript:CRE25369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-odr-4 description:CRE-ODR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LSS3] MTSLAIPVTESMILFDVQLQEWVTKSAKNHEFVQSDKSIPASAYFLLGSFCSDNDIHVAYASKCPVHSSALEVNAPEKAKMLEEDWMADHAERLLRMLPGGIHVVGVAWFSDKKTFTERKPHIHKTLGRIQKMNNQITTANVDESISDNMITVFFETPSTTPIGAIIDVTNRGNDSAQKVQFQKLEWISLVTNASARVVHNVPVESGKPTDFYSDLMVATKNFVNNIFHCEFTLLDGEIRDEKEPLIKDIKKNKKTTIEATLFLNPLHNREPGATDELASNMHEILFDIEVRAAVPIRTSVKDAIRAIKHHLVRNLFARVELHYESMEVVEEERSPKTGITVHQLPRPATTVLYTHPAILINDFLFEADNVEDAQKNFDDMMDLQTSIEHVDEGWERALTPEEMEAVRTPIEDLRFVDYDGSSDSWCTTRTLLITIGIIIGLLASIIYFTVAHS >CRE25075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:176642:178038:1 gene:WBGene00054673 transcript:CRE25075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25075 MILSITKLLFLFVLLFFQFDSIGAHISSNSLSSQPKRYEKNSKWCKGPIDGDCNPYNCGGGCESKYIRFYDKAFKMDRTIKSCFCQQERLCSILGMHAFSGCKSYYQLSSVAQKFVRYWSMDRRDHKNRHSNSVRHRYSDKARHFQLLNGNSRFCCRATTQKRLALHLRSSGPSTNFYFFSSLIAVIYFLIY >CRE25370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:178261:180065:-1 gene:WBGene00054674 transcript:CRE25370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25370 description:tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit [Source:UniProtKB/TrEMBL;Acc:E3LSS5] MSFITAFGDRVFIGAGDLIRTFRIGNESVPELFISWSELNPDRIQKPDFEAEKDLKAVDKKVILCLAHSNVLFAHGRRLVAVGTNEKQIHVFEYFVDTNGVIVKSEHIVTSVVPKAPTAIVFDKEDAYVVVGDRSGDVHRFSVLNGSAIEMAGAISMILDVAFSPDGKRLLMADRDEKVRAMRYPSTYVIDAYCLGHTEYVKTLAIQDNDSVWSAGGDKNLYNWSIFDCSKPRRVVDLSEFDAPIRKISINLQYKKIAVVFEKKENVAIVDIEQEPAKTRALPITNETQCLDIASSGQFFITLGKSTITLIDLTCMKQHTIAIDDELISALTTTEDAVDNLFKNVTHNNQQEYEKRKAEKFEQLEQKRRKLNSKPASSS >CRE25371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:181201:183051:-1 gene:WBGene00054675 transcript:CRE25371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25371 MPSLWAHLFGPRLYGIFGGANRQESTLENLGNTVISFSTGIYYIVSSLAFGPFILSYLYYRDWLTPVGMMTILKYAGYLTLIGYGARTFGRFFDETNARFLEVWENESNKKDDNNHAALKKYDFELLNVTPDFEARPNADLWHLQPEVTEVGAFTRGLASWAIHAFGRHLIYPGSMGVLKYMMRPNLNAARKLLVQNKNGQRVWIKTSEGDVLDAMFLRGTASLSQNLVLCFEGNAGFYEIGVMNSPAQLGYTTLGFNLPGFGESTGLPYAKNTLAAADAVMQYAIQVLGYRPENIVLFGWSIGGFPVSWLAANYPNVKAVVLDATFDDLLPLALFRMPTFFSSIVEFAVRNHMNLQIDKLLARYKGPIRLIRRLQEEILTTAVDDQPENLRRATNRINWLLKSIIRDRHPELIRNLEPQVDRWLDMSPTERLMHSGVSLREESQQRKRLFDACSHYLIDFDANHVTPLDPQFFNIPEGRDSF >CRE25372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:183238:183920:-1 gene:WBGene00054676 transcript:CRE25372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25372 MPRDDPITEEERRANSATDLIRMRLERLQQNIDKPAPIPTKKETLRAPKAPLEFVRNVVGSSAAAGSAEFHIYRNNRRKEQNRLDYIDAVAKKEELDEAYRQKVNKLEEEEQLKTAKKRAKRLRQKEAAKKRKMAKKEKDSDDSSSDDSDDSNSDSDDDDDSEKERKTEEEQGAEGWEEEKTGEQKNEEPIISA >CRE25076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:184255:185888:1 gene:WBGene00054677 transcript:CRE25076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25076 MEINHEVNQPNPDSPRNSKSPGKRQLRRNLSHVSSCSTRMTQKSFENYWSVENFSIQLELHQHGEFMLAPKFGDSEYEFVMKLFPNGKDEETTGYLSLFLLINKCPNPRLRFRVSFTVETADGPRSCHLNKNLVTINRSGIVTASKFFSLDILKSATSIYTPSDILTIGCSLTIFGESLTWPTNVFCPYSRKQNSSTNSRSGSYTPDSSSIEKAEEKPNEDRFPKLLESGEFSDFTVVASCGREFKTHMCVLSSRSDYFDALLRNKTTREYLEKRVTFEDISATTLDVILRHIYITNNGGTIEEHQLTPELVSATDRWLFYPNILLSERHDFRLMISSMRTLISEVISTNLTTQNIVGRITMAAELRLEDEYESLLEFFARHKKEAMESKPWAEMKKEKPEMTIKILEDALLHFEEPCGALDRRIIDRITLN >CRE25373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:186129:187570:-1 gene:WBGene00054678 transcript:CRE25373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25373 MEPKSIFLLGLLMFRVGRLMRNEKLAWSAEYESKKYKSYHNTSLFLTLQSTGTEMTNLCHKSWCDNVDDVFVVPHHYVNWQKPTEPWLIHHFSKMILHTRRLPHQAEWYMFAFDNNYIFVERLVKELSKFDAHLPIYTILRDSNTDIPHKPVLILSRFALNTFYDMQEENCSENAESVEEWLTTCMSIPPITISTDKKRKSRIFAVKRHFQVDEMKSMPVGYHDDKEYIHSHSNSLLSFTNLTVDDLKLLSVFVEKVEGGSRRNNRKTTANYF >CRE25077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:189853:191413:1 gene:WBGene00054679 transcript:CRE25077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-isw-1 description:CRE-ISW-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LST0] MSDNDSSQGMNDEPMETDSNAGEVEQIEEVGRRMEVDDEPETSDAADSFKRFEKLLQKTENFSHCLSSGDAKLASGIPADAKKRGRPSKKNGVDGDHRHRKTEQEEDEELLADAAQGDDLVIFDKNPFYIENGEMRDYQVRGLNWLVSLQHNNINGILADEMGLGKTLQTISLLGYMKHYKNQSSPHLVIVPKSTLQNWGNEFKKWCPSIRTVLLIGDEAARNIILRETILTQNFDVCCTTYEMMLKVKTQFKKLNWKYVIIDEAHRIKNEKSKLSETVRELNSKNRLLITGTPLQNNLHELWSLLNFLLPDIFTSSDDFDSWFSSDAMSGNTDLVQRLHKVLQPFLLRRIKSDVEKSLLPKKEVKVYVGLSKLQREWYTKVLMKDIDVINGAGKVEKAR >CRE25374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:192838:194338:-1 gene:WBGene00054680 transcript:CRE25374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25374 MANADALVFFINEQTLRDENCLLTLQYAWNLTLPILMLRPPMTKLVISNREAHREREKIMHIGECAIVRSTSGEPWQLLNDGNSESVDYTLLQDVLHGGYRLSTLYDVQSHAKSLARVRRKLSTVFSTTNFLLPLSKGGSSSSSQPHFYLSPSNSSLCDAQKLSKSRSAVIKPPSATKIATRRQSGSSGDNNKTRLNLSMSTGNLCRTGVVRTPYPKAKQFQFEEDGGDEEDETDEEDTDLVSIKTHSGRRRNVLKSPPPPDRIPSSVGASEVDEKDDTFLKLMPDNIQHSIFKTPTLDLPIGDDSFPLPPPTPKTPGSGLFSIPSGRNTENTSRSRFTKRSRFGSITSRTSSLDRRMSLSCLEDISNYQKTQYLVFPLRDSTKKPYLLKFPEDMHEEEEKHGGSVWGSDTSLEEEIKVRMSITISYIC >CRE25375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:196144:220750:-1 gene:WBGene00054681 transcript:CRE25375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbf-1 description:CRE-RBF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LST3] MTKSTKLRHCKQKKKKPEKEHLGVNLELVNSEDCAVAPLRLPLYPHLIPLIMVGLLQQAPTTAWLVVYPVTVEQRHELREEDHQEVRLNNQSIQMNHRQVELLNGDHLHILCLICQWFRQDMFSRRTTPSPSITTASSSTFSISNLTNNNATSTSDLPASAISNIVPQIPPTPRRVPPKIGLLRHLSGFLESKKDLMNDWEIGGTQNKWVCPSDRHLHLRAQLKSGWSVRTATARSPTNSKAQTGSITAAEQEHIQRVLAKAEESKTKEQQRIGKMVDRLEKMRRRATGNGVTHCLLCHTEFGLLASKSYAAMCVDCRKYVCQRNCGVETTDVSQTTGKVETVFLCKICSEAREVLWKKSGAWFYKEMPEFQRPDDRLPYYTPVTANGSVPNANSSSTPLSGTPAAGPQPMTMPSTSSCQMTTPKWASPGASNSTAPQITNGPASPLPNGNRRNTGNGGIDFPSSSRPSICSVLQAIEPLDRSKSPRPRIQPRWVNEKVMSSMSVDDEEKAASSSDGESFIQSGIPRRQINNKTPVASASKTTSPAPPPTSTTPTSRIDANMERFSRHTNSHKNKPLYSTDSEDDSSPESRPSTRSTSPRHSLATPSSYAHDTCHDTSLPDADTRSIDSGVVQSDHSNPQQSGLTCSSSSLTPLQQQTNHVDHHHSSGRGSPRRISNPDSATSRVAQSASGTSLVTPPPPISSRTSPDHRTSSPMNIMEHKTSSASTASSGGTRRVGSAEPTINNHHSMHNNHNHNETKRLISQTSRAESPLAAAPSYLNSPDEDMKQRTRRRDGVGRINSLQLRTSLDDVAPPVPPVAVSKMNGHVVSSESSSTSHQNHTPAPTTVPVVLEEEEEKAITASTESASEPGSLGSISLTLTYHSSDKKLKIHLIRAKNLKAMDSNGFSDPYVKFHLLPGNTKATKLTSKTIEKTLNPEWNEEMSYYGITEEDKEKKILRVTVLDRDRIGSDFLGETRIALKKLNDNEMKKFNLYLESALPVPVQTKEEENEDRGKINVGLQYNIQQGSLFININRCVELVGMDSTGFSDPYCKVSLTPITSKAHRGKTSTKKRTLNPEWNEQLQFVVPFKDLPKKTLQIGVYDHDLGKHDDYIGGILLSTSAKDERGRQWIKCIENPGTLVEAWHRLELDS >CRE25079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:246871:251466:1 gene:WBGene00054684 transcript:CRE25079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdfr-1 description:CRE-PDFR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LST4] MADSTSPFNVSILDNSTAKLSEMVDSGWNVLASTSVQAFNGAMDVLEESYPLCKKMLDHNKLFPERDPNDTRIWCNATYDTVLCWPPTPANSSVTLQCPHMKGLDPNKNITKDCHVSGVWSGRNAGEMGPTLPGWTNFTMCYTDEVIYIMQNLNNESLTIAQEVARNARKLEFVGLGLSLVSLILAISIFSYFRRLRVFRNLLHLHLMIAMLMVVIIRLVLYIDLIFTGDIGPHTNSAEGKTINTMPIVCEGMFFFLEYFKTVTFCWMFLEGIYLNNQIVFGFFNSEPKLLPYFLAGYGIPLIHTMLWLFVVLIKKDFKVERCLGSYYLEPEFWILDGPRMAELVINLFFICNVIRVLYSKVRESNNTSEAGLKKSVKAAMMLLPLLGVPNIMQTIPFAPTRDNIMVFAVWTYTASFTYMYQGLMVASIYCFTNKEVFDFNEICFDGKVQVNHVLKAFYARYRLLHKSQNELRRGSRSVASHYAAKNGTSNTNAQQTNNADETGKLSPFPSRSKKGSDDSTTKLMKDTVMEEEKNANNNGYGSAGEMTPLREGSNRSTKSP >CRE25376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:252333:253396:-1 gene:WBGene00054686 transcript:CRE25376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25376 MTRTKCSVCNDSESTQFLYQVDCCNACAMAHRRHCEGNEDPKTYFGDQNCNFCCFKKYRCIEKSKNISIDLAGLLKDLSIREFHRNIVFTTKSVRTNFTVDDAVSSEKLKLINCPLGSHSTFEDWSTMNQIATIDYLKNLEFVKELGDEKTKFIRRIRFRSAILSTAFRSYQQNKDSMDITDNVDIFPDLTGKRFEPEFLKRIRCHLVSILIRLKITKDEYMLVSVLFLCVSDQFTLSNHGKASLHKYTGHYIRALLDYCVLLYQKHGASRFNELLSIFEAVNKTYHEIEAFAIMYRMSTPVRQSKDLIKFE >CRE25080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:255106:256318:1 gene:WBGene00054687 transcript:CRE25080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-9 description:CRE-NHR-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LST6] MNTPPETNCAVCSRPSNAFNYGVLSCNACKMFFRRAILGKSEKFCKNNGNCDQLNSILACKLCRFQKCLHLGMKLNTNQYVNLSDLINSLSKRNAERSNKLLNYQCADDPTLSQVINKFPLFSKKSTDQVFSKSDWGFMEQLTTIEFMSKFEFAQLLDPDDLLVIFKASCFKTASLIKAIRSYSLKSEFLQYPDGDSIVPEQLIPYCASDFLARVQCRLIGKLIELNIKEEEFLLLISVLLCNPAINHLSDDGRTIISIQQKIYTSALFQYCLITYQQFGPSRFNELLSVCHIINRHMEDIGQLCFMFTFRPPTEKYKKLCAELLNC >CRE25081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:258020:259136:1 gene:WBGene00054688 transcript:CRE25081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25081 MAERNRNMAIACEVCGTADNVIMFYRRKNLCNRCKQFSRRITKATTPIVCNCTGECSPSGTCREGRLAKCAEIGLNIFDEKLEKLFKNLMDWNERRLFNFTNSQPTEDLSLEEIMNRGTIEFTERSPSDHNLTSHQWASLQNITTIEFMKGFHFVKFLNPEETTAFIKKTYFKTTIFFMAMASFQSNRDSMIFPGNKDVFPEESVDIQQRHDKLFKRIRCLLISKFIEFRVTKEEFLLLSVLISCDPNIIMEPNSDDGSTNPNLTKKGMNLIRTYYNFHQVVLMDYCRKNYKLNYWPNRLQKLLSLILVLIDTMGDLGKTHSLFQFVESGVKLNKLVDDFF >CRE25082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:260102:261216:1 gene:WBGene00054689 transcript:CRE25082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25082 MPSRRLQVWKPCVICKDMTTEFNYQVDSCNKCKMVFRRVFRLSVPLEPCNCSTRRIQECRNCRFYSCINGGMQINSIKKYREKLSLIDSLIALDNKRNSTFFKYQMTEDLNLDQIMSPGAIKEKDEHVIFTSHDWVLMEIYTTIEFMKGFDLVNLLTSRDLKIFIKNAYFKCAIYFIAMRSYSNNLSFMTFPEKVDVFPEEILNVPQYSQLLLNKIRCRLISKFIEYRVTKEELLLLVSIIFCDQTDPDYSMPAKNIISQYQKIYASALFQYCELKYQQSVPARFAELISISAVLVDTITDLDTVVSLFNLYQPNLKLRQLVKDSFPQS >CRE25083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:264577:265499:1 gene:WBGene00054690 transcript:CRE25083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-odd-1 description:CRE-ODD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LST9] MALPMGILPGNTMSQVVPSPEDVFNMIMAQQQKLFLHHFMSQQSQQLEQVNAPSEDLQAWLNSLNLSPSPSPALPITAATNTIQVPLTTENMFRHQLQSQLLTPLGTPWYLDPTHRTNHGPRKRQKKDFICKYCNRKFTKSYNLLIHERTHTDERPYQCDTCQKAFRRQDHLRDHKYIHAKEKPHKCEICGRGFCQLRTLNVHRTSHHKEEKQENKETVMIAGIPFEKLMPEFHSMIDVISV >CRE25084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:266411:270569:1 gene:WBGene00054691 transcript:CRE25084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25084 MSGNISRGRKRSPFSLNFLNFELIKCGFKKSSFSLFFVLNQKKVRELKPIVIEESQRRMVRFVKQFIDTCETFSVSDLLLIYKDLSILSRIVNAIALQSAAVHNIVEIREVVTEFLKADTKSFSEVVKNNLNHFLPILKDLEDRAIKLSRSVGSYERTVIDKIQKKNALIGSYASVGFLLRETHFMGKIDELFKYVKTKNTKESVLACAPDVVQNTRNFYYLSKKNTIVSLNAKNNLEIISNLKKNSGNLRKCMDNFKNYNENVKKVFKDKYMYFSFLLSFRNTIEEFHTDSSDLNKFENYMDKLKEVIQKTENSMDGRKASKIGAMFRRGINHLKIITDSKKAPTRSWTAGFTEIKEMGRVSEDLKSEWFQEKISKGKSTEVIEKNLGEFFKFVEMMIELEKSWRTFQKLYFESSHYLEVTANKVNFTEYHSSKIDVKFLDEYRKIFKTCEYNSSFDTEKYSTFDKEKMVLKDINSVIDSMNTWASETVSSIDPDVLDNFLNQMDRINLQSDAQASLDNIRSLNGYQSFHNLIEKFEKLEIIQKTASTAIDEKLISRAEPVISKTITEFQNSTFLVFSSCLTDKFTFSQETTTVIKYVRSVLDITHFEPTKRLFSQFLEMRKHLTKVEFFVKGIARTANVSDENPLFKLEKPKEVSMSFSRGVNMIHDMAIAFQSRQVLIEATGYNSDVSEVIRKNNPIDFVRSFWKNPAEPINKLIEDLNKLDKFAATIKNKNLLKIRTIFPRASKVIGFPDVFGSVYRQLNSYGYSDEEKLRNVENAKKLSELYLDFSSHRGYLPAAKLSVGNIKSYFDDVFELVDKSKKKRSENAYGLVAGISISIFVAIGSIILVVYGLTESGKEKYKKIYLYYFPKQQELEKRWRYSVTFMDRTDGKNSLLDAVREIHEVNVLKAVKRGVYINAYNCKCFPNQSCHFLFLSDISPELGNTALHIATKRAYPKIVDILIRHGADRTLLNAQNKTPEQLIPQNYRETQTLKIKRFEEVEKVYKKYKNKKFRIQVPNLFPNSSFHIYIDGRTDDNLTNSFIEKFQAISSEEFLPTTTHCIVKCNADGTLETDEMKLLMWIFSGVIIMKDSWMSECLKNEDIINHDYNYLVENVKYNGVIYNTITQWTNSMAKGSMPYLYGISVAVVNLDYENSEDDFFNEEFEFFTVSLLSNIVTMHGGTMLDTFPTKESYNIGSRPYLHVNTPPLFLIHNGTVDLKVYKNDPDKMYTLFTEQEFLVFMLKRNIQINTNPNPLSVAIDGIIE >CRE25377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:270680:271970:-1 gene:WBGene00054692 transcript:CRE25377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25377 MRFGIKIYTVFSILFSGFFEKENRMKHFGAAILAIVCLQLVESAAVFDRNYTKPYVLKKTWVQNFIKFQYIFEGNQQKPKIVPLGCSPTNVDSDNYLKPGETSIEHDFLFSCEEGEDGVLNYEATACIDAHGEVMHPGETRRLSNGTVVLHCNIYGGALKKVVERDLFSAAGCYFNETIYSEDEKWVEPQVNPADSSIDGRLMQCFRPHYSYYESHVVGCVIGKLGVLIGEFGQLLDGSYVKCVEAELGHVSLKTVNVDELQCKMDEQTFAHASQWTDDKKGANMKCNYGHIVKESCVIGNETLSIGQEVPVSRGCIFLCHPQTNVYICDDYLQEFQIIETEGQDLGPIEGNQIIVEEPEKKKSLKSVFKF >CRE25378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:272660:274369:-1 gene:WBGene00054693 transcript:CRE25378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-10 description:CRE-NHR-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LSU2] MTGGPSSANSSQPEEVCLVCQDISTGYHYGVPSCNGCKTFFRRTIMKNQSFQCQFQKKCPVDKSVRCACRHCRFEKCLQVGMDRNAIQQNRDPIGYTKRTRRYPPIKKIEASEECSPAMISESDRNEDNFLAMLSNTEQKCCALRLAEYMPSRTLLEAVVSPCLLADDAFMAEHAILSPRHRVNENNLRFATQIDYHYWHERDWFVMIEWAKTLPVFQSLPFPDKLALLRHSAITYPSLVHVFNSPDHGLDTIVFPDGAYFDRTPEPTRPLGFNKKKYQMLDQLLKPMRSMQIDMTEFSAFKAIFFLNPDADDVDSAAKKMLSDGRSAITNALYRYMVKKKGAEDAGDRLVFNFTSSTRIKLSSRFGRLLLLGTVLATMAVEMKEAVLVADFFDQIQFSTFAKQLLFGIKQET >CRE25379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:278291:280312:-1 gene:WBGene00054694 transcript:CRE25379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25379 MSKRPVIESEKPAWHDEDDDGLVVSVPTKVKKTLKIELKKTTDVEHGELDSKEYIGRLQEAFKKRHGGTPKWAAASADDEEEGSLSKTAAGYLAKDVKLPKTTIHTNLLKDFNIGHQCNRDITCVKFHKTRPVLIVGDQGGNIQLFKVSKEVKKDRFLQSASFAKFPIDCMEIGGQGHTVICSSSRQEYLMEYNMDTREVTQLKPPNTVPKQGIHLFAVSHDSQFLAIAGHNSHIYVLHSASMEHITTISLPANARCIKFFPSHNREMWIICETGQVVIANIGLQGSKSTQHCFTDDGAVHGTTLAISQHGDYFATGSDTGIVNVYSGHSCRDSSTPQPLFNVSNLVTQVSSIAFNSDAQLMAICSNAKENHLRLVHVASQTVFKNFPERNGKVSNANCLDFSPSGGYLAVGNDRGRLHVFSIHHFSDY >CRE25085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:280540:281604:1 gene:WBGene00054695 transcript:CRE25085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpia-1 description:CRE-RPIA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSU4] MFSTKFPLSGVSRAVRMVTTTAPEAEMAPIEQAKKRAAYACGEKYVHSGCRLGVGSGSTVKYLVEYLKQGYQNGQLKDIICVPTSFLTKQWLIESGLPVSDLDSHPELDVCIDGADEVDGQFTCIKGGGACLAQEKIVQTAAKHFYVIADYLKDSKHLGDRYPAVPIEVLPPASQPLLLSIPRAEGGSCQLRQATKKCGPVVTDNGNFIIDWQFEKNVSGRDWLAIQQRLANTPGIVETGLFIGCVDAVFFAYSDGSVKEIVNAKKH >CRE25380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:281763:282203:-1 gene:WBGene00054696 transcript:CRE25380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msd-3 description:CRE-MSD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LSU5] MSLTADPPACSVPAAGGTSVHKLVNAGAEKMIFKIKSSNNNEYRITPVFGFVDPAGSKDITITRTAGAPKEDKLVIHFAVAPADATDAQAAFAAVTPAGTVTIPMSAA >CRE25086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:282410:283700:1 gene:WBGene00054697 transcript:CRE25086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25086 MPSEKEEDPMKNVTFHKNKKFGDWIIGDVLDEGGFGKVYHVTNIHDPSKVAALKAESNDIEGGSAIKLEAMILNRLNENGPVPHIPIVYLCAKRKLYCYMVMTLLGKNLRKLKTLNTVMNNGFTRGTWSRIGIQCLYAIKYVHDNGFVHRDIKPQNFLLGYEKEKERARIVHILDFGLARPFATYFAREKKWIARKARGSAEFRGTLRYTSPNVHLRKEQGRGDDVWSLLYVLIELNGGKALPWQNDSQRERVEQMKLNLPAKVVMSNMPGCMDKVMPHLETLDYYQRPDYHLFFKALWQVMENEKITPNSKYDWEPDNVDPKSYPTAAWENPSGSFFQLDPIGINTPPTPAETALTTEKSGKTKKSKK >CRE25087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:284303:286975:1 gene:WBGene00054698 transcript:CRE25087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25087 MITPELSDFLEHEEKESILKAISASDKIVSSGICQYLIEDEGGWKKPETGAAVVLFVKNSSERYYRIIVIEPSSEKEWEPTIQFDFLIKDKKFETIQMHAKLLVFEDHNGKEIGLHFYDSKECDKFHNSVCKRQNAKTTENQGTPALDGKKPKKKKKSLFHSLFHKEPKKVLEIGEPTNFRHVDGVKMTEGQEDLYMEVMSKLKTHGPDEEELVKQLIVRNEDKFRQSMMVKKESQTVRVVKDKSKDKSKSFFGRSKTKIEDVSQPVVPVLATPSDPLNPDWNSDRSSVVASASSFSSASHRSSSTVTVTSSFKHSHTFAPDPIKSSDWDAPRKDTYGVVNQREPQNIYRFEQIEQSVEIQKKEIDERPPELPSRSTSRNVGEPISRTGLSTPRLPSHRGSYRWATQPETIPKQPPPTSNSPHSHQVDEYAEIKLSSRTPIRTAPPPPPATPPSLKTPISTTSSWRESSSVPSKSPSPKSAPPPPAPSAKSSSQHSLPPISNKAPPPPPPPLPTIATPSSVPPPPPPPPPPMIPQSDSDEDCSRPPSVRLSAVPESSTDRRSLMDQIRSTDRSTVLRKVSDTPDSRQSVVSPTSGTIVDQIQSFLDARRAGIHPSDSEGSDDEEDDEDWSD >CRE25088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:287368:288818:1 gene:WBGene00054699 transcript:CRE25088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ggtb-1 description:CRE-GGTB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSU8] MSFAGLLDFARKDVELPMNSPKELLQDLHSNFILKYEKDKNGYHYIMAEHLRVSGIYWCANAMDLTKNLDRMSREEIVDYVLCCRNSDGGYGPAPGHDSHLLHTLCAVQTLIIFDSLEKADADSICKYVQRLQQEDGSFCGDQSGEVDTRFTLCSFATCHLLGPLSVLNVDSAVRFLMRCYNTDGGFGTRPGSESHSGQIYCCVGALAIAGRLAEIDRDRTAEWLAFRQCDSGGLNGRPEKLPDVCYSWWVLASLSILGRLHFIDQSAMKTFIYACQDDETGGFADRPGDCSSFDNSQLHELSTLQFGVTVFQKIL >CRE25381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:288985:289727:-1 gene:WBGene00054700 transcript:CRE25381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25381 MSNQDSPTNRPNGSYLDELMKELHSMTNIYESNNIAKFRNAQNLLIREIQRVLDAELLLNNLGSRMTSGTPRVEAGRETGLSSIELSRNGNRWKEESKFMTPSPAPGSSKFRQSFSPLTLSLIGGGGGSSPHSGKEVDEDDEGKIEKRDKLYFPEGTKNNANPIGRLIGPRGITIRQLEKDLGCKLFIRGKGEYFDNFD >CRE25382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:290160:294064:-1 gene:WBGene00054701 transcript:CRE25382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glr-2 description:CRE-GLR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LSV0] MKVNELSGAFVASTDTDNDTAHAIIEMLRLAEMTFNALSDVDFDVLLGTRDLPPMEMATMMWNLNRIICDEMKLGYMLMLAGTNFKNYGIYEDIANHMKMPLIDWEPSKMDYVPSGKHSSNHPMIFSVAPSAEQLLIDYIQYKGWRDVVYIHDGKNGWFSFVNFSIQMVVSADRTLRTMFSYLHKKSPKYQLFVDNYVAPSDEEMFKEFLNEFHRRISTQHALKSNDSSEEIDEPIPVNVIVDLEGSYRTRAFLRALEESVLVKKEYHYVFSNFDVNENDLSGFHFSLINITIFRIFDKNNKKFLKTRAEFHDVYRGGFSNTDNIPTAAAFAHDAILVAGKALQIAMNEHGKGIFDKSFVRHQLFNRGKKGLYCRPHEDQTETRQFETFEHGLKISESIKKVVLTEQDGTLTGRIQFDKITGRRTNFSAEIVEIKPGVNSLNSIWERFQWAQDEGFLLGGEIYVQEKKKDSSQMRKGILPSKPWKLRFNVVTVLVKPFVMLKRRNVGEPELKGNDRFEGYCIDLLNLLAKNITGFEYDVFISDGNKYGARQADGSWDGMIGYLLNETADVAVAPLTITQERERAVDFSKPFMTTGISIMIKKPEKQEFNIFSFMEPLGMTIWIFTLSSYFGVSLTIFLVSWFSPYEKRIEFKRGEFTVTNEFTLYNSLWFTLAAFMQQGTDILPRAVSGRIASSCWWFFTLIIVSSYTANLAAFLTLERMTPPIESVDDLANQNKILYGVVEGGSTAAFFEDSIVPLYKKMWNFMVSTTQKQMELERQSISNSTSNRIFVSSYADGIEKVRTSKGKYAFLLEETTNNYESGRRPCDTMKVGQNLNTLGYGIATKIGNPLRVSLNLAILYLSEKGELKKLENKWWYDRGQCDTGTSDGGTSSSLNLSKVAGIFYILLGGMVLSMCTALVEFLFRKNKENREKERNRMRNSRQQKPGIIASCERAKQKQHQNRRTKSEEVTTPRSTLF >CRE25383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:295528:300640:-1 gene:WBGene00054703 transcript:CRE25383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ogt-1 description:CRE-OGT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSV1] MDKPNYFQSYNKVIGATGEQLAPGAVPPHPVLAPSIAPGGITGGLNGGITGGITAGIPGGIAGGITGSITAGVPAAIAGGVGNVNVASMASIMQTPGFANLVQQAIRNQLENQAAAQQLAATQQYQLNGVSGAQQLLLTPQQTLAQPIVIPPQPTVLVNGVSETLKKVTELAHRQFQSGNYSDAEKYCNLVFQSDQQNLPILLLLSAINFQTKNLEKSMQYSMLAIKVNNQCAEAYSNLGNYYKEKGHLAEALENYKMAVKLKPEFIDAYINLAAALVSGGDLEQAVTAYFNALQINPDLYCVRSDLGNLLKAMGRLEEAKVNQNKFIWSNTKIFFLQVCYLKAIETQPQFAVAWSNLGCVFNSQGEIWLAIHHFEKAVTLDPNFLDAYINLGNVLKEARIFDRAVSAYLRALNLSGNHAVVHGNLACVYYEQGLLDLAIDTYKKRALNIILNMNCTNNILSMINGYLEEFSDLFMRVMFSDCRNYVNNNFCLICLLKKDNTTFPAMFCFQLCPTHADSQNNLANIKREQGKIEDATRLYLKALEIYPEFAAAHSNLASILQQQGKLQDAILHYKEAIRISPTFADAYSNMGNTLKEMGDSSAAIACYNRAIQINPAFADAHSNLASIHKDAGNMAEAIQSYSTALKLKPEFPDAFCNLAHCLQIICDWHDYDRRVRKLVQIVEDQLGKKRLPSVHPHHSMLYPLSHATRIAIASKHASLCFDKVHVQMLGKTPLIHADRFSIKKGQRLRIGYVSSDFGNHPTSHLMQSIPGMHDRNRVEVFCYALSVNDGTNFRSKLMAESEHFVDLSQVPCNGKAAEKIAHDGIHILINMNGYTKGARNEIFALRPAPIQVMWLGYPSTSGATFMDYIITDAVTTPLRLACAFTEKLAYMPHTFFIGDHAQMLRHLTEKVVVKDKEATERDSCLIMNTANMDPILAKSEIKEQVLDTEVVSGPNKELVRAEMVLPVLEVPTEPIKQMIMTGQMTMNVMEDMNVQNGLGQVSIPLKKSLKVQQLNLFQSQMHHKAATGEEIPNSVLLTSRAQYQLPDDAIVFCNFNQLYKIDPSTLDMWIKILENVPKSILWLLRFPYQGEEHIRKYCVERGLEPSRIVFSNVAAKEEHVRRGQLADVCLDTPLCNGHTTGMDILWTGTPMVTMPLESLASRVATSQLYALGVPELVAKTKAEYVDIATRLGNDADHLAAMRAKVWMARTTSTLFDVKQYCHDMEDLLDLMWKRYESGLPVDHIENNTVTPVGL >CRE25385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:305073:307153:-1 gene:WBGene00054704 transcript:CRE25385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnp-7 description:CRE-RNP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LSV3] MTAFLPPNLLALFEARPPVQYLPPVEDLLVDKNAKRAPMTGVAQYIGLFEDPKDTPAKIPVVTKAQAKEEKRRQKDELLAYKVEQGIATWNPAENPRASEDPYRTLFVGRINYETSESKLRREFEAYGKIKKLTMVHDEAGKPRGYAFIEYSDKAEMHTAYKKADGIKVDGKRLVVDYERGRTQKTWLPRRLGGGKGDTRKTRESKAVIEEREMQSGFGGGGYDDRDRDRSGSRDRRQDSYRNGGGNDRDRRESSGGFRDSRGGGFGGGRDRNGDRGSGYGGDRGYGGDRGGDRGGSRYSSSGAGSGSGHRSGSGAGSGGRYGDRR >CRE25386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:307265:308060:-1 gene:WBGene00054705 transcript:CRE25386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25386 MSSDSHASSSGPSSSGSKMKDFNQRFRDLHKLRQKARKENHEQVNYSIYCRYMLCDFFQVVEEDRRSKLPKNHESKKERDQWQVKELQDRKEAEDKGLDFERVRSLEMSADVTEKLEQKRKRKKNPDQGFASYEDMTLRQHTRLTAALDPDLESYKKMKECVGGEQFYPTADTLIHGNHYPTSSAMDRLVKDVHGQVKRREQYHRRRLYDPDAPIDYINEKNKKFNKKLDKYYGKYTEDIKDDLERGTAI >CRE25089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:308692:310814:1 gene:WBGene00054706 transcript:CRE25089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25089 MMMLNSATEIIDDNMVVEGYEEIAVDDINDMNELVVDNDDDDSMQYEEVHDDEYGGMAVDQYNDIVSFKKTNNIPQSVLNRNDRSAPSHWRSRCKCFSMADDNETLLYYNPENAVNAVPKVVVKKGEVRKVLERIHELIGHLGQKRTQMVVLRKLYWRSVRQDVKTFIASCDFCTEKKLHGRKITKAPVDITSENFDISVLVRENIVSGSTDRLEFHLLGYNEAEVREASYTRMTSYTFKETASEYRSRYSSQPTGPPAFRRQPYVKKLNNQSVGYLVPFHQRSRAVEPEFIEMYGRDVYSQDMIYETVPEMEDNMMRPREEVKRKETQLPDAHPITLCGTELSSKNFKTEDEIVLDDTISPSRAGPSSSSSSLPGSPQKQHMRYARKRSIEQDSDRSRGQMREYHSSERQESPAPTTTAGLVKKRRREFPSIRGMANRFSMAIGETDRIDTNEVSRSNPHLIEYPDPSTILRGDNLGLPPVIMAPSTNDEVCKLQIEALQRHIHLQKMQEKLLHDQFEASMRIPITRYIQQEEEVQEEQLDVEHDMNVINNHHQVYEDEDDVSQNQRRHIRHQ >CRE25090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:313915:316447:1 gene:WBGene00054707 transcript:CRE25090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acs-4 description:CRE-ACS-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LSV6] MKRKVSVYDSSKDKTLGQVVKGESPIWIRVAMAILRVWFFIYDCLNYLPYQLFNSPTEKLRKSERVKANWVEDRDGPIRNVQGHASETFPGKDTIDKVWRKCVELYDESPCLGTRQLIEVHEDKQPGGRVFEKWHLGEYTWQSYKEVETQVARLAAGIKDLANEEKNPKVVIFAETRADWLITALACFRANVTIVTVYATLGEDAIAHAIGETEATFLVTSSELLPKIVTLGKKCPTLKTLIYFSPVDSKAPTPDLAPFRDQFKHVLSLNGLLTRNEELVKESTAVKSDIALIMYTSGTTGQPKGVILLHQNVVAALLGQGDGVAIICNNDTYIGYLPLAHILELDAELTCLTKGAKVGYSSPLTLHDRASKIQKGTHGDCHALRPTLMAAVPAIMDRIFKAVSEEVAASPRFMQELFKLNYERKRSRYLEGYCSPFLDRIVFKKIRRLLGGKLRGVLSGGAPLNAETQRFMNICMCCPVVQGYGLTETCGAACVADINDLSTGTVGPPVRCSDIALREWPEGGYSPFNDPPQGEILISGENISPGYWKQPEKTAEDFITYKGKVYFATGDIGQKRDDGSLLIIDRKKDLVKLQHGEYVSLAKVECALLNCPIVDNICVYGSSMEDSVVALVVPNQKHLEKITEAEGVSTSELKTMCEDKKVVAAYKKQLEEHAKKSKSNFRNHSHILFISGKLSRTEIPAAIHLCPDIWTPDSGLLTEALKLKRKPIQTAYQKTLDDLYKQLKKN >CRE25091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:317487:318885:1 gene:WBGene00054708 transcript:CRE25091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25091 MSQFLRKFFKRRDIREFETRRAYCAISLLNYSADLILISWMFSKQPIFLDVLFCISSALIGISITGLYLKRPSVMLPDVLYKVVVSACALFNAILDADNGTSGSAPRLLMVFIALLSHIYENYFLFNAIAAFYRDQDGVENRRPPPSYNLFAKCLPKPSEMTRPSSAPKCSENKVENGASTSESDEPPPTYEFAMEKIKEKKEKEMGEIV >CRE25093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:325442:326814:1 gene:WBGene00054709 transcript:CRE25093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25093 MGRVQQLETKCLRNNDSATGLCSIRRSRHDINTAGDYNDPQNIGGLQSTPAPRTALPTPQPAPQAPAPAQPAPVPPTLPTLEPTEASSSGSGIFLGILILGMFICIGISAVAGYMYYTKKQEKEKEERKRLEQSRQMEKRKRRKIKKKKSKRIVVQKTGEETRVERREAKEKDREEEKEKWKNFEEANQKDNSFLDA >CRE25388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:326995:327928:-1 gene:WBGene00054710 transcript:CRE25388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25388 MFEEKLLVSVATVLSGLAIAACLIVVPSLYNTIDEIHNEVLDGVRLFRVETDSAWTEMMDVQVLVTPPTKPRVNPFNSVFRQKRQTFSGLPAWCQCEPKKPTCPPGPPGPPGPAGQPGTPGTPGPKGPDSTTTYAPIDCHQPNPGCVKCPQGPAGPVGPSGPAGPKGSDGRPGQPGNAGKPGAPGGPGPKGDNGAPGANGGPGKPGSPGKDGQRGKGHAGAPGAPGKAGPAGAPGGPGKNGSDGTPGPAGPAGGPGQPGNKGSDGHPGTPGAPGGPGHDAAYCACPPRSAVFVSKH >CRE25095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:331613:336651:1 gene:WBGene00054711 transcript:CRE25095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25095 MEHEIREFLEGIDAFCGEQYWDPTVFDASNVPILSRCYQHTTLVWFPTIILFLLSPFLTAQIFYRRLNPIPWTNRLQMKVGISTILIADSLSMLAVPIYQTIFHKPPYLVDFFYPITLCLAMTVLTTFIVSFRNYGIRTSGGIFISWLVFTLSAVPELLYWIQKISNSTEEWEWFDYPRCVAFSIWFVCCCIETYLHCYADVFTNGYKELNAPRNPSPETTSSFLNRITMWWFGALCRLGVKKPLEISDLYSLNDDDTSGSLVPKWLYLWNRQSRRIASSHGKFSDDSKPLLNDAEEYESFPTNQHQLRIPSIIWTLFLMFKWDVSMAMFVKFLSDILLFCNPMLLKTLIRFTEQLDRPMWQGVVLAFAMFFSAELSSILLSHYYYLMFRVGTRVQTCLTAAVYRKTLRLSNAARREKTVGEIVNLMAIDVDRFQQITPQIMQYWSNPLQIGLALFFLFQQLGVSVFSGVAVMVLLFPINFAITMVIRKWQIAQMYYKDERTKMVNEVLNGIKVIKLYAWEPPMEKVIEDLREKELGFIKKAAFLRTFSDMLNCASPFLVAVSTFATFIYIDPKNILTPEIAFVSLTLFNQLRSPMSQVAELITQTVQVIVSNKRLKEFLMSEELNDEAIDHRGRDNDDVINVKNATLSWESTDNEPVPSLHNISFSVNRGQLVTIVGRVGAGKSSMLQALMGEMEKLSGSIAMHGRLCYVPQQPWIQNNTVRQNITFGKQFNEYFYTRVLDACALQVDLLILPHGDNTEIGEKGINLSGGQKARISLARAVYQNHDIYLLDDPMSAVDAHVGSQLFSSVIGPDGILRNKTRILVTNELSYLEKSDRIIVMNGGKIEYEGKYRDLMQQGALEQLVMECAKEEKERRATERSQDDEDNCSEPRGLVIDGESDFEYEDDVMGSPILDHVLGVSHMSTVSGIINRRHLSTSFSKQHHRHLSTKSRTPSVASNTMNTRQLTGTERVETGRVKMSTYYKYFGAMGMPIAITFVLGMTISTVISMGRNLWLTDWSNDNTRSEKELGGQTIGVRLAVYAGLGFSEIILLFIGMLSLLYGGVSASRNLHAPLMRNLFRVPMAFFDTTPFGRILNRIGKDIETVDVLLPFNVQFFAQCLLQVVSTLIIIMISTPVFGIVIIPLSLMYLMVMRYYIATSRQLKRLESITRSPIYSHLSESIQGSATIRAYQLTDRFCKLSEAKVDSHVQCRYLNYVANRWLSVRLEFIGNCIVLFSALFAALTRSTTTSGVIGLSVSYALNITTVLNFAVRQITKLETNIVSVERVMEYSETETEAEWKSESEKEPPQNWPSEGRIVLNNYSARYRAGLSLVIKQLNVEIKPHEKIGIVGRTGAGKSSVTLSLFRIVEAAEGQITLDGINIADIGLHDLRSNLTIIPQDPVLFSGTLRFNLDPFHHYSDNQIWRSLEQANLKDFAIGHEEKLDYVITEGGDNISHDFSVGQRQLVCLARALLRKTRVLILDEATAAVDVSTDALIQKAIREEFANSTVLTIAHRLNTIMDYDRYIYLRKKNIKFLFSESFPENLLADRNSEFYSMARQAGLI >CRE25097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:339733:341840:1 gene:WBGene00054712 transcript:CRE25097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25097 MTTTSDSNIPNACITAYALSHHPIYRISQIYQFVILTLAVFPLVYFIFFKIIRSRFHGNLKVFHLSKCRKTLYFQWILNGYFGSVLLFNVAFLFMGFIQVVIPLIVSNECDLIINPFYYRIGCITGSLLMTLPTFFPISITIERFFATKMAKSYEKTPVMLGPILTVSVILIDSFLVFLIYENEEFSVGSISFVFLPAGVAPKMFSFFWMMLALNIVNFVFNFFLLNENSRLKKSNSTLTVRYQLEEVYLSTKFAISVIFVHVIFFGIYVSLTILSRYFGGFIIEDPINLSAVRGALMTMIATYNLIIGILAVYLYKRIQIKKSVEINGKIQMKSTGKAGARNYENAIFSIWNSTSSVTSKPTNAHSLTYHPIYRISQIYQLIVLGLSVIPLVYFIFWKVLKSSFHGNLKKLNPYFQCLLLGYFGAILSFSTVFLFLVIYFYRLGQKQTCGSVKGRKLTTQGRFIHVLYQKQN >CRE25389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:344060:344683:-1 gene:WBGene00054713 transcript:CRE25389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-14 description:CRE-RPS-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LSW7] MAPARKGKAKEEQAVVSLGPQAKEGELIFGVAHIFASFNDTFVHITDISGRETIVRVTGGMKVKADRDESSPYAAMLAAQDVADRCKQLGINALHIKLRATGGTRTKTPGPGAQSALRALARAGMKIGRIEDVTPIPSDCTRRKGGRRGRRL >CRE25099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:345406:345796:1 gene:WBGene00054714 transcript:CRE25099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-36 description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:E3LSW8] MSGPGIEGLAVGLNKGHAATQLPVKQRQNRHKGVASKKTKVRKMQLFKILTLILQIVRELVREITGFAPYERRVLEMLRISKDKRALKFLKRRIGTHRRAKAKREELQNVIIAQRKAHK >CRE25100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:345893:349470:1 gene:WBGene00054715 transcript:CRE25100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25100 description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:E3LSW9] MFFRHVGRVAFITASAVTRQRPFSSSVQQMVKLMVPSINFTQTRGSKIEVFGPFDPPKQLTFKQVEERVLKAVRSWDRFPADKESLLKLDADLNKDFGFDSLDQVEIVMALEDEFGFEIPLQDSDKFKTIRDAFKYICEREDVYE >CRE25101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:349838:351342:1 gene:WBGene00054716 transcript:CRE25101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25101 MGILRIVAEDYFHGFKDSILGLMFIRRILAEDAREIQVEPPERRERTVLQMRREKQGIFRRPPEPPKKKDSLVKKLTQIYAMNIGFVVVWQLLVFILSFIFGLFGRAELGETIGYLMIAPIFVLMKIVQMLWFSDISGACMRALNQPPPNQEPMGRMFGETVTSLVHQNIFFVQAMLSQYLPIPLITPFIFFVHMSLLNSMYCFDYFYESYNFSFNRRANYYETRWPYFLGFGTPLTIASSMFSSMFANGVIYALFFPLFIISSYKVNWARKYDGKIPQITFCRISYFLTQRVAELTRWWYTPTPNSQLRPVQKQ >CRE25102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:351709:354777:1 gene:WBGene00054717 transcript:CRE25102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25102 MTGTERKVFQKYYPPDFDPSKIPRAKGQRNRQFVQRVMTPFNMQCNTCHEYIYKGKKFNMKRETAEGESYLGLKIFRFYFRCPNCLAEITFKTDLENCDYQNEHGATRLFEAVKLYQDQQKQADEQEAEDAKDPMKMLEKRTMQSRQEMEEMGNLEDLQESSRTKECVDTIGFLSSTDPHIAQAQRIKWMEEEDDRMARELMGITSDGRIERRIIDDEEDEDGEEEEDKDVPGPSTSSSIVPTIPKLGMEETANTRKRFEQKDRFAGIKLIKKAKTSDQSSSSLVPSGAPTISCAPAPVASTSQQPVATSGLSLLAGYGSDSDSE >CRE25390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:354868:355537:-1 gene:WBGene00054719 transcript:CRE25390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25390 MLLLHNTQTTSSASIGVTAIASYDEQIIKLIVWICPDPTLYDMVEKSLIQYRTVDDINKSLQDKVSGYRNALWLVNTIKYSRTTADTAPGPNRSSQNLCFVQVPDEKIVVFVAAVVS >CRE25391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:356583:357018:-1 gene:WBGene00054720 transcript:CRE25391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-21 description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:E3LSX3] MQNDAGQTVELYIPRKCSSSNRIIGPKDHAAVQIDFVDVDPETGRMIPGKSTRYAICGAIRRMGESDDAILRLAQKDGLVPRDELKSN >CRE25392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:357691:359902:-1 gene:WBGene00054721 transcript:CRE25392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-14 description:CRE-MEC-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LSX4] MQSHTFTRSRGVPIYMNGSVSHQRLYDRLSEPSTSRQLPRSISLAPTGQRGSNRREREHVDNSLPSTFIPRFHDESAVRRMTYRQIPGTDIRISKIGFGAAAIGGMFGNVEDSITKIVETAIKQGINYIDTGYWYSQSRSESILGKALSKIPRKSYYISTKVGRFELDYARTFDFRADKILESLTNSLKRLQLTYIDICYVQIHDADFAPNESIVLYETLQALEMAKSSGKIRHIGLTGYPLGKLVHIIDCSSTKIDFVMTYCKGTLNNNALGQFTAWFQTHNIAIINSGALCWGLLTEKGPPPWHPASDEIREACLAATTYCSVGSYFFQHLIFVYLQSKNISISKLALDYALNFPNIICCLVGMDSVQQVMDNLELANYTKITDVEQRVRDRIMRRYLDRLENAGWEGVDVAQYWKKLKKLGLTALATHRHSSVESLASTLNGFSLYSSNSSSELRTPRRRRPF >CRE25393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:361667:363588:-1 gene:WBGene00054722 transcript:CRE25393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25393 MSSCKAIGIDLGTTYSCVGVYQNGKVSILSISVEILANSEGNKTTPSYVAFTDTERLVGDSAKDQAARNPENTVFDAKRLIGRRFDESVVQEDCKHWPFTVKEKSGKPVVEVEVKGEKRQFNPEEVSAMVLQKMKETAEKYLGHPVKDAVITVPAYFNDSQRQATKXXXXRMLQHFMNEFKRKTGKDISPNPRAIRRLRTACERAKRTLSSSSEATVEVDSLFEGIDLCSRITRARFEELCADLFRKTLEPVEKALRDSKLDKSKIDEVVLVGGSTRVPKIQKMLKDFFNGKVSSEFSTDEFNLFNNLQELNCSINPDEAVAFGAAVQAAVLSGVKDDTIKDVLLVDVAPLSLGIETAGGVMTSIIDRNTTIPTKASKTFTTYSDNQASVFIQVYEGERAMTRDNHRLGTFELSGIPPAPRGVPQIEVTFDIDANGILNVSAEDKSTGKSNRITIRNEKGRLSQGDIDRMVNEAKQFEKEDAEQRERVSARNQLEAYAFQVKQAIDEHGAKLESEDAKSAKDAVDETLRWLDENSLAEREEIEAKDKELKAICQSILTKMHQSGQQPSSGCGNQESSGFNSGPTVEEVD >CRE25394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:364018:366760:-1 gene:WBGene00054723 transcript:CRE25394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exos-9 description:CRE-EXOS-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LSX6] MRVPPLTICEKAVILEALRSGKRFDFRSLEEFRDVKLVVGSEVGTAICTIGNTKVMAAVSAQIAEPSSMRPNKGVINIDVDLSPMANVANEHDRLGSKGMELIRLLELIIRDSRCIDVESLCIRAGSEIWKVRVDVRILDEDGSLLDCACLAAITALQHFKRPNVSLEAHHTLIYSEYEKAPVPLNIYHMPICTTIGLLDKGQMVVIDPTEKEAACLDGSIVVACNKRREVCALHQSTNLVLTTKQIERCVKLAMARAEALTAVVSSIIKEDRQERSAFKRPDGFAITTPSLILTTGTAASRQIKAPVTKREPESSQRSLYQPSVIINENPLSVGSVKQEEVDEDELLAEQLTTIQNSVGQVAVTDNQNTVMSNRKREVEEVNNLLEGLDDSEEEEEIQTMTLGPSEPVVNTKMVVEEEAPPIGLVKRKKKK >CRE25395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:366998:367621:-1 gene:WBGene00054724 transcript:CRE25395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfd-5 description:CRE-PFD-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LSX7] MAEEPKGVPLADLSLQQLGELQKNCEQELTFFQESFNALKGLLSRNEKSVSALDDVKVATTGHTALIPLSESLYIRAELSDPSKHMVEIGTGYFVELEREKAKAIFDRKKEHISKQIETVEGILKEKRRTRAYISDAFQTKVQAQLATMNTQ >CRE25103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:371236:373976:1 gene:WBGene00054725 transcript:CRE25103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-31 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LSX8] MYKHVFLLFGLLSLCTAHSLRDLSNQDEEGPPASAPGVRKRRMMSEEDQKVVDYYMDKLNKLADEKHPEELQQRVESPELKSWNRMRDSVLNPEEQGKFFQGDIVLFPDQAKSLYEQALVEGKSRVKRKFIGSNLRRWDASRPIIYAFDGSHTQREQRIIELALEHWHNITCLNFERNDQANSGNRIVFTDVDGCASNVGRHPLGEEQLVSLAPECIRLGVIAHEVAHALGFWHEQSRPDRDQYVTVRWENIDKDSKGQFLKEDPDDVDNAGVPYDYGSIMHYRSKAFSKFDDLYTISTFVTDYQKTIGQRDQLSFNDIRLMNKIYCSAVCPSKLPCQRGGYTDPRRCDRCRCPDGFTGQYCEQVMPGYGATCGGKVSLTRSTTRLSSPGYPREFKEGQECSWLLVAPPGHIVEFQFIGEFEMYCKVRHSLCMDYVEVRNSTDFANTGMRFVYCCYGTPPTRIRSATTDMVVLFRSFYRGGKGFEARARAVPEAGSWNAWSPWTACSASCGACGSRMRTRTCPPGNACSGEPVETQVCNTQACTGLCAQKREEEGQCGGFLSLLRGVRCRQEKTVMAPCENACCPGFSLQRGRCVR >CRE25104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:375258:376605:1 gene:WBGene00054726 transcript:CRE25104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-6 description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:E3LSX9] MVGKRNLPVISRNFDLSPGVLRFSASRLRLKKGEKKPKFTNTKNAKLPRLQRDGTKFVLGHAKTVPLRKTLTPGTVLIVLAGRHKGKRVIFLKQLPQSGLLLVTGPHKINGFPLRRIGQSFVIATSLKVDVSGVKIPDHINDEYFKRKSTSQKTGKNIFAAGKTEYTVSEQRKKDIKTVDAPILAAIKKNSESKFLFGYLGTRFSLGKNQYPHKMQF >CRE25105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:377515:381477:1 gene:WBGene00054727 transcript:CRE25105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25105 MFGGIRDRIFRIQKHSRSARNEFFGAHPSLPEGLVDPAPAGRSSLPTESLTDPPTTTKSSQSVVIKPSETTNQDISTLDKSVEHPHKDHLIHHPTPPRSFFSSTSLAVHPNVEAAHSSSEEDLRMPNIKVFSGSSHPDLSTRICERLQLEVSKASLKKFSNKETNVEIGESVRGEDVYIIQSAAGEINDNLMELLIMINACKIASSCRVAAVIPAFPYARQDKKDKSRAPISAKLVANMLSVAGADHIITMDLHASQIQGFFDIPVDNLYAEPAILKYIKESIPNWQTSVIVSPDAGGAKRYTMTLVGSVEGKVAILVDDMADTCGTICMAADKLVEAGAEKVYAFCVHGIFSGPALTRLNASKFEAVVVTNTIPQDENMKKCSKIQCIDISMILAEAIRRTHNGESVSYLFSHVPIC >CRE25106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:382472:386415:1 gene:WBGene00054729 transcript:CRE25106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25106 MRIYLLYLLLFLFLILTSGYEQRSDCVETCGHLDTSQEFGDCLNKCSRKPRKDNLGDSNLFAVPPTDVDIETKVIMDGGKILETTISWKAEEKDNRREGFYIRYTAVNSSCQKHFPGYFTSSILPVTFENSSSLSSTSFQDERSLTIPAAFNGHALVIDHSCSYHLQIRAKPYPPGDEKYIIEKRHTVPDCIDKYCSCRPGDVAAIKDVFVDSDYRLNWKFDDVSGKEYNFYVDIYERIAMPLIKKKGSDPDVEEFTFRIANAESYEIPAKTSEISSHTYQYQLPFQFSPYQQYKISIFAVDDSFCHNEDIFYIFNTTNSTSPSSSGEFRTSSVPEPSGSAITKTNPIMESPVLVIFLVVVACLSPICTFFVLFLVRRRRKEVKKRHHFLHRRSLSCSRHSIIETNILYRPPNEVNGGTTRDWLIRGQDVVIGNVIGEGAFGQVFKGILRGKSGQVMPVAVKQLKANALDEEREEFIREIEMMQTVGRHENIVGMYGYVMDETSQCMIMEYVPYGDLKHYLQNMRKEKDSESSIDGNEFLCFASQIACGMAHLESVGIIHRDLAARNILVGTGKVLKISDFGMSRPGVYIKMSKGVIPLRWLSPEAIKDNTYSNKSDVWAFGVLLWEIATLGGFPYNNVADKDLLNQLTEGMRLEQPTKCSSDMYILMKSCWNLKSEDRPSFLSILSKLDQISTEEADPPPSDPLAKD >CRE25396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:386496:387826:-1 gene:WBGene00054731 transcript:CRE25396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25396 MGKHTKFDNSDEDSDVVERKSHKKEKKEKKDEKKDKRDRSRSPHKEREESPKTDRHGEKNDSRRRDEKYHGRRYDYERGDRRDDRNNWKRERNDRNDRRSDFSRKDNKPRLSAEEVAAQRKALWGSKKATPESSASVESTSTSSESIPGGKNEKLWSSAIAATGVDSSQANKFMRLMGVKNAPKPAADSSNLSAEKHRQDKMLNDLEKQYAVARETTHMGRGTGFGFGH >CRE25107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:388101:388970:1 gene:WBGene00054732 transcript:CRE25107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-18A MLRLYTSNCASKIKTAIETAARVQSTAAGATGHKFQTKEEKKDGTTYVSMEKVSDSRGARKDDELCSLCTCNVPVKLSYKDVLILEQFMRNDGTVLPRQLTGLCKKQQLRVERCVMQAFWSGLFGEKYGNEADRAGYKRFNRYWKDDMSMYKLETKERHGTWFYIKRYPTKNQPLFKNPLN >CRE25108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:389136:392349:1 gene:WBGene00054733 transcript:CRE25108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-iglr-2 MRKLIIFVYVMLQVHAVTSQRNFTTTTHDVLNIGIDKCPQVNYCTCVDDSKQDIKCHAIGESKLKEIEQIYGSNLRRLEIFSWEHDELNFDKFSPFSQLEVLIVRDSELEILNGTVKHPSLKVLSLHNSELTSDAEVCHVIGLLPKLDNLTISKNYFGKFECDVKNTKLRVLNLSENKINHLLLPSTVKHLNVSKNLLTSFENISTELTDLDISFNSLKSWPSSDNWKFPNLRTLGAAKLNIQTGFQLDAPVLESLIIDGSALRYLNFHKIKTPKLKRLSARHLVELRNVAGQLSSTVNHVEITDTMLRTLPADFITSSASSSNSPHKMEFSFTTSRLLCHECLLKWSLPVYLQTNIRKECNMTREEIASATCVLGVVANDTGVQYGKYGKPTALSCFSYGTPSPKISWWRFRPSALLGSYDPKTDKISYTNVSDIMRESYSIQSGGSLLIHSPNRSHVERYVCVVENNTAKEWGIYQFRLDYLDWYGYDVFHSVFWGGLATSLIVCLISFLLNITWILTRKSALWWIQRAERLSRVRKMVEAMEKYRVRQMESLHQKYTNRVQIVRDNYHQQVEALRVSYAAQQEKFQNYKAAQVDAVHSHLDAMRDGYNNQLGRVREYGSKRAEQLWESYERQVNRMRTFSLQHRLKMMRQYKVKQRYVNKLLESFQASSPDVQLENEEKVRAALELADDIVTIDGSIDTPSRLSRSSSFHSLPEYVIDEQGNVRPGIIPTSSAAIRFPKPTTSSTSNGASTSSPSSSGPHRSPDSPPQK >CRE25397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:393006:393605:-1 gene:WBGene00054734 transcript:CRE25397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25397 MVRLLIIILLTSTLSFGDKELNLTSSEKSDNRTSSGKQIHIPTSSEVEEELRIEKILEKSKEFDDVQTTTDIPYLPLIQRCSIDDDCSSETACFDGKCLNATRFHLFPYLFRRCQSRLDCPTGHRCIISMCIPFRW >CRE25398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:393945:394777:-1 gene:WBGene00054735 transcript:CRE25398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25398 MAFELFELIDQMYSRPLAKTPKVSLRFLQLCYAWISVLSIWYIVFGAWFLHGAGTYYKFVTLSMAFILSIAFFYDSDGRGWKKFAVSTVTLCVICALPRLFVSPIYAVANIQTSNVNATNTPDFIESFHTLNMWGFQDNYNDITLIWFVYYYNFMLVIMSMQRFSCRKAVEEKKQKIYAENVKIQESKRVENEQGTGPMHI >CRE25109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:396800:397563:1 gene:WBGene00054736 transcript:CRE25109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25109 MVTVIPKIITTPYPRTRLPMYLYTFSIIVSCSLLYWNLLYCENDDCLEEKQSRWGTTKHLLQYFPVIAAPIIIFISLSWLVIAIHYSSSSCVLTFNFMEMPSAVFCSLLGGISSVIEIHFSIDVANFEWSDQWLLSAASSILLCLLHATIAFTLQ >CRE25111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:400800:401199:1 gene:WBGene00054737 transcript:CRE25111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25111 MGNRSKVDNAANATKQVPEKVKSKKQKKMSFAQAQDVYLRLKQEKEEEKQRERAEREKRNETIAATNKSRKKMNQALAKRNKKGQPNLNAQMDVLLEKIQKRVDKEKKGTK >CRE25400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:408216:409407:-1 gene:WBGene00054738 transcript:CRE25400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25400 MSKKEKENLSKVQTGMNSTSKLVLSSTSSTNERTEDDVGDDMDISLACDGCKKLIGGLNKLELLACGHYYCNNCFALFTKDNHSSCITATSPSSVFTREVSPQQIAEHDGELSQEGGAERVNSRGSNRPSRKALMNKSKSGRKRKPKKPDQQLWYFLHLTKPVVVSLATDATYGDLLSRLFIMLGIDKKTHDIHIRTQKSSSEEAEGEAASSTGEPAPLEVIDSKSRLRDLRIPRQKMLIIDVEEKKKQKSSEDKIDQKKPDKDKKSTHEDLQSLSQSRADEPKSS >CRE25401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:411880:412921:-1 gene:WBGene00054739 transcript:CRE25401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25401 MPWFIPAILGIATVVAMVVPKLIKGPPPPPAPPPTNPPPPTNPPTEKPNQTFPPLPTFAPITPAPTTEMDYTPGIILLVVLFLVCISYSGGGIWWYMRKKREKEMAKMQPGFDNPYDMESGSSTGTTGTSTFGGTTSTTGTTGRTKTTKTRGTTGSTTGATKQKKDKKKKRKKDKKKKEKTRSLSEKEDPKSPVPLSPVPSNPPIPSAPGATPTASTPTNGPLPDASAPIVPPPASSIKPSEEVLAAGHFPPTQSDPSNQAPSPAPVPAPAAPDGSADLPPLDNTAPSWNPISGLFGNSAQPDAQPAPQQPPPAQ >CRE25402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:413459:414693:-1 gene:WBGene00054740 transcript:CRE25402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25402 MASKTRRAAAMVKAEKMKKEDEEKGKEEEVQDEDDDGPPPLEREASCGENDDTDGDAKEEDESEETSPSGIHDADDDIEILENKNEPKLTLNLLEALSTVFSADPETSPANKKRRISPTSENSVKTLPGSGMKMTPIPKSLLLRPSSMSSTLSTPSTRFTPLRQLPSVAGTSSAGPSGNRKYGLPPPIPQSLRNMANAVAPAVGVGSNTSLGPARLLENRKRTFDLAKRASALVAYNGGRAGEKFIKIAPSTGSSSSSGTSTSSSAAAIDLQSMLRDQSPVRMQVSVGGDYEQSNGDTLQEALEMSVIQKESIANVARSSTNHSLLLGSMVLNGLNTLQSRSSDEYNNFAGELFSLITKYNIN >CRE25403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:416274:418699:-1 gene:WBGene00054741 transcript:CRE25403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25403 MGKKKFVENDDGTTEVQEIKSETLKPSKNKKDEGYRKKNLGANIKTTKKSFKEQFFMNYEDGLKLIKKMKGKGKGGQLVQRKMPRHISLPYHMSGKAAMDEACDWIAQNTVGKYRKEYNGIVVAVGSVETASEPRVIADQYAFHTDVAINQIVFIPKIGDQYEAKVKYVQEGLMVGVVMDMITIHVKQNDKTFEDQVAINDKILVKYTGIRIKNSLCHLRGDYAKMVEKAEVEEEVVEDGADEELEIKEEVVEEIKEEEDMEE >CRE25115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:419908:420556:1 gene:WBGene00054742 transcript:CRE25115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25115 MSNFESEKPSVYVQSSEKTSQSICSPGAGPTGKRLDYITWHQHHMYMARMLSEMSTSSQPSGCYIVDKEHYQIASEIVFKEDSCQCAIVSALHKLEKKANDSDENFIMYITSFHDCRQCWERISSMKISKIWYWSPSNNSKNWEHEFHAFLNSSGIELAKYTPTRTISIDFQ >CRE25405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:420809:441338:-1 gene:WBGene00054743 transcript:CRE25405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-10 description:CRE-MIG-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LT00] MESCEEECDLEIDSDEEEQLLGEKCISLLASLLPPSSSTLLNNAIHLELDEIEAPPPLFNLLEEQQFQKNCSEEEKKKYEEGDSEEDVKKVSDEEETEEPSVDNVDQETEPSVTMDTYDFPDPYPVQIRARPQVPPRPPIDTVRYSMNNIKESTAWELDELLEELEALETQLNSSSGGDQLLLGVSGIPSSSSRDHVKQVSTLPPPPPALSYHAHQQQQQQSQHIHHHHNTHLGYQNGIHQMNSMTTTSAASSCSSPDGDSAFGDSSSTESSNNRCRNSAFSSNDSCRDSLNTPSPTQVSPRNGELSAEETKALKIRQALEKMKEAKVTKIFVKFFVEDGIPLQMLIDERWTVADTLKQLAEKNHIALMEDHCIVEEFPELYIKRIYEDHEKVVENIQMWVQDSPNKLYFMRRPDKYAFISRPELYLLTPKTSDHMEIPAGDQWTIDVKQKFVHDYFNREPVVPPEMEGFLYLKTDGRKTWKKHYFVLRSSGLYYAPKSKKPTPKDLTCLMNLHSNQVYTGVGWEKKYKSPNPWCISIKLTALQIKKSQFAKYICAEDEMSFKKWLVALRIAKNGADLLQNFERACQIRRETLGPVSSMSAASSSTAISEVPNNLNMHQRTPSVASSIQLGSHLLNNQIPTRPLSVNVRNQSPASFSVNSSQPSQPSPSIISEKLEIQYDEQPTGTIKRAPLDVLRRVSRASTSSPTIPQEESDSDEEFPAPPPIVSAIRMPPPVTSPKPCTPLSAKKAPPPPPKRSENTKLQTATPMAPAKNDLEAALARRREKMASMEQ >CRE25116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:446106:448566:1 gene:WBGene00054746 transcript:CRE25116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-160 description:CRE-CLEC-160 protein [Source:UniProtKB/TrEMBL;Acc:E3LT01] MFVRTWILLVVFPVIVSTNECYVTPCTRDIIIVVDGSSSMQTSTYVSQEINMITKLTYSWTLDESKVRLALVGAYFGNEFNGLDYFTDGSLVEKRLQSFRLAAMQYGLFNGDFNTTVRFLDERYVGQRANFGPRINVQKRIVIFTSHSGVDDIASTKDTLQRFGQLGYAVTLVGIGVSESVYKSTYYHKFVSVQLFELGVVAQSIIDSITEDGICFLDQGWTTPKPEICTTSTTTTRAPTTTTKKTASVKPATTPKPVPPTQPPFPVGDYQDCSCTTQSLYIDIVFVIDVSEGMGLGGLMMVKAEINTLVGQMSLDPNIQKHVQVGLIKYSNEAEIVFKPSDYDDEDEFTEDLWSDPRLQDVDKVDEVNLHLGLQKAAKMIGSMRRGVRKVVVVYAASYNDEGNDDARQIAANIRESGYEIITVAFVEPESSNLVMKIGEIASPRMNFTSFRDDLLVEEMEDAFCQVNCYCPNGWKQLVLENRKYGECFFPTKIDASWTATKFECPILSKDHTGNGHLVYVNSALKNQFLNDFYMNHWDPENQEKPNYDIGYYYDKTTKKFIWVNGVTNNPYSNWAEGYPDITKGECVMAKRVDGTQEDFRWVSVNCQTDYGRGLCQEAACDSDFYCPPEYN >CRE25117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:448962:449648:1 gene:WBGene00054747 transcript:CRE25117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25117 MYRRRILQMNEKELRAVTAIVLPGRKGDELRLGEMQEMCIKWLFSNGLSINHVFEIHEDDDEGVFCVMRNTEACWCCPKSSESGTLTDFDRGPIGDNFKRTPSPARKPKIEDTEKEPRVKFELPSPPSSDNSPVVKFSKPSVPTNKIAPITVQPTVISIPDKMKEEKEKEQSTCAYCRRECGLCIVTLCSQF >CRE25406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:450105:452269:-1 gene:WBGene00054748 transcript:CRE25406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crn-7 description:CRE-CRN-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LT03] MRQILTVFIITVIFKTVNGKIQCKNMRGKSVDWFVVYKLPKLSGSGTTGKEFVYIDSESSDWTRGNDINDEQVAVGATISQAYSADKSSNFLLMYSDDDPIKEADSYRGHAKGVSLFDSTTGFWLIHSVPNFPPIKSYSYPSTAEKYGQSFFCSSMEVQHLAELAEHWKYIQATPYVINLPDKFGTRFPTLKNVQAKQSLTRSATQFWISKPIKTVQGVTLMAYAKHKKFDGDIWNDLISKQNKVTLAVLTYRSWLNGSGDDLHTTCTDSSQTHDVTEMRVTGLNFASSKDHSKWAVSNSQTNPIVCFGDMNRQKSQLKRGGGAVCIQNRNLWQIYHSSVIQVEPCKASFHYSFFRTAIIFIFLVFSVSKI >CRE25118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:454135:454513:1 gene:WBGene00054749 transcript:CRE25118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25118 MSKSSKKSKPKYTLDNIHQIPGLIAYMVCKGNTIVHSTFPETDNHRQIAYNAMRMATRTQGTELRDLKVHTIQVQYDDFQVELFQIGGCFCIVKKRVDSE >CRE25407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:454697:456487:-1 gene:WBGene00054750 transcript:CRE25407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-9 MLRIAFSRTCRSMSIASPSSVSESDTSVRKIGKALETYLKNSQQHVAMMEKHRTEFETGRRHLAKMMSLDIHELDQEAIDRAIQYLFPSGLTDPNARPVMRPPDEILPKFQRFTFDEEGKPEGTRFFTLNPKIYGLMSEIGVKTQSVMKFYDEHVGSRSVNRNDLEPANLSGSQWITADKIKKKLSEKFSNELYGQVIIAFEHLASLPGSAIEQKFLSEFREPMTASTGSKLFGPAIPEVQICAESNKRYAEVTTHCKDTRATVRVTDAGKGKYNIDGLQLHDFRHLQAREILLAPMIISQTLGRFDVAATTSCISNSLPEAPNREPLRRSGGMSALPRAVRHGAALCIAALHPESTESLRLSGLLTLDPRKNERSKVNQPGARAKWIWKRR >CRE25408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:456936:458205:-1 gene:WBGene00054752 transcript:CRE25408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25408 MITHILLFCDPTNATHCSSCSPRTSSLTAEAYFYRNLNNFLNTEPTVSCAHGGLVLAKPALNMTSDGRIQSSYFSTYFRKLNISDSNELYNAWRFTKLVADEIEKNLDMPGVRVFVYSTFFPYYEQYDSLTTTIVTLVVVVLFVELVTISLFLRVHLAGSFVSVFVLLSSYLHLMGWMYLQEITLNVVSAINMTMSLGIAVEFFSQILHGFYNSKKDKSEERAVDALVNNGATTLSGIFPAIMLTAGCLLFADSRVLITYFCNQLFGIGIVCIIHGVVYMPTLLAIFGSDYYDRVNSEEELSSESDQEVEPSSTSSTSETAV >CRE25119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:458566:459514:1 gene:WBGene00054753 transcript:CRE25119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-91 description:CRE-COL-91 protein [Source:UniProtKB/TrEMBL;Acc:E3LT07] MTSSSTISVVSAISGVAVLGALLTAAFIFNDINRFYYETMETFDEFKINEKNAWDNMVMSTRSPKEILLGRARRQASLPDCQALAKNCPPGPPGAPGAPGAAGEPGVDGEAGAPGINGVSIQFAAAAGGSCIQCPAGEAGPAGAPGAPGPAGPDGQPGADGQLGIPGAPGPQGPAGDAGAPGAPGAPGNDGQPGKDGQRSTGTPGVAGAPGPQGPAGSDGQPGAAGAPGAPGPAGAPGADGQPGSNGQPGPDGEQGHDGQPGADAAYCPCPARSSVRQ >CRE25409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:459924:463431:-1 gene:WBGene00054754 transcript:CRE25409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncr-2 description:CRE-NCR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LT08] MRMLSVYLLLMNIVTCYSNCVMTECNPLGNNKDPPCKTNNSSIHPITFVRSKNQKMFDILEKHCSHLLRDENQVRLCCTDLQLRGMSDRLESAVALLGSCPSCLDNFSKLWCEFTCSTEQSRFMKVIETSGPEQKVEKMQLRVNRDFAEGLFESCKNTWFGNTLALAWLSMYNKVTFENFYSFMGTKKNESNIPMHTDFQFTTDKKAMNIPMTPCYKSAGPNLPACGLINCPLVSYQLMDFSNIEPVSIRGKRVFEHQIINFEWILKLSCCLTLTSIFIFFLKYSCHQNPKDRDGCYIEFGTGGLELRFEHLCGKYAYKMFQIVIHYPLRCVLFGLLVASICCFGNIRFHSLAHSIDQVSASDGETRRNQKAFIETFGPTHRIEQIFITLPQSEEPACNNVDFFEETFLLIENIQNITVNYKNTEIRLDDICYKPLGKRHGCAIMSPTNYFQNKWNTFLNAPTPWDFDYDDNGTYYWDHLKICVFNPRTPYISNSEMSCFGDFGGPIDPVLIFGSSNETGIGNEKYFTARTVMITIVLEDHEEKSVLWETAFLNLMSNYTLKHGDFTFMAESSVTKELQETVETDKLVSVLACAAVLFWVATMIGIYHWPEWSPLSAFLHKCLVVISDFTDLNPNFLMVYSSIGVFSFCGQHATDNAIVVLFFVISLIGINRIFHTVRTFQTNGHCYGQPDISNREMNNRITATIRKSIPIVFTNSLICSTCFFLAGGVPPYISVNMPAVEVFSRHAGLAILFDTSFYLLVILPLFQYDARREMVSRTGRCEIWPWFELSDHTKTRLSIEAAEGTIRSPVDWFKLAIAPLLLSKSYRIVVLIIFTITFISSIYCTRKLEFGFDQTMAFSKTSYLTKHFQNMNKNLNVGPPVYFVIEGLINWHEPQVQKKFCSQAGCDENSMGNKIRTLAFSENPKENFLNGEVYIWLDSYLQFMHPRGSCCKTDGRDFCKFNR >CRE25410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:463924:465409:-1 gene:WBGene00054755 transcript:CRE25410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25410 MVKLSESAVYIRTKSSLENVKKLNLWGCGIDDIQVCEKMGLLEILSLSVNEVKSLSPLQHCKNLKELYLRKNCLESLDELEYLKDLPNLRTLWIDENPCVGEGGPEYRRKVIRLLPNLTKLDDKPVTQSDHQEAIEDSIPECDMHTSIYSTRSNRSNSIDLMSRSVYVGPAVVDRIVQPQLIHFGDASDEERVVYQPRSFSVEVPGMPITDDNNYLESAPTSHRGSRHNLMSQSMYGTLCGTLAEEPSSADGDDDWNDFSLEEDRVMVQMPLAASHRMYQSMHEGMVMEMKRPTYGRSISMPRRRVTNATQRASSMSPAREQRLTKIMSAVSVLLDELDPDGLRQVVDEAQRRLKKQR >CRE25411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:465935:466469:-1 gene:WBGene00054756 transcript:CRE25411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25411 MDNKKEDGSSKSDSSKNGENSKNNKLMSSFSSVTSSTGEALQNCTESEWDASDEPYSAELLNVKKKPNRVNVFSATEKNMETVIVTEVSPPQTPLQPKPVIEHHNLARLIQKSCQLLLEKTTAEGTEVHLKMEFPDWRDSA >CRE25120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:466599:467166:1 gene:WBGene00054757 transcript:CRE25120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25120 MTEQQPKKEDKKTVEKEKELPKPNIQKSIRQLPKIEIADDEKGEKLPECSEGEWDASDEPFSAELLNVKNAPNKVSVFSGPYRSKETVETIKKLKPVITQTAPTVDDRPDKLAQMMSEIFSEIINGNDLSKIKVLNSCGITILQYITNLQVTISTKIP >CRE25413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:468406:469624:-1 gene:WBGene00054758 transcript:CRE25413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25413 MGILEKIAEIEHEISRTQKNKATEYHLGLLKAKLAKYRQQLLEPTGKGGAKGEGFDVMKSGDARVAMVGFPSVGKSTLLSSMTSTHSEAAGYEFTTLTCIPGVISYNGANIQLLDLPGIIEGASQGKGRGRQVISVAKTADLILMMLDAGKSDQQKMLLERELEAVGIRLNKKPPNIYVRQKKVGGVKFTNTVPLTHCNEKLIMTVLHEYKIFNADVIFREDCTVDEFIDVIQGNRVYMTCLYVYNKVDQISIEEIDRLARMPHHVVISCEMNLNMDYLLEKIWEYLALVRVYTKKPGNAPDLGPEDGIILRGGATIEHCCHALHRSIAAQLRYAIVWGTSTKFSPQRVGLHHKLDHEDVIQIVKK >CRE25414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:469869:471121:-1 gene:WBGene00054759 transcript:CRE25414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cids-1 description:CRE-CIDS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LT14] MSDFTEQTLRQKLANLSNHSNSIQTTSSWLLNNHNNREIIIRVWIKVTILLSKKKRKVPKWSISSMWQTMSPRTQENHVHSSKRISSQLLKVHLGINKNNLFFQLILHRHCCEIKASKEVEIAIGKLIAIWKDRQIFSSSQCKRLQEVHQQMKLSKSFPSPASKDNGKNSTPSQFIVEEAKKNAQDVLLSLKRLQNPPSTEREIRVQLSKYPDNISCPEKLQSVQNSEEAKALLIQNEEALPMLEDYVKRLKDETKERETLEINLNLLIQNVRMSIEHHEKLCREVKRKEDRIKADLLEVEKTFESLPDLTAEMPNAPLPSLEALFQKRN >CRE25121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:471364:472376:1 gene:WBGene00054760 transcript:CRE25121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbs-6 description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:E3LT15] MASFTGITAVANATNDMATFKQAMKDVAAHPEWLSSRQVERQRWNPYSMEGGSTCAISGENFAIVASDTRMTQNDINILTRDAEKIHVLNDSIILTTSGFYGDVLQLKKVLQSRLHKYRFDYRADMSVDLCAELLSRNLYYRRFFPYYTGAILAGIDENGKGAVFSYDPIGCIERLTYSASGAAEPMIIPFLDCQIGHVTLAEGYERPQLTLERAISLMKDSFRGAAEREISTGDKIHLVIAETGKPVVQKFLPLRED >CRE25123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:475335:476345:1 gene:WBGene00054762 transcript:CRE25123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-1 description:CRE-TSP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LT17] MATWTVILRTVLFFLSLAMLLASLALIAVGFWMRYDNSFDTDLKNVIYQYDDPKTLADAKFNIRVWLIVVFWSIIGLSLGAVVTAIFGMISSVWSKRRGLMITYLFLIIVLVVLEIGSGIAMLIRRNSLHDATNALVNAIYTSNSVNDIKIIQNTYSCCGAQNNVFNVMYCGSMSSLPYCDVTVFNSVDNTMMISGIIFLVVLILQVIATIVPVPVLISRRKSITYSYEPAEVSRF >CRE25124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:476606:477464:1 gene:WBGene00054763 transcript:CRE25124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-2 description:CRE-TSP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LT18] MASKIAFISRISLFILALAMLICSAGLVVWGSFMANRPYDRQLKDVVFNYNSSEPLANDKYNLRAWIWSVYWSIYGLCIAGVVISIIGLIGAISRKKTVIATFLVLIVPYILIQFGGSITIWTKRGTLRRLIYRFANDIYLTNSLFDISIIQNTYECCGVQNGSWTCPNTPPCDTSVFNSVDNTMMIAGLVMIPILFFELCIITLSALILKTEPRVQKVRKTEENQDDAWVSQ >CRE25415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:477541:478172:-1 gene:WBGene00054764 transcript:CRE25415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25415 MSSMDQIPPYEYYKYVFATRCAVLACSSFELIMVVLGSLGDSNALAKLFYIIFIGSSAAVSAFNIQQSTDGRDEIKKVVGAGDNETRGRAVALVILPALSGILVFLCVSGHAFFSLFVLIHVLASVGQLGMEAYEAKNGFRVRAPENKKAVADPYDENYQTLNNVQDEIFDKK >CRE25125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:479015:479491:1 gene:WBGene00054765 transcript:CRE25125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25125 MKLITATVILSSFMLSSCTLEHALEDDVADAFVPTVTGDKDVIILDFSNIDHANGAEIPKNLTEEDTKIIPTNIDFAALKEAYAKENKAERFLPSRLFFQEDEQEK >CRE25416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:479608:481303:-1 gene:WBGene00054766 transcript:CRE25416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-henn-1 MLNSNNPILAKQLKIQKYHILDGMTNLDDGWGAPYDNQTYVEAYDQLEMALMEPLDHVLEKSNVEEFRPKFKNQKDPKKRNWIKKTNGDTEWKELIYGFGTSDESEIEDHELKKHYFQPPLQVQRNSFVKNTLMNFKKESNIDIQRLAIMGCGEMSLERGLCDVLGTFGTINVLSVDIDPVSLSVGQQLLEKHLNQQGDIISSETGLPVLMRSYLGDILEPDHRFADVDAIVSLEVVEHISLEDAKKFVNNVLGTLIPRIFIFSTPNHEYNTVFGMKPGEFRHDDHKFEMNRKEFSDWLEELSIRFPHYLIEPPHFIGMTPKYEDLSGASQAAVCRLQDNINTSLPQEITPYKSVGHVACRLGSRLVAYNLVRQAFLEWLENVEMHPAGLKNETFMPYWSFNISHILHHIHAPISFSSTIDEKVAIKYIQGMTSYKVHPDSVNGVNGIAIPQSQTKANLIETVERNAKMQ >CRE25417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:481625:484503:-1 gene:WBGene00054767 transcript:CRE25417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbxl-1 METADRRSAAAAANSRRAKRLAQQAHKTHPVIQAKQSQMYLITTLSQAQTDNSLINRVLPKEVLLKVFSFLDTKALCRSAQVCRSWNVLALDGSNWQRVDLFTFQRDVKSSVIENLACRCGGFLKELSLKGCENIHDSALRTFTSRCPNLEHLSLYRCKRVTDASCENLGRYCHKLNYLNLENCSSITDRAMRYIGDGCPNLTYLNISWCDAVQDRGVQIIITNCASLDTLILRGCEGLTENVFGPVEGQMASLKKLNLLQCFQLTDATVQNISNGAMNLEYLCMSNCNQITDRSLIALGQTSHNLKVLELSGCNLLGDNGFVQLSKGCKMLERLDMEDCSLISDITINNLSNQCVALRELSLSHCELITDESIQNLVTKHRETLKILELDNCPQLTDSTLSHLRHCRALKRIDLYDCQNVTKEAIVRFQHHRPNIEIHAYFAPVTPPADQVVNRGGICRCCVIL >CRE25418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:487161:488012:-1 gene:WBGene00054769 transcript:CRE25418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rfs-1 description:CRE-RFS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LT23] MDCIGSEFTYETAFELLVRLEADELFLNTCLPNINKVLELHPGKCYELDGDTGVGKTQVLNSELAIKTMGLQICYSIAAKFLQTKKTARIGWISAVPLRTDHLCKHFKTGECSDRLLDRIVCKRVEYISELRESLDHFSKTFNTHLVVVEKIDALLHDTAYENEIGRNVQTDIIERLKKLTRLGVTVIITNHITHWRGYPAPALGMYWASQIANRFYVEKLSGDVRTVSVMKEGEDGATRANFSIDEKGLKAAN >CRE25419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:488429:489755:-1 gene:WBGene00054770 transcript:CRE25419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25419 MTAVAENRVVRRNGPGTKRADWNSWPPLAFEEMDSALNIQQFIQQTIKANPADVETILTPPLDQDEGVWKYEHLRQFCIELNGLALSLQRECFPESCQQMTATEQWIFLCAAHKNPNECPAIDYTRHTLDGAATLLNSNKYFPSRVNIKEISISKLGSVARRVYRIFSHAFFHHRKLFDEFENETHLCKRFTTYVSKYNLMQQEHLIVPILPNQQQQQTAQ >CRE25126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:496556:497833:1 gene:WBGene00054771 transcript:CRE25126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-86 description:POU domain protein [Source:UniProtKB/TrEMBL;Acc:E3LT25] MKIIELQFNNSLFGSFDDPLLNARAAALADIDVKNVQQLTNPLMRPHDMFTYSNYFSGIHDNSAATNIYQGLPSSSEPFDASVVVPTSSEDQMTPLQQVMAMQTYGAPPQFQYNMSHMTQHFTTSSISSSSNITRYPIAPPTSELETDPRQLETFAEHFKQRRIKLGVTQADVGKALAHLKMPGVGSLSQSTICRFESLTLSHNNMVALKPILHSWLEKAEEAMKQKDTIGDINGILPNTDKKRKRTSIAAPEKRELEQFFKQQPRPSGERIASIADRLDLKKNVVRVWFCNQRQKQKRDFRSQFRARSAAAVMGPRVMPVVTGNNSNNNLKQGQGAYNGIPGFFD >CRE25127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:499888:504253:1 gene:WBGene00054772 transcript:CRE25127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25127 MIKLVFCPLFLVIFQVESLDFRSNNYRQIINNALPTIDPQMLSQAQAAINPYTDIFVNPPKNLVDFPMANLASKSGLASTGAFWQSQTQQVQSTVPQVPWNPTYATNPSSPPSTISFQSYQNPSTFPSTTTTTTTSTTTKPATYPTTKTTTKRNTETLWTTSKSPMKLSKNPKPSKVNQKSSKSSATSFDSAQLSVLSHRLLNDVLIIPSSRRLYILAILPIHQSTGHQNFECGEIDLNAIVRMAAFLEALKTINEANLLKEIGADIGAIIVDSCSTDLRSVANLYELLSGTNIQRSDLIAIIRDDSTYMPNTEQIMRQLNLPVINTFFTTNTAAQTSGTLPSMSLPIQSIISAVRHYQSTCVNIIFDEKYTETVTEIQKAALSEGMCVEVAIRVKNSSSTVAEMVVRRLLLSEARIVVALLSEDTWIQMTKALRSEMVIAGRFVFISMQDQRWTTSRRFIESWPTFEQHLISVRNFIQSNLSYIFIQIAPKSPINHEEEIRKLTENIPKLSLPNLWLKQFWSAAFKCHVDSEDMGGSNSFSRYSQLVNRVYLSIYFRECATTQSLNISQVAPDVDVSSISLAVHSIGLAFRSFVDRVCPGALVISLSDCVNDPFSGFHQSILDLDFVHHLSDIPVSFNYSTGFRDISLCINRVQFVEDRLQFSEIGIFDPIQNVYRDSSGTATPSAPGSFLLMSSSCPKSTCAKEMAKSTIKQQLPSIVKALTDVEIMIFTIFSVLSALTCLMCMYLKVISVSEYRNLTAITFLGLAFLSLSAPAFIIPPNSISCSLRKLLFPIAISITIAPVFVKTVLIWKSIGSSSSSVLIACCIVIIQTVISTEWLLLSSDSVTEFVSTLHGTMWRCSPGDSSEEMLLLSCCLIALLSLLSFIFSVASLKNSQSLQHLMISVLAIFLETGLYVSLPLIPYKTRDFVMATTILVFAFLSLLLSHTGKSSAKEESECGGTLQKTNENWLQHVVQSPKDQMALVQNYHTASTHPQSTMQYEKRSDGTLRRNTSLYGTEGYELPTP >CRE25420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:504413:504851:-1 gene:WBGene00054773 transcript:CRE25420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snb-7 description:CRE-SNB-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LT27] MDPLPPVSRIGWDDQKIMRTRRELNSVKVIMKENVQKIMERQGKLDDLVERAQKLEEASDVYVKCAVKIKREMSWKHKMIRYSIIAVSSVSAFAGVAYSLL >CRE25128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:506933:512958:1 gene:WBGene00054774 transcript:CRE25128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-36 description:CRE-UNC-36 protein [Source:UniProtKB/TrEMBL;Acc:E3LT28] MRAVHLLVVLTIYITNTKSFNKESIKECAQVLSEHVKETFSKISHETILKQNYEKLVEEEQFDPRAELKRSKHRIEDYLKVRSQFAYKAKISLEARFVKNDTHVNDPQSKSFIRFMSAKQGNDGTTIYESNHLGRKLKVNETKSFNLTQNANFYTLPTSSVSSAVHIPTPLYDRNEDLLRKIDWSDIDAVYRTNREETKDLAFQLFCSEAGYMRYYPAASWFWDNQDEHLDLFDCRNTEWYINSATNSKNVLIMLDMSGSMLGQRYEVAKQTTEAILETLSHNDYFNIMTFSKTQFLLDGCNGTNGLLQATMRNKKALRRKMDGYQSEGKADYEIALPLAFSVLLDLKGSYALYTKEEMSMMSANATNDFQFHLELPEHVLAATKQYIDSINNGGGDNNRGACENVIMLITDGAPNAYKKIFDMYNADKKVRVFTFLVGDEAIDFNEVREMACNNRGYMVHVANMADVDEKIHHYIRRMSRVVGRHYKESGQLSWWTGVYRERLYLPRPEVFAEPVPITNQSFAVMNKMASRRKIRLQKSEARSRMFVTTVSYPVIVNETFMGVAAVNIPLTEIAQKSHPANVSCLQCFNVKTSEYFQIGAKSYFFMLDQNGFVMTHPQLRPIDPVTKYHKQNYNNMDLLELEVGQNQNVRSSQKSQAVSDLVCESGANYAECVDDLRKAVRKMIIDCDNSDVQQLDVLYATELLDRVYPQTNTYYAECINHANFVLGLAVAKGDDYRIIKKQKKYDFGRVKMDWMGDKRWRLHPHWRYCFLNDTDTHLSKEEAFEIYAQQMSDSGKAPLLCEYRRNLVEKLLLDMEATSNLIDSWDTQYSYMKNNLIHLAFFATPSGMIRYYNLTLQDYDYIDPYWSIFEHIGHLLSFEHAQESYNHFITDLNRKSTDDRYYRRAVRMKDTIMFDVSNNSKIWYKSETQLTGYGLNENLTMLGQAFKAIYLDKAVLGVSGYEFAYDHIVDTMAEHGCPASDDRKWCVLLDEHAYVFFSNQNDISYEDYLVGKGKHISQYFGGLNRIAQRAMALLVENKFYTKFTYTDNQAVCKAAKQVTTSASRFRPFYPLFQFLMQTFNFVVRLASQVSGGYLIWLPNIQFTEAYTASFHEGTDVYPCPKQSSFYFSNKDGKNRPSSTHLVNGNRSERPCKMNAKCSVKMEASFVDGTNLVMVWITQDKASENCYDESECSMDIPSAQVPFGFEEVKNEESCENLEKRKSKANDVCYSIDDDDSENERRPCSMSQSLVLIAPILVGFLQFYMF >CRE25421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:513345:515228:-1 gene:WBGene00054775 transcript:CRE25421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25421 MLTIKKRKISGSPKGILRREPKTADTPRKVGFVDDIGKPLVEYRDLPPKESNKKETCENYHHMKTSGRLISADPYSINQTKWNLYPVKNVKPPRNTSSELKMIEERRLEDSNISRAPLFGTFDAFESPDLIVDATARALQPVPIIPSKNDIIDIPPLPSPAHTYNQVFESTNDQQGTVDSPPKTTSSVFDLMSQLKQRGLIPQEQPASTSDNLNPMPTHNTESNSGRKIRKPCLYYMVKPNGCNRGDSCRFEHDDVQRGFQQQKHLHNRNGRNDSWRPRHQRDHLRSSGDGRRIHRSRSPQSVA >CRE25422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:515148:522955:-1 gene:WBGene00054776 transcript:CRE25422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25422 MDGEFPDENIVREIAGRRIHVLQKFTDFEEKALKKRRLLVQSGQLQHFLRSTSEFKQVIEVFIDTAEAINIRHSSDYLARTQKNLNKLRGEVDGQHQAVSLLEKEAHRLVNDGHYASEDIQKTLAELQSRWEYLLELLDLKWLQVQKELESMEFSNKCSSILKWMSETKTVENPEKDIFDEIRKYSVVWNDILVMYQQIVDPSPVDTENFELVRDTWIDFQQYVDSIHKELDSHQRVQKFIDNADHLKLWMANKEKEIHGKYSQLSVEEAMKYRKTIELEIKSTEQRIKNLEEEAVDPNSREQFQNNPDIQKHLEEVVTSFKSFQSYIKKWRFDLETAAELDTLQKDADDIRYWCSEKVEDLKVMERSESADCDEIAMWIETNNFDFNSWDNVVDQFTTSAQEIWAKAKDETFRNDVGKIKAIYSLAKKTSETCNEILEMRIETINMEKLIYQTHQKAAYLVSVLQKNDEVLVGIKIEDAETELRMMDGVAVRCSSVVEQIEHIEQKMRHCDDQYSQLSDNASVQFNQLAEMKNILKNSPGNRKSQLQRYLDVSYFADRCRDVRKHAETMLNNLKDGKIKRESVQPIKEQIEALYSEMVGLDLEDGDRTISEDELNRAKKTLQLIENEYESISERQESKKAAERTEKFVQRVHQWMEDSLVILKFYVSNPFSKLQKDADETDFSLQHQNLHRTLSNYNNILSSSAVYKASIEKRRGELSDSASDNIQKNLLDKLQNSLEMYEDQIHQKSTDTENALKAVERMSELNSQNDWIKSKIKILSNEQICDSSLIAQKMNRRYEKDSEEIENRRKHINEVMHYYETFHGKYSQVVLNNITGENHPILEEIENNWNRMKTMFDEKGGVLERMIKLYEYDEESTTTSEWLRDKMMYADAIVPKEDESLNRIMVKKLEALADDVESYKTKIVETHALLEDALVTPKTKDSVSQQVIKLKSALTRKQGEIESDYVALKRMVEKKLAEFHSLLQDADVAREIADMERWIEEEENQLNRHLAADSEELPNQLDNAILSIQRRRSNLTNIKCAAVGGGQFQTQKLDDAFDMLDVFAFEVDRIRQKANRSSLLKKLKVEAESVIDAIQTTRERIDTFPTASRKRGVIGELQNLDGDLETLRRRVIASLDKAKEVRAANADLAPQVYDLEERLEKEWTDVSKAAENRKKRIERGKLLAELENELLDMEHWIDTFNEEVIIVTGGIHDGLGVQVGLESIDTWREELSHRVDQLGNIKNALASVLNTLTNQEERNKWLGRVSDIESDLVKARKTIDAKQDELSDFSNVLGAERDCERLYNWAVSKREQLEIESAISDAKTVIRKMNEVEKMMINRQGEIDEIRDFLDKLRDSKKPSSFKSTELEQKFEKLDEEWRYLEEELRRKESDLNESMSQLLLDEQFEKIQKWIDERSELLETMGSAEKKPSDTENRQKQYEKMAAEISEYLPVYEDFLNNDHVDDEKVHIIRELWSSLIETTTIHQKSLAQEVQKNRLLDLLEDIGLWLVDSEAEVHSAVNFTSLYNTVDAEKASRRLKSLNEQAGEKDDLLRRVRQDDNTKETIEKLRKGISELQLLIKANYEDLDVFKSMQEVIKAIDDEICWYKEISVIVSSTNVGQDKASLDVIRRKHQRLLVEAERRKQKVAKIVDRTTELMSGRRRPSLDSKIDEIDDKLAQLTELLESNSQIAEIRSNRLEKWGEYFNIMEEIREKEHALDQLVTMKKGSPEAVLADVERKCGMLHTIGEQMDNLKNEAEKFSNDEIVRTKAAENAAEKLRVKWLNVTEQMEENHRKLKEATESSKFVWKCDTAIRCIRDQEEKISNLVQQKKPTSNFEVSIYHSTVSFIESYSKETIEKLVLVSRELQDSSDSGKKLSLVYDRLNSLKKQLALLSEKIENDKQRKKRVQSIQDDYSKRACELGNWLEQAEEDVADVVWFQTKESSEDCRHTLLEILELLTNEKVELLGDLELLEVELAELNEDVNTFTWHSYKSLATRMERLDQTISERIRIVDNEIRRHLENEKICEEAARSLKTCQNVILDVRKELDHLHSLKLDDQRDKLSELIEQIKGSGMIRELEQWRSIMGSRYIFTNRFSSATPHGVLVDTCHCLELMSSMLRSVEQSISERNSSGVTEKQIREFELAFQYFDREKKGWLDYEHFELCLKSQGYDFTIETTTSETLMFLDPTNSGRILKADYMRWMVKNETTNILDDHSAIEDALKSLDARKISDSMSRKEAEFFMRKIAKHSETFTEHIHLEYKDFVDSFY >CRE25423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:523352:524127:-1 gene:WBGene00054778 transcript:CRE25423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25423 MPVAKDNQFWDALMENKVAKKLIKKHKCKGKCENIDELAQRYEVSKQEVEKVFKIFQLMDDDGSGTISSSEVAKMLNELGIDVSPKVVQAVMRSSDVSGDGQIDFEEFLAAVTSKIKLSTVKADVQLMLSKIDHNPEKVISAEELVVAWSETVSTNITVKEACALIQQADTQGRGKATIHEFITMCQTV >CRE25129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:526061:531127:1 gene:WBGene00054779 transcript:CRE25129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25129 MSFSTERAKKRSSNASSSEKSWAVQMNEVSLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCQELREQFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNDERETLFRELNKLKEEKILAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWSSRDSDDEFSMHSRRGEFSDSERSWGEDWKSGRSSRYSAGNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDDELVAILEERYLKGAAKSLFKALGDRQERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQRAPGQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMIATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKERMGRSRDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKVPMVVRGRKVRVVFQLVDNWVERILVGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRMASPGVVGYGFKSXXXXNYSQSNSFERKKLRRELKREARVLLFRGAWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCQELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWSSRDSDDEFSMHGRRGEFSDSERSWGEDWKSGRSSRYSARNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDDELAAILEERYLKGAAKSLFKSLGDRQERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQKAPGQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKERMGRLGDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGMGHGSWQCVSKRVDKVQIQEGTVENAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLGNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRSASTGDVGEVFKSEGEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTMTGWTQKFDWGEVKEAVVLVEYTDKEDENSSFNRVEFVKSVAKEVEKVWMMPRSLQCEFGDVDNVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNVLPSHSVRCQWEKNADRGPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPIGKEETKGEKARGIWTTQATSKADVKLNFP >CRE25424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:535230:543105:-1 gene:WBGene00054780 transcript:CRE25424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prp-8 description:CRE-PRP-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LT33] MADYGGPPQTEPHAIPDSILEEKSRKWKQLQGKRYSEKKKFGMADTQKEEMPPEHVRKVIRDHGDMTSRKYRHDKRVYLGALKYMPHAVLKLLENMPMPWEQIRDVKVLYHITGAITFVNDIPRVIEPVYMAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNILDVEPLEPIQMELDPEEDGAVAEWFYDHKPLSTTRFVNGPTYRKWAFSIPQMSTLYRLANQLLTDLVDDNYFYLFDMKSFFTAKALNIAIPGGPKFEPLVKDLHTDEDWNEFNDINKVIIRAPIRTEYRIAFPFMYNNLISSLPVQVSWYHTPSVVFIKTEDPDLPAFYYDPLINPIVLSNLKSTEEILPEGEEDDEWELPEDVRPIFEDVPLYSDNTANGLALLWAPRPFNLRSGRTRRAVDVPLVKSWYREHCPAGMPVKVRVSYQKLLKVFVLNALKHRPPKPQKRRYLFRSFKATKFFQTTTLDWVEAGLQVLRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDAHVQYRLNNVDAYQLADGLQYIFAHVGQLTGMYRYKYKLMRQVRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPGWRVWLFFLRGITPLLERWLGNLLSRQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDILDMMPDGIKQNKARVILQHLSEAWRCWKANIPWKVPGLPTPVENMILRYVKAKADWWTNSAHYNRERVRRGATVDKTVCKKNLGRLTRLYLKSEQERQHNYLKDGPYISAEEAVAIYTTTVHWLESRRFSPIPFPPLSYKHDTKLLILALERLKESYSVKNRLNQSQREELALIEQAYDNPHEALSRIKRHMLTQRAFKEVGIEFMDLYTHLIPVYDIEPLEKVTDAYLDQYLWYEADKRRLFPSWVKPGDTEPPPLLTYKWCQGLNNLQDVWETSEGECNVIMETKLEKIAEKMDLTLLNRLLRLIVDHNIADYMTSKNNVLINYKDMNHTNSFGIIRGLQFASFIVQFYGLVLDLLMLGLRRASEIAGPPQCPNEFLQFQDVATEIGHPIRLYCRYIDRVWIMFRFNADEARDLIQRYLTEHPDPNNENIVGYNNKKCWPRDARMRLMKHDVNLGRAVFWDIKNRLPRSITTVEWENSFVSVYSKDNPNMLFDMSGFECRILPKCRTANEEFVHRDGVWNLQNEVTKERTAQCFLKVDEESLSKFHNRIRQILMSSGSTTFTKIVNKWNTALIGLMTYFREAVVNTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVVFYTPKEIGGLGMLSMGHVLIPQSDLRWMQQTEAGGVTHFRSGMSHDEDQLIPNLYRYIQPWEAEFVDSVRVWAEYALKRQEANAQNRRLTLEDLDDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTEFKAYQILKQNPFWWTHQRHDGKLWNLNNYRTDMIQALGGVEGILEHTLFRGTYFPTWEGLFWERASGFEESMKFKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVFDQELDALEIQTVQKETIHPRKSYKMNSSCADVLLFAQYKWNVSRPSLMADSKDVMDNTTTQKYWLDVQLRWGDYDSHDVERYARAKFLDYTTDNMSIYPSPTGVLIAIDLAYNLYSAYGNWFPGMKPLIRQAMAKIIKANPAFYVLRERIRKGLQLYSSEPTEPYLTSQNYGELFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLSQLAKWKTAEEVAALIRSLPVEEQPRQIIVTRKAMLDPLEVHLLDFPNIVIKGSELMLPFQAIMKVEKFGDLILKATEPQMVLFNLYDDWLKTISSYTAFSRVVLIMRGMHINPDKTKVILKPDKTTITEPHHIWPTLTDEDWIKVELALKDMILADYGKKNNVNVASLTQSEVRDIILGMEISAPSQQRQQIADIEKQTKEQSQVTATTTRTVNKHGDEIITATTSNYETASFASRTEWRVRAISSTNLHLRTQHIYVNSDDVKDTGYTYILPKNILKKFITISDLRTQIAGFMYGVSPPDNPQVKEIRCIVLVPQTGSHQQVNLPTQLPDHELLRDFEPLGWMHTQPNELPQLSPQDVTTHAKLLTDNISWDGEKTVMITCSFTPGSVSLTAYKLTPSGYEWGKANTDKGNNPKGYMPTHYEKVQMLLSDRFLGYFMVPSNGVWNYNFQGQRWSPAMKFDVCLSNPKEYYHEDHRPVHFHNFKVSSSCFPYSILHHLQAFDDPLGTGSADREDAFA >CRE25130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:544588:546153:1 gene:WBGene00054781 transcript:CRE25130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bath-42 description:CRE-BATH-42 protein [Source:UniProtKB/TrEMBL;Acc:E3LT34] MASRTSWPSIDLNRSTSSRADDLPPQPRRLEVVSKQATRVTALSTKLEWKIEQFEKLMKLVKNGSNLISRMFSVPDAPTVCWELHVYPNGKRDEDMNHVSFFLRQVGLARGEEPIMTEFQIYALDANNQRVSVCRDTKDFTNQQGRGKFQVTRDKMAGALRSDGTLFLICEVEYFPPGSKISVEPVVEEDVSSEEPEDMPEVIVRTNNRNMWEDELFTDCVIHVGNKYIKAHRCILGQNSPVFKSMFSSPSMIEAQKGEIHIQDAKYDSVRAMVEFMYTGATESLESQGNIDEILAIADKYEVLMLKDQCERLIAQTINLKNVTQIAMFSDTYTADYLKSAVIRFLMTHHRVVIKTQDWISLKKSRHELANELLEAVLTTDQEDDDSSLAPNRVSPPPAKKRLRRSAK >CRE25131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:546660:548772:1 gene:WBGene00054782 transcript:CRE25131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cil-1 MDWKINIITYNLAMKSSNTEAVHNMLNGTIDDQTHLVGIGLQEVAHAETIGGAIATWAQSIAAWVNNNTRMVLLGKTFQATNQVLIFGRKQLIGQIKRIDYRFQRNTFRGLTGHKGSIGVRLQLASPYSIVFVVSHFMHGPEHNSKRIEQYHTNRICSFPEDKSVRAAFWFGDFNFRVEEDMNTVIQKIKNGTHLELLGNFLSVVFYLNYIQFPDSREQLKRTMIERDAFIGFREQPITFEPTYRMLVGTSEPDGKRVPSWTDRVLYKGDGITELSYCNNKKAVASDHLPVIAGFRVTAPVAPKPKWEVVFEHLPTWYTSVPLVGRFQVNAQFYKENGSYRDWIGVFPATIDDCTTATNWIYAATCFEQIIDGEKFLACEFSDIPVGSYRLGYFACHLNCIVGLSKVFQIVEQP >CRE25132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:549510:551177:1 gene:WBGene00054783 transcript:CRE25132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-13 description:CRE-ATG-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LT36] MEADFLSYKKFLKFFSVRMVQSLIQSRLGDEVESKCSPYCINTMDWFNMRVDELGEISAYLKSNIKNYPPLSTLTLEFLLYTPSGQCLPLEAWILTTSEGSEDCTRTELYHEMSTLLRSVIVSARMTPMHRLYVKKQNQETFVIMYRVFENDISSDMGKGKKTRKIGELTSKFGTISLDLHYRTSMHFEEPEIAPITPVEDEKEMEDGDKTVVDESSLQNKTTSECVPIADVKKRKASGSVESATSGGSSMSREAAPRFILGQSTSSEDSRHSDLQNSCDDDHKPSLADLRNHSFPFVNLLQSAYNPANGTKKNASSTSLSKSWLFRIFFLGPIFSDSPKPSEEAPVVEKVAESFRAAKIDEVVFEEDEEEELPIDSMELSEDSFVHFNQLSEFGAAPSLGNELGDYLKQLKTAPDMSDPGDIDICKVDLKTELEKINIQTANFNNFLKHVNSFSDE >CRE25133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:551505:553360:1 gene:WBGene00054784 transcript:CRE25133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25133 MTKKNKAQSKGKPRPSKKKRIDDTMDEDEQEPAKESPMSKEPVSKEQPTGGVKGGWNFFQNDIVKESVKKLASREANKKKSKEKEKEKTTREKKLPQSAEKTEKETTQSAKVLIAAKGLCKYLLDMKESSLSTYFDERLSKYTPSNQTFVDWENNITKNRSKEYKLNDNGRVHIDVSGKDSYINASHIRFDPSCPEYILTQYPLKDTIHDFWRMVSQKKVSRIITIFEPFTDEAIEEFSKIPSSMSPVASSTPESSTGTPLSVSQKSQTRDQIMTTSIRCESNQLKSFFPLSTDHYMNLDNWLINTRKVEIDERNKTWMSMYTVEIVAAECSEASYIRVFNCTTWPWKKTPNEEKKLLALVRAPFKDNGSLIAKPDKSEPIIVMCDLGLDRSATVILTSVIIELVLAGKTPDCDALFKKMRDQRANVFTMSMFYTYAIRAALVYLRMKLKLVNDGEELKAMLNEALLKVPFLSNPKK >CRE25425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:553459:553890:-1 gene:WBGene00054785 transcript:CRE25425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25425 MNIFYIFLIFVVIASVDSVASNNIFSSIFSFGKKKPPSTPAPSYSKDSSSRCNVQTSQCSAYECCNSDQTCLRNRCFPRLSNCPVTRDQCGNSCCKTSEQCRNGRCSR >CRE25426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:554863:558736:-1 gene:WBGene00054786 transcript:CRE25426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25426 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LT39] MAEHVALEDITNCPIHNIDEDNNVFSTTTTRPSRTEHRLRLLAKNFKDIRSSSMASSSSSAMRPFGTARLTPGRATGRQQTQQQISTPEPMIAIALSDMEYLMRSARSSRSSGRSERQPGCTCCCHIAAGVTVIPRVARFSIEGGLSTHTLMNHSDNRIAVKITCSDNNMYRVTPVYATVEPGQSLPLHIARITSDLIKRDRLCVNILEADGNKEAREIFKKNANTRAPASINMALEATNDSQNHHHHHQE >CRE25427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:560359:561280:-1 gene:WBGene00054787 transcript:CRE25427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-18 MATRFVKSLVNRNPRNNELMGRQAANIGYQFEKDKSARSYIYKVELVEGKSHREGRLVHYKDGIVISASTKEPSIANQLYSKTDTSAALNIGRVLALRCLQSGIHFAMPGATKEAIEKSQHQTYFFKALEEEGLTLKEPDHIEHSYENDKSFTWKRFPLKPTRQDKLDEL >CRE25134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:561656:565962:1 gene:WBGene00054788 transcript:CRE25134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25134 MRSRSGSGVRLDRILFMVEQTICSHQNPITALFANQKDFPGHAWVRDNVYIAQALWALYRAYMKCADFDEDLTKAKELGFTCVKMMQSILECLMRQADKVELFKKFQRPLDALHSKYAVDTKGTVCGDADWGHLQMDAISLYLLTLAQITASGLQVVRNFDEVAFIQNLVYYIETGYRTPDYGVWERGDKTNQGIRELNASSIGMVKAALQALNDVGDLFVDGSRGSVVHVLPDEIEQCSAVLSSMLPRESFSKETDAALLGIISYPAFCVEDPELVAQTRETITQRLLGKYGCRRFMRDGYKTVLEDASRLYYNKSELQQFEDIECEWPIFLCYLILDAMYSKDDDAVEAYWQQLESVLVLSDKGFRLVPELYVVLKENVTAEKTHPGTQSRVPGGATPFLWAQSLYVIICLLYEGFLLPAELDPLSRRLSVYEKRPPCEVQVTVLAESAEVQRELRAHDIHVQRVDEIDPVFTILPASALGHLLAKIGESKKLNLSGRPLDRPIGLLSTSRLYQIGNKFVIFTPQFMDSRRSHLMYDIRILTDEWSSELQYIYASWNSVSISGRPLVVLVITQGMLSTEGLSHFSNIHLNRHMKSTVIGAIKKINTGYLSGARVVMKDLSDFFRTTAVSKMEFRDKKSEEMLRSVAAEKVQFSLLTEDATEAKSEKMASPRGPRTLRRGESVKDRSAYSLVHKASMRHRSIALDSNDADLIQLRLAYKSRPRDLHEMDSPRQSPPLGQRPLGLVKEHSSGELRQQLLKTTRRGAENETAHRDLKTEQMIEMKGDDLLDLLNETTVLEEQISIVHCLWMKFGPDYDTELGCQHITVRMLMEEVHTKACEAREWALVRLTAGLLKKQLEELSKAVTHLLVRQKQITVGMASKKEEVITCPKTNEELEKIMNRAYGDDANSFTLAQEIIVYLGSLVRTEPKLFLEMFRLRIGLIIQVLASELARLRNISGSEAAETLLTVSPFELKSMIFSLLSGRLLEEYAEDGFHYGDTIRETRTGIGSFRRQIEERKSLRKSTRSVGGLEIPKEEDEDEEADEDDFQFGIWLRHRRIDGALNRVPNGFYAILWDTVHKMPHGVKINDTILHWGLTQEMTRKEIKFALEVEEALNRIAEPEYRELVVETLWLLGRLEKLVLLEQPNIPKDRPLDVDHILHVANQIFVNHNKQMETLVLECCASPNPNNTKCDGARSICKHFYDSAPAGEYGTSHYIIRALMQLYS >CRE25428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:566317:566834:-1 gene:WBGene00054789 transcript:CRE25428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-12.2 description:CRE-HSP-12.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LT42] MSAIEVTADAASTWDWPLQHNDGVVKVHNTKEKFEVGLDVQFFTPKEIEVKVSGQELLIHCRHETRSDNHGTVAREINRAYKLPDDVDVSTVKSHLATRGVLTITASKKA >CRE25429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:568357:570384:-1 gene:WBGene00054790 transcript:CRE25429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25429 MIFDRRFFALVILLCIAVIRAKETSSDDELNYEMDEGVVVLTDKNFDAFLKKNPSTLVKFYAPWCGHCKHLAPEYEKASTKVSIPLAKVDATVETELGKRFEIQGYPTLKFWKDGQGPSDYDGGRDEAGIIEWVESRVDPNYKPPPEEVVTLTTENFDDFITNNELVLVEFYAPWCGHCKKLAPEFEKAAQKLKAQGSKVRLGKVDATIEKDLGTKYGVSGYPTMKVIRNARRFDYNGPREAAGIVKYMTEQSKPAATKLTKLKDIERFMSKDDVTIIGFFATEDSSAFEAFSDSAEMLREEFKTMGHTSDPAAFKKWDAKPNDIIIFYPSLFHSKFEPKSRTYNKAAATSEDLLAFFREHSAPLVGKMTKKNAATRYTKKPLVVVYYNADFSVQYREGSEYWRQKVLNIAQRYQKDKYRFAVADEEEFAKELTELGLGDSGLEHNVVVFGYDGKKYPMSADDFDGELDENLEAFMKQISSGKAKAHVKSAPAPKDDKGPVKTVVGSNFDKIVNDESKDVLIEFYAPWCGHCKSFEPKYKDLAQALKKTQPNVVLAKMDATINDAPSQFAVEGFPTIYFAPSGKKGEPIKYSGNRDLEDLKKFMAKHGVKSFQKKDEL >CRE25430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:571545:573245:-1 gene:WBGene00054791 transcript:CRE25430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25430 MFRPVEWNSTLKAHTTVTLAAAVLRFPLSVNVAGYCCLQHGHSPFFHNSLGFRFRSIRLQLLFGWLNQLLLGHQKCQQAMEGHQTTIGSRIDFSKRMRTLLL >CRE25135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:573456:574121:1 gene:WBGene00054792 transcript:CRE25135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-21 description:CRE-RPL-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LT45] MTNSKGLRRGTRYMFARDFRKHGVEHLSTYYTQYKRGDLVDIKTNGAFQKGMPFKAYHGRTGRIFNVTRGAVGIIVNKRVRGNILPKRINIRIEHIKPSKCRTDFLNRVKSNDEKRKAAKTAGQPVPALKRLPVAPRGAHTVTTQNNEPELLAPLRFEIVA >CRE25136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:580244:588861:1 gene:WBGene00054793 transcript:CRE25136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gei-8 description:CRE-GEI-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LT46] MESLKELAREYDQQFEAFQDDLKKWEETPERIEYAEFHRVQAETEFPELKKEREDRERWARAERIRGDDERHMMLKQHADEKIRLGVAKVPRLLTEQERKLDEFVERPGSIVTDMKQAHRKSIHDRLEQWSPEERALFKARQSEHVKIFHGLTEFFVDKSASDLVLFYYMNKKTEGFKKDFKPKKRVTKYKVGAYPSAEELAYCRMMPHLDYSTCPKNSLMCYFCCQTVNGIDLDGTFMPKEAYEIFAINPDENRVVCTRCREEAAKLYKDNRCFGNRCSNQKKRANRVNRNIPLDLAEFSVRTRAFLMDKLGSTRVAVKFCTPCKNALCRWIGDINRKEEVIMKELMSYEGQVGWTDEEKTKLVTLINASACLDWDVISVGMNRRPNECKMQYDALNGVKAQPLLEEIDDEDPGQEELNEPSSSQPTPTVNNSRRSGLARTAKKTPRQPRVARSTNRRTAGAITRAQAVPKPVEDLGEDVDEMELEDNDEEASNGSVEKGSKATSIREDSPGETMDVDSPEGQDDQDVVDEEEEELVTRDIDSPVKTLLSPTILSGAHKPDFPSVRLQKPSISHPAPEPAPEGESDDDDDEDTLEVDLYEPPAKRPTPTSSSSHLIGSNNGGGNGGVHELDGRGFSPQQQQPQSAAPPVTVTTAAATAQRHVDSTSPSPSLASQLVPPTEKSESVPLPVHLLQQPVITQSGAVPTAASQALPSPVPIAQPIPQQHLIPPRDSTPAQILTPTPVRPTAASTPANTMEQVLELLKQQQQLTQQQKQQIATQQLGGINPHFLASLVYNFSNNQQQQQVQQAQPQIQVSQQGSITAGTPFQTQQRPELEAVTKMIAANGLMNPEMINALASGKLPFQQFPLANPAISFLQTQQQQQQQQQQQQQQQQQQQQQQFLIQQLLLAQQGHPAPAHLSQYQQAAHQYQLAQVQAQAQQAQAQAQAQQVQAQQAQAQAQAQAQQAQAQAQAQAQAQAQAQAHVQAQALAQAEKAKQAQKIIARTEAQMKSAQAHLQMSRSHIPTSLPIGIHHSYAHSHTPSEPSTSATPPGYRPRAATTGGVKTVRTNVQEAELKGLREQLLKVICNELIRIQLVRERLSEEHVIKQEEEQILTLWKQQPVRDGAEFHNILSTRQEVLAARRLAITKDIDEPVKFIEGMVMKYPDMTIFALDNPDREVVANVASRYVESQKKQRELEQQLAQQQAQQKAHQQQILAAHRGSIIGIRQPEYSSQPQTQQAAFIQHQNQHQQQQALQNHANFQHHQHLQLQHQLQVQVQNQKRKLDSPSTGHKRIAAPHSHASSAQASPAIPTTSVHQVQSYQSLPFHTGMHPHDLSSYQLKTVRGNFRRARFEDHHLPIQLACVDGTDRERFLQEQQKLLLAQQHQQLHPGSQEKIAKRKSGIESITSMQTSAPHRHVPLAGSSARIQGGRGVSPAAHATRSIIPTTSSGRSITAGTSGHPTPAESNNKTVADLMKPSHQQLLQTKANVNKSNTVSPANTNNSDEIQIVWQGPSTTKPNERKPIKRLEPRSIPLTPAGKRMVDHLSIPLQLRITICQKVATENEAKECLALILAEEASQPANDKSVTNLVNARENAAANDELDVNYMDIYNEVVRLDEERQHKMTLMNNDTALKIHHQLMDQMRSLNAMNQLPPQVVQQLHQNPQLLQQYMAMQQNIQLQNAHAQAQAIQDQSAQRQQVNKPDNYEKFHLLRPHGIARPIPTSGFAGFLPTVATSAVPSSASAPQLPQLPQQPTAVSNALPMTVSQPSPSVAVQTAAPVPQPVPSVSGKPSSIQSVVSDGSSDDDDRSRNPSKLASNRMPPEAGDKTAPRSVIDFRATGELPVFKHKQIKYPLSDQTINTISTTYSGRPCKDHVYEDLSDDE >CRE25431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:589346:590964:-1 gene:WBGene00054794 transcript:CRE25431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25431 MAVVASTSDDFYANYAFETSDPKNENSIRVPRNTTENRRLKYGHSEDDNSDIAYLNFPVIIPSLLFTIISMGCFIGGIVTSLRTDYIPDERELIRGYVIEYGSSRFRCNSTIPFPTDGLPSILNLFELNVIGNVLFRYAVCIPIVIRVFNAITIRNLLRHEYSTKFSSLHKVMADSMPIFTFVEAFMMSLFSIVTVHEDFPEANRFFKIVFAMSSVVNMLTTTTVMFAFSSNSESKWDTTSMIMKLISVVIYVYFMPQYIQYHQSSITFPICHSYMPQLFALMEYVIIAAYATFHLSFLIDIRNISFICFPRSASGECEPIDPTNFKKGAKYEHCRAFEYNQRRIMSL >CRE25434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:597232:599661:-1 gene:WBGene00054795 transcript:CRE25434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plk-1 description:Serine/threonine-protein kinase PLK [Source:UniProtKB/TrEMBL;Acc:E3LT50] MNRVPNIAKQPQKSSQRKEKAPPEVPAIITDKERGSYYEKGRFLGKGGFAHCYELTNRATREVVAGKVVPKTMLVKQYQRDKMTQEVQIHRELCHKNIVKLFHFFEDSLNVYITLELCARRSLMELHKRRKAVTEPEARYFTHQVVEGVLYLHDLKIIHRDMKLGNLFLNDDLVVKIGDFGLATTVDGDERKKTLCGTPNYIAPEVLNKMGHSFEVDIWAVGCILYILLFGQPPFESKSLEETYSRIRHNNYTIPSSSTQTASNLIRKMLHADPTKRPTAKEVHRDIFFKSGFMPARLPVSCLTMVPKFGGHETSMMEENVAPRGTDARVQRPLNGRAGLAALPPHMVANNAEREKAQQQASEATFREPEDAYLSQLFHQVAVLLEQRIPGIEEEEAALDGYQSPECLPIFWISKWVDYSDKYGIGYQLCDNSVGVLFNDNSRIMLDQAGNELTYIEKSNKEHYFSMQNGEIPMTLNKKVTLLKYFRSYMNDHLVKAGEGSEQRVGDDLARLPTLRVWFRTKSAIVLHLSNGTVQINFFNDHVKMMMCPLMQAVTFIDQNKRMLTYKLSNLQRNGCPEKFLHRLKYAKTMIERLMSDANVVAHNPSRQADVPRGMASARSASAGSRGPIHNGNHLPQSASGSNIHPRR >CRE25137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:605680:608730:1 gene:WBGene00054796 transcript:CRE25137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-toh-1 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LT51] MTSSLVLILAPLALVAIGEAAFGNTSKIFEIPGLEVMASDKYPHFTTIETVSRTKVHRHRREVIAGPIYDWNSYEIPFQIWGGDYNFQSLIRRGIRMWEESTCLRFRENMQSRDAIRYVLEKGDSCFTEYIGRNGGHQDIIIGSECAEEYVVAHETGHALGFWHTHQRPDRDRHISINWKNVMEEATASFMPFRSMLQAFGIRQVSPRRVPYDYGSLMHYHAVAHAVKVSDFTIVPKELKYVTTMGTEKMAFLDAKVINDIYCPNACQGRNHLNCLAGGYPDPNNCNICRCPEGLGGADCSRLQPSPCGGEIHASDQWQTLSSPSGRDVHCYWRISVPDGSRVRFRLSDGEFPCSYGCQSYVEIKHKLDVRLTGFRSCCYRPKEDTVSESNQIFVIYHPNGRTARFSLRFRRQA >CRE25138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:609377:611048:1 gene:WBGene00054797 transcript:CRE25138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xbx-5 description:CRE-XBX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LT52] MYLFSILNTFADLAIFWLHVSVTRNEDREHPLALFVSYSTAPYFFNLFPIIMNSALFGVDISQMKDIKEAMKTFDYIFFRDSVFKSLMLCSYIFLVIWGGVLMACGLICCIKRDRRMSKCELTMRIGIPCALGLIFSMSFYNGIFDIHDKMRHIANIPFLFLHIGHFLFILTLLYGAMTIKNINDPSADQSDAIRQFQSLQIFGIIVSVPLCYMEFQMFSSHSPRFMFSEYESFVRLIFLASVLLLEPFNSRFRFRERFYRTTHESPIGPAPEAVQVVKSIPKKMNIDDMPPNYENAPPAYESAQDLPTTWTITPPSKEYTGAQITPVEAK >CRE25139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:612578:619656:1 gene:WBGene00054798 transcript:CRE25139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtm-3 description:CRE-MTM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LT53] MDIPGSNMTSASTEAMEIGGRRGERYDSDRTNEDLSYIASPANIGASFVDVQNEQTVTADSVRAIVHEQNYVFGMASLTEEVEVTINPDTDIVLLPGEERVKYNNMFEWQIESGLIFVTNYRIIVLKTVDCTKRFLVFPLQEIEQFDLSNDNVITVSMKGGRSFKFQCKGEDHTGLHKLVSPALHRLNRNMKTLYTSRPQDWASKTESNPMTALNPFAWRFSEAVDELDRKGQLPSWLKRADSVANEITHIDFNRLEMSEHFQISSVNEKFEVCPTYPEKVIVPKGITDEDIRTGAPYRSIGRFPAVIWRCKKTRAVLIRSSQPQVGILSWRNYTDEKLVEEIVKATRVEGEERKQFIIMDARGYTSAFANRARSGGFENTEYYQQAKLEFLGLPNIHVVRASFNSIRNMLHQQMSPNEQLLQNLQQTGWLTNLSSLLVNASNCADHLIRGHSVLVHCSDGWDRTTQITTLAKVMLDEHYRTIEGFEQLIRRDWIAFGHKLYDRQSTGTSGNWGEGTERSPIFLQFLEAVRHLQREQPTAFQFSHAYLIKLAKHAYSGLFGTFLFNSHKEKKDAMEKWNASLVEIWRFIGPHNEEFVNQSYDEKYVGTLKPINLSVLNLRVWHEVFADEGECYNLFYSNKDERPSSGCATPITTSSSTNMVKSKSSESISSLNVDGSPHVVGCSDGTNLPMSASLYHQSMYQSTITGVDAIDSDGLIRYEDDEQAMLRKKNKAREEAIRLRDEEIEELRKRALLEKGISPIKRYSCSESDTEPIGRLDRAASDLSILDPDRELPNFRPNTTWEAEAKSCCLCKKEFNKMSVYGEDRQHHCRNCGRVVCEDCSKQRFAVVEEGQSVQKRVCDKCYESMHEPETKMNRTTSLSDMSSFDSTSYGPTYSPGSSPSSSSLNMLSLTSPTRPQPIPISCKSSSNSISSPRPSPGEFSRHGSSQAVKG >CRE25140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:624236:625053:1 gene:WBGene00054799 transcript:CRE25140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25140 MGFSSEWLEQSYLNWRHAASARLIRIVLFITSGSAFLRTLGHIPGFYALWTAAILLISTVTIIFNVLRPLRITAFQILAGIVLLLDVVFALPGYESLFPALLAVFSLYSFFSLPFYVILATSTLISIVQTCSFLLFVEPLHTNEASFYHYYQFVQNEKEQLPLASSLSLSQISCYSLSSFYVADIYNCHIRNALSTTHFISLLSSR >CRE25141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:627957:632895:1 gene:WBGene00054800 transcript:CRE25141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acy-3 description:CRE-ACY-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LT55] MERLLAIIVVHIWTNFTGIYLCSTADRLARNAFLSARNSSEAESTAEFQSNRLNKLLSSFLPFHLINQARHQITLYKPHLYNETYSQVSVAYGRLVGFESVLAQCSSIDAARVLKELDNRIERLAATNGCTRVASEGITAVCSIPGIDSQHASKLCRFAMELETLINSFRDATGADVSVCIGIDSGPITAGVVGVSKWHYDIIGSVFDNALLMQSNATEPGVYVSNETRRFLSPTGDFELEKCPIGWKLYGHLPSPELFPINKRFSLVTVPQAVNRVLQSIVAMNPTLKTMGTNKKRKNEKSQEKFDMKKEKVEHSTIISSMSQRFRNPGLETEYHKETDHWFIPSLAISIFFLVVYGIYHMLVMPRLITSLALIVGALTVMFFILLMLYIDYFHTFSQFITRTSAGHAVSIILIIVILFVCGIVNTFSCPQPNAPDVCQKAHFSTYSFAMWTITTAVFVRFPSMYLAVLLVISLLTYGLQIYVTRPAEFGPREFMTELDLTCFLISLASLVFVHSRRCEKLLRLDFLAVVKGIEETSMKDKLINLNNQMLLNLVPAHVAPGVIQKAGDVWHHSHQSVGIAYIAVSGFDLEGEAGINGLNYVFSHFDQAISNYKGVEKVKSANRFYIVAVGLLPDAAQNVNETPWTIGELLSTLAHFIISISQFSLENEFHVQIGVDCGSTLAVVTNTDQPRYEIFGETLDRSRTLMQAAGHDTTLVSEEVFLALRPRPLRFSSQPVKVAMNLNAYELVTGLRDMSVEIPTMPREEQERHTQGMVEAQFASHSRMYDTQTSEMASSMASSFSSELRSIDGDAETDSDLEWITPETALMKEPQRNHRFVMKNSDYDPYREPVHRNLRAMSAEQDYRNRRVQSGSDMSDAEYGPTTSRANSRNSCRRGWRPSSKNSLRNGFGLFRKGYSDNEQSTEGINQIEAAANRVDRMIQELNAFGDFAEVKPLEYQPFPSNFGSVKSIHRAMSSACHTEYDNAESDAAISDVESNANSVSHSRNSKSKQKKKWKDARRWHRDAADGDTESQCSSMTGSIDLDPIRWKSTHSIGYENEYEMQSDVEGLALEEMAALSRDIRNNFGDFQLATFDDIDQD >CRE25142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:634425:635616:1 gene:WBGene00054801 transcript:CRE25142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-4 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3LT56] MTSHENDTPLPVPYTSRLGQPLEAGQTLNVHGKINEGAQVAELNLLRGGGEITPNTQVILHLKLNFKDKKIVMNSYDNGVWGKEERESIPFKAGEDFDLRIRVLEESFEISADNKKIHEFKYRLPFQSIEYLSVRGEVSLNGIHWGGRFYQLPWETGFPAGHLEKGQRIHLYGVPKGDRWSFDLVARNQDVLFHFNPKLKEKVVVRNSHRNGFWDNEEREGASPFKKDVGFDLTIINEEFSIQIFINRERFGTFQHRTQNPIGDYIGLRIDGEVEVTGIEFSH >CRE25435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:635948:637603:-1 gene:WBGene00054802 transcript:CRE25435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-44 description:CRE-TAG-347 protein [Source:UniProtKB/TrEMBL;Acc:E3LT57] MCDEDVMSMSPPPSLSPQNFPNSHNGFKTEELELHAPPLIPSSVHFEHAPVREDDKSMVYQIMYAQSQHSDSSFHRPVTPLQITIPDGDSSPTVPVTCGVTSGKMHLNLFMCPGIHQPCIEVGNDLLSPKQFTVRGDKERQKDWKASIRVGRSSLRTHMEASTIDFYDHPNRCSGKCQSRNYVNAPTDEAAQARKNKRATDAIILKTEIENEIAGKDSESGDRKTNVKKARGRPRGSVNKPRPFVKLEPQDDFFDEFFTDAPPPPMGSEHSISDHNSLPPPNRSQQECSPYGEIHNCLQNGLCFYCENKIGTSFLDPMNFWSQMQGSGVIGHFCDDIISSAINLKQLALEQVVSHNTANMLTRTAFALGIQPVIVQRVQSIERNVYQQRKHDEMFMEIQAKLAEEQRLKNQLEPSTSAVSHESVHTALEIPSEDKEDEFIDVGKYEPYSEAGDSYHMAPGPSHLL >CRE25143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:640579:643279:1 gene:WBGene00054803 transcript:CRE25143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25143 MRQELASLCDKKSEFLRAQKRASLFVDSRRIGAPSVMNEFSTVFKKACSLPLEDVDEQRKMIEDVVKESIVIEWPRTFPGVYSDQTETELDFAPLIHRARNVVKCRTSHPTMRVKIYAISESNEISCPQVIETFYRASLVKLSAETPESKFRQVAGKMILTQREGIAATKSAEQSGAVMNSEGKLLCSQSSSHAQLLIFANQLNEQNVRTTSLKYENGFLCATFPEMGVTLNSMVDRRQLSTRYAIQVEVHINIDNRIIVIHSLISHPFLIAITNDQTEPLLQSIFWNRLLNCDQHDSYESSPDKSHLPWGTLRQALRAFIKSQIPQARFLTDYELRHIQTMLLLPRVLRCGSPNDLHLLEINLFGSVGNQQRDLHEEMKRLRNRLLSEPIVDSFLVDRKEFINEKCISVLDMSTELEHTTWQWLHKSCEMIQDVGHKLCPSPVCDKKSSKTKKQMAASEDYQTVISLFNKGFITFCSTLKAGVSFGYVERNSAMLMRFCDENVGHFSICYGLENGKPKLGSISAEQVKDFKQGLPEMLIDEHYPSKYHSLIKMSMEDCEDNSPTVAVRKTEIFHNYKTERRTVESVSIMDDETNRVDVLSGALLARQSPSPPQNMIQPHVFDISSFAHNNTHIAQTIFPLLHSLSGNLDISSLLKNFNSSSNQTEEDADDNDANLSDTSGEDAPTSSVASLLQSKEDHKDDIHNETGNIAQLLLQQYQ >CRE25145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:649275:652784:1 gene:WBGene00054804 transcript:CRE25145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25145 MHERLPFDHFYFMSSNDTFEKLYIEQHDAPSEEQVEEWLETGEVLKLEQIVLDGRGHMLKEKKTVNAASNEFLSGLTQYLTKIDAIHKAVEEGDVRRVKSLIDRPLLSTARDNYGMTPIHKALLHGQTNTVRFLLGRFPSCVNATDHAGRTPLHYAAADPNGEHMIKVLQKSGGDAFIEDKHSHTPFYYRTHGQRLNVRSMKDNAVMNQLISGQLSRPLLQDLEEDIYDWIHTGNIGKLEELVLTGYADLLLGRNHEVEDADSIGFLEVLPQYQAKIQSIHKAVETGNLRAVKLLTDRKKLSLCRDTRGLSPLHKAIVFERTDIAKYLIRNYPQSVNAMDQKKRTPLHYAAALKDGGYLYKVMRKSGADPNIYDCNGRPAKYYLKHSGEIDLSAMRLDTRAALKQVLHNRVAPSYLESSIQQWLRDGQLAKLEQLVLSGCGDLLQSRTSSHTETQAFLDRLPDYMEKIDGIHKAIKEGNLDKVKELMKTKKLAIARDRFGCTPLHSAVVHEHTEIVRYIAGHYNSVLNAPDYNKRTAMHYAAAARDGGHYLKILGKAGADPMAVDNEGRTPDYYRRNAVIDLKLIKDRDEDYETINEEYLEDGPMIDSPATPDSGSEGSFADSARLLEDEDESIERHRFEKTFRGKIDLPTSENGVYLARTVAPVLTKALAEVLLRRPTDPIGFIAEWLSKYVVEVPRRNGNGH >CRE25436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:653118:654747:-1 gene:WBGene00054806 transcript:CRE25436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-48 description:CRE-LIN-48 protein [Source:UniProtKB/TrEMBL;Acc:E3LT61] MDSRVWLPLIGAHLLPRDINVISQIFANNNNTSILSSNMSSSKSSKPDEKNSKKFLIERFLDDDSSPPSSILSPSPQAAIPSPIINPAVEFVNGGYGVKNPLAPLMNSLESSAPSSTISTSSLHLISSVNEEFTDSLTCHICGKKFGLQRLLNRHIKCHSDLKRYLCTFCGKGFNDTFDLKRHTRTHTGVRPYKCEQCEKSFTQRCSLESHLRKVHGVTHQYAYKERRSKVFVCEDCGYTDEKFELYLAHIKAIHPFSAAYLRFTQLQKKNSTAIAKQQFAQISS >CRE25146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:659527:662352:1 gene:WBGene00054808 transcript:CRE25146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-evl-18 MILEDNFRQDFYNKIKKDDKSLVLIVSVDSDALCTTMILTHLLKCDDIPFTILTVEKWSEVEKIFETKRELRCNYILINCGATRSLSRLQISPDSTAYVLDSHRPFHIENVYANEKVHLLVNNSEMSELQLPDVEKVIQEDSDDDEDNEENRGSYEQQMENIRRKAIKREEMQAWERQRQRTLWKYYESTWFSTPSCVTLLELAAEMNRVSAEIMWYTAVGLNSAMVDKLISIEMYTQICVDRMRPFVHRFLPKNIINQGKVDDLLHITFGRELPLAIYSHWDLYNSMWHNEYFSIKTKNWTQKGDTNIRHLLTQLGVTLHETTQKFESLSTEQRIHVVDLLEKEMDSAFATFFATLGYSGKLSACDVARAVTVRLEMPRSETLMDRYRAGQAILRSSITGERQERTNLTHTISSNCQRTLQVSWKSVSAAINMSEIIANGPYYLFSCTTSIDEDMIDSRHFLYNTTGFMLRAFASMRKGRTSKPLIAMFPLTGESAGWLVVTGVMPIATIYEDSLLKTCIGRAFERVKKTNSSIRIIDDSFNPDIIRLKSEDRTRFIDLLRHAFEGD >CRE25147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:662530:667963:1 gene:WBGene00054810 transcript:CRE25147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25147 MNLSAAVSSKNRQSYFVQSTPHGLDGAQHLAVNRDGTIIYGLRVRVEISIVETPNEAPEVITTATEKTICKYNMKSGISKTFGLDDDFDPLILAQVQEFYTLCNNLVVMICYNAEYYQFIQIVILLEHSRETAQLVAIRRKTVSRMTSPPLINLSFLDRGRILLCGARASVDGINERMSLSIAADPLNSLEHQDEDLSQLMEDFNKFLAKRPPGPDGKSLISLFLLNMDDEEISVLLSEIDPENPQSEPVFATNIIGLINRKDYSFSVGNINNLDINSGEPLTVTSISKLKSKNGSVWMSFSVTDIEQLKTIYWRLKHLYVRVMLRLMIFGFHGSLYLWRFDNGLTFHCFTKILTLLHGPSMIPIYSPQKKFLMCHLNIKRRRFFRYDHPARILKNHGDPNETNFAINFDIDSTEASKCATSAGCDSTFVGAISNAFQFICLDNLEKVSSQISCIQESAGDLQQECETECAVQADVIENASRNTADQLFNMEKLCNSTTCIANCYQNNLHRFCDIGEDNFLDTIFSQFETMKQPGLLGYLRNEKQNTSGIAKLFGLMMPEGCNNEKLKIKIPKKIVLPDKPKSVGSPPEVRKEITTQRKSLTEIKNSTESNDVLLAKSGNLSSAALLFNGKIQTLQCQFLDSRGKPQTTPDFETISKILSEHFNKNSPASTTMKSSVNVKTLECGKTDSSQPRSSGSTMILSSVFSIVAAHFL >CRE25149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:669037:670008:1 gene:WBGene00054811 transcript:CRE25149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25149 MDGIDEIDSLLQSSYNMMMLTKQGETHLDNMLNRAHRVASRISSMYKYEKLLQDMQELTVNGNRRKLILNDLQRENKQIMALQDENRQLRETTEEYLTAMRDILESHAELENTIEMQQNNKEVDDIDNLIYEMANTNLETRLKLKALKMQEMMDDLEKLRREEYQDLQLVVQSNKNYKELFKMACFSNPSALSVLRTALRAVNEDGHPACKPEERLVEEDEADNLNETIIKRT >CRE25437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:670136:673261:-1 gene:WBGene00054812 transcript:CRE25437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nrde-1 MEFITVDSDCADEEEDIVHQELVRERDRELSEIIKKRHLAKVKEDEDRFPKIKHTDRSLIEYLSDQKCCVPRDHQAWYDSFKTDVINHFENGVEDFVIKMKMIKDAVAFRDFLVSRHMEIVSEPKTKEKERIHKGLVEFDKQIVAALRKMTSIPCDEEIISTTAQNVFEDTSERALKRIASKISANYVLEQLQATDLYAFTVMREKRDTSPPRECLSAISMAQLNEQFQSLFVHEHVTIVEKDKDDNFLDMFSAGISDDDEEPSEECIYNDEITKSSDYVLDLKTEWVEPVYICKKEEEGAEEFNIDEGEDFILPYEYKALSDQLDRTGTHFGQNPPEYMRRDWELKRFENKRKKQSRVKFEKYDSPQNKMRIFVKPNGNFYKSDDTPVSDYVDLSLRDGTLVLKDVEFPLTSIATKASVFRSRRRKDNFDKKQQKVIKEPDLFLKGTYHGNLLGRFWCSRDKKDQRANSIRGLYGLLKDYCVDEIHRLYSQLALNAHKTMRRGRSLIETQTFNEKDVEMATMNGVLLLTDWRLLFLREHVLTNALIQYMPTNLGNVFFEIMTEYLVELILDANIEFHTIIFAFGGSPHFEKLDFLKFWKKLIVHTNKTIDVHWMAQDWLDGMVCSEEDIEQLTGFNQYVEKVINSMRETGFRNYAFCNIRNQYKVPLLPDDAYKTPSNNDCLQNLYFAYVRHFVNESNCYGFSSYFNNSAPSIDNFNDNDARYPKHKTPEEL >CRE25438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:675242:677119:-1 gene:WBGene00054813 transcript:CRE25438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alkb-8 MDIINDYLQFLLVSNSSILCNVSLEELEAIFLPLDEDAEFIVYPNKRSYSFVQCSSVEKAITVRSELHGLIPQSLKNSHQLFIISFVQNLPKAKKSENFRPADLEVVEDYVSEQIEKELVDLLINHPEGKLAKICMLSYFYFLVQSLKHRAVVHFGHVFDYSTNSASEWKAADPIPPVINTLIDKLMSEKYITERPDQITANVYEPGHGIPSHYDTHSAFEDPIVSISLLSDVVMEFKDGANSARIAPVLLKSKSLCLIKGESRFRWKHGIVNRKYDVDPRTNRVVPRKTRVSLTLRKIRHNPCECKWKEFCDWDRKGEMSVPSSEDLALKLENSYVSDVYENIASHFDETRHSSWRAVKNFIDEIPRGSVMYDVGCGNGKYLIPKDGLFKIGCDMCFGLCEIASKKNCHIVRCDALLLPFRDESADAAISIAVLHHIATFERRKKMIEELLRVVKPGSKICVTVWSMDQSKSEYAKMRGNKDEQLTENETGGKSDRLKVHDGKDFQQQDVLVPWTIDQQGETYLRYYHVFRDGEAEHLIGNVDDCELVSVEKEQGNYIIVIRKNCFSSN >CRE25439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:677527:678865:-1 gene:WBGene00054814 transcript:CRE25439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dph-1 description:CRE-DPH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LT68] MTTRATQISTLVEEIANDPNLQEDLKILPSNYTFEVPKTIWKIRSTNSKYVALQFPEGLIMYACVIADILEKYTGSDTVIMGDVTYGACCVDDYTAKSMGCDLLVHYGHSCLVPIQNTDGIAMLYVFVNIHINLSHLIDCVKDNFQLRFQLHILQGKRLAVVSTVQFIPSLQTLRANFNNEDSSIRIEIPQCKPLSPGEVLGCTSPRLDAANFDAIVYLGDGRFHLESIMIHNPTIEAFQYDPYSRKLTRELYDHDLMRKNRMGSIEVAKRCKKFGLIQGTLGRQGNLKVVEELEEQLKRKGKRFLRVLLSEIFPEKLAMFPEVDCWVQVACPRLSIDWGTQFPNPLLYPFELAVALDNIAVPGDHWPMDYYSNDSLGPWTNNHESNRPKREKRKPHIVVRAEAS >CRE25440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:678949:680470:-1 gene:WBGene00054815 transcript:CRE25440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wdr-5.1 description:CRE-SWD-3.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LT69] MDTTGSQAGANNQQSVQPTDQSPVLNVSEANSSNTAATAATVVPSSISPPDLPITPAPDANASTSNQGTSSSGSANYKLMATMKGHTKSISSVKFSPCGKYLGTSSADKTVKIWNMTEMTCERTLAGHKLGVNDFAWTADSKSIVSASDDKTLKIFDVAAARMTKTLKGHNNYVFCCNFNPQSSLVVSGSFDESVRIWDVKTGMCIKTLPAHSDPVSAVSFNRDGSLIASGSYDGLVRIWDTANGQCIKTLVDDENPPVAFVKFSPNGKYILASNLDSTLKLWDFTKGKTLKQYTGHENSKYCIFANFSVTGGKWIISGSEDCKLYVWNLQTKEVVQTLEGHTEPVLASDCHPMQNMIASGALEPDNVIRLWRSEA >CRE25441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:681007:682317:-1 gene:WBGene00054816 transcript:CRE25441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25441 MLNTIFSLFQKRPSNNTPMIMDAENISNECFEQIFHRLDKQSLVNCILTCHRFEQLISSCAFWVEHARVQGIPDVLPSLDWRRASNNKKFGGNGNNEIDVSTFNFDMKRIVLSGRGYSPITPLFVSHFEAARDHTIRGVLRSDDFSIRGPADGIKMEIDGGHGCKSHPDVSNCFAFSFTAGTISIFIDLIHSGIDAWVLDHVRPKIRISQKVNHRHDCSARLSFAAQLNYNETQWIQEIGMRQTTENINQKRFKSIHRTWEQWTEKNWEDFVIEFDDYPSGMRHLTVLNEGQDGMFWAGFFGPKIANIQVQVIMPETPVVRSFEVDTERCREDDNPNVEEEPGRFGVPLFIRHRRMWAVPPAVARQAEDNDDEEDD >CRE25442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:682761:683864:-1 gene:WBGene00054817 transcript:CRE25442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25442 MQVWIILLLIPNSACGFYVKSPNDKEQNDVSDFNRPIAEKLRSNSNSIELFGQRVEVPGWMNKAAEETRGMFDSASNLFGKGTATSRKSSEGLMTNLKKDETTRIWFAVYLNILVVVLIYVFITIKLALLSLSEGQMERKKRNSFSSHVAFDSPDYNLPLTSIATRNTRISAPMNLDNPTSDFEIAREVCEDEVLENVAALDFDAIPSTDCDRSF >CRE25150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:684226:686201:1 gene:WBGene00054818 transcript:CRE25150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdi-1 MSPSLSLIFLFAASIAAVSADSENVLVLSESNFEETINGNEFVLVKFYAPWCGHCKSLAPKYDEAADFLKEEGSEIRLAKVDATENQALASKFEVRGYPTILYFKSGKPTKYTGGRATAQIVDWVKKKSGPTVTVVETSEQLENLKSKNRVVVIGYFQDAKSEASTIFNEVADSADDTFFAVAGSAEIASSASLNSDGVALIRTDGDDSETNTIEEAEITNTIALKQWIHGYKLSPVTEFTHDSAQEIVGGDLKKFHFLIIRKSDSAFDDTIAKFTEVAKLFRARVVFVLLNIDVEENGRILEFLGVDAKNTPANRIVSLADQVEKFKPHDGEDYEAFTNSYLEGKATQDLKAQELPEDWNAQPVKVLVASNFHEIALDETKTVFVKFYAPWCGHCKQLVPVWDQLAEKYESNPNVVIAKLDATLNELADIKVNSFPTLKLWPAGSSTPIDYDGDRNLEKFEEFVNKYVGSESASQEHEEL >CRE25151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:686326:687531:1 gene:WBGene00054819 transcript:CRE25151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-9 description:CRE-CYN-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LT73] MSSTEKRVFLDISLDEKPVGRIEIKLFIKEAPKTCENFRALCTGEVGMAPNNKARLHYKGSEIHRIVKKFMIQGGDITDGDGRGGFSVYGRYFDDEKFVLKHTKPYLLSMANKGPNSNSSQFFITTAPAPHCNGKHVVFGEVARGQETVDLIENLDVDEKSKPRGKVLITNCGEMIRKKKLAKTEEEMAALEAKKKKEASAEIPDVPKSWLYRDNDQAKTTSSTTTGKPRRRERSRSKSREYRPEINVEHVIKVGRRGDRDSRRMYRDVRKDDFGIKVRGRGGVQFRRERSVTPDHWKQNKPSKWTEESRPVDLLP >CRE25443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:687696:688416:-1 gene:WBGene00054820 transcript:CRE25443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25443 MHEKEHDGEEDNDRLLEEDKYGRLICRKTRTVYPHGEKLTRENVEEAFNEESPAVSLSSEVFSDREITVNSISPLTPNPKLFIGGATETEAENMIGFCGFVVYYRMPEDGTMQFSLPLMLAYRSSQGDHFHFPISQSKHYDSYRKLNRSMFRVECDDVSIHSSDHGRLVPGFFSLAALIKHYKTFAYHDTENGILETFPISLINKKRK >CRE25152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:695999:699198:1 gene:WBGene00054821 transcript:CRE25152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-15 description:CRE-NPR-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LT75] MSECYSNPVDTMSVVATIPFAGLFIILSVVGIIGNVFVIYAIAGDRNMRKSVMNILLLNLAVADLANLIFTIPEWISPVFFDTYDWLLPSFMCPVCRYLECVFLFASISTQMIVCIERYIAIVLPIHARHLCSRRNALITVCASWIFVACFASPYAVLHSVKTTTCSNTAGKSIWWQRYKLTEFLLFYFVPCLIIIGVYTKVAKCLWCKDPTLQSETRSCLENKLSVRGAEALRTRRNVVKMLIACVAVYFICYSPIQVMFLSKAILNVSIHPPYEFILLLNALAMTCSASNPLLYTLFSTKFRRRLRDVLYCPPDVENETKKTYLSINNTSITGPRASFN >CRE25444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:700694:700939:-1 gene:WBGene00054822 transcript:CRE25444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25444 MKLISEDDATQVADFAARYEPRTISEATDKGKYFVLGLPTGSTPLGMYKKLIEFDKAGVISFEMVKTFNMDEYVGKGTMKS >CRE25153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:709475:711741:1 gene:WBGene00054823 transcript:CRE25153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25153 MSAKQSIDSQADPVALRLILVSGKTHEFEFHPLTSAHDVTQMVFDQWPDEWYEDKVQSAQMLKLIYHGRFLHGSVTLHALQLMPGKTTVMHLVTRENLPEPNSSETLTKRKSAGCCRCVLS >CRE25445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:712234:717551:-1 gene:WBGene00054824 transcript:CRE25445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pitp-1 MLIKEYRILLPMTVQEYRIAQLYMIQKKSRLDSHGQDSGVEIISNKPYTDGPGGSGQYTFKIYHIGSRIPAWIRTVLPTNALEAHEEAWNAYPVTKTRYSTPMMDRFSLEVETLYFDDYGQQENVFNLNEKDKANRVIDYMDFVKDTMSSHDYCVEEDPKLYHSEKTGRGPLNDDWVSEHRKKGLPIMCAYKLCKVEFRYWGMQTRAERWIHDLALRNTMMRAHRQAWAWQDEWTGLTMNDIRKLEAEAALHLSKVMAVKENEDGKNEENDTDEDDGDAVSDDLYFDCCDTSPIPTQKPSIIRWSSELELEIQDDNSPPLTPHNGSTDIALLIMVFHGDFSPDNPADSKTTDTNTFGYILKTKQKSISSSIFSSTIETCVQRHYPQLRNRLHIVNVSCGCEMTQVVSKLANVSPSFGLLHPSLSLMLPSANHLYNEAVEGTIRRANDTYNDFIASQPLFNGEVFVVGDCVGGIFLYEAMTRKCDSISFLKRLSSNVSSRIIKEDQSPHHSMTDITITDTSSVSSHTQSVRDHSSLQNGHSSRRSARNYSAPPSASYVRKKISLVSVDSVSFGASRLNFQPSTIFLLGCPLGLTLMQRKLEGAEIDGFDCQLFNLYYPLDPCGARIEPVLDSQLACVPPYAVPKYQRYPLGDGKPQKFEETIDTSQMWGSKRIDNLLYCPQSMVALPSSALPNILHASYWESCDVASFLLRQFVRGEECVLTTLSSSMNNIPLNIDLPTMHWKRRRTRFKIANLSANHRANDILVTAGMDLTLTAKFCYGPMDLVALSREPVSVFVCPQRGDWYLHGVFDTDSHGRLTIQLAKTHPCGIHSVKIVVHGDRSYLDTFVAIVPHGTKCAVFSVDGSLTASVSVTGKDPRVRPGAVDVVRYWQEQGYLIIYLTARPDMQQRVVSAWLAQHNFPHALLFFNNSFSTEPLKQKSLHLRHIVDMGVHIHVAYGSSKDVSVYTSAGVDPEHVISVTGSRRRNCVQIESYSSHLSALNSGQCTLGQRIEDDGLTLSLHRNVQRTPSFTPRGGKFENEKDRR >CRE25446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:721112:721945:-1 gene:WBGene00054825 transcript:CRE25446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25446 MFHNTFQSGLLSVLYSIGSKPLQIWDTQIKNGHVKRITDEEIQSLVLEIMGNNISTAFISCPVDPDKTLGIKLPFFVMVVKNMNKYFSFEVQIIDDKKIKRRFRASNYQSATRVKPFICTMPMRMDEGWNQIQFNLSDFVKRAYGTNYVETLRIQIHANCRIRRVYFADRLYSEDELPAEFKLYLPIRGQLSTQSPAFAMTSE >CRE25447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:722326:726348:-1 gene:WBGene00054827 transcript:CRE25447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ben-1 description:CRE-BEN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LT80] MVKQIEQVHPDQIFYKHDGNTLTDLNFFYISDEACSIFMSACPDKDEHTDWIATVDSLIAADPLTRKAEIKKILKKNPTGVMMPSRIHIDTTFNLGDCYVTIALGETKNFKTKASLKERVIPIGFLIHSSKARESHERFSTALRTALFKHNNPLHPKQIPCVLLDGEEALETYGEALDAPVIRCDLHILSLLSFDYGGKAAANLAKPFLFGRKVQGVWKTGVFGVFHLQDFEKRLEKVKGKIHPKIFDWIVLNKRMLMDNSSAAAKLKAGHLLQYSTNNQNETFNKLVKVKLTKRYPTPKLIDLLQTFFEAGKYVPRAVLVDLEPGTMDSVRSGPFGQLFRPDNFVFGQSGAGNNWAKGHYTEGAELVDNVLDVVRKEAEGCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMSSFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETFCIDNEALYDICFRTLKLSNPTYGDLNHLVSVTMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLSAKGAQAYRALTVAELTQQMFDAKNMMAACDPRHGRYLTVAAMFRGRMSMREVDDQMMNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQEATAEEDGELDGTDGDAE >CRE25154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:726702:729405:1 gene:WBGene00054828 transcript:CRE25154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25154 MPHRKCHRLANSFKAASSTSSQLLTDIAKLTSEDVANYLPYSPTPHVVTAKLLDPLHVCLQSPTRSIAMHALKALRSAKRQSGSSNFQDCRLIDTSVSGWQLAENGSLYLEVSVTAAISPAVFGVGTIVKLLAILNEPVIGKIVKLFVTNDVKTLTILINKTCGMNEEVSDEFEDNMEEKMSIEWKALVRIVTETGKCIPMLKLDFKNTFDTLMSGEKRLVRTELGDYLMKGLVDTSHSMYYGFPSPNLKPRVPSERLYGSDTSTSETLSTYQIVPFTRCLNRNPSHEELVFYQAILDPNIMVHLHESPVGSGKTTVLAAAVKARLMVQKSCRVALTAMTNSAVVALLTVFEFPTLFTSKDVSKEDVRPLVVQAKNWKTANGIPTHPFDWKEIIKKCFSHQLQNFDMTTVSDEAYSTNIKSMFVYLRNNTIIALAALLGKEKYDYLSKLKCRELNKKELVKYFFDSYKPNLLFSTMDSLVNFTTYLPADCMPSLIAIDESTMIQPSDLTLFASKMQSMSFESIEFVLVGDHKQLNPYNSVASLSPLTVSPNVMLMNYDAMVTRFTVVHRCHPDATELISKVFYGGFLVSGKDIQQTYIQHNLTGITFRKPKVKAYSYVPNATSSAAVAQSRCNSSEAHFVVEYAKKLIYLNGIKPSQISVITPYTAQAELLEKLLPQGIICSTCRRYQGLENDIVLLSCCHTGGKLETHHNVGMEGDLFLEGFQVQATENGKSNFKLIDDDSLILVSLTRSKHFTTIFGNDQFLRSIPRWKSILELILA >CRE25450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:735469:736897:-1 gene:WBGene00054829 transcript:CRE25450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25450 MKCIFLVLLVFSVMSSEFEALSPSDLQLQNTLFKPSSVVFRAKCKMMCSQMCSPVLGVCDRYNKCVCLNNYLGDQYLCLEQVKEEGKVPPSIPPPPPPPPAQDKNDAPPAPPLPPTTSSSAPDAASSTKNSNALVPTVPQNPVFEIDPFEIERNEEALAIEELFGAYDQEEERKRAKMIRTVIGIINCVIAFGIIMWILTLYSGLHVLDIGFIDSLFMK >CRE25451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:741230:742801:-1 gene:WBGene00054830 transcript:CRE25451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25451 MSTSRQQHRRNNVLHVYQLIRNLLARKPGTVVSQLDLKDVRQCCKNQHIQLRNSPHGSSNLREQQHRREVPAGINNRLQGKATTSSFTTSRTGHVVLIDTQTIIQRHKWPQGVITSIKRSIDGQPQSVMVRRRTQELQKSVNQRIPLGNWGLPAEDLNEEENSKSVPPQVESESNPTILPTKTPDTLLRKRGRPPGSKNKPKDSTNQGKTTTTNDNQEPATSRFKSKSRGPKSSRRKEDRSRSHLPRKAKICTSNNETQDTQPIGDNQSISPKVKMFGSSAPGVSRPSYGRPQPKNVSDRLSRYRSHQITTCNQPQSQCKLNQLTLTIPAGKGLTIDGTNEEERSRRKELPATRRNYNLNYRQRQCNDAPANTSCHVIIILAGALILVSLINAISFL >CRE16247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:94109:95830:1 gene:WBGene00054831 transcript:CRE16247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16247 MEDDHLKGIKAEVTQRCIDARQTLIDVENLYQPEANDDEKELLKIGKQKLIDSLKAIVEGKEAAKQRLREHQHLSYSDEILYAYEKEMEQHLMETNRFEEIRDAILSLIGKIQKLDENNSTRLPAPVDNGETSSTTAAPAATATIPADVYNNQGRSVGPRDGNLSIIHAKNLAAPSSHARVASGNESCTDFSMTNQAEKVQHLHDAGAPANYCRSSFRANNPENYQPNTIKFSANELLAVLQVIKPFNGETCDYPLFISSFDFLVHENNNFSPIIKQSILLRLLEGDVFETMRPAEMSEEEYKILRQNLDRQFNTSKIQQSLLIDRIKDMVISDVDNDVMERDLNTYCNITHRLRVLGININDPYFLSCFVDRLPEAIRSKVNRKLMRGVTNFEALSNIAYEMVADKKNTDRLNKRLAKNTPDQTRIEDESRSWNQEHRGYQHGANNVFNEEYSRSTEERRSCDIFKPPSRKTPCVYCDSSYHDACQCTMRVEKKIEAVIKKKLCENCLSKEHNFRQCKSRFRCAHCNYRHFSGHCNKVDAQDVNNFLLKYDLYDDNALAMQLFREKGAETSN >CRE25156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:750542:750691:1 gene:WBGene00054832 transcript:CRE25156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25156 MVSSGNSILLSVGIVFFNVDTVFNLPECQLVPFYLPIFYFLLIVCLPLE >CRE25157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:764527:769542:1 gene:WBGene00054833 transcript:CRE25157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-6 description:CRE-NHR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LT91] MEHLTIQTDELQDQFQNCSPASVDSSYSSCSSVEDEIEIYTRLVRNEAPLRKDFFREMSKNSSCSSSFDYGEFGPSSSRKGSKTTDADLDTLFHSLVDTSEQVNIVPKPVTKVEVPEEFEQKPSSSASTSNPRVQPSEMNASITHIKSELDPTMQAFQMPQHDLFLGATPQYNPFALTNEFMGAQNALMPSFTSPFYPQHFPVTDSRRNSQGTTSSSNNTGGTPSPHSNSLPTSPPQLQGFLRSFLNPDNLPTPTPFGVPTETTHDADKMCAVCNDRAVCLHYGARTCEGCKGFFKRTVQKNSKYTCAGNKNCPIDKRYRSRCQYCRFQKCLEVGMVKEIVRHGSLSGRRGRLSSKTKLARSVDQPSPPLPLLALMGKAVEDHTNMSVTRQFSAPFNEDIALRIFHCELHATRKLLMAMPQINEISDHDFRILLSRSFFSIMAIRCANRFTTNTDTIMFESGELFSLSAFPACFQQLLRFIISKAQSFSSLIDWEPQAFAGLIALQFLSGNTEQNVLGLTNKGIVDQIQSTIINALKDHCSGSQNKLAKIVRLIEEFDMFHTIGIQAFDVIYPSHVFPEEFQFLINMTRAPLRTIEAPPACGSPAVPSSTSLFNFQMGSTAF >CRE25455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:770137:772241:-1 gene:WBGene00054834 transcript:CRE25455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25455 MLLRVLILSIFCSNCLIQAAETPWYYPIIEILQAKLVDFTCSQECTTTMDCDTGLSCFHATTYSKGCCLMALKPNETGCMIEDQCKQACESTICDRSQFPSRCLCEKGRHFLFNKCWKKCPDFAHPEPIIDDRGFSRCELKTDLNTAVQYMRRNKRQMRNNFC >CRE25158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:778623:797206:1 gene:WBGene00054835 transcript:CRE25158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptp-1 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LT93] MRLGSNSYDVQRTEAIGQAPMKTPPPNQIRCTVTFLDNTSYHFEIEKNSIGIVLLEKVFNYLEIIEKDYFGLVFIAVDNSSTQQKVSIFRSLNLQKYFQKWLDPSKNLRKQMICPPYHLFFRVKFYVRDPNRLRDEFTRFQFYQQVRQNLEEGRLPCNEGSLALLASYVVQAEVGDFEDKTHGMARTCLCYKIQFATLPDDFSDRVAELHQLHIGQTPDIAEQNFLDHARRLEMYGMDVYDGRDANLLPIEIGVGAVGIKVFHEGIKMNEYAWARIRKLSFKKKQFQVLVANEDGISETIMIFNIMSAKICKLLWKCCIEQHTFFRLKTPPKTPQRKVFNFGSKFRYSGRTEYQTLEENEHRKSAGHRNFHRSLSKSSFLRSTFSGNTQSIDSSRYTNTTTTDSPELPSSGQLLARRLLSAARHDTDSSDALGYASDGAVVCAPLTTPLSPRRTRDYATDSESSAPSLRQQRLSKEAIYYGTQESCDEKSWTPSMACTSTSPGIHATASVRPATSGTPNGASRKATSGYSAYGYANSTQTQQPTSTTNTNYSPYLNGTISRSSGVAVAKAARGGLPPTNQSYNTSSPRNSVASYSSFASAGIGGSPPRSRRSPQSNKSSSPVGEDQVVTIKMRPDRHGRFGFNVKGGADQNYPVIVSRVAPNSSADKCQPRLNEGDQVLFIDGRDVSTMSHDHVVQFIRSARSGTNGGELHLTIRPNVYRLGEEIDEPDSQMVPEPARVADSVPRSDKLSQSLQLLTDALTTGKVVDQFEMLYRKKPGLSMNICRLNTNVAKNRYRDVCPYDDTRVVLQTSGSGDYINANFVNMEIPASGIINRYIACQGPLAHTSGDFWLMVWEQLCTTIVMLTTITERGRVKCHQYWPRLYETQEYGRLMIRCIKDRQTTNCCYREFSVRDRTTNEERRVTQMQYIAWPDHGVPDDTKHFIQFVDEVRKARQGSVDPIVVHCSAGIGRTGVLILMETAACLVESNEPVYPLDIVRTMRDQRAMLIQTPGQYTFVCDSILRAYNEGTIKPLAEYQKR >CRE25456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:798339:799956:-1 gene:WBGene00054837 transcript:CRE25456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sel-8 description:CRE-SEL-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LT94] MKPSTSKTSSLSHSPPPEEPTVPYVNDNLPNPENEPCVSDISLFELGEERHRQKSKQAAEKYGRIIPALVADQRAVTGHLFHRYTEDEERKRLEQQKNKEAMSASAAPTSRNGHIGENRKRRNDAVAQPTEEDWRRVQQQQHWMAQSQMPNGFHLQQQQFLHQQQQQQQQYLAHQSVPTPASMHQPSPVEMQNVGDRLSAVDENILNVPDGKWFDELAEIVAENYNADTVLGPDTYDTFLNELESTEPIAETSKSPMEKIIDRIPSAATVQNPQQLAQQQQQHQNKMRLLQQQQQEQQQQLQQQEMQRLEQQRQQQQQQQQILQQQHQQRQQQQMLLQQQQMQQHNQMNGAQFAQAQQAAYMQQMQRMHHIRYQQQQHQQQQLHQLPQPHQNAAMGYGIPNGYPQQMHMHHAPPYAHHMPQHTPFANIN >CRE25457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:806056:807803:-1 gene:WBGene00054838 transcript:CRE25457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-31 MLRSLCSVAVRLGQKRQPRFLSSTATGGDDDGKGPKAKDIIDDNLLKAIDGVANDIHPQSITEKKSLKHTLINRLVANEKASFDAAAVSANSEMLDDQALIGLLADVAGDAKVEKKVPPKSAQLRQERRGLVLLRKEIFYQAIHSGFTTEEARVKSETIVNEAQIKLAEQRKALLSDVREKLENDETEQTERSEKEHRLFTTALEFLEKIYKDDMINSDARKIVNVDKDAIKFFQQRPLGTWKKSEKYEDHSLEFWTRWDQRAATIANGSFGPTNSFEEQIDWTTKGKQWEYPIDNEFKMGDEAGVSFIDHVFLERHLPSLGIPKTGPIAHFMHLVCVGLSKNPYMTAAKKREHLKWFADYFNTEKQKLVHKLHEQEQVAAQNAL >CRE25159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:811965:814898:1 gene:WBGene00054839 transcript:CRE25159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rilp-1 MASELLVNRCFDEFILECCSSDRPSSSAVLHRPRKKISAFVNAMAAARASSPQSPSKHITVVDVYDLAASIGNDFEKLIDNYGNECVRGIMPKVISALETLEAMAAGNDRENEEIMRLSKAVERLEQEKHQRNQQHLKFEEELEQVEKTYRKDIDDLQQMVKNLVNENRNLSTTVSSLPTNSDSPVSSSMREADLKMLLELKEMSSQQKNEIKALQKDVDTYQCQVENLQNSIEKLIRQNEELLRKNSSLQKQGRVIVEEKMEVLKRLEKTEESNIELKKLVKETDRACKDMQIANQDNNEPRFTLGELREVIKEKNILKGRVMELEEELEQFKPGAKKEIMRLDDDDDSDRLAPNSDNANSPGVDGDDLPVYGPMPKEPDEKLHPWKYERKDSGVRKFSGICNHIKSIQFPLLQRLWRDSFAPSRIICERVSSSTGSCNPTFTQLIVLAPILPVHIFFSALIFMFQCLRNPLFLLTYFNHQLTDLTTSQTHFSFKVLMYNISHSPSDETNQLSVD >CRE25160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:815875:818760:1 gene:WBGene00054840 transcript:CRE25160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-93 description:CRE-UNC-93 protein [Source:UniProtKB/TrEMBL;Acc:E3LT97] MGDSNTWDLVGEQQQRKKSRSPSRASRVDSELLVGVENVAELEALGLGKEQLEEEARRHKKQRSKSPALENIRKTSIHILQKFGAIPKKRDDSVLLFRNIDIPDFPLDAICIRPKEFFEEPKVFSFRDMGREQQKAEVNEKCSYLFRGTSFEQDDHFELPTETGRVPEYDHFCPIHGSRRRLPRNKLVTMQTLMHSVDGDDNEDLSYIYGHDFLAKLVRKKKREMLSGAEKERANKIKRKIMSNLWILSVAFLFLFTAFNGLQNLQTSVNGDLGSDSLVALYLSLAISSLFVPSFMINRLGCKLTFLVAIFVYFLYIIINLRPTYSSMIPASIFCGIAASCIWGAKCTYITEMGIRYASLNFESQTTVIVRFFGYFFMIVHCGQVVGNVVSSYIFTLSYSGTLRGPEDSIYDSCGYQFPKNLSLLSELAESNLARPPQKVYVAVCLAYLACVIISGMIMSMFLNALAKDTRNRKSAQRFNSEIIKLMLKHLINIKFMLLVPLTIFNGLEQAFLVGVYTKAFVGCGLGIWQIGFVMACFGISDAICSLVFGPLIKLFGRMPLFVFGAVVNLLMIVTLMVWPLNAADTQIFYVVAAMWGMADGVWNTQINGFWVALVGRQSLQFAFTKYRFWESLGIAIGFALIRHVTVEVYLLITFFVLLIGMCGFVAIENFDHIVVSSPFTL >CRE25458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:819115:820521:-1 gene:WBGene00054841 transcript:CRE25458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madf-8 MQGDELENSSDEESKKISLKNKNSARRYRKISTSNESKRKKKLAVIPTSYEELKKKTDAGVIPETIYYRASSNSMYIPSITADMIQDDGKNLTVETSSDEYFKYARARQTTKSDHAEPEKVFQIIEAVRKRPGLVSFQFLIIFFIPVIWDQRLICHQNINLIRRAWQDLDTEIGIDEEYPLARRKQIWKSKKDYYSYAYNSETLGKWTYTSAMEFYQPMVNFRTTVCLRPTILVKTGESPSMNLFDKVVLADKNIQCTASDKLNVLTFILKSLHDTGMADVGMMESHGKRILEIFETNVDEAIINATFDNSHDEYYNLV >CRE25161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:821251:822761:1 gene:WBGene00054842 transcript:CRE25161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gsr-1 description:CRE-GSR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LT99] MSGVKEFDYLVIGGGSGGIASARRAREFGVSVGLIESGRLGGTCVNVGCVPKKVMYNCSLHAEFIRDHADYGFDVTLNKFDWKVIKKSRDEYIKRLNGLYESGLKGSSVELIRGRASFAEDGTVEVNGAKYRGKNTLIAVGGKPTIPNIKGAEYGIDSDGFFELEDLPSRTVVVGAGYIAVEIAGVLANLGSDTHLLIRYEKVLRTFDKMLSDELTADMDEETNPLHLHKKTQVTEVIKGEDGLLTVKTTTGVIEKVQTLIWAIGRDPLTKELNLERVGVKTDAGGNIIVDEYQNTTAPGILSVGDDTGKFLLTPVAIAAGRRLSHRLFNGETENKLTYENIATVVFSHPLIGTVGLTEEEAVAKYGKDEVTLYKSRFNPMLFAVTKHKEKAAMKLVCVGKDERVVGVHVFGVGSDEMLQGFAVAVTMGATKKQFDQTVAIHPTSAEELVTMRGGVKPE >CRE25460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:827913:831016:-1 gene:WBGene00054843 transcript:CRE25460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25460 MWRGKYTGGYQNRFVSAKTSGTSSLSDGKSMNNVPPPASLTVGNSPVPPPNRNVYMSSRKEQEMKKEQEYANLMAYGSTSEKTARRKRIYDDEYLEGGSDDEKEKTQKSSSSKANDDEEEDELDAFMAGIEQQASKDKKVAEQKEKDRKEGKDAEDPSKKGLGRADIDEEDMQESLFKFMEDYKEKHENDEEQYEYDEEGNIIWTWKKVIDPLPDIDHSQIQYPAFNKNFYEEHEDIKRLHYMDVVRLQNTMNLRVGGLKPPRPVCSFAHFSFDKLLMEAIRKSEYEQPTPIQAMAIPSAISGRDVLGIAKTGSGKTAAYLWPAIVHIMDQPDLKSGEGPVAVIVVPTRELAIQVFQEAKRFCKVYNINVICAYGGGSKWEQSNELQNEGAEMVVCTPGRIIDLVKMGSTNFLRTTFLVFDEADRMFDMGFGMLFIQDPYLKYKKSSEAQVKSISDHVRPDRQCLMFSATFKQKVERLARDALVDPVRIVQGEVGEANADIEQKVFVMQSQEVKFHWLIRNLVEFASIGKVLIFVTKKLDAEDVAKKLKLKDFEVILLHGDMLQAERNDNLLKFRKKSPILVATDVAARGLDIAEIRTVINFDMARDIDTHVHRIGRTGRAGHKGTAYTLVTEKDIEMVGHLVKNLESVSQEVPKPLLDLAMKCAWFRGQRAGHGGPGSATQTRGRMGLGYTPKVRSGGPGDSGARQFDPLKEQKSSRPGEAEGSRGATNQTVDGMVKNAQRFVSSTSSSGDIGPASGANRAQMLKNAFQVWNITFKNISNFNFQKSFQSTFQRPTNESSNLPAQVASDPRPEWKKKVDELNARIAQQQASSSSSSSAQSSSSSSSKRSRWE >CRE25162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:833722:837766:1 gene:WBGene00054844 transcript:CRE25162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmit-1.3 description:CRE-HMIT-1.3 protein [Source:UniProtKB/TrEMBL;Acc:E3LTA2] MVQVAPVGVGAGSGQSRPASDPKTGWFVYMLAFSAVIGGFLFGYDTGIVSAAMLYVPNASGIKPLDSVWQEIIVSVTPGVAAIGSLCSGPGSDFLGRKKIIIGASVTFTIGAIICAAAWTKIVLLIGRILLGLAIGFASMIVPIYVSEASPSHIRGKLVTGFQLMITVGLVIANIIGGAFSYVDPDQVGWRLMFAFAAVPAIIQFVCFLFLPESPRWLYEHGRTVEAREVLTRIYNGHTEWVDYEMNEISFSYEEEIRAKAEHAGNGPTIFRILKTPHVRKASCLELTLLCEWMVSKHLQFKFLGTILVISSEVRELKTITQLFGFLWELLVGLVNKFPNLNFSFSAINFIGTFIPIALVERVGRRVLLLVSMVGVILFLIAMGVSFLLINNVFTLLIKKSKHNQFQDSSLTYPQANYTGSPNYNPSVKDAIKCMKYSNCDFCVTDEYCGFCEDKATKQGYCLPFPTDDSSHFSATGICQFSNLTGNGKTYEWEDTYCHTKFTVLPIIIMVFYLLSFSAGYAPLPWVLNAEFYPLWARSTAVSISTAFNWIFNLIVSLTFLSLSQAATKYGTFFIYCGCTIVALIFVFFFVPETKGYSIDEVEMLFMTKEEREKAQKVLEESKEGKHRNSVALSFDTKF >CRE25461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:837850:838616:-1 gene:WBGene00054845 transcript:CRE25461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-35 MLTKAVMTSLCATRQAPVNVAARGIANIPAHEYHIRFDQRVGRKRPAQDVLDRFKRLNNGMWIHAHPGRHKLKYMKDETWQKTSLYYETCTKEQCEILDKLMTPYWLRQKHYPNDPYSAYHVRHNINSPRVDDRGNFVRERKKILMDDITSQRFFPDC >CRE25163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:839074:842124:1 gene:WBGene00054846 transcript:CRE25163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25163 MASEGGTAVIQRYFGGGDSEQRTALQFAFYNALLFVLLGVALCGLFALYNMMYMFLSPMLWAVLVGTVLFPFKKKVTDTVQNWLRDLQESNQTLAKAVITLPFTGFSKVSETIYKTFARFANNQFDRIIIYFKDFSPNGAIIAIAYAALKVLSYERTFMYIISWLGRLYGYLDSFILFFARPWVLPLIVVYFCCYAAWIYVQDPKQINKKLARSFSLPIWIYVISYASLYFGPLRACVFGLSAAVLGLLSAGAIGSHKAIEQEEHIEKDDSSENLDESSSLLPPNVGKVLESAKEKLDATEKSIMENSMLSTSTVDEAITGDWLIRCVFGLCALLWVVRHDGALILLAIPFVIAVLSKVAEQLGITAAINNFLDSTWQKIAPAVLKVVEITVAGPLRQFVKMLFSSDKYVVESLHDKMDVLSSVIVMALLAFSALFAIIFVGFQLHGETVHLVRLSSNVLHSRPDWLAAAMDYTEDQLEENNIDIDQYMQQAYEQGRAWLASNVRSLANAKDTKRADMLEEQVKQVVDKLYHMWEERNNNFTVAVNQESRGDVWQQLKGVTDLAALKDELTLIVKENLDTLMGIAQSIGSILAVNVTIFSSLIASFAGIILSFGLDLLNTFIELIVFLTMVYYLLSASRNRWLPLQWASDLSAVTATEDSTAVPVQHHITAAIEHAIFGVFILSAKMAVFYGLYTYFVHSLFDLNIVFVPSMAATLFAAIPIMPPYIVAVFGIVELWLVRGEGAAALVFALASFAPVMFADATFYKEVKGSHPYVTGLAIIGGMYWLGLQGAIIGPIILCLCLVLVNVYLQHVKPSALYTPAPTPRNIMYQS >CRE25164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:843034:844290:1 gene:WBGene00054847 transcript:CRE25164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25164 description:Lipoyl synthase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3LTA5] MLTRVWSRGFADAIKKKPQIVLKDGPSLQDFVSSGKGYYCHTRFMFFSASVAEAVEKYEGKLKLEKGDRRLRLPPWLKKEKILPSENENVSRLKKQLKHLKLATVCQEARCPNLGECWGGSEDSLATATIMLMGDTCTRGCKFCSVKTARAPPPLDPLEPENTSTAVASWGVEYIVLTSVDRDDLPDGGADHLRKTVQLMKLKKPELLIECLLPDFAGDKISIEKLATSGLDVYAHNIETVERLTPWVRDPRAQYRQSLDVLRYAKEVSPRLITKTSIMLGLGESDDEIKACLADLRSSNVDVVTFGQYMQPTKRHLLVKEWVTPEKFDEWAEYSKKLGFLYVASGPLVRSSYKAGEFYLKNVLRNRQQ >CRE25165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:844448:845214:1 gene:WBGene00054848 transcript:CRE25165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-16 description:CRE-RPL-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LTA6] MGLSNRAIIIDGKNHLLGRLASIVAKKLLQGEKVVIVRAEEITISGNFHRSKLKYMSFLRKRCNINPARGAFHYRAPGKIFWRTVRGMLPHKTIRGNEALKNLRAYEGVPAKYQKTKTLNAPSASRFRLQPRRKFCLVGRLSHEVGWQYQDVVAKLEAKRKVKGAAYYEQKKKLDKLAVQAKVNAAPKIAKYQKIIESLGYN >CRE25166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:845322:846540:1 gene:WBGene00054849 transcript:CRE25166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25166 MSSLTQKQGSVVAVSHDMILVRFEGKGVLFKKETLARAFKHDDIVQFNAKKASTVDIEVGDKLSQMANYEITWLGTEIKFIKTGRMLIAEGSVINIPDDKAQYMFVDWKYTKSPPCLAKIPGYNKPLTTNVFITRATVRPEVEKLTDLFKPGQRVKFVAREQAPNERGVCWRAALATDEYHDIVVEAPNTQGRSTHRVIPKSGVVPASPTVRQTRPTTPMKTAVAPASATTAYPGQRPLMKPVIKPSEDQNSVFSFSSAVTHVSTAPSTSSRVPATTSTSSHITTTTSPSSSTEPRVRVTKPLPVDGFDYFDTSKKACNARFAQFGKLSSFLPIVVFIFQPPNIAGLIQLVFHRRNF >CRE25167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:846828:848171:1 gene:WBGene00054850 transcript:CRE25167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25167 MLEVIATLDREVAIYLAGETIRVTVTVSNLSRGKQGLSEQLAWGSLQFICERTIGATSYSTSSTTSRPRPTTSVTKSAATVYSSPPNILFCELQLGPGQSKQFFCDQISFQIPLSRNGIPPTFRGHLVKYSNRVTVAVSHVQEHIKSAHLPIRIIPSVGLETKMSLPANPFLTSTITRPSVVETVMATVDDLTQARKSLAFALTHNSSTSRVALLTLPKKAYKLGDDVCGFLDFNGATTPCVQYSATIETEEHLIDADVEEGKKKMVKVHCQTAPICTFSPDSTFRLSIPLTATPTFSTDSVQLKWKIRFVFVVTEQAYDVHLNDDVASSAAPIDVPVESFSWSTDLLVLPCKPQNAALIDNTFPNRISMTV >CRE25168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:849061:850872:1 gene:WBGene00054851 transcript:CRE25168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-14 description:CRE-GLY-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LTA9] MRESKVITVIFIVIYFSYNLFLQMNMSNIFAQQKNIDDLSEIEDTVNRLDSMLNKEKSQLDYIDEEMDYIMKRNGSSKKKRKDRKTPKFWIEPIPVVVFACNRPESVRVHLEKLIKLRPSKYRFPITVSQDCDNTQVTDQIKKFGNQVEYVKHSPGIDANISIPSKFEKFRPYYYISRHYKLALRHIFSKHNYTTVIITEDDLDIASDFFSFFSSTRYLLEKDPTLYCVSAWNDNGKEPFKKSMEEEVEKALLIDIASITSDTWKPEKENVKMKIMYTGRNDFIETAKAIGLMSDFKIGVPRTAYDGIVTCVYKNTRIFLVPDRSKVLKYDPSW >CRE25169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:853948:854757:1 gene:WBGene00054852 transcript:CRE25169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25169 MHVPNDDECVISDQNQVTKPDLFIENDTPGSFTVNFFRNICVDPPDSEGANRFEARLQGYKGGEGIIEFAQSTGRNTQVMVVISGLKENSLYEISLLPGSNEKGGKCHQKSRVNGDGKTIMTVETDHTGMAVEPWFNVDFDVFDENFVSKTVVVAEKSTQTIVDCGSIRLATSSTNSSSTQVSSSKNSVFLFSTGLSVIFFVFLLL >CRE25462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:854985:856713:-1 gene:WBGene00054853 transcript:CRE25462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25462 MGVKTEFRASEPISEQEKTQINSVRAMLQERLPNGIPDDVNTDLNLCRWLRGYHGDMEKIVKNFATYLASRKAAGFVGDDFAEKFFDLPDIEPFLQYIASSRLQDRQWSDEHNAFLFVERAWSQPKEFIKTFKTSDYLLHCFGYSEMLQQLILRREKKQSSDKGPVQFIVIFDLNTVNITDYVNPMSGYMKLWQIRSELWQDWYPEMVQRIYLTNPPRLLGLLWKVARVFLSEENLKRIEIISHTPDLSVKFLPPWLVPKEYGGEFVNTIPPGDETGVSIRRKISPTDYYKPYQHYVKNGIDRPKPSHKDVSPAEKFVFKIQVPKGKKLLWDFTASGEVQFAIYRGNNRNDLVFPSFHLITNKLNEEGTLNDVSDSEISFEFQNLSGYFTLKLEYTVAIL >CRE25170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:859724:861408:1 gene:WBGene00054854 transcript:CRE25170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25170 MSTRLKTKLEPNDIIKRSKTDYNWKVIVQLGVGGFGTVNKVIQVNEAGVPINNKEYAMKTEMKCVKRQASRLKIERNVMASFLKCDPAFKEHFPELIDLGQTHELKWIVMTLVGASLEKLKQKKFSKSTALQCGLQTMKAIHDFHLLGFLHRDIKPGNYCVGAGAKQELIYMLDFGLARKYRQQNGQVRPPRNKTKMVGTPRYCPRASHRMEELARKDDYESWYFMLLDFVDGDKGVPWKGKPRETAYAMKRRIFEEPKWISTVSLVPSEFVIMAEYINSLFFNSEVEFGVFREAITDYARSSSITLKEPLDWTCEVKSSDMPASNSAPMPSSSTPSPSVSCIPPSTAPSTMPTSKMGDAEDNKSIFSEKAEESSIDRSKTGNRSHNARNKRPSRR >CRE25172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:864888:865684:1 gene:WBGene00054855 transcript:CRE25172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25172 MQNESSEDGSAPPLPPPKIPPRTHPIHLRNRLKLQYIRQSLLPDTESHMDDEAECHSRKASSVGFVEIDDLMKFQEEYKSRSSTGFYCSIRNLFSTGNSPTSATSSSIPDSHINPMFASHKCSFSRSFTHLPYPPKKKRKSHLRRVFSCFSIFKN >CRE25463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:866046:867444:-1 gene:WBGene00054856 transcript:CRE25463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsu-1 MPKDKKKEEVTEVEHVDRNISSFSQISHLIDAEIITRLSLSHNKLTVVPPNIADLISLQSLNLWNNQIEELPPSISSLPKLRILNVGMNKLTKLPKGFGSFSELEILDLTYNNLSERSLPGNFFFMQTLRALYLGDNDFEMLPGDVENLTNLQILVLRENDLLTLPKELGKLTRLRELHIQGNRLAMIPPELGNLELVGSKQVLRLEHNPFIPRIQDQFDENGAAGVWAHIRTDDYRYFFGRQEPSSTPVPPKRNKEKKVSRKGIQQA >CRE25173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:869381:870577:1 gene:WBGene00054857 transcript:CRE25173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25173 MSDPCECFFDHESAMQRLLAMLRNSQADCTDTGCDNDGLSREGGNPMILWTLLWTFMAMALYVMRPNSMRSGGRTPEDAAIEKPSGSAGNDDNTPPPPPPSAM >CRE25464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:870965:872492:-1 gene:WBGene00054858 transcript:CRE25464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pph-6 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LTB7] MIDRIAENILRVSVCDEGELEKSTSYLIGSRKIEPEQWITWASECKYLPESDAVALCATLIDRLSMESNVVPVSSPVTICGDIHGQFYDLLELFKTGGTVPNTKYVFMGDYVDRGHYSLETVTLLFCLLLKYPNHITLLRGNHESRRISNVYGFYDECQNKYGHGNVHKWFCKVFDVLPIGALIDDSVICVHGGLSPDIRTIDSLMLLDRAQEVPNKGPLCDIMWSDPDDEVEDWVISQRGAGFVFGAKVTEEFLMNNNLSLLCRSHQLVDEGFKYMFKEKLATVWSAPNYCYRCGNAAAVFEIDGSNRVTKYFNAVPDGSREKPERVVAPYFL >CRE25465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:872795:873783:-1 gene:WBGene00054859 transcript:CRE25465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25465 description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:E3LTB8] MLRKGITFIGTAVQQTLKSQKHLRVQRFSATAAQSSEEINYEIKKNGKRLSGADYEESVLSSISSEEKTLIPKAAFDVLLKEYDELQTESKDYKDKYQRSLAETENVRRRGIKQTDDAKIFAIQSFCKDLLEVSDILDIAVKSVKPEELESGGKAMKDLFEGVSMTRTVLAKTFAKHGLVTVDPTNQKFDPNLHEAVFQIPSANAKQPVGHIEVCTKIGYSLKERPIRPAQVGVVSQ >CRE25174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:874135:877288:1 gene:WBGene00054860 transcript:CRE25174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-41 description:CRE-SPE-41 protein [Source:UniProtKB/TrEMBL;Acc:E3LTB9] MPKTRLLVVKEHLLKYRTSEGDRDEGFEGHLEWNEEDPLLLEAIAYFYKAVKNKYYSEVRSFLGYLFPSGVDDARLPNIHSLLLNTSSEESLKEALLLSIATGSRPLVEFVLALFVDCPYFERSGCANSSHFPPHMTPLMLACILNNFSIVQCLLLRGHTIQIPHFLTCLLSCFHIVPSYSIVTGECRVCKRQTLTNRSSPLLVDVMRAVSSEAFLWLATVDVFAAACTVTHDLQQLIKDDCLEHVEIYRHLEANVQRFLARVSDQAWRVEEFNVLVSNKNYCARRMSEIQSPRLQMAMDSEMRQFTCSTNSQTAIKSVFRAGHAERYWLNFGNKPKRDAWRLLRASVLMPVLVMIHAIFPNKGTTMAVPLARYIAHVTMYCFFLTAAILRPALSFYPDQSVFGYEISIRLLEIFMYVYIIGLFLEKGLMFYRVGSDVFFSFWWRWFDVFLIFSFLTSFVFFLGANSNREVFDTSSIDRMHWPSGEFALLHEIFLSISCVLAISKCFYYIQMIKGVGGSVISIGKCVGKTYTYLLIMLAIIISFSVGLNILVSPYLNRKSIKKDNTPDKITTDQYASIGTSSKNLFWSIFGYLGPSTYTTVVGNTGAEMDPVSHNLNSATLEILGALYHGIIIITVLNLMTSLLVKKADEVLDNEEMEFKYTRAAMFAEFVSWEMAAPPPFNLILVVAHLLHRNVFKRPFPSPNWGDKPETEEFDIEEMEFEYMTLATIIFNRFCASKECKFKSIWRTEFPNHDKVPTHVSFMSTGPHSFPMDNTFEGWRKRETRKMKVPNYEDYDNMGQGDKNVEVPAKATSVRGPHSFPTTKVDPLASKQTADKGIPPV >CRE25175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:884261:885371:1 gene:WBGene00054861 transcript:CRE25175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25175 MMLYALVHTYPYKRSSGFERLRDRIHRKRHRHQKPSVINETDEIFEMSMVIQKSDENDDLSSRIRSEKSIPSTLTTPPTVTHHRHHHHHKKNRREKNEELCQSQRKIVELNTQSHEFDPPFVVEVRCMNTQSSNGNILSGQEQTCFKGMLRCVQQYADVHVSRRSVGSIHWHPYTISDVPVSCQCMWPVDRYGHQEF >CRE25466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:885598:886909:-1 gene:WBGene00054862 transcript:CRE25466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25466 MYFLPNLWIFAFQLLLIPEKDVHNRTFKSETSKMNVLKLTSGREISYLNEVEIRMGIDERNGEERYKNELENMGISDITVHKYEGGFKIWECTIDLCDFIEENKTKFEGKSVLELGCGAALPSILTAMHGAKEVYAQDFNASVIEFFTVPNFEENPHSAIVQGEAMGWEDVPTKLNGRKFDFILSSETIYNEDDYQNLHDAVAATIKDDGVAWFAAKFFYFGVGGSVPSFCQFINERGVLIASETKVITASVPRRIIEIRKAL >CRE25467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:886920:895275:-1 gene:WBGene00054863 transcript:CRE25467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-golg-4 MFKNLKNRLDNEAGKLKQSAQQYTEQIAAQVGQYRQAMNEQPTGSDASASISKRFLNTVTGTTNTEAGETKNNDLAETSMESSSAIMSEIPEADLLGLGLASKDSPVNRRRRSLSEDSTHSNESTLAALLQSIPNALSSAFETIPSDSESVSSDAFSVRSTNDPAYNSRLKQRIATYKAKYRDAVHRHNKLVDEVNATKRILEKTQDDCLQKVEKLRSEKRVLAEKLQASAGNSSGTEELEKKCEDYKRMLEQCKIKIKALQQEKKEQLINVDEDENPRVKEMEARIQKTEEEWTNRINESDQQHAITLATTKAEMHAALENKDTEIEQWRRKCAVLEQQDADANKRWNDKVEKVQAMNKALEAEKNEMIDKLSEAKTQGVKAVLEEEERKRSSLESELNEEIERLKEEVEKMRLEMTTYKVRLEAKESSEFDEQKEDVEAVSSPSYLKKKKTIFQLKLEMSRAQSERTDLEVKIAEHITSFDIYRAEAEKTLAAAKEQFEKKVCECDKWREKYEERAVASEHIATVEFETQETESHRTLEFEIEKKALTERCEELTLTLEQADKEKNEMAQKLSRLQQEMLEKCDALQIELNEAKKLREETQRKYDDVAQRTIQIQKELEESKKSVASDKEAFEKEKEQENAEQLNKALEKLAEEQQVLENVTKKLERSEEEMASYQKAIDELTQTLEVSQKELSDAKEELDAVNVKLDSSETSLKDFSDLVDSLKLQLVTSEKQKDDAVELLKQKLEDVEKNLGEVGVQKQHLQESMTAELKTRAESEEALKKQLEEAQVTIENLNKDVNNERNLKAALESDENAVVTEIKKQLETAKRELEISEKEKAALREQSQQLQKELYAGKEEIQNLQKTWESEMMKITNASEEMNSARKQLLKELEELKEIMKEKEAEKSAEIEKLENAVKNSGIQQETVCSKVQNLEAALKKSEEKVLELTNAATNVSSETDKHTEQLSMLRNSLEEAEQFIQKLKTEVTTLTTALEISNSSLEASEVKIQEISNQISSHSAKHSEETQQLRTSLNEAENLQKSSNDEIARLQKALEESDEFSKTIQKKFSDLEQQEQLKSSEESVQIQSMQRSLDIANEEVRNLQQNVESLTSSLDSATENTQLMNVEIENSRSFNETLKDKLEKLEKEIQAREQNEKALTEEINVLTATLSEKEQQSAQIIDLQTRLQQMEIEKEEKVESVRVQLQQAAQSSSSAEQITESLRAEVDNLKAKIKENEEAKIQKTSTEEQKVRELNDLNEKMRVEFIAKEKIISDLRAELSNINTELVVQKATVEKTKMNLEEVETKEKRAAANRENEKLEEIRLRYNFIERINSMKFIISRETFAKELEATSKALDTKETAYNELKLKADNKISKIKSQFEEKLKAEAEKHEIAQKFQEEAVESRIKSLEATTEQSKLESEQRLRSFEESLKTANLENEALRLKEEASEKEKSHWEVEKEMLEGEAKELTEKIEGLESEVKKLTAANESKAAKADTDSRKVVRELQKEVKQLYNELNEKNQQFDNLQEELNRLKTSGESSQNGQLQVSRQLDDDDKRSEFSYKEEIASLKQKLDASLNEADDLRMQVSRSDKGSRSNGSAIKTKTPSMELHGIADPAEAEYLRNVLYRYMTSRESLGKESVTLARVIGTVARFDDSQMKQVISSEESRQASWAAAVGGTVSHALNR >CRE25468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:895457:895967:-1 gene:WBGene00054865 transcript:CRE25468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25468 MEVDSSSSSSSDEEFESSSTNTAFIHSAQVKLSVGSENAASTVADVIKIDKEPSRSGARRQVSSEGEFVIINIESRDPKSLSKSINNAVEMIDLSLKTIKMCESFGKAKESGLKRKLSENSQC >CRE25176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:896570:899612:1 gene:WBGene00054866 transcript:CRE25176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-9 description:CRE-NPP-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LTC4] MSDQKTTIGRVVAGVVDVQQTMALQFDSLTKGLEALKLEHQSGISQLREDIRRSDDRSQKQLSDINALHSKELERLHQIIHALLARDASSLGAAAMIPPAQLQQQQQLIMMQRQIDIAHAQAQAHAQNQAMVNLLSAANGAPKQVIPVQQPMSTPAPQLKPQVPTPTAAISILPKNFQATPTAEVTIPTSKPSFPSTTSVAEKQTTSVVIPEKPKNAATPTSSGFSFGGTTPTLPIFGKKTEATAVSPAVVPPGDDDDDKEEDYEPEGEFKPVIPLPDLIEVKTGEEEEQAVFTNRAKLYIFANETSEWKERGTGELKVLYNKEKKSWRVVMRREQVTISEETLPSNSVNGSEELCWNIQVIPCKRTPPQSNDPMTDAIALFIFILQVLKVCANFPIVGSMSIQQMKSNEKAYTWFCEDFSEDEPAHVKLSARFANVDIATEFKTLFEKAVAEHKSTGTIHKEIKPAEKKTEVKKEIKEEIEIPANKSAVNDFGDKFKQEPGSWECSVCYVRCKPDVDECACCGTSKDGSAKEKNIFSKPSLLQPNPGTPKVTFGFGASAPAKEPVAQTSQFGASLNGSPSTNNIIFGGGTPKGTSIFGGGTTNTPTFSFNKPAAATNATTPSFNFNKPTGSTSSPVPVSSAGNSLFGGGLSKTDSPVATPSFSFSKSSETTATKPTFSFGKQATTASSPAVADDKKSSETPKNVFGSFASGGTTFASLATSGTGSIFDTTNAQKAQQELASQKKTSVFGSKTNTPNTTVTSTSRNDDTEDATEEGDGEYEPEVDFKPVIPLPDLIEVKTGEEDEEVMFTARCKLYKYYSDLQENKERGLGDIKLLKSRDGKYRIVMRREQVHKLCANFRIDKSIKLNPKPNLPNVLTFMCQDFSEDPSNADAAIFTAKFKDEATATAFKTAVQEAQSTM >CRE25469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:900037:901814:-1 gene:WBGene00054867 transcript:CRE25469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clpf-1 description:CRE-CLPF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTC5] MSEEQVQEFKLKENCELRFAAGEDSDVCLELVNGYAEIFGTQLILNKKYIFPAKSRVAVFTFTSATIELVGATESAYVAETTPMIIYLNIHAAMEEVRKKREEQAFNTSNKPKGPRLLLVGPTDVGKSTVSRILCNYSVRQGRSPIFVELDVGQNNISVPGTVAAVLVQKTADVVDSFERNSPLVYNFGHTSPSKNLSLYEALFKQLASTINSQIQENDEAKIGGMIINTCGWVDGEGYKCIVKAASAFEVDVVIVLDHERLYSDLSKELPEFVRLTHVPKSGGVEQRTTQIRAKMRGENVHRYFYGTRSNNLYPFTFDVPFDDVTLCKVGAEQLPDSCLPFGMEVENHETKVVVMEPSVDIKHHLFAFSRSQKPDETVLKEAVHGFCLVTEVDMEKRTMTLLCPQNTIPSKTLVYSEVTHLDDQIKR >CRE25470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:901996:904162:-1 gene:WBGene00054868 transcript:CRE25470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cri-3 description:CRE-CRI-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LTC6] MNTASLVRSLTRVALRSTNVVKMVSPRHFSQTAKVMSVTPELQQALNREIEAEQQLSKDNLQGAVAPTFPGFAVTTKEAEVRLTKKNGNEDILVVFNVNHSVDMDEGFDDEPSQAVAPVPVALPPFTVEITKGDQRLCFHLELVPVDDQPDEYDFRVEEFYVAPSANNGNEDVPSEVYASSGKYIDPDLHDLLFVRYLEERGLDARFCKTLVAYATHYEHSQYVGLLDKIKKFISK >CRE25177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:905843:906454:1 gene:WBGene00054869 transcript:CRE25177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlc-4 description:CRE-MLC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LTC7] MASRKTVNRRQRPQRATSNVFAMFDQAQIQEFKVLLFNHQIYQRIFRLQEAFNMIDQNRDGFIDQEDLKDMFASLGKEVSEQFIDSMINEAPGAQPINFTMFLTLFGEKLTGTDPEEVIRNAFQCFDEDNSGKLNEEHLRELLTTMGERYTEEQVDELFRDAPIKNGQFDYVEFTRMLKHGTKDKDEA >CRE25471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:906744:908097:-1 gene:WBGene00054870 transcript:CRE25471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25471 MEEDPLEAEQEAWRKERLRVLEEWCEIELKGADTLKMDVTHEPGSPTVAVAAETSLQDLYPLLIATSSNTVPQQSGDDGAETEIKKPETSDNRSTFDRLIDVCLCRPVKAQMSPKAYTDKTLIMKLAQIPYDHENGTHWLLLSDYFNNVSRSLMTSSEYSHVTNPSRVGAHWVTVGFQSATPHTDFRGCGVLGLLQMHTFTQRVPANILRAIVLLATTEPTDFPLAVVSINITSIILTQLQKGAFDGYGNENEGLYPFFSALHAASMARFCSIYKSQNCTLANTQTIFSEITRQLEKSPVSLLMLLNASNDELINTLL >CRE25178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:910047:911742:1 gene:WBGene00054871 transcript:CRE25178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25178 MEKPVDLTEFPIYNLDYVSLNEIYRNLTLIDIFELSFTTTDIKNTLKDASVPVQSIRISFASRHPSIHLKALGSEFLWTFGRPENIVITGQGEYKIQQFKFNCQRSRHGYHTEHFDAEHGMLAVIRYLVAIFNCADSVISELSIDLGVIEDCRSVCEQFTKFKSVERLAIHQSVDIERNKLNFAQNFEWIMENLEIKEVYIGVDLMDHKMIQNEKGDFEIRSFPLRLDRILKMNHICLAHAAWVTPQDLLNLDVETAIIINHQFTNDDLNAFMKQWLESTSNKLCWLEVKLDEERHQKPEICKDLDMRESTYRQTSTRISCPYRRTDSVKPIHFDLPADTEQITRLDGLIATVAITNDTFFFHVSNTGPIFAPVAQPAEPTENERAIEERIRLLDLERMEIEFDRRNHEMERILWRFPGHNHLNNALDELRGDREADIFEQEIRREAIEAQLDDLRAQLNRLRRARRAALLNPIVDIQLDQAAIIELARQHIEQQMRQ >CRE25180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:918837:923800:1 gene:WBGene00054872 transcript:CRE25180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hecw-1 MITLIRKDVDIDKPSSSEVGVFVSKRAVFPFGNKNEFGGTLKNQKTVSIGLVFLIIVSSFSNRLDNIIISDDSSFYLDQKSLRQHISPVVFTLTTKNLLNASEVYFGLIDGMTGRMLAKSENVEICRSAALVIHEIKKPDNISVYLNTSDGRVEIPKKKSYSKKNVSFSSNQNRFDFNFEVDDLHISLVEEVEMDNFDHFISSNQLQNNYIQSKSFSHNHIVDVVFSIEPKSSQKVLNIMEIASSSQPPSESQWKTYVDAKRRKFYVNHVTKETRWTKPDVLNNNHIEPRAPIRQSFGDNSASPRNSFITPRRTITVRSAGDPKSDLIQFFQRDEFKTALYENQEAMKIYNECSVVRHAVHRIQKDSDPPSKFENQPIFVRFANSFADITQPLPSGWECITMNNRTVFLNHSIKETSYFDPRIRRFETKTSRRGRSVPSRSSNQFKNKIDHALISKCEDLRKIAQDNFPQIAERISKKLMLIERFGGLAVASLANDLDITLALSMLDANNEKLKQTEEGDKIKLFYEEMKKEKLGKGPSRLCWKVSRDRLLEDAFRVILNVDPFVLKKSRLHIRFEGELALDYGGLSREFFILLSRELFHPNNGYFEYEGTDYHLQLRSRECDSEKEKKWLKLCGRVLALAIIHRCYIDVFFSNIFYKFIQKKSIDLMDYKQSDAEFYKSMNWLLENDVDGLEMSFVYSSMVNGKLTELELLSGGESRNVTNSNKTEFIELMCQKKAIRGIEKPLNILLDAFNQILTVDLLSSLHASELKRILSGSLELDLNDWRINTIYKGGYSDCHIVIEWFWEVIETMTNQERFDLLLFVTGSSSVPFEGFSALRGNDEISKFCIEKWGDATSLPRYCKSSESSLKDIFRAHTCFNRLQLPSYNTKQNLKSKLQQAILNGMSYSIE >CRE25181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:930038:931948:1 gene:WBGene00054873 transcript:CRE25181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prk-2 description:CRE-PRK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LTD3] MNQKVTDNYSLHSSFSSSPSASSYVISVGLHTYCSDFSLIFIVFQLSEFFLCYYINIPKSTKRPVSFQFKITRQPTHSSPGASGTNNTPMTLPVLAKKMKKLASLQFFNLKLLLNGESSRGFSKFKKNYKLKAELGRGGFGVVYRAVRTCDNTLVAVKFIERSNVKEWARINGEQVPMEICMLAKCSKIRGVIRLLDWYSIPEGFLIVMERPYPCIDMFDFIKGQGKISEEMARFLFRQIAVTVHECVQNRVLHRDLKDENVVIDLVTGSTKLIDFGAATVLRRSQYSDFQGTRLYCPPEWFLHSLYLGREAAVWSLGVLLYNSLNGRLPFRNEKDICTAHLLGPLPFFVPASAEVKDLISKCLTFDPFQRCSLEAILNHPWMKQPSLSWELLTKNKNQKKTTEKMDKSDDHHSETLGEQSETEEERSHPTTVSICNQPGSADEGVGSSASSLHTPPKKQHKEHRMAKTSLLAPPTSIEMKAAVQASKTPTQFNVHTALKNQRQIKKHHSPQAPNSTVLTALRRAMSREAQNRISGVFSQD >CRE25473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:932360:944224:-1 gene:WBGene00054874 transcript:CRE25473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gei-1 description:CRE-GEI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTD4] MQNGHRQIKPRVKSMNIISMADEDFNMLPQNTSENIPVLRRRKYTKQQRKSLVIEPDISLILAAGADQSSSSDDSDTRVQIDSNTLPSNRRRYAGKRNMKKRFYSTSNLKGTENENDENSSQQWTSQIFAELESIPSSRRGSMDVTKSALNPPPELIINLAATSLMTSSAPGPPSPMKRRRFPVFEEEESPSTSFIPIHPVPSPKTSKKQPEDVVGPTFLRDLLTASCSKYPYSESTCSDRLATSDTATQKPSRASSFEWLNAENTEHGKYEECSSDEADREVALIGMAKQSDALQRKKNLEELERSVNELESLGNSSWFPDPPPPPDEARDQIFDSLPASFRNRKPENRKNAVGSVYDNASSSIAKQSDSSQQKSIKTIDKPFSLENLPMVQRLSELSTSKNNSGSKKEVTKRNNTFADFAIQLSERDAVDACAWLRKAGFSKYADQFEDGKLPIKPSQDPTIAETDLRSLNRRIAILNKCHTMKVDSVPMRRRMNNEFPRLDPMKVDDNSLYSSDSWRYGGGGSHHSKTWSRPSGSDHVYSGSLARYPNSTSTWNQQMTEPTRDSRKVLRTDWYDAQNSAHGRHNDTLDTASTAACVNELNSKLQRSQSERIKDRARAIMKKMDPRSPNKRPKESRGRPAPMNIGDPVLVSYDTPKNSIRSHHIDRPSILPERGRQLYQSSSPFQTPSRSKSIAQNRRGGLVFITPTTPDSLDKSFGYSDTNSSIVSSLRSNGNYGESRRRELSMPPPSRNRVTMNGPYLPNHDGTLRRREIMPFDSYLYPGHHNMLDRFEKNYETPRNLIPDRMYDDLDVLPSSKSKTNSNSNSNSSSLDNKKTDNISMFNDGYYTHGLDFLSLFLFQYSILLCKFLYKNIAFPEIKPALPRHHNISKPENLKVNTEKIEVLSSSDEPIGRTTLTKRSDSGLGSSLSRSPSGPHTQRIRQSLLPYSNATSSGISSAYSCCSWESSTKLIDEQPFFAEIELARSIDSLNLIEMTRMRKTAYLRLCSTLERNIDGKIHLTDPDQDELPSKHVWSVQRLIKRMKINDGQKNHKDNEDSAVFGVGLDVIFNKTGYFLPRPILEIMKFLRNIAPETVGIFRKNGVKSRIAELRAIIESYSGNTDVFVGENMLDSTQVHDVADLLKQYLRDLPEPLMTIKMSEVFANICSVVPDVDRITSLQYAILLLPDENREALKTLLLFLKEVSRNSQVNNMTAQNLSVCFTPSLFQLGASRLDKATPGSRRHKTVGGTGLPSEKEMKEARACQLCLTMLIEYVQTVFMVPDGLDEHDDAEDDNPNLTDLGLKGPRSFLVDRVIDMIRDHSDGWKNWTIEGSFRGVEISSRRPSDSHPLKTFRVCLDIPAGPKSVMMRILKERYTWDSSVINWRHLEYVSAPDTDIHQYVVNETIGHPTKDCHVARFHSSGLTEIRGACAIAERSVKCSEDQLLGGVSATIFDQRFLIEPVSGGQSRVNYIARVDIKGRSLQWYNKSFGSIMCRHLDRLRESFLLGDKGGPETNV >CRE25474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:948565:950763:-1 gene:WBGene00054876 transcript:CRE25474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25474 MKLFFFLFGLLAAVEQEKAWREDNRVPVEQIMNDHSSAKFKYEYVSVCVNGTDETLLDIVHGKECKDAKSRVALGKYSNQVNTTGWGILEIETFASHSYDVQAYAAGVAEGELTRLQIYYHYRNTIETMCNNHTLFCKRLYIYLQENLDWMRSQVMSNPPTDPFWRQVNLTFAQLTGVYDAYSKRNLTPEIGFDLHPIYMMQLAGDMFDLNKFLNKTPDPMEYPEGGRCSGFIKLAPGNKVSFSWITEISKLFQDLFMAHVSMSSLSWMQRILKIYKFGYDVNEVPGHIVTFSGYPAALISSDDYTITSAGLTSIETTIAIFNTTLYTDKYMSSKGQVHCWVRSMVSNLLSRTGKEWVDTFGRYNSGTYNNQWTVLDWKHFTPGQPIPDKNVVWISEQTPGYYESRDMTWYLKKYTYFSSYNIPFLPKVSEISGFDTKAKQFSWFEWGGSPRARIFDRDHNKVTDIDSLTKLMRYNDFTHEEFARCKCTPLPYTGEGGISARGDLNTPGGTYEVESMGFRDHAGLDFKGTNYEMFKKMRFRAWGGPPYDPLPVFDWNHTNITNVRHFGQPDVWNFTYVDLEWELAAKVQLTPYPTN >CRE25182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:951498:952210:1 gene:WBGene00054877 transcript:CRE25182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25182 MSIGDYLILVQQFSLILIITCPTWILCKGKKKPPPNKTSKGSGSNEPPQKPPSADVAASQKSGVKEEPKKEEEGVKTEGESKESKEGDKKEGGGSGSADAQKDPSLKPFPKFEMPTESKKNKKMLEVEKDKKEKMKQGFYQQKSDEDDTLEKVDSLHVEQSEKTKRSQKKKNKK >CRE25183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:952948:954655:1 gene:WBGene00054878 transcript:CRE25183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25183 MRLLFSCFLLPVLASAQLAAVYSDASRTATCSNWSSWGPCVWPNQKTGKPYLEQISSICQHHWFYSFIKRYEKSLNSFYSYMGSVLKSKKPCGLCSYKQSCGFGGAVKCNQSPLSVEGTRPFLPFYVAERVCSERDLGKTQVDSCVVDYDALMVLEISNLANGKECQLWPSAKVDLSDIEPAFREHVHNLSWYTCLPQNRKLRKGRGKNAKFRTEKVCRCCCFPFRPNPKTFKCEHVPGSAVAPGMDLLAGEFNRKRKRV >CRE25475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:954711:960341:-1 gene:WBGene00054879 transcript:CRE25475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbc-2 description:CRE-RBC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LRS5] MAEVAPAGTKSPALSVPVVIWGPKPPENKICTIRVLPDGSTIITGAENGHIVIWKLSEGLMPKQLMIGHSQKITAISQTNNAPTNTRFVSASADGRVCLWEIQDGRCIDSTTSVAIHRYIYPYTYKSSRHTRATRLLCIGDYSDIQVMDPQDLTVVFCIASRVEPDWISCFIVINHPGKEDQLIGMTLSGMMKVWTLNELEKKDPSCSLYEDESKRLEIQHIRSVSYICSTRRMLLIVASSNWMIIDMDDLSTVVLYKNKNTSKRCTAGYLTDMDKVTIGYTDESINVFQLPITSLQKEDGSSIVTSNRPTTNYFDEPDPFIVASVNGVQDNIKSCLNDVQYAFLPYDLSTEPSSSGAAEKKRFSVVRSSKRDGSVLVWNMPPFDHQFMKAVPALRNLPFKFNGTYRESLASIWQTINESEDGSMPVLNSEAVCCSLFVTSQGKLFLGRSDGIIVMTYACETLARQWLRVPAERATSRTLNGHDAAVRTMFYPFEHDTKYDPQYFVSGSDDFSVIVWNINSGVKIHRFIVHGGPVKSFMIPPPNCSKQVTKCIASIASDNSVALLNIRDSKCMLLASRHPFPIVQVKWRPLDDFMLVKLSDGSVYVWQMETANLDRIATGLLAEDIMAACDEQIGIEEGTDETSAHHAVQLIRALKNKNMEAVKQKVVGTASGSTTPSTHNDLLSNCGTAVQLGSPMAIIPLPGCAQGAHLVQFEISALISGILHLDSSVEAPEGKTTSQKLDAAAAINETNTVTGLSRKLTWQFEANLYLDVARLMLSMLHAWSLDEDMDEVCEKRLSLHRPRHQVYFGNVSRQGELSVCLPTRFATDFESFCKKSRWQASHSLNTSHLLAVIATSNTLMAMKNSALQLAKIRKSIVEMNPAQQNPDRQQIKQGWSLVAALHCVLLPDHVRPRSSYAPPSIEMLVRRWQDSCLEIREAAQALLIRELTRLGTEGRRRLIESWTPFLPPLLDDSLSIFGSKLQSSVPTVQPSAPAPPIPPRTKNEPVDVTPVRGSEPPTAEGGEAGIQQVRRNQATSIILLGVIGSEFGDELNRADLTRATAVSLLELLVAAPSNLIPVHSPLRRAAIDLLGRGFVHWEPHLEISKVVLGLLDLASNNDKQGTLKRITGAPLNAIEDAARTSRQALSLIALARPPALITSLSMEVARYNAAAQHQTIQHTVVSPLLKSRSEVLRIVEELCEKRYNDIIAMLLPVGDILVHCLDITILKHKSMADVFPPIVKFNMVAYCSSSKRIAFGGKNGTCVVHELRASKTHSLPSHNGPIAAVAFSEDGKFLATYGAEDGKISFFQTSQSFLGMGQAQLKLTKSQPAPTVSVPTTPSGTSFRPRLVWINSKSLTLMLPEGREQRFSL >CRE25184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:960801:962130:1 gene:WBGene00054880 transcript:CRE25184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25184 MSLTKDTHLPSDEELTVPQEIVLSTPWLKSVAPYMAKHCEKEANEFMLRRKESEDPRAVLKEGAALTACGVNFLQSLKRSCLPQTQKLAECVDQGSAKLYMSKCHDDQKELDACVEANLNLTRPKLGYFSKLHVYDSATPAPEVKLRDYKAEAAKVLNELPAEYHLRKDYRKYNDWRYNITES >CRE25185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:962233:971237:1 gene:WBGene00054881 transcript:CRE25185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-44 description:Homeobox protein cut-like [Source:UniProtKB/TrEMBL;Acc:E3LRS7] MEVVTKAWESVDWERLQARVEAEVSALGVRQDDSDVRKGRLVEESNAYKDRTNKENRKLAIPLIKAFQSEFEGLLARSSAAESALIDICGSIINLPDPKTLLKSAEAWKSDAEKTQKAVEEREELNRQLLKVNNELEDLRGKDVKVRKLKDKLAKLESEQDTFIENAVSEVEKKAELELNTRLAELVAERDKMKEQNEVLEKNLDTLESKNKDIQRKLEIAKMTVEQKDGLENEQLSIALKDLSDANHRILILEERISQLEREAEKVNESKKAGKIEDIAALGSVLIQKDEVIQQLTDDMKRAESKHAEDVAKWKAAISNGEKKNQLLVGELNELKNTLEERNDYEAIRNELRLLREIEFGAAAEANAESIESLGQTVESLDRLLAEKNRRLQNENAHLRVTNEGFKGDEIMKTIVNGGVSRVVETVGKQIGAEEAAKFHKKNTDAELIATIQEAKHLKMENELKYEDPNIDVLTYLKNQKAKETRKTTVTPVVTPSSVKQVTKLGTHTITTTALPPQTLLQRLSNGNTLPKNDLRMDEDLKLSTVLNLKRFAPSSSKLPETKTTEEQEKENEIIEKMQKRIQSNVQSINGHPLNTTEIASHCKRLMIAYNIGQRLFAKHVMNQVVKSQGSLSELLSKPRHWNKLTDKGREAFRRIYGWISDDEAINLLCSLSPRRVWPADQNIEHPKAETLLDKTCSHEYKEDPVVNLEVISKVEPAAETPISLKPSPESSGGQLKSRWKHDDISKEKILSILQTELKKIEEETTADKNVVPPKAVIVQNRRCSVSIPYEVTTLPSKTRPTAVELVLKQRMASGLKPLTQAQYDKYTVLDTELLVKQIKEFLTVNSMSQRQFGEFILGLSQGSVSDLLARPKTWIQLTQKGREPFIRMQLFMDEVAGVEEKDGKQPTITVCDDDSDLAKTLASLLSNVSNPIENNEVAEPVKPEPVSEIALIMERQSASSRDEVVKYIDSLSGEEILDSIEIVYRVKGVLEEHGISPRVFGDEYLHCSPSMCADLMIRTKPFELAKSTEKVMYHRMRNFLNDPSAIPDLVKKEEAKDSVKEKLECLLRETPRPVKRKASSDLEDSEKKPIQRTVITDYQKDVLRFVFVNELHPSNEMCEQISTKLDMSLRTVQNWFHNHRTRSKAREKEGKIYSDALPNGIAVRSDTWKDDLQKMLDDAPAITQQWAPDYYPRSGSVKSSTSLDSPNNNNYDPPVFTFDKPVSTPIPITATLKKPSSGVRTDEQEAELLTLKEKSESDDRLIAQLENDLASAVQDFGITERMGTNEMLKEAPPKISDASLIPILTSQRNRLHEKVTSLEEAVSVEKTKQLSIQNEVETVREENIRLCERIRFLQAPNRQGLNSIESGLGNEEPRKLSTLLNHTETTEGNFKNIANGKLINGRAILSTPRSRTIFFSYLLILHALIMLVLYKFAFDQSIVRDAETECEYKFHQHMLDNHKQ >CRE25186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:972887:979294:1 gene:WBGene00054882 transcript:CRE25186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-feh-1 description:CRE-FEH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRS8] MREGTPRVRIEVNKRPTHFVSESEEQRLQRVHSRDSDTATMNFVTDDRMQEEHEEYSAFKEAYEEEESREYVIENGVKRLVNQHYDSRGYSSAGRGQKGRREEERRRNMAVDYSSQDFRQSLAAIDQASRDGAISRGEDGVRVRQIGDTTIMTEHRDPYSFYWQLDQQRREAESPPRRAPPTDYVIDEEEEVLDTVLSPETDDSGVMFENQYRRPVRHPLPPPPPIMEEEPKDLPPGWEKHQDPQGYSYYWHVDSGTIQRQPPPPVRDVTPARSPSPPPPPPVYSVTTASRETQADAPPPQIIQLPPQQPVIEEHAFKQTTTKRRIEQDEMSEGYAEENQIENGDSYHKPVRFAVRSMGWIEISEDELTAEKSSRAVNSCIVELTNRTDIDNIPKWGDGRELIMELDDNELALIDPDSMNVIHAERIQSIRVWGVGRDNGRDFAYVSRDRGTRRFMCHVFRCDTSAKTIANTLRDICKRLMLHRRPSSLHAIESGEKRIVRSEGLSAPIDEPRKVLRCHFLGVTQVPKATGIEILNEAVDRLVSQVRPERWIFADVSIAPSTIAIVEVNGGQIAECRVRYLSFLGIGKDVKHCAFIMQTSNESFMCYVFHVEPSAATMAKMIEAACKLRYQKVLDAHSSSRHHSGMSNHGPLPPPSSYHGKGWTETFRDAFGSVTSRMVPSRSTQRL >CRE25187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:980046:981985:1 gene:WBGene00054883 transcript:CRE25187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25187 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LRS9] MYRYNRKAQPNVTNKPKANGGSNSSKTSSASSGPPSSASSASSSHQKRQQPKSDSDSKSEKSLKNPPPRKRVQPTLIHAPKTRSPDRDKRKTVQNVGRGVANRMPFTPNKKQQHSTSTPPTSEMWKKSELRSDRIDSEFEKSAIKSKSKGRLSNSESGSNESVHSNLKKPNQQKVAPARIIKSDRSLIGLDGETEQPDHPRTGKSRSAQRRQSYDSPSSRRDKSMEDSVSEMNSVNFLEIHQLNNALCSICLLTTRRIVFTVCSEFESVKKNRTSDIPCLDSSRVRLTFMANKTIPSTDYIHANYIRSPFLKRGYILTQGPKKETIADFWRMVWQERSNAIVMLCQFVETNREKCVEYFPRNANCSLRFDKLIVTFEEAIVNKSVVSTRLNLSYEGESRLITHLQWKEWPDYQVPGSSEVMLKILRKIRARTTTPIIHCAAGVGRSGTLVAIEIALQCINTHFKLPDIKQIVTDLRLYGRATSVQTLQQYMLIWKVLLDFGVSNKLISEELTTTFASTYRQSLCKVNMS >CRE25188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:982422:983546:1 gene:WBGene00054884 transcript:CRE25188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-239 description:CRE-NHR-239 protein [Source:UniProtKB/TrEMBL;Acc:E3LRT0] MPINDSQVWIITEVVRKDTAAVVCEICGDKSYGRHYGVWACDGCSCFFKRSVRKNIIYTCIAGNWRCVVDKSRRNWCPACRLAKCTKLNMNRLAVQNERGPRKLRCLPMPRINHLNSLKYDMTFSKSVILTRQCILLNFMTSEQRMEVIEENCQFVFALLILLSGDETTLRKFSVRFILFYISPNQLFEKNKNIPERVQSDDEQLRLLVCILLSQSKSSLLKFAAPLANFYKFCLFHYCYQNDSVASASVLISAATWLHENDSWDLSSLLRSSPEHLITEVMSSADKL >CRE25476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:983610:984801:-1 gene:WBGene00054885 transcript:CRE25476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25476 MRLASSQVRSLSTRSEKDFERVISVYQLWKKYKFRFDELTSPQEPAIDISRSDRKHDYYEHISLVPGCPRIALEAPTGFIQKAKTNVKGYMRNAFVRGIGLQDDKDDFLELCNSTFFEILRAMREEDFSKLSEVTLGGNETLQFHRSAAHKLTKVQREALNITQDDLLGDNGHIIRQPYLKYEVGPYIHNIDFTNVDAVGNTYPAAGENQGDKVLCRLVKENFICLNYFFFRYKVVIGGVYKFDLLRKEMEKETGWDHKIKTPKDYGFKWPRYTIVELDICQWAQNKMPIKLDVNRDIYDFHVFSF >CRE25477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:984908:987439:-1 gene:WBGene00054886 transcript:CRE25477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25477 MSEEAKQHWLNACAFRVHDRVVLPLSVEEYQVGQLWSVAEASKAETGGGEGVEVLKNEPFDNVPLLNGQFTKGQYTHKIYHLQSKVPALLRKIAPKGSLAIHEEAWNAYPYCKTVITNPDYMKENFYVKIETIHLPDSGTTENAHGLKGEELAKREIVNINIANDHEFLNSGDLHPDTTPSKFQSSKTGRGPLTGNWKDNVQPVMCAYKLVTVYFKWFGFQKIVEGYAHTQYPRLFSKFHREVFCWIDKWHGLTMVDIREIEAKAQKELEEQRKSGQVRGMNG >CRE25189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:990533:993019:1 gene:WBGene00054887 transcript:CRE25189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25189 MGGTISKSSQHYPDSRQVVTSYGPIEGRRLIHEGDKQVDAFQGIPYAAPPIGKLRFAMPQPHEKWTEVRETKAFGDRGIQKDNIFHGKMAPQSEDNLTLNVFTPVWTPKNSTGFPVLFYIHGGGFISDSAHKYGDLSICQHLVTKDVVVVTIQYRLGYLGFWTTGDSSIPDNLALHDMTFALKWVKENIGLFNGDPNNITLMGQSAGGASVDFLSISPVSRDLFHKVIPMGGNASCSWAIHPRPLNSCRKRSHEIGVLDEMNTLDWVEKLREVPAEKFGSTLDMGAVDLQTDPELVIGPKYDNLFIPKPIMELRKESPVKPRLVGCARSEGLVMCMFGLNTKHPLHGIQQDVSAILSEKLFPLKAREYQEQALEKLIDTGSDHSKEEWQRAMCELRGDSFLNIGVQQNVVDVLETQPQTPVYLYSFDYYNPKAYGIMRWKLPFKDATHCTDISYVVGNHIVMSLDFNEDDYKMIEITTRLWTNFAKYGDPNGEGEDIAQLEQKWERATVENPQTHLSISLQPKLQQVYKNGRPLFMAQLLKEARPPPNL >CRE25190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:993276:994389:1 gene:WBGene00054888 transcript:CRE25190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25190 MDTVKTVKVVVVGESGAGKTALLTSFLENSFETDPLTTIGIDFKHKIVQLNDGQSIRLQLWDTAGQERFRQLTPAYIRSAKVALLVIDLSDDSCVENLVRWKGIIDKNKSDFTATIIVGNKCDLVNEKRSPRLINIIKETKDEYIETSAKTRKNIKELFSMVACRPFPEHKTSQIVLLNEPRPSESATRRCCQRW >CRE25479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:994541:995885:-1 gene:WBGene00054889 transcript:CRE25479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cosa-1 MCDLPFQENIFQTILNLSIKKHGRGNEDQAHKIALSCEPDFGEPVGACHTIYWSDCIAQMAIDIQGGQFDSISKQYFCISDRQKTAAPCEFDFFKPKLVEYTFTVCVRLRLPNEVRFTAVLILNSFLIRHLCSLHEFMEKQDMANHHKNKEWENIESNMERQIPLRILTAIQISSKMHSYHDSLSSRQVVNTLRKVGLPYTITAVSDSEQRVFKLIGFNIPDSPLEACEMALKLLTYTMKKREMAEAERHEDLWQHVLIVLDVCFINHIELYERFFRKCPFLLNEDGGKRSIISKFKCDILLLAAATVQTAFILCIGKERIEEVTVIVNKVLRCNPRFVEPLKQSIIELALSKKVCDSFLLWVRVISIQFLLCKKCSPRPLHFHDAFNF >CRE25191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:997092:999058:1 gene:WBGene00054890 transcript:CRE25191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25191 MENIDAVFKSIDEHQDEFIDLLRESVAIRSVSADPARRGDCIRMSEWARDQLRTLGAETSFWDLGKQQLQSGEELPLPPAVFGVYGRDKSKKTLLIYGHLDVQPAEKEDGWNTDPFELTEIDGKLFGRGSTDDKGPVIAWIAVLKVLKNLGIELPINIKFVLECMEESSSEGLEKGLEDNIDKISDVTYSCISDNYWLGRNKPCLTYGLRGICYYFVEISCARQDLHSGINGGSVPEALNDLMWIMSQLVTVDGQILIPGIAERVAPLTKDEDDLYDKIDFCVDTFKQETGSHGLISDSKKNLLMNRWRYPSLSLHGVEGAFSQPGAKTVIPAKVIGKFSLRIVPHMTPEATDKLVTSYLDSLWAKRKSPNTFKITSGHGGMPWVADFRDANFSAGSRAIERVYGMTPDFTREGGSIPVTLTIQDLTKSPVMLLPIGASDDMAHSQNEKINRENFVKGMKVLAAYLFELAV >CRE25192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1000083:1003733:1 gene:WBGene00054892 transcript:CRE25192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25192 MATVYSSDVSSSRRVFEEVPLHCAVKVRIITEKVLVVRPYVDLLAVIDESLSRHVGGICVHAQITSSTSATELGKCHLNRHDDQKISCVVRIPVPFSWFPTDQNKTSVLSVSYTVSEKCDQNFHDLPQHLIEINSRISKQNIDWLANATDTSVTLRSTSSQSFSQNSMQTLFLHVKSWANETKQMEIRLWVDSRMSIETVYPTSSNWTIRVSSASRPFFYTSLVCKPKDGMSGFDDNIVAILIKMVSSTDAIKDDVVLHWHVIFGPKSPDPPPDDHKVATKFSVIADEVAAVVIVPKRKELMNLAVISGLQVTSSLRIFTVSIGSKAEDVTSQSHCISSDANIIKVSPTCSSVYLDGSESNGSSDAQVYAHFLRYTTAFSFRVWYPKLPLKIWTSSSTLSTIKNWKVGFWRDLPLGGGVKRSRAARQFACVNRFQHGHVKVLASLWIEDQKTGDQLYLSSHKSILFDVTNIVHNTLQISNRTVANVKFHEGRAVIIGENMGLAKLVVRNAKKSLDLVTENISVRNEEVTTTGLSARPICDTNFRILPILFSPAFFKIEIYHSKTLTKLYQQCSIFASVSYSDATWEPLNDLDSSYFEMSAHSDNERALAVSHHASKVHVIAIDEHWPLPSVEIALQSSSQCASTINGASPASLAVTVLNVPIKINNSGQSIIDLDPSSTTIEPSESLPSVPMHIFLLTVFGLVLLFIFISCIRRSAAFKGYEQLVVPFFSRLSSSSGTHSRQEETNEWVWLSQPQAPSSTISSGYSGNKSTAERQSSNGDDPSRTSISYHGSEISVFIAPSQANVVVNQTSRHPRYTLVDSNSDHNLARIVPKEERWTTGGHDQFHTWTWKHRSGGRMEAPIRESIA >CRE25480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1003909:1005757:-1 gene:WBGene00054893 transcript:CRE25480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-27 description:CRE-SET-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LRT9] MAPTPNAELEDQILGKIATLFEETLSQKPPSSIVELWKEHVEIKRILKDVTSIQAKLSDTDERLANSKRDAESIKKFLTWADGVGIARNNVTIVSTKTCGFSLQATGPIPNAHIVARVPRHAMITLDLAKKSALLKKAFERDPIVSGMENVGLALFLATQWLLNEKSKWLPYISILPNSFPTPLFYTDEQLLQLKPSPIFEEALLFYRTISRQFCYFLMAVAKNKIYESAQRRKDARNTMETPLFYNAPFTVANLTPGLYFWAVGVVTTRVNMVPSEHSTDKDEKPNLIAALIPFLDMANHENVVTEDPVEDLVCYSPAEECAVITSHCDLEAGNQVTIFYGCRSRGEHLLHNGFVPIHHQRQDVLKLKIGIPKTDKTLDSKTKLIEKYVQNVQCNGNIFQVDLYNYPEQPFPLDLLMFAAIFVCPEPTDAAIAKPDIRKKGLEFLKTRFSLLKKSYDSSFDASKLTDVGIDGDAARLKASESEILQLALSYCETLEKSQE >CRE25193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1006779:1008940:1 gene:WBGene00054894 transcript:CRE25193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25193 MIPMSLVLSNVNTVFRLKHPTIMFSVVRCLSSDRTPTASEDGSPISKRKLKKLFKVAQLSKKSRSYENDKIDKLSAMAEFGLKESDLEDLPSHSKPAVSHSHHQNMSKNSHQLYALTEVYHKALKVHGSSEALEAHRRPLVAMRKELTDAERMRMRMRVETTNQHKGADRVVAIAFTLNFCDMAMKFTAAYLTGSKSLFAEAIHSAMDTCNQLILLLGIRYSAKNPDLLFPYGYGNMRYVTSLISGCGIMAFGCGLSIYHGVSGLLHPEPLEPLTYVRKEQEKRIYANFQAYYALFMSLCFQGTSAITAYREVFAKSKKANISIWNYVRTSADPSLNVVLLEDTAAVTGVGIALCAISLSSFLNSPIPDCCGSIVIGALLGTVASFIIRTNAAHLVGRSLPQRITDDIVARLNNDPMIRSVHDVKATCLGVEQSRFKAELDFDGRMITRAYLQEAVHLPALLNEVKKIGNEDELVVFMENHGEKVIDRLGDEIDRIEGEITKKHPDIRHVDLEAL >CRE25481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1009308:1011684:-1 gene:WBGene00054895 transcript:CRE25481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25481 MSKLKDVRKLRFHSRRKMTIEKKNGNLRKFVFSEMPRNCYPLCAEKRDFMEHNPAQNGTDFYREYDPMVGIGTAAVLALFFFTITINGCIRCAVRKYKMHKFYKEIRKAENNQKPICDTV >CRE25194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1011838:1015740:1 gene:WBGene00054897 transcript:CRE25194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbo-1 MGQTTSQIPEHELEHLSIESGLSRGGILKLYSRFISLATHRDKTTNEYFLTKGDFQSIAELKQNPLGDRIIDAFFADAEVLERRKVYFKDFVKVLSHFRPINKNKPHPWNSREAKLRFAFTMYDLNKSGTITKDEFQDILEMMIGANVPKEQVNSIADRTMREADRDGDGYITFQEFCNAMEKTDIEQKMSFRFLT >CRE02525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:119058:121713:-1 gene:WBGene00054898 transcript:CRE02525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02525 MLVPHYGTNSSRNSSCSSRRARRSNSSKNAGGTRNRSTRSTSSTESVTKHQVPWTNLGPAKTIFTKRASKTAQIIKDIQLILTDPQQPEIISVLRTSYNKLNEQLIKLEKSDSEAISLVMKHPALCSSAEIRTNNVLELCDHINELNYPTLITRCQAILQYIEVMLKQLVGNQPSLTEKHYELSSTNNTGCHPFPSSFEPNGPILTKPKSSEPHHKVYMSDSTPPTLPNSNYNANPAITFDAMEAMLQRFGETIKAELTQTVNIAVKRVDKRVDKYAETQSLLIQTVQAMRTNLEIVQDQLEQQQQLREEHSLQTTDPQSTRETHQLCPTSSHNGNKSKDDATLSNKAPSPESPSPLIQSPSPTHCSPISTQASYHTDLTTIFNTLKPFSGDTDHYSLFITRFNSLVHSNPSINTILKQNILISLLEGDSKDLITSDELSESAYEDLRANLERVYNRKTDRRKQLIENYRNLPFHQTDYIQMDKDVMKHVCLTNSLQKCQVAVNDPFLIDTFVDKLPARIMRSFIKMTRHSTPSFLEAANLVQTLISENRAVDEAEQRKKNRTQVNEICMADINKLTKGQPHRHYGNQNNQFDSNRNNAQTEGTPKLSKWKSAPCTFCHQDHPTNTCTMKIKDKREAIIKQNRCLNCFRRDHTVTKCPSSYTCTTCRNKHHSSICPEREKVDTSVNCLTTNDNTKQFFRSNGIDI >CRE25195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1024100:1041855:1 gene:WBGene00054899 transcript:CRE25195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-805 description:CRE-LET-805 protein [Source:UniProtKB/TrEMBL;Acc:E3LRU3] MGLRSRGLLLLSLGIWYLQLFTVASVALNSNVRVKRQSGTDSLLTVEWEGIQTGDHADSEVKGFLVEYRPERSGEWQLHPGIIPYKGPNHQYRVQIPKLPTGISYLVRIKVIGENNEVLVETPEIRAHNEIVSIKCENDDLTAPRYPVVTEVAQYSLAIKWDVPDCGSVGDYQVELTGVSAPFDIHRQTVTQPHVSVTNLLPGTFYSVKVRAVDRSRNVGPWNSEVLEAKTKGDALPISNNIQLLYRTDSELRVSWQPFMDPRLQHYEVRNQLLNASQISIIQVTAVEVDDDSRRVERRRVDPALNSFALTGLRPSTKYTIGVIAFVDHEPKQVYQIEAYTTSGGIESWEEKPQVVDQGKQQFAVHWKLPATSITVSKFILEYRLPNETTWRTSEQKGVAEGSGDYSVALSQMTAPYYTVRIVAIDDQNKVVARTEELTVGEAAESSCIGAAGVPTNIRSTDIDSQSIKLEWDVPGCDETHAPIDGYEYLVYEASQGAPADGASYVGAPHVIIKGLRQNVDYTFKVRSRSVNGHSQWSKDVVIETAIAGSVSSGVLGEFNQLLRVKRQAKHLIKDPLNIFKLRIVLSPPCSYLVWTPLTQHSQIISKFKLMYKEVSSSKWIKLVGGPDHFQCPPGIADPEDYCYQLKGLFFGVHYISSISYQLTNGEDLPSSNPLHFTLVQLDGSASPNTQGVTITQPRIEQSASRSVAYWSVVGDRSTVTEYQVDIRSTDERSWRAVEPSVQNEQSQTHYRLPLGQLNVANTYLVRVRALDSSKSTVATSPSASFSVLCQVPSAPENVRLERVSDQNVRVSWESSQESGDCQSYFFITGQQNGQPINQRVPGSDRSVDIAGSSQGDWRVQVRGVNTAGSGTPSRDAIFSSTAQQSTRQKRSVCDPRTDFWCQGQFSNSPLRETDSGEALVSTPRVLAQDNDLIVKWKSEGEGRGVYGYHVQFRSENSGWKTYGQLVPYVGDNMEYTQTLTGLERGNAYFIHVQVLDRNSYVMYTSAQSNAKSSCSKPTHTPSHLQVAAPDASHVRVSWALPPQSTWGCSDIQFEIQPEEPRGQPAVVLGSHQTSHIFNSAPNQLWSVKIRAINSAGHSAWTPASQAKTPPGGELIIGPDVNYRQGKPIISWRSKEHTNDLIESFLLEWKPATEQDWRQHRNPIPYTGWQRPYSVDLGELPQGQTYQVRIVAKDPNRGTAYTSSVVQVQTQSQCKAPRRAPADVQVSPLGPTQIRVQWAPLHESEWNCDRLWYIVKYSTPQNQGFKNLTNGENFVVFDSDPYTQWNFEVQAANPAGESQFSRAQSAQTQGVAPGAVANLRVQPIGPDSLQCSWQPPINPNGRITQYEVTYQLISRGNCDNNQEAPRTITVNGPHFTITGLHPHSKYRVGVAAKSNVGAGERVSLEIQTDQSAPSGAPLYLRTDDIRPTDVSISWQAPPCLQTNGEITEYEYEVTAGDRRQTVQKTTENIRGTRARIENLQPQTRYNVKVRAYTARGPGPWSTEVPFQTSAGQQNVQAPGFVKVLHTGADNAQLVWQSPYPNPGYVDKYKCRYAPTGTQQYQERQFPAVSPCQQRQIERQQLPQSPPGARLHCGRIENLKPEQTYDFQVSAHVKDSGWGPYSPPERTLVTDSAVQVLFVRKIGGSENSLHINWDVRPDDKNRVTAFKIVVVPQDGSQRAQTFNVDRATYQYRIDNLRPRTTYNVTVSAATHKEMCGGTAAVMTTDAAALTALSIAPRVIAEEPTSITIEWESRNREAGGFIVEYRLEGGAWQQYARRIPAHPSQTTYTATVDGLPTNAVVDLRVRVVSQQNEQSNPSPEVRARTKCSPPTSPSQGVRVDAPSTNEVRVSWARPAKNTWMCDQMNVEISYRVGNQPEKVLTVPGDQTEYTFPAEPNQRWVVKLRATNQVGSSQWSPEQSITTRQGAPGSVRDLRVKALSPNEVHVQWLAPLVQRGTIVGYDISYRLKHRLACPEEEPRDVSRDFVTVYNHKDLDYTITGLLPYSLYEVRVRARTTELGPEETKEVSTEQQPPSSPPLNLESTYALERSLSFQWEPVDCSQRHGHIVNYEYEILGQDDWAKLERQIANTSDLRVTIDGLTPYTKYVMRVKAYNSIGGGPNTENLVVMTAKAQAPLPPQDLVVAQEGTDFFMVSWLPPYPPYGPHDAYKIRYQQIPSENWVENEKGVKDPLLKCPGESPRFCYNATGLESGQQFKVQVATRIEGGSYGPWSSLVIANTLQVLPDAPRAIHLIEKTDHSLHIRWIPPIDPKGYVIQYRVSIVSLDDVNDKKRTHIVNHPTLTYLFEDLNPETSYNISISAGTKQGFGREIWTRYTTDPFNIPVVGTMPTVTPDGANALDVQWNAVLDPKNRVKGYIIEIRNADTPVWQEIGGVINHDAVKSTYFKKLTGLDSDTLYVIRIKVVDHRQRVGVPSPEAQARTGCAAPLSPPTNLNLASPSNVQVRVSWQAPNQNSWKCSAIRYKLEYINGTQPRKQIDLGSSSIEHLFDSKSNTKWIVRARTENDAGSSEWSKELEITTGEGAPGAVEDLTAKPKGPTSVVVRWKPPRDPNGVITGYTLTYKLKSIGECGPRSAAPIEKHVRNEEQTLDGLLPDSTYEIHVVAHTSHAGPQSSVVTVTTEEAAPSGPPQNVRVGSITSSRADVTWAQPECEQRNGKITDYEYELWSMDTWADNSTGHNPTERLNLDQLIPYTQYQIRVRAINKEGEGPFSEWVPFTTQATNPPAPSDLQEEATFPHAIEISWLPPTPPHGNIDFYKVRYTPTGEANYREIRVETDRLECSDSNKKDRLCYRLSDLDPEQEYDIQVSAHTEGGGWSEWSDELTSRTQQQNIPVLERELEVTDKTSNSISLKWEGLPQDQATHVVGYVLEFKSEDDTAEWQEYNGVVKHRKSTSDYKITVKQLETATLYFFRLRVVGKNDKRGQPGPETKETTSCGKPEAPPESLKLESLDFETLKITWTPPDESTWRCDNVEYLIDFVNTTSRGNWTVSTDAPSELIVPTQPGTKWDVKIRTQTVEDNGKPQVSKWSDKVSITTQSLPGEIFVTVEPKGPREALVTWELPDKDQKWNYGVDITYKLKQLGGCNEVQTGAKEPVTLLNVQEKQIPLENLQPGSLYEVTVTPRRPPSLHSSIVTPKTVRTFRTKNDVPTGPPQNLQSTVRKDSELGFKWDAPECIQQNGNITQYEFELVGLDEWNEGTREGVTPRQNTLIDQLQPGSLYRIKVRAYTAEGPGPWSDSLEIRTTGSELGPPRELTAVQTKATQIQLTWLPPYPEKAIVTAYRIRYSPRADDSNPTEVELSGDELTCSGYKSPIITSANLCATIKGLQPSTTYRFAVQGQSSSGNWGEWSSDYFSTTRNDDNELLGGSLKLLSAGHDNLKVKWTPPAVIGEKIDKYDLFISVASVLDQNPKKFDVDGKTTDYHFRHLDSVTQYNVTVQGTSEGNKLWFISSVFSTTDFAEGLLSWLPAPTDLHLIEKSDTMLHVDWVPPEIFDPEQRELITHHRVTIAPFDPTTGKTGPSKNYTVPYPGNSIKFEGLRPETIYNITVQAGTNSGYGHILWGTYSTLAPGQRHILRLLNRTPTTLNVAWEPVWGRSHSGYTLTARTLYSVYGNVRLQQIKSFDVDASETEFVIRGLHPSTVYNVTLTPKDHNEVAWGAYATLPPGWFVVKNLKQCDKTDFAVSMSWEPVELNMASDYQVRYLRLKEHDGIWTEEEARPAKELLCPKDGCGRLCYLVFNLPHNPSEYVFQVRAKVDGEWNHWKSAPKKMTSSEPLNIRRSCCIVPPPYFVDNIGAPETFWEVDVNPAATENNVTRYYVVVDERDPPGDTNWTELTDKVTANKMKIPYYVAASFNLETLPEPRKVRIGDGTVIGGYLNYPLVKGKKYNYEVYTIWNVTGAPLVGRLRASPYITSSWPWWWLLLPLLLALLVTLLCCCIPWCLHRRFRSKKDTSRLVTNGERATLLEEQKVGMNENMRQLENRLDKMRGAVDGRARGDFEDGYMKGYKDANKLGSAHAARRRLDDEFGARDDRFHEGYVKGLKDAGMTGMTTSMHNLAQRTGGGYSAGFAQGYKDGNSGIFGDRVTPSLISRLDEQYAGQEDFKQGYVDGFKEGASSRTGDRSRFEDSRRLQQSLTELTERLTSLEKTKGDEIHSTKIYHVYNQQPEGATMTSTGAQLAQELEEIGSTSRRSTLRRHYTPGDYLKQDAEEGYNSLSRNRRSLSASALAANREAAHQSSSYLQTGGTLSRSRHHMSHAGSSYLSRAAQDAQIGTDTYAKRYNYRSRSDVGSPRRYASQTLLDGSRPGPSTPHARRDALHTLTKELDSLSRSPDVRGATPRGTTAAGYGSDTNAYDTVRSRARGYSNYDYDTFQSSRNVTQTQATSGATQTSGATGASSSSATQQDKSGKWADQLIDLVSEPLDTTIARINNYTSSSNDRGQGDVVEEKYHRSYKEEHSSR >CRE25482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1042418:1043948:-1 gene:WBGene00054901 transcript:CRE25482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25482 MTSAYFGVAGGGNAGAQSAYFGVGGGPAGGGGAPKMGGGAAPPPGTSCYLGAGGAGGGGGGGAQSAYFSVTPTGAGAAPVGAAPMAPPPAAGGGASTMTALGVAPSGASTMTAVGGAPSGASTMTAVGGAPRGASTMTAVGGAPMGGGQSTMTAVGGAPSGASTMTAVGGAPSGASTMTAIGGAPRGASTMTAVGGAPMGGGQSTMTAVGGAPMGGGQSTMTAVGGAPMGGTSTMTAIGGAPSGASTMTAVGGAPSGASTMTAVGGAPRGASTMTAVGGAPMGGGASTMTAVGGAPTGASTMTAVGGAPKGASTMTAVGVAPGGGSSTMTAVGVAAPGGGASALGAAPPAPAGSMGGGGGGATSGYFGVGQGVMGGGGAAGQSAYFGVGGGPAGGGGGAKSAGGGGGIPGQSVYMGAGGGGGGGGGGATSAYFAPK >CRE25483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1046087:1049840:-1 gene:WBGene00054903 transcript:CRE25483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25483 MTKWSTNGQRLTILLPTLPNIYLILSLSTLAHTAPHRTEMETYSTALSSEETTDTFDSNEAFRFVKEVLEVDQTGKVDSDTMNAALKPRCAHSDIQKKASRSRRFTISKRAKWNERHFTSHNSITLKWFISEYSNDMDRVETRRVVKKAFELWSSQSHIKNEKKIILNFAEALTKEDADINILWAEGDHGDEYPFDGADGKIEGNKKENVLAHTFFPGYNFPLNGDIHFDDAESWETDLDEVGGPGNRKRFFPYVLAHEIGHALGLDHSQKPDALMHPYYKNVPINEIQLDVDDKCGIIWNFGGSSNYCLYIWLMSQIVEAHNSPSQNNPGVGSITSTRSGKRTLKHTKIPKCSSTNSSLRMNFERKIAVGLQLNDDDARHYTDIICNFLAGLHIWRAGPNFHSSETLEKEYKGVTQEMASFGGKAVSVRRLIRHAEHQQESKKKGPLDPDYFDDDFFENFFMEYTV >CRE25484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1052999:1053814:-1 gene:WBGene00054904 transcript:CRE25484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25484 MDYDSKKLEEARKQTIRWEAWKREEVEARQRGLEFKMYWEKRHKEDRDAWRLKDFANAIDKMSRAGYKGKHGDFEVPSERLEELNALYMQATVGDYDGNTALKCSQYWKKHSGKTQIEAIREYIKLTNKVLTKYGWNPPEGWV >CRE25485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1054520:1055704:-1 gene:WBGene00054905 transcript:CRE25485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25485 MAFRKRRHDSDGDSDEEKLPITKDDDDDLKAEPAKKSKNIWSDMLLEDQLLEKGSKINLDRSSRKQPNVSRGPESYLMPQEEFNRKNMKKGQPKKQDMDNPVVFVTPASDDLFGDAPSALDEGFGVKKNEVKAPVQEEEAGWWGKKGANKRFCDRMAQQDRQPKPVYVQKKTSQLMAEEFSLETMLAAKFEEDITLEELGNQIADAMGEKDPDTVKKIVNAIGREVSLKLFSDTKEVEQNGGMKITDGSRRRTPGGVFITLFKMDSAVSREVKNSIFDNMRNADKQRSKNKKKAQNFTKQLEDVKKTMELVAQAENDIANEELGIVDDVPFNDDVVDMI >CRE25486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1055863:1056994:-1 gene:WBGene00054906 transcript:CRE25486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppfr-4 description:CRE-PPFR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LRU8] MSDLSDDEVSLQALFDPVKKLVCDIEDGVFSTSDLQPRLKTGIESLQLVTKQVNQLRLFSSNEQIEDVPTNSLPYLMVPCFLGIFHQNLMVDPSLKLEELRKAKVYLRNFLNRLRDMCLIKTRLPWEDEDLESKDLLREKPKMAVEEIRRLKLERHKKKQELKMTELRIKKQLEAVSIDEQNLRELYITQLLFWSERSNEELQAIDHELPLLKMMADRAAHPNRNTSIPEPLKTVPALKPFIITRDAQQKQVFGLGYPGIPAMSVDEWYHQKFGCNPQNVPTSSAPQTDQQIESEEEDDDEARAKGMRWDEYKDDHRRGWGNTHNKG >CRE25487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1057573:1057889:-1 gene:WBGene00054907 transcript:CRE25487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25487 MRIAIILVVIFFSFALSCQNFDKYMNMFCKYGQEATPCTVENYAALKASCCAMKGNCAYNDFPKDRVCCFTDDCLKRCFPGKLYKNGQVY >CRE25488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1058206:1061570:-1 gene:WBGene00054908 transcript:CRE25488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25488 MGSRKFGENVPDICESISSEEEHSNKAEFVSWMRNDEIGMNAILDGPFGARKVIYCDYTASARSFHSIENYIQEEVLPFYGNTHSSVTVTAEQTTLFMHEARQEIRAFSGCGDQDSVIFTGSGSTCAVELLVHLMQSDEEKDEIVVVHSIQEHHSNLLPWRKIATELRCVDELENGQVDLNHLQNILKEVRKEHGNIKVVGTFCACSNLTGVLTNIQNVTKILKSYDALSIWDFASAAPYVPISVNGETPLDAVFFSGHKFPGGVSSPGVLIVKKNMIRATKPKRIGGGTVFFVRKNVNNSNEWYLKEVEHREEGGTADAVGAVRLAMAVKMKRAVGEETIGKLDSAITKFVLQSFKSMDNLVLLGPVTSDNRLPVFSFLIRDPNSQLFYHHNYISVLLNDLFGIQTRAGCMCAGPYAQKLLGISEETSRRFVNAIQESPDLDRTHLRRQAEYSQQEFLRPGFTRISFPYFFSISQVEDIVAAVQFVAKHAADFIHLYQINCETGEWHHQNQRVFHGRRWIGHVEFTSNGLRTKPISTQIVPEMRECVEKAQESAQKYSETMNLSKIPDGRTAINNEFHGLRWFILPIEVIIIIIYVSCSMVNITEFQVAEVRSKMNVVFQECVIIPKIYSAVVTSNELTEPQLFCMKQSEEPSEDQSDCEVICDDVICLLKCDQNSTKQDNTENVGIDESEAEEVKQMEDWNKRIIVKRIENLSKESERQLEWHVPPLEMYKKTTDIIHQLKMIRNGDKVLVCLSGGKDSLSLLHILRHYQLRCNKARSTSFELGAITVDPGSAEYNPRPLIEYCRKLNIDYFYEEQDIIGAAKKTPGLRSICAFCSRMKRGRLAAAAQYHNWNVLAMGQHLDDLAESFFIAAFQNGNLSTMKAQYTTKDGTLRVIRPLVMVREKALRNFAEDKKLPVVAENCPACFNQATERHRIKQLLAQQELIFPDLFNSLKSALKPLLLVDSAHTSEMRDQAVKNIVGAS >CRE25489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1062002:1063484:-1 gene:WBGene00054909 transcript:CRE25489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-19 description:CRE-MDT-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LRV1] MNEPTTSSEPPNGPPTNTVPEMKEKMFYAMGKPLPDYSEIQGNHDLLTSYGLGPVEGGFNGTRRVKEKLSAFLPHIIGEFHLDATKEASSLKALLDKPPIHKEITNLSNSAMQGFKLSAGPVDERYRHLFERRKEDGMLVHSEKYNLIRVRQQYDSYGFDDDETEKGFPKKHKKKKKDKKRKKDKEGSSDTSSDKKKKVDEQMEF >CRE25196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1064064:1066758:1 gene:WBGene00054910 transcript:CRE25196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apb-1 description:AP complex subunit beta [Source:UniProtKB/TrEMBL;Acc:E3LRV2] MTDSKYFTTTKKGEIFELKNELNSDKKEKKKEAVKKVIASMTVGKDVSALFPDVVNCMQTDNVELKKLVYLYLMNYAKSQPDLAIMAVNTFVKDCEDPNPLIRALAVRTMGCIRVEKITEYLCDPLRRCMKDEDPYVRKTAAVCVAKLHDMNPTLVKDQGFVELLNDLLSDANPMVVANAVAALTEMNEQQTVIEVNSQMVNKLLTALNECTEWGQVFILDALAGYTPRDERETQNICERISPRLAHANAAVVLSTVKVLMKLIDMMPADSDFITQLTKKLAPPMVTLLSAEPEIQYVALRNINLIVQKRPDILKQEMKVFFVKYNDPIYVKMEKLDIMIRLAQQNNISQVLSELKEYATEVDVDFVRKSVRAIGRCAIKVETSSERCVQTLLELIQTKVNYVVQEAVVVIKDIFRKYPNRYESIISALCENLDTLDEPEARASMIWIIGEYAERIDNADELLESFVEGFHDENTQVQLQLLTAVVKLFLKRPGVAQTLVQRVLSLATQDSDNPDLRDRGFIYWRLLSADPAAAKNVVLTEKPLISEETDLLEPSLLEQLVCHIGTLASVYHKPPSAFIDPAKAPLRQINPIPTAKFGSSLLDTSSATSASRNGSSAPTVIPSQDQVIADLLSLDLNAPATSANTGGFGGAMTGTSSMGGLDDLLGLGGDSLPAATTNVSSNNPFDSLAGLGMPSSVPAAQQPASIGGLAEIFGSGSFGGSTGVTYPKEMWLDASRAMGMQVEGTFVRRNGKIFMEMTITNKAMQAISGFALQFNKNSFGLIPVEQLNPSPLLPNQSQNVSFQFIFSSDNLFFI >CRE25490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1066867:1068611:-1 gene:WBGene00054912 transcript:CRE25490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-17 description:CRE-GPA-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LRV3] MGSLCSREENSEDAMVTMNGQGKNKTKKEGDIIHFFMLGIEGAGKTTIIRQLKCLCMQKPHNYQMYDDSWNLIDREKIFDNYELQGLKNVVRINLLTACASLIRKTRDRDEEYLRSSAAAAAILRRCSQLYEGEVDIYDHSNQIEDEYGAYIIEIVSDPQVFRWLEQPIGVAGLKIEDGTRYFLKDEGRIRNIFTDSYQLTNDDIVHVRKPTVSFKSYKFRIKQLRVEIHDMGGQKSELVKIPQFMRQFLTTSGHCFLLYVSSLAAFQEPDKDSKGRTVLDKSAAIFKLVLEMSGVDECTVMIFFNKQDRFEEICREMLQNDDGEGKRQIEKLLGVAPKLPKNQKEGGKKNKPENFDYLKDSIRNRFKEILKNNNDKKSYYMKYTQATDAQLMSTIFYAVENEIISAFFTQARYL >CRE25491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1069734:1070964:-1 gene:WBGene00054913 transcript:CRE25491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25491 MENRDKVLMDRKDRLLAKLEQRNEERKGLNEEGSSKSDGEQIEELMAEIKKDLDLKKVDAQKIDRLQEYLAFSTNNRQSKAIQNLLEDVRKHKLAQSSGPKFKGFSFSKSQTTVASKTVTETSTPIENTTTVSLPAVSENVIEGLTNENRSFSGEKGDDINLKNIENCRLQFNFEPSIVHIRNVRNSTLLFLRCDRSLLIHNCDNVHIYVAAQQVRIHTSQNLHLHVATRGAVILEDSTKVFMYPYRLRSVSGEFLETEDNREWQSPRDFNWLATTPSPNWEVVSEEKWTDEQIIA >CRE25492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1071166:1074405:-1 gene:WBGene00054914 transcript:CRE25492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25492 MADSTRVSEKELERREGFIRAGRRERHLIDPFTWSYPWKGAGAMLGISVAALYLQNRWNKKPYYYAIVPRLILVGAATAVGYAAGALREKHYQTRDAVIEHYMQLHPEDFDHFNDRSGRSFSQVLLPWYPRRTQYTRYD >CRE25493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1074436:1075232:-1 gene:WBGene00054915 transcript:CRE25493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25493 MPVQVEVPPTTYERLQQYKEKFSDALRHPDSPDWYKKETHESVKKDLLWAAPYDARFPQVRKQRQCFAYYVDFHRCNELMGQDYKPCKFFQNVYKDFCPGFWTERWDELLAEGRFPAKFDR >CRE25198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1075541:1076923:1 gene:WBGene00054916 transcript:CRE25198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25198 description:Serine/threonine-protein phosphatase 2A activator [Source:UniProtKB/TrEMBL;Acc:E3LRV8] MTENAFVAPEKMIKNVFDLNPWYFSKAYEEYLAFLHRLNDSVIGVHTTADLRCNELVIDFIEMLDGLEKWIDEIPLEEISEQRFGNKAFRHFYEKLCKEAPELLKNVLPSNTHDALIELVPYFTESFGNSTRIDYGSGHEANFLIFIFCLQKLGVFNDHDNQVLVLRIFNKYLRVCRHLQTKFKMEPAGSRGVHAIDDFQFAPFIFGSAQLIGSKSLVPDSYLKSTVVEANAHISLFLDAVNFINQTKTGPFHEHSNQLWNISAVPHWKKVNSGMFKMYEGEVLKKFPVVQHLMFGSLFSFERTEHIKESSEDISQISRDDSMPPVCQMPPRAPAQHGMFAFQIPAAANSSTVRSATVVESGDLRKLHTEKHPEERCPPPMPEP >CRE25494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1076991:1078025:-1 gene:WBGene00054917 transcript:CRE25494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25494 MSNIAPDQPIDDFLKKHPNKRIVFITSGGTQVPLEKNTVRFIDNFSMGTRGASSAEYFLRAGYAVIFMHREESLKPFSRHFPNIFNSLTLDESDETVVCKIPNIASILKEKVKYEEAILYIPFKTFDQYMTRLEQICIHLNPLGSRAMVYLAAAVSDFVVTELVSGNSKFKISSNRMNCSRRTRLRATPNFILPLVLHLKLLRKLSTVSFQKHSLFRSRYLEFEKTVISCIFQLETDESKLLPKAKAALSKYGHQLVIANMLSTRKHKVTLVRKDREEGEEINLPVDNTNEIEIESIIIDRVGALHQEFLNTNK >CRE25199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1079046:1081466:1 gene:WBGene00054918 transcript:CRE25199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25199 MENNQSNNGSSGNAALNKGGRYVPPHLRGGDGSSATSGGDERRGGSSGGFRRGGGNSGGYDRGYNDNRGGGSYGGGNRGSDDRGYNNSGGNRGYSNRGESNRGDSNRGDSSRGGDRGGYNRQERGDGGSNFNRGFNNRDSGYDNRGSGRSYNSDRRDNGGDSQNTRWNNLDAPSRNERGTSKWENRGPRDERTEQELFSGQLSGINFDKYEEIPVEATGDDVPQPIGLFSDLSLHEWIEDNIKTAGYDRPTPVQKYSIPALQSGRDLMSCAQTGSGKTAAFLVPLVNSILQDGPDAVHRSVTSSGGRKKQYPSALVLSPTRELSLQIYNESRKFAYRTPITSALLYGGRENYKDQIHKLRLGCHILIATPGRLIDVMDQGLIGLEGCRYLVLDEADRMLDMGFEPQIRQIVEFNRMPPKEERVTAMFSATFPKEIQLLAQDFLKPNYVFLAVGRVGSTSENIMQKIVWVEEDEKRSYLMDLLDATGDSSLTLVFVETKRGASDLAYYLSRQNYQVVTIHGDLKQFEREKHLDLFRTGTAPILVATAVAARGLDIPNVKHVINYDLPSDVDEYVHRIGRTGRVGNVGLATSFFNDKNRNIARELMDLIVEANQELPDWLEGMSGDMRSGGGYRGRGGRGNGQRFGGRDHRYQNGGGNNGGGSGGFGGGQRSGGGGGGFQSSGGRQQQTQRAQPQQDWWS >CRE25200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1082745:1084083:1 gene:WBGene00054919 transcript:CRE25200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swsn-3 MSSFRHPQATPARNFQDMSSRGPKVPDRPLQPYMRYSRKMWPKVRAENPDAQLWDIGKIVGKMWLETTDAERSIFQHEYELEKADYDKQMKNFQGNGMSNFMMSKSRAKNNEKMSRNRMDAGGVVIQPVDEDDGGNEMSTRRLAGVRYERNNRLVSDLFSPSIVTDTRTVVPHHRMDMLKRQAASLGTHQSKLEEELTKLERAHDNRKRAIEKGSDDFQEQLKKVVSEKPVVDEDKFEQTVKEWEEKLTAAYEEYKKNKTDSATQNANSAPLLRNLVMEETPKAGGRNKEQEETVQEKQVESNDSVPNESVETDNTETAEDKTPDSHNAEQKPTEDEKMEE >CRE25201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1084343:1086287:1 gene:WBGene00054920 transcript:CRE25201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25201 MLSHHECSNTDHSHNSHNRNRNKELVSFVTDTYTAVFFSPTWFRFLLSLHPNEAVRTYFCTIKDRHGNTKISLVSSKTKLHNMLYRLLFLYFSVVHAELEMVQVLVRHGDRAPSFTYPLDEPTFEVSKHFPRGYSQLTQRGFKQAKEVGTFLRSRYSGLVNQFNRKETLIRSSDKDRCIETAIGITQTLFPDDIVPVHTFSHYKHDLLLKPNSVHCRRVDELVKDDKKQLTTLVDSEHKDLFAFLSKKTGWNLDGSRISDVFNVLHRKYSNGVPQPDWVNLVLSNVTELKRQFRSIEFNSDEKSKMRTGYLLGQVTKDMNEQKESGRKLIVYATHDATVTSMMYSLGISDHQLVPYTAALIVELHSINQRKYVKILYRNSTLSNPREMRLPGCDILCPMEAYHRFVSDRIVANKEEHDVICQNHIFREAPQTKPFDISSLQQLLPEEDNLFSTLITVGSLSYFDLYSSLKMKH >CRE25203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1094593:1097828:1 gene:WBGene00054921 transcript:CRE25203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25203 MPLFSWRPRILGGRKTPEPTQAVQITIAPEPQEIPEAASSKPRNVRFDDVAKQRTNDNYMKERTPSDWTTNTYGGEARVIGTVRPEKNLTSSSGRIPPEEPTNDWRYADDVKVRIDPIDNQRQLESQTSRFVQPPSRATSVLQSLREQICRRKIWNTMKEDVKFPRCLAVIRVLAQKFMELFRDTILTSDSQTARHLDDMNVVFLQANDEVKRILLPRNLHSMHQVKMSFVRTFPNVNRAFVEQPHVKIYIQEPTKGALFYELEDPGDIKNKSVLRLREKTRVMSPVGYLDQPDYHSETEQDDGRRGMIPMLRPASAMAAPSVNYMHSNIVPKPAVYDIYSDPYNSDTSSHDSRSVTRSGSATPVIDRESRVRMETMERQLAGLSNLVHTALVSKGMSETTQRDMAELRREILSMHPDNARESSEEPASSLSDSISSHTAYQLSILRNKLKETHNELRQLKSSAQVNAQTGNTLLREAGDKIGRLVSERMRGGPVTTMTEVLNKGRDDSTLDKNAESQRNEHASRLADLLHSLTSFETNVESVRGCVLSNQKKLRMSEVEDMTEKLTNIGRLAATLKTDFPPIQMSIEQQIKKDMERVVREEKFIRDQNTAVDQSLRRCKALANIMVTMKKLAMVQDPTIQRRKKGVERTDSGAGTPTNGTPRLPPKPTVSQAIAMQQPVNQGYSSHSAPPTPPAHHFHSSSIPPSTPPAQQFQVSSVPPPPPPPPLPLQQSQLTQSLQLAASSSPVVNTPLNVVKVAPLYEYKESPKSPVSVLDNVLEEVGDPGSVPRPPSRFSVQDVRQKFSKPPELPDQLRNLIEDVARRASPGPDQMNDRRQNLEERQERLAEKQRQLRSQFQQLQQLAPLP >CRE25495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1097837:1098834:-1 gene:WBGene00054922 transcript:CRE25495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25495 MFWSRVQFAARRREDSRPLYRRIFTNRRLDIAHKVIVRSILGFLVFSTSYCVINAGIYYKFVRPIRQEEREILERELIEADKAGFAFKK >CRE25204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1099231:1100556:1 gene:WBGene00054923 transcript:CRE25204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rde-4 description:CRE-RDE-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LRW6] MSNISGLTFESVFCGSDTPLRPSHCDNQITQISKAAKSKDDLELFMRKTPLMILEEGAKGAYQQTPSWSCAEIPSTSGPEFEMTLTLRGKTVKARASSKKAGKQKAALEYLHQLINDGKRVEFFIPGDTDEEARANVNAIVERPQEDTKRGVSITAKEVDKSIPTVKEFPPNVDGGKNWVGALQEKCQKLKLEGPGYDDCKIESNSQFMVTCSMKNQKTRGIKPKIKHAKNLAAWLMLKSLEEGIEAVQNFDLSTEFEELEVDEHLASIRNEVFNTKDKKSALIDLLSDKARFSEYTLDFKLPTVNNFGIHQILLQIEICRPDTPDSDDLQMGAEHTQTPELMKAMAEKEQQRKKLPDPGTRVFSGHGTSEDEAVQSACKSALIHFHTYDFTD >CRE25205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1101289:1102941:1 gene:WBGene00054924 transcript:CRE25205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cts-1 description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:E3LRW7] MSLSGMALRRLITKGVIPVCQVAPLSTSADGSTNLKEVLSKKIPAHNAKVKSFRAEHGNTVVQSVNIDMSTLLMMSVSLQIYGGMRSMKGMVTETSVLDPEEGIRFRGYSIPECQKLLPKAKGGEEPLPEAIWWLLCTGDVPTEAQTAAITKEWNARADLPTHVVRMLDNFPDNLHPMAQFIAAIAALNNESKFAGAYARGVAKASYWEYAYEDSMDLLAKLPTVAAIIYRNLYRDGSAVSVIDPKKDWSANFSSMLGYDDPLFAELMRLYLVIHSDHEGGNVSAHTSHLVGSALSDPYLSFSAAMAGLAGPLHGLANQEVLVFLNKIVGEIGFNYTEEQLKEWVWKHLKSGQVVPGYGHAVLRKTDPRYECQREFALKHLPNDDLFKLVSTLYKITPGILLEQGKAKNPWPNVDSHSGVLLQYFGMTEMSFYTVLFGVSRALGCLSQLIWARGMGLPLERPKSHSTEGLIKLALAAKK >CRE25496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1103148:1104817:-1 gene:WBGene00054925 transcript:CRE25496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-18 MTVSYNQSVATSRPWTFLALIFRWRGSVWKAIWIQYSVWLGLYFLVSAIYRFILQDYQRLIFVKLVDYTSARLSYVPLDWILGFFIAGVLRRFWYLYDIIGFIDNIACSTATYIRGDSERAKQYRRNIIRYCELTQVLIFRDLSMKARKRFPTLDTVAAAGFMMPHEKANFDQIQYNYNKYFLPFNWAWALVYNARKEGLIEGDYYVTVISEDIKKFRTGLAWVCNYDWVPLPIIYPTIVCLAVHMYFFVCIMARQYVTGAENDPNMIDLVFPFMTSIQFVFYMGWLKVGEGLLNPWGEDDDDFETNMLIDRNLAMGLKIVDEGYDKTPRLEKDAFWDDAWVPLYSEASAHEKRYHQRQGSLAHIKLSRSVSQVRMVPRDGRRASVVKERIVNVKPEETNDSRGNGIGDILRPSSLLNLMKHAASSHSLERQQSPGSFRMDTLSPTSPTAETTGDKIDKKD >CRE25206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1105188:1105803:1 gene:WBGene00054926 transcript:CRE25206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-blos-1 MSQLTNMLKEHSKKQHLRKEIQEKLKNEAVVAAQTLSTAVVDHLNAKVAQAYGNQKRLDVEAKRFENNSAALAKQTEQWLFITEGLNYALKEIGDVENWSQTIENDMKIITETLRRAYGDSGHIQSSETLCFPEAKNLPAVSPSQTTGSSSGNPASQ >CRE25497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1105943:1106565:-1 gene:WBGene00054927 transcript:CRE25497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25497 MSAKPAGKTKPSCSEGGDLRVQTDNGETFITATQRPDGSWRKARRVKEGYIPQDEQPKYQNRMQLEASSVRSSVPVGMNPRGAGAVRKPVSAIKANVCITPQDHFQKKIDLTKKKLEDIEGMEARIASGELVPQPNQVKKIERKQEYLDEIEKLTQEMEKL >CRE25498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1107014:1112577:-1 gene:WBGene00054928 transcript:CRE25498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chc-1 description:Clathrin heavy chain [Source:UniProtKB/TrEMBL;Acc:E3LRX1] MAALPIKFHEHLQLPNAGIRVPNITFSNVTMESDKNIVVREMVSSKTVSEVYCLIQIGDQQQVVIIDMADTANPTRRPISADSVIMHPTAKILALKSGKTLQIFNIELKAKVKAHQNVEEVVYWKWISEKMIALVSDTAVYHWSIEGDAAPVKMFDRHQSLAGTQIINYRADAENKWLVLIGISAKDSRVVGSMQLYSTERKVSQPIEGHAASFVRFKVDGNPHPSNLFCFSVKTDNGGKLHIIEVGTPATGNTPFQKKNVDVPYTADTASDFPVSMQVSTKQGVIYLVTKQGYVHLYDVESGTRIYSNRISTDTVFVTCEYTATGGIMGINRKGQVLSVSIDEANLVPFVTNQLQNPDLALKLAVRCDLPGAEELFVRKFNLLFSNGQFGEAAKVAASAPQGILRTPATIQKFQQCPSTGAGPSPLLQYFGILLDQGKLNKYETLELCRPVLAQGRKELVNKWLNDQKLECCEELGDLIKPHDVNTALSVYLRGNVPHKVVQSFAETGQFDKIVMYAKRVGFQPDYLFQLRQILRNSNPDNGAKFAQLLVSESENGEPLADLSQVIIIDCFMEVQAVQPCTSFLLEVLKGDKPEEGHLQTRLLEMNLLAAPAVADAILANKMFSHYDRAAIGQLCEKAGLLQRALEHFTDLYDIKRTVVHTHLLKPDWLVGYFGSLSVEDSLECLKAMLTQNIRQNLQVVVQIASKYHEQLGADKLIEMFETHKSYEGLFYFLGSIVNFSQDPEVHFKYIQAATRTGQIKEVERICRESQCYDAERVKNFLKEAKLNDQLPLIIVCDRHNMVHDLVLYLYRNQLQKYIEVFVQKVNAARLPIVVGALLDVDCSEDAIKQLIINTRGKFDIDELVEEVEKRNRLKLLNHWLESRIQEGATDAATHNAMAKIYIDSNNNPERFLKENPYYDSKVVGKYCEKRDPHYAFLSYERGQCDAELINVCNENSLFKNLARYLVKRRDFTLWEQVLNEENVYRRQLIDQVVQTALSETQDPEDISVTVKAFMAADLPNELIELLEKIVLDNSAFSEHRNLQNLLILTAMRADRTRVMEYIQKLDNYDAPDIANIAITSELYEEAFAIFKKFDVNSSAINVLIENVNNLDRAYEFAEKCNQSDVWASLAKAQLKQDLVKEAVDSFIKADDPGAYMEVVNKCSQTEHWEDLVRYLQMARKKSRESYIETELVYALAKTGRLTELEEFIAGPNHAQIGQIGDRCFDNGMFDAAKILFNNVSNFAKLSVTLVRLGEYQGAVDAARKANSTKTWKQVCFSCVENGEFRLAQMCGLHIVVHADELEELINFYQDRGHFEELIALLEAALGLERAHMGMFTELAILYSKYKPEKMREHLELFWSRVNIPKVLRAAEQAHLWSELVFLYDKYEEYDNAALTMMQHPTESWREQHFKEVIAKVANVELYYKAMQFYLDFKPLLLNDLLAVLSPRLDHSRTVLFFNKLKQIPLVKPYLRQVQNLNNKAINEALNQLLIDEEDHAGLRSSIEAQDNFDNISLAQQLEKHPLVEFRRISAYLFKGNNRWKQSIELCKKDKLYKDAMEYAAESRNGELAEELLSFFLDEKLYDCFAASLYHCYDLLHPDVIMELAWKHKIMDYAMPYMIQVMRDYQTRLEKLERSEHERKEEKAEQQQNNGMTMEPQLMLTYGAPAPPMGYPGAPAGYGGQPGYPQPGQPGFNAPGFM >CRE25499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1113524:1114501:-1 gene:WBGene00054929 transcript:CRE25499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25499 MTGQLVETTLVTAILIVIIEEKVLYKMDKLQNYSLILSAGIMFRTCFILLTTLFLLSDACGSSGACGCCGCRAKAKARASKRVSGDVIQKFDDKDDGNIFGLKVWNDTKSPVTDKSIQLLTNPNHLFHICCEERQLPPACIQKCHFNVYNKEVLESMFFRTSECPIEFLPEMQFCAAQGKDHSQCCSQSDVDATSAGSKCLTFCDQRPDIYTPIDYSYAPCLDRFEDMKRCFYDNVKTDAVRHFKSRKSPQEKNVPY >CRE25207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1114676:1115628:1 gene:WBGene00054930 transcript:CRE25207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25207 MRFSLLIFSTFAVFTQGCSQSGGCCKSRTPPPVTCGQSQCQQGYGCGQYGCARRKAFGGLTRRIDGVLVNDEVHEQAELAEASSALRPHEVSKNVSIERLMNPNFIFRSCCEARGLPDSCLRHCHFNTYTFTTIEAMFNKVDKCPIEAINEIHYCAAQGIDHRECCNSNGISNTAAGQKCLSFCDQRPNRFTPIDASYLPCYEVFEGMKQCFYQEIRIRAQKKFKHSEKYDFALSLH >CRE25208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1116995:1118025:1 gene:WBGene00054933 transcript:CRE25208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25208 MNAAPIFVPDRLHIRHVILFLFLSNSKITEIEERMVEVYKDIAPQRQTISHWVHRLKNNDFSLAKEARSGRPVELDIDKIREMTGSSWQAKKMGRWIPHKLSNFDLERRVDMSLQLLTLHPNFNWLDHLVTSDEKWVLYENHHRRAQWVDADKQPEGVVKQELHRKKILLSVWWSVHGVLYWELLPEGKTITADYYSSQLQKVKSKLKTSPLHGPRVHYLHDNARPHTAKTTKSLLATFHWTVLAHSPYSPDLAPSDYHLFSNMHRSLEGQDFKTKSDVEKWVQKYFDSKQPVFWRKGIESLPTKWQTVVDKSGHCI >CRE25502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1120080:1124171:-1 gene:WBGene00054934 transcript:CRE25502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-epac-1 description:CRE-EPAC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRX7] MCHIQMERMVSRVRRLSPLHTFSDALLISLLSESDFQPDNIQQGVVLFEKDEPTEYWYLLLSGEVQLYSKNYVGDFKHLKTLRCGSLFGDLSTTTHSCSCLVSRPAQLIRISQNHFLSVYNFLEFQKHGDHLQQFIIIMHDILTDETPMDPIPTYSSGLFNGQRSNDLMTSEINPNEIVSVSTEGIPTKMILPSIPYRRDKVKNNRPVTQKTVEERNFIEFHNPTRIEKEIIESGGTLHRKMLTDNHQVIRDITTQHTRVQNCMIGAEMIDWLLTLFVSTSTTSSSLSRIQMSAIWQVLLNYGIIAHIDGEHQFMDKTNSYYRWVQPYRNRNKVAPTMEEVLKSISLLSSVAPETLFLMIVSKPGFERSPEELEVVYEELTFIKALSHLSTMVKRQLANFVKVEQFVHAGSVVFRQGEIGVYWYIVLKGAVEVNVNGKVVCVLREGDDFGKLALVNDLPRAATIVTYEDDSIFLVVDKHNFNQILHQVEANTVRLKDYGEDVLVLEKVDIPRGAALENSSFSSLNCGYSVMAGKAEKILEYVLETRIDALSDDISELDLFVEDFILTHDAFMPDNTVCNFLKTYYFRTPYRATRDSISDSCTEEVRCKRRVVQFVYIWCRMLKINFFLNPVTNSFVEELFCHVIDDRKRLGGMEEIMTRIGAIRTSRENMQLVLARHPAIVLDCGVLSAHTPCPILPSDVCNQIIYLADTTCFVLPIRVDKTAEEICELSRRRMSFSAEPLNLVEVKSNGEKLIFSPNDRAIPTVLSLNSKLYVVNREEIPLLVPMEDQNGPTPSSHSSILHLIDSQELAHQLFLFHLQLLRATDSNELLYQVIGRESFPLSMPFNLDLLVRRFNEVQHWSTTEILLASEENRVEILKKFIAIATIAREYRDLLTVFAITLGLSHTSVSRLTLTWSKLPPITLKTFSELEHLLDPTRNHRMYRLMVSKMASPYIPFVPLILKDLMFIHQGNKSFYNGLVNFEKMHMFAKIFRNFRQCKSQMNDSSDHEYVEPQSLIRNLRVIDNQKKLMQISYEIEPKTTRRNIGFH >CRE25209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1126091:1128104:1 gene:WBGene00054935 transcript:CRE25209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-47 description:CRE-UNC-47 protein [Source:UniProtKB/TrEMBL;Acc:E3LRX8] MASNRFQNLQNWTNKHVFSNSLDYWNQELHDVPSYQNQSATGGEGSNPPDRLETIEESSVTEQPQPEDTPKQELAEDDGHGASSEPISALQAAWNVTNAIQGMFIVGLPIAVKIGGWWSVGAMIAVAYICYWTGVLLIECLYEDGVKKRKTYREIADFYKPGFGKWVLAAQLTELLSTCIIYLVLAADLLQSCFPSVDKPGWMMIVSASLLTCSFLDDLQIVSRLSFFNAISHLIVNLIMLIYCLSFVSQWSFSSITFALNINTLPTIVGMVVFGYTSHIFLPNLEGNMKNPSEFNMMLKWSHIAAAVFKVVFGMLGFLTFGELTQQEISNSLPNQSFKILVNLILVVKALLSYPLPFYAAVQLLKNNLFLGYPQTPFTSCYSPDKSLREWAVTLRIILVLFTLFVALSVPYLVELMGLVGNITGTMLSFIWPALFHLHIKQKGLNNFDKRFDQAIITMGCIVCISGVYFSSMELLRAINSADS >CRE25503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1128765:1148480:-1 gene:WBGene00054936 transcript:CRE25503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mua-3 description:CRE-MUA-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LRX9] MQAGTSIFLKLLLFLIFGSVYCSNSSSVCIAREEFQCRIDGLCISMKKWQDGVDDCYDGSDEVCLPWQFDCQFGSPRCISKNKLNDKTIDCYSGFDEGCPAHYFVCRDRSACIQPSKYLNGFADCVDKSDEPCAQNQFQCADGTKCIPKSQFQDGKEDCDDGSDEECTTSQFACQCGRVRCVSDKFIMDGNWDCEDGSDEFINKSIAANCTRNNKVNIATNSLSLGKLKFCSGKNPCKPELGQVCVIIGGTWRCVCKLGTFRPLGSEKCIPIELLTRYRNAPSSNCSITLEEQFGLLQGFQNKDFSKTQLERWNNIRRAPTRTLSGTTPGGSDGFLESGTERIPFMFQEDKNYVSVIPDEIIDSYGTIMTPPEFHFNRDDIQCGNKTCGLHERCQRNTEGKYECECREGFVMFSGNCHELVNECLQKKHDCHPDARCVDALIGYECLCREGYLDTSIEPKGRPGRKCRKLINECTNASLNDCSQNARCLDKPIGYTCRCQDDYVDVSSQGARKPGRNCTQAINECALNLHNCDPHAICQDQPIGYSCRCPFGFIDASQGLLEPGRKCVLAEESVSNSTKTTQCKKDTSGETICNCLPGYRNVGSKTHLDCQLEKRANPCQDYSLHDCDPVAECFSEQPGYFQCQCPKGFTDASSDKRFPGRKCVRAVDECALGRHTCDPHADCIDTHQGYTCKCRSGWSDMSLDPLRSPGRSCKKADMCSNIDCAAEAECRETPIGPMCQCVSGYVDVSRQHGRPAGRVCRAVVNECAEGRHDCSAHATCIDTADGFTCRCKDSYRDESPDTLKHPGKVCVRTVIPDPPECDVSDPMSCDPGKREVCIFVENTYKCRCANGYSRLPDGRCVVINECSEPRLNSCGKNAECIDLAEGYTCQCRSGYADISPVSQPGRICRARVNECSNKEKYNVDCSENAICVDTEHSYSCRCRPGFADVSASFNKLPGRRCIEAVNECASPSLNDCSKNAFCEDAKEGYICSCRPGYVDNSPNAARHPGRICTKPVEQIKTELKDTSFSTDDGCDPKNPKCGSNEACVNRHGQHTCECVETAFRYTDGSCRVYSACSKRNTCDRNAICLNRFDSYTCQCRQGYIDLSVDLANAPGRICKELINECASSDNECSPYARCIDATNGYACQCLDGYIDVSSRYNKPPGRHCTNSNNECSEKSLNTCDENADCVDTPDGYTCQCYSGFVDVSSNANLPPGRVCTVQTTCPKQKTDLVFLIDGSGSIGSYVFKNEVLRFVSEFVELFEIGRSKTRVGLIQYSDQIRHEFDLDQYGDRSSLLKGISETQYLTGLTRTGAAIQHMVQEGFSERRGARPQQSDIARVAIILTDGRSQDNVTGPADSARKLSINTFAIGVTDHVLASELESIAGSPNRWFYVDKFKDLDTRLRSMIQKAACPSPTKQETPSDDVCNPRTQTGCDRSLNEHCAVESGRPRCVCPEGFTRHPLTRVCGGDLCNPQLITSCIFPEECQITPYKNFRCACPEGYNRDYRSGFCVSIKEVHISPQHDANCHNGGVRCSENERCANDGSDWFCECLPGFERIRNGQCAYPGSCNPNDPMSCDVRKRQQCLPHGNIYTCQCGRNEKRHPITDICLKNECLTGDHDCDRSARCIDTDESYICACLSGFIDHSPNPTERPGRVCVAQQNECLDGSNRYHTFPSPVFPSAPLQRDAHKIFMVLIFLVCEPNRKTLEKKSDIDDLPEKRNLEEKESNSEKIIPYYSRCSPNALCTDTEEGYVCRCKTGFVDYSPNPQTFPGMVCKELVNECANPRLNQCDRNAHCIDTIEGYSCICKSGFIDMDEFGNPGRRCEQIKTNDKCSVGKNDCDRNARCIQIGDDDYSCACPPGFKDKSPSSSRPGRLCIPVIPECDNPTLNDCDSPDRAVCTDTDDGYMCRCRQGFLDISPSISVKPGRLCKPLQNECALGTDDCARDGGICEDTPDSFTCRCAMNYLDVSFDRVTRPGRKCKRLINECQTGQNDCSEEATCTDTEDSYICACPQSHIDLSPDTINRPGRRCLLRINECTSNRHDCSPNADCIDTPESYKCRCRDDFVDESPDSSRRPGRICRPALLDECRAGKHDCHVNAVCQDLPQGYTCQCSPDFLDVSPHRSTHPGRLCQPRPTPPPPECRLDGSNQCKVHLNEVCRMIGGEPKCSCPVNYQRDSSGSCSVINECLFAQLNDCHTAADCIDQVQGYTCQCKDGFKDIGDRRRPGRMCKPMVNECQYPHLNDCHQHASCTDLDEGYECKCNQGFMDHSHGRPGRICKQLINECTRPNLNSCDRNARCIDKEEGYECECRDGFIDVSPSSTLKGRACRELVNECANPRLNDCDKNARCKDTMDSYECDCPVNSKDISPSPSFPGRVCLMFINECESGVHDCDPSATCRDNEQSFTCECPNGFVDRSPNKLARPGRVCVKLVDECREGRHTCSSHADCRDLEEGYTCECRDGYVDRSPNLASQPGRVCSAPEVCPPNHDCSSAAVCEPLGGMKYQCVCIQGYVDQSPGSQKGRVCVRINSDMFSDNACHDPRLNTCSRNAICYDEPRGYRCECKRGFMDRSPDSSQRGRVCEPPPPPSPPPRHPCQDPERNDCHPAGTCRATGAQSYTCECLAGYADRSPNPLQKPGRLCVLTEPICLDPEQNDCHAAAICSEVNGPEKYTCKCRDGYIDESPDPLRRPGRICKGMVNECLDRSLNDCHSLAVCKDLPNGYTCQCPINAKDQSPDPRKPGRLCSLAVNECANPSLNSCSAFADCFDEENGYRCRCRQGYHDDDPSHPGHRCSFSKCSPFLKLINKLIYSVINECDSSNLNDCDRNANCIDTAGGYNCVCKAPYRDEGPPQSPGRICRLNECLDPNRNTCDRNADCRDLDYGYTCTCRHGFYDQSPNPQEPGRICIEFQQEEHIERVKVTKVQSEEPRREFPCGRDDCIKARGEVCISGEYCGCKPGEGRSASTGKCQAVQETPFELRVVTRDQRPLMYSTEFGSQKSPSYVEIVELFEKNMARTFGGTSLAPRYVNTKVDYITHPKTKNSSWDQGLLFKYEVQTTKSQSQPIDECELWKQMQASLDRTNGAIGGGSLRVASDTDLLNPCKQQEEWGQCGGMSCKEHLKEVCIAGHICGCPDGMKRKDSNSECRVVESWNVPLWVVRDKEKPIIFSESFDNPQTPVYKDYSKRLEKGIEGCYPHTELRNAFVTAEVNDIVNPVLMNASYDTGLLFNTTVHFRKGMVQVPSDAYYQLVKYITKENNNEVGDSELYLNPTQPDPFNPCFKNDCDPHGKCMETSKYTYKCECGVGYRDINPQTPGKKCLPVHGFNECERKEDNECSENARCIDLDHLYKCECLPSYYDTSPAGSVPGSLCVLDYCSDVNFCPTNTTCKNMEQQAECKCDPGFTDIRKSEKRTALMLGDDTLCMHVRDVDECALGLNNCSGVAHCIDKAVGYTCKCPDGYIDGNPDEPGRVCGALLCDLCNAHGDCVHNTATNNITCVCTDGWSGAQCQVAPSNASLVLLILLALLFLLLTLCCLLYMCTKCHCFKGRGFAGAGANGFGYRRGGAWPWSTLEGSSSSESGAGEFSGMSAAGNEYYPDMGIPRAKLKSGMMASGNTTETRNMEVARLDQYLDENAVRIPRAHLVDVHGEHSFDSMSEASSEYTIKEEIERKVTTDVTTKEIKTTTTTDEQGNTVVTTTEAVHPRDTTVIHGGAFMQNESSSSSFSGGERMYQSQSSQSQQQQQSMAQSLAQRATSAGYSSSGMDSSAHNSGYASIRHTGERERGDSVEEFSIGRARGMTASRGYEHTSSENREVEEYCSEEEDVEHSVGDKRTVVTKNHSFEPFANGESEKFKTEVVTSQTSTHVTKK >CRE25504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1150049:1153238:-1 gene:WBGene00054938 transcript:CRE25504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nac-3 description:CRE-NAC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LRY0] MSLSLHLSGWPENSESRQRMLESSSSTNFVQHFVSREVSNKERLLCLFRRLRNGLVLVLTPLFFGQMLNWEGPEWKCAYCVCIIAIYWMSEVMPLAVTAMLPVVLFPLVGVLDANTTAKEYMNDTNFLFIGGLIMAAAVEKCDLHERVALSVLRCVGSEPKWIMLGFMTVTALLSSFISNTATTAMMVPIGQSVVQQLISSFQHHPTNGERGRVGCKKMATGLVLSICFAANIGGTGTATGTPSNLVMLGQLNALFPKVDGSLNYVTWIFFAYPLMLLCLGVAWATLVWFFLRDAPEKDEAVTEMLKTRYNELPRMTYAEKSVFVCFCILLSLWIFRNPGIVPGFGVFFKKGAYTDATSAMIVAFLLFILPSEKPDLATYIKKEDLKKKGCLMDWKTMQETFPWSVVLLLGGGFALAAGVKESGLSLLIGNSLSSIGHLPLWILQLLTMFIAMIITNICSNTVTASIFVPIVATLAQKAGHHPFTLMLPTTLASSFAFIFPVGTPPNAIVFGSGMVKVSDMAFVGGIISMELLVLTILYMNSIAYLTLPLLEFPSWAVIANSTMQT >CRE25505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1159368:1160799:-1 gene:WBGene00054939 transcript:CRE25505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25505 MPKSHTDFDDDDLDSYLEGSVWSEKPSASEIFSNRPGDVISVYPRASHSNMIYGYPINFRETVFPTQRGSSDPNYDDYYTKITERRPRSPGPVAGAGEITNTSHGFTIEIDVFHFLPEEIKVVLTDDTLSISGERFESTGDGHTLRRSFSRKYSIPEDVHLDTIRSHLTNSGVLIINGSRKGWRETSISTYQPTQRNPSRSVTSIV >CRE25506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1163520:1166630:-1 gene:WBGene00054940 transcript:CRE25506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttll-4 description:CRE-TTLL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LRY3] MSSGYSSAPSVSHTSSDTDLNRIDSCDDGVDETSDEQRMCGLSELVTSCLTSSKSSRQKSYDDDDGVEIEIVGTLKKSKSKKTKVLCPAHANIKKENPNISPFLKSSQFTDVPPTIRFYTKGTKVTKPTRKIQSRLTWCHNSLLPIVMRQTLSASHFTIVDESLFYIGYWGRHLKSAQYRALQPHQKVNHFPGAFHIGRKDRLWMHIRNMQERFEGEFDIMPFTYVLPTDRPELMKYLETDANRHVIIKPPASARGTGITVTRKPKDFPITATLVAQHYIERPLTINRAKFDLRLYAYVPTFEPLRVYIYDQGLVRFASVPYNPSVLSISNKYMHLTNYSINKLAEADGVANKPVPKWTLEHLWDHFDQLGVDRKKIQKQIEDVIVKAFICCEKPIREHMSRFLEQEFICYELFGIDIILDEDYKPWLLEVNISPSLHSGTPLDISVKAPLAKDVLNLAGIYVPPSTDKLNTADYSCRPRNGSKSREQLIKEASWVAAYKEQLGVIDNRIFKRLTPEDTRALVEFEDELERIGDFKLVFPTAHTSHYQKYFAEPIYMNILLQQWQVAQEDDRSIGVNRLEQLCRQKHMQSDQEASF >CRE25507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1167219:1168811:-1 gene:WBGene00054941 transcript:CRE25507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ham-3 description:CRE-TAG-246 protein [Source:UniProtKB/TrEMBL;Acc:E3LRY4] MQPQQRPPVPQGSRYGHPGTPQQARRPINAPLPGQSTQLQGNRVPQPPKKKKRYADKLIQPKVRELVPESQAYMDLLAFEQKLDSTITRKKIDVQEALKRPQKIKKRLRIYISHTFIAGKEPEKEGDDAAVPMWELRVEGRLLDDMQPPATGVAPRPAPKRKFSSFFKSLVIELDKDIYGPDNHLVEWHRTPQTNETDGFQVKRPGDRPVKCTILLLLDYQPMKFKLHPRLAKVLGIAAETRPRIIEALWQYIKTHKLQDPQDRDTINNDLFLEQCFGVSKMRFMEIPQRLHQLLQQPDPLVLNHIIQRPDDGQDKTSACYDIDVELEDPVKQQMASFVHNQNNANDIQVLDQKIFDLVDQINEMKLRRDFFLRFSNEPSGFIKKWVISQNADLKTLTESSGDGEADRYASTYTTNDADEGVSRYMYQKIQQKRAELEQSLGIRNN >CRE25211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1169387:1170601:1 gene:WBGene00054942 transcript:CRE25211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25211 MLIYLQMSTLSVLIEASSCSWGKLASQHGDRTIGIILRSIVSLYLLTVKRGVFFQVSFCNAHLGQSASNQLLVFAYGRNESKNMIYSSARSDDRNASFIVVNRLKDLLTNDSGNSDATIGAPLGPALAHAFCHMKKDSRITTADPCDDSLGPAATSEQSESSTEKVTNRAVVISMSPIMGSEHGSLMNLFFSAAKQSICVDVVSMGDDFTGGILQQAADITGGSFLHAQKPPALLKILMTNMLTDPSYRTAFSKLSHSSVDYRASCACHHKLVSSGWVCSVCLSVLCQYTPICKVCRAAFTITNIPKKPNRKRTLRS >CRE25508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1172556:1176080:-1 gene:WBGene00054943 transcript:CRE25508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cls-2 description:CRE-CLS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LRY7] MFRDFDLFPLWKGFFHQPVSIKIFQAVMSRVVSRTAPGGTCVLNKDDFKKSFEDVPKITVSSPADAKAKFDKVIAILSRSQEDWNKRREQVGFSLQVIRSIVIHGEEIIGRDQLLSQLVRLTDCLDLSVRDLRSQILREAAVTCSFLFERYGNDVHQIAERCLPSAFSQLAVSTKVMATSGATLAQFLVQYVQTKQIFTCITSYATSKDKNQRRQLCLMLEIVIEHWNDKLKKTVLVQIAELIKSAISDADPETRTAGRKAFNKLDSMHPEEADKLFASVDASRQKMLRANDAASSSTSINSERGTAPFRSKLSAGSIGGIRNVPNISSKFLAQRSASAIDTKQITRMTTSVSRTPNTKPMTTRTLSKVDTSPGGSKFARPTMGTLGPRTTSNLRARGGVPSSQPSSRNGSPPRRPSTTGTLPTEMQRVKSNLGSSSFVSSLTSEQAESLQKAMNTAKESLGQPSRTDDDEFLLPKRPKPPQSLHTPQKTAVDISKVEAVIRACSSTSANEKRDGIKSLSSIVSDPSLSPIELKNIGQVLNRLLGEATNPIVLESVASFVKAHHSRLSDWLKLGLGKMFSKKGSEMMQNMKKQISKTINIILSSFDPALQLKATCELMCDPIHLLTPKARVALLEYLIELLEKHMERGSHFNTKEVKATILKMFSWMTDQRNAQLITPHGEKVLCALFALNGADFSALFSEFNPDYRDWAYRILQSHGHNQHAPPSETPSPGRDAHVRANISNTAAQIEDFVIARNHELSAEKSPLSRGLLSSGYKRVDAEPLRPLTSEMNTQRVEEEISFNESFDRLKVSLNSTTHLIDDVREQSEYVTSKLAQVSEGSGTEQYEGLMSIQTMLCEGSFTLWEQNFPKLLIAVFDVLSKSQSDQNKKVALRVLTRMCTSQASRLFDSTEIAICKVLEAAVNSTDGTMNVTVDDCLKTLATHLPLAKIVNVSQLILKEEKVQEAKASLVLKMMTKLFEGLPADELTPIIDDLAPCAIQAYDSPSSGVRKTAVYCLVAMVNKLGMQAMNPHLQRLSSGKMNLVQVYVNRAMSSSSHSHV >CRE25509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1177206:1178840:-1 gene:WBGene00054944 transcript:CRE25509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mett-10 description:Methyltransferase-like protein [Source:UniProtKB/TrEMBL;Acc:E3LRY8] MSQKNEMHPRNPYKNKPPDFKALAIEYPEFRKFCHYVSNGKVLFDFKKDAAVRCLTQTLLKKDFRLNVELPTGHLVPRVPQKLNYCLLIDDILKANEITKNVVGIDIGTGTSCIHALIGARHFGWKFVATDGDEKSVQVAHDNVARNDMNDSICVVHVNPAVKTVLIDVINSMQDSDFSFCMCNPPFFEKSEQNDRFCEEPSVSQDTYTNNLSSEVRSAPHSETIASSAELYVDGGEIAFVNRIIDDSVCLRDRVKIYTTMIGRKSSLKPLQQRLQRFGEDVKFMVHPLNQGKTKRWMLAWTFAKSISLTTLIKDRPVSLQLPKPAIMRIMQEISRLDGRLTQESSSVIVAEFKSVTWTNQRARKKANALLLGNLTKRPKWDTSNVACEANMGVGDGRDSFSDAGNFVESKSISTFKEFTVDNATQAYFPLPPGETPRPIVRIRINVNSENLFDVVSFDLIFGSKQHLHQLVQYLKNLLCR >CRE25213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1179300:1180819:1 gene:WBGene00054945 transcript:CRE25213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25213 MHVKVHSKFVFFGFNKLGYILPDHPGSNMNRTGRLPNFILHSLRRYSKEIITPPGYAPPPKINHLKKFIADKIKTSGPITVAEYMKTSVSAPLVGYYGQFSDDQKVFGEKGDFITSPELSQLFGEMIGVWVFHELANTGHKGSWQLVELGPGRAQLMNDVLNALSKFHDKDVSVHLVETSDALIDEQEKALCIYKSNNTEDTPHVRKNKSRTGVNVYWYKAIDDIPDGFTVFIANEFLDALPVHQFQKTGDTWNEIYINLTKDDNLCFMKSKGENLNTKGLIPTAIRSDSKRVTWECSPESGTVVNQIVDRITTFGGFSLLIDYGHDGTRNTHSFRAYKNHKQVDPLANPGMVDLTADVDFGYLSSLVKDRVLVYGAKEQREFLAQLGIEHRLRRLLQICKDREQQEQLISERVPWKLFFETIFQNHTIC >CRE25214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1181184:1181976:1 gene:WBGene00054946 transcript:CRE25214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-29 description:Vacuolar protein sorting-associated protein 29 [Source:UniProtKB/TrEMBL;Acc:E3LRZ0] MLVLLIGDFNLPHRSANISPKFRKLLVPNKMQHVLCTGNLCSRETYDYLRTLSSDVHVVKGEFDDEMLKYPDTKVVTVGQFRIGVCHGHQIIPWGDSRMLELLARQLDVDILVTGNTYECSAVEKSGRFFVDPGSATGSFSVNKTGPVTPSFALLDVQADNVVTYLYRLIDDAVKVDRIIYKKSKST >CRE25510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1182194:1183788:-1 gene:WBGene00054947 transcript:CRE25510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25510 MKFIFILVLFGHINFSGSQTCQCIQPDPSAFNCLGYDSKLQADTIDEAIASFPDLSMNDPNADQTQSDVGCVTQQCQDCRKDMRKQLQKVGLLAKDINDIISTQVDTNSTCTKYRFSIDKQKQSNKEDDDDSDSDESEEKEEHKKKKEKKHKRDKRQAMPMGTVNSTDPNLIGTRFTISCAHKGTAVDPTGTVSLCSSCWVWRRLPDNYRPQYINELVCDSTDGDCLSGYATCTIGHRTFEATRNDNGVMTQVSLTAGSYCECRISKSSSLQSLVDGNGISGTYNPLSNTTGVTGAL >CRE25215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1188110:1189432:1 gene:WBGene00054948 transcript:CRE25215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-55 description:CRE-SRT-55 protein [Source:UniProtKB/TrEMBL;Acc:E3LRZ2] MKIKVAILILLLFSTPSSPTKCFDLNVLECWPMEIQEMILMVSGENTPRYNCSGKTKDEWYETGEKRLGWGIYYVSSGISFQFIGWPVLWIFLTKFNMTHALKVYRVMVFIGLIEITEVWGNSVWPGIVALFGEVYCTSPTITTVVGKVTMVQWVLGSSSAVFLGLHRLTDMAQKGEFLVNTNLKTSIWLACLSIYAFYGSISFDTVLFNSVYMAPLLDPMIGNQGIVYSNRFLYFHNIFVSILLIIVYASLCIIWSTREMHTSSIHVSKFQKSILLQSICVSLTYAVPAVSFVAMFVFQTPKWFFHASDITYQLSGGLPFLMYIWLNHKVQDEFFKRLGVCRNAKNQKTVVPSVHSTASRFNN >CRE25216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1190444:1196815:1 gene:WBGene00054949 transcript:CRE25216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bath-43 description:CRE-BATH-43 protein [Source:UniProtKB/TrEMBL;Acc:E3LRZ3] MLLLAKFKKFYANKKYETYICSTEKNNKPNKPVGGLGADGDQPIPMEVGMINDEVVSSGSGHSAHGRSISPSPSTASHGDPLLPVAENWCHTQNTKFQVKVVKFNYMWTINNFSFCREEMGEVLKSSTFSAGCNDKLKWCLRINPKGLDEESRDYLSLYLLLVQCNKSEVRAKFKFSILNAKREETKAMESQRAYRFVQGKDWGFKKFIRRDFLLDETNGLLPGDRLSIFCEVSVVAETVNVTGQTNVSQLFKVPPCRLADDMQGLFDKKQFSDFTLICKSDSGAPSQTFYIHKAILSARSRVFSAMFEHHMQESDTNMTTVDDIEPEVMRELLVYMYTGQAKYIEQMAQSLIAAADKYQLDRLKVMCEQALCYQLTTDNACLTLMLADMYSAAQLRAHAINFINVNATEVMSSEGWEDLVREHPKLLEEVFRALATQQTPPVVLVQPPKKRPKHCTY >CRE25217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1197275:1200424:1 gene:WBGene00054950 transcript:CRE25217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25217 MDDEEQKGYTWEAGYAEGLNINDVLVEDEGGSIEKSIAKYVADSKRKARLAKRPERIRLGIMRHVMIVIDCSRFMTSKAMPPSRFVVVMKALQSFLERFFEQNPIAQIGLITCKDRKAERMTMMTGNIRVLKESLNSLTEAFCGGDFSLQNALQLACANLKGMPGHVSREVVIVMAALSSIDPGNIFSTIESMKRMNIRCSAIGLSAEMFICKEMAKATKGEYSVALDPDHLQLLFSKHTLPPSSAKSSECNAIHVGFPHHELIKTRSFCVCHPDSKPVSSRGFICTQCGARHCSIPAECPVCKLTLVAAPQLARAFRHLQPLAAFQQIDVARGQCYACETRLASEGFRCEKCRSVFCLDCDTLLHESLHVCPGCN >CRE25218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1200519:1201687:1 gene:WBGene00054951 transcript:CRE25218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hal-2 MSTPVQVKRSRNQQPGTPIPSSRRREPSSLTAAVYNPPVSKLKKNYDQEFDRFLRSAKTIMDHLDSSEKIILSPMRKQLRRFHKESNSFDVYFKSQSYWKFFYKGLIDHCDDMMDCFRFDDLRDVDSVVDSHDLPDELISFVTQQLMEWRDLFKDVEWLKTFRELTRKVEILQQRRQEEAERLSLLKSIRHEFGKYSQKVQLISGCLFSDASNLDVQNFTKFSQAPSNISNSIINAIEESEKTQKPSDGLKNVPMLSKRLSVKRWYREHYDPSSHGVSDNESDDDNSSDDENSSLSVDSANSNIEKSEDFSSDEADNDNDFQAFFNENT >CRE25511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1202113:1203068:-1 gene:WBGene00054952 transcript:CRE25511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25511 MARVTVNEIKKMMEMEKDMTCVLDANNRLMKKSGEALEKHNTISEKQMTVLEKHTEVVKENTEMLKENTEVMKKYIELIGRQLKERKRSDRIRVPSSSSDIMEIPVPPPPPPQEPMNKRTKLEESNNKSFGWGQSLSNNIPVWQTPNEMSQPKLIDIPLSLSVFPNATPNGSQSQNLMVSHQRARNLFQSFEIKKASRICS >CRE25219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1204364:1207894:1 gene:WBGene00054953 transcript:CRE25219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25219 MEGGTYKEPWQTKEVLDPGIESDGSISIIENIFEYTVECVYHFILTNHASKQSDIEKLLELYGTIEEKDKEFAEKVLRVQQNCEPNTFREITKKFGEKYLPTFGKPSKQQMDSSRVFHSPSGYLSDGSANEKEISKFVSSSLNEVFDSIFTPEEIKRVVKGLISSLADSETRLQHIGNVNQGLSYEFANYFEKLDPKYFEKWDPKLVSDFHEKMCSSTTNFIGYFALHTIRHHCYVNFDVGKLKFYRREMYEEAVKASKKEAKSRNNSVKSENECKSETMRKDVPSKVFNVKREISTESDDDYCEIIAPSPTSKSKKRRASESTSRVQSSDVAEDQEKEMLEELKKDQLPMNFKTRFRKLTEQNKMLREQILEYREMISLRIAEKKQKLG >CRE25220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1209427:1210871:1 gene:WBGene00054954 transcript:CRE25220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25220 MGQREEESLKIEESIKAVLDLSYNPINKENNPEGKNETEQAKTTTTKMQNCSKSRTRADNIKRRRIWNYITASVKEKFEGSVTVDKVKKLAEYWKNKYSDPLHISPRIALALSELTGRDTVFPSSPPPPPSELEKHEIDTILSQRVYWIVQAAKMYMKESGIDMDTLGRSTESNDRRTIMWSSIREEINRRYSGKVGVLGFFQTKKVFSNYKRRHPGEFKDTSDESIFGTEMKMDCDEVLEKDQVVEEKQIESIELEMKSEPIETEGFPWRKYSGESGNEAEKLVKSEGGPENISFISYFLCSDVERVSETFEVGTLDVLVKKEIA >CRE25512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1211395:1216084:-1 gene:WBGene00054955 transcript:CRE25512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25512 MAHVVGPIPLDSELLITAINEFYTTTDEQRRHEIDTILIRFKTEYECVQTVGACMRIISQPTSSSSVRYFGTVALYDVIRIRSEECIANQTLQLSLKTFLIDSLTSGAYAQTTSILNKLSASLALFALYCVPDLWLTPVEDLTSLLAGTPEILLKVLSDMAAEFSHVSMPLTQRSKLKGELHKFSENIIQVLAVVLRGGDTSSITKQSAVECVEQWLRLPGMALDQWTQVLSDVLGAVVQDWFAISFSASVNYLFPFSTALASILDIIAENDEFHRYSTLIINICQYICVHVSSKIEEELKDDATSEEIATLVASTCSVAEKSVSTLVECAVQGGDSELITRLSQVMQVLANMQGQYPKEEIVSDIPSVYFISLRTEIVQTMNSTRVDEQFIAKIGQIYAQMLDVAINKLTFPRINLWLTWNLEEREQFESYRKTRSEVSYDSYNFSAAETLNYLNQKLEEALSSGDINTSEACLFQWECVADYLAETDYPSILKCLEMCAAPGLSVSSTVLSSGALDCDFDRRGATLMRLLYALSHLIQEHDRANELECALIPVILTYVSPAVRSVRQSIDTLQKFVEDRPDSLEVVGDQISTTCYEFFNTPNVRDTDRLAALKCIGYVLSRKHPTETMKIIGQILSHQNIDEPGIDNQTRHRRYAFQINTFSALFSSLTNKKGTPTATNPGTTDEEPTIVQLLREAIPVFETLCSGGSGLDGNNSGNLIQEVCKAVRSALTSLPEQYLPLFFPFVVSLLNSALFLPESAVAACALAKSTVLQCGSLVGVDMANAFAQWVQLFEQQTGSTQIDEYLQLIYQVVRKNWKMIMKFPEPSMAAFRSAIKICSCIIVSSSVPTEVRSASQILAALSTYAISNNDTAMKTTFAEEGPMLIRAVFGRIQGELMRATVEALADILFFYFKEFTTETRALISTEPYGSSALITSMFREIGNPRNFKQQTIRFNLAAVRDPGSVAS >CRE25513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1217462:1221033:-1 gene:WBGene00054956 transcript:CRE25513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ekl-6 description:CRE-EKL-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LS00] MKKNCFRICIVYKNVLYFTAETWKNDPFEVLVKQCPKVVSDKYLTPHSVDSIPSTSDDVRTVNADARVHYSQLLGSLYLELASTLSTLRTSSPDEYQLISLQDVSVIKKSFEFFLLTGILPFLEPGVGLPASTRSTFIKSWKLYDGNKESCIERLDFAAKVIVAMLESNEAIAVQFLPKFIYDILAVRYQLLELKVDKYELQLEDIISKCPMDVLFGGLMFLTQDRKSVKTPMWLKISCGKQMTKILVDKDGLSYLLQYYRERAGDTWTDNLPLTKQVAWHLATVPKMFKHPLQYHEIISNQFFELIWSQKVLDKTTVTVFTNYVDELRTRFWLNADLTVFDKILNFWEILGKKLQDRTLTTSEKIETFSPNYVRNLQLLSQLQNTSDTKRLRALIICFIACIEQIPYIKDILKGALDGVGSLGYTIYYYVITPSLVVQLHNRSKTTSMIEEVGERNVDESPVDELWVYGFDNPDDGVARRLDTAFYVVDNVLSSAQTRTLMEMMNAALEDFLKVSEKERDDDFARFVQLDGSKHFSSSHAHLVVGCCYERLISIAGDHGFSQEECIQLIKISESILNNATAKFLRIVARKRAVDVFQLSAAEKKEFEHTRDTARMCLPIISTIFFITQGTPRMQDVHLKSMEAMANFTKAADLLPSEDPTFNSAVDEAKGLLRKLKIDVNQVSAPVVPQRNERRRYNQTDICNEWIDELHDDEPAVKGGALILIAKAFRAKSWHCQKLLDYAAFDTVKDMVSDTDSYVYLSAINCLCEMALFDRHVFDGFIEYYEEIASIPNKDERLIIRVGRTSEALGKLLIARGETSIAYFDRLATVFMSGINEKDELSRASSCGAFGNLLMATGGKGVAKWMDQLLQTITNVLRTDRSPLVRRSATDLIRHSLHSVGRDMFVVLRERLLDIHREVRQLWRTDRDETVRLHAQLCVEEIAAALRQNQEDVDREYQRKLRL >CRE25514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1223518:1224492:-1 gene:WBGene00054957 transcript:CRE25514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25514 MTIVSKMNPPNKPAAIVVDECTPSGEEKPTIPTPRIKAIMITKASPRRRRQQQQRRQISTVRASASLTMFIAVVVLIDVYAVLTTDPDCPGPLTQFTGLHPTFNIYMLIVLHMMTLFGGLSKTVNGCRLAMGIAWMFFLISVVLLVFVPAFLGSYVASGLAPVQNITLSADNTAQDRFQTAFYMAIGGQLFVFLLFMCTIFQLTLVGELLAESHVIEMAARYARAGVEIGGDFHHSP >CRE25515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1226526:1227439:-1 gene:WBGene00054958 transcript:CRE25515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25515 MNAFFPSSFSLSIYSFFSSLQINNCYSPTLSISKMCTIAYEQMDPKVESISIFRRIRKVDYRVWFFMLIVGSIAFDVTKLEYLVQYGFKINTIHIPLYLIFNAFLLYAAIWNNLVAMSVVKVYSTILLMFSTIIATLGPVWMIFEFKNGAPEDIRLVMEHGDTHQMEFIQRLGYCILFELTLIFDAGFYALQMFLARKVIQSIKSEKNEVPVEMKSINIV >CRE25516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1228253:1229006:-1 gene:WBGene00054959 transcript:CRE25516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25516 MPTYPVLQDEALIQSDPKVEMRVSVSTRPSTFLDRFKSFTPGLMLQIFALIKFGAVLYIATNRQFDEKEIPIVVFVLGVNAFILFSATCQDFCTLILSWIVSMSAFVLYILLLVTVPIFVTSFFASDAARSVRIPAEWKIFRDIINYQSVEERRFTEGINYGMSVEFFLAILVTITAIQFILINATLNAKVDDWKRQKQIREKRNSVYLV >CRE25221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1230299:1231151:1 gene:WBGene00054960 transcript:CRE25221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25221 MKNLLVFFHLVVGVSCEVFPFPFVANGCISKNDCPTNEECDHGKCIRLHHDSLSRFVGPKACSTGADCGSQSVCFDGKCILDNGYGGKKCSVGAHCPNGYNCVNARCVLSNRRFILSGKVEPLRESCKSGDYCDFEQVCEDGKCVEISEILVKHRSGHGHASGQPTSPISFAGPKLCSTGADCGSQSVCVDGKCVVDNGYGGKCSVGAHCPNGYNCVNARCVPSSRRFAGTCQVYCPIGTYCQYTSCLPFPGK >CRE25223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1233787:1241017:1 gene:WBGene00054961 transcript:CRE25223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25223 MRRKLLFSSIAFILCSLEYVVSAPLVDSEFAEKHAEELNIENVQFNHPHILPAHPDNFKQDKLEAKKRQSLYVTKTRLPPNLFAIDYTLWFKPYFPSPNVQYEPEKNFTFDGRMSMQVEALANSDRFVLNAFNFKIQSYQVTALDGTNVPINSICKRKLEDNEKTTKVFSAQDDTLQQLSLITNPNGVVSGQIYSINIVYTGLINPYTDGGVYYTSYNDPQGVQHYMIATHMEPFSARKVFPCLDEPSYKATFQITLQYPTAHVALSNMEEAPAKDVGNNWSEIAFPTTPKMSTYLTAFAVGPYVNSKYVNKHNTLTRAWGWPGTEQYLQFAAVNAGECLYQLGEYTGIKFPLAKADQLGMPEFLAGAMENWGLIIYKYQYIAYNPTTMTTRDMEAAAKVMCHELAHQWFGDLVTTAWWDDLFLNEGFADYFMTFIQQPVYPIQANYLDTLQVLNELQVGLNADARSDAHTLVYPDGPAFDDITYNKGASMLRMLSDVLSPSVFRQGIQNYLQKMMYSNAKDIDLMTTLTETAKANNVMDWCGNPLNVTDFMQPYMYQTNHPLIRYNNNQLTAGLASFTQEPFGDTSNLNATAWNYTWTVPLRSATLKEPEPHLLWLPRQPGCSNSGESIEKKQNIEEPKKRANQWDFTTVGSATYGRIIYDDIGFDRVLKSIKEDGINGNIAVTLLADEYYYMIREKNADRPFGYDRFLNLANAIFNTQNFIDFPSYSVFAQAQPVLEQVANLFRDTIDAELVTRMYKLMFQNVYNSIQWNDTSLWDSDTFSEVFLPFAVRYGIGDVENRTMTMFANVKSACADSLNGTAWCNPYSTNLRKAIYCGAAKYAPATSDFFFQMLHAYNKEVITNPYFYQEYMALLEGMSCTQSSSTLKVLIRLFTTSTLNKNTLFGFLKYNPVAGDALYNYMSANRDLVSSPALNAYLDSMTYNWNSYNRDVQFATLMNSIDLSDSQFATFTTYLERINSNWDYRSNYGMKVLNWLYDNVVVIGKTPWEKSLKGDINFPEYTLSIQPSIPGSGYYPWYFNMTFSGTVTVNFYVTKPISVITINAHRLVFDAVGISLASISDDNNKTYITLDYSNVKKDYDRGVLTIPTLNNVVLYPQKYELQFQYTGFIFQNPSEGDASNTYFGGLNNRKGWIFTTDFEGGPGARSLLPCWDEPSYKGQFIVYVMHASDMIALSNALEAGTTIYDNGWSTTHFVSTERMSSYLLAICVGHFSNLAKVSKLGVVSRVWTWSGMEQYGEFALNVTVGTIDFMTEYFEYNLALSKLDVMALPEYTQDAGAMENFGLIIGEYSLFMFDPDYATTRQIAEVAETTAHEVVHQWFGDTVTLDWWNDIFLNEGFAQYWFADGIYYTFSEQHDYAIDYNRFYMNYIALKYDCIPGYAKPVISDTPPVFGIEPYYKGSALLNLLNNVLTPFIFQQGLTAYLTQFGFENSSPQDLWNSLTVAARTNNITDWNGQPLDVASFMNAYTLQTSYPIITLTLRGTSTVQATQQSCMAGDAFWNIPLFTQTEQSTDFNWFVNYTGGNDATWVRPLPYKFRVDNAGSKVCPEMIRNSFQNYIFQSFARINYDDKSWYMIQAQLLSNFTTMNSITRAMLLDDANFFFTSGRWDITKYLDLTLYLTNEDSLAPWERAIDFFTEILNRFQYQPELKYIQNYVVQTTKNAVNKFQWTTNGIWTNDQTSTELYTFSRIVQLLVNVNNLAANRQSRQVAQTLFNNFILKCQYSLSGTGKCSGIHPNLRAATYCYGLRQSVNANDFDTVNNLYSWFTQSAGYLQTDGNNLLNSLGCTQNVVLLKTLLKGVLVGTYPSSLLNSIGAHDESGDLLYNYLLDNTQDILNAPFDFSIYVQAMFQNWSTQPQLDLAKDFTNGFDFELLNFKQKQAYRSGVSLVQRNLNWMLVYKDSLVSWIQGNFGNANL >CRE25224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1246041:1246793:1 gene:WBGene00054962 transcript:CRE25224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25224 MKVAPMKCEHIIFSHKNKPAIDSDLNLKLNDMLIPAVTTVRDLGIYFSSQLSFTHHHALIIRKAHQRINIFFSVLKYASWKVFIKCYVVYIRPLLEYGTVVTSPIQKENVIMLESVQKSFIFRVYKKFKMSYSSYFEALEECDLKSLEHRRLCIDLIFTYKLMVTKEIIIDDPIFEFLEHSRLRRHRYYLKSLTTNSNKLSSQILSNRVLRCWNSLSELVFPVKPSTAVFKSRICKYDLNHFLSLNPTNY >CRE25518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1247297:1247929:-1 gene:WBGene00054963 transcript:CRE25518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25518 MKIGCFPVRPLLYALAVIGILRSGVHIFCGHKTFCQAILPLCYLLFNVFIIFAVYKRDVKSLKWAQRLTLAVSILSVIPFILLPVVTASFIASGEWEKYDMNETHVHPEKYGNLTSPDFRFFIGATAGLIVEAGTAFLIAVELFKYFLITKIWRSEHSLGMMHTSGFDSP >CRE25520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1250238:1251042:-1 gene:WBGene00054964 transcript:CRE25520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xbx-3 description:CRE-XBX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LS11] MDNQHEIDMKVKDETDAVARKMRAIESNKKSLWICNFGVEFGLALYHVLLFLTVPKHYPYFRNQFYLTIFLAFLNIIATIKMKISLQVVQAILSIIQLLWIFYCFATVPIFLTAITSTPSACDRVIHSCAKVFNANLFQTKMTIGLMSQAIFAIFLYGEMKRLCIILKFRYMAPKSTHYQTQVTENIKPESPSANELPEKNPNEIVIVA >CRE25521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1252161:1252840:-1 gene:WBGene00054965 transcript:CRE25521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25521 MLPSEAYSTVDEYKLMKQAMKRIVICILIGCLILTKLFSISTVIHNEKIFVKIADLTIYLSLSGIGFFVPMYFSAAFVKGYNVFLVCACGSLVVEAAEFFLFLGVFGAGKWIMLEMGNFSLLLLVLTLIENGFEAEKRNEENIALTETNTIPYVADNESQIGTDGLTDKERDQKNLAIWREFRRQMWRTRSL >CRE25225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1254779:1255366:1 gene:WBGene00054966 transcript:CRE25225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25225 MFLIRHLLFMWLLVLFCTINAYIALGIYWGTVRSIWWSHKAHIFCICLLTTLWITLPFYLAHNESMLHLCMSPVASCGIQDLRQRRLFMYLYSALYFIGIGMMVFAEACRLRMTCYLLFKARRFEARAAAAEARMMNVNITVGILEEQQQQPSEKDLKDIVIVA >CRE25226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1256090:1256858:1 gene:WBGene00054967 transcript:CRE25226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25226 MGDITRIFCPAFLSLTSISQTRSLILCFDFQCLSIFFLMFLCDPNPHLRVYFLFYLSLLTLPTAYVVVFGERVWMKIAFISQLIRMVFVGLHNAFYPIFVAAYVAVGFEGTHPGASADEILFHSVSYGVMIFFMSMTASLWEPAKLYQIYRLQKMLETSRNGSLAGSEADIDEDEEELASVSETESTNLSDSGYVAQAV >CRE25522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1257055:1258174:-1 gene:WBGene00054968 transcript:CRE25522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25522 MPRESEDGKINLNHLDINVRSPSLQPEDTKRTSDDYQKLSVTSTARVIYQLVKISIYIALISYQSEYTAWKVISIVLNSVFLIGHIYEFRNVKASLTPFMILEMYPFIRMKTNFSYMMITVEALFFELILLELFESGIISVLLVLTWSIVDFAFQYWGHNTWEIEKNKVSCDLFRKSYYDKESMFWKWEDVINNVIVDNSWQIEAHDASRYHNY >CRE25524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1262962:1263236:-1 gene:WBGene00054969 transcript:CRE25524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-21 description:CRE-INS-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LS17] MRVFIIFLIILSIFSISPIQSHSTKHVRSLCKRKAVKHIRKICPDMCLTGDMLNVYEYCSMGYSDSQVKFICCPD >CRE25242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1366536:1367531:1 gene:WBGene00054970 transcript:CRE25242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25242 MLGAYPPKPSTTTNNKPSSKTRARLITAVSSESGPKTRSASTRLVYLVNTHGFCKKVFFRRTKEQAITITYLGRHSKSETDLPASSTTRSSRQTPSVAQKKGTQTAPVAAKNEESITSTSSQSLDSSLHSKSSVWASCIDAVNQTGAVAKRENKYIRRLRVLHRRCNPGNFEKDVAKDEHATLFAARYLTHRNLWSLNACHAYLPTITKCIISENQTDGSTALDALEAITDTCLEQIVLFSSTTPGRIGVNVVEEERAEKAKDCIALFREIVRKRDFYYKQMDEESIYKMDNILASLKNV >CRE25525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1276834:1277754:-1 gene:WBGene00054971 transcript:CRE25525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25525 MVNGSLNSKVSPIPSGVPQGTVAGPLLFLVFINDLLLSLPPSLHFAAFADDIKLYSHDPIILQTGIDTVSKWASSNSLPLAHTKTTLLRLGSKNPGHLYNIDSIPITESSAVRDLGLLTDSHLKFDSHIAKSSSLAILRCSQLLKSFRSRSLPLYKHLFNTYVLPVLEYCSSVYSPSPSSILSHKLEKPLRSFTRKVLQRCNIHYTSYLNRLEILDLYSLRHRRLKSQLILLYKIICGATFFPEIQAYVRLSNSNRRPMTLICIRPQVNDFFSSTVPIWNSITSNCPEFLPPGEFISLLEQSINRL >CRE25230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1280632:1281286:1 gene:WBGene00054972 transcript:CRE25230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25230 MSTQIPMDPPKLFCMPARPLVCGLALFGAVRSFIQFFFSSGIVVGLSHIAVLLLDLLLLFGAYKNDVFALKWSQRVTFACVIVSISRFLIYPVVFASYMASGLSRNFTGLDPAEIEIISNVTSAHENFMLGMISGFTLEFATGISIGVECVKYLLVHRLWEYAKATEAISSSRYVIP >CRE25233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1287521:1289099:1 gene:WBGene00054973 transcript:CRE25233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-8 description:CRE-PQN-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LS25] MRFLLLLLLWLLPEVSSNFMNMLMGSSASTQQYSAYGGCPSSGCVPPTIVPRTSGFWPNSDILTGLQIEQQSSNQNQNSNQNSNQNLNQNQNSNSNSNQNQNTNNFQQDASNNGQLPSGSTGGNIPEIPSGNSNSQPVIYIARAGSEKYKYSEGTSQQSPFGHGPGSNLNNDGTGFQSQNPFGIGGSQNSNQNLNQNQNSNQNQFGSLNSFQPNQNQISNSGFPTGFSNNQNQNSMNSGQGSAQSGLQNNGASSGFFGSSGGFNANQNSQNGNTQQGNTGNFNGQNVQNPFVSGNSQNNQNGNTQGQGSSGMMQGQNSQFGNANQNIPFGPNIVPTNPQQMGPQPFQPIQVGSIRQSPQFPERDWNFQPGSDSVQYVGGGSGTGQIGTVSRFIFRVSGVLIHDSFQNFQGGSVSMTDEAQQIAVQIQAIRDNLSITRDESNYLISQLKASLPQEIQSQLQMF >CRE25526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1289224:1290506:-1 gene:WBGene00054974 transcript:CRE25526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25526 MITRILFNILILVSLRKCMIQNQKPFWLSADIDSIEWQEGCLTTVLCSHPRFQLLKDLLPISERVSISWPVTEQFLEHTVAPFISYWPSGRIEDVSLSAQVVGVDTTYGFPRTCDQTPAVRIFPVDIYGLTPESAENKTIHLKAKCFEATITVTKHVERCPWCPDPREVLISNEIPQQINLQQSSLESGIHSFVGMFYKSSTSESLQVGICFLAALAFFSSLAFSIMLVVYLKSKKPTRRNVSVNVQPRLIPCNSHCEDYDTRFRYDLPWDQQRPLTYWMSKSTVTSPTSMRSEGYQTYRIPPPPNFSPPV >CRE25234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1294622:1295237:1 gene:WBGene00054975 transcript:CRE25234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25234 MKEMLLVAVLISLFMMANTETTTECSKHMFIETIRETTDFTDTQLESFQNLSPETKKKLKTTIMDVLSSLGLLLEMSVSPSISMTTLPIYIFRAQNLMTLLEKDFENMKPEAENMSDSLEIFKRGLSSIVNNIPMKAIKCVQSQ >CRE25527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1295266:1297289:-1 gene:WBGene00054976 transcript:CRE25527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25527 MIRYHSLLLLILPVFVNAQCDLGSVYNSDKNVCFTFYNASVDFKTAESICTISSGHLASVHNIIDNNYLAKQAQQYISANGIIWLGAKSTSPNVTDPNSWNWSDGTPFDYQNYQSGEPSSLQTAACMQFSAATAKWKTASCINYAPFICEYQPDNFPVTCPPTSECFLIEKYYTLIISVIKSCPSGYYYLQETQYCYKVNCSSIRLFISLVQLVIARGNFDDARSGCWSMGAELVSILSPTENGFIHDISQTGHDVWNKEQTNNIYIGLIYQNGHWQWTDGSSAYYLNWASGEPNFMKKEHWTTIFFFIVISISAVFPIPFVRFCRHSASQKCVAVDVWLGQHSDKRLFPMKCLNDTCPEGTFCDEDGKCWEEIKFGDGSRYYEMKLIDGKWK >CRE25235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1297582:1298766:1 gene:WBGene00054977 transcript:CRE25235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25235 MILPFFLLSLPFLVNAQCGVGAIYNEKNNLCYRLYKAAVDFNTAEMICSTLNGHLASVHNLDDNNFLTQQASKLIYDNGPVWLGAQTSSPNVRDPNNWKWTDETPFDYQNYRIGQPSSLGTSACMQFLTSDGTWLTATCTDEFPFICASEPKKITTVSGVTCPPTSKMVTVSEKIVFIGSYSFESANQYCKNDGAELASVHSYTENQFLAQISTTGLGETSTGNNIWIGLIKNGTQWQWTDGSPVDFVNWESSYPQGYLATAMISDGDQRWYNTIYPERGFICKRPAIH >CRE25236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1315744:1317721:1 gene:WBGene00054978 transcript:CRE25236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25236 MKDRNAREKGWSHQFFISFSSSFHYKCSFASYSIRKLAKPKQQLSHIFDYFRMRSRLILLLVLLSATHCFANQFWLSVEVEQIDWTDGCLTTALCSHPRFQLIKDLLPVNEKVTMNWPIVEHFDKESHRPFVSYWPSGRTEDISMSAQVVGTDRTYGFPRICDQSPSIRIFPEEHKKIVADLEKEKPTGKPPMDSLKIKVKGKCFNATMTVVKHTERCPWCPDPKEITIIGQEPGSEATGLRAGSAAWLFGSSSSLISDDRIVHIGVLVLAIVAVLASTAFAVILVMYLRNKRLVKETLKKPRFHPYISVKGHEIAEDNNRYNKLKELINFILRNSRYDLPWEQQQRPLTYWMTSSNKSSESTMTSPLDSASSLHGSGSHQNPNMFNSHHHQNPHPEMYHSTYTRDGYQTYRPPPPSVHPPIFPPQTQLFHPPTYSTQRHVTSPNSSRHDDSGLESV >CRE25528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1317976:1321544:-1 gene:WBGene00054979 transcript:CRE25528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alx-1 description:CRE-ALX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS31] MATFGFLSAPLKSTNEVDLVKPLTSYIDNVYNTSEDNRTDVAEAVQELNKLRSKACCQPLDKHQSALDVLTRYYDQLVAIESKIIISATQNPVVFKWKDAFDKGSLFSSRASLSLSDGSFERAAVLFNIGSLMSQIAASQQFHTDDEIKISAKLFQQSAGVFARLRDAVLGMVQQEPTPDLMPDTLAALSALMVAQAQEAIYIKGHKDKMKAVSMVKISAQVAEFYAEAQKMMTKDVVRGLWDKEWSAIVSGKTLAYQALSQFHQAEVNGEARQIGEQLSRLAESLKIFETTQKYLPKDITGIWDIYPTISKAYAAAKKDNDFIYHEKVADFRTLPALPKAPLAKPTPIVNPMTPNFRDMFSLLVPVQVHNAMQSYDARKAELVNMETVRMREATQLMNGFVLTTRFTYQNTIYRVLASLNLPAALDDVTSSETLPESIKMKSAKLKQNGGSAEIMRLFSELPTLYQRNEDILTETSRILNEEKESDDTMRKQLGTKWSRMSSDQLTGPLVTEIGKYRGILHTASNADKMVKEKFESHRQGIELLSKNESELRSAIPGQTAHSTGETDTVRRLRDLMTQWNEVTTDRELLEKELKNTNCDIANDFLKALAENQLINEEHISKEKIGQLFGDLKRRVQGSLDKQEELMKEIQSANNKFTGEKTGSSTGAERERILKMLAQAADAYAELKANLEEGTKFYNDLTPILVRLQQKVSDFAFARQTEKEDLMRQLQLSIVSGQAAKAVVDGVNSLVSSYLTTGTSSAPPRPPPPRPAAPNQSVESPIPPPRTQQSMQATPGAPPQQQAAYNPYQQQPQMQQFQQHPGYYQQQLPYGQPQPQMMFQPQYQPTFAAPYPTFPGAFPSYQQQQWPQQQQQGGFPPNPQFGQQNQQQGGGANPFQ >CRE25237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1322421:1326146:1 gene:WBGene00054980 transcript:CRE25237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mog-1 description:CRE-MOG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS32] MSEKHADDRLEGSNDTFGGLVIKKKKVDGNDSKPSESSGKSLLGLDKLASTKRENARKRLDDDDDRGVTESVRRGIEKVHEKHRDRDDRGMKYKTRDDDRRRDRHYDRSDRRDDSSRREWRDRRGDETPRFKVPETPSRMSWEQDDREGSSRKKNSWDMPTPRGERDRKRDWDSERSVSSAWRSERRRRDDDKRKRYNKPEDSVRSVKDEKAEPTFHDDEERAQWEEEQKNLDREWYDNEGAFDDEYNPFNKVSDEFVEKREKQWQEKTQKPRLTVKQQAIKRENELWENNRLHRSGVVAMADELSSVFEDETDENRVTILVQNIVPPFLDGRIVFTKQAQPIIPVVDTTCDMAVSAAKGSVAVRRRREMEDRKRAQDKHWELAGSKLGNLMGVKEKADETANPEDDDSGNYKESHQFASHMKDNEAVSDFAMEKTIKQQREYLPVFACRQKMMNVIRENNVVIIVGETGSGKTTQLAQYLLEDGFGESGLIGCTQPRRVAAMSVARRVADEMGVDLGQDVGYAIRFEDCTSEKTIIKYMTDGILLRECLGDGTLDQYSAIIMDEAHERSLNTDVLFGLLREVVAKRADLKLIVTSATMDADKFADFFGGNCPTFTIPGRTFPVELFHARTPVEDYVDAAVKQAVTIHLGGMDGDILIFMPGQEDIECTCEMIKEKLGELDEAPPLAVLPIYSQLPSDLQAKIFQRAPGGMRKAIVATNIAETSLTVDGILFVIDPGFCKMKVYNPRIGMDALSIFPVSQASANQRTGRAGRTGPGQCYRLYTERQFKDELLRSTVPEIQRTNLANVVLLLKSLGVDDLLKFHFMDAPPQDNMLNSMYQLWTLGALDNTGQLTSMGRKMVEFPLDPTLSKMLIVSSEMGCSDEVLTIVSMLSVPAIFFRPKGREEEADAKKEKFQVPESDHLTFLNVYLQWREHKYSAKWCADNYLHVKALKKVREVRAQLKEIMQDLKLPIISNGNEWDIVRKCICSAYFHNAARLKGIGEYVNVRTGIPCFLHPTSALFGMGFMPDYVVYHELIMTAKEYMQCVTAVDAIWLAELGPMFYSIKESKQSRREQKMESVRTVETMEAEMREAQKEMERRKEESDKAFKRPESSRRVVEVGSKSVRSERRKLWGL >CRE25238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1326378:1329573:1 gene:WBGene00054981 transcript:CRE25238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25238 MSGEIQAKTIANIPPEIMSQVMTWLEPAYILNSALTSIQMAEFVVRSLPRVRDLKIRISNDDFSGSFRENSIELQVPQVNQRATKTVLKILLDHLGNAIESLHLENDLTIGEVPDDFIACVLNCTKDAHLKELVLSDIDLERIHTWTLALLAGFRELEKVEIEACNLGEDASPHNTEAKLLRYLQPSFQTLTQIDLKGTPQITDNFSRRISRSCPNLSYFRISGCPLVTTLSALPFIELTRLRRTDKLDVHMDNTDFDADQLRSFMHSPLFASTTSEWRLNPIAVPLGFQKPAVLATHSSRKYVLIFMWQKLILTAGSDSQNLLFRQQLASIPTDKFCESVEVVTDESPGIRIGSGGATLSIIRTALESYQTEDLQTKKILLLHSGGLSQRMPHLSAFGKAYGTLPNSKTILETKLEIYEKDLLMKLPETGGIMITASDVIENMENAKKVNSEVDIVIFAHVSSIEVGTQHGVFVIDENTNKLKRVLQKPTVDEMKEDKAIREDGTVLTDSCYFLTWKFCERLLKISILQTPVTEELCCYGDFMRPMGSNPKLDYIEKSPQNVRAYRKALADIFSLARVDISVLGDNTFFHFGTYHEYIESLMPNSEFRRSFPHLYKTNIIFSKGVSAIPDSSLAEYSSGVDLKVGENSVVSGIDSGEDSLNLPRNILAFTMALKGRMFVSVIVKIDEDIKKKSNMVKWNGHYTRIDGHSLWEAPLFEICETRAKSLKATLREWENGMTETRSERISISEAVKRHDLEADLEWRRSLTDLKMLE >CRE25529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1335869:1341025:-1 gene:WBGene00054983 transcript:CRE25529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25529 MWTPRHAIALLVVLTYAYSNILPPGTTFTQSLKDIFRFPDNKNEHDIEDTMCSETPPKSRIPSREELLEMIRESEKEDHKYSEHARRKRQTSRITYQEYLDNLGKADYDVRIEEGWLEILYPFGTWAMDKQLMGQAGRETQTNLGFDCPFFGFRFNYTMVYPMGMISFGLPPFSAPPWTFPNPSWPKQRDHSFIAPFYADSMFQWIGNTKISNVFFRSVHRPRLDDDEIYERNSQLNYGAPNYAQAGAQSAANQQFSNPSLYSQNLNPYSQNQQYNTQLLQQQQQIYGKRKKRQMPGRVSQPGMVVDPLLLDNITRHIQDGYTGANGFRAEHAFIATWYRVSMAHGGAARALDVSQFEHVKDWQNTFQLVIASDEIRTFAIFNYARLNWTSSNEAGGLDGFGGKQAAMAGFNGGNGTGWYGLPYSGEGRLWKLGYFSNVLTPGRWIHRIDELIIPAGCTNASNGAMYTAPPWGPMHGGMAINVSGPCLRPADVVKMNFENWQTTCVRLNRVRARCIMPMFHKIGIVPIRMSRDGGQSFPFFGRFYVVNPERAPAYVTLKDSVDNKTNRWYEPYAQELAMSWQAMNLTWNSGARVDISLFGYWEDADRSHFERLDYLARGVSNTGTYSFRPQQLTKQYLLRDAWQKFHFGFVQVALADTEDGVMWSKPTPFPWYHLPDWQRYYGQNWPIDMCVEWFEYDGKRNNFQIDLTTDYPCPCKLSQAMLDLGRFMPFLDCDKDGDTSCPFNKGAQHCIQSVQPTFSGSSQQCCYDYEGYLMFTDDWEPDGDYTTFFQPGTPARAHRYGAAPYRLPPFIPTLSNYQLDLLPYRTCCKYADHCEFYYWRRMTNGCQDYKAPAAGYMYGEPHVITYDGIRYTMPGKGYYVLTMSDSPYHKLMVQVRLEQPDDTLWHAHVNATVITAVAVQENDSSIVQVYARKPMRRWRYRTDVYVDGSRRFFDKPHWKHQQFKHLDIRNPLQNMNQSEIVIMLKSGVGIRIFEGFGMLDVMVTLPPSYNTTCRQGETMSSALNAPTGQRRCYTTLGLLGVYNNDPTDDLTTPTGTVTRVQNPTTTSSTTQMIYEQFASTWKIDGTNEKLGNLLFQDKYKPIYNPLLFAESDYRPVYWPQTIDMNASRVFTMEEVVSTCQNNPECEYDYIMTGKKEIGLTTLRRQKQFMALQKTGSKQLISCGPLLKKEGVIKTPPAANYLDGDKVVFSCKPKYYIHGDIERVCRNGTWSPGWWAWCRDRNLEYALKWMTALLSIFGISLIFVIFFCILWNIRKKKQAAHAERLQLKEEEENLRKLEAERMFAAPPEKTPLIENDFRSNYNMSQSSRQLSQPPSSQYNNAPSFVAPPAPTYAAATSSQNQYEPTRRQMFETSAF >CRE25530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1342626:1343406:-1 gene:WBGene00054984 transcript:CRE25530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-1 description:CRE-TTR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS35] MQFLFLILVLTISISEGGKLGRLQSVAVSGQVKCEGKPAVGVRVDLMESDNNMEESGIIDDDDFMSYAVTDELGNFNMSGSEVEISGIEPYVNIFHKCDDGLSPCQRVLRINIPKSATIWGDTPTELFSIGIFELAGKVIGERRSCAYRNLTSTSI >CRE25531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1343968:1344779:-1 gene:WBGene00054985 transcript:CRE25531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-2 description:CRE-TTR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LS36] MAKFVFLGLLLVGSVASLDLIGRTQSAAVKGKLMCEGKPASGVKVKLMESDNSFAPGFLDSDDKMASGKSDSSGGFNLSGTSKELTGIEPYLAVFHDCKDGITPCQRVYRVNIPKSYTNSGSSAKQTYDAGVIELAGKYPGESRSCLN >CRE25239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1346083:1346670:1 gene:WBGene00054986 transcript:CRE25239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-3 description:CRE-TTR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LS37] MRLLILSLFIGSTIALDLIGRTQWAAVKGKLLCEGKPASGVKVKLMESDSNEYNSFLPGFLDKDDKLASSKADSNGEFNLSGSTKEITTIEPYLAVFHDCKDGITPCQRVLRIDIPKSYSNWGSSAKKTYDAGSLELAGKFKGETRSCFNR >CRE25532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1346752:1348367:-1 gene:WBGene00054987 transcript:CRE25532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25532 MIDEPELCNMKSCEQCEHPSTKNKEEDKVVADNYINLGEIQAATAPTSETPASQPGSSPASAPPSAPPKSAASGSAPGSAPQPSKPTPPAQASVFPDAQAAAPPPPPPENALAAVSAASPPKDAAPVAINPVHAPQLKLFEDVNFDSDGKSSQALVGKGSKGSASDPRKRRNSGTKEDGEEYKKPKAYYVARICYGSCFSAFFIVIIIWGLVTAVALNVMKMDLIENWIMSSG >CRE25240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1349935:1357730:1 gene:WBGene00054989 transcript:CRE25240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyld-1 description:CRE-CYLD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS39] MPGDFESIPEQSAGSHQFRNPLEDFTGSHQYRTSIHALNNRDLDFLAQSSSNSNSISRQKTRNHNGLHLDQNIPVGNLLDGFELIEVPCDSFEEKLVDISSSHSKPSLDQNRNGEQLIVALLQKKITGLKFHRSPGEYEEPRVIEIPAGTLVRGMADDDWKMPELNDWYSSSRPSRQEGMATPMEELDCNPTVCSMVTRTDVMRITQEQAIHLLSVKPHHRLDLYQNIERFGFLLDLKVGDRVQVDVDDTMRKVPAKVSWIGERPQEPGIWYHVDFDTNTSQWPPNNSYPSHDRLDRHFDTNWNLDMSGSSSVASSNSRLYYSPNQMHMPMKGGGVSALYDNRRLVQYNGSEEDYQSTPKPAPRERIIPVTRQQQAEVERPTRQMRPPEPDYNYSNHHHHHPLPPPSVPSRISHSSMSAGQPSRSKSVHTIQRNSFTKLYPADHNEPVESDQLGFRIGDQCIWNNSGTEERGVIKYIGFLKGHKTLYAGVEFRNNIGAGTGVFNKEQLFQSREGHAGFVELCTLETPSSSSSTASSSSAQHRRRLSSSRSQQMPAASGTSISVPVNGRQNVNGKQASIESLSDPPPPPYAPPSPPRASSAAAAHQQPPPLPPKPIAHVEDYLIESYDIGNFFSGSHVVVAHLGAQRSGTVRWLGNEVDEQGERLVRSAIVQLEDDVPTAWRRSTEAATYSGSPVIGGVLVPVQALRHNRAASSSSGQSLPISSNYSMNQVSNTGHRRTEEFGSMDSGVEKQKCGPAKDMQQLVGRQKGIQGYCNSCYLDATLYAMFVQTTFFDFLLEKSIKGSEKAAQFQKILAHEIVFPLRKVHYVRADHVMKLRKLLAELMPHVTGLTNEEKDPEEILGFIFSEVFHAEPFIKLIGQNHVKDSQYLVPIVVDDWQGGAATSQHLLERHMRSAQVTFAKPPPVLIMQLPRYGQQKVFDKILPLETIDITPFVAGAVPACTICGACSEVFCPTCFLTRRVFYSEIIFCRKCFHHSHLLPEIQDHQSRDLFPPAKPIKKPHSHKMVLSAVLCIETSHYVAYVRSASNQWMFFDSMADREGLSDGFNVPVVRECGNMSDWLSLQGWNRLKDADETGQIKAMFGKTVLDPLVGRLLSDSYICFYEDASQASSSSSSSTLINTFKNMMN >CRE25533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1358161:1360842:-1 gene:WBGene00054990 transcript:CRE25533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mam-7 MGIEWNSLLSFICFLVFIERTDSCTPFGRESLLVSPTMLGVSSSSGSEPGLSRPAVTNNNLFPQQSSVRARGEKNTHFGITNGVAKSNKEMSVSLGVASTPSILDASELNCSEFDELCRWRNVDGMFVDELDWYQGSGELDKTRLAVATATNVLPEGQYAIAATDMIQFPTIKAVLVSDQISCQVGDGEIRFIQAIEKNDPGPVFINIPDQGPVPFQIYIIADHFTFNSENLKGGFAIIDSIEYYARMCNSEEKASFDYNRKGSDSVPLIPLAESKDEFEDSSSHVTVKRESDIDSSFETLHAFEAVPPMNFQLGIPKGSGERTGIVDFTSKPDEGLFMNSMIKLRRPASHETNDINEEIINSESFDPSVSTVCESIVCSFNETEKCSNMALQSDWSIGTGSVGNPLTGVKGDASSLPFNENGSYAYIHGPQMKSRLQTPSFNVDKAVTIVFSYYKADKTSQFQAILKKENKEEIVAYEAPKLTRNSRRWFRESLNISPGRYDYIAFEVANLRPNHYVGIDEMFVVDDRRKSFCFHSH >CRE25241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1361907:1365973:1 gene:WBGene00054991 transcript:CRE25241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25241 MEVREHLDFFSTGTIKETEMSRRNATSVVDNTLTSSGISGSGSMSPPVTSRAASGQASPRSNGSLSPPAFVDDQGSVSYNSDSPRDLSPLLLSELACLNMREVVARPGLGTIGRQIPVKSNFFAVDLKNPKMVVIQYHVEVHHPGCRKLDKDEMRIIFWKAVSDHPNIFHNKYALAYDGAHQLYTVARLEFPEATIRLDCEATLPKDNRDRTRCAISIQNVGPVLLEMQRTRTNNLDERVLTPIQILDIICRQSLTCPLLKNSANFYTWKSSCYRIPTAAGQALDLEGGKEMWTGFFSSAHIASNYRPLLNIDVAHTAFYKTRITVLQFMCDVLNERTSKPNRNNNPRGGPGGPGGPGGYRGGRGGGRGGGYGNFGNRGGPPNGGGRDDFGGNGLTFTMDTLSRETQLSQFESRIFGDSIRGMKIRATHRPNAIRVYKVNSLQLPADKLMFQGIDEEGREVVCSVADYFSEKYGPLKYPKLPCLHVGPPTRNIFLPMEHCLIDSPQKYNKKMTEKQTSAIIKAAAVDATQREERIKQLAAQASFSTDPFLREFGVAVSSQMIETTARVIQPPPIMFGGNNRSVNPVVFPKDGSWSMDHQTLYMPATCRSYSMIALVDPRDQTNLQTFCQSLTMKATAMGMNFPRWPDLVKYGRSKEDVCTLFTEIADEYRVTSTVCDCIIVVLQAKNSDIYMTVKEQSDIVHGIMSQCVLMKNVSRPTPATCANIVLKLNMKMGGINSRIVADQITNKYLVDQPTMVVGIDVTHPTQAEMRMNMPSVAAIVANVDLLPQSYGANVKVQKKCRESVVYLLDAIRERIITFYRHTKQKPARIIVYRDGVSEGQFSEVLREEIQSIRTACLAIAEDFRPPITYIVVQKRHHARIFCKFPNDMVGKAKNVPPGTTVDTGIVSPEGFDFYLCSHYGVQGTSRPARYHVLLDECKFTADEIQSITYGMCHTYGRCTRSVSIPTPVYYADLVATRARCHVKRKLGLADNADCDTNSMSSSLASLLNVRTGSGKGKKSHISSVEDETFSLPDASSDQILQDCVSVAGDFKSRMYFI >CRE25243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1374452:1376757:1 gene:WBGene00054992 transcript:CRE25243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25243 MTSRRIINREQRQCSQIRPYLYVSGLAALSPRVLSRFCVCINLIPGFRLSAPPHMKVVHLPLQDNETTDLSAHWANVYKVKRERKREMNYLFQEIEEARKGAGRALLLCAMGISRSATFAIAYVMQQEKKTLHDSYKAVQLARNIVCPNVGFFQQLIDLEQKLRGKVSCKIIEPLPGCKVPDVIWQELYDEMIMSMSQDDRHSLASCNLSARSTTNDTMSLRSLNMVNDTSRSLASFHLTHRPIGASPTLLVPSSSSSSSVRGPIPLQRAHTEPPKEASILPKSALRDKSKSGEKKKKWRLSFHKDVV >CRE25244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1379206:1381797:1 gene:WBGene00054993 transcript:CRE25244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25244 MIDSNISLSTLTITPLTYRDRITVEFGVYGTCVVFNLLLCIFFVCRPHLLRSFKPTIFFVTLGTFVLSLPLFFLQFYLVVFLWSLVEPQYTVAVCTFVKCITSSTTSCAQVLPLPVAIFRYFIVVRNRKMPSWFVVVVHSFISVVFFVIAMLNYPLGEFETNDQCAILRFSKAMEAVRISLTLGLNLFAVFINVAIYTFVKKYDKRSFDVHRRRVQLTYSMLLQSMIPILVSIPLLVGSFDFYFGYTLPSGFTSRWYATTFLSPFLTPISSMLSLRTIRHELLSVFLSSSLFAGTRKISNLVIKTSKTNVAPQSSDYSSA >CRE25245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1382666:1385748:1 gene:WBGene00054994 transcript:CRE25245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhhc-4 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LS46] MSCRDCTRKGGCVYTTFWLVRFLPVVLVTIATGWGIYAYTYELCFLSIDNWPQRIIYLIVFYTLLVLFYTSYLRTIYTKAWQPPQKFFLEGAAKTTYDTVKDDERQLQLFLADIVRERDLTLIVRGFDNGIRFCDKCCCIKPDRSHHCSMCEQCVLKFDHHCPWVNNCVNFGNYKYFILFLAYGFIFCIWIGATTLPSFIDFWKHEYDLNKKQYDSIDSIIPRFMKHLHAVLSTGRFALVFLLFLSCMFSLSLSFLFFYHLYLTAKNRTTVESFRAPMIDGKYAKDAFNHGFRANYREIFGSHPLYWFLPIPSTIGDGCKFKLNDMVASTAGNQVFVELGGVQTGNINSDSRTSVISQHHTELYAERPIEKQLSTVDETDELRSTSSTTASPSHVKSDSYSSRIVFLSTINIDKFRLGDGVEFKKWTLEAALTSRGGRNGSNIGPLRYRLLEQQDEAEMQHLYSDDEDDDLDLNVRIV >CRE25535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1386106:1387699:-1 gene:WBGene00054998 transcript:CRE25535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-48 MGRRHRRSKVTRVVNLVKKIIAFFFSHIGLCALVVGYALLGAVIFKAVEGPHEAEIQAQVKNARERAVDVVWNATFRVNRLDSKQWKKTVLDEVKIFKTVCMQSIRKGYDGKEYGKQAQWTFTGAFLYSLTVITTIGYGNTAAKTYIGKTLTMLYAIIGIPLMLLFLTNIGDVMAKIFRFLYAQSIRLKFRLILWHKKRKAAKIRRANSLVSRLTRGHRVKADSSVDSFGLGENDVQKVEWNIEVQVLVRETAAAQLESVTVPISLVVFTMLGYLGVGTTIFKVWEGWTFLESFYFCFISLTTIGRFSNSFGDKFPSTSVSNTDEAQEKLVITSIYLLFGMALLAMCFNLAQEEVQNKTRWIADKFRSKDDDDD >CRE25246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1390216:1392934:1 gene:WBGene00054999 transcript:CRE25246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpdh-2 description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:E3LS48] MSLASLKLLQEKYQQLNIFSIMSIFSFLSSLFRYFGTATSTAVTMSPKKVTIIGSGNWGSAIARIVGSTTKSFPDQFDPQVRMWVFEEIVNGEKLSEVINTRHENIKYLPGKILPENVVAVTDLVESCEGSNILVFVVPHQFVKGICEKLVGKIPSDTQAISLIKGVSTEKKGGLKLISEEIKEILKIEVSVLMGANLAPEVANDNFCEATIGCKRKAEDGPLLKKLFHTDNFRINVVEDAHTVELCGALKNVVACAAGFTDGLGYGDNTKAAVIRLGLMETTKFVEHYYPGSNLETFFESCGIADLITTCYGGRNRKVCEAFVKTGKTMAEVEKELLNGQSAQGPLTAEEVYLMMKKTGLDAKFPLFAAVHKICAGEMKPAELVDCLRNHPEHM >CRE25536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1393246:1394725:-1 gene:WBGene00055000 transcript:CRE25536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25536 MSGKIQPVSGAKPLSMWQQYGPSEKTVRGIVIGGITGGIEICITFPTEYVKTQLQLDERSSTPKFKGPIDCVKQTVKGHGFFGLYRGLSVLLYGSIPKSSFRFGTFEYLKSQAADERGNLSPVMRLLCGLGAGLSEAVFAVTPMETVKVKFIHDQGLAQPKYKGFVHGVGCIVKAEGLGGIYKGVTATMAKQGSNQAIRFFVMETLKDWYRGGDNTQTISKPIVGLMGAVAGAASVYGNTPIDVVKTRMQGLEAKKYKNTLDCAMQIWKKEGFFAFYKGTVPRLSRVCLDVGITFMIYDSIIEFLDHYWKKQ >CRE25247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1397080:1398518:1 gene:WBGene00055001 transcript:CRE25247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-36 MSGVLSRALTQGNSLIRQLLAVRTPMCQEVAGFKVKSRLKLRCRCCYFIRVDGRLHVECNENPRHKAREVFDVKKLW >CRE25248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1398970:1399741:1 gene:WBGene00055002 transcript:CRE25248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25248 MRQMSPHLPQQNPELEGIFKKVLCKASLLLLEKSGVKTVDAFQKCFGPLIEEMEREKVVEKEVIEVQRDGAVSPISFEEFQNNPKIGWSELLEEPFTSSSAYNYRRRTSLFSDLNLLSPIASEASKSPEKLKRSQMTPARSINKKPFFNSMSTPKTPWRRGNSMYVEDSLNKTMIVTPRKSVSATRLAYPSPSPLPDETPLENRASRLRRMKIDEKLREESLGWK >CRE25537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1400218:1402035:-1 gene:WBGene00055003 transcript:CRE25537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25537 MRHHLTLLLIILSILIFFSSAKPVFGPIGVAEKHKIAKMLQNEQKSLQMLEEEQALLERVVETLSKEIEDKEAHIDQLKRSYTHGHGAISNIMDDYRSSFKNGIGARPGR >CRE25538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1406865:1408424:-1 gene:WBGene00055004 transcript:CRE25538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25538 MEEIDDDELTIYYIDDAGKNQGPFAATVVLGWVQKGHFSDKHCFRITDNGQRIGKLITIDTTVGELKATFGEEAPIPVKIQTVLDTRSENSPVYSFGRTVLSSRAKSPVRFQPFHVKSSSNLKELDKVESQAGTGKISQHQTEKLIKREDSEEYSWVPNENEKRLSISNHDLKSDLKYPSSEKESRRRRTRSHSSSPSEREGRKRRKRRTSHSLSPSNQTERKSKSGKGLESIFYGPEPPPSFTRKEGRSDNNSESKKENFAKQEKNISFRNKNIPFYHKDKNSKHEKLRDVVIIELTKLIQLDYNNLSKKERDRLHSNIKEWDLPERCKVCDCELKMSANFLSHVLSTAHIKKSCSKNAFRFTFEGDYMTVKNEIEQAKKEEKEADIRERFNKHYPRKQSGPKHTNPESFQSADPDIPFHARQKCAQS >CRE25539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1408987:1410933:-1 gene:WBGene00055005 transcript:CRE25539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25539 MSSECRRSENLYRYSYIDLEGNKYGPFEGSKMTEWDANEHLDDELIIFRISASGKTEKFILDNLRRVSKTPFLETDPSVQEENKHLVELKSESPDSMSIESSCFLQSSPAISPHNSEENSTVFEEKIPTNDECQKSSNIIQQSPLVEALSKKVSAVSKVDLSLTATLSPTTLSSVIREPYAGKYFSSTDNIKNYNPDIYPSHPFMRLPDSRFPIIKLENARAKVFSLYQFIITVPKQLMHFPPYTTETAACQLCRIDLTGPDMFKHLINIQHLSKISNSLFTENDVDFWIDRVNNVIKQAPSSALILPGFNPEMNLNESESITGFINRTKGFVRNPNLAQIEKVIEAVLNVTVATFYSSSTSKSKRKVTGKFLSQVVEALIVDVDQATFQRRYQRLMKKHNTCTFCNTRFESFYEACSHIGTPQHSEKINNVLYQEGLVATLVRILARAALSKRASRLQARNLSYSSSVDIITVD >CRE25540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1411542:1412596:-1 gene:WBGene00055006 transcript:CRE25540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25540 MVDRLVNSEVNARRMANVEQCFGKMGEPLAQFGRVLVGEGVLVKMCRKKPKQRQFFLFNDILVYGNIVISKKRYNKQRILRLEGVQVEDLEDDGAQKYGWIIKTPAKSFAVYAATETEKREWMLHIERCVSDLLERGNKQAATAHAAVWIPDGEATKCMVCGKTQFNLVQRRHHCRSCGRVVCGSCSSKSFRIDNVNKKPVRVCDHCFDSLSTTTPGQEGSEAKTGNRLHHEDSSSDSEDEANNSGRSSNESRPTFYREDVQQPNV >CRE25541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1413269:1415250:-1 gene:WBGene00055007 transcript:CRE25541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25541 MSDCEIIDVIKTKSLKRKRQNEQTSSKRKRTSSGLIEKSETMKGTETEFEEFVIDRTITPQRKLAVKDVEKSQNGTEKISQWRKSLENTLLGSALIQKNEKHDTPRFRKSCFNCDGEHQLRDCPRPKDFRRISKKKRESGDATRRRQPVYDNVGLSKQKQNDFKPGEMSEKLRNALGLRNDDIPEHIYRMRRLGFIKGYPPGWLRKAIKTSDTLQFFTSESKKDEEKKEEPPELDKSKIIWYPGFNENNSNLKDRETFKVPSSEVFCSGYQEELAEIFKKQRKAVKKKSKAISRHKKFADEDDDIIIIDNEHTRKEESKFNTPGEEGIVIVLNECLPSSEGQEGAKTPTRSNVKVGESAFHIIGTPTYGLRNLPPVAPLESFAVGIQPFIADAEEVESKGTFRKLMENLKKAREHFLEPELEVEVQKSCAKEKAEKISLQSTESVSSFESKSEKVKKEKKKKKGNRSKKT >CRE25249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1416010:1416719:1 gene:WBGene00055008 transcript:CRE25249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25249 MCQVLLAILALFLPPIAVLLDQGCTCDLLINILLTCLGIIPGIIHAWYIILCKEKTVVQNIYVQTSNHGTAPPAYSA >CRE25250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1417474:1417963:1 gene:WBGene00055009 transcript:CRE25250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25250 MSSNAEAMATDFEQTGGPDLSSGTGKRTKSERAEHKHSSQPGGDTRKVVQTASNGEAKRKEKWLVGVTSSAVFIVVFADQSFNSLFQIHSTQHQSLPHLRPTAN >CRE25251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1418280:1418974:1 gene:WBGene00055010 transcript:CRE25251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-52 description:CRE-LIN-52 protein [Source:UniProtKB/TrEMBL;Acc:E3LS59] MSRPGGYIGYEFGDDDVYVQHIIEEKKAGAEQSKMLEHQKKMLECTETMPVGEEPVPMKSLDFEQAFRNTTLSEGYQSPYKNIPFLKEDVATVNTMSHCPPDDIAKLIRNIQNSVYTLGLDEARQCRRGKLLEVLKPVKEPTSLILRSTSPAMVSSPGENH >CRE25542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1419075:1419795:-1 gene:WBGene00055011 transcript:CRE25542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arl-5 description:CRE-ARL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LS60] MGLIMAKLFQSWWVGKKYKIIVVGLDNAGKTTILYNYVTKDQVETKPTIGSNVEELTYRNLDFVIWDIGGQESLRKSWSTYYVQTDVVIVVIDSSDTTRLPIMKEQLHNMLQHEDLARSHILVLANKQDLPGSLNPAEVSLQLDLQILRNRKWQINGCCAVKGEGLPEALEWIANNI >CRE25543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1420464:1423333:-1 gene:WBGene00055012 transcript:CRE25543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-panl-3 description:PAB-dependent poly(A)-specific ribonuclease subunit PAN3 [Source:UniProtKB/TrEMBL;Acc:E3LS61] MQPNEGYQYDSGPPNNGGQGHQIPAGSRLNQYLANNNRQAMLTGPSFGPGTPINVNAPVFIPKHQQPQPPPPPPPAQMVNQFAQLSIHDVPVQMIPFGQINAGPPPYGPVPHMNHRPPPHHQPPPSLQPPPPHLQQAAYDRYQQENRGGTTYFYQEPTDGPPDEEHYHDADVGDGCMMVVTSGSFGYNAPLPMTHMARFRGKANANQQTQFISPELRMELLNRQLAFDTKPDPSMYQDVPQAVEHFSSLVPLENTSLQNQSQTTYKAFSCRDGIYYCLRRIHGNRIQHPGKQTHLVEQWKKLVHGNVVPLREVLINCRAFDDSSLVFAYDYYPLAETLMTKHFDSKCGTNFYDPANGFRINSPMSANLQLSGSGANETLIWSYIIQIAAALRAIHASGLACRALDLNKIIVYGNKIMISFCGIQDVLDPDSTPIQQQQNEDLNMFGNVVVALATGRANAWRKDLYQNSKKIIEDNFSIDLRNVIGFLHNNGSRRTINEIMPMIGGRFFTVMENMQAKSDVLEGELSREMENGRLFRLLSKMNTVLERVENGVDDGWSETGDRFMLKLFRDYVFHQVTDQGKPWLDLAHIIQCLNKLDCGSPEKIEMVSRNGDTQIIVDYSTLKRCLDKSFRELIGTNIILHR >CRE25252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1425124:1427634:1 gene:WBGene00055013 transcript:CRE25252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnx-1 description:CRE-CNX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS62] MLNRKWSFVFLALLLATPINANDDVFESEDEEVAETINDKEEFVPSNFVAPKLSEKSKPNFFDYFPVGSKVGQTWIKSLARKDDVDSDIAKYNGEWIIGAPTKVSIEGDLGLIVKTKARHHAIAAKLNTPFVFDSENFVAQYDVKFEEGQECGGGYLKLLSEGAEKDLANFQDKTPYTIMFGPDKCGASAQVHLIFRYKNPINGTISEYHAKQPASIGTTYWDDHNTHLFTLTVKPTGDFAVSVDGKSLFYGNMLSDVSPGLTPPKEIFDESDVKPKDWDEREHIEDETAVKPDDWDESEPQSVVDESATKPYDWNEDENELIPDPEAQKPQDWDEDMDGSWEAPLIDNPACKGLSGCGTWKPPTIKNPKYRGKWVRPRISNPAYKGKWAPRLIDNPNYFEPRPFEGLSPITAVGIELWTMSENIIFDNILITSSEEDASDIAKQTFYVKQQEEYRLAAASGNSNGIFQQIIDATNEKPWLWAVYILCILLPLVAIGVFCFGKAPKPAPNFAKKNDTYSADDDRVPNLVDDEEEEIIAEEDDEDQPGPSGTQNQPPRGDDEEEVVDQQPSSTKTESSQSSTAEEDDEEDDHVVHENEPVQPTEEVAKKSPKPSGGAKRRTARRGD >CRE25544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1427901:1428674:-1 gene:WBGene00055014 transcript:CRE25544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25544 MDNYVPSDETTVEPSNPFPPPYNAEDAKVMLVSKSSKFSKINSYVREYFTESSDRNRFVVFKSTNGATEKAISCVEVFKQQFEEPLYQWTRVVCSKRIVLWKCQQEGPRDIRVTVEVPVIFIVISRDPFPGEYSCMSMQCSSDKEIAFLPNNRASHGSGGKSDKKKPKKGNRKTNEGNKWTKPSSEQRKNEQKERNQLLKEIEGLSAQ >CRE25545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1428872:1431902:-1 gene:WBGene00055015 transcript:CRE25545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25545 MLSSLSFLIHGQNNNQQQNQTLKELECLFLVSKNKPVRDADGNPLSAEELTEDLNKRIIKLKLDAFQTVINDILDKLYTHDEALHYFVLVTTYRLPDQHLEQSVKDLSTQQNLVGRLINDNSTNLYIQIVKIDDVYKYRLYSKPMEHNNIPRLAWDITPVLKTLKFSDVDVPVFRKNWLFKYSYFIKKFSAKYTSSFKAVDEWGLISTEFRGILSCEELNLSVREGQLIEFFHLDSLTFFKNILDMHRDISSDLFQLDGDNHFYQSRPCRVGEWNLTTTRIVLQKHLFLANQLSETVRKLKDHFVTTTSNSIRLLIQRYLIDEQHIFSDYFELSLGNQSALQFRSIVESFNNLNLLNQNLNKFEVCDKIYETVSAHYHVIREMNKVVQNINDYSPILLNEMSFTGSQSAPQFYARQMWRRLNVSLIQFIVKSVVFIFLFKKKLDNFSKLAEMLTTPDSELMELLTDASDVKLIKDPSIWQRLNPLIMESSQCIDELLANRVADPIIGYQFFINDKKNKSWKSDDSTFALTDIQDAEELELFAKTSNFEKIIDGILSEIDVNSTSGNLDELSTCINKLLEQVPTTMLVEKYSRVVLEKSVRFFGFLKTFFTSAYIHLKDRNILSRTTLDTLEREKMHKQGYDAEFLKTVGYDMKSTMEDSLLTVNPPEPLNVFVSTGVTDLYSQVFTVLNMLHTALEAVIETRNSETLKHEPRLRYAFFHMNTTVFAIRKNMLSLIEASFETLMKALDFESASEHKSSHELLNVCYKAHRKFIRDVAGALMLNSKRGTTGRVIRLMVSSVSQASRACVNGDAVSADKHYQQFLTNLLIFLDQCRLDHVRYPLYRSLEIGSDETDGRRSRSSSFSDDISCRSY >CRE25254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1433964:1435682:1 gene:WBGene00055016 transcript:CRE25254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25254 description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:E3LS66] MLLKLKCTVNNYAWGAKGEASMAGSLALDGGHIPNLDKDKPYAEFWVGTHANGPAHVIENDIALKQLLATSPNLQGKHENGKLSFLFKVLSVVAPLSIQIHPTKEQGKILHAKDPKNYPDDNHKPEIAIALTEFELLSGFRQHSQISEYLKLYSEIQELLTEEEKGQIDNLGSYGESSSQVLKQIFSRIWRTPKEQLQIVVDKLARRIQGQGTQDMIELCPFTLLVSENKSALDEIIVYLFTMYPGDVGVFAPIFLNYFKLQPGEATFLEPNMPHAYLKGDCVECMADSDNTIRAGLTPKYIDVESLVEMLNYNETLLPKYIPNELEDGSLLFTPRGIEEFWVQEVKGPAGLIYQLPYSESCSVLTVLYGTATVTVGTTSQTLNRGEVLFIEATHSTERPKVNITDDFLAFRAFTPSPRALDSLSSKHLVID >CRE25255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1435910:1437726:1 gene:WBGene00055017 transcript:CRE25255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-riok-3 description:CRE-RIOK-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LS67] MANCDNNPWKKNAWGKKEGEVEEPIVSFVDVMSEEFIEDMSLQEKLEDERYIKHIEQVYGDSSTSEEQLPINTEGMTDEEVALALQRQFDREYDVALAVATSSSVHIAPDRYHPKTLQETDSEDEDDDALRQAATNMLYAKLDADDAARLRPEGPSTSRTKHDTGVSGRRNADKTFNVSFYTKRRDRTLNTKILKLQRYISSSSFQDRNPLPTGDMVNDKLNNKVYNRLMAFGKSETKRQMRHKDKEEKATMETSVDSDTRLLLLKWINQGVFDSVNGIIATGKESAVLHAQNSVTSFAIKVYKTTLSEFKNRSEYVKDDFRFKNPRAVLKIWAEREHMNLSRMAKKHLPCPQPIEVRKNILVMSFIGDSGLAAPRLKNVDWDFFIDEEVKEVYDQVQAASELIIVNKKLINFQIMIRMYKECDLVHADLSEFNLLLAPGNKVHVIDVSQAMDLSHPRCLQFLTRDIHNILSFFNKIGSPNLPTDVTLFNMITDLEMVENEDLLVQVEQFSEENRSVDLRHDKSRPADMELKKYNEEKKANRGVSPAREYN >CRE25546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1437897:1440458:-1 gene:WBGene00055018 transcript:CRE25546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25546 MSSDDASFKSPTLPLLHISNDSTVPPSQSSPNKIGMVTEQIEGPVEGVIDEVETAEVEAEKEAKISIQAPALHYEVPPWACEPDPEHTFQLEVFWNFSVFKLAVSLFQILKEGKLIGAFDLANRKNATFVVIGRIKPGCDLVMEHPSISRYHCILQYGDDRMSKTGKGWHIFELGSTHGSRMNKKRLPSKQYIRTRVGFIFQFGESTRMYNLVGPEEDSEPEWINGMVFRREMKLRKHKKELEAKLRAAAAQEMIADEKRAKEEEEGCGWGMDYGEDEKGVTTVETDAHLMEDREAYYNQDPKKALQKFFEREGFDMSFEFSEQGQGHTHKWVCTIELPVEIDGVDRAFTASATVSTSKNDAQVQCALEACKILDAYNVLRKSNTKLRMQRKTLEANDYYDEDDDLYLDRTGQLEKQREKRKQWAEEGYGHKRPEKDTYESLCAKLEEAKKEIVDCQKHLDALSVLSKNSTATESGGDVLDDYIRQLQKTGGSGDDPKVRVTKMEKSKWRQKLVAATHESQKLEKLVKIAKPAAVKGLEQLDASASNRDAFLKKVMGLRARKEVDQTPAQQPGPSTLPFPITPTNSTAAETIKESPVELKKTGELKTYHPSVNTVDVMPEDMDSEEKMSEGTNEKKEVDVIPPKETNDKKEVGVIPPKEAFGSKVQKRVAEWEEELEAEKEEVVKKQKLEKEAEARRKAQRAPRRGVEKKVGGSEDYGAGVEDREEKYSTWMPPNAEQSAAKQDALRAKFAGKY >CRE25547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1440643:1444920:-1 gene:WBGene00055019 transcript:CRE25547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mcm-6 description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:E3LS69] MFLSLFYAHIGFKSIIFSMDNIIGGQAQQVEDTDGTRVQNEFSKFLKSFKDSKDELRYKVAMKELVQPEKNTIFVDMQHLYKFSNNLATTIELQYYRVYPFMCEALHLATLDGCDENERQQMFKKQLYVSLYNLDAKTKVRELSADKVGGLVRIAGQIVRTHPVHPELSRACFVCEDCGVSTKDVQQQFRYTQPTKCANPQCMNRTRFSLDVNSSTFVDFQKIRIQETQSELPRGSIPRTVDVIVRGEMVETVQPGDKCDIVGTLIVIPDIAQLSTPGLRAETSNQNRGRATDKAEGITGLKALGVRDLTYKMAFLACHIQQTESLIGGDASGAIEESDYLELWSKMSPEDRAVLKEMSNDKKIEKNIVDSLFPNIYGHHEVKLGVLLMLLGGVAKKSKDEGTSLRGDINVCLVGDPSTAKSQVLKAVEEFSPRAIYTSGKASSAAGLTAAVVKDEESFEFVIEAGALMLADNGVCCIDEFDKMDLKDQVAIHEAMEQQTISITKAGVKATLNARASILAAANPVGGRYDRSRPLKYNVQMSAPIMSRFDLFFVLVDECNEVTDYAIARRILDNHRSISEHTERKTAYKIDDIKKYIAFARCFKPKISDRAAEALVREYKKLRMSDSNNAATSSWRITVRQLESLVRLSEALARLHCGKEVLDSHVEKAAELLNKSIVRVEQPDIALDDDDFDNNIVVVEANKENQRGDEDMDHDGEKDAGAHVDPAKLKISFKEYKQLSDALVLHMRADEESQGEDEYEGVKQSALVEWYLATIEGDMESEEDFNVQKTICERVIQRLVHQDHILLEVEPGEDPTLCVHPNYVIADE >CRE25256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1449339:1450187:1 gene:WBGene00055021 transcript:CRE25256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25256 MSQTCATGRGKLCEKACVYFLDTTTSTSCQPERCTDLLIIMRFSYISLLYFVLLSPLILIVNSQSYNDVICRRPWYFNSPRPAPLRCPTPSFFTYYECCGEFLENCCWRFRQEPIIISVILLVLLFLLCCCCCIAWLAFGRKKKSTNVEPEKQLTTTKKDSQIQTISSSTIDSGTQWELRKGYEETERRRSYAAARDRELDYQYFS >CRE25257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1453291:1455506:1 gene:WBGene00055022 transcript:CRE25257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25257 MASSAGREKLRSRGQRVFAFGSSTPRDLSHMNKIPPKLRSYDAKSVEKPVDTPSLHDYIVKARSLSREGGDSRNQFVFGSSTPRTLAHLDKIPHKQRVYDAKIPKKSATHSDFKAAPIRFNAPPAPITKPVKKEENRHVITSKDDDIASEPDFVQDREEFLNEMKKVKQELEKKKSLGKSDSNNPIEVQSPKSAETSQEHVKFAHAPEIAGNAQNAVRIHAETQEEPAVAVKNLSANKMNDQIEVSQLMNEITPESVPAVESTETQKNVNVVGDLLAKVQKVAEESVDKTIKKTEDDVTRTLKEVKSTLDEKKKDVENNIAEKVDDITKSLEKTAKSLEETKEKLGGKIENTTSEIKEKMENVADKSEKVASDLMKSGEKMIGDAVSEVKKTLGDSEQKIDSTFSKIGSVAENTVNDVKNGLNLRNF >CRE25548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1455763:1457493:-1 gene:WBGene00055023 transcript:CRE25548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25548 MDGKFSMDSQILEEVIRSGNIPCHGRTGMLCAGGGLLPPALLDEARVPKFYLDALVACGGTSSSALPNTGLVYNLMGTSELPKDVLSHIWSAVNRAKPGQLTRPEFFSLLALIALAQKGESLAALCAMDSLPIPYLSPVQAFPTPSNPAPTTSTSSFVPFGKIKPSAFIPTSLLPRRSMRKKQESGSKEASAHNSPAKGAAQDLAGLDFSDISDMKEDIKDVNETPQQTCWRETVHAVYIVVEEANQMLKDAQKEVLQEISETEKGSAYFKSLSKAFDTLERVCKSAGVLLSIQSTKEAEYCRNLRRKWETFLERNSDEKKEDSMDDKKCMICFQPLLNGIEFGGQNYDAACANLWVNGVSSTLPSLHLK >CRE25549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1457696:1458665:-1 gene:WBGene00055024 transcript:CRE25549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-2 description:CRE-VHA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LS73] MCYGNESFYLSVCYRMSYDLETAERAAYAPFFGYMGAASAQIFTVLGAAYGTAKSAVGICSMGVMRPELIMKSVIPVIMAGIIGIYGLVVAMVLKGKVTSASQGYDLNKGFAHLAAGLTCGLCGLGAGYAIGIVGDAGVRGTAQQPRLFVGMILILIFSEVLGLYGMIVALILGTS >CRE25550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1458727:1459532:-1 gene:WBGene00055025 transcript:CRE25550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-1 description:CRE-VHA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS74] MVVDQNAIDGIVSAEQAMYGPFFGSLGVTAAMAFAAAGSAYGTAKAGTGIASMAVARPDLVMKAIIPVVMAGIVAIYGLVVAVIVSGKVEPGGVNYTINSGFSQFAGGLVCGVCGLGAGYAIGIAGDAGVRALSQQPRMFVGMILILIFAEVLGLYGMIVALILGAT >CRE25258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1460491:1461679:1 gene:WBGene00055026 transcript:CRE25258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqv-3 description:CRE-SQV-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LS75] MKLKARLILTGIILISLVVCYCLVLLILDMDLMTDYVDPRPPQVKSHKLCVVVPYRDRLEELEEFSPHMSKFLNNQNVSHHILIVNQTDSFRFNRASLINVGWNEADRLGCDYMVMNDVDLLPVNPEVPYEFPGVGVIRHITSPEYHPKYHYEKFIGGILMLTLEDYKRLNGMSNKYWGWGLEDDEFYLRIVDSKLNLTRVSGLSTNSTNTFRHIHGPKRKRDYIPKKNDKKQWEIKRKRDKVSGLHNVRYLINSRRLLDFSGTSVTIINVALDCDFNWTPYCKS >CRE25551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1462153:1463776:-1 gene:WBGene00055027 transcript:CRE25551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-usp-46 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LS76] MGANGSSQLEKEISTTDSVNTTNEHYYGLVNFGNTCYCNSVIQALFFCRPFRERILNYKQTLKKSGASKDNLVTCLADLFHNIANQKRRVGTIAPKRFITKLKKENGNNLFTQNSYFQLSKDSELFDNYMQQDAHEFFNYLLNTISETLITEKKAEREKTLRHGTIKKGNVTVNMAPATAGLQRDDKTGERNGITVEGNDFRNSTETTWIHEIFQGILTNETRCLSCETVSSKDENFLDLSIDVEQNTSITHCLRVFSETETLCGDQKYFCETCSSKQEAQKRMRIKKPPQLLALHLKRFKYVDSLNRHTKLSYRVVFPLELRLFNVSDDAEHGDRMYDLVATVVHCGATPNRGHYITLVKSNSFWLVFDDDIVEKLDVSSMEEFSGMSTDANIQMPPGNQSAPQKNSESAYILFYQARNYAADNPDHNHKSKNSTHSV >CRE25552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1467911:1475330:-1 gene:WBGene00055028 transcript:CRE25552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cbp-1 description:CRE-CBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS78] MDEPPSKKSRADSEYDSGLEALNALDSLEPLPTSSKDSELDNPSTSAGGSVGPSGSTPQQHPPSQGTPQPSQSNNGGFNLQPGQPGVQQQQTMGGAANGSVLQELLMNPSQTSNNSPRPPGYPTGQQNAFNRSPMMPNGTPNMMSPPTMGGRVPGPSPGGPQQVPGQPQMRPGQQTMFPPGSEQQMMMGGQGQPFSQMMHRGYPYAQGGPPGTQGIPPQYPGAGRGGPTPGQPMGRGAMMNGTMGRGGPMAAQGRPGMTPNQQQVMQPMMDRQQFMQQQGQYGQHRPDFMQQYGRGPAAGYPPMMHHSQQQMMMDANGRPPTHMMMMTNGHPGMGHGPQSGQLGAQSVAAQHAAQQAAVVAAQHAAAQQQQQQQQQQREQEAAAAAQRNGAARATTPGSSMLATHQDPEKRKLIQQQLVLLLHAHKCSQREKENRDYAAKNQPLPHAPCTLPHCSTMKDVLTHMTSCNVGRLCPSDTTQTTKKCSVAHCASSRQIIAHWKNCSREDCPVCKPLKRIQDTPLQFSLPDLANLIGVNGNSNGSAEGEGMSQFGSPAMRTGHITNTLFEGYPGDPFRNGPNRGGPQRPGGSNGEIPNLPPPDMPDCTKEWHHQVTKDLRNHLVGKLVKAIFPEPDPGAMNDNRLKDLIAYARKVEKEMFESANDREEYYHLLAEKIYKIQKELQEKKNSRLNQGAAAHDPYNNIPPSNELAQMLGVEGRNDAHMEGSSIAIAPSQQNQQWGGAPNSNMQQQMPPNGQIPPFNNGSTFPQSGNSTPNIGASSSNASALIHPKTEPMDEQNTDSMGSRPPTAVGLGGSSSSTPAPVMNGTIKKEDDPMDEASNQPPDSAKEAKENAVDNKPKEPQVKREPTPPPTEDTVFTQEDLIKFLLPVWEILDKSEDAFPFRAPVDTKLLNIPDYHEIIKKPMDLETIHKKLHSSQYQNAGQFCDDIWLMLDNAWLYNRKNSKVYKFGIKLSELFVAEMDPVMKVMGYCCAKKLAFTPLSLFCYGAAMCTIAREQQYWVYEQSSTQFNVTVTERYTYCQKCFDNLPPEGISLSENPNDRSNMAPKTAFVEQKNSVIDYEPFERCKYCMRKWHRICALHDKKVYSDGFICECCRTAKKYPKPENKYLASKLPHNKLSSFLEDRVNGFIKKQLQAEAHKYPVIIRTLCVQDKEAEVKPQMKQKYVETNQFPEKFPYRTKAVFAFEIIDGVEVCFFGLHVQEYGSECPAPNARRVYIAYLDSVHFFQPRELRTDVYHEILLGYLDYAKALGYTMAHIWACPPSEGDDYIFHCHPPEQKIPKPKRLQDWYKKMLEKGVQEGSVVEFKDIYKQARDDNLVTPTQLPYFEGDFWPNVIEDCIREASNEEAQRKVKEEDDDGDEADGGLGGNDSGKKKSTKNKKNNLKKSSKMNKKKAGSITGNEVADKLFSQFEKHKEVFFTIRLVSLQDEPAVLANRIVDPDGLMPSDMMDGRDTFLTKAREEHWEFSSLRRAKYSTLCLAYSLHETDSKGMEYSCNKCNGPAAWHCQSCEDFDLCESCKAQTTHHHEMEKIKSILQDNQGDSAAGGTRYESIQRCIASLVHACQCRDANCRRMSCHKMKRVVQHTKMCKKRINGTCPVCKQLIALCCYHAKHCTRDGCTVPFCMNIRQKLAEQKRSQQRRADMMMRRRMEGLQSHVGGGAVAPPTPSTSTNGAPNNAPTPPVNAGPGSSSNVAAKGGGVGQVQMQQQQGSHMGSGGPLSAGIGQGMGSFGGMSGMGGGPSSQNGPLPGLNQPMSSSNQNRFLPNGQGFGQPQVPGGQQNMYPGMQRPGMNHGGLGGGISLPPQQQQQPGQHLGQQQPGRQGMPNMGQNQPFRSNQDMYNMMNMQQQQGQQQPQGPIDPTLQPQITKINARLKAAKTNAERDSVFSDLKKTPHLFHAWLRVHGNNAQYPPGMAQQMQGLPQMQMGQNQQQQPGGMRGNVNFPAGPNGNQPRGPGGQFASMNPGMPQHVQQQQQGWPQQRQQNPGMPPNPMQFNQVGFNSMFSEKFQNRQQQMMMMQQQQSHPSNAGGQ >CRE25260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1480114:1481929:1 gene:WBGene00055029 transcript:CRE25260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcc-2 MSAPESSKSPVPQPGSSGGGNKLDSLSKEDLVKFAKKQVAHLAEMKKNQGALMEKLKRKIGEIEQLKTESENLKLINDKLTSEVAQKVENHPMECTECLSKSESLIVLEKEIVEWKEKATRADMFSLELRDLESKVDQLNRALREKTEALVKAQEVITENDMAVNNMKKETSTSRTSIEKLTEENTRLTKAFQDEKLKSGDFEARLKSAECRIAELSDQQGNEKLGLARKIAESENRGRILEEAVDVLKSEKEKLLLKNEEYSSRLEAAEKEFAEFKKKSHFVLEKKGKQEDETRHVYEELEKARMTIADLEQQADQTRQEHFKTVDDLASSRDKLERLEKTFKALKSEITETEKNHNNAIDELRSSSSKLIQRLDEDLRLMRSSRDTAEQKIKDLEMAKEKVDHLLNNERQRSENENGSLKAKLTNATKQIQLLEKEVQDVKADCENRKIQSVQNQQHKAIAAVIPQQMQIPEHPIPPNHYQRPAVAPSDSVSCYDEQTQVNTFYVQVY >CRE25553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1481911:1482847:-1 gene:WBGene00055030 transcript:CRE25553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rmd-1 description:CRE-RMD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS80] MESFASSDKLFDDRDFARGFSELKQRKENGELSCELLWRMCRFCQELSNTMRGEQRRQILIEGRDYGLQAMDLDPSSFLAAKWAAIVFGLVVDQLPTKEKINEGGKLKDMLDKALELEPTDFALLHLRARFSFTIANLSWLERKAASMLYSEVPKATIDDALVDFEAAYQQHSDWIENLLFLSKCHIAKKEKKQARELLNKAIDLPKESSNDEQFVTECKALLQKC >CRE25262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1484306:1486887:1 gene:WBGene00055031 transcript:CRE25262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-53 description:CRE-VPS-53 protein [Source:UniProtKB/TrEMBL;Acc:E3LS82] MEEPTTSELKISENVMNEIKDMCITEYCKPNMSLIAQINELFPTEQSLTQLDSVIAAVEGEISELDNELAYLVETNANVSERGEEALKLAHEAMIELEKSIGSIRERTKSSDDIVREMTRDIKQLDIAKRNLTASITTLHHLHILLTGVESLGAWVDKKDYSSIARQLPAILNVLQLFDAYKESEQIENLSEQLDKLKASLTIQLARDLKNAFQTGQLSDRITDMCRVAAALEGNVKENFVKWFIEQQLSEYCIIYADNEEGAWLDKVDDRYKWFVRKLTDFERAGLSKIFPTDWHMGRRLTSEFCVVTRDILYRIMTRRRQDLDWKLLGHAIQHTKMFEALLTKRFPEKDGISFDKAIWSVFDPFLDVFINAQEKTLNEFLDTCASKIRSGEEKPNRESSTHAVPFPSSADMFLLLKKVITESSKLSSEPDALIRYALYNQKTEIEYYFIFRDVIGVVRVCLRGYATSCLIAFLPSLGSQQSGAANLFSLIREEVAYPRLTPDQQFLVCCILATADWCAETSIQLQEKLSQRIPGVDISQETESFYSITNQSLLVLVQDVESTCDAALQSISKVNWTAVDCVGDESPFIGAMRAHLRQAVPLIRDMLSDRRKYFAHFCLKLATQLAHKFVGSLFRCRAISTHGAEQLLLDTHSLKTFLLSVPSIDSVINSKPPTAYVSSVNAALTKAEMILKVVMCSLETVDEFVEQYIKLLPASDAAEMQKVLEMKGVKRQEHSAVLNAYRIKIGASGSEAAPQSSSLTSRIGGALPTVGSAASVSEAFNAVVSMAADGLSDQTVTSSIDKLKRFERLVKRQL >CRE25263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1487485:1488469:1 gene:WBGene00055032 transcript:CRE25263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ech-6 description:CRE-ECH-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LS83] MMRLSSMLVRNTKLCVNVNQMQVAAFSSKAPEMIKVEKVGQKQNVALIKLNRPKALNALCAQLMTELADALHTLDTDKSVGAIVITGSERAFAAGADIKEMTNNEFASTFSGSFLSNWTAVSDVKKPVIAAVNGFALGGGNELAMMCDIIYAGEKARFGQPEINIGTIPGAGGTQRWARAAGKSFAMEVCLTGNHVSAQEAKEHGIVSKIYPADQVVGEAVKLGEKIAEQSPLIVQMAKEAVNKAYELTLQEGLHFERRLFHTTFATKDRKVIY >CRE25554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1488522:1489644:-1 gene:WBGene00055033 transcript:CRE25554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25554 MSKRRARPLFEQDGFLFRLVKTLADGTDLLYCDKRQTIGCTASARRINGQIIPRSEHIGHEADNEVASAKIARHTIKEIAASTTCTPKELLNEVQASLPLHLAGSRDSLTKMIYRARAPRPEPRVSTSAMETDTDADTFYNTYQNSPSNTSIQEALMNLVKEEMEEVPEIEHKEEKEVSALQNQMVTVPVDNYFERLQSIVREAIKESNEEMIAEIKTVVGTVTKSAGPSESSQEITKNLNDDIKTFLTSLTNLASHLESGDLKQRTETVLNKFANNKLEVSLEDILDATLNSLEK >CRE25264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1490154:1491378:1 gene:WBGene00055034 transcript:CRE25264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25264 description:Dephospho-CoA kinase [Source:UniProtKB/TrEMBL;Acc:E3LS85] MTWFDWFFPIEMLVVGLSGGVATGKSTVSNVFRAHGVPIIDADLVARQVVVPGTSTYKRLRKEFGDEYFDDEQGGVLRRDKLGKLIFSNPEKRKALNAITHPAIQWEMLKQFLTLLITGTKYIVFDTPLLFESGYDKWIGTTIVVWCEFEDEVERMMKRDNITRADAEARIHAQMDIEEKKKRAKIVIDNNGNIDELREKVKEVIAQMDKSWKPYIFRVVFGLILGVVPYYFIKYMRS >CRE25555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1491456:1492176:-1 gene:WBGene00055035 transcript:CRE25555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25555 MIAAVIPIYVIVLCNMAHIVLAIFFFPSIVEFLEDLYWNYTHRSWFIEYVPETEVEWLKDEEKSVEKKNMKKRHYINHSNVSILIDKADLYDQSIEGKKRRDVVNYSLGENKDFDEYLRFREHLKMLQQAYDDGVLIKKQNFSARVHERMVEQHIGENKAIEKKLARTR >CRE25556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1492210:1493752:-1 gene:WBGene00055036 transcript:CRE25556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdk-1 description:CRE-CDK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS87] MFCQALKAHAFSAAGLAPTALLSGGSHSDSKSMLAASRFPCFIQRLIFHSFSVSLITFMFSVMGTVRKGDMNYTLDDFTKLEKIGEGTYGVVYKGRNRRTNAMVAMKKIRLESEDEGVPSTAVREISLLKELQHPNVVGLEAVIMQENRLYLIFEFLSYDLKRYIDTLGKDEYLTPDVLRSYTFQESVVFQILQAMCFCHQRRVIHRDLKPQNLLVDSKGAIKLADFGLARAIGIPIRVYTHEVVTLWYRAPEILMGAHRYSMGVDMWSIGCIFAEMATKKPLFQGDSEIDELFRIFRVLGTPTELEWNGVESLPDYKATFPKWRENYLRDKFYDKKTGRKFMDEDGFSLLEGLLIYDPALRLSSKKALIHPYFNEIDTSKLPAGNYRGELQLE >CRE25265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1494673:1495394:1 gene:WBGene00055037 transcript:CRE25265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-iff-1 description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:E3LS88] MSEDHHDEEQFESAESGAAATFPKQCSALRKNEHVMIRGRPCKIVEMSTSKTGKHGHAKVHLVAIDIFTSKKLEDICPSTHNMDVPVVKRREYILMSIEEDGFCSLMDPESCDLKDDLKMPEGDLGNSIREALDKDDGSVLLQVVAACGEEAVLGYKISTKE >CRE25266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1497459:1500373:1 gene:WBGene00055038 transcript:CRE25266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25266 MHSRISLLLLMLAFNVGLINCGGQSLVSPQSNCRIRCENGGMCVFDLDRPDFHSCICLLGVYTGDRCQIKVATTEDVETTTMDSAGAPEMAHPKNIQQPQQSEVDREDARRRDEERKREYERQVAERTRKEKEDRDKAADEERRRQQHEQYWREETARREQQRAEAERRIQDQRARDDERRRQHESERAQLEERRREEESRRLAAQKEAEEARARDEERRRQESERESQTEMNDKRTQSMNEQFEYEGDEDYPQVAEKEDEYDEGYETEKTEDVTITSTTKTSKQMKPMVEEEKEIRVGAGDDDGSDMEMEKDEMDNEQVEEDEEPLKKEDEQLIDSIKHVFNKAVDETVKEHPIDGDDYWDDNSKRADEDRWSESETTMKTEEADEYGMEEGTEGWMMVKKENENSSSAISVSITLFFSLIVYFF >CRE25267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1500897:1501957:1 gene:WBGene00055039 transcript:CRE25267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-4 description:CRE-HLH-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LS90] MPMVVAKRNARERTRVHTAFLVLKQHLPSLRQFTKRVSKLRILNAAITYIETLLKLIQSSESVPPSVISATLGPIVPTPVRASQKLSKQHPSESHPIQPIHSRPLQPVLPRQHGIIAAPIATCAPDHSLVDYRSTFSSSSSFQPVHPVQMPSVFSPQPTFPYMKSLLDYPTYFYQPSTAPPPPLPQSQSSQSHLIVNNSLVPMPSYQCF >CRE25269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1510799:1512005:1 gene:WBGene00055040 transcript:CRE25269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25269 MKWSTWIPFLILLIFYSECNAKRGGRGSSAVGRHYSRSKSYFTRKYSKPGSIEHTSSFRSFVFGATSGLLLFNAGRHIIQDSSEPISFGNRKYFWGESKYVPDEELPVQCINKIDPQDPQFGRVFFDNESRPQEIVYACPADNNCCGYDCCTESTIFTSIFGLLVILLIVSVVSIFVIEGFRWCLHFTYFCKHGRARDFEPLSI >CRE25270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1512034:1514161:1 gene:WBGene00055041 transcript:CRE25270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-162 description:CRE-CLEC-162 protein [Source:UniProtKB/TrEMBL;Acc:E3LS93] MLLYSLLSFCFISITVDAYCEYGTEYKNATGCFQFFRTPLNFTNAVRFCRVNMKSTLVRPVSFIRNQQLQQAAMKLGIEEYWIGASNVDNDWEWLDGSMLTYSNFDVGAGYPKKTESQIGAVSMGSLSGLWYTKLDAMMLPFVCEFPISTQFDNGVLYRAPKLQSLIFPSAGTKAPMLLVESVDQSSLYNKIGPKKNEMETGEKVYLKPIDMSAAGMSGFSGQPIVIMNTFKRKVKVKPVVVSQTETEMARSLKEKEETEDSTNAKSVLNVEGNAAARNGTSMTEEMSSNSKAKREREENESIRSKTIQISRG >CRE25271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1516345:1519963:1 gene:WBGene00055042 transcript:CRE25271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncx-7 description:CRE-NCX-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LS94] MAQFKPLICSFLLILISFQSSEARFLNSFFSKQDGSNLCNAEKCELKSNWSHQDTCDYVNCNDDACEGGGYLLWTQYVECAPNTVSRVFIIIAAVLYMLLLFLMVSSAADDFFSPSISSIVAHLKISESVAGVTFMAFGNGAPDVFGSIASVLSSPTPKADLALGELFGGGLFVTTMVVSTIILTSPFDVEVFSTIRDLLFYLIALSFLGFCFIFYNRVTLWMPLTFLGLYLLYVLTVFGAQAVHNRKRKAIQKKNSTKSKRSQRSRKSVHSIAPMPVIPEIEVQEQTAPFPEISVVTGAIDKLKEHMAEKAQTPRRYTKRASFLVNGDGNVNHLHPYSKNNHLGISRRESELSNEDEEFVVIHGHVFQGHEARSRAASLVPEPRKISSWQSRGIITDLVEHLDPRPDAEDWEEMNIFSKVLSYINVGEFSVNEFKHVTFQVGPVFLFRLTIPLNEQSWSKPLTLIHAFTCPAFLLFSLQFFLKSPFSGSPGLWLYGLAVSVMISGFLIFFTELGVQPKYYKVIIQFLIHSVTFLLQEIYSYAGFIMSIAWIYLISSEVVNVVTMLGVVSRVSHEVLGLTILAWSNSIGDLIADVSVVKQGYPRMAMAAAIGGPLFNLLMGFGLPFTIAKLQGKYISMTINPTYRLLILFLAISLLATLIGIPVQKFRLQRPHAAVLISIYIAFIVFVLLSETGVLVWN >CRE25272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1521189:1524784:1 gene:WBGene00055043 transcript:CRE25272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncx-6 description:CRE-NCX-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LS95] MKQWIFIALILCFVINGCDGYQANLGKLVRKWKVADEIDECIPDDSDQCTVPGNWSSTQVCSYVKCNDDNCEGGGYLLWTRYVECASNPTVRILLIIVGVIYMLFLFIMVSSAADDFFSPSISSIVAHLRISESVAGVTFMAFGNGAPDVFGAIASVLSSPTPKADLALGELFGAGLFVTTMVLAVTIFTRPFKAEVFSSIRDIVFYLIALSFLALCFVFYDHVELWMPICELLFEVSLQYNNISAFLGIYLIYVCTVILSQILHNRQKREREETIVKSVDVISVISLDDDEDIYISHGHHILHAHEVQKIEAAIETAEILKTWSFGGIVGDLKEHLKPWPNGEDWKEMNVFQKAICIVNIIPTFLFKLTIPHNEQPWSKPISLLHCLICPVFLLFCIQVCSISPFPNSPGLWLYGLILSALLIVIVSVFTELHKEPEFYKVNFSFNLQNSFFNQGITSYAGFIMSIAWIYLISSEVVNVVTMLGVVSRVSHEVLGLTILAWSNSIGDLIADVSVAKQGYPVMAMAAAIGGQLFNLLIGFGLPFTIAKIQGKSISMIINPTYRLLMLFLGISLVFTLIAMFAQKFFLRRIHSYSLVFIYIAFFVFIGLSLDGILVWN >CRE25557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1525239:1537036:-1 gene:WBGene00055044 transcript:CRE25557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tlk-1 description:CRE-TLK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS96] MSMMSIDGVVAGGGNSNGVGGERSVGLEHKIFNTGPQNKALPTVQSNGSSSNHAPTVGEHQLGISSTKMATGDTGHVGNVTYLSSGMLGPTQFMPQNSSHPSTSVMMQPPQNGGVSRSSPTEMQQCIQTMSEDSIEMRDYNSSVHHMHPHQMQMQHHMQPXXXLSQSVGQQYQQQQAQHHLYLSKVPPAEIQQQSQQQPQQTSQQPQSSSPGGSTSMSQAISHRPEVYRTVSQNNNTVALRKGKNMPTSSQTTTTTDKKSARKRRRVGHTDEQATPKQERKITEFMKVGEAAGSNNVARCLMTEFQQNQGSPKRQAPAQQNGSNNYEQPQQQHVNQYEAQQSYWTTAAPSLGANNRGTPTPTQQQHYSSDSNSNSNQSPPGQANQSGRMVRTIDGETQTDASLSQRLQNNSQSVDEVAKRDRIIIDYRRQLDEMQSKHNVERQKNEASKETIKRLLIEKNVLERKALRDKTAADSPRIGSFKTTRTGDSFRDQWVDGWAFAEIEKKSDQITAERNEIVSASALLKKRKPLGIGKEPKRPQAVNSQNDSNGMQPSTSTNVNGDDAIFRRPEEPKEIQYQEYIELDEIYKLRREHLRKEETDLGLERERLDRERQYHMRELKRVANESASQFKDHPLLHKRYLMLNLLGKGGFSEVWKAFDIEENRYVACKIHHVNKEWKEEKKANYVKHAMREKDIHKSLDHCRIVKQYDLLTIDNHSFCTVLEYVPGNDLDFYLKQNKAISEKEARSIIMQVVSALVYLNEKSTPIIHYDLKPANILLESGNTSGAIKITDFGLSKIMEGENDDHDMGIELTSQFAGTYWYLPPETFIVPPPKITCKVDVWSVGVIFYQCIYGKKPFGNDLTQQKILEYNTIINAREVSFPSKPQVSSAAQDFIRRCLQYRKEDRADVFELAKHELFKPRGATRAIAGSISSPSIPRSPSVNREDDNL >CRE25273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1540468:1541171:1 gene:WBGene00055045 transcript:CRE25273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25273 MSLATKLRRVRKPPPEGWDLIEPTLEQFEAKMREAETEPHEGKRKTEINWPIFRIHHQRSRYIYDMYYKKAEISRELYEFCLTAKFADAALIAKWKKQGYENLCCVKCVQTRDSNFGTACICRVPKSKLDAERVIECVHCGCHSCSG >CRE25274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1541464:1543229:1 gene:WBGene00055046 transcript:CRE25274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-3 description:CRE-SET-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LS98] MVSVSSFKPNANYPELCDKVTIDWDEKRGRFIKAIEDIPLGTVVCIEEGITVNVDSRCCYRCLKLLGNDGFVYCRSCEKFNEPSEIACGEFDSLGIFKLAAHLVFSYPFAEITNLVTSDEPEVPRGLSKTLSTKDVQSVYQLKPFLGIEDSFKTKIVQEAIVKIVKLLEADANWGLLEEPSRLITFTKALRLMAERCAKNAHTIYSIEQIEKKDEDVPIGTGLFPISSIFNHSCTPNVFGFFVRNTFIFVSRGVKSGEELVDSYGVTYNQHSLKQREEFLANVSGFKCHCDSCVEQKSLEDYLEKSFKDVDRSAREASSFLDISDYIDYMKPGVQDIENLISAFSQRRDAEVYSKNLFYWWKKFIENANYRKIVYDPYLIRPYIEMVLLTWNNDVECTVDEKLSILTVAHRLLSNFYVGFHPISELIKKLFEASMNPIDEIRNIETFRILKQRAEILWKREEYI >CRE25275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1544429:1546824:1 gene:WBGene00055047 transcript:CRE25275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-5 MSNNNNPSDGVGEPDDMPVKPPKIFDFADWPFEIPDIFKRKELSYNYNYDLATSKSLMIIRMIFKWRGSLWEAVYKELIVWICAYTFVSLIYRFALTGSQKDLFERFGEYCDARMGYLPLNFVLGFFCNIIIRRWLKLYTSLGNIDNIALFVSAYVRGKDDRARQIRRNIIRYCVLSQCLVFRDIHVGVRRRFPTLEAVAQAGIMLPHELEKFNAIKSRYQKYWVSFNWALELLNVAKAEKSIDGDNSRNAIAQEISKFRSALTTVSMYDWVPIPLMYPQLVNMAVHTYFFLCVFTRQFFISADAHNKTEVDLYIPFMTIIEFIFYMGWLKVAMELLNPFGEDADDFDCNLLIDRNLAIGLTSVDDAYDQLPEVKPDVFYGRSVKPLDSDDTRSLKYHFGSAAQMEEISYLKKEEEKMIAAGKKPNKLKLWMKSMKRKRFETTATQSYSVSFPTP >CRE25276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1547073:1550173:1 gene:WBGene00055048 transcript:CRE25276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-60 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LSA0] MLYPTTFLLLFSIITPSISLRILQIVPGFTNSHVLFNYRLAETLRYLGHDVKMWTQMEMAMLDTGNNKLPEGVTEYRIPIHFTDTLKTEGLKVFQSMMFESGDAHDLWWTGQEFKDMRVEACEQMLRHDEKVYEDFRKDGFDVAIAHFHDLCPLAIAKKMNVKRVIWITHGTSIYDFSAVELGLRTIPSSIPHPLSSAGFAQSFIDRVQNTLWHLSLLDFVNLPQNLLIDENLFYREFVGPDQEDLWDLAKSTVPSLLINGDRMLDFPRPLPVHIAFSGELGVRKGKKAVMEKWLEEIIEKPSDGLIVFSLGTVSNTTNMPAQMINSFLGAFGKLKTYTILWRMEKSVAGAEKYDNLHLVKWLPQKDIMRHPKMKLMIAHGGYNSFLEAAQAGIPAVLMPLFADQKINAKRAQRFGMATVLDKLDLTVDKVYGAVKDALKPEYSKNARKLSAMLSDQVSTKPYYALRYSLKLATSQKPSLFTLKSQHLSFLEFHNLDVFSIFLLALFVVFC >CRE25277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1556237:1561322:1 gene:WBGene00055049 transcript:CRE25277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25277 MSCVPPFLGLLICIFQFFAKQKYSKFPGTTIKTAFKNRLWGMIHDWLSRIRYKRRTMPAERSISTATTSTTVDSTSTFQENRTIEISREASEAVIKIINYKIERCNDYCDEDAPVAERCGCCGRMKAKFVGDDCLHYPLCRVCCYTMIVKLLKNYQGGPLNAECPACVRTISTLTRVKRSQTAEGNEENLPILEESISIRDFTKELGVKGLTNNAYESSGSL >CRE25278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1567672:1568381:1 gene:WBGene00055050 transcript:CRE25278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25278 MRLLILLFAVFSVVSAMGFINFGNGGGGGGQVGPPKIKKGDWPARFPQHPAGFDYKCKNRQFGTVTLAPSSGQQVQRDVEEVVTTTTHAPTTTHAPTTTHAPTTTTVKPTPTTTLKQILTTPTTTTKKADPPKATGTTFWIRSPTPPNTPVPVVRGGHAFTLGPPQLATVKIIAPFAPDAALDPFGIPSGDLDFDIKPVGTPKAPRAVIDPDA >CRE25279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1568640:1569511:1 gene:WBGene00055051 transcript:CRE25279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25279 MIGSTRLLILFCATLATSSAMGWFGGNNNEPTGCESDWPEDFPQEPEGFNFKCKCPCKCKPRSTTAKVESTQGVTTPASTIKSSTVTSTTTRASTPSSTSTSTSPSTSTSASTPTSATTRPTVIETEVKPELHFQDKGASVIGGEVPVVFDESSDNDGVNSETGTTFWIRSPTPPNTPEPVVRGGAAFTVGGPQYVTVKIDAPSAPDAALNPHEIPSGEMDFDIKNVATPKAPRAVVDPDA >CRE25558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1569614:1570448:-1 gene:WBGene00055052 transcript:CRE25558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25558 MLKSLLSLVTPSNKDKKKKRSTAGLSSQPPQPMETSFFSEADASTLNETNVTIPIGEGRDDISIVSTSRMLGDLPFSFVERKNRASSFDFCLSDDSPKDIVVHRRSLQPATPTPNQSDAEHSFIENIFDSPGQRNRLHSMIGENIYETGNGSPQKVSNRSSKKS >CRE25559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1571117:1574338:-1 gene:WBGene00055053 transcript:CRE25559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-161 description:CRE-CLEC-161 protein [Source:UniProtKB/TrEMBL;Acc:E3LSA5] MQRRTTIWFLLLFQPILVFTQNHSEPYKCKIGSVNPLAVTSLNACYKLYNTPKSYQAARRHCVSLGGQLADKINKDDSSLYSANADLEVTNGTQFWVGASNLKCNIAWENGGEIEFNEMWAPDSRYYGVAIDKMSLGGLWHTIPVAQKLPFVCTFQGKSKDGKDNLEIEAPAPVHAMRAPAKKRPAKADKTEENEINESLNAAIADEKKKKEESSDSKQDSKKDKEDIDESMNAALSDEKKKSEAMALSATDKKSSSQNDEDSDAEEASMSAQQSMNGSAAMSASMSDSSASSNSSSDESLDEVYDSAEIAMRKEIGKTVVAMKSQEMASQSEDYDKYTEEDLLSAAATLIGGYTVNANWADSRTTNTSSFDSQTDEESMSMSITVADQMAMAMQSANKRESESSSSDSMSESSSDQMSEQAAMAMSAAMSASEKNSKKSESSSKDESEDSASLSMEQKAEDSAKMAAASASESASEKKTSDQEESVEEADVASAASIFGNEKKKSSDPKPTMKPPTTTEDPDIDESLNAAQANQRTTTTIQPDLTTVLSAIKPGNAVAKAAKLTEKQPGCPAEWSQFNTNASAPALCFKRFEKPMNFEDARLFCVSKGGHLASIHNERQLLLLSGSALLHNNGPDALSDQTWIGLNRIHQKYYVYEDETAMDFTRWLPGAPNINDCTVFTGNELPNYPHKGTQYKFGDFPCEEVQKSVLCEVTLGKDKIKSQPTCEEGWSYYSFDGTAKSGKCYKRVDESKKFSEARDVCKKENSYVASIQNEGEARFVSALVQTDKNYTVDEQTWIGYVKYDRDFGWEDGNKGLQFDPWTEKMPREKKCTVVSAGMNKFTGNEIHDDCRSQYRFVSVECNKTQRSILCSKPPMKDGALFVYKETENTSKKV >CRE25561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1577001:1578538:-1 gene:WBGene00055055 transcript:CRE25561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pri-1 description:DNA primase [Source:UniProtKB/TrEMBL;Acc:E3LSA7] MSYNSIRLPQDLPIYYKNFFPAKPFVKWLRYGLSYGDYFNRREFAFILADDVHIRYRSYHDELSFFKALTSTNPEKLDIGAVYNHEPINNKRHTDYQAVERELVFDIDLTDYDPIRNCCKNATVCQKCWKFMVLAVKILDFQLDDMFGFKARMWVFSGRRGVHCWVGDKKARMLNNTQRSAIATRLNLFKKNGHCEVTEGRAKMTRVPPIVRDAFNVAMKDGIFEKMVLEQGWLENSDFLDYPYLSEGFRKQMLDLLETFKTPEERWHMLRSVFDEGYRRNLTSNDGLQDVMPVMRDRNFLLYFVLQRCYPRLDVNVSTGTNHLLKSPFCIHPKTGNVAVPLNVEKIDEFDVNSCPRIDRVVEELSSLLAEREADENEDSKNRKFLPYKHGALAPYVENFEKFVYLACIS >CRE25280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1578696:1579575:1 gene:WBGene00055056 transcript:CRE25280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25280 MTPLTHSKETPSTSTQAVVFEFNNLEDLYGVLNLLELRREYLFSEIRGFHNIPDNNELLVDFQMKNPPHNLDIAWERRLKHLFRYMLDLEKLMWNLSTLGGAYSAMGDFNTDYAKTAAKITAHQISLAKKYGDPVILARCYLYTALAEAQLGHLTQAVSIVRAVRHWSKQNPNTDIVQRCCEGVYQKLRAIHIFGIAGSNK >CRE25562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1579713:1580963:-1 gene:WBGene00055057 transcript:CRE25562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hcp-3 description:CRE-HCP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LSA9] MHHNGPRIEEMVDPPSRSTTNQLKNDTEYIKSEYRRISHLPDFNRDPELIQEVMNLTKRYIEKWLREERDEPNMERQGWIERFKTKLREWETKKETAEDEYYTRRDASSNEEKNREIARRRATDSQMNITGLHDSTRLNQQSYSRSYENRNRRYSSDEDDDENMAPQRRQRSRSPPSFAHHQRRDDTGSYYRSHHTQNSSNQRTHNTDFSSHYRGQYGPSTSQNVGMPSNAQNVRMRSGKSRVTKTRSRKWRPGQRALEEIRKYQKSTDMLIQKAPFARLVHEIMREATSESQDFRIRADALMALQEAAEAFMVEMFEGSVLICNHAKRVTLMPTDIQLYRRLCLRNLS >CRE25281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1585834:1588450:1 gene:WBGene00055058 transcript:CRE25281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-11 description:CRE-HLH-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LSB0] MVRSDSAEEDQIINEDGFDLTEEDEEMMSSGTGALPVTITDWSSMTNGRIAPPSIMSAASAFDLTASGMQNSLRSVLPTSTIGHAPMLANRSLSQPAPLSPTSLDPDRRSRMRRQIANCNERRRMQSINAGFMALRALLPRKEGEKLSKAAILQQTAEMVHQLLGGKSIDDLPDGGEPKKLKLEEDHQDADHHAQIAHLQTVLETERAARKSLENQVIQLRELLQMTTTSSQASSPVTPRSNGSSGFSLPPSYASSALPTPLRESPERKPSFHDSTSTPLSLLTLNGSPTSSESLTAPRILHPTRLPSLETTVIRPTPLPPISVEVSSPSLSTPSPLTAAPIIFSTAPPQSSILFQTVTSAMSTGNSTPVGLPHHLQGHNSAFVSTQPSMSLSQSMQTIVEAIRHLEGGSHFIPTSPPPTSQTSLVR >CRE25563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1589205:1590824:-1 gene:WBGene00055059 transcript:CRE25563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbg-1 description:Tubulin gamma chain [Source:UniProtKB/TrEMBL;Acc:E3LSB1] MSGTGALMTVHVGQCGNQLAQAFWKSMVDEHGINERGQTTHEDDMNDKKDLLFYQADDDHYVPRAVLVDLEPRVINGMMQSPSFSNLFNTDNIFMSDHGGGAGNNWASGYFQGQEVKEKIMDIIIREAENTDNLDGILFTHSVSGGTGSGTGSLLLESLREAFPKKVIQTYSVFANSDATGTTDVVVHPYNWILSMQRLIENPDHVVVLDNAALHRLAAGKFKTDTPTFDHINSLVARIMSTSTAMYRFNSSVCPSIRYLDLAPFPPMHFIQSGIIYNVLFSISPVVDPNENFTRKTSVADVTRFLLKPTSMMVSTASRVRPNDCMLSAYMFLQGQIEAHTIMSAEQTVDFQIKRPPFYMLKPLRMMHAPLSPYVRPQYKVSGLLLNNSTSVAPLFESLLSKYDRLRSKKAFIDKFEKIDNFSLDMMDEATHIVQDLLDEYKAVVQNGYLTRGL >CRE25564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1590925:1591808:-1 gene:WBGene00055060 transcript:CRE25564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpac-19 MGKKSEKTEKPVEEAMEVEEKPPVEPEAEEEDLNVPAKKKMEILDPKSFEQDPSNLTLILYEEDHTIGNSIKHILSRMDEVEFCGYNVPHPLEDKILFRVQTKDGINALEVLVKAFESVEQIFSTIRGKFEESYEQSIS >CRE25565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1592103:1593170:-1 gene:WBGene00055061 transcript:CRE25565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-7 description:CRE-UBC-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LSB3] MEQSSLLLKKQLADMRRVPVDGFSAGLVDDNDIYKWEVLVIGPPDTLYEGGFFKAILDFPRDYPQKPPKMKFVSEIWHPNIDKEGNVCISILHDPGDDKWGYERPEERWLPVHTVETILLSVISMLTDPNFESPANVDAAKMQRENYADFKKKVAQCVRRSQEE >CRE25566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1594298:1597660:-1 gene:WBGene00055062 transcript:CRE25566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gei-13 description:CRE-GEI-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LSB4] MQSGTIADCSSTLAALMPSADFTASLGNSDDHVDVSSFTTTSESSPPYSTSDHHSPTDQRTETPTSDSGNVSFSPENVATSFESSDRDASPDNLSTDSGNVNDIQEKSLGVDDDLTKLLRFHQESFLENNQNTINMMAFNPNFLKQTLASFNNMAPQLPVNLTTGIPMPASPCTTQATAPSVESTPTKRKRQRRNPVWPYFDVVDGTARCKQCLYSTKSVFSTNLKVHLRSHHRPDYEKVIMAEDALNLNALLLSGNTSKLFNVDANRKRMPPMTSSILMTINKLANQQQNGEENPLNAVLRQTLANNGLQQHFQSIQGQFQAAQAALQKQQQEQQQKQLQQQQQQQQQQQQQQQQQQQQQAVPQFALNAANLAALNQLARNQMQQQPTPPPVAQVAQDHIINTLNFPAHIKQEILNAPHGTDANGVPQPKRRRLRRHPVWVYFKDLEDRMVGCTNCEFRTGSAFSTNLKMHLKAHHKEDYEKVLQLEEEMRLEEGCFGPGNKFKTELIDYIRGGGNVTTPTTPNPAGQNYPSTPKASPLVQQIINQSMARSQSPVMQEMKVKKEVDEDMFSGLSSTDKLAALVGIAGQREIKVEDDMTSNFEEFRQRLLANSSLSGLLGQPTSQPIAVDLNGSIIASETKSPCSSSTEDDRKQERDKALARLWADNETLLTNTHFRDFVHCLAPEYEIPDVDSLASSLMDQD >CRE25567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1609831:1611020:-1 gene:WBGene00055063 transcript:CRE25567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25567 MNKSMRSKRKKKPTAMKSGGERSERKPSKQTAKKPSTPAASERAPKSKPKSNGITCREQQEPSKNEKKTSKGGSKEMWTGEETAKKMVASGFFNNNTISGTFKDLPTLKPPLDSCPCFKNNLQKVRAPDCPIPDDKLIKLTHAPDNFICASKVTVPEYNRTVILTQVPDVSNAQNIEDFWRMIFQENVASVVIAVMPLESSVTLQQIFPLLNGTYSNHGKMFLNNKKVESAVAVTSYTLEILPDGCSNSLFTTVYHLHNWRQKRGLEQVGDLVNTLEKVLKTNEVTFNVNLGLPDILFFQNTVFMSMNGTGRAGTMLALFTAMAQVQKGKEVNPKETMEKLRAERCGVVDNAEQYGTVHKAMAVWFKNKSNSEEIQKIA >CRE25282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1612408:1614663:1 gene:WBGene00055064 transcript:CRE25282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rom-2 description:CRE-ROM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LSB6] MNSSQNPHHQKQIEEEQRYIHIIRVGSLKMDDKWREAAPVNDIEASSWIRIFRAFDTDHDGLIQCEEMQKTIRDSTYSFGFDHYELQKMSLYLEMREGKPVDFADFCYLMSKCKGYRLREYLFKAALTVTPRNQRIHVFSELQRYKCVPPPLFLIFLSIVQLAFYLYYVIDSSEGVWLSGPIPTMSPLIVSQFHLSELWRLVTYCLINVGIFHVIFNIIIQLAVGVPLELVHTWRIYILYLMGALFGSLLSLALDPTVFLCGGAAGSFAIIGSHLTTIVTNFKEMENATIRLPFLIVFAALDYALAVYQRFFAPRIDKVSMYGHIGGLVAGILFTFILFRGSKPSRFYTVSFWVSLVLSGFYIAICIALTVAPSLLH >CRE25283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1615498:1619253:1 gene:WBGene00055065 transcript:CRE25283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acox-5 description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:E3LSB7] MPLNKYIQDGDNQDLTDERFKATFDTDALGAVFHGGEDALKRVREIRDELTKRGHLFDALPAAHRTRAERMEDVSRKLKNLMENVSEFADFSNNLDMLAIIRDVMGIEGFPLALHNLMFVPTIQNQADDEQTLATLSEINRITGTNLSAIETTATYDKSTEEFIIHTPTTTATKWWPGGLGTSCTHVILVANLIIDSKNYGLHPFFVPIRDRNSYSQMSGVRVGDIGTKMGVNCVDNGFLAFDNYRIPRRNMLMKHSKVSKEGVYTAPSHPKVGYTTMLYMRSEMLTHQSYYLAMAMAISIRYSAVRRQGEIKPGTQEVQILDYQTQQYRLFPGLARCFAFVTAAATVRQMTESCIKELSHGNSDVLADLHALSCGLKAVVTHQSSQSIDQARQACGGHGYSDASYLPTLYTCSVGACTYEGENMVMLLQLSKYLMKAAAKAEAGAEMAPLVAYLAVSDPIENGDKFGKLLNHFEHIARHRVMHAYHQMIEEEKHGIERDYAFANHSVDWTKAARAHTKLFMARGFVKKVQEIQNEAVHDVLNTLAELYLSYELIEMSSDLTANGYLSETEVQTIRHQIYDCMRKIRPNAVSIVDSFDICDRELRSVLGRRDGHVYENLYKWAQMSPLNQKNLPHVEKYLKPMTSKL >CRE25284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1622261:1623163:1 gene:WBGene00055066 transcript:CRE25284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25284 MDDVSNALAMSQTMMEKMQKLEISKNYDVEKFETLLRLPAREVNSFMEKEAKKTDDEKMTDNDKRVKKIREEKTDRAARTLQKYFRKIRTNGEKEIIKNRVMKISAKKRILLLDQIRQKMGEQQPIRRFGAYQVIRAVELHKGKQKFQTEWLAKMAVDMNFHDKNLSRPNSPTHFLASSIPALIRKKAEIKHSEKMQEIDNSIMDIYCGFQKDNLH >CRE25285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1624490:1631080:1 gene:WBGene00055067 transcript:CRE25285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-7 description:CRE-CED-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LSB9] MPGSPLVHWLRRYLQVWKKDRKEKDSDDESAVEDEAVPFGEFDDNYDPREVERQSRKMNKLRQFSLLLWKDWVLLRRNKVWTLFELIIPCLLLGPLVYLVVKNSDHSTSPENSYDPFKLTGTVEDVYLEYAFTKPIYKRWCLRSDVMFGYTSKDPATQSTVNDLMKKVSDRFQNGKLKMTVKSEQSEEQLLTVLREDLPMMNETYCAINSYVGGVVFDTVNIAEKKLKYRILLGKAQEEVWHLTETSYNPYGPLSGRGGRIPASPPYWTSAYLTLQQAIETSFVSSAQGELVDIPITLRGLPEPKYKTSSVSAFIDFFPFIWAFVTFINVIHITREIAAENFAVKPYLTAMGLSTFMFYAAHVVMAFIKFLVIFLFSVIPLAFVMEFVSPAALIVTVLMYGLGAVIFGAFVASFFSNTNSAIKAILVAWGAMIGVSYKFRPHLDQIPTCFLYGLNINGAFALAVEAISDYMRRERELNLFNMFNDSSLHFSLGWALVMMIVDILWMSAGTLIVDHIRTSSDFSLRTLFTRGDVLEEYENQTDGQTAYNTRINEQINYYNNYVKIQMNTIGTSSLSPPNADSDALLEGSTEVDGARDAARADISVNRLVKIWPTTGERAVDGLSLRAVRGQCSILLGHNGAGKSTTFSSIAGIIKPTYGHISICGHDVGREPGETRSHIGMCPQYNPLYDKLTVSEHLQLVHGLKGARKAEFKEEMKRLLADVKLDFKENERSMNLSGGMKRKLCVCMAMIGDSEVILLDEPTAGMDPGARQDVQKLVEREKSNRTILLTTHYMDEAERLGDWVFIMSHGKLVASGTNQYLKQKFGTGYLLTVVLDHTGEKRKMAEVLTSVCCHFVEGAERGEMHGQQIEIILPEVEKQSFVPLFQALEAIQDRNFRSTALETIPNQLKSQLATLVMKSFGLSLNTLEQVFITIGDKVDKAIASRQNSRISHNSRNASEPSLKPPGYDTQSSTKSADSYQRLMDSQARGAEKSGPAKVVAQFIAILRKKLLYSMRNWTQLFGQVLIPIVLLGLVASLSTLKSENNDQYRSLSQYGIQPSKVVMRFDEPLPEEATNFETMLKKSGGFEILRYSGSNPLLNITKNLIGEMPPASIGMTKSSETLETLFNMRYYHVLPTVVSMINRARLAGSSPVNAEIEGGIFLYSKSSSDSNLLPSQLIDVLLTPMLILIFAMVTSTFVMFLIEERTCQFAHQQFLTGISPITFYSASLLYDGILYTLICVVFFIMFVMFNWMYNHLGIVILFWFLYFFSSVPFIYAVSFLFQSPSKANVMLIIWQVVISGAALLAVFVIFMLFDIDQSLKSFLMNIFLFLLPSYAFGSAIITINTYGFIITSDELMSWDHCGKNAALMAAFGVCSSFLFVLLQFKVVRRFLSQVWTIRRSAHNNVQPMMGDLPVCTSVEDERNRVHSANPASLALAVKDLTKTFGRFTAVNELCLAVDQKECFGLLGVNGAGKTTTFNILTGQSFASSGEATIGGHDVTEHISIGYCPQFDALMLDLSGRECLEILAQMHGFEKYKEKAELILECVGMQAHADKLVRFYSGGQKRKISVGVALLAPTQMIILDEPTAGIDPKARREVWELLLWCREHSNSALMLTSHSMDECEALCSRIAVLNRGSLIAIGSSQELKSLYGNNYTMTLSLFEPAQRDVIVQLVAARLPNSVLKTTPTNKTLNLKWQIPKEKNDCWSEKFEMVQNLAKDLGVKDFILAQSSLEETFLRLAGLDDDQSDTHSAVHITHSTHV >CRE25568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1631440:1632410:-1 gene:WBGene00055068 transcript:CRE25568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25568 MFCLLITLIVVPVTTAVIGDDLSPYRWNLVPKPLLKRLCNLDDSLPVCAWKRHNLSKNTSFSKWQICRDHPELNLCKWHNGGMITAPIPDTTTAKTEDSAEAPPTSESIFVNEPDMMLSSKWSNAEVLQETVRKELKTEPNRFDGRLHRESESAESKDSIDEKFDESIMSANEDVFSA >CRE25288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1636595:1637813:1 gene:WBGene00055069 transcript:CRE25288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25288 MFEKGNVALADVLHIVFTAAVVGFYIMMSALNPSSIFHHIFAGFAIFETFVSFLYIYQVKWLMRLHFMFQSILFVIPIFVFSVNLENLFGSAPVLDAFRLDQVKNFVSSSGSTTLSSVALLVWLFRMLNTFIYIIEAEKINPTLTIKYLFSKHVLNVTSIILFVVLYLSVENPIKDACFWLSFIEIALELLYFGSTLDAKGFSITITAWVYQAALFSVPCFLSVLYFVHDFLWGLRLGDTLTTLIAEHASPISENRQTTTRSTSK >CRE25293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1643112:1643710:1 gene:WBGene00055070 transcript:CRE25293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25293 MSNFYQTRVIHSVESPMFFDRFPIKLLVIVVQVVTLLLNIGYMSQPSLERGVFIFEMCINLFLVASIVAFLADYELLMHIHYWAVCVGTIIPLIFWGLAVKDLFSYVFYISMCRRLIKSLGRKYFLPIFNC >CRE25569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1645104:1646216:-1 gene:WBGene00055071 transcript:CRE25569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25569 MNHSNSYSELASYRMAFRVEHCKKATEFFIKFSTGSKASLQYAELPNRVLDFQHTITPEDQQGKGVARLLVKEGLKYAADNKFLVQPTCWYVAKYLDGSSATEEEKQLDIRHKL >CRE25570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1646606:1653897:-1 gene:WBGene00055072 transcript:CRE25570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-16 description:CRE-UNC-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LSD1] MASNLSPVNEMADSITSSTPSEIVYGGTGSPDEHRTMSDKVQSMASAIYRELETMIKVHGEENVKTLMPLVVNILESLDLAYLERDEQTADLEMLKEDNEQLQTQYEREKALRKQTEQKYIEIEDTLIGQNKELDKKIESLESIMRMLELKAKNATDHANRLEEREAEQKLEFDRLHERYNTLLRTHVDHMERTKYLMGSEKFELMQNMPLPNLQLRNKMGMAASVDASSIRGVSDLISAHMTQSTTMDVNLANHISNEDWQDEFSSDVEPSPRDLPPPASETLSSPVLAKEPTPKHDVASPRQSEEEADETASVEPKENSDLLGADLTGMGREVENLIKENSELLDMKNALNIVKNDLINQVDELNSENMILRDENMSRQMVSEKMQEQIARLEEEVKTMKQKLMEKENEQEEEDVPMAMRKRFTRSEMQRVLMDRNAYKEKLMELEESIKWTEMQRAKKMQQQQQNVNQKKSGGIWEFFSSLLGESVTPPASARGNRTTSSRSKMTRSVEYIDPDMISERRAAERREQYKLVREHVKKEDGRVEAYGWSFPNVDADVSSVPIPVCCRPLLDNEPSLKVWCATGVVLRGGRDEKGQWIVGDPIYFAPASMKKAKTNARSELEDEIQLQRARNLDARESELDEWQSSSLVWVASSNQGKSLIAVLDANNPNNIIETFPACDSHLLCIQAVSGVMEGEPEMNEEHSKKYLSGGGKVKELPEGLDGTDLGACEWVELRKMEDSEDGVPTYCSNDMKPSPKRTRDFSISEVAPIDPSVAPVKEPAPPANRPAGRAALPPHIRDAMSKYDGVSGQMSGALPTVWMGGQNQYIYIHSAVTAWKQCLRRIKMPDAVLSIVHYKGRIFAALANGTIAIFHRNKHGEWSDEGYHSLRVGSATSSVRSLCLVSTNIWATYKNCVVVIDAESIQIVKVFAAHPRKDSQVRNMQWIGAGVWLSIRLDSTLRLYHAHTYEHLQDVDIEPYVTKMLGTSKLDFSYMRTTALLVSNRRLWIGTGTGVIISVPFSGRMVSQFRPSDHTHTFSELEKKVEIKDSKRPAGPGGLVRVYGTSSENVTDEKSNDDFIPYCNLSHAQLSFHGHKDSVKFFLGVPGASKNGEDESVEVTLRRMLIMSGGDGYIDFRIGEENEPELTGQSIRPRDMSHLIIWEIDAELPILSK >CRE25571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1655306:1656074:-1 gene:WBGene00055073 transcript:CRE25571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25571 MISNKLLVANLMIGVTILVQGLVPMSHVSKFDGFWMFSAALVCLVIAVISFIGLLILSRSKCMLTMSKMKLAIYNSPAAVSSLLSLIFFIVYAFVPVSSTEHVAIQNSLLLLVVGLALITISSLVVILLALLSNPEYPSEQGSDNDD >CRE25573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1656599:1657746:-1 gene:WBGene00055074 transcript:CRE25573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25573 MVQESIISSFRTKLENDPSKSTSLATVETLLEVLDRSRATTVAEFQNELNQVVAALEKTDYSSTSIRSAADLFTRFTSLAPAALLDQQDFSQVLDLYRQRARSFIKNVRGSRAKISKCARLFFTHHMNILTHSYSKVVLETILDAHKSGFHLHIWVTESQPDASGKLMFEELKKNGVPTTLVLDSCVGYVMERIQAVLVGAEGVMETGGIVNKIGTVNVCVIAKARHVPVYVCAETIKFVREFPLNQADIPQEFKYRTSVIEKNNLELEHPDVDYTAPEFLTLIITDVGAMKPEAVGEELIKMYI >CRE25295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1660698:1664951:1 gene:WBGene00055075 transcript:CRE25295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mut-7 description:CRE-MUT-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LSD6] MSYDPPIGLLTGREKKLAKKAKYGQGYPEPIKSRREELKKLMMNEPIEIREIKVRAKNMEFFDEDYNKRENMYSMVIEMMKAMPDKTKSNGESLAKWYLEDFDLWLQKSGREKMLRDEFLKDPIIRTNALKACHVEQKTLLSRIFDISQETLMKDVTELLQTNIAKGEFIKAARLAVKYQLSDTLDFGTLARPLITSGQNKEAYELMQGCKRMQIDFVKFLDEFVGMSRTAIEQDLLQYEDKHGTVSSSKFGSVDHDKLISSVLSKVSLEYNFERDLAKYAPNHAQNASFKNLKHKISQRYPTDGAKQEMSDENYFQDMVATLQNHPDVQEQILFYLWSSNVEMKQIDAISIAIHLEINDKRSKQIPGKMRDFFGSEAMTEEQQKMLKEARILLEKRTMVRTPQENEQLYVYEDNRCPIYMITTESEMKNLCTEIQLLSEDPKPVYVGFDSEWKPSNLTSINSSKIAIIQLYFKDKVYLVDCVQLEEKRLPDERWQEFARQLFGSKNLKIIGFDMRNDLDAIIALPALRETLAIDSIQNCFDLKRLAENICEIDMEILDLKRKTFKLADLTQSLLGQTLDKTEQCSNWQSRPLRKNQLLYAALDAVVVVLTFEKILEITLEKNSEIDIIEIRKHSNVLAPKKEKCQKAHRKLKNIPWLEICEVLSRHCDKSRPFKRPHEMKVIVDTMLLGFGKHLRFVFLNIQDEFISRRIGVDVYLPRDVADFKDKLKLINRLGGDYRRLIITVPSKSFTALREEYARDLFAMPELNNKPPMDQLIDFCDKFNVEVRPEDEYLCCIECNSRLQIKFPGPVLHFLHQYNVIHVQNVYRADMSQFPLEDWWNRMLQLNPDNYDGIVVKMSRPTPKSKWIVATVPTGCLHITRQTVIHNNLPDGVEVKIQKVPDDEFQRPNLCFYVCGDCGTVAYDGRASHQNSKTSQSNDKHLI >CRE25296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1665270:1666801:1 gene:WBGene00055076 transcript:CRE25296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ckb-4 description:CRE-CKB-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LSD7] MISDIRTLFTTNSLDSDDIHEKVLELGSDYLRGGWSLLEKNEIEVTQITGGQSNLIYMASCKNSKKLSSDTPECFLIRIHCQPSSQVFNDTVIFSVMSERGLGPKLYGFFPGGRLEEYLPSRTLDTDSIKLPEIARSVGALYPKYHEIDVPISKCAGSLRFIRHNLEEYKKLGGSVHKMRPYSVKYEDEPLRETISVDELEREIEVFEGWSKIFDDTIVFSHNDLAPLNVLELNGTKEIVFIDFEYASYNWRGFDLCMFLCENAFDYRVPPPGVRIDQKFLVEHPNMQIFCEAYIDTLYKMKSSNPERKFPLTENREEEIRKLEMEIQFFIPLVNLFWAVWSLKSFLAKHDNGHDLEVAASDRLTLYFHCKPKSESIYNLLKK >CRE25297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1667275:1669894:1 gene:WBGene00055077 transcript:CRE25297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25297 MSHVQESTSSDSTQEKQFFNAQRQLKYKTLDDRAKIVKEIMKTEEGDRKEKLRITFFEFFDEDFRKSRNITSEHEEECQYETNVYEMMILFISSIPDRKKEAGKNVAQWFLESFMNWISSRNIGEELKNTQLTERTKESSKKCCVREPAFSDSLRKIFDYSEDDILDKRERMIKEMFENQQYKEASELIIKHGLVDEYTFEQLVLPLILCDKCQIVDELLKLSSRFQKDYLKFLDGFVGKTDEVVDSFFKPYEQKGMVKINLNRFHGKSLTTFIQKFFNGIAKQCHFDVDERRDTPFFEAYMKGKALKYYCMQRFELKVMADELYFEHTKNTLKQSPIDTVFYYFGLLWDSGFVERRIEALFWIRYLNIPTNTHQLPYGIGQFYRNPDPKLTAEVERLLALRTEIPQTEITEQLFVYEEEQKCPITIVKNGEELEELCRELDTVEEGTYIGYDSEFKPGHLTDSSISRMATIQLFFNEKVFLVDCVILEKIDISEGMWKKFFESLFHSKKLTVIGFDMKNDMEALFTVRPIRDDFRQEDVKNFICVKRFVEILNEYDASILSLTKKSCRLITLVEELLNLTMDKTEQTGNWQCRPLRKNQIVYASLDAVIVLDLFRKIFEIVKQYEKQVEIDKLLDESRSFVIVKKEKVKKETKVLTASPWENMYEVINATRILRVHRDPTKPLQRPSELKIIVDTMVLGTGKNLRLLGIDVFIPRDASELQKYLLGMKTLANEQRSIITVPSKSYEAMKAENPNAHFILLQDVYNKQWLDLVTDFLDQFNLDIRKEDGLKRK >CRE25298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1670112:1671608:1 gene:WBGene00055078 transcript:CRE25298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25298 MNVLTGVSKTFSVKTSRLLFAMNQDLINLFSKLAEDPSLVRKKVLEYGSDYLGGEWKTLSETDVEVVQMTGGQSNLLYLVTGNFSSETIPSCFLIRLHCQQENQVFTDTVVFSIMSERGLGPKLYGFFPGGRLEQFLPSETLDNDTVSDPEVASKIGANLPKLHAIEVPIPKKPKAIHMIREFLEECRATGKTVFELVPGSVKFEDSNIPKEVTLDQLEKEVADFEKMCSIFDKTVVFTHNDLWSANILQLNETKEIVFIDFEYSSYNWRSFDLSMHLSECAFDYRVPFPPGVHVNQIFFENHPNIQVFCESYIDSLYKMKKENPEQKYPLTENREKEVNRLMQECKFFLPLVNMLWATWSIKNLWTGKEDDVDFTVAASNRLSVFFHFKSQSENIYNELKNL >CRE25299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1672314:1673754:1 gene:WBGene00055079 transcript:CRE25299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25299 MKTNKSVRIQRISIFQMNKSTRSRRKKKVKNLDRSERKTVKTGGLEKSERKKKAKKKDQSERGNRKKKLDITGTTGGSTGGSTGSGPEIGIAIDGEGCNKLERRAKEKEKEKEKEQWSGENMAKKMVASGAFDSTAIADIFKRLPNDKPSLSNCNSFKSNMQKVRAPDCPIPDEKLIKLTHAPGNFICAAKVTVPEFSRTMIVTQVPDVSVPTNIEDFWRMIFQEEIHSVVIAIMPLECSVTLQQIFPLLNGTYSNHGKMFLNNKKVESTVAMTAYTLEILPDGCSNSLFTTVYHIHNWKQKRGLDNVGDLVTTLEKVIKTNEVSLENEDPPHNLILQNTVLMSMNGTGRAGTMLALFNSMLFVNKGKEVNTKEIVEKLRAERCGLIDNAEQYGTVYRAMAFWFKTKSTDEEVQKKINEFAPCVQ >CRE25575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1673820:1674518:-1 gene:WBGene00055080 transcript:CRE25575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trpp-3 MSKAIKQNLADSKKMSAELFCLTYGAMVTEMLKDYEDPKDVTIQLDKMGFNMGTRLADDFLAKNANVPRCVDTRQIADVLCRNAIPCYLGVSATASSWTSGDREFTITLESNPLTELVQVPTNLVSAGLSYSQLIAGAIRGALEAVHFKVYASATDSGANTEIRIRFDQVLKDSLPAGEDD >CRE25577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1678530:1683372:-1 gene:WBGene00055081 transcript:CRE25577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25577 MNQNHKIRPNIFMRSTGVPYNKPIVVPRSSSPQNGHERKVFIVRGNTRIPAIAVPTLSTLPKLVAATSEGKKAVTPPPKLLEKEIKEEPLDEETSGAMANITTSKPLNLRELLNPSLPPGVKSYAYPNLLVNEVKKESPPPLAMNLKSNSPRVDVPSTSNLPNIDTDRSNLKRSHKPVRHNDFVYNQSEFHEADEECGPSSKQTKSDGQSNTCRCEYVVPELEQKIDRMLEKMHFIETLARSMLGKEEAVEKERNMGNLALQKAQSEINLLKRQLIIQRKEVWKTCVICGDLNKQILMRSITEASHLHVIVAYLKFSGSIDHTRNFSTYDKYKTYECYVCLDHIDAPAKALRKVYSFPLSTTQTSEKCNLKGLLAVLKQYMPPEYNKMTEKELDEAIPNFSVPITKTAQKVDCSCCRILTKTRDRLKTVLENAEDTPTCIRLNFAVFQELTEIENQLDLVSVKTNSQTFSVNFLFQGQNEGVKAVSESLAVSLRQEAHENYLLGFEKQRMSQLNANFLKPVEQNHKKPTFEIVPFVPPKPRRRILKILPTHPGVPYSQNSHPHLLRNPIYTGKSNKNSNILMENWEPPCRSIDRKRKNPLSPILTQLSTTNGTYLKENPTHFQFFDSPNIRLEPFVNFAQGLHSPPPFNDQNTYECAKEILNSKLIVFSFRTLIAWFPEKDVNIIQGALMNLEKSGIIHYLSQPDDTIFIKEIRREYLKNLWNYGIIPEHFRQRLLSQNTSDIVRMQFEKHKKSLLTLNSKPNVSELISDILSATDNSELEIEFGKFNSEFVPVCESYRMVDSINDVFDVLDEKLGMMNRVMTSLACGENITNVVSSSEILKKVIEDCHGISSILQFQKIKLAAIKHEVPMTMEATQAYRETTILKKLLCGKKRLPESPFDITAWNKKKKRIYDMRIAGVYRSVYREFLLYPFPIFKLSSFLAKIRELPLSHKFTESQIQLLVPELMRRNMINGFFLDDGNCLIVKELSIPFIQCHLHEFLLDGDEYLEANVPVTLHKLRELIDGIEKFVVEKMDSELWAKLANIIIATVVKENGTHIRHDEFPKNLPKSVMKAITTLSRVELIELQENTNQPEMMFVKKITEKNIKRLGHLLLAQFQENSLQSAPRHKLS >CRE25300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1684192:1688247:1 gene:WBGene00055083 transcript:CRE25300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clu-1 description:Clustered mitochondria protein homolog [Source:UniProtKB/TrEMBL;Acc:E3LSE4] MASGSEMKAEIDAPVTNGKGVDVNEDENIDSGHSSINTPDTVEDKPVDKLVKVTIQPSCGEAFDLHLSDNELVQELYQTLLDREATCHRTCFSLYLNGTAVDNYSEVRAVPGFVDGCTLNVVDEPYTIRDARLHLRQVRELLKFGLVQDQHEPPCSNEAQSYLTTINLQPDEKKEPKPSDILPPDYILPGCKERSLAHLVVPQPKELIALKDIALSPFNPPPGPRKLRGDVLYIDLTTVENRVYHVTCCTRGFYVNNSQDGKFDPTTSNSNRTVYQSVIELLQNVSSGFKKVYPQILKRRQDKSLVERLPTSYPVSTWVANPLRPDGYSSDSLRAVELTEPFRVGFEDHMPGLLRDWNEELQTTFEMPRKSVSDRAIRDRSYFKIHADYVNAASRGVQSILDGNILAINPGEDKKTHMFIWNNIFFSLGFDVRDHYKELGGDAAAFAATSTDLQGVRAFSTLEDPKLNTLGMAIFDYRGYRVTAQSIIPGILEREQEQSVVYGSIDFGKTVVSDEKYHGLLEEAAQQLKMLPHTVLSEKDGVEQEMKLYTSYEAKGIVGNDGRKYVLDLLRSMPPDVHYLDDARVSETAKQLGYPRTFPHKLSALRRELVDLFCESRLVMFIQLTAKKIRDVIAEAKEKNDEELIKKAAEAESELSLVFMAISEEKEIETKNELVQGAIRNACAAVNSIYEDRFVIKFNPDCFSANVKHAPFENLERQRQVVVDAAEFLLTNQIPELIQNFKDCIVQPIDGDNLADVMHSKGINIRYLGEIGKRIEDSNLFARPLVLSDIVARSAKHIIRKINVETLADQLSASTSHILNCLFSVVTDPSPVATNATKKSNKKNGKKKSTGVWSTLTTASLWKSICEEASYYYGYPIDAESLDKFTEQHDIQKTALFRRICRIMGVQLVARDYQLDTIGKKSAIFTEDDIINFFPIIKHHQPYTADAKKMFIRGQHAMSVGASREAYECIGEAINLMTAVYGVMHPDMPQCLRALARLSHVLGETADALNHQHKATVMSERLIGLDTGNTILEYINLAHFAFGALLIPGSLRPLYRARYLMNLVFGEKHPVMAQIDANIGTILFTIQEFDTALKYLQSSDSISKAIGEPRKLKTGLISNLIARTHAARGDFRAALVAEKETFHIYSEVYGPNHQRVKESGEYLRTLTQQAVTFQKKMLNVDNNTNINELFQIQPPPVSALFEILNIINGIMIIGIPGLANLAALEKLQNGAVEESKATDVAAQLDNETLD >CRE25301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1689055:1691266:1 gene:WBGene00055084 transcript:CRE25301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25301 MASDMMQNSRRRPSVLPSGVQSKLLHEKRFLLEIENRQMRTSVAEHIENAGGNILVSFEERNPHCLISDHPMAAKLSKAKEGDTIFTSEKMLKLMPSLIRQAVSRKVKVCNDLNRHEKNAFFKVRTPECFLEQMASFFNRKGKTTSATNSHSTIRGRPSINPSARRESSVMPERTDSHVAPRTSRATSEQPNSNDNKERAFLRIDVPSRRPDIRFINKDSFDKVYSGTDGGYSVFKPADDNLKERKRKEYDMFEKGRYEAVKKSFKFDERDLYCQFCQRKIVGERKDHERTDEHRNKAKSQGLTPALERIVMNARISLQSHEKREKYNLKRSRDSMALVEKSKRANVEFEYGENEMKANWQRLKVNSIESVPKKVSVLSPRRRQQRIRPLYSQGDTPLN >CRE25578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1691606:1692506:-1 gene:WBGene00055085 transcript:CRE25578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25578 MSLLFDPGFVILREDQSVKLFNIILVMSQVFGWFLKLLVINLTYFSYLGGLAVLLVTIWMSKFESGFAWSEDPDKEFNYHPTFMVMGMVFLFGESILVYRVFRNERKKFSKTLHVILHSVVLVFMLTALKAVFDYHNLHKDASGNPAPIVNMVSLHSWIGLSVVILFCTQYAIGFITYFFPGMPIPIRQLVMPFHQMFGVLIFIFVSITVAMGISERAAWKHTCWTKDGQMCAQQAISSFVGVFTFLYTVCVLLLVLNPRWKRQPLPEEEGLHHLTSSHSMTD >CRE25579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1694281:1695339:-1 gene:WBGene00055086 transcript:CRE25579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-14 description:CRE-VHA-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LSE7] MSGGGGKDRIAVFPSRMAQTLMKTRLKGAQKGHSLLKKKADALNLRFRDILKKIVENKVLMGEVMKEAAFSLAEAKFTAGDFSHTVIQNVSQAQYRVRMKKENVVGVLLPVFDAYQDGPDAYDLTGLGKGGANIARLKKNYNKAIELLVELATLQTCFITLDEAIKVTNRRVNAIEHVIIPRIENTLTYIVTELDEMEREEFFRMKKIQANKKKLKEQEAALRALEGPPADSDTHSENHAPRNLLAVEEDNLPVLFN >CRE25580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1695814:1698106:-1 gene:WBGene00055087 transcript:CRE25580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-99 description:CRE-LET-99 protein [Source:UniProtKB/TrEMBL;Acc:E3LSE8] MADDYSTDQFKATQMFDEIVWHFRANLPLKSNRSMLTTVENSFTGKEALDFLLLEVPRIIPDKVPTVENMQKLLMMMVDWKIVAEAFPKKNQRKREFSDSRVYIFIKSLDELKKPKPRSRRSASFSGGRKSVRVTQPVSPSATMLRRPKLSRRLSRSNGNIDKAGVDNDPRGVENHGFDDQKEEDQQKSSRRLHAPKILNRSLESICTEHISLKADFTEKKEKVYDWLPFFKSRRYHTKAHQPTRRSVSLDRNHCMVEEEIEEAARNKQKVTTPPIRELTNDLVFMHPKGPMSTAPARYQSNRVSIVGTNPASLSRGRMYESIVRRPSIPVVETQPPALKDCIIWKTEMLARLELLYDRPIPSEWANKVDGYDINWNMLEIDANDGVVKSRCSGLQPDYPTTIVHFMDYLGRYPFSSGKKLDFVPELNVNRMFGTLVNRLEDLNAPLQSEDCSLIVSFLSKMDGFAMMMETGAGRRWSKVIMSTSVSSIEEAGLMVDGFSRDIPSCGIRASKHRRRALSPFDNRVNLPIQDEKAYKIREKWLIESLQLILLSLPTSRRRKLHKFICFIKSIETNQLFDLADPSNGSSNNREAVMIYLYIIHSINVLIKAIIGLWTGVCGGCRKQQGMLITAVLLANYRTLFAVPEEFVERVQRLEYAQNESYDSSRYPKVNRSRRARNQSIVEETPSSPAALKKTSKETFTAEKSKKGLFTRLLRK >CRE25302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1702204:1703955:1 gene:WBGene00055088 transcript:CRE25302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sod-4 description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:E3LSE9] MKNRVILILALFACIEAASEVIRARAYIFKAVEGQIPTELIGTIDFDQSGSFLKLNGTVSGLQAGKHGFHIHEKGDTGNGCLSAGGHYNPHKLSHGAPDDSNRHIGDLGNIESPTSGDTAISVSDSLASLSGQYSIIGRSVVIHEKTDDLGRGNSDQSKTTGNAGARLACGTIGKY >CRE25581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1704832:1709480:-1 gene:WBGene00055089 transcript:CRE25581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctr-9 MEEELDELNQDARTIAIPLKDSHEDGTHLKRLRRFSIEVWNLTQNWATASEKSVQYVESIMNLRLKMLYSRDESMDETAKLTQDQKNRGLNDIVVVNSKLNQSMNDFERIVTKFEVAQGRMSAWKQLTDKSSNKEEKYVVEILDTNLPIIISMLKKELSVKQAALFDLAQRENRDVFTLVLLAFKHEPFVDTALLSKLFALVAAEEVIEINCSELPDGAEVLSILEAEEAKLSYWIEVALEYFRQNLVQPFMDILEAAGTRAGLEYQGVKQDQMRALDILAAYWMTEGYKEKAKDKKQDLFSKATVLFNTADKIAMYEWSHLTVRAWFYLFERDKSTNKYELADQQFNYVVKTYPQNVLPLIGKAVISFNKKDYKTAVYYFRKAIRQRHHSIADLRVGIGYCYAKMGLMDKARVAFERALDIEENNVSAMCGLGIILLNTADSDDLVKAVKLFGKSYNLQADHPVALVHLANHFFFKGQIDRAFHLASHAAQHNECDSIRAEAYFQAGRCRHAQGNYDGAYKFYYQARQANNGEHTLAHYGLGQMFIHRNEIEDAIKCFETVHQRLPQNMETMKILGSLYAHVQLNDPVKTNQARQKGRDVLTKYLSIESNDYEVCIDLAQLLESTDPKKSLELYEKSIQLLEEFEGIQPQPEMLNNVGALYMSMKQYEKAEHHFKRARDRLEEQLTSEEGAQLLTRRSAPEKSHLLTIRYNLARCLEHLCRTAEAEQMYKDIVHECPGYIDGYLRLGCITRDRHQVYESSLWMKQGVQFDQSSPIVWTLIGNLHFAKNEWMPSQKKFEFILSKIFNNKTPDPYSLVALGNVWFEQLLNPSRKKEDEKKYIDRALQMYQKALKLEPKNMHAANGIGCVLAYKKNWNDARDVFSQVRESTSEFYDVWLNIAHVCMEREQWMTAVQMYSSAMKKFRKENDPVLLHYLAKAYYRANMLVEAKEALEKAMFDQLDNTQLKFNYAIVLKKTAKDILRGHKITSAQVESAIYNLTFAEKIFQYISKNDDRQASHSGMRISRTVCSDEAKNCNDLLAQAQHKLASAQSQDEEERRLMEKQENEKLALKNKLLEEARAREEAEKKKKEDINNLRLSFIEMTKDVLKLPEIVEEKRRGGGGRKRRNDDGDEFVNDSSDAGNYDGEEVGEDGERRERRKKDKAAKKASRKRRERRDSDGSDSNRRDEKKRKRKEDRERKTQEKLSAKQSQKIKSREIVSSDDSSDDDKPKAAADSSDEEDTRPPENEFDSKSESEADADSDHEVAAKKKKKKAVVDSDEGSGSDSDGGDRPIIGGSDDDEEKPAAGGSGGNSSDSDVSDAPKKRVVDSDSD >CRE25303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1709856:1711780:1 gene:WBGene00055090 transcript:CRE25303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dars-1 description:CRE-DARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSF1] MADAPEVEAPKLSKKELNKLARKAKKDEKVTEKGGNQQQSASMEQEDYSKDFYGSYGLVNSSEKKELNFLRVKEINVSNATKDIWVRGRVHTIRSKGKNCFIVLRQGVYTVQIAMFMNDKISKQMLKFVSSISKESIIDVYATINKVETPIESCTQKDVELLAQQVFVVSASAPKLPLQIEDASRRAPTDEEKAKEQENQLAVVNLDTRLDNRVLDLRTTTSHAIFRIQAGVCNQFRNILDARGFIEIMAPKIISAPSEGGANVFEVSYFKGSAYLAQSPQLYKQMAIAGDFEKVYTIGPVFRAEDSNTHRHMTEFVGLDLEMAFNFHYHEKLKFYFIFQVMETIAEVLTQMFKGLQEKYQDEIAAVGNQYPAEPFQFCEKPLILKYPDAIALLRENGIEIGDEDDLSTPVEKFLGKLVKEKYSTDFYVLDKFPLAVRPFYTMPDFKDKKYSNSYDMFMRGEEILSGAQRIHDADMLVERATHHQVDLAKIQSYIDAFKYGCPPHAGGGIGLERVTMLFLGLHNIRLASLFPRDPKRITP >CRE25582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1712015:1712371:-1 gene:WBGene00055091 transcript:CRE25582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-36 description:CRE-NLP-36 protein [Source:UniProtKB/TrEMBL;Acc:E3LSF2] MSVDLKQHLDLADYLGVLAVWCIFFSILFVLSVIFNFVCIKKDDDITALERWGYNKNIGMKLGPHRRSMVARQVPQTIIE >CRE25583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1714640:1718596:-1 gene:WBGene00055092 transcript:CRE25583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25583 MRHPIVSSLILVIWTSMWQNVNGEEDSPLKLIHNELSILSRVTNAIALEAASLKKSVKIRDVITEILEVNSGNFSDIVGLDPDSLTKTLDGIQRIRQKIQESLAQTNEKMTKQKLFDMASLNDLLVFTNDNYEDENRVHSDEIMKSARGNTSIILICDIKLVESMSRFGEFLNGVSKGNTIDLEIISTIQNSKSDIQKCLKKISGYSDAIAQTKLELSLIGSMSDVIDVIKDMKEKDIINKLPSDLRIFQSIFSLILNAVKSYEKNSSGNLLNSTINLLKNVLNREESHHHHHHYYLTAGFPEIKDMSSVMNDLKSVWFREKISKGKSIEELENALAPFAHFAGKIKNVHQSWSLFQKGFTKADEFLTTISRGMDAIEKYDFSRDEETYFRDFQSSITSCLSFFKYDYDEGLEESFRNNYELLAAYVESVDSLEEWSQRMNDMLSPAFDLFLNKFSQIRKDGKKNARDIKEEIKDLINFESSEKVFSMFENLKNLQKTHMEHDESTRNLRVTISEVAKSTGFFETSKCLREKKFDTEQLTMKIALVNSILDVTLDIFDELKTILNLFSKMRTELFDAEDFVKETSSRNQRDVSQKSKNSILKLENSEKLSDHLGNGMRILSEMIETLEKKNDILKSANYGQKVDNIISKSPIQHVKSFWNSDNRNAKIKKLVEDLESLESSAIEYRKRDLMTIRKIFDKAVEVDGLPDVYPYIYDILLKKKNTEYDDVLENSKKLMDLDLDFSNHKGELSAASLSLEKIKEYFDDIFELNPIKEDPAPVTQESTSIFLVIILCLAIFLTLIICAVVAYGFTPSGKRTYKKLYLYYFGKPVDYEKRWRYSLFLDRTDGKNVLIDAVREINSINLNNAVKKGAYINVCNKFGNTSLHVATRRGYPELVEILIKNGADRAFLNAQNKTPEQMIPENYSKTEEEKTERYMKIELIYEKYRKRKFKQRVPEQFPVSSFHIYIEERTDDTITNEFTTKFQAITSDEVMPTTTHCIVKTSTSEILETDDINILSWIFNGIIIVKDTWMTECLKNKKLIEKDCDYLVEKIRYKEVVYDTVIQWSNAMAKGTIPYLYGVHVVFVMKECPNAPILAAMIINQGGTVLDSFPEKDSFNKGSHPYLHNHLGPIFILHDGKTDLTPFRKDPDRMFTLFTEQEFLVFMLKREIDINTCPKPIPVLVEGDD >CRE25584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1719122:1720278:-1 gene:WBGene00055093 transcript:CRE25584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25584 MERLLRSLIMNQNKQATDKIDHPDTSETVNISSLALLKMLRHARSGIPLEVMGLMLGEFVDDYTINVFDVFAMPQSGTSVTVESVDPVYQTKHMDLLKLVGRTENVVGWYHSHPGFGCWLSSVDVNTQQSFEALHQRAVAVVVDPIQSVKGKVMLDAFRSVNPLNLHIRPLAPTAEPRQTTSNLGHLQKASLISVVHGLGTKYYSLNVAYKMGSNEQKMLMCLNKKSWYDQLNMKKYSELEKSQEEKFKNINKLITVFNKEIDEVKEKPSTEKKIKNLDEVKKFGKINAKQQLQQLTSNLLNDSLCHHLTAMINTKSMN >CRE25304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1733537:1736148:1 gene:WBGene00055094 transcript:CRE25304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bre-3 description:CRE-BRE-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LSF5] MNCEVKHALHCAVLVAWIVCFAYFCGVFTEPAEGTVPASPVASYGLIWTICLYLLRFTALLVLPQCLCNLCGLMMFNAFREKVQLKAAPLLSPFVCFRVVTKGNFPLLVKENIDTNMKKCFEAGMENFIFEVVTDKAINLPPNPRVREVVVPTAYRTKSGAKFKARALQYCLEDDVNILQPTDWIVHLDEETLLTTNAICGILNFCEDGTHQFGQGVITYANGDIVNWLTTLSDSFRVADDMGKLRFQFKLFHKPLFGWKGSYVVTQVEAERDVSYDHGMEGSIAEDCFFSMIAMKHGYSFDFIEGEMHEKSPFTMWDFLQQRKRWLQGILLTVHSSKIAICHKALLALSLYAWATMPLTSLQIFLCPLFPLPRFLLFDFLLSFVGAVNLYMYIFGVVKSFSHKYRNSFLRLGMYLAGALMTIPFNILIENAAVLVGMFGRKDQFYVVNKDIQTV >CRE25585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1736933:1738386:-1 gene:WBGene00055095 transcript:CRE25585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-342 description:CRE-TAG-342 protein [Source:UniProtKB/TrEMBL;Acc:E3LSF6] MPTKRVRKTKATTMKSAPVGEYEEKLEDSNELEPSIRSVVTSLMFTSGDDENPLQENEDLVVNILKNELILFLQDVVSVHNNKFVHIKLEHMLPLLQKQTGVLYRFIKYLKNRVEMANFLKAKSYSSNGTEDVEEDIEDDDDKDEVVEDEVDPMDEIGEVGGEEGEYLNKKKKKYGTVSFEENLLNDVKKAFSTAKMRFNTFEDVVDETHDQKVYALQTVIEDMTGDEYNRFAVARRVSFQLHSLTPVYSSMSSNLTRRKRKRITTKNNRQMLISWLGNPPVSTEPAQVFLAFLAKEIVSSTVGSALVERRKTSPAEGGPIRHCFYEEPLRKNQRFRKYGRLLF >CRE25586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1738709:1739027:-1 gene:WBGene00055096 transcript:CRE25586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-baf-1 description:CRE-BAF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSF7] MSTSVKHREFVGEPMGDKEVTCIAGIGPTYGTKLTDAGFDKAYVLFGQYLLLKKDEDLFVEWLKETAGVTANHAKSAFNCLNEWAEQFI >CRE25587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1739495:1740901:-1 gene:WBGene00055097 transcript:CRE25587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25587 description:Protein phosphatase methylesterase 1 [Source:UniProtKB/TrEMBL;Acc:E3LSF8] MSDDEIDMLPDLNSVMATTPHRPNELLRQAVTHGRPPPVPSSNGIVSGKRREMSELPWSDFFDDKREVNIDGDVFNVYLKGDEGPIFYLLHGGGYSGLTWACFAKELCTLVTCRVIAPDLRGHGDTRCADEHDLSKETQIKDISAIFNKVYGDTDESVCIVGHSMGGALAVHTLNAKAISAKVAALIVIDVVEGSAMEALGGMVHFLHSRPSSFDSVEKAIRWCLSSGTAKNPMAARVSMPSQIREVSESEYTWRIDLTTTEQYWKGWFEGLSREFLGCAVPKLLVLAGVDRLDKDLTIGQMQGKFQTCVLPKVGHCVQEDSPEKLADEIGRFACRHRIAQPNLKFSPLASPPDPAILQYERRHHH >CRE25305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1741363:1743564:1 gene:WBGene00055098 transcript:CRE25305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25305 MTADSLRERLRSRNADTSLLNTSQASNRSAFEDKQSPVCKSRYVNDNKRIETLRVATLEARIKWHVVLLTIFFVDHFILNSTLTSIIIFFGSFSSSVSYTVSLLIIMYSLIMIGSFSFEIKFPNALKDLVQSKSPKKTVASTPVSPSANDSQQILDTSVHSNDLSWVDSHRFGTPSFKSSQLQQSPSPNKTTSPFSHALVNTSISDTSGILDDSKGGWKSPAAYGKPTESIHTRKQLDVLLKSNKNDAPIDLNASQSFSSIWSVFDLGRNGTNNANNTYQLSEELTDETNANSSYRMKIGKNGRTEVKMVRRGKDGEIEEEDEDELNRLHKIMNAAKNTPEGKTGILKRSNSIDRAGIRSRRRSHGSPERTSGSENEMRYRTGELLTEEQQKRAEFLTRAWIRSTVILPLAEHIDKVNKLLDKEHANPPLRIGLSTVDALKLAAIERDALKSSDLPFLLPFLSVHNNQKYLVNRVKELSATQFMDVYKWNSGGCEPTDDVSQMSRLIRREWNDSLPTDGVLVFDLFLAYMDAQLNSNCLVGDNRLDQPFTSRFCVKSPRKPTSAQKSPYSFYLHMVTQSPPHFEFVHIDENGYAVKCNILRQNPNLFRAIAQFVHFVQQENHGYIDQTSIGPSGINMVVVLA >CRE25588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1744389:1750100:-1 gene:WBGene00055099 transcript:CRE25588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spk-1 description:CRE-SPK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSG0] MGGPDKNSTVPLANKKKKKKTNKKKPTATNPGALTPTQAGEKNENLKNGVVTNGSNHLEGLAGRAPHLLHPAVDYPGITSYVEANSSFNLTDIFPTVLFMFVVLFCRWFNGFGPRQDRFQRLEDEAAWRRRRFRVQSEESEELKDHETYSETDICTQLMASSADVACNINVDLVDQNRFYFFVKQRSENDKIETAVSSFEETSTSTQNEETEVNLVFASAEDNGDVLSTFKISDTPLMVTSEVCEGKKPLESQDQSSRPISVVVNGSDDEESLRSQDGSRCSDEAMNSCVSASASDEEDVESQDDSFHVNDATEDSVDSVSSIESQEEAPIEDLASCHSEGDENEKEVTVDEDASKYDNLPVEMRSAKEGEESEGTIDSSVSSSTSSTSTGDDEDGSATSYDSDDIEIQMFEYDLGTACASASISIPRPSIISRKNKKNEVNANEERMDDLSVSPGRSDSPGGGGGHSDSFQDPLDPGEQLGSDDEEQEDPRDYKRGGYHPVNIGDVFNARYHVIRKLGWGHFSTVWLAWDTQEKRFTAMKIVKSAEHYTEAALDEIKLLLCVRGADPTDTGCHKVVQLLDEFTVTGINGQHVAMVFEVLGCNLLKLIIRSNYRGLHLEQVRKICKQILEALRYMHEQCKIIHTDIKPENVLITMSREEIKIMAQHAVVARKMNMKMSGSAVSTAPDHMVKMAQENMTKNKKKKLKKKAKKQREKLEAELAGLEGLKMDANGLQEAYNNAPQNGVRMRPPSLLFNGPIPQLLQDSSCVNTPSSPRSVPPPPALYPQVGGVCNQTYHLTQVIMNENVELDSFNTSQVEDVNMEDTVNGNGIKVEIKSPDRFDRRTLTPFSDPECKFGDVSSPSAEFLSSPMAMLPPGGVLPAPPVGPNISDPYCDIDVKIADLGNACWVNHHYTDDIQTRQYRALEVLIGSGYGPPADIWSTACMAFELATGDYLFEPHQGDNYSRDEDHLAHISELLGQISPSIYKKGKHWREFFHKNGNLLHIHQLKPWSLYEVLRQKYEWSHEDAQQFESFLRPMLEFDQEKRATARDALKHPFLLPFGGKAPKPDCPPEVLERLYPDGSIPEPFDGNNHQEVYRDENDSNSASERSAISRSAESDDEEEFNMDRPGPSGVMSNNEPGDVSDVERFQLNLQ >CRE25306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1750733:1752307:1 gene:WBGene00055101 transcript:CRE25306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rheb-1 description:CRE-RHEB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSG1] MSNSNRQSLNRKVAVMGYPHVGKSAIVLRFTQNVFPERYESTIEDQHTKHMTAFQRDYNLRVTDTAGQQEYTVFPRSCSLDINGFILVYAIDDRKSFEMCTNIYEKIVRTYGDTSIPIVIVGNKSDLNTQRVVQQVEGQKLAEEWDAKFVEITAKESNRVSEVFELLLREIEISRGNLSPTVNRNGNGQKIPPTKDNDKCSIS >CRE25307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1753144:1754906:1 gene:WBGene00055102 transcript:CRE25307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25307 MTGSSASPPEPSAPPVDSPFSAPPHEANTPTSDTTETMSRIPTGIKLPDAVANIDTAQVAAKVESFKKWTIGTFKNSKQQLLEHMGKIDKTVDPEFEAQCEVLKDIHRRYGLVVAAAKNFSQVLTQMAEAEKKLSESFYQLSLKEEQIKAQCTTTSETMRGVGEQASSLDACLRYFISSMETVYSQTITDTLHTIYNTESARIEYDVDRNDITAASNPPQGQQPKSLPAGATEKCDEKKAKYEKLKSDARIKMRLLEENRISVVAAQLEKLQSALAAYYSGNAKLLESSVRELSILQTPQPSFIPAM >CRE25308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1757976:1760640:1 gene:WBGene00055103 transcript:CRE25308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25308 MVSNLTSVFRSRNQQDKKSKKAAIVSTSSEEQPQPTPAMVLIPTVTIQVGQNFCFCYFSKLSPFQTFIIFQEVTKEVTVTAAAVEPEPVPSSSVVIQEVEILTPNEDEVKPDDPEQQPAGCTMCKKVENFVKPYYETVKAKYQQVVDRLLDPSLHATIRSEFLQYAPAFLLAFAIFLFAITFISFVKLLSHGVHNEPSFFCRYFSGPFHPIFNAICEPEPFVFSEELPRVMSGLIDEIFLNLAEFFRTISRGFSVFGTLFTAIWHGLSENIFFGFHELGENVGENIGHLIHFIVQFFHQIVHLFFSSIATVAGAIAGVFTSIHDYLDPHHADPNVW >CRE25309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1761087:1762685:1 gene:WBGene00055104 transcript:CRE25309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25309 MVRVCRVVPKDWHKFQPLGDVIPRTRFIVFKTPINSQLSTKIFKDQRFTTNDLFRQLAERGQHLGLVVDLTDTDRYYDKEDITGLCIQYEKVNCPGRGFIERDDCVESFNQAIQEYIDKCEDPDALIGVHCTNGINRCGYLICRFLIERLGWSSHEAIDAFEQARGYSIEKGSYVMALHKAAKDSRTKQRADSDSDSSERRKKKKNKRKHQEEENNSAINEVHMINAILGELGQQSASVSGTGYQHSPTGPQTAEPSQPQQHWGFAIKRSKYAQLNQPVTNSTPPDNSATDGTPLDEEEYEEEEYEENEEEAEPEPGKGQSASSKRRARRNRMQNCMKVMARGRFHEIQAMREEIALTHGNARDL >CRE25311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1765058:1767771:1 gene:WBGene00055105 transcript:CRE25311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emb-30 description:CRE-EMB-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LSG7] MEIDVESVIREFQQECVIGYDSAHYSSAFNGISFIVTTMGPYLDCKHKKQEPPIIDPNRPGQTVQTLMFTVKLDLEPSLWEVAFRYIRLQFGYNLYSISLDTTKRNWKNQIADLHALFDTKTKNVRIGDVLLEMLLSGSTDSAGEAFLERGLGTDGLDAIKNFASKHLPEVCRLARGQLASASRNLCFQRCEFQTAMSRYLTYIQVRDDDNFLYGDKMPKLISKSSVWLISLEEHINMLDLKTRQLGLQCLTMMQELNHLTKWISLTKPFAKTMKVNSLMKIKRMDIAKILLYVVRNFIPDKQEANKIEKKLFHLKDLIEELKVKDQEKNFDELAYLDKLEDVARRERKKVVGKLKPPFNFDALSDENDDVALKLDEDEKVEPQEEPGPVPMDTTQPAEEEEQPPCDLDRVGSFFENELSEKALEVLPKCNEKHDKVLHGRAKRMNSKEVQKIGILKVLTDLADLLRSPLKLNCDETGNPRKPEVLFVYELNSEPKHQGYSDIKISDVTPSYFEQHKFNEISGLGRAIQVSVIESKRLNSVVIVPNDDVTVELETQLDEHVVAMSRHYSFETLDVHVNSDSAENQPPSSPEEDAMEIDENELRIDVNVDPMQAQYDTLTEYSQIHPLRHGGLVILVLFFVLIKYFKTILQGKFKSNQEGTGSLTRMMKSVSFYPHQVSNQKDVFAVNDQNDGKTEVSIELLVIHPTTQLTAFLHDEGGKITMGDLKPEIRVVEEEEDDSKKGQKKTFREYQRRRERYREDHGVLNVNDVQYDVLVQEAMDSGRHLTDDDDDDVDDNE >CRE25589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1763595:1764746:-1 gene:WBGene00055106 transcript:CRE25589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25589 MMFTTKCAIQNIRNVAIVGSGLMGSGIAQVSASSGLNVVLSDVKQDSLDKAMKSINKSLTRIAKKQNGSDKEKADYVTLTMSRIKTSTNVSTAVTDADLVIEAAIENIELKRGLFAQIEQSAKKDAILTTNTSSLSLEDIAKGLDDKTRFGGLHFFNPVPVMKLLEVIRSDHTSDETFATLIKYGTAIGKTTVACKDSPGFIVNRLLIPYLFQAIKMYEREDASMTDIDAAMKLGASHPMGPFELADYVGLDTCKFIMDGWAAKYPDNPAFAASPLLDALVAEGKLGRKTKEGFYSYKNTNKQ >CRE25312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1775041:1775393:1 gene:WBGene00055107 transcript:CRE25312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25312 MLFRLFLLLSFIVLCHSIVSEISHRFLHRDPDYEGRVYYEPLYTSKDFRLGGSSQLSPLYEMTNSHLLNLADLLRKRTETQTPY >CRE25313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1776076:1777705:1 gene:WBGene00055108 transcript:CRE25313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25313 MSDSSSSDGEDDQKFLKNRKKTLTKSKILQIRNKLKKKTPEEIEDNVEEIEEEKTFAELGVSQPLCDACQRLGWMKPSKIQQAALPHALQGKDVIGLAETGSGKTGAFAIPVLQSLLDHPQAFFCLVLTPTRELAFQIGQQFEALGSGIGLIAAVIVGGVDMAAQAMALARRPHIIVATPGRLVDHLENTKGFNLKALKFLIMDEADRILNMDFEVELDKILKVIPKERRTYLFSATMTKKVSKLERASLRDPARVSVSTRYKTVDNLKQHYIFIPNKYKETYLVYLLNEHAGNSAIVFCATCATAMQIAVMLRQLGMQAVPLHGQMSQVRFERPLQVGSLEKRLGSLNKFKSKARDILVCTDVAARGLDIPHVDMVINYDMPSQSKDYVHRVGRTARAGRSGLAITVVTQYDVEGYQKIEANLGKKLDEYKCVENEVMVLVERTQEALENAKVEMKEMDEKRKSGKKRRQNDDLGDTEENSGRFKMGIKSMGGGGGGGKKKKFKK >CRE25590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1777760:1786412:-1 gene:WBGene00055109 transcript:CRE25590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rgr-1 description:CRE-RGR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSH0] MNFNSIRAKLSSQLRAALAADSPANAVPVSPDPNGSGLSLDESSGISDREALSSQEQTMQYSEVDAKSVHSSDSEDEPVQNPLPEVPANCGPRTVQLSVLLDFAIQHVYHEITVLAELMQRKTNDQGEQERKMSLVHFAHATRSQFLKLVALVKWIRVSKRMDVCYSIDYLLDLQSQYFIDTADRLVAMTRGDLELARLPEYHIAPAIDVLVLGTYNRMPSKIKEAFIPPAKITPREQRAVCSRLNQLIETRLSRLSNGIPPNIREIHIKNGLATLLVPGEFEIKITLLGETEMVKWTLLNIKILVEDYELGMGLPLVHPLQLNQIHGVLQSRMNVAANPIKEAFFFLHSFCVSLQLDVLYCQTSRMAAGRLRENIVIEKYDPKERVLIVGYWVKRSKNKKLTVGQLKVDAQYRVQVYEDKDDKLGGLKVRHFPHAPQLGTIDCNAGMLSMDRLLSETFDVRCKERLMRLRRLLEAAEPLLEVKMTGLSVPSLSLTLLPDTTSTEEMLTVSVNSFCGKVICNVNMLSSENEDVLAFARALYSSRCSDTTIRSYLAKLRVSIVIERYRRSVKALPIYEVLETELFPFVKEVLRETPAQRLVLRYLRQEMYYLIVSFQPDEKEVVSTKLLLLEVDEDRAQFIPLDNDRDVYDATVAGAVAQGTLKFADLHKISLQKECSREQRLAFAIATIEDRVTYMYVAAELDRKGVGVDLRKDDVHVPGGLALHITELVYFECQNSNRPFLASQALYHLMLHSSFNAVSVVASVLTTEIVTRFNSKCASRIFHWFAMYLTVSHIVEMETTWVQEINQINQMTPEKLTEGIIHRLMRYLYMYKVVFQFSQAYNRYFKSFCSIEAYTFHKLVVSYGENRDMLMVLAFNVKSQTPGSSEDYFLMNFGQTMPHKQFNATEIDWHQKPRWNPHVMMAQLLRDQLKETSDLVYIMHYLCETIRPLAALGNFVRIRFQSLKSLSQLIGPEVHFPFRLKYHLTPIDQFTLRLMHGNVILEIKMLREMRIAVRDVSRYQPRCAGLFQLFSNIDRLVTIQIVFLIGLFHFSETTQLLAEELPIPQSDCEHAAGPKMWTKDQFMETIDDRHEEPDARMAVTTQPVLMTHDTIIKACDFQEIDGRVTCPLDEYLNSIFYLQRALLTLERMSPRANPSQNSNNNLFSGYVTVVDSRPEHIRFRALQLNGDGLNATSMVHYKIYLCPIAMTLKIAIDYDEGTNSEATQENLDTLATYFEKIVFRCGDEYALQSYVLMTRVTSYGATKSIANLMNAQMGITPTSKCCMQLSLTYNNTSTKKLAPATKVDQQLNNIIFNVIISQSRTSESFSILRFIYRIKENMVTTPSVEIKQMADDVNAETKSSGQCAIWALVSLVSDRFRSGVWNPSNRDEPIISSVAPTANYANPGSVAAPGSIAPGSVAPGSVAPASQQPGSIMQPGSMMGPQSVNAHQYGMHRQMGGPPSMQMNPSSVGPQPGSVGMPGSVGGPGSMMNPGSHQQHMMNPGSVGPGSVGGPGSVNPGSVGHPNYPQWNPPPSGQYHPHHMPYPPQ >CRE25314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1786755:1788477:1 gene:WBGene00055111 transcript:CRE25314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpr-1 description:CRE-GPR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSH1] MDISVSSREEDGPKDEVIQGMLNSARSAMKLGMFEEAMGRIDEILECGTSDIQLLGNIYMLYGRVCRYLKHDAKALEFFDHELSVIKLTTSYSKACDSSRRITDLALAMGKFSKAKRCAEDLIDYTSSRKDGEAFVKHARALLVSVCLQGYEKKMESNPDENSKLLSICIDQIDMVKQINKEHPDNTSEAEVLMLEAKCFAVRGQLEEAKKLYQKCVDLCIKTDQLSIIHRVYYEMACYAEGNFLLFKINNLRSALFYVTKYGSEREIAKYKRELAAKLLAFGNPHEAYIHSMEALELMRKHSLKEYLKDSWLVIAKCLMALEKRQQAAYYIILGSILTLKQESFEKFYNLIDELMTSQRKDTLEGEEVRLTVDGSTDTTPNETITKFIVKLEHATNVETWRSVVIGILEEQNKPAVVEVPKENEEPIDFMELICKMNSRMDDQRTEMPAAMFAAPRPMSSASKKTTKSHRILPGLRANLAKMQTMKFDSQTVNRLLKRSKKSKTSLHSTSTQGDDTRSEDTTVLSK >CRE25315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1790069:1792221:1 gene:WBGene00055112 transcript:CRE25315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-17.2 MDAATTKPRLVPSTRFALSLAMFFGCLVTYMMRTNMSFAVVCMVSENKTDTGVEKVSRCGKEMTPVDSNSSVIGEFDWDKKTTGMVLSSFFYGYIGSQIIGGHLASRYGGKRVVFITILGSSLLTLANPVAARTSEYALAILRAAIGFLQGATFPAMHTMWSVWGPPLELSVLTGVTYAGAQIGNVIVLPLSGFLCQYGFDGGWPSIFYILGVFGVIWCAVWWYISSDKPATHPRISKEEKQYIITSVEASMGKDTGKVPSTPWIKILTSPAVWACWAGHFAGDWGAYTMLVSLPSFLKDVLGLNLSSVSLFIILLSCYVFFQLGAVASIPYMAYFCAINAGGILADTIRSKGILSTLNTRRAAMLVALVGQGLFLVLSGYCGCGQDVSAVSFQSNSKILFQILVIIFITCGMAVSGFQYAGFVVNYLEIAPPFSGTVMGTGNTISALAGIISPAVTSYLTPNGTQEEWQVVMWLTAGILTAGALIFSIFASGEVQPWAKLSPEEGHEMAPLREGEKIELATA >CRE25591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1792492:1797369:-1 gene:WBGene00055113 transcript:CRE25591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tkr-1 description:CRE-TKR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSH3] MNQELLIQLGERACKNAENLTLPAELNGIFFCAPSYKEGLATQVFVAIAFVLLMATAIIGNSVVMWIIYKHKVMHYGFNYFLFNMAFADLLIALFNVGTSWTYNLYYDWWYGDLCTLTSFFGIAPTTVSVCSMMALSWDRCQAVVNPLQKRPLSRKRSVIAILIIWVVSTVTALPFAIAASVNSFFTYDVVTSTVSKTHVCSAPVNTFFEKVLFGIQYALPIIILGSTFTRIAVAFRATNEATDSSLKNNHTRAKSKAVKMLFLMVVAFVVCWLPYHIYHAFALEEFFDATHGKYAYLLIYWIAMSSCAYNPIIYCFANERFRIGFRYVFRWIPVIDCKKEQYEYSQLFPDKMRSMAISLQKGRVNSSCLDKKVKENSSQDMAQCVMHPEKNTKKYSKVHLLSYHER >CRE25316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1808168:1811680:1 gene:WBGene00055114 transcript:CRE25316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25316 MTQWDYERRKKKNKEDSGSEKGDSLIKDESFCNRTAIELVSIHSDIEMSKPSKSPNQAKSSSSVGSVCPPTARSLSMMTAEPLTDPRLIPTALSNSVNTAIGGTPSDFESNSGLSDTSAGSGRASSATSTPKTAQAPTSPEIIMNDLRRGSLFGRQTKSLGGSQSMETPQSVTNVTWTNTDMSTASQLSSFDRKPLNNQSSINSEMSESMKTGIPKSEKSVNRLSRLSGASKTRRRSPIAEINALKRHEEQKKQHDALQDSMIPEKSTKSKKSKKTKSKKSGKRRKRLDASGKSTKSGKSKKLGLSQKSTKSGKVAKKQPSERMDPDFSKKSKKSMKSTKSKKMEQTPAFGQSGVVKKGDNSVYLPGVMNNAPPAAPTIKNVPMKRNPPAGLSSKSRKGNPTPAYNFPGAGQCTRNMSDVAPRTPQNVIDPNQSHVVYDVAKLTPAQRRFQPKQPAGCSGIKQQPKSLFGTKKPASSSASRQTSVVAPPAEIPSTGNNKIVIFGKTPDGKNMVQMTIDMQIVAGEALGASDKPMTIVPKKVIVGGKELAFDSEEKSNRQ >CRE25592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1812207:1814299:-1 gene:WBGene00055115 transcript:CRE25592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crn-6 description:CRE-CRN-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LSH5] MIRPLILLISLLGVSYAAIQCKDNNGNNVDWFVFYKLPHLWDHPNNVPISNGTGFMYFDVNNKNWKLMPQGMDVQNNAVYYTLQQYYTSNNQTTFQYLYNDEWPDSTIWSNSSGHAKGVTVFDQYTGFWMIHSIPKFPSVDQFRFPSNAHYYGQMGICISFNYASLGDIAQQLFYYNTFTYKFNLPQSFANAFPVLNQLQKLEYNKSPPLTSIKVLKSLAGQNFKHFAKTGEWGKDLYNDLVGPELKSSIKVETWNHQSGDELNLPSMCDPNKQQSTMSAKYIRLPFGVNYTSYEDHSKFVVAYSEISSKPAIPYVCIGDINRQTHQLHRGGGTMCIYDQDTYFQYANVIAEVVYCSRATAEKVHALETNRYRRHVVSD >CRE25593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1816130:1817716:-1 gene:WBGene00055116 transcript:CRE25593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-25 description:CRE-FLP-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LSH6] MNRIRFHITVGLNYIDIVIIPFISFSFSPCSFFFFFTNNNSLLHIISFPVVTSLQFVTIMSHNSMIYLLVVFLILISTITVDAAKKECSGGCEEDVPVDLGLVLPPELYESTRLANLLARPSSQFKMKRDYDFVRFGRSAPIKKASYDYIRFGRK >CRE25317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1825747:1833106:1 gene:WBGene00055117 transcript:CRE25317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25317 MLRNLILLTFLVSQGLAQTPVIGGTCKLGTADVQIGGKQTQFFLKCETTADSADGEGVWVVKSRAAAAAAPAPATSSLPAENTQPQQHPKARKPASPNICEQDNGARENEACAVSATCLQAHNDLPSSYLQCDQTTLRWVRKSCQENFLFNFEQQTCIVPKRMSSLSPTSPLPSSNLSNPCSKCPLGSACRNGNCVPLTTSSRFLTPSAFPYSNKILDLCSDGSPPNNTCPRNPSQCPKGYFCTAQKVCCPSTALQSSIGCSMVCTIDESCPKGMTCQNNCCEERKLLRHPKVYRYATVEATNTIFEVDNDIFDTAAIESFPTQRPQKLEEVMAPGITPTPTRTTEPPKLRCLSTDELNSSVFRKAEEKLFVYGVNTANEKPKIEHAPRISLLFSQNCTFIGGASSFATCGGTNANCTIDEDCPITFKCSQGCCKLSKCPRSLIDVRFTCTSQYHCRSNEHCIFGGCCPKTIELAVIKSESITMENNKKGDKIIEPMKKVMIGDCEVDTRVRNCNIDTVCPDMSECVNGVCCKQPPMARCGNGLMALTIPATCDLSNDCPIASRCEYGKCCPFSSESEDSEVTPEIIKEEVTSTATKVWKKVKKVDKETGLSINKNKCLSSQKCDLHTMCPPDFTCSLSGKCCKLNVRCPDGTVPETSCQSANNHDSCPSSSHKCTLLNKEHYACCYSPGLIVEGSVTSVVSDCPMGSIEVDPRGRTPEYIYFQSRCQTRVNKPTDSCALSPAAPTQTHAPSELATMDIAAPVDPTLLQLSSILRILRSPAPLTNHNPNLNQPIQNLTESTENQKRRNLFPQIPFFRMIFQLDRPDTGSPSTSPNWMKCSLELKATVVSRPSRDNTCTFQISFTVFCAGGFQSSLICSVGSECPAGLHCDTAINLCCPLLLPLTDPKNPKKRKTKRRKQKHEESENHMNSEITEEPSTRFSSYSCGCMTGGSSNCVGCQNAPQIITIPQNSCPGGGYSVGGCSSGYCATGYSCIQNQCCPSYNSAPRISVYTCPSGGNAVGACMSGRCASGYTCSNNVCCPQTTTTNPFVCPDGTQAAGGCVNGQCGTGYTCSNGLCCAGTSTTVKCLDGSDAVGACIPSCTGDGCGGVQVSYYCGSGYTCTTGNICCPINSCPNGGEVLGPTINGLCPTGYTVQGNLCCSATCTDGSTGLQAVNGVCVTGYTLTNGVCCPSTVTCTDEISIGPCTGTGFNGGCPAGYACDSNNVNCCPVVTYELESCQVGPAIDGLCPPGYVVVYIPNSPLITNGVNPGTCIDLQCTTGLCAAANQIGECTTTTDAGTCPTGYTCFTNAGICCSTTTFSRLRIGNSRQMAQVPHYGRPLHSYMPLCAVTFLLSFCLPSLSVFLRVLVPLSTSSSSARFGGPSSSSSCSDGSLSSGPCMNGLCGIGLECQNGQCCSPSSNKPAGLLQSTLHVSISPLNLSHLTHLQVNAHPVTPQSPDASQTDHVEQGQPQTSFPSFPGNNINNMNNNNGGFNIHNNNNNNRFGSSSMSPRPIGARCQLDGECVGHNDGLSMCHAGVCQCSPIAYTQGIACVRRKCKKKYSMEITLLFPNERRPSDRRLRRQVKHPERQRVKPSSDELKRAMKRLCALYFFTSS >CRE25322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1838383:1844250:1 gene:WBGene00055118 transcript:CRE25322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25322 MLVKLIALLKKDAILATRSKVWTLFELLLPVFILFCVNGMMTQIAEQMKKGTSDMKDISEVLSKYSLDSQIFYKAPESCGMKELSTKIYFRKGNDDIYMQYDHGQFVNVTGMKMSVDDAKKKLGDCEYATMLVDKSGKEITVHVAVASTDIPREDFKGAMERNSDKYMFSSVFIQTIIDGHQEDKERGWSESVNVQKISARDIEIPEVALPFFHAIIGLSMMVTVVNVVRTVVTEKSTVKVRVIEVPLKLLLFQPYLSAIGLPGWLFYTEHFIATFLKSLITCIGSMKFFMGLTYTNLGVMMIGAVFYIIGAIAFAILFSAIFTNAKRGIEASLVCWVLAVFYPLSQDEDSLSLLYSLNINFAYHYFLKKAQENSLANNKMSFDSLFDMTYIEGTAPGYYFLMVIFDVVWMVAAALLYDRYGGKLSGLKDMFGGGKRMKGGGGDRDVILEGCIENVKMRSKAVSDVELSNVVKIYPTGEKAVNGLSFRAIRGQVSILLGQNGCGKSTTFSMISGVAQPTSGSIKVAGVDAVRNKDKARKFIGFCPQYNPLYDRLTVLEHLELVNALKEGPSHLFLGEAKQLLQAIRLADKAGTHAANLSGGMKRKLCVCMAMIGGSKVVLLDEPTAGMDPGARKDVQMMLEKIKVNRTILLTTHYMDEAERLGDWVFVMSYGKMASSGSLNYLKKKFGSGYVLTVVLDVKSDRKHSTRLVKEICHHLIPDSKLKDQRGQMIEMTLPEDDKKKIVPLLKVLESIIAKKYKSGPIKKLPSALKEKIKKLRITTLGLSLSSLETVFIRICDECDLVISKENNLEDKREKAEQSYELLMAMKKNKRVTGSALQSAQFSCLIRKRYYYTLRNIYQLFFQMAIPILILVFGMNMIKDEANAKPKTEEKISLDAFPKSIVVMMKGAGKTDHYDDIKGQIEGFKHLKVKEHDAGMSQEELVKKYYKETLGFIVKVENNIASIFFNGVVSRAVPILTTLASNWYVKDGGYNVKIHYITSITEDAQNEFMMYLTIPFFLTLGFALVTAPFVQFSIEERVCKFSHQQHLTGMSKLIFWSANILWDALVFIIFAAATIMIFFAFNRLTDSIPLILVMYGLLLAAIIPITYMASLIFESPTKASTLLVLYQLVLGIIIVITVMIMSFLKSKKTGFVTVSLFLVIGRFSRFFFQTIFYIICPPSAFLFGFFKVTVSKAIEKDPAGILSQLIDYKDLWEWNGLLRDVFFLGLASLVSTIVVYAMQNRSTKVAIFDLRHKAEKPIPKKPVRLAPCKAVRLEEQLVHKPQVKNCTVVVKDLVRVFGKFRAVNGVCLTVRHNECFGLLGTNGAGKTTTFDILTGLRFPSGGSAIIDGKDVVKHNYVGYCPQFDSILPDLKCIEALEIMAQLHGYTNPKLAVRHVLTCVGMVEHAFKNVRMCSGGQKRKISVGIAMLTRAKCIMMDEPTAGIDPRARREIWEILDWMREKSGSSIVLTSHSMEECEALCSRIAILRKGEMIALGTSQDLKSQYGNTYTMTLMVKKISDRDRVIKGVQKKMKEAVLKTALTNITTSLVWELPKRHGDKWSRKYEKVEKLAKKLHVKDFMLTQSSLEDTFITLTD >CRE25323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1847615:1854409:1 gene:WBGene00055119 transcript:CRE25323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emb-9 description:CRE-EMB-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LSI3] MSRLSLLGLTAAVVLLSSFYTDRLHVDAAAACKGCAPPCVCPGTKGERGNPGFGGEPGHPGAPGLDGPEGVSGAPGMYGAEGDFGDGGAKGARGDRGLPGAPGHSGLQGLDGLPGLKGEEGPPGCNGTDGFPGIPGLAGPPGQPGPNGMPGRHGLSGPPGEGGVNSQGRKGEKGESGRSGVPGLPGNSGYPGLKGAKGDPGPYGLPGFPGVSGLKGRMGMRTSGVKGEKGLPGPPGPPGPPGSYPWASKPIEMEVLQGPVGPGGVKGEKGRDGPVGPPGMLGLDGPPGYPGLKGQKGDPGDAGQRGKRGKDGVPGSYGEKGTQGEQGVPGTPGYPGTKGEAGESGYPGRPGFEGDCGPEGPLGEGTGEPGPRGAQGFDGVQGAKGLPGHNGLPGPVGPRGPVGAPGMPGMPGIDGMPGYTEKGDRGEDGYPGFAGEPGLPGESGDCGYPGEDGLPGYDIQGPPGLDGQSGRDGYPRNSRRYRRSGLFRREGFPGTGSGRDGYPGIPGDIGDPGYSGEKGFPGTGVNKVGPPGMTGLPGEPGMPGRIGIDGYPGPPGNNGERGDDCGYCPDGLPGNKGDAGYPGMNGYPGPPGPNGEHGDCGMPGLPGKPGTAGADGLNGSPGLPGIPGYPGMKGEAGEIIGPMENPAGIPGLKGDRGLPGLPGRQGNDGLPGLPGSPGQDGFPGLRGEPGLSGIDGKRGRQGSLGVPGFQGPPGDSYPGVPGTPGFKGERGQDGLPGLPGAQGPRGIPAPLRIINQVAGEPGIDGLPGLPGDRGADGLPGLPGPVGPDGYPGTPGERGMDGLPGFPGLHGEPGMRGQQGEVGYNGVDGDCGEPGLDGYPGVPGAPGAPGETGYGFPGQVGYPGPNGEPGAAGLPGSDGYPGRDGLPGTPGYPGEAGMNGQDGMPGLPGTRGESGLVGIDGKKGRDGPQGPRGNDGVPGYRGEGGAPGQYGMDGYPGAPGDQGYPGAPGQDGYPGAPGLNGEDGLVGFPGLRGEHGENGLPGLEGECGEEGSRGQDGLPGYPGEQGQDGLPGLPGADGLPGLNGEPGDSGSPGFRGQPGEPGNLAYPGQPGDVGYPGPDGPPGLAGPDGLPGLNGERGENGDSYPGIPGPNGQPGDAGYDGLDGVPGPPGYPGITGMPGLKGESGQPGLPGRQGNDGIPGAPGLEGECGDEGFPGAPGQPGYPGQQGREGEKGYPGMPGENGLPGLRGQDGLPGLKGENGLDGQPGYPGSPGQLGSPGDVGYPGSPGENGDNGLPGRDGQPGLRGENGQPGMPGLQGRDGQPGPIGPPGDDGYPGAPGQDTYGPPGQSGQDGYPGLDGLPGGPGLNGEPGTPGQYGMPGLPGVPGEPGQTGYPGERGLTGIDGKRGHDGLPGQPGAPGLDGVPGTEGDCGDDGYPGAPGQPGSNGYPGERGLPGVPGQQGRSGENGFPGQPGIPGLKGSRGDDGFPGRDGLDGVPGRPGFAGMPGPMAMLVRNPTGAPGDNGYPGEKGYPGLPGDNGLPGPPGKAGYPGASGQDGYPGPPGLNGQPGPSGDSGFQGERGRAGLPGLPGTPGFPGAPGGWAPSRGFTFAKHSQTTAVPQCPPGASQLWEGYSLLYVQGNGRASGQDLGQPGSCLSKFNTMPFMFCNMNSVCHVSSRNDYSFWLSTDEPMTPMMNPVTGTAIRPYISRCAVCEVPTQIIAVHSQDTNVPQCPQGWSGMWTGYSFVMHTAAGAEGTGQSLQSPGSCLEEFRAVPFIECHGRGTCNYYATNHGFWLSIVDKVSSTIKLKSIHNCILFQDKQFRKPMSQTLKAGGLKDRVSRCQVCLKNR >CRE25324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1856810:1857826:1 gene:WBGene00055121 transcript:CRE25324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elo-4 description:Elongation of very long chain fatty acids protein [Source:UniProtKB/TrEMBL;Acc:E3LSI4] MELSEFWSELNTFTIYGPNHTDMTTKYKYPYHFPGEQVEDPQYWTILFQKYWYHSITISVLYFALIKVIQKFMENRKPFTLRYPLILWNGALAAFSIIATLRFSIDPLRSLYVEGVYKTLCYSCNPTDVAAFWSFAFALSKIVELGDTMFIILRKRPLIFLHYYHHAAVLIYTVHSGAEHTAAGRFYILMNYFAHSLMYTYYTIAAIGYRMPKWVSMTVTTVQTVQMLAGVGITWMVYKVKADYKLPCQQSMANLYLAFVIYVTFAILFIQFFVNAYIVKSAKKAKELKKE >CRE25594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1857961:1858754:-1 gene:WBGene00055122 transcript:CRE25594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ufc-1 description:Ubiquitin-fold modifier-conjugating enzyme 1 [Source:UniProtKB/TrEMBL;Acc:E3LSI5] MDEATKSSLKAIPLCKTKASPRDGDLWIERLKEEYESIIAAVQNNKNSDRDWFKLESNERGTKWFGKCWYFHNMVKYEFDVEFDIPITYPVTAPEIALPELDGKTAKMYRGGKICLSEHFKPLWARNTPKFGIAHAFALGLGPWMAVEIPDLIEKGLIHPKA >CRE25595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1859310:1859776:-1 gene:WBGene00055123 transcript:CRE25595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-5 description:CRE-TTR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LSI6] MKVLILLCLVAATSALIGNTQSAGVRGKLICNGQPAVGVLVKLYDDDRGIDADDLMASGKTNGNGDFEIAGHEDEVTPIDPKLNIYHDCNDGIKPCQRKFTIKIPDSYISKGKTARKIYDAGVIQLAGSFPGEGRDCLH >CRE25325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1860535:1862647:1 gene:WBGene00055124 transcript:CRE25325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25325 MKLTDSKNTNKVAEDSEFLDNTFMFNYWSSCVLEYPIRMNFPVFGTFSSHISYMFLFCQNPLKTIYNSFSSSMATSQKQESKEKRTQLFISRNLLSTSPNNYYFLFLFLSFFEMSSSRNFDLEYDDYKERRNAAGIGSRASSRNGRFKPIDVEKEKAKKEIGDYGLPKNEYQMQGYDERNSDVGSDPLASVRTLRNNLRVMSLEHRDKDEPVKAHLCQSACDDLSKAEKQLVDLSHKRSDAVVRGDTNQVERIAKDMERVKSDAIRNAYSDLMMEDGAMKAFGVNSKWTPDKNPMPPDDWKPMTPMKPRKRAETPTGRKRSESRQSVRGGNNDNGDMRMTSRASTRSAERRVIPQEETRKPKATRKNQRLSTIGHVPESIPVDSPLASGSTVQDDPYKIPTYVGRCPHCQVTESGLGKPGGMEKHYAKSCKVMTSCKYCMKLTMVSQLTDHLIYRCEFLQDTMESCNDCGLAIEKEDQRRGTSHPMCRGRRPPSGAQWCPLCTIAVEDNRENWREHLLGNCYNNPRRDGPEKDPWEMKEEQENILRGAKEKKRREKEEEEQRIKDAELKKQQQQVVVNNTGYPGRMIDADKLVVALQEIQERKKAEKKKKLKDIEKETAAT >CRE25596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1863669:1865282:-1 gene:WBGene00055125 transcript:CRE25596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25596 MPASPAAYLLLHLFLRLTAKTCYECLIDGGQFCLENNKCIGNSTEIKCEKSVDLSINCPSVPALQYAYDDEFVRYTVLPVIAAARRPDPQVCLDNQLPTMKAFKRREANCSSLFSDVKCAGYTGYDETRKLIVLSIRGSHGVHQYYDLWKIGNQNGTIPFFDVGRVTKVFHDNFESLWFGGLGEDLHHLIKTYPDFEIWVGGQTDIQTDNFFQFQITGYSMGASLALITSAYMALTGMSHPHNMKVILLGCPRCTDYQFAMWHSMNFPYSYHIIHAHDYAPRVPFFDNIDNISLYHPRTEVWYNNEMKEGDGYIICEQADQPFCSSQIQNLSTPDHMHYFNMDITRWADHGCPKNREDFKPIFGTHQRIIFEEEKGNSSPVNYQFVLAVITVLFNFI >CRE25597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1866227:1868391:-1 gene:WBGene00055126 transcript:CRE25597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpb-1 description:Cytoplasmic polyadenylation element-binding protein 1 [Source:UniProtKB/Swiss-Prot;Acc:Q6E3C9] MQHQVKACGDSKSTTRSLQGNRRSGAASLKKPSGNGTFLATDVTNLDMNSSFLSKKLKRNGNPVIGMNIQSSNAFLGAQLAANNFNFAQQLNHAALYNNLEFQMAVASGDVPSLMSMPAHKPSLSVSSIDPSMDMSQFTEELNAIQNMSYSPMMPSSAALQSIFAANDSSAISPYMNLQKTSLLPTSTLRVSGARKNRIVEVKTLNDRMVIVSIDPQATASTRPNIIPLNRPLMSVAQNCIDMTKKRPLSAEALYSRKVFIGGLPIDVAEGRFIRKTFFKYLKKFSEEVWATFGAFGKVLVDWPRRPEHNNGRGGDNMYEVEMGRRNLRSVSGYVFLVFTNERSVQELVNACEFYENKYYLQLSSPTMSDKAVQVRPWRLSDIDYFCDDSCSVDHRRTVFIGGVPRPTRASDLASSLQDYYGKVSYVGIDIDPELKYPKGAARVTFANSQSFVRAISGRFVQVTHAETNKRVEIKPYVMEDQHCDECQGVLCKHNYAPYFCGDSSCLQYYCEACWDRMHYIVCDSRADHRPMVRTGDQTRILPRPPHHQSSHYSPRSHQMMNHDSMESSNQSRGNTSSIISRIVNRNSAASVMDRQTTKPFAATPAVIGY >CRE25598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1869049:1870606:-1 gene:WBGene00055127 transcript:CRE25598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25598 MLLLLFLLPGLISAENCITCVGAGNKWCVESSQCNSTLTGCQTPITLQLNCPTSPNPKYAYDDNFMRTQQIVLASASHGDQIQQCFELVYNQIPTMKLYQVRTVQCSPDNPNITCRGFTAYDVSQQALIISFRGSHGDDQTQQLFDGYANYGIQSYSGMGGKIFKVIYDSFMLLWNGGMQQDLRTLKYKYPGFELWVNGHSLGGMLSWVASSYLVTSGLYKTENIKVIAMASPRMGDYDFAVWYTATFPYSYHIVHRRDIIPRMFTVNPHDNTTALFHPRTEVWYNNFMNLNDTYNICQEADGNYCSASVTDNLTMWDHIYYFNVNMPQWGRDGCPQNRTPYSQPLDTFFYKLVV >CRE25599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1870805:1872745:-1 gene:WBGene00055128 transcript:CRE25599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25599 MILIILLLGILPGLSIAQNCSSCVSSGNSWCVESSQCNSTFVACKTLISLQLNCPARPDPRYAYDDNFMRTQQLALAAASHTTNPQLCFDNQTPTIKLFRVRTVSCYAANPNISCIGYTAYDVSQKVLILSFRGSSGDVQNQLMSAEMASGGLHSYFGNNGKIFKTIYDNFMFLWNGGIEQDLRSLKYKYPGFDLWVNGHSLGGMLSWVASSHLVTNGMYTPERIKVVAMGSPRLGDYDFSLWYSSTFPYSYHIVHRLDLIPRVPVIDPNTNTTVLYHPRTEVWYNNDMNPEDPYQICEETDGNYCSASVTEGLTWSDHGYYFNVYIPQWGKDGCPKNRRPYAQTQN >CRE25600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1873833:1876652:-1 gene:WBGene00055129 transcript:CRE25600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25600 MAPENVKDIKMRIIHMTQIYDLLQMTSLIEFYINSEGKQIDATSRRILGANGNDEELIEHSLKTRWCVETSVCSTSNGTCTTSINIPLNCPTSPEYGYDDEFMRSEIMVLTTAAQNENPQLCFNNQLPTMKLYQVRTVNCSTEYNDVTCVGYTSFDTKRKVISISFKGAHGQDQIKELTNYCMKYGLESYYSVTNGKIFKVIQDYFLLLWNGGMQQDLRNLKYKYPSYELWVNGHSLGSSLAWAASAWIVNIGLYKPEEMKVVVMGASRMSDYNWAAWHTKTFPFNFHILHRSDPVAHTPTFVPSTNTTLFFPKTEVWYNNYMRQGDPFQVCQEADGNYCSGSINPNSTQYIDHLYYFNIDIPGWGHAGCPMNISAYAQP >CRE25327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1882602:1884953:1 gene:WBGene00055130 transcript:CRE25327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-45 description:CRE-SRX-45 protein [Source:UniProtKB/TrEMBL;Acc:E3LSJ4] MEDVEVEGIDQFAAVLIFLTSFVGFACNTFIAFYITRLSLLRNSFGRLLQLQAAGDAVFVLVWAFYFAPVLFFDIKPLQSLGVASRFAQLCLICYDISIYTHLVISLNRFISLYFPTSYQTIFTDRFTTFLICAIVLVSFGFSWFLVIVDCKMGFSIPRWMLDYVSPPCEMVNVYYAEFFRGLIVISMFAITNSFTFCRMHMHNRKKQSESTFETTQQKKRRAVETAFVQQVTLQGLLYVLELVTYFYVALFFPVPLEPKALSESSNRWPNFLLTTYAWILVHALDGVITLIFNKQFRNVLRHPFRSQATLNASKTPSRRSRFVTNRDDSNRKKSSILACTFISNSNTGYGSSVHV >CRE25601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1885284:1888910:-1 gene:WBGene00055131 transcript:CRE25601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25601 MNEEDGGFEEAGGRFRRFRRRLKKDWSQLWHDLSDHKQMRFPWPINTPLEVYEQVGAERFDPSSHRYQMPFFWKTLAVPATPSWALLVCIIESSFALVCFLAVMLHYAIFLPWCDVYSKPLIVFYLTALQYAVFYSFKVIFVISIVERNARLLRLQLFFQYATCVFLLLDAAFALAADFGGYNEELIYCDKNPLLIRFVAIISLIFLFVQMFLRIITVQVYNFMWDVRKFRKSLNNSKWRYRKRVHFTYCSIMQEDFKNERLQNKTKSVESRFRNDQEEILRQIQRKQNVTNISIAPDEPFDEGQLPFNARSTSTLSTPSNPTTITSPLGKRKHRTLTVSSSSSKKMRDNEGKKVKVSKRRPGGIKVQLEVDYETARLLFSPKKNGRIPNVHVEELEMDLDDMDDDIHSEEHEPIV >CRE25328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1891559:1892284:1 gene:WBGene00055132 transcript:CRE25328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25328 MSIKILFEQIEQSTNHILSDCDLQSVMIRREEHGQRDEETTNKKPATVKILYRDEICGTDVETEDKCVGPSTLKVNVATKYEFQKLNATQIHRAINDPRLGEFLVRVYPLLKEELDNSDLFNKL >CRE25602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1892823:1895688:-1 gene:WBGene00055133 transcript:CRE25602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fozi-1 description:CRE-FOZI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSJ7] MMLASSAPTAPSLLPPNSQPSAASTAGRADDCSSSTSPTNTSASDASMEMLTNLMNGAVAAAQVPGNSLVKQESPPLTTPPLFNPDLALLQFSQLFQAQQAMVQFHNQQKQQQHIIQQQQQQQQQQQQQQQQNSNQNSSSSGSDRKRSYPCTFQFCVICQKDVHSSKLPCHIRQCHVAKPMFQCPACDFTSTYSKNNVKSHMVSLHGLAGDPISYMDKYAGQVEEFMKLCFPNVRGRGRPMQGRSSPKSPTSPTQPARRGSQASTIPSRRNTVSQNELLATLQQHQQQQQQVVCTIITSHLPLSFSRFNPLQSIFPSVLANNNNNSILSANKHVNNFLIKQEEKDVPQITMPIEDIKNIITSTTSPSPSVTSSGNRILQPIKPGENAQPKYMDNVMEWPILNDLQMKGTIFTDCRNNMELYAENIARKIDATGTYSSFSLSDDMRVTVEEVRSRVSVQLFEVMFAIHRMDIKVLDLNLVNLLLQIAPTNSDAQLLRKMENLTDPNEEFLLGLTKIDHIEEKLETMKHMHMYPEQIESLKDNIIKFEVSVKVLGESRALRNVMQLVLAILNIGFFDDRQCLSVSGFPVSHIISILQTNTPSGQSVQSILVTILKDEINLDLDELFGIIDVLEKIEEEDFNSMAEELMVLDDKTVRAEREMEHSGSNIPLSEFVENAKNISRASWESLNCLKTNIQKLTAYLGNPIPRHQNLDPHEPFNIVLHLLRSLKTAIELDETTEDQHINVLSP >CRE25330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1922953:1926583:1 gene:WBGene00055134 transcript:CRE25330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clip-1 MILLVVIVEFQSSGQHKQPPKMEEKVVSKKKEEAPMPPPPPKFPVKAKAPSKHQLMMEALKASIEAEKNKPKKEVKSRVSLLPPPAPKAQKENKEGGGEMTETPRRTITKTPLKTVNAKAKTSPAPPVERPKKERKPLYTPPAPKERVEKEKKLPPKAIVSPPSTGDKKPVVSSIPSTSSSSKGAFPTSSFAGGGKIQAPRKASTASSIASAKKQKVPPIDEKEKLARLHASTQAFDALIIVMNRINEEKERKLGSISEQYEKKTSELGDLKKMLDEARKKFEEDVEQMKSNNQQVIRNHANTVENMQKTHEAQVRLIFRNFLCRINHFQTAEKNKEFERNLEDERARREAEILAMNNRHQKVVTCLDEKIAEAEKQCDQLSADKKTLQAALANDCDHRNQMLTKEISSLQTALEMKSAEMKELRQKNQNLSLQVDEIPLKELEISKWKHKSNEYKQMLDQKINGEKILVQQIEELRRKQIHDEEEKEAMKRSFDLMQFKYENGDDPNVTSVMSAPMESRFSTPTKVQFRSRSSASGSRPISMATSNGDQRLSTSSHHDDSMNRSTISMYTSHIRLPENHADDVIYAPDEIISSRSGSISQRLAIAIEDDGEPILKNESRNASDSGIGLVM >CRE25603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1926976:1929935:-1 gene:WBGene00055135 transcript:CRE25603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25603 MPFSRNSQASTTQSPACLENDPNCDLMRYLIALVVFIGLIAIFMFGCKAAIRLLTKKRNSNPAQNDTDVIYPRDDRHVSRSHQNFGFIDPPPRYEQIFKRGGGAPSVITTREAPSVTRSSGDASLPPSYEQAAINARRESRLQTVILNQPGFREVPLTAIDMGHPAMPSPSSTILDMESEIANIQNHAHACVHRYDSNHANEVARTAAAVSTPSCSNSLPELEAPEGGPPGYDAISLVNETISAR >CRE25604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1934609:1936022:-1 gene:WBGene00055136 transcript:CRE25604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25604 MPWCQCRPRFAPVIYVLFFYAMYMLMVFPVMELLGYGMKILMGIDIGTQSSMTRWVYGICLFTFVPCYLIIFYSSWVKHRAKPLFTPKWKTNEPMEEDDVQCTICYDLIVDPRTLRCQHSFCKKCIDQCLPLTRRCPSCQQWVYWSRKNKLFKSKVLLWVREQGREEEYEEVLKIKADIKPPKYGCWTRFWPLVLPFFEVNLIRDVPERENQRRLNGRRRRRHMRGAVVEPVPAGEPDSLIVRVVDEVEEEVSFERPPTPVPPPLESPNEPSVPFSHSESIELSECIESPVISESSIEPIESPTTVSNTPEPSDTLLSSSSSLSSVHKSTHQSLDEIV >CRE25605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1939136:1939827:-1 gene:WBGene00055137 transcript:CRE25605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25605 MHDEDFCCAVCLDFFIEPCIIKCGHSFCHLCIESHLNITEKCPLCRAFPGNPIKNRQLESLTMSYISFRNLSTSYYERMKSNRKKLVLQQKALLIIYTELSDKPGQSTELHNLVKNVQDEELKSEIRRQVRQQVGIGLEHIGDLEGDTVTIRLKSSSSK >CRE25606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1941320:1944154:-1 gene:WBGene00055138 transcript:CRE25606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dat-1 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3LSK3] MQLVPTDDPDEKIRRPSNGIQSTALPTDGPVTEEHKDPAREQWSGKLDFLLSVVGFAVDLGNIWRFPYLCFKNGGGVFLIPYSIMVLLTGVPLFYMELCLGQYYRKGAITTWGRICPLFKGIGYCVILTAFYVDFFYNVILAWGLHYLYTSFSFNLPWASCNNTYNSAACYEPHWSEDGTTMCRSANESVSAEKISAAEEYFYKGFLGLHEANAPNSHVIRSVTDLGSVRWDIALSLFVVYLICYFSMWKGIHTSGKVVWFTALFPYVVLGILFIRGVTLPGWQKGIEYYLRPNFEMLKRPSVWQDAATQVFFSLGPGFGVLMAYSSYNDFHNNVYVDALFTSFINCATSFLSGFVIFSVLGYMSCKSGKPIEAVAQEGPGLVFVVYPEALSTMPYAPFWSVIFFLMLMTLGLDSSFGGSEAIITGLSDEFPILKKNREIFVGCLFSFYMIIGTAMCTDGGILIMEWLIIYGTTWGLLIAVFCEAMVIAYIYGLRQFVRDVKEMMGFRPGNYWKFCWSCAAPAILLSMIISNFINYQALTYQDYKYPTAANVIGIIFALSGASFIPFVGIYKFVNARGNTMSEKWQRVTMPYRKRPNQTEYIPIPTTQPHCDIML >CRE25607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1944958:1946870:-1 gene:WBGene00055139 transcript:CRE25607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-saeg-2 MFERMTGNGNGNKMNMRIAILDELLSREMDGSSDGSSARVNSLKHVIKRNKMDMADDAASSLDLMRRIFQAEISREIHQIMERHTRTTLLPAIENLRKNGHVVDETVLNGLYCNILEAAKKPYQKDPEPTPPPSTNGNGFLDIGIQDLETHENNLKRGYESDSSDVSGVSHCSDAKRRRGRPRKDEEAYRLEMTPPTMNEVIRWNPDRIDVNTRFVTATKIAQVLGMPPSILFNKYPRMFRYSCDEDDKNILHDQNRLIRAPGRCYLLVAEDARQLVSPTYFQEILGASFLISEPLLSKIRQKAGLTYEKYKVFLPQQPSFI >CRE25331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1950702:1954303:1 gene:WBGene00055140 transcript:CRE25331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25331 MVQTYRSPVRIYKHPFEIVMAAYEMRFPTCPQIPIFVGSEVIYEYKSGDGAEWVIDRKCQLNVEAPYLVKKIAGVDYVYFSQKNSLDRRKRTLDIEATNISFANRIAIKENCTYYVHAENENWTCFEQSASLDVKNFFGLESAVEKLAVRQYGANLAKGKEILEYFIEELLKKTTHIERYRDPNEEETTSTADSAIELKESSDGEAVLVNQKAPMLAAETDEMKTARATASFDDADSKLEAEYIRRFLGQLSPLEESRLCEIKYSLQAHHKGKLPNDAHLLRFLRARDFDVAKAKDMVHASIIWRKQHNVDKILEEWSRPTVIKQYFPGCWHNSDKAGRPMYILRLGQLDTKGMLRSCGVENLVKLTLSICEDGLQRAAEATRKLGTPISSWSLVVDLDGLSMRHLWRPGVQCLLKIIEIVEANYPETMGQVLVVRAPRVFPVLWTLISPFIDEKTRKKFMVSGGSGGDLKEELRKHIDEKFIPDFLGGSCLTTNCGLGGHVPKSMYLPVEEQEGASSSEDPLHSTYTNTATWRGYPVEVVIPIETAGCVLTWDFDVLKNDCEFSLYFSTEKIEQPPVRDGAQSPTTILNPVEMVSAAIGGASHQHPDLQCAPELKVGTPHLILEEKPVLFQEGDSMQGSHYCSRAGTYIMQWRVPESTAGHSSTFDFGSHKCRLIYYYEILNSENFRGSVASLESCRSSSFSSIAPPTPPTPGTPRNP >CRE25332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1955147:1956898:1 gene:WBGene00055141 transcript:CRE25332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25332 MPPPPLITLDVEGVFFKTRIATLKSIEGTYFTKLFETNWREQLDRDGRLFIDRDSSVFPVILNFLRDHDKCPLPKDEYQLMRILREAVFFKIGPLRNILEHKLRYSHSRTISIQQNRYSRVSPSCTCPPELPSTPIPPPIQKENIPLPRSLLLSKPPPPPPPPSLMQPKDTVQIPMRKPPIDRNSKKLKTSADSISLPRNFTHIAHVGWNGASVFSDQKMTDDPTVKKICDAAAEAVDLNAVYNVVNKNEDEESHSVEVLITGGVMQSRDGTSRH >CRE25333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1957859:1960605:1 gene:WBGene00055142 transcript:CRE25333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnr-1 description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:E3LSK7] MQRHNSTYVVKRDGRMEDVHFDKITSRIQKLSYGLNMEFVDPVAVAIKVISGLYKGVTTVELDNLAAETAASMTTQHPEYALLAARIAVSNLHKKTNKVFSEVMKTLHEFHHPHTGKHAPMISDETWAIIEKNADKLNSAIVYDRDYSYTYFGFKTLERSYLLKINKEIVERPQQMLMRVSIGIHGEDIAAAIETYNLMSERYMTHASPTLFNSGTCRPQMSSCFLLTMSEDSILGIYDTLKQCALISKSAGGIGLNVHKIRATGSVIAGTNGTSNGLIPMLRVYNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFVSLRKNTGPEEERARDLFLALWIPDLFMKRVEKDQNWSLMCPCECPGLDDCWGEEFEALYAKYEAEGRVRKTVKARKLWEHIVSNQIETGLPYITYKDAANRKSNQQNLGTIKCSNLCTEIMEYSAPDEIAVCNLASIALNRYVTPEKKFDFKKLAEVTKVITKNLNKIIDVNYYPVEEARNSNMRHRPIGLGVQGLADCFMLMRYPFTSKQARDLNKRIFETIYYAALEASCELAERDGPYSTYEGSPVSKGQLQFDMWGVTPTDQCDWAALRKKIATHGIRNSLLMAPMPTASTAQILGNNESIEPYTSNIYSRRVLSGDFQIVNPHMLKDLVERGLWTDEMKNRLIANNGSIQNIPEIPADIKELYLTVWEISQKEIIEMAADRGAFIDQSQSLNIHMAKPSYAAITSMHFYGWKQGLKTGMYYLRTKPAVNAVQFTVDKGALKAKVDPKVAALQQQDEGCLMCSG >CRE25608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1962952:1964351:-1 gene:WBGene00055143 transcript:CRE25608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25608 MNKSTRSRRKKKVKNLDRSERKTAKTGGLGKSERKKKAKKKDQSERGNRKKKLDITGTTGGSTGGSTGSGPEIGIAIDGEGCNKLERRAKEKEKEKEKEQWSGENMAKKMVASGAFDAAAIADIFKRLPNDKPSLSNCTSFKTNIQKVRAPDCPIPDEKLIKLTHAPGNFICAAKVTVPEFSRTMIVTQVPDVSVPTNIEDFWRMIFQEEIHSVVIAIMPLECSVTLQQIFPLLNGTYSNHGKMFLNNKKVESTVAMTAYTLEILPDGCSNSLFTTVYHIHNWKQKRGLDNVGDLVTTLEKVIKTNEVSFENEDPPHNLILQNTVLMSMNGTGRAGTMLALFNSMLFVNKGKEVNTKEIVEKLRAERCGLIDNAEQYGTVYRAMAFWFKSKSADEEIQKKINEFAPCVQ >CRE25334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1972171:1978973:1 gene:WBGene00055145 transcript:CRE25334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-29 MPMDFTEDKSEYEHWTHIERRIPFQGYRTYVASTYIGFNVVGFAINAWVLYVVAPLLFAPAIKVPKSILFYIFALCVGDLMTMIAMLLLVIELLFGTWQFSSMVCTSYLIFDSMNKFMAPMIVFLISRTCYSTVCLDKTKGEKAATLKYAVIQFCIALAFVMILLWPVFAYSQVFTFYMSPNSTAQEVTVMRKCGFFPPPEIEFWFNLIACITSYAVPLFGIIYWYVSVPFFLKRRALTTLVASSSMDAALRKVITTVLLLTVIYVLCWTPYWVSMFANRIWTMEKKSIIIISYFIHLLPYISCVAYPLIFTLLNRGIRSAHAKIVADQRRRFRSLTDEASSQIRTAIRTIPGTKMKKNEFLTRTEEISSDKAASEAISEFRDTLPSQTSFPDETLL >CRE25335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1980072:1985665:1 gene:WBGene00055146 transcript:CRE25335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25335 MVLHSLGLLYLFLFHVALAEDCVDRPCVECRHYIKQDDPCLHHGTKPMIFCDPQTNLMQESSNNFLECVQNRWVLRECVTGTIYESGRGCVDPTLQPFMQGFSVSGSGRVGDVCQYNTDCLSGMYCATGLCTCLSTYILRESYCYEKVNPNQPGCTYDVQCEAVWPGSKCRMDSSIGTCRCPEETHVARETRDGWVCISLRDHSSGGTAPLYFVCPLPEGAGFKISLNDPSPAMGAFPVGCTVGSSATIEPVQGLHGGGACNWPSDGEYIGDVYDCIHTSPHINLAEKFPQSNYAPTADGVCCPSRALACIQPQLTGPNPSEPRWWYNSVTGTCQQFMWDAGATEAKYHSPNNFKTIQHCESYCRDTCQRGSPQYSTESAVHSERPITSCAASISCANDFQCTAIGSQHLCCPTPGSVCSAKGGRPFDIQPRNSIYHSGFMVYTGKESIRFYYDPGTGRCQDFVYKGAGGNYNNFLSKHECEMYCARCKLLHYKSGYFICILSVQCERGSPLRIGEEAQRCQNNAQCPSSHECKADQGVCCPRKQTICAQPLRIGDCTENVKRYWYNARTRQCQMFEYTGCQGNDNNFDSILDCQNFCKNAIPEPKCIQGQAYKDMFGNFVTCSNGMGCPANYECYFDGSQWGCCPTKAFTCSLNTDPGIQCGAGSTFKYYYNPQTQNCESFQYNGCDGNSNNFANRDACESYCSVGGCPNGGTPLRDHSGMVMVCGAQQTSCPDSHECVPVLVGNSMINRCCPTRAYQCGLPPQQGTQCGANFVQRYYFNIVTSQCTSFQFGGCDGNANNFLNIQQCRNFCMSNSCPAGNVAYVDPNSQMPINCNEALSNSCPSGYSCTFNPLINNHVCCGATDSGVCPEAEKAFIDTIDMSPRECLINIEQSCPANYLCRFNMQKNKYYCCSSITGKTCPLGKFLYKDQRTTQPIRCTIGRNDQCPDGYTCQSYLANAFQGFCCTANSVCPDNAEYLIDDQSQQPRACTQGTFVSCPSGYACRSLTSSSDGFCCKSGSGTLPPSVTDGCPPNQYVYLVHGEIAQCDPFNPPNAPCPSEFTCQWSLSNQRYQCCGSNPAPIPVRPSDGCPNAQIAFRDSDTVRVCSAGAANCPAGYFCQFSSSNNQFQCCGVSGGCPDESVAFVGMLGEPEKCVVGQSNCPRGFACQKSVAGHHVCCTVRKVACEQNEVIIEGECHAQVGPGSECLANEQCTGGSICEDAKCECRPPLKAFGGFCQEEIQCSSNQVLHNGLCHNKAKLGESCLTVRQCQENSGCIEGSCECKKGFVEKAGKCMTPVEKKTVVSSKLASGVTCSKPGWTPLIDEEHERPKHCSPIGKGCPNGYECQINNRRTQYLCCAPDSSTVSMPSLPPPSTTTTERIMTTKKANSKVCPTGRTPYLLNGAPQKCTISRCPAGYECTYRNNGYHCCSMANKAGLTVAGTTSGSIVSKSTPSPDQEKCPRGNPLIYPSTSSPVLCQPGKRGCPVGFGCVQSSTSNNHICCPSRHSDRPGFSSETLGLGTYYSRGPPAAINPCEGYLVLVTRVVNGRIEKRCERSCPFPQIPIGGVCYELKNKTT >CRE25336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1986202:1991793:1 gene:WBGene00055147 transcript:CRE25336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25336 MVVPQIDGLRDKRQTGSGRVGDACAFNTDCLAGMFCNGGLCSCLTTYIAAEGYCYQKIDPGQPGCVYNEQCASVWPDAFCDTSAGVGTCRCGENKVERATRDGHVCLDVLDANHNTLAITCPLPEGAGYTSALSDPKHPRQNEGPGPVLCNTDSTSTSQSEENTGDGNSACMFPSNGQFIADQYDCVEFVSSLDLTSSGYSEKANGICCPNRAFTCVQPTATGPNPTEPRWWYNSITGMCQQFLWDPTASGSGEHSPNNFRTVEHCESFCRDSELIIKFGSSLNYNCSACSRGAPEYAHRSSFLEQTPISGCAQSSSCSHNFECKSVGSTQWCCPSVASVCGPIGGRPLDPSVNTRGAVYHAGVEKQGTATSTRFYYDPQSGKCSPFTYLGAGGNYNNFLSRIDCELYCARLQCDRGNPLRIGDVTQSCASNNDCPSSHECKMDQAVCCPRMQTICTQPLRVGNCDRSVRRYWYSAATRECQSFEYTGCQGNDNNFETLVDCQTFCRNAAPEPRCPQGQAYKDNQGKFVTCTTNRQSSSCPANFECYFDGNMHGCCPTKAFTCSLSPSPGKTCGPGVSFKYHYNPQSQECESFEYLGCDGNSNTFASRAECENYCGVGGCANGGSPLRDSNGALQSCSERDGGCPSSHECYGVSLGPDMMSYRCCPTKTYICGLPPQQGSSLCSGGLTVVTRYYFNIVTRKCSPFVYNGCDGNPNNFASLNQCNNFCMASACNAGDVVYLNPNTALPISCNDELQNNCPKSFQCIYDSLTDQSVCCGATDMGVCPDNEKAYINSMDGTVRECLINEHNSCPKDYLCRFNAVKNRYFCCGSMTKANYCPVGRAPYKDQNSLQPTRCTMHAATSSCPDGFECLSDLKDALQGYCCSVSEICPHREEYFIDETSGMPRSCTIGHFVTCPAGFVCMAQFDGSMGYCCKGTPQLTATDGCPPGEIVYMERNEVVACDPFNPHNQGCPGTFSCQWSIRTQRYQCCGSDPLPTPMENDGCPTRQIAYTDPDTKKPKICTSASLSCPAGYFCQFSNQNKQFQCCGMPSDCPIQMVAFIGITGEAQACSMNGGSACPDGFSCVRGKSGSELCCAGGESEFTSGRASHNCLISACEASQVPVNGVCMNRVLIGEQCEETPQCVGGSHCIAMKCSCPTGTIEQKQQCMDVSLEKELKCSEKQVKIEEECFPLVSLGRSCVHSAQCQGMGQCLDGVCDCDETSVRKGHRCEKKLANRVTTPLNINKFATGSPHSMKQIQPPMSSTSSVAATTTSASWQPQPGHAEIMNEGICPAPRQPYLANGIARQCISGQPCPVGFSCTWSPQAKNYFCCAANRMVMRTHVLKDVCDGGEALLFPATKAPVICTRYTTCPPGYFCRRSPKTKQTHCCKKRQPLNMQSYIIQIKEISSKNPQPLRMAHSIASAAVQMASQYMHPGRRRQKPQPIQKNKPVKNVKPLKSTLPCPPNQVLLEMEVNRKIIKRCQSTCPVSMRSVNGVCKIERRPPVTQ >CRE25609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1992056:1993596:-1 gene:WBGene00055148 transcript:CRE25609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25609 MGKSEEKEMKEIPWRTKKKKKKMSSTTEKKKGAGARRHSSPIGDVTMVIPPMVYRNSLTVPTSPFFISDNGMSTYRDEPPSYNEIVDRGVSPVPCQPKVALPEV >CRE25337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1994223:1997921:1 gene:WBGene00055149 transcript:CRE25337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cls-3 description:CRE-CLS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LSL3] MFQATYSIFFRFSLKHFNNLYVMTSRMQPKSGGYSLSKADFTKAFEDVPKVPITSAIDLRNKFDAVKTILSNSSEDWNKRQTQLKTIRSLIINGEKLVDRPTMIAHLVQLLGCFEVAVKDLRSQILREAAITCSFILSKYGIETHSIGEDILIPTMAQVAVSTKIMATSASTLTEFIVEYVQTRQVFTILSSFSTSKDKNQRRQLAVLLEIIIEKWSDRMKKQIIRQICELVKSAINDADSETRAAGRRAFAKLEEFHLEEADALYLELEHSKQKMLRGGDAASSWASINSDRGSIPIRSKLSAGSKAHSNISAKFLAQRSASAIDPKTLKMTGASSRLVRPTSIKAMVRQDTSPAGTKIPYPNRPGSRTRTSSINSTDSRDTSPTRRHSPLPQDPQIKARTKYGNGSSFFAKLGMLTDNTDDDEFLLPIRVRSPAKTQLGENTDNVSRVLKECCSSSVTEKKEGIKKLLPIVSDSTLNSTEIKNIGNCLNRLLSDASNTMVLEVYAVFIRTHSSRLSEWLRLALAKLFARKAAESLPNTKKQIGNTLNVILECFNAHHQLVTVCELMCDPIHLMVPKARVALLEYMTSLLDEYTEPGASINIKELKTAMRKMFTWVGDQRQSILLTSYIEKAICSMFCVNVADFSALISDFDADQKAWIHQTLQRNGLENGISSGSGNNQNQQSTPRVADNIQYKNESSVVLPEFGGIQKKKPGVTLGSFNTSANSALTRLEEQSKSRLMEKVNLNSTVTLPPDTLEKIQNVQELLHKMRDAENPDEQESAISAIYMKICDGGFGIWEQCYAKLLLNLFEILSTSRSENNKKMCLRILGKMCTAQAAKLFDSTEMAVCKVLDAAVNTNDATTALAVEDCLRTLATHLPLANIINIAKVILIQEPIDDERASLVLKMVTRLFEELPADEVINVVDDITPCIIKAYQSTSSSVRKTVVYCLVAMVNRVGEQRMAQHFTKLPKAMTNLIQVYVKRAISASLPRL >CRE25611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1999040:2002913:-1 gene:WBGene00055150 transcript:CRE25611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tax-4 description:CRE-TAX-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LSL5] MDDAPTAAAAPDPQNPSTSNGVGPMAQPSAATKFSLLTKFLRKKNQVHSSTAQNAQNEFMQKYMPNGNSNAAQSDAPPPAGGTVSADGTSSIAALLPKENIFRRAKKFVTEYTQDPSTDNFYYWTCIVTFAYIYNLLFVIARQVFNDLLGPSSQSLCRFYNGSLNSTTQIECTYEMLKNMKEMPTYSQYPDLGWSKYWHYRLIWLFFDMLMDGVYLIDTFLNWRMGYMDQGLVVREAEKVKKVYWQSKQWRTDGVSLLPLDYIFGWPFPYTSWKGFPVVRLNRLVRYKRVRDCLERTETRSSMPNAFRVFIVVWYIVIIIHWNACLYFWISEFIGLGTDAWVYGHLNKQSLPDDIYDTLLRRYVYSFYWSTLILTTIGEVPSPVRNIEYVFVTLDLMCGVLIFATIVGNVGSMISNMSAARTEFQNKMDGIKQYMELRKVSKQLEIRVIKWFDYLWTNKQSLSDQQVLKVLPDKLQAEIAMQVHFETLRKVRIFQDCEAGLLAELVLKLQLQVFSPGDFICKKGDIGREMYIVKRGRLQVVDDDGKKVFVTLQEGSVFGELSILNIAGSKNGNRRTANVRSVGYTDLFVLSKTDLWNALREYPDARKLLLAKGREILKKDNLLDENAPEEQKTVEEIADHLNNAVRVLQTRMARLIVEHASTEGKLMKRIEQLEKNLSKYKALAKRQKSMHGISIDGGDMSSEGVEERVRPPRLRQTKTIDIPTGLEGESLLK >CRE25612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2005322:2006424:-1 gene:WBGene00055151 transcript:CRE25612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25612 MDKNPEDQKIKPGVEDDDQSKKTKAAMAEASTIGWGRRWKTHIGQKTGFVEQTKLEPRFERNIEKLISYHNIIYKMVDAIELQVQIDPKILAQRRVSAPNGENEWGKLGGWLHYLAMSQFTEQPAKILDSYSRMCGKIGQKENQVQRRTRSHLIKRMRLYTGDDTEELNKCVDALQPLLTALDDTRRVMKSAKVSKDLVARGQAYQSMILAFNHKAGEIQGWIDEVTTVVTLHQNELIRFCREVAVYNDAVYNNLNETMLRLGYLPHKKEQK >CRE25338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2012692:2017849:1 gene:WBGene00055152 transcript:CRE25338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ina-1 description:CRE-INA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSL7] MRKCVISWIFLVCLSCVKSFNLDVYAPIYRFGPKGTNFGYSVAEHFKGEKPVMLIGAPRGESGQTGTERAGAMYSCDINTFYNIGSQHWCEQVRFEYDNAEDYAKRPNETRGRTVHPLGKNDQLLASTIVSKGTKNGSALVCAPLIRYHQTAAYPQGACYELESNLRLQSTYATCAQKNLPTTDRHNEYGGCMEGFSAAITQDTVVTGLIGSVKWTGGVFAKKSSASIFDSVVEKFTMNQPAEDSIRTRLVAHDYLGYSVDIGRFGFWYEDGKPITVVSGATRYGEHGAVIFLPFIQDSSSKLTLNEDKFIINGTAMGSAFGYSIEVVDLNGDGFDDLIVGAPFEHRTGIDGNFGGIVYVYFSQGVQRQKHESHLVFHPPKVIKNPDFYSQFGLSITKLGNVDGDKNGLNDFAVGAPFAFDGAGAVYIYLGTKNIDKFRKKPAQVIKGNELPNIPDGMRSFGFSLSGGSDMDDNGYPDLLVGSPSKNFVALLRARPVISIDAKHKMQTRMIDIDKGVNCPRGSKTCFPLEMVIYVDEETTRGAELVDFSSDVFICNLEAIPYRADITARGFIEGSHSHNYSWPCGSNSHVQKRTYRQNIYLPVQEAKDWITPLKFRFTVSIRNEKKPIQPPQGSQVVDLKHFPVLNKYGASYEFDVPFNTLCGEDHTCQTDLSLKATFKDIPLTPNGYVSNVGEKDYLDVTFTVENKKEKAYQANFFLEYNEEELELPQVHGAKRMIAETIGKNIVHLPLGNPMNGASKHHFTIQFKLTRGRTEGIGKALKFVAHVNSTSQETEEELKDNKWEAEVQIIKKAELEIYGISTPDRVFFGGKAKAESELELEEDIGTMVRHNYTITNHGPWTVRNVIAKFDWPYQVHSRFGRGKWALYLLDVPTITTEFTDGTREVRKCSIEQKYEYVNPADIKLNTKYSTQETTPHRVEHRYKRSTEDQEDYQETDEEELRTSRDEEKPEGLVQSLAKMFSFNFHSGVDGIKREVHNLSCQEKTANCFTVVCHFDFIDANSAVVVDFRARLWNSTFIEDFSGVESVKVKSFGRLELDSSQGIDDNPDNNQASVTTSADPDRPTIGDSRPIPWWIIVVAVVIGILIVLLLSFILYKCGFFKRKRVDQPSLYTAQLRHEREQWAESGL >CRE25613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2018158:2023076:-1 gene:WBGene00055153 transcript:CRE25613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dgk-3 description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:E3LSL8] MLLSPEQFSRLSEYAAYSRRKLKDMLSDFQQDGKFYSYLSVDGQTINIDGFRAFLIDYFGADLPSDLVDQLFLSFSKPPIKERRTSLFEDAISTVRAKFTDTLSGRMTGLNIAGGSGQQTDRSTGSEPQALVCIPEDDVMGPKAATNESQEPRIPLKPLICTLSLLEADTPENKLDVVFHVYDSDGNGFLDKSEIDGIIEQMMNVARYQQWDTIELEQIIRQMMVDIDYDNDGIVSFDEWRRGGLTNIPLLVLLGFDTEMKEDGSHVWRLRHFTKPTYCNACCSILVGWGGKQGLSCSLCKYTVHERCVRSAATNCIRTYSSRQQDKLYHHWQDANATAKCVKCKATVGVFQGKGCRWCHNYVHHRCMSALAQECDLGALVHHILPPTHIFPAFLERKTSTSLKNHNFGSHSASLLQAISPSNDCRPLLVLVNPKSGGKQGVKILQKFEYLLNPRQVYDLSKTGPEPGLQLFSTLKNCNILVCGGDGTIGWVLESMDKMTFPHGRPPVAVLPLGTGNDLARCLRWGGGYENENLHKILEQIEKSSLIDMDRWQIKIEITENKNTRRASEKGDSPPYSIINNYFSIGVDASIAHRFHVMREKFPEKFNSRMRNKLWYFELGTSETLSSSCKNLHEQIDILCDGESIDLGQDASLEGIALLNIPSIYGGSNLWGRSRKSKSRMGLFPMKNAEKMQLQTRVQDIGDGLIELVGLESAMQMGQIKAGVRGARRLSQCSTVVIQTHKSFPMQIDGEPWMQPPCIIQITHKNQAKMLVAAAPRKRSSWMLLKRQSTNDDN >CRE25339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2024946:2028183:1 gene:WBGene00055154 transcript:CRE25339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-9 description:CRE-PTR-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LSL9] MKSLNFQSVVSQFFEYESTVVVRWPLPFIIIPPLLTVSIVTLTVTNFHLNVTNDTLQVFLPDDMRSLRDLKELLDLFPPKDAQRDTYSIFGSKFVYTVIEDENPEGNILSSSGIQRMARLHKFVIGLETRQGDNVAMNCLRNRDDEACTMHPIAFALEDSTPEFAIQFLLRYPNLKFGDFVVDNAMVFGGVKIEPKTHDKEGNSPIQSSKAVRMTYIFEASESTERWIDLFLTAIPRYKESNSTILWSSSKSLAKEMERNGELLIPWMPWTSLVLVVFCMFACSSFQVVKSQPFIGFFAMFNATMATIASTSLLIYLQYPFLPLVFIMPFLVVSIGTDNMFLMLKSWRMTKKSSNEEQRYIYALTESAASLFLTSLTDGLSFAIGSISDFHAVRVFCTYCAMAILFMFIFQVTFFNAVMSLCCRREVSGRHPVFCCYDTAPSNETKIMKQHSDPSFDFASIVAKYLFKILNPWPSRIGVFCIFIVYLFTAIHYAIGLPLGLDLKLLAPDDSYVSKELEAQERLFADYGGFCFALVRAENISIRDPIVRRDLMRLYHDLGGSEYSSPAEFWLQPFEKSRRGKKYSESEFSDELHTFLAKEPHLKFRNDIRFTMTGKIEAIKMMFRVRRLGKDNDAPRAEYMRRIMETSGFSGFVYDTSFLLVDQQMTTVYNVIIDVVSAILTMLSICVLMIQDAYSTFSKLFNILQVPRPVSAMCIAFAILSVNIGVIGALAATNTRLDIISMITIVMSVGFSVDYVTHTTFHFVIQRDNRLEKCLLVMTEPILQSALSTAIGVSLLSFVHSYIVRTFVNTVFFVVGLGILHGLIFLPVLLDTIVPDSEYMVAYEPHQDDEESHYEDPYGL >CRE25340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2028945:2030730:1 gene:WBGene00055155 transcript:CRE25340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-34 MKITVDCYCPLCELDKLPDNCTTIHGHIQMGLESTMPPFEIIEYKLQNVTTIFGCITIANSGFTTLSILSNLETIQYSQTGNSSTCSSYGNIITIAKNSLLRRLYFTSLKKVIVSKKSEYGIYLVDNPSLCLSENELEQFMETEKFYAPHIRICDPTRNYCRLDNFDFFDETNIPTGCQVLTDSLVLNGTKDINETDFQSRLNDIEQIMGSIIVFESDVVSLRFPNLWRIYNYQPDLPVIFLQDNPYLSDIELSNLSSIPYFGKYPDVLFAFSNPLLTQLSLDTCENLYKLGQITIGEIADSICDNRTSSALPNQDQSQNHTTATSSEFVVETGKGGSNSTTLSSVGKSGPPSNDTKDNLNYRVFKPTFSFLMFYLLKFVME >CRE25341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2031744:2037974:1 gene:WBGene00055156 transcript:CRE25341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhl-1 description:CRE-NHL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSM1] MSSSPQNEAEAREKMRELMSRPPSSRPADFVNPLEKIEQLLTCPICLDRYKQPKLLPCQHTFCYPCLESCADTLHRNLKCPECRAEHNIPYDGVKAFQPNYTLTGFLEIHLQATPESSAEIEEYIHRYNLERCKICDEKADCEPCAHCDRKACKECRQTHMDMLKRDMSRLLNQVKRLANRITEASDNLSKGIDMMTMNCETTKAEVKEYFHRYQRELKKKEDNFLMEIDTFQATESRTMSNLRDVLEIESSNMSEAVSRLDAAIKGECSIDDADLVRMKNTFTEGLEYLRNFQPDADELFNRKLRFSAGDDAAKLPAAIATSGELCVLVPQFSGRYLPLEQSYLPRPFRLPLESDSYRVKADERASMRERENDRTSSRHSHRNPEPDESSIRYRRRQQLEEEAWNRLRNSSAAPSLLTTSTIVTSDTSASRTSPWAADRVTRSVEPTKSRPTSLIVPNTETPRTVSPIASKPPLPPAASSIDRTEDASPAPLPQLPIRKPPLPRQQSSNDDSLNEKVETIRRAHQQRQASQAASRAVSSEESEGEDFPVSTNRGRIRIVCRAASVNREDGLMTMIPGTGTILHTQLPQSVHSQPLTNGTTTTTTTTTTTPSGEQVAIPVTHFTGEEDESDIVVPAWLQRRRQRFQRSRTNPDLQAQFTSARVQQLLAERQSRLDSSTTTDEEKEKLAVMRQRGRSASREAGEWRARGRPRAVFGRKGAKDGELNWPRGICAMAGGLVATCDSSNHRVCVFDKDGKFVRQFGGYGSGSGQLDSAAGLASSKLRIVVSDRYNHRISVFGLEGDHLFSFGGHGQGNAKFNNPWGVAVDDLGSIYVADKDNHRVQVFDKNGQFIAKFGSFGHLPGQLNSPLFIAVSRVTHHVYVSDSSNHRISVFDPHGVHLFSFGEEGFHGGQFKFPRGIAIDSQENIIIADSGNNRIQIFDAQGQFVSSFGTWGGGAGQLKGVEDVCVTVDGSIVVTDRENHRIQIF >CRE25614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2038413:2039606:-1 gene:WBGene00055157 transcript:CRE25614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25614 description:Signal recognition particle 9 kDa protein [Source:UniProtKB/TrEMBL;Acc:E3LSM2] MTYFTSWDEFAKAAERLHSANPEKCRFVTKYNHTKGQLVLKLTDDIVCLQYSTNQLQDVKKLEKLSSTLLRSIVTQ >CRE25342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2040165:2042710:1 gene:WBGene00055158 transcript:CRE25342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25342 MTSKVGAVATKTYREKLGPEILEVFDKSYNSFTDVQVLAGTHLLNMSDVVVESPTGSGKTLAFVLPMMRMIQNARLQPNETGALILSPSRELCSQIVNVIKPFADKLNLTVETVTGGQKVDKNIKMFKSKSINILVATPGRLFQIIQHEKTMIARAMKGVQLLVIDEADRFNEIQFEDHMREILSCIPKQRRTGLFSATQVKEEDDLMVFGLRNAKQVKVSQERNSAAPSTLKNYFVECPADEKTSVCLEFIRQRTDKKVLIFFPSCNSVRYFQKIFERCLTKRPLFSVHGKCSNPHRASQIKAFSDSKNGVMISTDVMARGIDITDIDWVIQFDLPKHSSWFVHRAGRTARCGRDGNALILIATEQLAYVNFLDNHEKVKLEEVKVPTSTSRKAEELRQKMIKIQCSDRAILELGTRAFVSHIESYAKHDCHLICSLDDLNVVGLANSYALLRLPKMRELSSRKDLEQFDRSDIETSEIKYADQKLEANRDTFMKEKHDKKIESLAAKEKKRRDKEARKLKRAGGRFKSRATGANAEETRAKKRKSEEEDDAQNDIRLLKKIKRGKLSKKEIKDVL >CRE25615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2043034:2049386:-1 gene:WBGene00055160 transcript:CRE25615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eat-4 description:CRE-EAT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LSM4] MSSWNDAWDRGKQMVGDPIAKMTAAASSVTSAAASPAPPQQMQEEGNENPMRMHSNKVLQFMEQTWIGKCRKRWLLAILANMGFMISFGIRCNFGAAKTHMYKNYTDPWGKVHMHEFNWTIEELSVMESSYFYGYLVTQIPAGFLAAKFPPNKLFGFGIGVGAFLNILLPYGFKAKSDYLVAFIQIAQGLVQGVCYPAMHGVWRYWAPPMERSKLATTAFTGSYAGAVLGLPLSAFLVSYVSWASPFYLYGVCGVIWAIVWFCVTFEKPAFHPTISQEEKIFIEDAIGHVSNTHPTIRSIPWKAIVTSKPVWAIIVANFARSWTFYLLLQNQLTYMKEALGMKIADSGLLAAIPHLVMGCVVLMGGQLADYLRSNKILSTTAVRKIFNCGGFGGEAAFMLIVAYTTSDTTAIMALIAAVGMSGFAISGFNVNHLDIAPRYAAILMGFSNGIGTLAGLTCPFVTEAFTAHSKHGWTSVFLLASLIHFTGVTFYAVYASGELQEWAEPKEEEEWSNKELVNKTGINGTGYGAAETTFTTLPAGIEASYQAQSTAQAPNQTNPFATTWDDHGASSGVVENPHYQQW >CRE25616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2054244:2054602:-1 gene:WBGene00055162 transcript:CRE25616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25616 MYQLDSWYTVLFELLLVGFSLYNSLRMTTWWNVVAKGTEIGVNGAQRVPSVADHFGIRTDEKKNIKSCGKRSMKSPTAFEMGDGLEVH >CRE25617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2054667:2059825:-1 gene:WBGene00055163 transcript:CRE25617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-16 MSVSMDFEQRMRRYKVRIHPSQPGQSDASIVTTQQQHMVMNQKIDDAIDSFQVARLQTKNRKERTSIDRSPFIDSMKYDQRVNGGVPSISMNDWNQPYSASPPSSRNGEDDGSSVTHSRPRRSRLDKDLPQTRGPMIIQPAHQNSRKSNRQGNGNINMSNGGTRTHNSTFNGYDMSYKRFEIDFIENFTTVNFRYHHNSSRGANESFSAIYPQSRNVSGYASDYMNSRMGGGLMPNEPRRPRSIAAEKYSTLARNHFGGYETGESGNNSEEIDDEEGEDEEMRGYNMEARRAQGSRSVTNALPVERYNEEDETYYYGVVNLGSQIVDYVLRMMPPPEEYYRFKPIERVAYIYYCTVYKKPFHNLKQFRNMFNKKYWNHICDGDSEEKALSKVVCKSMQDQYTQRQIDASRLAYEKQQKEEAESQKLDFNQHRIDEPEEQKYMISQPEEVLSNGPLHYHSCLQFASIGVGGKLVIIRPAGTADPISGHILSTTSVHVDDLRSLLHFDEQSAKVIESVQNFKGPLIAGQTPSHSVRLYIQRQIDALRSMRNSDDVKKTEVVDALLIWQLLEIMVQQQGRVNGPDIAALLTNASEELAEKTGISEVSDGTHKSDAKERFNKFLLGGHINEAVESAISDGLYADAMTLIRRLHPNDAKKIEEIETRFMNLRSIDDPFATLVAVANDQLPPILTNSAFDDENNWKRHAAIVLANLSSEAAMLTIYRLGLLLARRKRNCAADFCLLVVCVLTGYDSFSLPATSDTEGQERSREHIGLVHSGSELLNRVDGLSGTAGFSFTDLHATDIFDYALRLGNGNTNSPLAKSVDYQMARIEYAKKLASYGGFATDAFRYCTEVARSLWVYINAFDRNSMFDLCDLAESLQHVAATTTAEIEWITSMRMMLNEVPQPVNEVQEEQSPPVKDHSLASEAQKWHDEHQAPIEISKRMVSQYQDESVPAYAPTSAPPVQESYQQPAVVQQLQQPIQNYGGAPKNPIQTSMHPSTITSSESSYESTLTATSVSTDSVTISTSRTSTITDSAPTPAPPRRVEQPVLTPTPVMKSFAPEMTLPPPVDIPSPPISSSFPPQMTPPIRTPVTQTQPTATPTQPISIASKVDADVAKSPRTELEDVWGTAAPSYTQPSFQPAPIVPTAVPPTAMAPPAVSSAPTIMQPTHGQPSVPNPKTTAPPTPQKPAVPAMKVDQNGSGGWFGSIKNKIIKSIPSSNAMILPDDKKPSIVWDPVKQKYVGAGVEEEVVAPPPPVMSAPHLMGGGADSNKSSTNSLRNARSGVGSRYLQAGMATSQAPAMNTGMPSMMPPTMPIPTSFSFMPSATDDDSSEYVDPFSGEANPTLPSESGSKPNVQ >CRE25618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2060294:2065479:-1 gene:WBGene00055164 transcript:CRE25618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-11 description:CRE-CED-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LSM7] MDESDPANVPDMSLLPDTVPVVVSADGSDAGIQLCPALILGSPQALPGATKHIYSRLAAAASEVDQGVPDIIISLISHGNSLSTKYMSSVENGLKSFLIGCGTWIISSGEVNDPLSRVASGALKNVLPQLEHQAEVLHILVNSDDVIASDSTTSKSVVDTSLNTLMLICRKEANDSSETIAASIAKLRAATAVKLAHPPPALLIGVPSEPMSPSTYGNSAAILLSPSNDKRPFPVAVFAGASKESLIELLFFVEHGIPVVILQDSCELCAILHSSHLLLETSNFDNDKFVSWLRSQLYPLGLADCYTLITKLLISSTSGDVQLIEFIDSSQLSELSSVIVDRCLECYATTGEERQVLLLAAKLNCPSVLSSMDVAAQLDEELLTMILCECITKDDQLHFLSSVLQLNPPIRVTSNMLIRMMHHADEHFFTTIVLCQSMGYSYIPSEIDPRFANDIQKLVKKLSFGVNNLFDPNVFCNDSSHRDKHESIKILAIWSLLLHRPGIVKCLAAFADEPVAFSMVLSRISRSLAHESHDWHFYEKSLNELSDSLSASATQLFDTVFISSPAKAYQLLCQPMEHFYEFNITQLAYHCNARDIIAHECCQRWVHRKLYGNLQAKNFPTFIPKWAKFCISAILVIPVKFWMLVRPKDRTKQDNSISPTVALLDVGKFPQKQRAISTYSVISSRSEALTALTAPLSTAFGFNSALGGAESATPQSMVFPLNIEEIEKDSRPFGKKNRIRRAHAPTLSTFYSTPIVKYWLSLLFRIIYICCLAYSVVLPGCGSNVWDTGLWVWSFIWWIESLYVLTARTRKIPLLAMPWRTFDVFTFGIFLILLLMMKVLPAEQLLEYVVSYLKRSTPPLTELLQGITSTYPAKVVSAFFVLYVSYSTLFTYIPLSDIFGPMIVRVKLMLLRDFTNFLCLVALVMLSSGVAIQAVVFPDRPVTIEVLKKTMSWIWLSLFTTDLSNLNESDTCRKSFIGAPQRYCTSVGQYANNSCPAQSLPAYLIIIEYFVILKLLLWPILFAFFSKTAKNVDDEADKIWRFQFYSLAEDFRLRPPLPPPLTILCLICSACCRFTNSFTGFFSDFDHPDFEQRDKNRTTWRFGSIYRNPSVPFKKNDFVNSFWRKLSMEQWRNTEQKPKTTTTNKSELQELHNIHNHIRMMTLRDSYEKSGTRKASELQFFEKYPESSIMKISVNLVSKPWAVLVPRYNPPFYCKPSEDFTGDVQKYVDVATEQNVGELKRIWRSRQANDVTSNSEKCWRLSAAGFPLNPNGRTGMAGRGNHPRFGANRRCYYIVLSGGVEGQCQVLVDSQKNVPNEWHLENSSKDEHLTSILKMIGVSDSDAHMFSMRRLDSTIVTADKRIPSNDTSPAHLASEVAENENDTDNAWTEHDVWAISLRERRILTSIIGYSWLPTSAIRGTILPWQADLVFRAKTIYGL >CRE25343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2067369:2068285:1 gene:WBGene00055165 transcript:CRE25343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-11 description:CRE-GRL-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LSM8] MGHCHHILLLIIGLRVTIEVRGNTTIEGNTNNSSRVRDYIDPPYASSLIQNPNVRTKPLVRPRKDDDCSDEILRKVMNEVRLSVSSTLEYSYFQHISDEGMTESKRAIHAAARRDFEGTWSVICAPCAFSYLAHAQEFCIHSRHGITCLLYRDE >CRE25619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2068343:2070654:-1 gene:WBGene00055166 transcript:CRE25619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25619 MDIMIDPSRLDSHVCRSLFVLHLVSCCFAANWVKIMRPTITWEEMDISQEGERKFFCGQFDSSYTGRYHWRYNGSSILPERTQIHKNEFVFLSGANAIRNQLPGEYECCVREDLGNACYSRMIVVQNRTDNHNIDMTNSTLLLAEEGHTYYIRMHNVKRIEGVKCTLDGVNIDNFKYPFLSRETKKTVPYHLKIENIENGGEVKCDLRLHKKEVIEKKFDIRLLGAFVSSASTVSHLVVSIIVCFIFRF >CRE25344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2076205:2078519:1 gene:WBGene00055167 transcript:CRE25344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25344 MRAALSLKASNGSAPNAENNKQAAKNAPVAGKLTRQIGTSNLRREVISIREADRKPLIEFNSKENFEVFEDPDPVTDVVTHIDNDENDADAIVFTTENVGVQDNNLINDLERKMEEKSHAEQLKIQFVQTRDNNDSFSRLSEPPSEMMSVSTGYDDDDFDKASVASSIFTTTVRASFSSIRVDSEPTVNLKRELVIRKKAEKDARDEAMFSSDEYFPDIIKYMMHRQLKTRPAPNYFTRQTQVNEEMRTILIDWFNDVVKEYNMKQETFHLACNLVDRVLSFLNVDKIQFQLVGTTSLMIAAKYEEIFPPEIQEFSIITDNTYGIEEILRMERFLLAKFDFVVGLPTAAWFGACFGKRMRFTHKMTKTMRYLVDLSLVDVHFLRYRPSDIAAAAACFANVQLGKEAWPKEIIEDTGIDTDDFVDVLKDLHHMYITASTSDYKSIFNKYCETDEKEVALVSAPTDKFRELFPTIFGAPRKIASK >CRE25620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2078799:2080485:-1 gene:WBGene00055168 transcript:CRE25620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dos-1 description:CRE-DOS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSN1] MRRLIFILVTVAISECREFDSYESESRWSEPRLRISTLDVGEYCASYHENYSYYCRGIWTAEKLHKHRRIMDKIGKFCPSYKTACITRTRNDPEEDRERKEEHKKELTDDVGDMLFDDLMRKLEKIVPCRPNCDVSVHPHCTRRCKCEYEYKRMQKWCKPSRIEERFKYFCRIWYMSCSTYIEEIDPDMAEQFVSQYQPNGNYYG >CRE25345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2083877:2085299:1 gene:WBGene00055169 transcript:CRE25345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25345 MLLLAFLMLIPGVETKETPVKCEYPDEKKVNECLQPMLDYATKLQAETGAMQFPLQGGHVFNQLCSIYTDFKTCVSSVRCDSLSIDAVHASYSYMCGSGQPLFQKHAGCFAEVEAQKEYISCKIAATQAISEAQGAKSSSTEAYLTEMCRAMDGYLRCSHPIILAKCGADAWTLVSTVTRDSLGVTMPNCDMHSALF >CRE25346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2086074:2089084:1 gene:WBGene00055170 transcript:CRE25346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdhk-2 description:CRE-PDHK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LSN3] MRFSRKLLGPFVGSLAKKLDYYSQFQPSSLTIQQYLDFGRIGTAANSYTFLKNELLVRLANIMQEFTLLPPKLLQMPSSKMVSNWYAESFEDLLCFEASDASPEQVARFNDQLTVVLKRHAHVVETMAEGLIELRESDGVDIASEKGIQYFLDRFYINRISIRMLQNQHLVVFGNVLPESPRHVGCIDPACDVESVVYDAFENARFLCDRYYLTSPSMKLEMHNAVEKGKPISIVAVPSHLYHMMFELFKNAMRATVEYHGVDDDLPDIKVYVVKGQEDLSIKICDRGGGVSRTILERLYNYMYSTAPPPPRDGTQAPLAGYGYGLPLSRLYARYFLGDLFLVSMEGHGTDACIYLKAVPVEASEVLPIYSTSSRRNLTMGPQVADWSHHVPGQGNRPAQS >CRE25347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2094400:2099288:1 gene:WBGene00055171 transcript:CRE25347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25347 MSFLYSIFAPIIFLVTFIYNHVLLILFTLCIIGAVAFFVSYYLFAYSNNSSSGSSSAATSARNSPNKERHKKVPTILETDNEDDEARVDGPSKSGTPTGSLPLEPPNSLPLNMVNSASGSNLSGSRRMRKRDWAKKIYKSLVQDSPGRTPTDDSSDEESANVVMGGQSLPRRRSKPGSATSGSRRRHSTAFQMAKDLLRRGSRVYFRQNQENNKDTRLRPPQEFFEPTDLPEIPQNLQPEIFYILHNLKMLELPSEWKLDPREIEVRAFQAGEYIVKPGESDDAIYVAIDGELTVHIRHMEGKEYLVKRIPAGGSFFSLLSMLDILMDFPSIFRTVALKAAEPCRVAKFPITSFRESYSKNPEAWIRPIQIVITRLLHVTLTTLHQYMGLSSELMRRVSIFICEEADGIRYFQRRDDDRGRNGSSTSKLQLSLSLKKKEKPFNINDSEEDQLKVARKWMAEAFGLVADDVSEQIANKIHLESYEAGHVLIEQGAEEEVLMMVLHGNLILAQSGSQYFAELERESLFDEENNEEDESAVIRVTARELVGGLQILTNEPSFYTIRAAVPTKVAIMKKKDFSTFLEAHPEIYLPVAHSVLRRLSPFLRGVDFALDWVLVDSGHACYRAGDMADSLFVVLSGRLRSVEKKTVVEEFGRGDVLGMMEVLTKKPRATTVLAVRFSQLARVPEGLLNFIKMQYPQVGFRLVQLLGQYYSQTNRRAQFAAPTVIRSNESGATDPMSHIKNLHTIAVVPASPDVPLVPFTCELYHALSSNLRVLRLSSQKVAACLDPSVLEKQTDFRLMHWLNVQEDTYPLVIYECDFTPTNWTRRCLRQADAILVVAIGGKHPEKQTLMRELMSMNQDGVRTNKELILLWPESTKTPSGTIEWLKNSYFSGHHHIRAPKRMLQWNRKVRKSSRIELMTPTCVENEVIDYYEKNVFWTTDRRTDFSRLARILTGNAIGLVLGGGGARGAAHVGVLRALREEGIPVDIVGGTSIGSLIGGLFAETPDDTIVETRAASWFNVMSSLWRKLLDLTYAHSAMFTGAQFNYTIKDLFEERLIEDLWISYFCISTDISTSEMRVHRSGPLWAYCRASMSLAGYLPPLCDPQDGHLLLDGGYVNNVPADVMRNLGARCVIACDVGSIEETNLYDYGDSLSGIWVLLKRLNPFGTPVRILNMEEIQSRLAYVSCVRQLEVVKKAAYCRYLRPPIEPFKTLDFPKFQEIMALGLKYGREAVHELITHDRAGILGDEKEARKFKRQQSRREKPDVSRAVSFTDLAAAMSKIPVSRPTLRHSMSLNPSATNGPVGRAGDHFLLDDDLFHESDYYEEESSQSETGNESFTEDEDLVIGPPSSSSSGGASGGSGSQNDPNRSIPTPRPPSS >CRE25621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2100127:2101473:-1 gene:WBGene00055173 transcript:CRE25621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25621 MASSSANYTPESDSGKIDVNEAKRRLATIKQGTEGVVRYFDKCRQLVEIVNGGQVERQDSYLFAVFMNGLTEKTRKVIQMTGANDAFTARKHAIQEEMFTSLLTLQPGNDEDRIHKIEVLNHCQWEKLRLLEGKLNYVQNDVHQMKKDMSEVKEMMKQLLEQTVKKPEEDPTIKENSEALKKVLELNETVVAKLEPLEKIDKLSTLGLMWTRQVHNDLARIAPIPKSQTPPTVPPTVPPTVPPTSTPSPSTSRPTRPIPNKIASPIIPVAPKRSHNSNDGPSSPQPKRLRPNEEKVLDSLRSCISKNEFQSLFHGNITVNVVELYQKYSKRSQDIDKWMEQRGKPVTHPCMFCGDRHHPYECDGTTSIDERRRLLKQRGHCMECSKRHKGTCTAILECRICRRAYDENQTIIGGVKHCRMFCPLQEEYYLTIDKCDQLLEAKKILESS >CRE25623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2112537:2113961:-1 gene:WBGene00055174 transcript:CRE25623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugtp-1 description:CRE-UGTP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSN7] MKKRRGCVFLWPAFAELDLRNRKLCLRHHDTRKYRQQYKTNHNPKTVPSYSEITSRPSVAFKCYVIASMTFIWTAYTLTIKSSKTDVPQDMQVNRYFINAPKELLKMSVPSFAYALQNNLDFLALSNLDAGVYQVTRRMSSSTSPIFQVTTQLKVVSTALFMMLFLGRKFSARRWMAISLLMFGVAFVQMNNTPASEANKKRESAENYIVGLSAVLATCVTAGFAGVYFEKMLKDGGSTPFWVRNMQMYSCGVISASIACLTDYNRIMEKGFFYGYTEKVYAVVVLLGVGGLYISLVMRYLDNLYKSMASAVSIILVVVLSLLIFDNVFVGSYFVLGTICVVLAVLLYNSVNE >CRE25349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2116561:2118001:1 gene:WBGene00055175 transcript:CRE25349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25349 MSSAEEQKEEDECKLEFNIFGEFLDDIEHENVGILNPNKAATKKDIDSLLKLIGGFQHWPLLNEDCKIEVVKYLDYPSRCKLERCSRADYEAVKKTPVEVYNVEMIDNETHHYSLSMEPFDNVVVRVQFHHDFNSGKRFELIFSQLGDDTEVRWTQYVPRKRPESRNLILKSCNYYEEAVKFGEKWMKKGNYEMRHLTIEMKNYPFEISQIKFLPRCKSIRVAADDVEMFRWWFQRIPDQLVDLQLLTNFDNRHTWTIPTEFLNAPQIMLTPEFYFWCRAGFTDEQFLNLKANKISFDCVNVTEDGINKYIKNWVSGKGVENFRSALLWSYRNYDESAITRGLELRPWDNNFEEEAAGFCGDFERVCGRGTCYQIYSKIDPYESLTLSLSDDCVAIYGTGKRTEWNGKTYSNYSIP >CRE15773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig507:8491:9306:1 gene:WBGene00055176 transcript:CRE15773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15773 MDTSQKFDIPPGVPTCLVPFDKGWDEQFWATISYYELNTRVGEQVKVSSSTITIDGFTDPCINGSKISLGLFSNVNRNATIENTRRHIGNGVKLTYVRSNGALFAQCESDSAIFVQSRNCNYINGFHPTTVVKIANKCSLKIFDMEIFRTLLEDCSRRGFDASFDLQKMTFIRMSFVKGWGAEYQRQDVTSTPCWIEIHLHAPLAVSFRKIHLSSNIEKFQWLDRVLFTMSPTPRPISSIS >CRE15774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig507:2828:5278:-1 gene:WBGene00055177 transcript:CRE15774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15774 MPETTGISDQTKKVLIGVAAAATVAGVGYMAYKSFSGSDLDKDLEEIKSLGNLKFKEKQYAAAIQEFTRGIEKAGVNPKNKNIVAMLYQNRAACREKVGDSSFDVLNDCLAALKVDKKYAKAYLRAAKALHDIGKKQDALAYLLAAFTLDSNLNKANFDFFGKLLTVEPVRLSTEFFNNLSNLQLSDCFIGKPLKIEEVKPSPVALFRIQQWCDTWDVLDLFKTDLTNFEPDVLSEDQKQYQLALDIFKKGKYEEILDLLTEENGYAPAMILRGKMLSYGINPNEATKYVDKYGAKIDMLIREEENEERKKLMRDSFDVLKIELMYTMGDVDKFLQALPQDDKDRLFKLNSFAAIFVFNGCVLDTATTSHEEQMMADTNNSNRLLEEAVKHGTLTPHLNMIASFLKLCTCEDYGDVHRRIREMEELTEQRSTHFNLVLMAKVYMMTNNTESSEKLLNEAAKIKTRYLVPSRYLQTADLHVHKPEAERLRLTTASADAAISVDPYNFSAHVLHLLGTNGPEPMIKKENYERAMESIRKAALFAPPRELLMLKRMIPLMNAKKRAAEMLDMY >CRE15775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig507:6108:7545:-1 gene:WBGene00055178 transcript:CRE15775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15775 MPDESETDVDSNTLQFDIFEDFLEEIEKEKLENQHRKSPEQMFRSLTDFIGGFKNWHLLYDDCKMEVVKFLDYKSRCRLGICSKSDHEIVNKTPIQVYSVQIIDNDSNHFFFSYDDFDTVTVRFQFYPKSTKEYELVFSQLGEDTQIRWLKFHLKKYPESRSLILKSCNYQEEAVKFAERIMKKGHFELEKLSVSTKKYPIENSCIKFLPRCKELQIGIDDVELIKWWLQRIPERIKLLGVYFIDDGSVALKIPSDYLDAPQVKLYAFDYEKKLIFQITSSFRLGFGDPATFSDEQFLNLKNKSISFNAITVSDDAINQFIKTFQKWINGNGIEDFREANLGSLEKRDEATILRGIDVRPWDEDFQREADAFIEDFKSVCGGGGRCYQITSRVDPYESLTLQFSDLGLYVYASGKKIIHNGKPCTRYSIPLLFF >CRE15776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig507:9691:11879:-1 gene:WBGene00055179 transcript:CRE15776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aak-1 description:CRE-AAK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NLN0] MVVPNASNTRQRHVPPGGRFEQTIALAATGHLKIGNFIIKETIGKGAFGAVKKGTHIQTGYDVAIKILNRARMKGLGTVIKTRNEIDNLQKLSHPHITRLFRVISTPSDIFLVMELVSGGELFSYITRKGSLSIKESRRYFQQIISGVSYCHKHMIVHRDLKPENLLLDSNKNIKIADFGLSNYMTDGDLLSTSCGSPNYAAPELISNKLYVGPEVDLWSCGVILYAMLCGTLPFDDQHVPTLFSKIKSGRYVVPYSMEKPAADLISKMLQVDPVKRADVKMIVNHGWFQVDLPYYLFPECENESSIVDIDVVQCVADKYDVKEEDVTGALLAEDHHHFLSIAYRLEVNHKRNADELSQKAMEDFWEIGKTMKMGSSSLPVNSKPNVGRKILEGLKKEQKRMTWNLGIRACLDPVETMKHVFLSLQAVDMEWKILSVFHIIVRCKPTPTNPDPVKVSLQLFSLDKEKHGGYLLDFKGLTEDEEANTPSRCRSRAGSVSVVRPKSDVNGNNPKPTFATPLTSPLLSPATPSINIPKVRVDDTDASLKSSVNSTYYMGDIENSIESLDEISTQSSEADAVVRSQTMEFFATCHIIMKALLAE >CRE12173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:422:3275:-1 gene:WBGene00055180 transcript:CRE12173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-22 description:CRE-MIG-22 protein [Source:UniProtKB/TrEMBL;Acc:E3N037] MVGGGRTGVHLVLGFVIGAALALYFFSSQPNIDLSSSLAAFTLCRSQENKQSVLEPSALEKGRVYKDVSEHWIVHQDEMPTPPANQDATPKVTRTRFAATELGTRERIMAAVMAESALALSINATLGRHVPRVQLFADSSRIDNDLAQLTNLSPYKLNGQKTHSMVLGLLFNMTVHDNYDWLLIAKDSTYINPFVLLRMIDTMNWNEAVVMGEPAEDGSGRCRLDSGMLLSHPALSMLMHNRNACNSLAMGSDDDQLAFEKCVQIATNLTCQPLHQGVRYEVWRAHRADSPAAHDSIEDWKSAPSFKRTLVVPRLLSDADASALHDYFVRVEMSRADREIAKMEAELSRLAEAEARETGEAISWPPALPPFAKPPNRYQVPTWEYFTMTEIFRSEPNQNVRRLEGKDFDDVAEVVVAARQQVETEEPELEFVQLRNGYRVFDPRRGMDYMIDLTYRKTVNEMLEMENRFEGDNEAAHEESLKEIVVERRVHVSRMIASTQLMNQAPYVKEDTDVTVVIPVASEKEILPARKLLARQARLCLSPTEETRKTRMVVAVFPPIDARSITAISNDMEELKRRCKRSLLETDVLPVRPPVSTEGKGTAAAAALDDAVDRYGANTIYLLLSPHADVQKEFFDRARINTIKHYQVFFPVPFVEYHPTISGMEMSEKEEKDTPTEQAREAALSRLRDGVEPKRKRALIVQKEHGRFDSQDFTCFAVYGVDYVTARAKLGANERRNDLIAAFLGQDGIHILRAVEPTLRIR >CRE12138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:4543:5300:1 gene:WBGene00055181 transcript:CRE12138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtss-1 description:CRE-MTSS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N038] MLRSLSTISKSTVRCMSLTSKLAAEQPSKQEVDDLFAEKPSHQNDQRRHAYSMNKVELVGGVALDPLYKEAKNGKPYLLFNLITNSQFRQQDGTTFDQTERHVITVFGKNAENLSKTIKKGSRLMVQGRLHYNGGQKDEQGNRTQRNTYIIANTVQPLARAARENPDQH >CRE12139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:7187:8131:1 gene:WBGene00055182 transcript:CRE12139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12139 MTIDRISKEELLANYDTFLFDADGVLWTGEIPVPGAIEWLNLLLDDKTKKVFVLTNNSTKTLDQYMKKIEKLGFGRLGSDNVISPAIVLAEYLQSNPGKFAKEYIYLIGTENLRATLENDGGVKCFGTGPDSIRDHTDGDFIHHVDMSITPKAVVCSYDAHFSYPKIMKASNFLQDPNVEYLVTNQDYTFPGPVPGVVIPGSGATSAAVTAVTGREPTVFGKPHKPMADFLLRRAQVDPKRTVMFGDRLDTDIMFGNANGYVPEIRCVSLITTFRYATVWMPTGVHTMVDVLNAREKEQFDRIPAFTHCFATGD >CRE12141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:9550:11188:1 gene:WBGene00055183 transcript:CRE12141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snap-29 description:CRE-SNAP-29 protein [Source:UniProtKB/TrEMBL;Acc:E3N041] MSRNPFDDDYRPSAASSTMPVKSYTTMGQHSAEDEADYYEREIEKTLQESLDSTERSRRHLENSEKIGTSTAQQLLEQREKLENTEKNLDEIHRTTQMTQRNLNSLKSFFGGFFKNKLSKKPQEPGEVAAVPQSKSASRLSETAATLSTGGSSASFSGPSGQRTLNESSRNAIKGTRWEAMDNQIDENLDMMSANLRNLQRLGQDLGKEVDAQNEMLDRIHYKADRNDVIVRDQDKQMQRILGSDASTSQTTAESLTPSMDTSTKMSLMMKATSFWK >CRE12174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:11515:12953:-1 gene:WBGene00055184 transcript:CRE12174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12174 MSTYNCTSAIQVRCPMCPSDIPIQSPEELCGHMAQCHDFRSTMQSKCFESFAHFQIWLSNIEDSRCDGGYLGSSQGPCYEDEYYLLCRRRPSATSKRRRMSDDLANHELNTTVACTAFVHVFETMDGRVTVRYCLDHCGHPVESNDEQRNRGERQRIGGRSTLKRSSPCATYEFCDESCDCEQSSSSMASSPTSSVDFEDEDTSNNNYKLPESIDAYTVSSLNAIINQRLDSTADRLRALTKVLQELAVDIRNSDLQMVV >CRE12175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:24092:28900:-1 gene:WBGene00055186 transcript:CRE12175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pat-2 description:CRE-PAT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N043] MREGSFPRPTRLLCLLLGLFSLVAAFNIDTKNVVLHHMANNYFGYSLDFYNEQKGMPVLVVGAPEAETTNPNLAGIRRPGAVYACSVNRPTCREVHVDKMKGNLKKLNGSHLVPIEDKAYQFFGATVKSNDKHDKLLMCAPKYKYFYSKFEVIEPVGTCFYAENGFDKTEEFSSCKQEPARHGRHRLGYGQCGFSGAIPGKKNQDRVFLGAPGVWYWQGAIFSQSTKNQTDRPNTEYGSKEYDHDMMGYSTATGDFDGDGIDDIVAGVPRGNDLHGKLVLYSSKLKMMINLTDEVSTQHGQYCGGAVAVADVNKDGKDDIIMGCPFYTDYGSVKDAKTQERKPQYDVGKVIVFLQTAPGVFGKQIAVVGDDQWGRFGHSLAAAGDLNLDGYNDVIVGAPYAGKNKQGAVYVIHGSKDGVREKPTQKIEASQIGHGTARAFGFSVAGGVDVDGNGMPDIAVGAWKSGNAAVLLTKPVVTVTGATEPESALINVEEKNCDVDGKLGKQACRHINTCFKYEGKGDTPNDLEFDLSFSLDDHSPEPRAYFLQKDVKGDRSIKVASGSKTRDHPSSIEQRVRLEKGRQKCFRHRFFASSTMKDKLSPIHWSVNYTYVESKSGKLRGDKLEPAIDTTVPLSFQNKINIANNCGKDDLCVPDLKVTAVADREKFLLGTQDNTMLINVTVQNGGEDSYETKLYFDVPQGFEYGGIESVGTDGSAGSAPACSPTSDEPDSDGKWTFACDLGNPLPANKVVSSVVRVTASSDKPPLAPISINAHVNSSNDEEAHTQADNKVTFTIPVDFKNQLSLNGRSNPEQVDFSMTNKTRPDVFDDNEIGPVVSHLYQISNRGPSEIDAATLDIFWPSFSTEGGHLLYIITEPVVNPPNKGRCRVKQLQNVNPLNLRITNEHVPTEPPVAKTPNEYSREEDDESYEDTTQPQRTTSHRPSQHQTHQQGQVHVYERDEEKIRQNTGNWQYVEDKKKKGDYEYIPDEQEYDGPEFEDDEDEDFDRAGSKRVKRSPVPKKKKKEGARSGEPRSDKARFSDLREAVKLSKEAGGVVDYKGPLSRASVDCNGLRCTHIECDIYDLKEDEFVLVEIFSRLYTNTLVDERNPGGDISSLALARVTSTKYNLPHKPTLITAVSTNMNAIASEEGRDLPWWLYLLAILIGLAILILLILLLWRCGFFKRNRPPTEHAERRVDSQPAAHYADTQARYASQDQYNQGRHGQML >CRE12142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:37063:38471:1 gene:WBGene00055187 transcript:CRE12142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prx-19 description:CRE-PRX-19 protein [Source:UniProtKB/TrEMBL;Acc:E3N044] MSDNIKDKTEEELSALLDQTLSEFDSPPAPKPRNTDDELDELMASADQEAAQKAAKDFQKMLEQMVTLQEEAMKKAETEAQTGTGNQEFDPNDPEALAMMDALKQLMECSSNVANASNPEEFMAGLDMLRSPNSPMEPFMSMIMQTLASKEVMYPPLKEIFDNYPKYLEENGASLDAATKERYEKQFEVLGKICSEFEKQPDAAEVALPDAATEPAAQPNPDAADIQHFELLGKLLVELQQYGYPPKELVGALPEGWQIDENGLPKVADAAAATEACTIM >CRE12143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:38567:39424:1 gene:WBGene00055188 transcript:CRE12143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12143 MSFSYASVADRMYSRRSSELYDNIAYLHHPSGVTVVVLRNVPESEVIEVDFGKTKTHGADRSMNQVSGKGKKGALILQTDSKLCTFKCKDGSEHVVRAGVRGTLVEMNDRLKTHPDLIRTAPDNQGFIAIITYGAGVRDTEGMGDELPKKRLHLKSSN >CRE12176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:39549:46773:-1 gene:WBGene00055189 transcript:CRE12176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zfp-1 description:CRE-ZFP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N046] MKEMVGGCCVCADENGWTDNPLIYCDGENCEVAVHQGCYGIQEVPEGEWFCAKCTKAASMMRGSINEETFCCQLCPFDYGALKRTDRKDGWAHVICALYIPEVRFGNVHSMEPVILSDVPIEKFQKICYICNEERPNDAKKGACMSCNKSTCKRSFHVTCAQRKGLLCEEGAISRNVKYCGYCENHLKKAINDPAIKVIPACPPVQRFVKEQEKHKKTAAATSTTVLLTPPPPPPPVPKVNHMLADPLPIRPPTNQVNSVLGLGSAINAVSLDERPSSTSASEKNSSSGIFAPPPTAFSPPLTTSSRSSVAQNPTPPLPTKNSTSSSGPLISSTAQQSATTSSEKGNMANGSTLPSSETTVGNHCLQQLQIQSAAAAASSHSSSVGDLNGYPAASQLSSFMVSKFTTFLFKFLETFVFYFKFPLFQHEIPARNTTSVASLLPPGAAEYHLNGSGDEEKTVKAVLTAPLAKAKRMRDSKNDMLDKTNKRPRANGRPPAVLGSSSSSSGGTVGKSASMQRLMNLVQPVVSEVVTDFQRDRVADRTAAERRAAVAQSQPSTSTNGGIGMAPSAPNPTVETGHLNSTNPTNLVNGGLSNAPSISIPGTSTESAPVNGTATPHNARQNLPSFMEQLLERQWDQGSTLLMANAHFDVAQLLSCLFQLKSENIRLEENLTSLRKRRDHLFALNSRLAEVNTLDVTKRQRDGILQHPDLSTPKLEVHKQEPTSSTPLPANHSSVLFEDVKPTKTNSHHRKSAGHTPAATLPAPVPLTTAAALTTTTATTLGNSTIQNHRATPSAAGTPLPAQPLLTTNEMAALSPDRQNQANQQAAMLMNIYRTLNPMDASVAAQLQMINSFQNVNPTTALFSRILAAQPGMNLGNVMNGGIQQPQQSLPTLPPTSATPNGK >CRE12177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:51622:52579:-1 gene:WBGene00055190 transcript:CRE12177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12177 MCSKQVSIDNISAKRLVQIVAPLAILVSTVCARKSTVSDKNAKSEKQVHVVRKFDFLRKINIFQLKVDHQKLSEKPVQSSKKNETPPKQLKKNEKAPAVVVRTGNDVHKSIEEAVAEGLILDPAPFKNEGNLDLKSSKHSKFGEELQKIIENPISHSSETSGSSCSDESNEKKRVVVHPSQCRIFETTNQVTEPMSSH >CRE12144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:52731:57641:1 gene:WBGene00055191 transcript:CRE12144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-1 description:CRE-ZTF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N048] MSETFELTTTTPPAHSLVSENGKKYYYLNVRQSPPADPFTESLGSQSFGSQGSYSQELEPKFDPHRETSHDSEPLNYDDHIKKIHEEKSGPIHYYGATMVVKNAREMFNNVDILPDGRYYCNVCKRPYKTHATLTAHVRGCHLRQESACTEPNCNYVSYTENERRKHQKVHDKAKYSSYSRDALVSARSIQNSRMHRKMENIITPLFPSENNLRRTTIQNNKEVVGMFSSFDKRGRVKYSCSKCDQCFHNAYYATRHMEIHSDAQKQCFYCGEIRQGTMDLHVHYMRFHKNEGIRTITCKACESVFTTTTLFRNHAMKEECELAVQNSRIQQDIYYGELPDGTVIDNATLNRLNFFRKRQDEREQMKSVTVEMGGVKKEIVQQPEQNGKEPPAAGSSSHDSEIFDDSNSLIQTEFGLKNLAEFKTTRAVKREIDDGNDNEFDSIKKERVLMAQNGYYSNHQQQQHYPMTTQSQQQQNDWSSNFVYPPPTYGYDPLIGTYPDFQPTQQALGLRSYAPYQNAFYPPMSSVNDLPYIKQEIVTSDVKHGEDGNFGPYQTHDPLKQASEIASDFLINEQRDVVFEELNKLDFVMPTDTENDNDDDDLDGIFNFSAV >CRE12178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:58072:59558:-1 gene:WBGene00055192 transcript:CRE12178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12178 MRVTFLQLLLLSILIPLANCQWTTEDLALYDLVEEVGVNFYEWFDIPRDATSNQVKKAYRKLTLEWHPDRNSAPDATEKFRQVAGIYEVLKTAELREKYDNILENGLPSWRQPLYYYRKVKYFNQKIVSKQEIRDMGCLEFSLKQTHLEFGYTRHLDSKSHPFLTDFNFRRMRKLAWYESILVLLFIGTIAHYLMMWAAYFEKTLVYKQNVKKSRKGKKEDPTEIDKQMKEALEEFIPKYSELLPIILAKGTVNLCKNLFLTAKDAMTKQDPIEEEPTEEELAQLRRQEKRAAAAPQQPEFKFEVAQGLKAVSTNDPEMEKKYAKECEVVSQKQSGSSWTPDELAQLVRLSTEKYPAGTPNRWEQMGRVLNRTAEDVIAMAGKMKQMKQEDYTKLLMTTIQQSVPSEEKSEDDWSQTEQKAFELALQKYPKGTDERYKELRIWKKNYGFQMGKNIGGDRYKNQETSDGSIQTIG >CRE12179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:59790:61357:-1 gene:WBGene00055193 transcript:CRE12179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12179 MSRRSRSRSRSPPKRDREDRRRRDERDRDREKKRDRKDKDRERKRRHRSSSSETSIAESHQLGSIFREERRRREKNESPKLPPLPPPPPPSDPPVDAAIPFDVNTLNEPTKKWLEEKIVEQVTARVHQLEAMMAEKATSARNEMEKMLRAQIEAEMAVELSECKKRDEESRKKCKQLEAELERKVLEAEESRKKFEEDRLLMLEQKGQLERDRADLARQKSELQKNEQQAILNKSGNARAPIKFKFGK >CRE12180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:61823:62966:-1 gene:WBGene00055194 transcript:CRE12180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12180 MSIILRCTLPLLITFFFVSSVTAAVNLRDRVVAAVNCGGGEALGAYGIEYSADYSDEGMTSDAGVQFAFNNAETDDLEVYQTERWSKESFDYEVPVGDGEYVIILKFSEVYFSKAGEKVFNVRINSHTAVKNLDIFDAAGGRGFAHEIYIPVVIKGKTITVQGHSRDYRGKIIIEFAKGPHDNPKVNGFVIVRGTVEDLPPPPERNIPEDAFPEDFEIDETDSNNDPHEESFNPKIIRGDDMEEEEEEMFSATTGSENPFEKKESITWLLPFAAAFIIIMPIAYVIALRFEKKQK >CRE12181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:64141:64912:-1 gene:WBGene00055195 transcript:CRE12181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdz-1 description:CRE-SDZ-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N052] MNTSVLFVFLCLIPLSQSAGFLNFKLTADRDCLLHLEHFSSEYSETVRLLAYETRSLHIYFPSINPPQISIDFQILHHFSGDSLSQVISQQFKLDNNGQWQSRVIDSDSVILSIQYTFYCENGYFGPICERRSRLVPIVTSTSTAITPFNHSITTQIKKVGVSDSTIVYLILAVFMFILVVFNCILCFCRPKKTSKYIDETPLEVYSIIETTRSTNVTNTTRYHDAPSRFLSSTTIECIV >CRE12145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:65415:67478:1 gene:WBGene00055196 transcript:CRE12145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12145 MSKPSKSPNPANNSSSVGSVCPPTARSLSMMTAEPQTDPRQTPTALSNSVNTAIGGTPSDFKSSSGLSDTSARSGRVTSDKSTPKTAKAPTSPEIIMNGLDLRRGSLFGRQTKNVAQSEPESMTNITWTNTGDLSTPSQMSSFDGKPPNNHFSINTEMSVSIRTGIPVTEKSALSGAPKTRNRSPVAQIDALKKREEQRKQLDAMSPNPNVSTKSKKSKKSKKSVKGLDASTISMKTGFSKKLKKSKKSKKSSKKEEPITVNLDYAKRSKKSKKSKKDEVPPMSGRAGIIKKKLDECTPLPGVMQTIPPSPPGKNFVMKPEVPADSSSKIQKIKSSQVNNFPGAGKYTRNMDEVAPKTPQNATDPNQSHVVYDVSKLNSNQRRCRPKKPIKCIGRFSPSRTRPGTKKSTGRSASRRVADPPSEKTIGNNQIVVLGTTQDGKNTIRMTIDMEIVSGEALGGSDKPMTIVPKKVMVGGKELPVDSSEEKSEN >CRE12182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:67997:72905:-1 gene:WBGene00055197 transcript:CRE12182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12182 MRWVLFIWLLAMTASAVKSAECPPNTFTCADGSCIPSDWKGDGEKDCEDGSDEESTGETTTEGKFDEVVSASTTSGTTSSDEDCDWGMQQRIDNCSEPIVSFLHQIERLNLRNMSFLTSSDIQSQIESGCSLMTNYQECVGNEKGCMPDEGVHSWREIEMFMCQLVLPSVKEHAACFKSSADSRCDASKTSSSSSLCGLVTSIQTATSCLEIIRPDACSSDAIEMLGPIREETEHIVSAIRCVVSSQVYKPLIPLFPSNYSSFQLPEQPSSTTLIVDETTESTSASAEDEDDILTTNTSDSESTTTTTSEVENRPALTINMADAVNSLYYIYDICSANYSSDPFSGVAEKICAKQDEIAKWSDCYQKTLEKEKCAIRNATSKCEALISYNNNLDCAIVTMNDECEIDAQNLVVELQEEVNDLIIAGKCFEEKKEPEEEAPKTPKEGEFHLQSTLPKCTEEQENGALGCLVELVEINKKLTAFSNLNFLLEIASPNSTVVEGICSLFARYEQCLSVTVFKDSQRCSFASPLNSLARIGLAPICSLDSRPMLAKHRDCFQKLATEADEATNCQSALSSLSNTVQMMLNGVHGEALLCKSFYTIRDTFSCGERAVKNKCEEDALTDLMNLKTKMTSLGLEEGCPTDPPANLDEIIARPVARPTPVTMPPRAPTARPMAIPSAPTPPVVPSKCAVEEQKKFEECVKPLTSFQPHPLSVIAMPRDIDQACEAFHTFKACSAESNCHPLWARGMSAMFEYACNEANEQFKKVRKCIRETSMIEEVRSCVSDFSRGAPTAACMSSNRLHSCAIPQLQAKCGQDAAEWVSNYIIRFANAIDVRCKVGRQLPVGRVVGIGCSAEEESIIEHCAAPLNDIGSRVEELFAGGMQSLIKNINSLAPVFAGACNLTDEFRTCAHFLLEGRTSCIVSSCMIEAGRDICQLSDPAKAIDDNLSCLFGQAQEPKFAQCIRSTISTLKQFNLSTLRNVLPKFIDCTRDIVVDKCGESPIKIMKAMSTPDICPIRPHQTPIIPVNQPTRVTPDLGTVLSSSTTTSFSDSEATMSSEISEEVTSPAPTSPTTSSESSEPTCGESALVDYLQCETHLDQFAFRPISIIGDASKWDQFCQMANQTYIPCVESLKCKYEPAASAQIALIDSICNREITLRDQKQHAMCLSEYTKTDAGIACISDFGKIDQLDKSAPAQMCDGINHVMRCSTNEIEKRCGFDAVLHVFSIHMHWANLFNASCIVESPDPSKETTSIDVNEVEPSRDQKPVVVTKDETTPATATTGMSNDVTESEETTTTSAPVQSSVSFSVILATIIPFFAFL >CRE12147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:80448:81079:1 gene:WBGene00055198 transcript:CRE12147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12147 MKSIFHGVFSRKFENVCEEGDGHDEEGNGTDKNEEETVITWLKCATATEVPHGALVVTYRTIPNSSNLIGKDVTTVVLNALMTNELIGQLLKLGKSTDVVVSGVFAKKPFIASGSAIVRNGGSTIVTDNIGCLIRRLTNDQQSRKREATDCNYQLCVVEGYVPPGLISEK >CRE12149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:83371:84857:1 gene:WBGene00055199 transcript:CRE12149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12149 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3N059] MSVALISFIASVSYGLPSACLYVITFFVIIKNRKTFDSSFFEIYLYDGAMNLLTYFTGFFTMRLNKITCEECLMALLYKNLDGLLLQLIGTMGVHMAYVQYSMSTLVSLNRLSVLLNFNFFEPIWKKCIWIVVILIYFIPFLNTNVVFNNQMKITHSEEDDSYSITSPELPITKIYGILIPFMFITTIVCVFCNTISIIFISKMSIHRKTAEFNVLIMMSITCSVQIVGTVITIALQYFSTSPLVFSILGMMLPYSSDGLSLVQPWLLVCFSHSMREEMKSMFGLTTQRPDRQLFHTRSFTN >CRE12186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:93777:95484:-1 gene:WBGene00055200 transcript:CRE12186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12186 MKSVRWNPVIEVMCGEGREEVESGEGEEKEGGGEEGQREEPEEEYEEEEEEDEEEGEKEEGEKEEKGGKRRREKEEDKESDLKPMVEHTFEHARVPTTLGDLFSMSYRGGVFRPIYEETVNSLNKMPIDKLFDVDVSGIPLLAVPNDEANRCVILQGAHRFSSLLSRKLTEEEKKHRILVDVYYIRPGIKTTRVSHVEFHRCQPEMLTLLMCSYRNELNRKEGQFTEREQATNLFKFLKSRISEQQRQDMIDHSHKRKKMYCQLVKEGLVQEPTETAKEQSGLFAFMMNPLTQSSFFRDFEKIPTRNGFHRIIFLASLQNDASCAQLIEELESKRLTKYAFVKRLREITKKKVLENPSNGNNRMMWLKCKTAEEVPRGAIVISHKVIPYPSLLVEKDVTSIVLDPMTKEVLGQLCMFGRSEEIGSGCVAKHSFLASGLALVDGGPIITTTLQRLIHRRSIETPKNEKPQRIINFVLSHTLSLQLSFQNL >CRE12187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:95854:96456:-1 gene:WBGene00055201 transcript:CRE12187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12187 MTTKKFGMEDVGITKIGGDNNPKNISQSDWKKVLPNEVYRVARESGTESPHTGGFDDHFEKGRYVCLCCGSELFNSDAKFWAGCGWPAFSESVGKDANIIRIQDRSHGMNRTEVRCKTCDAHLGHVFNDGPKEKTGERYCINSVCMAFEKKD >CRE12190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:101181:101598:-1 gene:WBGene00055202 transcript:CRE12190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12190 MFAFSRRLLNKNWKFLPNRERIVFKNRKEALFDFVKVSGANILWQIGLAVIVLEFAFPTPDIVYDIKRLISPDFDLYCKSGNLVDFEMDFENKLRSIRREEVRSLEQYTNAKSPEAKFGGVN >CRE12150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:102306:103070:1 gene:WBGene00055203 transcript:CRE12150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12150 MNTSVLFVFLCLIPFSQSAGFLNFKLTADRDCLLHLEHFSSEYSETVRLLAYETRSLHIYLPSISPPQISIDFQILHHFSGDSLSQVISQQFKLDNNGQWQSRVIDSDSVILSIQSTFDCENGYFGPICERRSRLVSTATATSNTPAKSPMDSHLYNEFISDDIIVYSVLAFLVLLLIIVNCILCCCRPKKTSKYMENEISEVFKIDEMFRFLDTTTSTRYYDAPSRFLSSTTIESVV >CRE12191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:103397:105064:-1 gene:WBGene00055204 transcript:CRE12191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12191 MIRKFLLFYTHWYLLFVIPCFTSRLIYVIFAFQKMLRAVSSSFGTARAASTAVKRNTPNIVLVDAVRTPFVVSGTVFKDLMAVDLQKEAIKALVEKTKLPYEKLDHIICGTVIQECKTSNIAREAALLAGVPDNIPAHTVTLACISSNVAITTGMGMLATGNANAIIAGGVELLSDVPIRYNRKARKAMLGMNKAKDVPSKLKIGGEIVKNLLSPELPAVAEFSTGETMGHSGDRLAAAFNVSRREQDEFAIRSHTLASEAAKNGKFTDVVPVFLDGKKPKTIKEDNGIRVSTIEKLSTLKPAFVKPHGTVTAANASYLTDGASAALIMTEEYALANGFKPKAYLRDYLYVAQDPKDQLLLSPAYVIPKLLDKAGLGLKDIDVFEIHEAFAGQVLANLNAMDSEYFCKEQMKRSGKFGRVPMDKLNLWGGSLSIGHPFGATGVRLAAHSAHRLKEEKGQYAVIAACAAGGHGVGMLIEAYGK >CRE12151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:106724:109444:1 gene:WBGene00055205 transcript:CRE12151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12151 MLLLLATSLIEYIELSTVIAVIIIISCGYLSFRISSRRPLYYNLFVVEMHTWTTRRMVQVLHLGQEFASPITWDRLVQRMRARAPRIQGEERMAISDTNIVIQSPNTVIDSPAPARLFLNPEKLSKFIRFFRSPDVDLITLWDIEMPPPGAGHVPDATRDSQYAAAAAIAILARGDDATLMSRVLQNNQALQNSIEMSPLSGERRNGPSRLDYVTTDVTYTTPSLNPHMQHQVTTTTSNVTQTQAPRNEIIGPLPDDDDDYPPTYETTDDDDDDSSVVVIDGSSWAGEGERQDEEGDESDANVPLVPTEEEREQVERQEEEEREQRAEREAREAEMAVLEGPSEGKILIRLKFMNDTEKDTYASLMDTVAKFKVDHFTDLANKVIRLIYRGQLLREDHRTLESYGLHEGCVMHCHISMTPYSTPGVATLAPIVNDFAPPRRRIRRSQRAPRDPTPTPPHEVQPVVERTRNEDFARRRAAQDRNVGQIYLLLSTMVPILSGIGLAFFRPDRIRDLLRPATLLTISQWVCNLLVDNGLLEQDDDEPDSHYQASTLFWIFGGQMIAVTVFLYYFPDLFHRLGLAIFCIFCLYFVFVVYSLQRRRQPDVQAQNEMIENQIVQESISLI >CRE12152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:109941:114588:1 gene:WBGene00055206 transcript:CRE12152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12152 MMKPPPPVPPPKPSGSPSGINENIDTSTFSVSAAKALFEAAKKPEVRNAAIAAAKNPFVRQTAKNVAQDEKARGAVLNAVKDPSGGNKISAAFAVADANRKSDVPPPPPHRGATGGGTSPGKLSGAHSAIRSQLENMHLGGGGSTNTSTTSTTTSYQSDSYNNSTSYQSSYTPSSTSSTPAPPPVPRHNHSTPSYLTPAISTPHGIAKFDYTPMQSDEMALRVGDTVLLSKKVDAEWFYGENQNSRSYGIVPSSYLNIKIPLKEAFSAPPPRPAAPSASSSGTYATAIYDYNSTEFGDLNFTAGTQIVVTARISEEWLEGECFGRTGIFPSQFVDCPNLYQVPMKQQSAPSPGVYSPPMNNSTPKQTVTVSYDYDSGVATDLRLYEGDVITVLEDIDSQWLFAECRGQQGMVAKNFLGPPYGSPKKSASKGIAEIASAFSPKKAVATGDYHSEDPKHLYVTRGDHLLIVEDVDDYYYKGKLEAFKTLPAGILPKNIVKLEH >CRE12192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:115072:116328:-1 gene:WBGene00055207 transcript:CRE12192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12192 MKLLRIPFLPLKLILESFEDYELYFLGFCSKRSSRIVQEIRKNAWRVLINVTRHTGRCLQLQNMNGKTIGMMLWTSYDTKDTLDLLPIDSENQSINYSYNGIDIECRISMHPTKGIPVIWCDNKHKNILPLAIHHSICQVFNLIDTIQIYVEFRELSDFPEINRVDNLREYGFFVDKAEDYEDFFEKVEITNYLQVSYPYKILNYYLRNTWDGCFWLTMNHLMNFNGKTVVNKRTRGINSYGVIDFLNNWMKGGNPNLEMMLIVETLSSNAFDASIIQKNFNFKPWDPKKRGSRFTYTALYPHASKTDILDCTNAMDLERKTDNKLATVKIEKESFIFFVWNDPFPNSVLNESDVRLGKDPRTGEEFHYYSYGKNQF >CRE12193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:116810:117902:-1 gene:WBGene00055208 transcript:CRE12193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12193 MNSPQREFAIKCVLDNMDILEEEGIHTDKENHFDIPWKLEILRDGDFLSIYLDCVKRNCEDHWEVTTETTVQVLSKNGDSQTMKKICQFGNAVGKKFGESWLEFMSWRTLEDNFAIHGEVTIQVNVRILEMVGFRKENLKVFDESVKEFSDIVLVVGDRKFYTSKLFLGYQSSYFKSLLLGDFAESKQSEVVLKDINPDDFQNFLELLLGEMPIDDITIEGILHLAVLYDSPTAIKRCEEFLVKQSNKTLKTKLQLSTRYNLQILKQKCLSEINSIEVLRSVVSDELDPSISNALLQKFINLC >CRE12153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:118337:119079:1 gene:WBGene00055209 transcript:CRE12153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12153 MSLTPPRFQSMNAVIEAECPVCSSQMIAPTAIPACGHKFCFTCLKGVCMNGMGCPMCRGPIDPSIFSLPSQVLDLKMDVPLSPVVVQDKCKFLLKSPFKKHEKRSISDKPIEKRDTSPSETPAPSALNLDASLLDDNLPEEKMYWLYRGKNQGWWRFDPRHERDIDKAYNNDEDHCEVTICGRTYVIDFNGQCQYPKGVPTQRREVKRVSSADFDTMGVKGLAGVFVPTS >CRE12194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:119653:120924:-1 gene:WBGene00055210 transcript:CRE12194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12194 MKLFEFPFVPLKQILNLFQNHEAYFLGLCSKRSRIIVQQTMKKVWRTVMSINGLNSKRMLLQKMNGITDELLMWTNYDHPFNHDLHPIEFRNQSIFYCYNGTNIECRISQNPTDGSPVIWCDNKHKNILPLAIHYSVCQVFNLIDTIQIIFELSEREDFPETNRIDNLRLLGSPMEANEYDEFFEKVQVSNHLKISSPLEKGVIKEDSMLLSTNHLICNQRDWITMRHLINFNGKTAIITGGLHVSTQEIIDFLNNWINGGNENLEMIIVTLISSVPSIDLSSIFKQSFNFKPWDPKKRGARFKYTAIHAYSHKTDLLDCTNATDLERKTDNKLATVKIEKESFIFFVWNDPFPDSMDTISEMQQIVNPFTGKKREYHAYGRSEF >CRE12195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:121106:122799:-1 gene:WBGene00055211 transcript:CRE12195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trxr-2 description:CRE-TRXR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N075] MMSHAQPTARRLLSSAIHYDLIVIGGGSGGLSCSKRAAELGAKVALIDAVEPTPNGYAWGIGGTCANVGCIPKKLMHQAALVGKELKQAEKYGWNGLDQSKITHDWNSLSQVVNDRVKGNNWVYRVQLRDKGIKYYNAFAEFVEGGQILITTADKKKTETLLTSPNILIATGLRPRYPDIPGALLGITSDDLFTLKNVPGKTLVVGAGYVALECAGFLAGLNQDVEVLVRSRPLKEFDQDCVHFVMEQLKSSGVKVREAVEVEKVEESGDKKKVYFTKNGGVEEYDTVIWAAGRAPRMERMKLENIGVKRNEKSGKILADEFDKTSVHGIYAVGDIVEGRPELTPLAIQSGKLLADRLFSDSKQTVRFHGIATTVFTPLELSTVGLTEEEAKKKYGEDGIEVFHSHYTPFEYIVPQNKDGEFCYVKAICLRDETQKVVGLHFVGPNAAEVMQGYAVAFRVGISISDLQLTVAIHPCSSEEFVKLQVTKRSGKDPRVQGCCG >CRE12197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:124002:129643:-1 gene:WBGene00055212 transcript:CRE12197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-32 description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:E3N077] MGDYVTPGEEPPQPGIYRSEQMCLAQLYLQSDASYQCVAELGELGLVQFRDLNPDVSAFQRKYVNEVRRCDEMERKLRFLEHEIRKDQIPMLDTGENPDAPLPREMIDLEAKNELREVNKNEETLKKNFSELTELKHILRKTQTFFEEVDHDRWRILEGGSSSRRGRSSEREEQAPLIDIGGDIEDDSARLSVQAAMLRLGFVAGVIQRERLPAFERLLWRACRGNVFLRTSEIDDVLNDTVTGDPVNKCVFIIFFQGDQLKTKVKKICEGFRATLYPCPDTPQERREMSIGVMTRIEDLKTVLGQTQDHRHRVLVAASKNVRMWLTKVRKIKSIYHTLNLFNIDVTQKCLIAEVWCPVAELERIKMALKRGTDESGSQVPSILNRMDTHEAPPTYNKTNKFTKGFQNIVDAYGIATYREINPAPYTMVSFPFLFAVMFGDMGHGVIMFLAALFFILKEKQLEAARIKDEIFQTFFGGRYVIFLMGVFSIYTGFMYNDVFSKSINAFGSSWSNSIDHTTIDALLDGGEKSSETQLILVPELAYDGSPYPIGVDPVWNLAEGNKLSFLNSMKMKMSVLFGIAQMTFGVLLSYQNFTYFKSDLDIKYMFIPQIIFLSSIFIYLCIQILAKWLFFGATAGSVLGYTYPGTNCAPSLLIGLINMFMMKGRNAGFLDERGEVYPQCWLSTWYPGQSFFETIFVLVAIACVPVMLFGKPYFLWKEEKDRREGGHRQLSVRADIAQDDAEVVHAPEQTPKPAGHGHGHGDGPLDMGDVMVYQAIHTIEFVLGCVSHTASYLRLWALSLAHAQLSDVLWTMVFRNAFVLDGYAGAVATYVLFFIFGSLSVFILVLMEGLSAFLHALRLHWVEFQSKFYGGLGYQFSPFSFETILAEERQNEEN >CRE12154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:131541:134107:1 gene:WBGene00055214 transcript:CRE12154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-9 description:CRE-LIN-9 protein [Source:UniProtKB/TrEMBL;Acc:E3N078] MSSAVRSPRKKVQSDTSDPDRTSSPYRLRDTAKVPSRYRDDEVYLSPTRTNKRTGSPKKSPAKRLNGGRDSPSVSSLTRNSSLTMLAKAALDYESSSCALEYIPKEETRRPTRRALALSPPTTTNANDLLAKDLEMIEMHQNLVAGLDDLNNPENMTTEAMEHRDAQLFFNMFSTDQERTAMIKQFKTFKNQTSEDVSTFMRSNIKKLYNLLRYKKARQWVMCEFFYSAIDQQIFKEENEFASIIKESFPNLKNWNLTRVEWRTIRKMLGKPRRCSKVFFEEERMYLEEKRMKIRSVYEGSYLNDPSIDLKDLPARLPRQMVVGNRVFARIRHPYDGIYSGLIDAVIPKGFRIIFDKSDIPPTLVSDTEVLLDGKTELLSIAYFIEEANSKLPTGVRPFVAAVRDPNRPHLCRDELVSRKIERGGPLNGPDDERLTARNSEMVGNFPLKFLVNLVKLTKLIEIKRGLVKNLNDLNIEAEMQHLTTEKYSTVFQEKYARTIMDLEHVNQNIDINMNGIQDHHMYFSSNDISTTNMKPEAVRKMCYQQAGRFVEHCNQGLNVENVHALTLIQALTAVLLQVRAMGTQKISAVDLQSLSDVIADIRNQINPRNVAFFEDFVEVHLKQFHTIMLETGALSTSSSTSHSNRK >CRE12199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:136801:137406:-1 gene:WBGene00055215 transcript:CRE12199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12199 MTTKKFGMEDVGITKIGGDKNPKNISQSEWKKVLPNEVYRVARESGTEAPHTGGFEDHFEKGHYVCLCCGSELFNSDAKFWAGCGWPAFSESVGKDANIVRIQDRSHGMNRTEIRCKTCDAHLGHLFNDGPKEKTGERYCINSVCMAFEKKD >CRE12155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:138129:138547:1 gene:WBGene00055216 transcript:CRE12155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12155 MNAFPVFFFILISTLIPPVTSGTVKRAVHSSGCPKNAEYKECTNICPDKSCQNYQMVSTCFSLRCGPPACICKEGHVYLDGEDKNKGCVRRETCNKLNKIRNQPVP >CRE12200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:139193:140675:-1 gene:WBGene00055217 transcript:CRE12200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12200 MTSKADYLKKYLSPANGDQEKKKKKKKKDGPKGIRLIEEDAFLSVDAAKVKDIGSDEEREEIEVLKQSAKKAKVVHGFKQTFAQVEALKEVKNEPLSPGSSPPRKARRRHDSEDNSPPRASRKRHDSDNSPPRSSRNRHDSDNSPPRTTKRRHDSDEDNSPPRRSTGRQRHDSDNSPPRANRQRRDSDNSPVRRRASPPRRTRHDSDNSPPRARARRDSDNSPPRRRDRDSSPPRRRRDDSSPPRKTRKIEEPKMIKKEEPDSDTEVSRKTLDGKRSGLQSAKDLKEESDKLRAKNAKVFEEMDSSVSGRFADTVYRQKQTKRKGRDAEEDQEKKEREAKKTEELKEKYKSWNKGVAQIEDRRAQLEEMARVAAEPMARARDDDAMNAHLKEVLHAADPMANIIQKKRRDTAIDRGELVYPSYHGHWVPNRFNIAPGYRWDGVDR >CRE12156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:140914:142504:1 gene:WBGene00055218 transcript:CRE12156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12156 MDSKDQEKKEISFRRKILTIIEILTDITDVSDLQEHLPHLHCDGWDEVIEERFVNKQCGFPACSRDPPKKTRTQMFQIDKKEGKIYEFCKQRSKFCSEKCYQKNLFVRKQLDEHPLWITGMNDVRMNKKYEVPDESFVSPVPEKSEPEEDKEPSIEFVSDSIIAKVQNLKLNEEYEEPKVIDSDEPEKEPYKLTEEDKDFIKSIRKFKTANFGPSPVAKPTQKTVKPKLSEKNQQKENEILAKLREKYGNKNAKQKKPPIMIDAPQFHPKETAEPTENIRTTTVEEKYKWLIDLIKSWFTEETRKLAREGPRSRGGDVEQVLMDFLSGKKIDTEKLVDLPNLDKYNVKEKRLNIFLHSVRHHWADLEARLHLTPTRRDLLSRVATTFHLNAENITGWNKKELNIIVIALFILVCFVDVELGDDYFKKENPSPELSAVSTDLCGIDSYELTGLHDVIKSQCANDYSVYA >CRE12201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:142525:144499:-1 gene:WBGene00055219 transcript:CRE12201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-3.D description:Eukaryotic translation initiation factor 3 subunit D [Source:UniProtKB/TrEMBL;Acc:E3N084] MATTELPKFEFLSLADNTSGWGPLTTSCSAEEPVPFQQFNKADRIGRVADWIGVDRFYRRGNERYNERVYGSAANAGSQFDYIHGMDEHNFQLVDTSRPMARNPQRNFRVRQMHLRKMMQKEVEKREMVNQSTNLRMKRSIAKEQQRAFKMWQRRGGNARQGQRGQGGRFGGDRPKERLPSVQVRPEWNVLEEMNLSAFSKLALPNIPGGEDIGDHQYGSLQYYDKTIDRVSVKNSIPLQKCAGVFYNVTTTDDPVIQELAQSGVGNVFGTDIILATLMTAPRSVYSWDIVAYRVGDKLFFDKRNTREILNPVEALTVSETSAEPPSFDGGMNNAKDLATEAFYINQNFRRQVVKRNEPGFTFKNPRAPFEDDETGESGTAYKYRKWSLGNGVDGKPVELVCRTELDGVIYGLGNETQTLTIKAFNEWDSTQSGGVDWRTKLDVQKGAVMATEIKNNSAKVAKWTLQALLAGSDTLKLGYVSRNISRNAQNHSILNTQYVKPSEFASNIALNMDNCWGILRCVIDSCMKQKPGKYLLMKDPQSPVIRLYSLPEGTFESERESSEEENSDDDQ >CRE12157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:144867:145286:1 gene:WBGene00055220 transcript:CRE12157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12157 MNTVPQRTRVIQLYRQILKVAKNWKAKEEPNTISERQQIIAEAREAFREHKKETDPLVIGKLIYKAEQRIVQAEHYGIPYARPEYLPPDTAYSVRTKTQNFQKMSRKRKTERDGPIRRDQ >CRE12158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:145630:146759:1 gene:WBGene00055221 transcript:CRE12158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12158 MSETLKFHSLLNFQLYLNEEGDKVLAKFSEAINQLSMGTTGLSVWQASCDLANLFRLVPSSEYKRVVELGSGCGVSGMAISKLSNCEVVLTDYDDNVLDLLKKNAVKNGLMSEEDGDTSINQAKIRCLDWCDFDFTEWKEPADLIIAADVVYDTALLASLCSVLRLLLRTAKAAIVACTRRNEASIGCFEHHLKCAKLEIVEYFEYENGEYRTLDDLYYTHPSNFPFFSSLKTPTIFYNIQPKK >CRE03599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2810:1668:2401:-1 gene:WBGene00055222 transcript:CRE03599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03599 MFPFQMAPTPSTPVTREEIETTFAAMCTEQKPNLLKISQLKMVLRALGYDPRNTTVQEMTRKIKDGRSKLMGWHGEKDYMDVDELWTALQSKDDEDTTEDKFTVEMRSAFKLFDQECKGVITVENLKMVARELGETLGDAEFDEMIREAGGDKKTGINEQQFFEIMKKTCLY >CRE03598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2810:600:1238:-1 gene:WBGene00055224 transcript:CRE03598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03598 MSFALFFTPPPPSGSSSIPSEACILKQRNFNLARHLLMEVSRFVDHQVDVQKSTNPTRPRLPSFFVKTFNYLKSQETSLKYVDSYLNILPHTIQMQLLTEFGPSEDYPKLDEKGYFIETPIPLLDQIVQLEKDVIDYVTNAYKCTGKVLDIPHSFYKTYDRLVGESKVVNEEMKRRILGVTGNILRSIIQNIGNQIDSSYFSRSTFNHLQLR >CRE12202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:149128:156795:-1 gene:WBGene00055225 transcript:CRE12202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pde-2 description:CRE-PDE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N087] MFVCVVVIFNLFICYWKLFEFRSTKKKKRKEEEEEAEHSSTKNQYKITTKRRRSSSGLFASSEFLYYSPHKKIVCSMLELRRNSSPTTITHHLPQTQTICANSARGDSIHHHHHHHQASGSTCCGGMTVFTGANVTKSPSEPSASPTVWRKTSHPPLHFNNNETRNRNLQMQLKNRGTKDDWGASLRYDIEEPTSSGLSELLPDVPIVRKLSRPLVKMDDLDETSSIASTDSDRTVLSPLVPMSVFDKFLCLTNNLSALVSCIIAEARKNIGASEYAVFFHDEDQKQMVLFNNETMLMKGKKYPMNVGIVGKVAATLRTMNIPDVSRCPFFDPEIDQQCSSQPRNCIAFPMIDSSCSLIGVIILYNKENGFSRHDEKYIKRFSYFVSNAIAHAILAKQIEEVQTRIHMVEEFKIQGEDAVIEEVDIMRLVNDPLRDWRYFSQNFADFSFPPRSVGENHFHRASMMFFEDLGFSMQYKLNKRKLSYLVLRVSAGYRPVPYHNWSHAFAVTHFCWLTLRTDAIRRALSDMERLSLLIACLCHDIDHRGTTNSFQMQSLQKTPLSVLYSVEGSVLERHHFAQTIKLLQQQECSILENLPAADFRTIVNTIREVILATDISAHLKKQERIKTMISEGYNPMSLDHRYLLMCLVMTASDLSDQAKNFYNAKRIAENIYLEFFAQGDLELQLGVKPLEMMDRTNAYVPTVQIDFLFKIGVPVFQLLASVVPEGRTTAEAIEANHMCWVALDEEVRNNPLATNALEYLRDDSLERRVSFRNEEIDLIYLILQIYDKVRKQDPRAAEIASKRFEPVYANGTVPQTQDILDHRFDGYDKKYQIGCSQNQSNGKQQQIRSLQKTKSKTSEDVALLKPMDNGSTSSPRRGSRTPRRLWRRARALISSMSSSCASCSPLPSRRQVSEDSESG >CRE12159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:166470:170475:1 gene:WBGene00055226 transcript:CRE12159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12159 MTDHFLGIDLSTQQIKGVIIDKDGKAVHSDFINFSSHEKLKTFGTENGVHRKGSVITSPVFMWIEAIDLLFEKLKIDGWTENLRGVSGCAQQHGTVYWKKGAERILENLDSEKSLIDQLKTCFSIENSPVWMDSSTEKQCQQLENYVGGDKRMAEITGSRAHHRFSGAQIMKIVNEEKDAWMETERVSLISSFFASLLIGKYAPIDLTDGSGMNLMNIETEKWEKSLLDFIFPGLENKLGELVSPMTSIGTVHSYWTYRFGIPKDCMILPFLGDNPSSLAGLSLLPTDIGISLGTSDTVFFFSPTFKPNTDAHVFSHFAPNSGYMAMVCFKNGSLTRERARKMNGSSWEKWNEIMKKTPVGNDGFIGFFFDEDEIVPRKLKGDYTFEIDEKELKEKTPEKFARAVFESQCFFKLLYTQKMGFKKSQSSRILVTGGASRNTVLLQMLSDVFEMSVYTIQVDGSAALGGAMRARYLHSKTSLTYSEYYPCDNVSLACNPIPENVETYRTLFSTTFKNRFDAFITE >CRE12203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:170804:172396:-1 gene:WBGene00055227 transcript:CRE12203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skat-1 MSEEEGRERAVTAEGDAESMNDGRALVQTPARSGDVITPTRAVLTLSKSMFNAGCFSLPYAWKLGGLWVSFVMSFVIAGLNWYGNHILVRASQHLAKKSDRSALDYGHFAKKVCDYSDIRFLRNNSKAVMYFVNVTILFYQLGMCSVAILFISDNLVNLVGDHLGGTRHQQMIMMATVSLFFILLTNMFTEMRIVSFFALVSSVFFVIGAAVIMQFTIQQPNQWDKLPASTNFSGTITMIGMSMYAFEGQTMILPIENKLDNPAAFLAPFGVLSTTMMICTAFMTALGFFGYTGFGDAIAPTITTNVPKEGLYSTVNVFLMLQSLLGNSIAMYVVYDMFFNGFRRKFGARFPNVPKWLSDKGFRVFWVLVTYLMAVLIPRLEIMIPLVGVTSGTLCALIFPPFFEMITFWTDWKVLLTHRQRMTKIFINCVVMAIGFFAIIAGVYTNISAIINSFSQPEP >CRE12204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:172621:175264:-1 gene:WBGene00055228 transcript:CRE12204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12204 description:N-acetylglucosamine-6-phosphate deacetylase [Source:UniProtKB/TrEMBL;Acc:E3N090] MQPRRIKFDSEVLDEQFAGNQLFQFVNCSVLREDGLKKEHIWIRNGRILDERTVFFEEKRMADVQVDCSGLILAPGFIDVQLNGGFGIDFSTYNSDDDEYKSGLRAVAKQLLAHGVTSFAPTVITSSPETYHKVLPLLERTYAWSEGAGILGAHLEGPFISADKRGCHPEQLVITSFGSNPAETIENIYGSTKNIAIVTMAPELEGAQEAIKYLVASGTTVSVGHSSAKLGPGEMAVTSGAKMITHLFNAMQSYHHRDPGLIGLLTSSKVTPDHPLYYGIISDGIHTHDSALRIAYHTNPDGLILVTDAIAALGMPDGVHKLGTQTIHVKGFEAKLDGTNTTAGSVASMPYCIRHLIKATGCSIEYALQSATHKPATLLGITSEKGTLAVGSIADFVLIDENVDVKATFCSGSRVFLNKD >CRE12205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:175575:178143:-1 gene:WBGene00055229 transcript:CRE12205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-6.2 MDILSSQNVLLSFSIAASIVAWGWTWWKNQREVEEIRLSSRPNPIIFHIIRSPGTPRTRAAFKKRGCSFSSQTAKSSEAEVKRCEDLILTYSKQLAKEKDITGIRTLVESIRSFYDLIGKARASKLIRDIVEQALTIEQGKQEKDEKIDLLKNCIEWATSNKREFLRRSLQSRLVRLYNDVREFPQAQKLGQELSKELKKLEDRELLIEVSVEESKSAFNLNNLSKVSSITITAKTALLTAKTSANSAIASPQLQAAVDLQSGVLYSAEERDYKTSYSYFYEAFEGFSNIGDKTNATGALKYMILCKIMLNETEQLPSLLATKEFLPYHSNLRIIAIRAMADAFRKRSLKDFMKALEEHKKELVEDKVVAVHSQNLERNMLEKEISRVIEPYSEIELSYIARVIGMTVPPIEKAIARMILDKKLLGSIDQHGDTVLIYPKAGATKQFTQALSTISKLTKTVDVSYSRTKAIK >CRE12160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:178182:180120:1 gene:WBGene00055230 transcript:CRE12160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zif-1 description:CRE-ZIF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N092] MNYLNFQGRFKKKMSDSSSSRVETCTPSTSIIIAPEEESTTTTADDPLKFCVNEIPWKLVPQTCDGFTEFEFLDQMEGFSRLSDVESFIWKWSPCGRYGIDLPGGRENINHQLKDRMAIFDLHTNSWTFYTMDVGFEIPRVCFLYWARNDIIGTVTLVVISSQFNYFPNFQFQKDDAEPRPTDDIVLKFRQTFWHVSHETQKLTYCGQCKYRTNKTILASYWFHIFENRRGEPFIDHNNQLWLIFSNGSDSLILLPYLPSATCFDVKYVSFDMNSIVSQRLGTRVYLDPFMSSHYVPHVYRNEINFFIRVDTFSFVEEEDRDYGQDPQVDDNETKVFRGVFHMRINLKDVIERVENNMKDVEDPEEVDIRFTNNDVEVWKKIYEDFSHSQMCISQHGKMVVLQKWGLFTIDTLLTKRLSTRVANLDARTYCCSEEPRGTAFCTIDLDSEQIKKFDVFILGTDVIQPHPSGTVYMFRYKELFGMSYCELPYFEPITLQLKCRQILNKCVHIDPAYSQTMCCLKYEEEDYLHDFRNMKIEEQ >CRE12206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:180632:181607:-1 gene:WBGene00055231 transcript:CRE12206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-6.1 description:CRE-RAB-6.1 protein [Source:UniProtKB/TrEMBL;Acc:E3N093] MADFTNNALKKFKLVFLGEQSVGKTSIITRFMYDSFDNTYQATIGIDFLSKTMYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDITNANSFHQTTKWVDDVRNERGCDVIIVLVGNKTDLADKRQVSTEDGEKKARDLNVMFIETSAKAGYNVKQLFRKIATALPGIVQEETPEQPNIVIMNPPKDSEETEGRQCPC >CRE12207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:182830:186042:-1 gene:WBGene00055232 transcript:CRE12207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-25 description:CRE-GRL-25 protein [Source:UniProtKB/TrEMBL;Acc:E3N094] MATYLRLVVLLLLTIHVSSFLFPSAGGGGGGGGCGGGCGGGCGGGGGGCGGGGGGCGGGGGCAPPPPPPVPCGGGGGCGGGGGGCGGGGGCGGGGGGCGGGGGGCGGGGGGGCGGGGGGCGGGGGGCGGGGGGGCGGGGGGGCGGGSSGGCGGGSSGGCGGGGGGGCGGGGSSGGCGGGSSGGCGGGGGGGGGGCGGGGSSGGGGYAVAPSGGGGGCGGGGGGGSSGGGYAVAPAPSYASSGGGGYATSGGGGSSGGYATGGQSGGGYSSGGSSGGGYSTGGGGGYAGGGGGGGGGSSGGYAGSSGGGGYSAPAAPPPPPPPPPPAPAPAPAPSGGGGYSEQASGGSSYPSRFRFSRFFNKSSGGSSYSGGGSSSSGGSSYSSGGDTASASSYSGSGGDTSSSSAGGGGYSSGGGGETASSGGGYSSGGETSSGGDTSSSSSGGYSSGGDTSSSAGESTSGGDSSSSGGYSGGSDSSSSSSSSSGGYSSGGGGDAGASTGGESSSSGGYSGSSSSGGESAGGYSGGSSEPAPAPEAAPAPEPAPEAAPEAAPAPEAAPAPSGGYSGGGSETAAAAPSGGDYSSSSGGAEAASAAPSGGYSGGGSESAAPAAPEAAPAAGGYSGGGGDAGAATGGSNYSGGGEPAPVPPPPPPPAPEPAQTYSGAGGDAGAAAPAPSGGDASAGGYNGGAAGGGAPNGANYDEAQEDVEEYEGGATGFRNRRFSKGGTADDTPICNSVRLRKLIRQSLTEDPESSMQRLAQRVKSRLVSGEFYVACGEQGLSPIAGENREHCFIKTDTFACYVLRKA >CRE12161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:188045:188969:1 gene:WBGene00055234 transcript:CRE12161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12161 MLRLVMFSVLLIFLKIYSVDSVAEDCATSKRETRCSSIFEDLQNCYNATFKPMLGDCLVTCSACESYKCSNPQPETTLNCSALTSQCDSATFSEFMKEKCPATCGKCNRKNANLCSDKSKSEVCATMATFCNTIDYYDLLSEECPSTCNRCPHNGTNPNGNGGGNGGDGNQACTDVANDCSTNLARCSDAQYAPLMHRLCPKTCNSCKNCEDFHKMCSTWVSHGFCTKYSQAAVMKTCPKSCDLCK >CRE12208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:189116:189987:-1 gene:WBGene00055235 transcript:CRE12208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12208 MSPPTHTEETYSTNSVNLESQLNALNINLNLKNLQETVKLKEEELQKHQRFLRMAKVTSLRSKDPNTQVGCVIVDKDNCIVSVGYNGFPIGVDDDVFRWDKEDPEDNKHLYVVHAEMNAIINKRCATLHDCIVYVTLFPCNKCAQMLIQSRVKKVYYLEDRDELAFRASKKMLDHAKLPYEQVEMPRGKYVFEC >CRE12162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:190464:193549:1 gene:WBGene00055236 transcript:CRE12162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12162 MTTVEEDLLLSEPATTEFDCSAILDEDILLGAGDVSSRKETLESIDDSSLLLEDSVEHASEQDPEQVPDDHDNEKISVFEVECDQLRHPVGLPRTSPTNNDNEDVESDKEELDYDEEEEDDDGRRERTSRYTSEKKGGSRLTSEEGDENNQSGGKSKRNNGIPSLFDKTITNNPLKSGDQPIGVVLRIEGEAERIFYPPPSFMANEMQQPIVPRLPNGIPLIAMPANHGRAGFGAGYQQQARMAGGGGMSVMPGQWDNEVAKFLSGAPVSSSHRARSGKKRRSSSYSSASSYSSDSRSRSRSSSRGRRRDDKRRRRNDDRREVKNYGRRDDRRDDRRDDRDRRRHDDRRSKDRSRERDSYHSERDRDSRRRKEQSRNATIESAKALGLSTDYMDQVNEQKRKREEIVRKKEERRHGSDKKENSSAPIASISASTSTSGGKDKMKAYLAVNVCGVQQLPTAVRKIEALAVDLGPIKKCWRSAEDVVSIIFNAHDKAKDFMIKYNGKVLSGLRITVSLEKKFLNLSEVN >CRE12209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:193761:201658:-1 gene:WBGene00055237 transcript:CRE12209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-seb-2 MNSSSLPPGSVTASPAPPVIVDDFQMSCRFSNYGDHPPEAFDSLTCGTCFFYIFMLLDHYKNRYVTDLCEDNSGILICPIDMNMDFCTCDNRSLVYNLPEFALNSSRITPLNRLDLDPPLPFQFELIVKDCCSAARYCCRNTLVKYHHRHDDSPCPATWDGWNCFDSSDEGLVVKQCPNYIYGGTNVKTDYDRHSKKLCQSRGWDLPEKTGGMKEYTDYAGCMQNDDAEARLLAGMLTYSASVIFLVPAVFLLNWLRPIRYQPMFILHRHLLISCLLYGVFYLSTATLFVVSFAPLSSQVYQNHIFCRLLFSIQLRYLRLTNFSWMLAEAVYLFRLLHTAQHSEGETMRSYKIICWGLPGAITFVYFVVRGLNDDVGMCWIENSTVAWVEWMIFAPSLLAMGVNLLLLGLVVIILVKKLRCNPHLERIQYRKAVRGAFMLIPVFGVQQLLTIYRFSNTYYQVTDQSLNGLQGFFVSFIVCYTNRSVIECLQKWWNSHQEKSALGAECRQRMSIQESGKLILKSPPLTEHVQL >CRE12210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:209661:211279:-1 gene:WBGene00055238 transcript:CRE12210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12210 MESDEPPRALHFPRVAVKVDSRQKESINFMFGETPILIKCVNLSPSRGRLNPLVDYMMRNGEIGDLQVKVEKPNKSLGKIMETILEKSEKSDLSNWKPFFDTNWNDLTEKLKKKCIELMHFKTRLRLRKTSKTERLLVDSLKLDFDFLKIDTNARRCYEECTELSFRDSKFRQEVYLKFSEMSEFHKTAVPLLTYILKIGNIITFACEMNDIWMYEMFSEIRCQQEGNVKFRIKKYLNSTSLELKQTLFVLENCENQLKTIVIDPKEYEEEELQRFVCLSTVANAQNVNIGHVSFTATAIGLIEKWIENDVAIGTKFEFDAVRQETIDEFVTNFEERVISRNKYDDMTIRMDSLDRVICMKCGPFYYTSLTFIVIPSDPLESALLFDKNLYSSMDSDDSSYEESVEFVKYRFDESEDDDEIYHEPLAVTLLKN >CRE12211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:211696:213096:-1 gene:WBGene00055239 transcript:CRE12211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-15 MPTTQINIVLAESRCMAGEFFNAKVLLDSSDPDTVVHSFTAEIKGIGRTGWVNIHTDKIFETEKTYIDTQVQLCDSGTCLPVGKHQFPVQIRIPPNCPSSYESQFGSIRYQMKVDLVANTEQASCSEVFPLVILTRSFFDDVPLNVMSPIDFKDEVDFTCCTLPFGCVSLNMSLTRTAFRIGESIEAVVTINNRTRKGLKEVSLQLVMKTQFEARSRYEHVNEKKLAEQLIEMVPLGAVRSRCRMEFEKCLLRIPDAAPPTQNYNRGGGECSIIAIHYVLKLTALPGIECEIPLIVTSCGYMDPHKQAAFQHHLNRSKAKTSSSQQQQPRKTRNIVEENPYFR >CRE12163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:222284:222715:1 gene:WBGene00055240 transcript:CRE12163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12163 MSELRTITIDEVAQHSDEESCWIIIHGKVYDVTKFLEEHPGGAEVITQMAGLDSTAEFDDVGHSKDAMEMAKEYLIGQLPEDEVAEVKALVPPTPAQPVAKPSAMKEFLTSSTFANIWIPTTMGIGIYVFYKCVLKSQAVSVY >CRE12164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:223680:224551:1 gene:WBGene00055241 transcript:CRE12164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-1 description:CRE-GLB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N0A3] MSMTREEIRDLCVKSLEEKMVGTEEKNVDNGNGFYRYFFTNFPDLRVYFKGAEKYTAEDVKKSERFDKQGQRILLACHLIANVYTNEEVFKAYVRETINRHRIYKMDPALWMAFWTVFTGYLGSTGSLTDQQKAAWMELGKEFNAECQEHLKNSNLPYVH >CRE12213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:224827:226574:-1 gene:WBGene00055242 transcript:CRE12213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12213 MGAVHPTFPLIKLPVVPRTLVFSLFESGDLFDISLCSKRMTRIIKETRTLANSHYLLFRTCQSLIQVKFIKSRRMIWFDFTSGVPSDEMKEERTVGACHFKHVQKISQKDVEIDQFNIFHLDVQKGMAELSKHLVYIFPGPIQTEFSVIFSQKFGELFAYEHMQQLETLRIVGGIMLKNLLEQVFGNLKIQKKLVVEPDTDDEYVIEQAFQIDELFLANSRSWTQDHLLRMECRIAHLYDHFFGYDEIRSFAENWLLSPNLKTERVRFGWRNRSTVLEFDDLRTKKWDRTQRERKYLYYEKNELHRVDCTNGLDIQRHDGELATLVYWGRSIYFLVWNERFPEKKRLSQLPEKLASHYKKLEELNREYTDSSSLERLLSNSSLRYDEFVDTYKVLRGMDAEVRLSSVGRSLRRRVFDQMYEIIDYQDYLEIG >CRE12214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:227184:228636:-1 gene:WBGene00055243 transcript:CRE12214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12214 MTEEKVEEKFKPFHLVLLPVLAREKALKFLDPIDLFEISLCSKRMTSYVRALRIQARHHSLILAAGQFSVSVHFQRKRPLFWDFNSFFSRENMTDTRTIGGIKFDSCERSIRNTLSIDEFYCEYPEKEIGVTTVSKHFQTIFHGPLDIVVAPYFHEKYHILFSEFKKCQELEICGTPVPSLEAMHKIFGEMKVTNKLVLRPETVDEYIIETALDVEELNLRSATWMKREHLLRLNCKSVQIFRTNFTSEDLEAFAENWMRNKKSVIERIRFDWNSGRVFRFHMLNAESWDSKKREMNYMYENDRGVLVRIDCSEGFDMERDDGLIGTFVLETVDNTQYLYFLVWRERFPERKRIEELPAKLAPFYKQLVTINKNHPDATSFERLLSNPDLTPTEFMETYRILRNMDAENTGDSLGKQSRRYVFNQMKETIVA >CRE12165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:229753:232705:1 gene:WBGene00055244 transcript:CRE12165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12165 MRLLTYSLASVLVLAVLVEASTRNGRSCRPKSGGSSDGSGSGDDQNGEKGSGQLAGDGSFGDDLVNGGGGRNDRNRNGRNNERKSGKGGAADDEDDEERQRAIYEAGEQKKTRRDGSNGNNNGNLGANGRGIRKDGNGGKQQDSEGVISGGDDEVNGGNGSSNGSSRNGNLGTNGKGTRKGGNGGQYQDGEGSDSSDGESGTEGSNSGYDRKVNSGGRVDYDGEEFEEVEEDQSSTGGRRRNGNGGSSRRNNSSGKSESNTYSEENSTSSSTSSQTSSRTSRRGGRKGGRNGTDGEDGEDASGSTNGKGGRNGEDGKSFLDETGEDQVGEDRSRSSGGKSGGNDGSYSRKTGEEDEETLSSASRSNGNNRGRGGNGGRGGRRRNEEEEDSSSTSSNGRNNGSRGRSGRRGGSRRDDEETETIEKERTSSSSTNSRTRGRNNGGRGAPQNADTSFEDTGSARYVDESGNTRHAGGTGSNSRGRHGEENSRDSSSSRASRFGTKSNGNGSRRNGQVGNDDENDGDVVDSKGRRILTTTFGGNGSGRRGSVRGRNQGRRGEEDEESSSSRRRQQTREEDEDDEGSSGRRRQSSRDGSSTRSRFDKEERSGRFNQRGSNGRRRGGQDEDEENNERGSVQFGRDANGRMVKTENKKSSTHDEDFDQSGHKKKKHRISDNGSEEEEFSSSFSTSSRKASSSSSSSSRTTWDEGFDNDDDTRYV >CRE12166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:233268:236843:1 gene:WBGene00055245 transcript:CRE12166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12166 MISPQGVAVATLLILGVVHGNLIDDMKAKFSKQSSGFGSFGSFGSFGKIGAKSSGADPFDFPKLDASKASMVHRSNSHIGTRKSSGSSSNTHSMTVVGADGKNISSSDSTKEGYDKEKKVDEASVNDLVRSADGSVIEKGSSHNKSSDDDNSYKMANSNFLSSDKSGKVESKKTNNINNQTRSAALDEAKEFYHQNNADGTFERNDTGHKNTDEHLSHNVLDENEQMQLNADGTSHNITNRKGSVGDSHKSDQHAFSDAESKDAAGNVKTSNYSKKASSEKGNNADFESNLESAKNADGTTFSNSTGNFNNTSYDKGMSEELIAKKLVNADGTSSYEASHAGGNSSKINSSSGQHAALDIVGLNGTFTHTANNKTDDYALDEANQSAGSVSEQIGKNGQRSLNESSIESGRKAESRNNTAVELMDTKEADGSRSSSRSNSSSGLSTDENHNLTHALQASVDELGNVKNHSVEGFYRNKKTGEYGNSEKATDQLNADGTETHANIKEDKNRNTYEAEKMAMENTNEKNIDGTFIDESKGSNSRVNRTDGGSRLSVGNFNKGLNGVMSNETLNSGVSYNTSDAESDQFNHLHQKTANGTEVNHKKDSRQVDASANMNKFSKSSKESKDANGTSTSEQHNENADSHDSVKGSSDVDVKEVKHADGSHSLASDSSNQTAAEHNDSEVKNDHSLVQNADGTFSEASSDSANKHANKDASDARVNQFEHQRADGSSQLIVKSSLVDSHSNDTDAVANSKIHSKSADGTEINDVQHKNASTAELKEQQLASDNRRLLMADGSEVLNKDESHVDHSKSRNDAATSHIRDQKNADGSSVNLDVGSNTHADLETRGEGANKRRYQNFGNGTESEMTADYEKTMAKGGDKSVSHDNSLSSDGKGHFTESKNASDSHHVIDEKDAVKHNDVIIM >CRE12216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:240437:242335:-1 gene:WBGene00055246 transcript:CRE12216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12216 MMQDANPSYWHLEEVKKMYAIDQIDLARTLMSLRGISLTRSLAAPRGITSTLTRLKRGIATHEPITSSINNRLRYPQQSEIYVEESKKVQSFINSIGFMKVLQEAKDVYEASIKELQENPDYKAQHVLKQLGTPPKRTESKDNTLDNVKKQRINLNRFDLLDFVNKATDQAIMVIPKTEIPCYEEVECKFCGSFCHVMEKCPTMLQKRFKTATQNRICMRCLEEGHTKEYCPSDTVCEECTGEHNTSFCTQERELAKIIGYVKVEWRNPCWYCHKQHMTMDCPWSHQSRRILAGIEKACQMCLSRSHLTENCKSFKTCTFCQGYHNILHCHAMEMEKRVKQSIEDSWRYPNQLKEVEEYRQQVRTQEMVELMQHIRTIQTPAMVEPCRYCNSAEHAAIYCKEEINVKVLAVFVKNLCENCLSDQHHAETCTNTKVCIICHGEHVHSSCEHRKDPGMIKPGQLKLLFTKDTSENTDKLKIHPEEGKEQELQVEEIPEEVDIEKLSLDEQNTPKVARNERIKILSNESTISEEPEEGGSTTRTDQNQFDRSPNITINSPTSKSSGIPTALEYPETSQQDQEKQSYYSETKSQGIVGQSTQSRSTVPNKVLQKRSQQMSGHTNNPGSPGQCNTQA >CRE12217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:243130:245046:-1 gene:WBGene00055247 transcript:CRE12217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12217 MLPIHNIPQDQGRRQVNDDRIPQLDEGPPIIIPPLPIPDIVIVALRHGIYPPHEEWVGELLRRSPYLNTPIVRRFLHGSLRIYMPRAQAREPNEGRCATCGSNWWGMPTIPHSTPQCPVPQQYRLVFQATNSRALCFGCRGQSEAHNWCRNANEYCRQCREAGRGERRHHPISGICHLSDDEITERFRTYRIEYYRRVKEESEQEPFRIRFPNDEPLPEAPPAYNTYLGQKAMVVNAEATGGVEYAPASEYAGLVQISQREERERVDQTLLRLQKDFYKDPLTWAGATEEVRIQIEAHRDAIRRHDRRRLRIAERQRNQGNQQQEAAPEEGQQNAQQVPQHQGIPDQQAEAARGERQQEAPVAPQPQEPNGELHQVQELVPQHQMDHQDEIANRAVLQALQEETPASERQYVEFMLTTTMRTGALENLRIQIPPRVAANPEQQQARRQQGNPPEEINANWWITRAGKVRELSLVRLPMIKKLVINSGNLVTFRRPTERRAQDQDHQFQVNMAVLRTVINDVAMNVDVDTQAAIPMLQRALSGSRNLPEVFERQENGNRGWMDDYYELLLSIGCVVVLSGRPVQTQMTGVHTEFIRAYGWRIPYVIPDVEFYLDTPQQHRLTFYKLLTVSFAQQLDDDE >CRE12218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:245960:247108:-1 gene:WBGene00055248 transcript:CRE12218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12218 MSKITETSDPTESTPQLPQPLSSTTSSTHSPSKPTAGITPDFYAELQKAVEETARKSESMRQQLLDEQQEGQRRMNRRLEELETQLEQAPQQNISEDMLKQLEKEAEKLVEETKEEVKRKMMSLDRISEELRLRRAKIQLKKEKLRKQLEAASARRKKIGTSEEAIKEAVSQQLKKSKDSIEARCSYPQADTGDVSNTVNSVVIPPVIPRSINFTTNKESFDSSWRYPTDTWKVPRRSPAPPSYVHKIPSSSLRKTATSQIARVSVRSRDRRGSHDKPATLNKFTIAKSYQKNSFTQASGDGGTATTSTSWRRMQGDEQGGPAAKRRREDEANQKPSTSGSQQNLYGYGGGRTGESRQRPRNQVTRASYLPASELFPQRQRK >CRE12167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:263281:264530:1 gene:WBGene00055249 transcript:CRE12167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12167 MFVLCRTCGESQNISSPCTHTKVSERYLTGVWCTDELNYAISKGYKVLRYHEIWHWDRWVAGGFFADYIKPLLKMKHESSGWPRPDMTDDEKDAYIKKIWDMDGVQLDPTKIKVNKALRSLAKLFLNSAWGKFAQNPDKVETKLIRLADAVGMTKFLNDPKYEPVNMIPFGTKKYFLSRRPKKEALLPGGFTNLAIAAQTTSAARLRLTQAMEKAGIENMIYCDTDSVIYKENVGENKLESMRGEQLGFLTDEIPAGRKLKEVVVMAPKMYALRMEDEQGASTYSVKAKGVSLTSKNSEAISFNTMKETMNDFISEGISEPLVAKMMTFKRGDNALDGLWTCVTDKRVNPKMDKGHYDIHGVVTPFGQLPTNTLLIDDYPFYDQ >CRE12219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:264580:265650:-1 gene:WBGene00055250 transcript:CRE12219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12219 MTSTRVKAAIKDAYTNPKNPSAFTSVANIHKFLKPKFKSLTYEQVEKVLEDLESFTLHRPTRKRFPRLKTLASGLFTDLQADLVDMSKYKKTNNNTTFLLTVIDIYSRRLYVRPLKNKGGVEVSKALSEIFKEIGTSPMSVYTDEGKEFYNTNVKTLFKETGVSLISTKSELKCAVIERANRTLKTRLAKYMTQKYGYKYIDVLQKIVKGINNTLNRGIGKKPVDVKRGDFMVPLPEDVEKRTKFQVGDHVRISAKRQIFDKGYDQGWTTEVFIVNKVLYRKPIVYNLLDTNGEEIEGIFYGRELTKCTYGRDDLYRIEKVLDTRIHKGKKQSRVKWSGYPDSFSSWVDSDSLVNL >CRE12220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:265692:267035:-1 gene:WBGene00055251 transcript:CRE12220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12220 MKLVRKYHMIPYEEGAPLESGRRFLEKILNDKSLDEVAKCRFFQDILYKIKHNMHLAIVNSDMFDIVRENFQRHTKTPKPTPYSSPKYKPNNDDYPNDDDDDDLDKLMWDSYGMPEYSPPESYHPPSRHSSPLPPPPPPPPPPGPPPVLAPAPRGERVGGKMPKPGSHHRGVSEFQNIVRLAAERFVDRRNRQNIPMPPPPPPLPPRPIVPREEKTSGKMVKQPVRERGVSEFQNYVKLASARMAEKRERRMRLPDAPKMEVEEEIKEELPSKPGTHINRRDSKAETHQKHKREKSVAAERKQVNKIIAENTRGVPKVLQTSGSSNLGVKLEPHIKQERNIKQEPNIKQERNIKQERNIKQEPIKKEIKQEIKKEIKKEIKQEIKQEPVKRKIKTEIKQEPPERKIKTENKPGFRVKEEPSSGFRRRTKGEGIAPKGSRIYCRLWKM >CRE12221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:267378:268052:-1 gene:WBGene00055252 transcript:CRE12221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12221 MPLSSGSPPLQTKHTPGFRFRFPSQTTIIGATQSGKTTLLKKIIENCSTSFDTPITNIFWFCGVKTPGIPTNVPNLRVYEGLPDVELLKEHKDQTNVVVCDDLMTEFARSKDSLNLLNTLFTVYAHHYNCAVFNLVQSAFALPPVTRNNSTYIILMRSLSDAAQIKNLLVQQFGDRWRGAYQAYEDVMSKPYQAMMINNDPHSPPSMRILSNFIDEYPVAYETV >CRE23107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:29173:30533:1 gene:WBGene00055253 transcript:CRE23107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23107 MTDFYVTLPSNVPNAPFHNTTSRYVTRLPEVLQLQRDEWVVALTDLVYPHSFVNVGRPLHYWIHFKGGRQPIRVTFPAADYSNLEGVISALNNQVHTRMKRSAVDNVIEENLKKAKREMPKEEKESILAILNEKEKMKSAQKTPVIQSTEAVKQSPVPTPTSVPTPPVPPPKKIEEEKKTTPAPKKIEDKKIDEKKVELKEEKQTEQKKIEPKQEKKVEEKKTEQKEEKKVDEKKPDVIKKPPLKKPEEPKPVIALNPEMKQSMAEYAAIANNDLNRPNDATTYRNMMEEFELQDGKVKINFLNPAEVLFVEFDKACGYFLGFEDTIVRESSVAPHKVDFFGDVSVIYLYSDLVDPIIVGNRKSNLLSVIPCTGKYGSIIYYTVPNPRYVPLINSNIDSIRIELLTDGGDPILFSWGTTIAVLHFKKIK >CRE23125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:30826:31554:-1 gene:WBGene00055254 transcript:CRE23125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23125 MSLALYCRECALNFESLPEKEKHNEDVHYGFAQPYPEISEKEFELISSWNTHKLVHHCPVCFRHFRVINHLIEHLVTSHPIRCLNNPLAQTSKEVVENYWKLLDHVLPGERANSMRLWKADTVSKKCPYCPTYNPALRLTYNHIRCYHHRRGNNIPLPAYEKYLRWKDHVENLYPGQLKKMDEEFIYGHGILDQPQEEDFDAIFLESFPF >CRE12169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:271549:272889:1 gene:WBGene00055255 transcript:CRE12169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12169 MLSRIDPKSQDFITEDLDFSILPATQCGIINSRYTYIPLKNQLSENGPWELNLTNNSRSYLNPKKTYIVFTFQITDKDGNHVQMEPLTSGIQNPLLYGPINNIAHSIIQNYALHINGQMIFHNSTNYAYQAYMESVLMYGEEIKNSTLTAAGFYHDPKIGQADSPGFLKRCDTVFNNGTVQVACNISIDLMKQSKVLMNGCNVKLILYPNKSEFLIEGYNLGAHELKFKVVDVHAIVNEFDLAEGLSNEMEASLLEHKMIQYPLISGQVRSFYIEANRMDAPANTVFTSKLPRRIFVGLVSAESYNGSYNTSPFDFKHFDLSDIHIDFCGQSVPARPFNLDFENGKFIEPYIQLQESLGHARTNFTSNSISTDMFRNHGYTIFGFELSPIAQDHNLFELVQQTNVAVRLKFSKKTPEGGLYAIIYGEFDNVMNMSWDRQVTIPTVI >CRE12172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:274498:275767:1 gene:WBGene00055256 transcript:CRE12172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12172 MSPSSFKPTPMIPPPMTAAKRQGMVLGLLNNKLTRGAIYSPGAIADLLHFGGRYGFDRVIGGKIMNLIRTHSQRLNIEEPMYNTMEAVLLHDSDRAYHALRGEAQKVDGQIIYDELNYIDHHITCDFAEIMDIMRNEMRVASSWPLYLDTEGSYSELLNGSKLALITLFDVDSRTVYLFRVHRMSYDQLQTIQRELKIVANNRRIVSFGPETTIKCPTSNIQRHPRLSLQAAADQIRVPISKSETMSNWCGAQLRDDQIQYAAMDAIVLHNINIGTTLDWSYSPPRPSRPDISPRFFDPVAPTPTQMHKIAEIRFEMMEVVDWIWDVTIIDILEATNTQLGLATGERSWEIEVSKQVHILEDVKEELGDQRKSREKIGWTIEALRGVLEHS >CRE12225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:282509:284509:-1 gene:WBGene00055257 transcript:CRE12225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12225 MLCFQRGGDFQHLMDSIDSGGTNPAVIDVEVVYKCTSCPTVYYNESAFRNHYNEKHSKKSVCLECDVRIWPETAKGHLIKKHSKAKVETCNCCASTFASHQDLSSHQKSIKKNGWNMEVVPLIVSQFTKKANITKIKPQLYIRVEKELPPKLILPMDDNKIAPLQIAIPTEKHTPLGFSDLLIPTVTLHKPVPSKPTVINNHYNPLLAALLAHSNQAAGIPIFPPSMPLFPRTAPPIWNNSNIPIHANKNDNVIQPTLGRSAFSPVPSRLIPQGHPGMMFPFLSSFRPGVLVRIFLVFFSLFLTFQPSFPTPLPPFMLPQTPVMHHVLPSQMNCNNEDMDICLLCEKYLLFGETAERHLILYHKAVAPARCPCCSWTFRHGDYAINHNRMMLKKNGYNFTPLVINKYPPGHFVRNKKHFPQDFMKFLTELQDNAKTKVSETIASPLASSSGSEGNSDS >CRE29214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:88532:89296:-1 gene:WBGene00055258 transcript:CRE29214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29214 MDNVTIMNDYPFYEDAIQHPILAPTFRVDEVDGCSVYFGCDEFYDLVILDPTLNPMVTKFGAYPAEGFCDTRTQKWMVDDGSGSGFKAFSQMNGICVDYRPKNCSCGRFDLLSVPVYNAGGLMFDNEAPVLQLTLKDGVYTNCVIQVGCPKEGRKKFFYFKPGTSGDLEGYEIPLYLSGMPGHPDYNYVNVTCMNALYPYQYLVNGTEYHIGQTVCSTGVVTL >CRE29207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:87580:88271:1 gene:WBGene00055259 transcript:CRE29207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29207 MHLKHGVALPPDKQLTYSCLICGMGYIYEKNYKRHLQKHESGELNELGNEKHTCDICGNVYAHKFTLIVHLREKHGESGEIVIKKKKSIWKPYKCQFCQMPFRRYMSLYSHQVREHPETRVSLRINLRSKISKFLKTGGYIKSIITIILAIPAHTSQQSEFYTEKGFEREETEFFDKRYY >CRE29205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:82416:83950:1 gene:WBGene00055260 transcript:CRE29205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29205 MSNNTTLPSKSATDICLTDRQMSLSVNSTEGVLIGTIIPILVLFGISGNILNLTVLLAPNLRTRSNQLLACLAVADIVSLIVILPHSMAHYEMFETALWFRKFYGKYKFQIIGMTNWSIATATWLVFVICLERLIIIKYPLSVRKQAKFFTPRNVVTIIVVTTFILTSYNHVSHACAEKLFCNGTQYHVACIEINSERWFRNEPNPNSELMKSLVRYAPQVNAIFVVLIPVVLVIIFNVMLILTLRQRQKLFEPSKTIRGDSQYGSQAKTEHKVTITVTAIVTCFTITQSPSAFLIFLSFYIHADFVILSAICTILVVLGKALNFVLFCLSSASFRQRLLMQTKQGILRKSTRYTSVAAHV >CRE29204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:58917:62009:1 gene:WBGene00055261 transcript:CRE29204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nac-2 description:CRE-NAC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NFZ3] MICCSEVSDEGMKPNNSPQRLLIRKILVLLGPLVAVPLLFFGPEYRCLFSIIFLSTYWIGEAFPIGVTSLFPLALYPILQIVPSKQISPVYFKDSIVLFMCTLIMAMAVEATGLHRRIALKLLTKVGAKQPVMLLGFMCITSLISFFVSDTACTALMCPTAVALLMSMADAVQHLKEGDKKLKPPPDDATIAEKLRLDEMAPQDSGFCKAMILACAHASLIGGTAIITSTGPNLVFRENINKRYPEGQITMTYLQWMVFAIPPMFFYLIASYLILVCYFMGPSTLVRWFEKPTKEEAHLKKAVEKNIQSMYEDLGDISWGEKSVFTFFVILIGSWISRDPGFTTGWGDLLPHKNYLSDSVSGVLISCLLFVWPKDPFDPIDPTAPILKWTDMKSKFSWSCTLLIGAGYAISEGVDKSGLSQLISCGMRKIFIGMSSIPLQCKCRIPELNHKITKFSVTVTTTIVIMTEFASNVSTGSIFIPIALGVAESMGVHPLYLALPTTVACSFAFMLPISTPPNAIVYDTKVISMIEMIVCGFLLNIACIIITSLNMNTWTYFIFSLNNFPENISISSSNSSYPVC >CRE29203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:53791:56461:1 gene:WBGene00055262 transcript:CRE29203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29203 MHIGAGHHCVRDLLSFFLSFESSSTSFESTPPSSSSLPKDGSNYFQARYESLLNMYHSHFVTSENEKKNYTGNLKAAIDSFPFPLLPLNCFCFCFIILIDCEHFFVQIHFLFCLSFLFRQIDAFCNELLGLSLSLFSKEAFLSIIISFPLLVFNRNFHCRYFFLLKLPNVSQIFVFRFIEMDHFLKLLPKLTPQLRKGDCGKIAVIGGSLEYTGAPYYAASSISRLGADIIHVFCTPDAAPVIKGYSPDLIVHPGMSASSILPKLPRMDAIIIGPGLGRNPSLWPLLQELFQYVKKEEVPFVIDGDGLWFVSEHIEHFPRQMVTTVLTPNIVEFSRLCKSALGEEDVLKIKNNSQIQHLAAELSRKMDVTIYMKGEVDLVVTPNGEVSKCSTDSSLRRCGGQGDVTAGSLGLFLFWAKKNPGNDWTSAHHEAGISSSWLVRTAGRRAFEKHGRSMNTPMLLDEIPKLVRDVETREMKDIVHTDSSKH >CRE29202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:50698:53562:1 gene:WBGene00055263 transcript:CRE29202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ikke-1 description:CRE-IKKE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFZ1] MTSSSSIPSPPKTYPIIRLDGEKYTLFNDKSIGKGAYSEVYEGRTESGRLVAVKTACKKIEIQAIRGEIEILRQLKGAQNIVQYIGSTQTIMAPGSVTQETISFAMEFARSSLEAEMRRPENHKGLAANVLVDLVVDCAMALSALHEHNIAHRDIKHMNILLFPGTPTRGRRSNHLFKLCDMGCSKALLENTSHEMRTLVGTPNLLHPFLAKEMVDPRMDRNQHDWKTKSAYTPEQCDLWSLGCTLYFCATGKFPFEHERNNKKLYHDAVVALTQNERAIAMTPVIKGRDAGRREILYEFEPVTELPSKFTRYPKWLVCTVTCLLRNFFHKPSIDYYSKVANAMRSSKQKTFVSVDQMCIVEHTDMSKVLELGDCLPSISSCLGYPDGTDLLLLSNTSSKFVDSKQRSVDSLPDDVYLVVPKRNEVDLRKILARNIEYHEFDDMTDRKLAEVRAKKCYDGLSMLKETDEYRDLFDRVSTILSTQFTLVRVRVIGENVFVLFFISEQFGKVFFQLVEELSQFERVQTTSRFAVYIDMASVPIMLFDGANQDTKTISEHCIQQARQAREELERHAKVAMNIESMAKQLAKDSRNLQLDDIDLPGMREEIESYFFYDKRTILSTRVYSQQLVEQCYKRRNHIMEQIFKSPDKIQKCKLKQAMDLAASLSQLRFDYQRLQNTISECVDLLEKPFQEMKEVVNRCLQEQGYSRDSMQKSMHFLAPEFRENQARMKKTTRSCKKLINELNQEIEQLGFIRMGDTLVKTEQRMGKDEEKEEEKTLKKSEETQEVSKVFR >CRE29201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:48718:49810:1 gene:WBGene00055264 transcript:CRE29201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29201 MTSDEKNFVYKQVFTGFPLRELQSYCGKKENHFSFPWRVYLYTGQGLVYTQLQCLKFAGNEDWFVDAHVQVYVFGKSGEELASRKVVHFSKSSNDLEIWRSRVNPNISDFLLVDNKLTLEIHVQIKNMSGAKEKLKSFDESMKDFSDIVLVVDNERFYVNKMYLASHSAYFKKLFIDNPVGSVQSEILVTDMNRNDFQNYLELIHGDSAAIDDDTVDGILQLADILDSKVATKNCVDFLLNKSKKLLRHKFELAVDYKLDALKEKCITGMNSFDDIRSVVPKTSKHVEDSVWKELLMKALSMH >CRE29200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:45202:47558:1 gene:WBGene00055265 transcript:CRE29200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29200 MTQLTNFNAPFSNQNSNFHQFYNFDSHQHQPLEGMHYFVREPNGKRPFPTEFELDMEYVPRTKRRFDKISACLENFSISNDKPSPINLESSSDEEMDEVYADPEIEEIPESTTTPLIVEPDDEPAVAKKIRLDGSLQRYLEKCKQDPMTFLPKQEQLKGNEVAVWQPAILVSPKNDFNMAGRIQEIDDDEEERLNEEIKSRIIENEGMVDEDARGNETTGIVELSSGSDHSDIGSSWSSPVPSPTGSSYIVELDDSPNSLTNGSVTDEEMMEFD >CRE29212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:42879:43724:-1 gene:WBGene00055267 transcript:CRE29212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-1 description:CRE-GST-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFY8] MALKLSYFDIRGLAEPIRLLLVDKQVAFEDHRISRDQWAEIKPKMIFGQVPCLLSGDEELVQSGAILRHLARVHGLNGTNETETTFVDMFFEGIRDLHNKYAQMIYGNYENGKDAYIKDTLPGELSRLEKLFRTYKNGEHYVAGDKESYADYVLFEELDIHLILSPTALDGTPVLKKFHERFAERPNIKAYLNKRAAINPPVNGNGKQ >CRE29199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:33840:42710:1 gene:WBGene00055268 transcript:CRE29199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-12 description:CRE-LIN-12 protein [Source:UniProtKB/TrEMBL;Acc:E3NFY7] MRRDLSTGLLLLFSIQYLTALHNGSCLGLVCGHNGNCHEGPKNGTQNTYWCRCNEGFYGVYCERRCDLNCGSSQKCVFNDEIQKILCVCKDCDQNGLSLLKPNCPPGYGGEKCTISGWCYPEKCKNGGRCVGSRTDAKCVCPDGFNGEKCELDVNECEVKQDACGPRSTCMNTNGSYLCVCPQGFLPPDCLTPGNSTVVEFKPTVCFVDISPEHPNVKSMFCQNGGYCEKALSRCHCPPGYRASTCEITLIELRSLYKIVFRTVCEKRKCWERANDGNCDDDCNFSACKFDGGDCSGKRQPFSKCKYGNMCADLFANGQCNQACNNEECLYDGMDCMPAVVRCPAKIREYCAARFANGICDTECNTNGCGFDGGDCDNKTESAPLKDIRITVQMDPTEFQNNGGNSLMEISSALRATVRIQRDLEGPLVFEWDGEKEQKRVKMDMKKLTEQHVLSTSIRKVRSAIGGKGVVMYLEVQENCSHGKCLYKDSQTVVDLISARLAKKGIDSFGVPISEALVSTPRKSGGESSGSLNSFVFLTGGCIAVILLIAGIMKVEEIRNRKRRMITAKTWTPPMENEDKNRRNQSINSSQHSLLDPTAGYYDPKRHRAEFDLASQPHGEYNQFFPQPFINGNGYMTDYGGSVTPMIRLPTTTVHQVPTVKVEEETEVLTKLHEQAAGPDPITEQITKESVNVKDPKYKRNVLHFLAANSTGKQEELIVLEAKQCIAVGGKVNEMDCDENTPLMLAVKARRTQLAIYLLLGGANPSIYNKSERSALHEAVVNRDLRMTEILLTDEQTIKDIEELDRNGMTALMIVACKEGDDRLISMAQLLINHGAKIDSDGAARKDSEIYRGRTALHYAALIGNLPMVKFLVDENANKDKQDEEGRTPIMLAAKEGHRDTVAYLIQRGASVEVVDALDHTARQLARANHHHDVVELFDNVHLQPQPHLDFFNGMDIHHQQIHPQPATSTRKNARPANQKSAKKAAGRTKKEAVSSSRDSTHLTPPPSDGSTSSPSPQHFMTATTHTTPTSLHYMSPEYQFDYSSEAFHPQCSALPNNGMGYTASPMLNEPMMRHAEPAHHYY >CRE29198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:29219:29915:1 gene:WBGene00055269 transcript:CRE29198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29198 MGALRAPLLIILLFLPTVISQFHLFIVISCQSYCHVTFRHHEMEYYSVMEHTAQIARHFEDPVPNVTFQVDNFFNTTDFMPVTTSIEWISHLNKTFYFNRDGVMIIGHYFCPEGRCLIDNTRAEYKDKIEKWSFLLVLLGVIFFLLLSGVADVVYKWTKEKIIGRKDKSQPYNSLELMDMSKKNSVTTSRA >CRE29197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:27597:29020:1 gene:WBGene00055270 transcript:CRE29197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29197 MRWLLHLLPLLLYIDTSVALNRQQKRSDTMFLAMVCQEECEVQIRFLEHRSVNESHSPYEFTMVSKNGSLHETTMDVPEDVNVIEFIYTVPEDENGTSTVESDIWDLNFSETYFHSTGSLQVVGNLPCGKYGCPQNPLCDGGCRFTVIASLAAFCLSILAGLILQTVYVSFLGFRKNRKELELRDTLRLTESAEPSH >CRE29196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:20593:21644:1 gene:WBGene00055271 transcript:CRE29196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-far-1 description:CRE-FAR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFY4] MGPHNTTSFLLFLSSPFLLTLPKLFQPENPESKTLKFPVMIRSLLLIALATVAVLSAPIPELPENYEDIPSEYKSLIPAEVVEHLKSITPEEKVILKDVAKGYKDFKSEDDFLNALKEKSPALHEKASKLHQIVKDKVNALNEEAKAFVKKAIAEGRKIHAQYLAGEKPSLDTLKATAKTHLDAYKALSQDAKDSIATQFPILTGFFKNEKVQGIVNQYIN >CRE29211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:19301:20002:-1 gene:WBGene00055272 transcript:CRE29211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-far-2 description:CRE-FAR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NFY3] MIRTLVLVALATVAVLSAPIPEVPENFDDIPSEYKGLIPAEVSQHLKEITAEEKAALKELALNHKEYKTEEEFKAALKEKSPSLYEKAGKLEALLTAKFEKLDASAQALVKKIIAKGRELHQQYLSGEKPTLDSLKDLAKGYIAEYKALSDDAKATITAEFPILTGFFTNEKVQGLIGQYVN >CRE29194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:10876:13236:1 gene:WBGene00055273 transcript:CRE29194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29194 MFRHVAQNLGSRSTSIQSCRLLRTRWERGYLKDLYHRRQILGADPAISRSSYPNCSVQVRNHHCSADKSTHQWAPIKTGIDNSSEDFAANDAEMKVLVEDLKAKIAKIEQAGGEKAVKLHRSRGKMLARERIDGLVDAGSPFLEFSQLAGYEMYGKEEVPSGGILTGIGIVSGRVCVIVANDATVKGGTYYPITVKKHLRAQEIARENNLPCIYLVDSGGANLPRQADIFADTQHFGRIFYNQATMSSQGIPQLAVVMGSCTAGGAYVPAMSDQAIIVKGTGTVFLGGPPLVKAATGEEISAEELGGADLHCGESGVTDYYAHSDQHALYLARSCIAGLPPVEEQMTFNPNADEPLYPAEEIYGIVGSNLKKTYDVREVIARIVDGSRFHEFKERYGETLVTGFATIYGQRVGILANNGVLFAESAMKGAHFIELCCQRKIPLLFLQNITGFMVSLEYLFNISFNLFEFRYVFMWPNSRISVMGGEQAANVLSTVQKEKKKREGAEWTDQQDLELRKPVEEKFEKEGHPYFASARLWDDGVIDPKDTRKVLGLAFQSTLQKPIPETKFGVFRM >CRE29210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:8763:10277:-1 gene:WBGene00055275 transcript:CRE29210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29210 MAYLRQTTMQEWISYFIGIIISWFEVMTLSIWPAFLLLQGGVFCSYPFIEMVVGRSLTMEWISGTSLCIYLAINRLADMTKNYFLLELFDKPKKVIFVMLLVVLYSLIIACFTDPCLYDSLRRGYSINPNNGMTPSLFSSDSIHIYNSIFLILFFFILTIIIYKLCTEHENCWRGSSELQRKIIMQSLFICLQYVIPCVFNLFITIWNTLESPQCFIEGTHILFQLFACFNGIICLFFNRPIRVRFLEKTGLRKYVVQPNRRGAQSISKSIV >CRE29209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:1026:7153:-1 gene:WBGene00055276 transcript:CRE29209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glp-1 description:CRE-GLP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFX9] MRIFIISAFILLYSKTATSQILSGLCSDGQMCAPHGKCMDGYQKDTYWCRCDNGYGGEFCEKECNLECEDDDEKCGFDESGQHPQCICKDCSTDGRKVCPFGYEGDNCEIQIEHLVNPCLNNPCHEGRCAPFSGGFQCICKNGFGGSYCEIGTDNCKNHLCRPGSTCVSTINDYYCACPPGRAGTFCELTNCTLLGDEICNHGKCIDKFWNDKNFICECDAGYEGEFCTQDRNECLDEGICSHRGTCQNLAGGFVCSCKNGFKGKHCQDTVDMCQEYHCKNGGDCVHLPDRTPVCQCKQGFIGHKCEQACPPGFGGYDCKLPLNRPNCSRFNGTCFNDGRCINGFCKCPPGFVGDRCERNWTSVDPYLSTSCEFNPCMNNGRCVDVGDGYACICPPGFYGPDCDGLLQCAPTTCANGGICSVGKRSLSCSCPLGFSGEYCEVRDGLDCSRKPCLNGGFCEAFDRTKGNSGFCNCPFGYTGTMCQEKLVIEKKKEVLVRDLCKQRNCDARASDGVCNPECNLEECKFDGGDCSGGQQPFSKCRYPSRCADSFANGICNQECNNEGCLYDGLDCQSELYRCPKDIREYCMKKRGDGECDYACSFVGCGFDGGDCYNETGAMILNDIRLVIQIDPIVFQETGGNTLMEISRHLRAAVRIQKDDTGPLVFHWDGEHETERLQMDTKKLSAQHVLSHHVRKYRRAEITGVVLYLEVEEICQPRSTCRFSTAQSVVNLIAAGLVKSDGRQSLGFPITEAMVATPRRNTDVGEGMSRNQILLVVVIAFFALGTVVAGVMVKAGEPERSRKRKIIHAPVWTPPMETPIDKQTPHNSSIYSSQVSLLNDSNMYLNAPKRVRREFYLPGPEEQYQEIYPRTLANGVVGEYAAGGAAHRVINMPQEPVMPEALPAEKILLHVQAAGSYSLTEAITRESVKQVDTKYGRQVLHWLAGNTNGKAEDLVTSESVSCLEAGADVNALDNEENTPLMLAVRARRVRLAVILMRHGANPTIFNKSERSCLHEACVNRDFRMVTILLTDARMLKEIDELDRNGMTALMLIAGSYGPQQVEMAKLLLVKGAKIDADGVTRKDSDKYHGRTALHYAALCDNIEMVEFLVMKNSNKDKQDEAGQTPLMLAAKEGHELTVRFLVGHGASVTMADVLDKTALQYAREGYHHEVEEFLLTWIRTERERKEAPQKPTNPEKCVNQKTGRQTMKAVKRAGSRKSPTASTPPSRDPNHLTPPPSDGSFSSPSPHYFHTTASTPTAMESSPEYVYTQDVTNSNWYPTPSSYHDLSQNMPPSSSSSGGDPLNGSFYC >CRE03050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:607802:609949:-1 gene:WBGene00055277 transcript:CRE03050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03050 MADYYGLFASEARSVSDGESDGERRLRRSRRKRGGGRYRPYSPGNSDEETAKDFDKKQKNKRAEKPDRFGMAPPNSTQFLLDDREARADAEFENEQRFEAAERRRVRTMSGSYDHMRPAYWCTIEPATTTTYHDDDDRHDVDSGSSSSGESEADREMEREFETDYLEVKRERIQSMTKSQLAAELLERDQDTQQLARELGSKESENQHLRKLLYAHGISPDEPVTSTTTIDVVVGSKSPVIAN >CRE03051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:622921:623995:-1 gene:WBGene00055278 transcript:CRE03051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03051 MSEEERLPTRPTSLPDRIKMAMQSPEDYYIVKYLQMTVERVVIKLEKTKMTIDYGEGFGQFVLSFTKDSFKNLPEESLPKPFSILGIFHGLVSKFTEPEPGFDMRKWFDYLMDIIISTHIALDFGPGVECFSFEIIKRFLHGMDVSEIIIPELNNEYFRKILYEYPAVKYWVLAHEGPRDDEIYKEIMRRDTFHLCLQPNCKISLDDVSNCNIVNLEIWYTPDTSNQLSQKDLNFFLINWINGSNPNMKSLSIKMEFEELDFEFTNNVLAGINYTDAPNYRRRKFPRSFMRHTGTDKDFIEYKGGYDFFRKDGLRGTIFYALDDSYGDLASFRFIVWPNFR >CRE03052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:626021:627102:-1 gene:WBGene00055279 transcript:CRE03052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03052 MQYVQQPKFPLTKLPDVVLRLFVALLGVTERICYSLCSKRCAFRTKRPKFKVDIFGVKVGSTVEIILQKFNEDIIELTFLTKDDLTRRYPIPIPPPIAITIKYFNGTQKTHSPQKLRSLKQFLCHFGDIFSFEKGAIIPERGCERFTFDSLKAELEGCGLKELNMRGYNNKIYGRQLLKTFLPWSILTISHWTYDKNWQFQKYVLKHKMDALLVCSYHLNFYELMYDMDIKHIEFSEPIYLNSELNEFIKTWIDGETNVNLESLCLRFRSPLFGNYQQSILDGIKHQLVHTENPFMPPFRTINWTFTTSIIGKYEITRKTDGKRATIKLDELNGDVRLKFIVWK >CRE02862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:630237:638755:1 gene:WBGene00055280 transcript:CRE02862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02862 MRKRKLPKSQFSMQIMLFLLVTTRIIASEVQPSRIRRQTQDNFSPLVENLQKLSRISNGISLEIGLIDETFPSDNVISELLHMGSLKPNQFVDSQLNSAKNAISEMSNLPKKLKTDDDVTAIESRLVVLENIRKESFSVEDFKNFTGRKDYETLLGEMKGLDAHKAIINSLKTELVQLNGNFLGLENKAKDLNEQNVKTALLAFPMIENSLGEMEKMVTSLDPLVDLLGKAKQLRESSKFFEMLRLESEFRKNLTNVLTYREDPMKSFNQNFDDVFDLGKTSGISEPAFSSIDQLILNRRDSRELPCEYTCGLGNEGSDLEKLKNDCGDEWIQKRMTNGSVVEKNLKESFSCLDELIGELKNVGLAWKPLEDDKLLVRYDQVRVIQKEANYLSGISQVIKTKIGEFRECDNPSTGFPGVKFEGLKNVGDVIGELNTDLDQLRSLNYIEKLKSVTSMKDGLSTAGKPENEQIQMARTFLQKMESDEEYKNVIVSLKTLQKDLGSLETLIPSITAAIPKIIFNYIDDYHKALNGSTSYLDTYKCLNKIGEDGNEVKKTIEFISRMKGLTDQEATGANKIIQSILDSQNGLKNSKEAAGKMKEVVAPEALNLKKSFPDSKEVSKKLGLAVRGMVAVKRMHDSRDKLAPLLKNSDELLVDAKSNNLAQSHLDSLQKLASLNTSLTATLAGIDEFLKILDGIEGIRRRRNTGNNDFKGIGGILIAASKIPDVSDDMSGILEAIKELNTATSQKYREEEKSVNSLKKLELQFSKFGLKDAPSSLEALDTFFLDYVGTMTFVPPSVAPSIAPSAPASSPSTVLFSTTIILTTTTSAMATGVIILIVVIVLVCIICFIGGVCFYCRKKSAPDVFTPDVPIIPTPVPPVPTVPHPEEPSQPLVNPAERNQGLPEDQQHQERPDENLPPPAGENRNLNSVGSRNPKNQVEQQLPLQQKGDNPTDPTVSAEPEKAVQPLAQAIPKEVKKDQVAPIVATVVDPSVPSTSKNPTGEQERQEELLPLDKTQRGGIKKLSNDENIQQDDELPLDDTQIGEDKFSLVFFVASRLKDVVVSYEKFPYDWLLDHLIACDKNENQGFLMNELWENREYRRILNYWTHPNSMPVLSGFDNPYINANYWKFDNNIEWIVLESSMRGGEKLGEYTGYPTAERECALIEQHSVGVLVNLCPSSGAHRCYSTKKGGKVVSGRYTVETTGNKALPLCLLTLPGFTIYTLKLTNSENPNSIHLFEVLSYSNWGRRSAPKNPAVAQGIIKYCDLSNRNIILQCYDGYGPSGTLFAIKYGIYKCRTTKYNDLLTFLKEVRSIRFGAIQTVAQLTYVILTIAKVLMDEENIEYLEEFDRMRYHHMCMLDRSEHPKGDLKGWKEIERPPKTLSHTDRQHKDEAVREFCLVSKKNMLESKNMTLTQEELDQLRSLRRNNTRKREGVEEDEDEEEVKNEVDLEKETKQNTEVKVNKPESKSKASNGSKMSKNQKGKVKNKGSKNSKMSKGTSKSIQKSMSKSGSKSQKSANAKRRRPDKKSNASKSKQKMEKGKGNIKVKK >CRE02863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:640385:645694:1 gene:WBGene00055281 transcript:CRE02863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02863 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LWB3] MGAKIKSKNSRKKRSKNLAQTKNKSKSFMKILTILILIVTTSNTVSGNDSPNLHLQKRGPRASQGNFQPILENMERLARISNGVSLEIGLHDESTPADEVISELLHMGSLKPDAIISMDSSAVNGAITGIEDVNKQLESDVDVKAIETRLINFEKVRLRSKFMLNMTEFSEKDEYKAVLDNVTAINWSISGNISTISGTAGALQRYYLKIDEWSNGMSEDTSKKVVAQLPTIGIQLEEAENSMQTLFNDIIPLVAAAKQLKPRSIFLDILNEEKKIRAQLKGKIIHQNYKKSVMNNLKTIQTFRGSLESSKPVFESLQKLAQNRFKKQSFEYTSGFLKGSVDLNNLGADISNDWIVKRIDESSIVTTSLNKAFSYFEDLKNAIVNVEKSWKPLADESKRKSVQMLVDALLSVISESEDSGKVETVVDQLASCGKSLTQETPDSSKLDIVSGKIRTFNDVVDKLSLFEYAQVLGDLSAFKNLQERAENKTDTVEDFVKKFKEMPTFGSAWNALQKLETDLKYLSKTTEEIPGKLAEISSEFVNIDNYHTEISMPTYIQFFTCLHNVTESSLVKDSVETLQKVRLAYDEANNARPVIAAIIGSKSNMETARTSAGNMKEVMDREVTALKESFPDAQRASKNLGNGVRGLAAINSIHNSWPDLDALFKNISGIVEAGKSVGLSQQHQTALQKLALLRNSLTDTHKGIEVFLHTVGTIQNLRKKRNTKITDIKTSASVMEAASKIKQLPPGVSDDVSSIKEALDLLNVATSSKYAKEVEVMGLLDRLNLDFSGFQPAATSLGHVDNFFATYGATMASASVTIPSPIPTPAPSQPPNQSPVVTTIASMMMQIVTSTVIPTTEAISIGMIAKYIGLTLLVIGGIGGFGALVYCCCIKPKDAPPPDDLNNEEEERKRKQKEETAKKEKEEKEKPPKQKEEPEKKINKDQERNNENANKNNDVSAPGASDSSDSLPTARENTLRATPAPSNDRLPSEVDAVEPSKKDSKVEPEVVAPAVVKTEEVKPKRPILVENLYSMVISQVTRFEDYAHLLFSPQHYFKNKIDIMDKTGALEVKGVVEKRQETRKQQFLCLPETAVKLRDKAFIHANTIVIGLRKCILAQSPLDGNEKLLFVKKKEPTMEKHLDMIVQKKVPLTIMLGDFVEFGHNACGRYFSVRKDESIQIGKHEVTTDNVSDNVSGFTDNKDYKLYNITVRSENKNGKRIEHKLDILQFVGWEESGVPDSVEHCFEMIRFAENYAGTILIHCSNGVSRCGVLIAIKYGMEMIKSKVFKDVFELIQDIRRFRYGAVKEYAQFMFVLLSLAVYMIRELGLKTIPSYDMLLYFNQNLKRYESYENNKWKKCGDESAKKRVKKELKEEFDAAREKALEREKEVGNEGTVFENKLIEEKRQEEEEEARRKKPKRSASKTTVTEGAMEKTSDERPSAEAAMGLVPEAKEKSKKSKKSLKSNKPSKKASKKGSKNSVKSRKTKESKKDRKSKETSKKTNNSNNAKKET >CRE02864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:651437:659374:1 gene:WBGene00055282 transcript:CRE02864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02864 MNNFQPNNTSATFALSSAAKMMMPDYEMYKIHRQGQQLNSANGSDHQKKLTKKNTFQKSKGVLEDDDEDEKTKCKVATIRIEDHDEEEEKRKMERKKRTQEDMVADFVKILEQMMNPRQAVTSIISAGMGVAAITVAVIFFGSLPESALNAKQLVIILAVYGILQIVLATAFFITCMQTTIAVSKGVKDAFQIVVGLLVALIYVAVTLISLAVGVFGFYKTLCIVSFVEYEDLESQFYCPPPVFYTSLTVFILHIVLIVAKCCCCK >CRE02865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:659829:661221:1 gene:WBGene00055283 transcript:CRE02865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02865 MMDLRDIIMLSLCSKKCASLLRTIRNLPNKMDILIGSFRNSVILYEDRDKAENCIQWCFEPYLGRGMDTMWRVNGITFHIVQPILPTDDSSIMTECPWDNDLAVNFIISHFLHVIPRCHVENLHILILRETRKFRIRRALSSVSEVNHLNMEGRAAQKDFKFVFNNLKIKNSLRKDYHEMDSYQSVRSLNCKDRIMSYCSTWAHPDILLNLNCKHSTFLGTQFKAENILEFLKKWKTSEGNEMRNIESLKIEIMEFLESFENVIWIEMDAKACVPRELDRRIKKFPDVDFEYGMDIKRTDGLQATVMLDREEKIFEFYVWHDDEG >CRE02866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:662402:664080:1 gene:WBGene00055284 transcript:CRE02866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02866 MSVNKLHDATQFKKIDYTDMCLGHEPGDPMPIWRVSLKDGRVLAANHFMNLKDLFKQPMVRFFIIDNADANRLVEILSHFKTDEEKAVKAKELTSSVKHFSKDVKRNHYVRVLPRISGDEKHETRVFTDEILEIIPVVLAQQGTSISDKDERLEKYRQRWHSYTLWHYNTIHVSQLDKVFEDFDIDKSLITLVEDPLYEVRRMELIARGVTMRVFNPKLIPVIEPYHAIDAVFTECVMGINWRTEMCTYHPYCSMQLKNKIVNCMYQYLMINPEYLFSYNAVKYAIKDIKRECIFHYLPERDTPEFRLNDYPVTMGIDWVEYFKITTFFDLNSFEQVLQGHPLIPVWLIRMFVKLAWIQQFFPKNDCRDLRKVVISGLLLSVPKEHTTYATHWVNGIIEATDAKFAATPEGIAILKAVEKAEQDRLASLHDPNSLYQRIKKQQDEAYS >CRE02867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:665347:667028:1 gene:WBGene00055285 transcript:CRE02867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02867 MSQLRATKCKTITSATTACVFTTGELMTTYRAQPIDGPTVKPNFIENYEKCRLMEGFKHFLIDEADLTRMERIWAECKTPGEKDIKTFEYLGSVTKFSRDFKKKIYVRVFPSKNVSIKGSSTAMRVFTDEVLEIIPIVLKQQGSPIAANDARLNKYRNEWEPGFPNRYTTIDTDRFERVLEEFGIYKQLITVISPSSSPCPKPFLFQLFQDMPHDINVKIGDMVRFFAPDMKIVTDSCHAADHIWTTLVMGVNWKKLSCPDKDSRIEKVKKLVIEMLDIPRSMAPGAVITWELINKMINDVKEGCRWNYDGENKCPDYKLVGVPHDAQADIKIYIYVTSFFDLPTYVNFLPNRNPGAIPIWMFRFFCKLGWIQQFFSTKEHSKNRDMIVEVALFAVPNEHMVAARGFVNTVLNLTQVARQSQSLKPRSTENRESSSGGEAIDGFEKMLANALKKRKEAEKHKNGGSK >CRE03054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:667506:669292:-1 gene:WBGene00055286 transcript:CRE03054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03054 MIWFPTHAGVRRARTEWFCLLIVALLTTSTYGVVKTAGSKLVMEKRKPFDVNIRAGTYFENRKLTIQQTLFIAADWVENPGKPIDDVVAQFKTSKQTVVDLQEDFRRMTEQWFVREGQRHLTLGGPGKVVEIDETLVVRAKYNRGRNLRRKQIWVFGMLERGSKKVVMFRVPTRDAVTLIPIIEKYVLPGTTIMSDGWAAYRGISNIPGYGHGVVNHKYNFINPNDRSIHTQGIEATWNALKSKLKPRFGTPENRLEGHMYNYMWRRFYDKEKLLNRLLIEMGSYRRVDSFDDIHFSDSSSSESSPERSLPANSPLPSSPPDSPSSGGESSSDIGNIGNGSCQFYLHKFHFSDASCACPCEPRSSRTRHREHHREQHVSDSSQNGPTVEDQTTEPPSVSAEHVPRGLRRKLPTEAPTAVQTSKTKSALNSKATKPTTKAPPKPKPTTKPKPNKSVNPPITNAPKTTKSRTTKKAPKPTEPLKPTRPPKTTKSPKTTRPPKTTRPPKTTKSPKATRPPKTTKAPKATQAPKSPKSPKSPKTKKTNKKG >CRE02869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:670506:672144:1 gene:WBGene00055287 transcript:CRE02869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02869 MFRYFFSSTPPITIKYKESKLNLHSSPETNFEIIGGDLRKSLSYKEDGTILRSNHSCSNSTLENSKYFIMDENEHVQMKTFLANFYCEKLREETLNERLSSLTNFMEHSAKNVYIRGIAPAKYDNKVMFRVFTDDVLEIIPILMKLQKTPLDPNDIRITTYKTKWSRENNDIYSTIDILEFSKVLEEFDINKELVTIVDDDVQKYTARQMVEIGSEPITVFSPDGGTVMEISQTVLYILSSLACKEVRPLRPCCNAQKYEENIVNAIKKYINMPMGTYISKKKIDESVAKSLSIMWKCISIDIEFSREKDEKVPLKHIKHQMDKCGLPHSLNDLLATINSDTLRIPVWFGRYLIMKAGADKFFGKHDTDLKMIVMDALLLKIPEDKRQYFVNKHSNDIEFDLFRKVSRNKIVTYNDAAELLKLLDLIETPKNLTLSSHPHHLLPPFSIAWA >CRE02870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:674675:677442:1 gene:WBGene00055288 transcript:CRE02870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02870 MTEPEPDSVLVSNLEKLVIQEEPKQTSESPPPDLIADEKKYRDTRCGVIPCQAMRMFCDEDNIMTTWYSELANGSNLLPNFWNFWDDIRTNPTFRYFIIDSADLAKMDQVWHRCVTKAMRAERTARLLSSIGAFTSYFRKQLYIRSIPPARCCEYAANRIFTDEVLEFLPVMMRQQGIIDFSEDDGRLEKYRKMWESNNQDLYKTITPQEFEAFLDEFDVDKSKIKIIQDPVHELSRVHMIKKTGNITIFSPDGNTVIDRIQAVFFVFRATVAGINWKTEKCPMHENCRENLKRCILELMNKFFNYEKGTFIAFRMVLDSVDALKKECEFNYHTERGTSDFALRDFDGEHAMPINRYNTTIEFFDLPEYKNFYRNAEKDTIMIWQARVFYQFGWLQQFFGNENVELRDTVVNGILFLVPDHGKIITDKFLRSILNGETPYQASQTEVLAEKRRHTGYEKLRESREQRNKALKEIKKAKKAAEQTGKKTGKNRK >CRE03055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:685995:687312:-1 gene:WBGene00055289 transcript:CRE03055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03055 MSGRNRNNKKGNNKNGNKATPGPAPVRSSLRSSAELEAAVKLFCETPLGVSSSVLVGTTNEEFQDPSLLTAADEINLEARFKDARKNGLKESVPVEEKSPVVEDLKNLNLNEEVVEDPKNSKKVQDLEKKVKKHADEIIDLKKKLKDCADNEERLKYKLATAGAGESKLAKSNRDLREKMLRKSLQLDNLTQENKQLVSVLSMLSSSLPNPLPPMHQGNPAEQLLGYQDIKRNLESGVVLREGVGMMEKLISFSNKPEIQAQAAQEIQVYEQGYIDYLQALEFNITKIVTTGRLEETIRLPDFPSFSANLITEYFNETELQPSSSRPAGVETVMTSRNLQCPNCKKSLPIHYRIGSNK >CRE03056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:688573:691679:-1 gene:WBGene00055290 transcript:CRE03056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03056 MASSIYSASKLRVYPSTGSTISRVYGELEKWIAELSDGTKFESNYCFLIGKISNFKHFVLDKEEIRTLLVVAEEFDEEYSQKFKLECLSSLTNFTKHLPKKIYIRKITPTTGSGGDFMRVFTDDVLEIIPILLKRQSKMTQESEELLEKYKVKWVTKQNYVYNSIDVIKFGQVLDELNIDKSDITIIPDFLHLLTSDQMLREGSDRIVTLSQDGKQVMDIAQAVFYIFQHTVCGINLSLTDKCKVHSNCIEDYKKSVFCVMREFTELPEGSFVSKENLDEQIGKLKKHCTFTGQSNLPKRDYHQFSLNLDDTCSLLVYEEIIHFYGLPNPYQNSLSKESVKLKVWQGRLILMKSWVEHFFGNYNMDIKHLVLDALLCKVPLEERAEYELMHTREIRHDAFVTHNGSTQLFFNPPILRPCREHSMNRRTSHPEVLKIIEVRDEETIRQKNNEIAELKSKLIRLPTLEKKEIFLGELEKKSKNMEKQIEMLRINEKRLKSFEEKAKKSDALEKKVRSLEKEMETLRSGGNDEEMTKEAEGLKRKIQAMEQEIEHFHSMERNRTDNLENFSKKIENELEEKDREIEIMKAPSFRTISAFQNKAMIENEPAMMKPKMTDVERQLRESNAQLQFKNERLERDAKDNYSSKCIRLETILKKKESIIEKLQSENLRWSLENNSLRLGKSSKKNSKMQKKSIVLSPQEQLAEFQAIQKDFNGDEILKTAKDLIDKLSPDSDLLGRAKYELIQLEASIRVYLEILQLNIQQIEKTEACINLLPLPKYPSLSNKFLDDYRDEQEIDN >CRE03057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:692144:693709:-1 gene:WBGene00055291 transcript:CRE03057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03057 MASQITAKHHGSKLRFLPVSSANMAFVNGDLKKSIATRPDGSVLHSNHSVTSENLTNSKYFIIDHESYMFCTITQSFKDISSLTTFMICPDAVYENTSHNMFLKGTDPIVLYSSDGELVMDVTQAVFFIFQSVVCGVNLNVKVCKTCQSDKCMDNYKAEVLAAMKEYSSLRDGSYVLKEEVLKKIEVLKTHCSFINQKNFPKQDYMMVNEDIMKRKPLKVYQNIIDSYEIPEDISATGLATAPVWGGRYGIRKAWSNNFFGSTNTNIKMLVLDGLLQKVPKEMKCVYELEHVKVIEQDCFNDVDDGRPMQLSDVFGGSSDGNPQSSLFNFFNLMQNCSTSDPVVKKNMKKNKK >CRE03058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:694481:697805:-1 gene:WBGene00055292 transcript:CRE03058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03058 MAAKFTESKWKRVTVSEYNLQRRTDWCSWVAEVPGTAYLYSPNYGLISELSHKIGDHEIRYFLMDAADMVLVNGLQAEMGLENWKNVQLETVGSLRLWSEAVFKHTETEKKNFVIRSIPPIIKEKDGEEATVFMDEVVEIIQLLTKRRGQPVGNDFLGTAWRHGELKAIPLSQFKTILELYDIDKSCITIVDDPAHAVGVDFLFGKEDPLKVPTDDFKGILSTEHVVLTMVKSLVCPVNWRTATVIERQDIYEEINRYALMDAGTYIPYDNVVYEIKKIKKEHERLYSKEDDPLLTLRDRPPLSPISVKHYNKIASAIGMPPVSVSRNKCSVWYTRMNVTIRISDQFLSYIAQANSQNFNTSLDFKQALIRGLLWMVPNTNRVKVKLDSIRTLGMRANVLNTPSLIPKYSDIMTSSQIERFETPMEKFLNDSEADCSNCNKFAKMENELQKKLEISRIKLEEKAKDVDALLLLIMAQDKEFEKLNKIISDMEFQDALNLKVEGIERSAHETYKQENEQLNLKRDKLKYELSRVAVSPTEELLAEIPLLDERIEDLEFCNEQLIHRAEHQRDFLNKLKRTNEAA >CRE03059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:698114:703898:-1 gene:WBGene00055293 transcript:CRE03059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03059 MSSKIDPKKRLKDWEVIKAADMQLMRDDNLKNSMTSWVIKCFNSPTEYLAPSFKSVPEEYQKGRNLGYFLIDDVDIGALFVWEEMRQKEQLSWDENSVKDMSCLQRFTSKCLTYKHQKKMYLRVIPAFDPGDGVKGEGRVFVEDVIEVINILKTRYHLSEPETDDLTELTTSCSKGCIPTISLSSCKEILEKRNVDKKLVMFADDPIYLIGMQTSYKNGEYFKILSSGGIQLLSLSQSMMFLTQNCIIGVNWTKVSMEERLAILKEIFACTGFGKVIDCVQIFDSQVNFFQENFVQFSLMIKCVIEIKKQFKEVYKDQIKYQSYTFEDVFPENLLDFSFLQALATKFNFSDKIFKLKMAVIPVWMMRVQWIVAWFESIKDGTFKSCDEYGHAMIEAILVWVPMNCRFFIKSYLMSVFLGMERCKEHEAVMNDYFSRFRDGSDLVLQYRGQLPNMMDDEAENSKTEMRNQQSGSLENIVQHSQSEYLEIVGQTFELTEIANSQSKSEKDKEVIRALIDLSATPTKIPIESSEKIGSVIDQQPTTTNTHCLTNPLFIDTSHNEQKKDSAISPKLYRVNEAEIPESIRQIVESAMKDSSKKRQWKKITEANLHVLEKVKGNCHFISFEAKTLDGSVTLKPSYQVWTKNMHATSNCRYFLMDDNDLEVAEVLRKCVSQWKDDFARKMLESKFIWDGNTREVGLTQKHNIFIRVIQPAVVEDVGEEPRIFLDEAVEAVRLCRQTFQNDDFTPEEFVEYHKMYQRSEFDFPTIPITEFEKILDVFHIDDSPITLVDDPTQILGSAKMLMNATHFCIVASAGMQTFEVISSRLAVLFMVESLICGVNWNIVPMNQTRCVLEEIQLFAVLPKGHHVSYKRVVEKINEVRLKYPGIYEIEPYPDIFLRNMHSEEMVEVTHFQNIAVEYGLVNRIFMDPKLHVWKIRTLTITAWIENVLAEHYHPEIRQAVVDGMLHMVPHEKRVAARLKSGQALLISPPPPAVTQQEPSTSATPSPEKSPKKSSFKWYSVDAGKNIIESDGSEHMEEIDVGSGDSTGPGSDTDSTGTFDSGLSPNGTEGVGKTTEKDDDVEYHEYDIELSEKEDEFESDVTEETIRPEEPEIQESQVNAPDEEATDDVKKTSDAQSIVPPSSIDQTAIQQSQPIINDINKANENAPKQNAIKKRDPLRPLKTEEEQSMVQNYFQYVLKEKEREKRNKILLNSMRNKGDEKIPTLPGSLVQPSCPSLAKEITLPNLVRKKEVAKEEIDVKKEEKQKIPPPNQIVIEGLVIEAKEIDAKDNIAQLTYENSETEDILTKEEVAAAAAGEMKEVNHLNPILMEDRNLAEDLVQNCVENALAFHFSLNLQSILHNDVNNDVHNVPGQTTNPESSSSVRNTISPISSGLANSIKNLFKLLRSDVQEKEKIFLNQNQSTGDTGDTVVPSQSTSSSTLDLIDQLQDKMKELLKENRLQKIEIESQKQFLGVLIDALPPKKTE >CRE03061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:715825:718127:-1 gene:WBGene00055294 transcript:CRE03061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03061 MKKYNKTKWQDFSFQETRIIERGQRELHDWIAIFPNGREIRFNVSYFAKVYLKMEKLNVFIMDDDDLSFIQSTKKNELAPVHMMYLEPFTIGRKKHFYVRAINTGNPSEYGPMVYSRKVFTDEILQVIPLLMKQQNTPLADSDSKSIEFINTLETFDSGRFEAYKDKWESGGEHLFTAIELNEFEEVLKHFDIDKSQITIVPDITHYWSSIEIIEQNQAFATTITPNGMAVMEPSHAAYMHFISLVCGVNWNSDGVKGKMIYFQQAMNKMIDEYRGMKGTFVSLSSVVDAIFNAATSFFIIPPVAGLDNVDYTFSNFPTDLSAVPLDTYHSICRSSGLPIYRDFTLQPNHKSVSIPAWMARFFVQAGWINVFFSHPNMRVNKNYMASTLMMRKVEDPATLRNFLVFWNNVMVSDGNVKIPDSSVDWFIGKMEKEMERNKLLESAKKREEILRQRKLDKKNKSGSRPSGSGVKTVNPEAVGQKEPVPTERNVETEKKKPMQSELTQTSPVECDNCLKSNRVRDEVEKELEETKNKLKHLEEKAKQVDELERVLSENKKKIVMLESEAEKMKSLVKKASRVDELEKILEEREKEIKELKLQNTRLTEENFDIRQMEGK >CRE03062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:718890:722320:-1 gene:WBGene00055295 transcript:CRE03062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03062 MSSTMKKYNKAKWQDFSFQETRIIERGQRELHEWRAIFPNGREIRFNVSYFEKEYLKLEKLNVFIMDEDDLSFIQSTKKNELAPVHMMSLEPFTIGRKKHFYIRAINTGNPNEVGGMTYSRKVFTDEILQIIPLLMKQQNTPLADSDRRLEDYKNKWKSGGEHLFTAIELNEFEEVLKDFDIDKSQITIVPDITHYWSSIEIIEQNQAFATTITPNGMAVMEPSHAAYMHFLSVVCGVNWNSDAVKGKIIYFQQVMNRIIDEYREMKGTFVSLSSVFDSILTASSSCFMIPPVARMDNVDYTFSKFLTYSSPVPLDTYHFICRSSGLPIYQDFTLQPNHKSVSIPAWMARFFVQAGWINKNVFFSGGVSIPDSEIDWFIGKMKKVLETNKLLESAKKREELLRQRKLDKKNKTDSELSGSDVKTVNPEAAGQNEPVRTEREIEPEKKKPMQSELTQTSPVECDNCFKSNRVRDEVKKELEATKNKLKHSEERSKQTDKLDKVLCENKKKIKTLESEAKKMKSLEKKASRVDELEKILEERQKEIEELKLQNTRLTEENFDIRRMEGNAYEDMKLMEMDLKNLKAEKKNLLESNKLITKSRDHLTEKVKQLEAQINSDKEVAQSQQETTERDRIIQELEATSMRVSIQNQEQTKEIQSLLEKLRMSKQ >CRE02872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:724443:725074:1 gene:WBGene00055296 transcript:CRE02872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02872 MSLISVVWCLTITCLFSMPLIYCKPKKKFQGQYAKTFMERRKRKRKDQEKKKEKTTPDNKIPSVSPSVSSTPTAETPGSRSPPKKGTKDTEALEPTQSGEQENSQPLISKKQEPPKKKMSKEPSTAKEKFSTKTAKKIPVPIREEYMEDQNEDETMVGVKSIE >CRE03063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:725549:726203:-1 gene:WBGene00055297 transcript:CRE03063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03063 MSAEQAPVEVPAADAPVAEAAPAEPTVYYTLESCDGDEVKISSEAVKQSKTLNDLVFNLHGGAEMDESIPMDNIKKPALVKVVEWCEHHKGEPIPVDDDTVPKNVTIPEWDEEFLKIDNDELFHLILAANYLDIKQLMNYACKKVALMAKGKSPEELCVIFEIPTDEEDEAAQKLAAENKKAKEAEKAAEAAAAGEAGPSAAGAGDAAAN >CRE03064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:727393:728025:-1 gene:WBGene00055298 transcript:CRE03064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03064 MSAEQAPVEVPAADAPVAEAAPAEPTVYYTLESCDGDEVKISSEAVKQSKTLNDLVSNLHGGAEMNESIPMDNIKKPALVKVVEFCEHHKGEPIPVDDDTVPKNVTIPEWDEDFLKIDNDELFHLILAANYLDIKQLMNYACKKVALMAKGKSPEELRVIYGIPTDEEDEAAEKAAAEKKKAKEAEKDAAAAAGEAGPSAAGAEDAAAAN >CRE02873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:728482:729108:1 gene:WBGene00055299 transcript:CRE02873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02873 MSAEQAPVEVPAVDAPVAEAAPAEPTVYYTLESCDGDEVKISSEAVKQSKTLNDLVSNLHGGAEMNESIPMDNIKKPALVKVVEFCEHHKGEPIPVDDDTVPKNVTIPEWDEEFLKIDNDELFHLILAANYLDIKQLMNYACKKVALMAKGKSPEELRVIFEIPTDEEDEAAEKAAAEKKKAKEAEKAAAAGEAGPSAAGAEDVAAAN >CRE03065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:729645:731626:-1 gene:WBGene00055300 transcript:CRE03065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03065 MKFTLSLLLLTLLHSKPSAGQAPPPPSSSAAPPTVYHSVYVPVGVGEPQTNRKEFKQFGLFPSEFTKCGGSTLKLNEAFPQGNTHNAEILVDCEPSPTRVLIGKDMILDDFKRDLKTECELFACTDTDYEDKGADLKAIGNSVTGSDVPKAPEKEKKDINVCEAKKAGYMKNSATQVIFANEGNCFNAITGLEKKIKGITKDIPHQVPRWLKADKDLYNLRNFYFSDEVCSGLSTHPYITKQFQKSENLERVPYIFTHQPTQNPTYLACGTTCDRGKVVKLTMRPAGYSTMIYVDSKKCSSFRICYAAVDFLDDTKTPTCKDDFTIDVLFKNGVVIWGKKGRSSTIEFMSQYHQEAYTVAIEFGYGVKVHGNTVGNFYLGNDHREIVTSDSGGYAASEASQLSFIFPDENCLKEGAGIFSKNIETGKTFTTQAGKVESKGTKYNDKGEAISGTPAETATTTTKEPVQNLVFTTTPAAVRIMAEPGALSMIEENEAASKALFVAGKWWTWGIYAGFVIGTLVTLAIGGGLFYLLRRTVFGVWYRGMYKRYGCDASGTTGGITGVGFGNTTAAVETVAGTTGGTTVGTSTVGTTGGGDTTGSTSGSSTIAM >CRE03066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:731926:732946:-1 gene:WBGene00055301 transcript:CRE03066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03066 MLSIEIGSSPEEEVKYVGYKTPYQEYCPDCKKTIITKTEKVCGMLNFVLFIACLFLPCLVVCFWCRCFMDIEHQCPDCKKALGRRDKI >CRE03067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:733297:734303:-1 gene:WBGene00055302 transcript:CRE03067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03067 MCFFLLLKFPSSFNLLPAPVLTMDSKPSAPAYPQAPPAYAEAAGGAPAPPNVIYPPQQMPNPQQQQQPVICFLWNSETTLNFQIYVVQQPQEVIIVHANAKMAPSFDPYNEFCPHCNVNVVTRVERTMGFCSWTMLFLGLFVFFPLLCCFCLDGFKDSRHACPQCGTILSYKRR >CRE03068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:740065:741189:-1 gene:WBGene00055303 transcript:CRE03068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03068 MAEGGQQSAMEGASVAAPEAAPAPQEGGQSVAPPVDAGAGGEAPGGGVSQMGGAVGVGGGQEEASLGMVTNIYTKYAQDVQQAVEGEASGGTSGVRQRALAFQQEGPAQLQQLQSQLQPQTQELMSALQETQAPIGLATSSVVEIFGWSSILLLGAGIASIVGGYVLSPIFGIFVGRAGAAILATLVLPGLAAYQLNAEDGSTSATRFQLLLLALTQGILMGHSISYTYVSGQPLSFITPLVIAFAYPLVAGQVGSARVPLLGGAVGAAFGVQLMAGLVSGSLSFSYFMLAALYSAASGALLQIAFKNLSPPTRAHMYQILLVASFLFSKALVYGLFGSAEPPKAAK >CRE02874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:743835:748279:1 gene:WBGene00055304 transcript:CRE02874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02874 MTLSSSLPLLFLILCPLISGEFRQSVFETSNRSEGDKIILTAHGMGMDDVNRVVIPVTNGRIPPLPCVTSNAGTHPHGSTFTKNNFHYTCNNGTAEVVACLADDGSVIQLGRTFVRSGMKHFCSIQGDNVTYKQESMCYENGMHYNVGDSFRNGSFKLTCREQGIYVEGCYMQNSFNDLLMAGESRIEGGKRHECEIIGPGKVRYVVKMLGCNHEGQQYSTGQMWTHQHVRYQCKNDGTLLVLGCIDEGLFIELGRDLLMNGMAHRCYQVNTTVFYHKFACERSSLSECVSQSMQRRFRRHEERKMRA >CRE03069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:748609:749508:-1 gene:WBGene00055305 transcript:CRE03069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03069 MTQSRRFSAFIDQIRNWTSAIKDKQYRSLSTGDLVSPSSSSKPSEFEPDFMEMDFGSTPTTSSLPAHFDDCDLVPMEFKKNGVKLLPQGSKQAEKAMKNHRKFGSSEELSKIKMEDIHKAQSWFFVDIDPRSAERILMSDGFREASFLISYFQQKYVMSIWRKNKVEHLVIRHYKKKNGSTGFMLDIDRSFKNLVELTEYYTKNKSYVLCTKLAKGVSRPRRNNNQDIRSRA >CRE03070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:766081:767248:-1 gene:WBGene00055306 transcript:CRE03070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03070 MCFCRRKTSQREEAIDSSRRGDNSRKQCRDQPLAAPQNQMGQQQLQQQNQQQSARHVNHNNNNQEKRGEKKGKKEKTVPRGKGLTKKRGRRTREDDTISNIPDEMPDLEITREHAEPFYTDEQLM >CRE02876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:768058:770115:1 gene:WBGene00055307 transcript:CRE02876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02876 MLHPLLLLFYFFLHLHLAYCNYQASHHQDHSAPGYHGSYHVAQELQNIHDDMANGYQHHQDLWYPTGPPPPGADPGYQDPHQHGYPSEPSLPLSPSAGSCLSRIRLAASFDPTISSKINRFIDSMRIDRLRAYVCERVRKICTAYFCDEHQQKEVGDLFHQYDRSIEIIDQVRHQLTSTEKDQLNMMENLNDTLAEQAFFVYKFHQLNPVDLAVLTSAKASLTTALSATAPDAALSKSMGSFTTQDLERLATLPVNHLPEEVRTHLARCQITSPEVVHDTVAFLLSVIGSKQNNYRR >CRE03071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:770419:771767:-1 gene:WBGene00055308 transcript:CRE03071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arx-5 description:Actin-related protein 2/3 complex subunit 3 [Source:UniProtKB/TrEMBL;Acc:E3LWE4] MPAYHSKFDTEIRVLPLGNTNMGKLPIRTNFKGPAPQTTQEDIIDEALNYFKPNIFFREFEIKGPADRTLIYLIFYITECLRKLQKSPNKIAGQKDLNALALSHLLPIPGENGFPLNSMYKAPQSKPDEDEMRAYLQQIRQELGVRLCELAFPDPQDRPSKWWLCFSRRRFMDKGLVGQGVNL >CRE03072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:772107:772846:-1 gene:WBGene00055309 transcript:CRE03072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03072 MLFVYNKSEEETSSTPPFLLLIIEDCFIELCDENKIGKDFTFEIKFKSTARSFIFAADNFKSLGQWVSLLTITPIDYIQLSKQSFIEQIEQTQKKAIENKK >CRE02877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:773654:776964:1 gene:WBGene00055310 transcript:CRE02877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02877 MKLVLAILVAALAIGAEAGFERKYTVCEHKDGGLHSFKEGRTGDDDVCGKRLATAYFHDEVNQTGWAFLEVEVISPKIPHYLQGYAAGFAEGRATRDLIDLHIMNTVTGYCDGAKHFCDELAEFIEDNMKWMETEIKEHPEDEYWQQVNLTVNQLFGLIHGYENTLGVQINYREIAVHPIFMIQLAGDLEDLAMKFKKPENPKKVFSGPGHCSALVKVLLPKNEDILFSHVTWTSYGAMLRINKKYSFKTGDPGQVYSFSSYPASITSTDDFILTSAKLAILETTIGNYNEKSLDLITPNTVLTWIRAEIAHRTASSGLQWAEAFGRHNSGTYNNEWVVVDYKQFHRGKEVQPETGIIHVVEQMPGHIVHSDKTAHLFRTSYWPGYNQPYYKQIIRFSETDKMVEKYGDWYSYDKTPRALIFARDHVNVKDMDSMISLMRSNNYTKDPLSKCDCNPPYSAENAIACRSDLNPINGTYPFKSLGFRDHGAIDVKVTNSRLVNTLQFTAVSGPPGGVNKDVPIFDWRTTPLREKVPHYGQPDKWNFAPVTYKWRKPYTPSRIDRLKELLFQESY >CRE03073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:778018:779168:-1 gene:WBGene00055311 transcript:CRE03073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03073 MGGATDDCVEADHLTEEKKYPSHSFILISFVYPTHLPLLPKMSLSKMKDISVSLSSNTDALWRNCFELTNEMNITAPGRRVFPTLEYIVTGLDPSKYYVISMHFEFMDDKKLRFVGGKWTESPSTEEKGVPRIVFHKDGAQLGKDWMDKPLSFDQIRVTNRKSDQHKGPSFVYLLTQHRYIPVLTILEGDQIVHISKIDYTSFITVTAYHNNALNQLKTENNPYATGSRQDRRQKREAESSSQSAKKMKKESAPSTSNSTVHSIPSPAPTAFPFPFPTNLLSQPNVLLQQFQLSSQMFTQPQPMFPNPLLAVLFPTPPITPDEPAQIVTPPVNVEPVEPAEEDTTVDT >CRE02879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:781168:783609:1 gene:WBGene00055312 transcript:CRE02879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02879 MCEFSRWAFLEVDVISSKIPHYLQGYAAGFAEGRATRDLIDMHIMNTVTGYCDGAKHFCDELAEFIEDNLKWMETEIKEHPEDEYWQQVNLTVNQLFGLIHGYENTLGAQINYREIAVHPIFMIQLAGDLEDLAMKFKKPENPKKVFSGPGHCSALVKLLPKNEDILFSHVTWSSYSTMLRINKKYSFKTGDPGQVYSFSSYPASITSTDDFILTSAKLAILETTIENYNKKSLDLITPNTVLTWIRAEIAHRTASSGLQWAEAFGRHNSGTYNNEWVVVDYKQFHRGKEVQPETGIIHVVEQMPGHIVHSDKTAHFFRTSYWPGYNQPYYKQIIRFSETDKMVEKYGDWYSYDKTPRALIFARDHVNVKDMDSMISLMRSNNYTKDPLSKCDCNPPYSATNAIASRADLNPINGTYPFRSLSFHDLGAIDVKVTNSRLINTLQFTAVSGPPGGVTKDVPIFDWRTNPLRKKVPHFGQPDKFNFAPVTYKWRKTYTPSRLQRFKQYLSERAF >CRE03074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:783799:786398:-1 gene:WBGene00055313 transcript:CRE03074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-agmo-1 MNETAWLDRVFSNTSLGHRLLERLTLTNLRHAFYLISPYETTVERVEDVPNYNAEVSAWWLVFLTAEFFILFISGHEDRFALNDSITSICAGMLSQCFKFGGRAVAIFLYVIVWDNWRLLELPWDSPWVWIFCLFFQDFMYYLGHRAVHEAGFFWGLHTIHHSSEYYNFSTALRQAAIQDAGLAIYDCLQAFFIPPPIFLVHRYFSEIFQFIMHTSLVDTMGPLGLVFNTPSHHRVHHGRNPYCIDRNYGGVFIIWDKMFNTFEAERPEDPPIYGLITNENSFNQIYLQFHTLWDLLLFKGFTKDKKGEPLFPGVVNKIKAAVYPPGWFPGVPITPFFHWMSMVDPAYKVPEPSKPVVKYSPPVRIIVKVYVAVSFILLLAIFFHFEFDRIHLSYLECSVKIAYFVVTMQCFGAFFDMKWYARYIEIARCCGVLGYYAFLMFDHIGAGTHRLFVISLHVMAILLWTTDIIVEKLSVCCCSKHQISPKGEEIGDLERANEVKTISKNVK >CRE03075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:790753:792086:-1 gene:WBGene00055314 transcript:CRE03075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03075 MSERYSKNGVHTFENVVGHLAQGGVQMHRLGLIGFHEWFLGLGVDDKSYFRLFLTRNNENSIVEIGIRYYLEIKNSNEILQEKYKTEGFVFLKHREVANNKYIPLLEVLNLNNGWLIDEKCTVEYGIQVESILETDGIWKFNFCEELFDCKQKQDMIRFCQKNTDRYLHSHKQILIHNCPHYSGSTTESHDKLIPDDVELSDLEQCFQIANGVRIDLSTYRLLGLPEVAQSLLLTNASHFIEEQLIWKQYKNEKFILHAIEHDLSRFLAVLLKSATPEYVLEIIRGHIDILSMEIKKMIVAKVLYGRY >CRE03076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:792359:793559:-1 gene:WBGene00055315 transcript:CRE03076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03076 MRKKYSKNGVHTFENVVGHLAQREDQVHSLGSIGGYEWKLGLGVYDETSFRSSLICGNGNPKVKIGIRYYLKIKNSNEILQEKYKREDFMNLESDEVAISEYIPLLEVLNLKNGWLIDEKCTVEYGIQVESILETDGIWKFNFCEELFDCKQKQNMISFLNSNNKRYLHSHKQILIHNCPHYYNHTTESYAELIPDHVVRFNYLELCLQIAHGVQIDLSPYYLLEMTRAAQSLSLTNATYFIEKQLIWNEHNNKTYIMYAIEHDLSRFLAVLLKKVTPEYALELLRLALYGGIINSSEIKKMIVAKVLYGRY >CRE03077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:794733:797352:-1 gene:WBGene00055316 transcript:CRE03077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-37 description:CRE-LGC-37 protein [Source:UniProtKB/TrEMBL;Acc:E3LWF3] MVSVFLLPIIALIHGHHAEGIIDMKKQSFPQQELSDSRLNSRSTTYNQTLIRYTTKVLDTILLNQDKNFRPVNPDNSPLQVEVDISVRSMGPISEQNMEFSLDCYFRQKWLDRRLAFTPINPSKPEIPLASKMLKDIWVPDTYIRNGRKSYLHTLTVPNILFRVRSDGQVHVSQRLTIRSRCQMFLKKFPMDTQACPIEVGSLGYFSRDVIYKWKEVELDSKMGNMLSQYQILSLSKSERNVSDFRFADRNISVLNVYFKLQRQQGYYILQIYTPCTLVVVMSWVSFWINKEASPARVSLGIMTVLSMSTIGFGLRTDLPKVSHSTALDVYILTCFVFLFAAMVEYAVINYAQIVYIRKQVHDLKGLEQNSAMRMFTAGLMGARRDTIQVDNLAVEKEEARLKAVPWWKKLCNKDEQGNTSVFYRMAVKAAIAKKTLRNGDPAEVVNKIDNFSKWAFPTLYIIFNVFYWVAYLHLIPDEIDSLPNIAGGLY >CRE02880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:802124:806910:1 gene:WBGene00055317 transcript:CRE02880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gab-1 description:CRE-GAB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWF4] MRRIKTRRVFHVSITLLLVSTIFCQNGTSPAHNTTSDQISTYWSNRSQTMYSNASSLLSDLLLDYDIRLRPGFGGDALLLTMDIIIASFDSISEVDMDYTLTMYLHQYWTDERLRWSNEIPIDEMTLSGEFSQNIWVPDTFLANDKHSYLHEVTERNKMLRINVDGKVAYGMRLTSTLSCSMNLRNFPLDSQNCTVEIESYGYTTSEVLMKWNYPLAVHGVEQADVPQFTITGFHTEDSIVSTATGSYQRLSLVFQLRRSVGYFIFQTYLPCVLIVMLSWVSFWINHEATSARVALGITTVLTMTTISTGVRQSLPRISYVKSIDIYLVMCFVFVFAALLEYAAVNYSYWGRERGRHGGGNEWPVNGANKEDRESAVNVQKWVPSGLMDGVPQPHDRRVEALEEAMSSSNTAATQNNNSRTPKPKNFQTSPPPVVKPKKRSSSPIPPLCRAGNAISEESDEVPDYPRYSATSLKGGRPHASLNHRTHHLRGSHTARAKRRMTLARMNVSMKQSISGIGRRARKAIPSIRVRDVNLIDKYSRVVFPVCFIVFNLFYWSYYMMAGATT >CRE03078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:807223:807955:-1 gene:WBGene00055318 transcript:CRE03078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03078 MQMCPPLILLTLSILSLMECVDANIIWRYQKGDGEPQRDIDFSEHTWTPLGPAQMQDYYGLHSHAPRSLPEIEKEQQSFRFMEAPVSLSNPVFNVFSKMSDLYRWISNEKRSEPFDY >CRE02881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:814484:815517:1 gene:WBGene00055319 transcript:CRE02881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02881 MGRGKPLTDFEKGQIAAKNDQGLSNRQIARDLGRSLDAINRFIKDPLNHGTKKSPGRPSLLTVRDKRQILRKASNAVITCSKIKCDLNLSVSNETVRRVIIKSKFIKYRKMKKAPMLTSVHRQKRLEFARKNIRTDWRQIVFSDEKKFNCDGPDGYNSYWHDLRKEKLRFSRRNFKGGGCMVWAAISSAGRVKLCFVSKRMDGSEYRYVLRRSLLPFWRRNRHKNYQFMQDGAPCHRARKTIKWLEDRRIPVLTWPACSPDFNIIENVWGYMARKVYEGNKSYDNVGQLKKAIVKAWHAVDQNLLDNLFLSLDNRLYELTLNSGGHINY >CRE03080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:825338:834776:-1 gene:WBGene00055320 transcript:CRE03080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdh-1 description:CRE-CDH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWF8] MFFVKNRVIANNSQCGNSKRIRYSMQQGKDEYLSIDSMSGTVCIQKMLNYEKEKSYQTTVIATNANSETSTSLISIRLKDVNDNWPVFYPNEYHLTIREGPKPSEPLLVVSASDLDSGHFGEVSYQILSESTSFSINSMTGEVFAKKDLSRGRFHLVVNAKDGGGQNSENPANIHITVIDKDSKTPEFSRSKYEIRTTEDILPGIAIGSVAAMSEGRIKYSIYSGDPEHNFSIDEDTGKIYVTRYLDADVHDTVLLNIQATMDGGQSNQTQVLISIDDHNDNAPIFSNGLVEISVREDTPISEPFYIVHATDKDKKKNGQVKYSIISSHPGSSIEIDPHTGQLSASSEFDYESVRNFKIRIKASDMGIPSRSSNMTLFIHITDVNDNSPVFEKTAYFMDVQENSPPKTIVGKVVATDLDSLENGQISYRITNGSEYFGIDEKLGNIYTKKSLDRETISHVDVTLVAEDKGVPPRSSSVHARITVLDVNDNPPSCLSITPIVVPANSPPSTAIGTIVASDPDKGLNGSVLYRAQLQSNLFVVKSNGDVYLRRQLNASDEHHQRLSVIVSDQGVPRKSTVCHVAVRIAKGSSDIVLQEPVQRYVEIPAACAAKCRLTVFNATGVTTWQIQSSDISNHFAIRDGVLSMVSQPNHRPPYSLVIVLSDRNDRQKSIQLRIVATGEAASKEEIIRISDTNSIGSKIGRLGEKSPDSSFFYRFSSGNEENCPLELDQTGGVLYLAEGIRGIRNAMESNYSCFFEKFNSTDGSVDEMRVDLEESFQITRSKSDKPQFDTRHLTVHVREDTSTGSIITTVNATANDDSTTPISYRFPSQIDTFAIDQFTGDITLVESLHWHVKPIYHLIVEAFTTGPGTTLLVTVDVEDVNDHSPFIVSQPTVLLPASYRKGDVVHRVVAIDLDQNPKISYSIQNYSEPVEALRIDSESGEITILSSEGLPETITVRADDVTDPTKYDEQKVLIKASNTSKSHWHFFDNQKSTVAMETNTPKDTVIQKFKEEGGVKMKLVPASQFFELQGNQLVQKTVNVAAGTYRHTVVAEKEEDLIDWTVLEIEVPPMFQDPPKISSTSCGVVTVEENMDLKNFKRIMATGMTNSSRFRFQGASDRFTIDPVTGDISVTSLDRESAQEHLLVVVLSDAGRNDSCTVRITVADQNDKKPQFDANTPSEISINESSQIGDVIWKFHASDMDIGLNGKLSFELLEDPSKSLDLVPETGALVIRRLSQKDTWQIKVRVMDHGYPIGLGTERTIKILNSQRLPANQQEPIEFLRQTYISSIDESLPRGQFVSKLETSGVAGITYSIVEGNTDSAFSVDSDGVIRTNLELDKEIQDKYNLKVIGIVNPGATSSTPSQITTRINVRVNNLNDNLPSFPQTKPRRVAETLKVGNYVATVGAKDVDQLAVLQYSLEDQKDSKFEVDRFTGVIHLKEPLDYETLKEHTLHLKVTDGQFEAKTNLTIFVSDVNDNPPKFSKDFYLCHVSPMTYSPGLPFAKIEATDQDSQSSQKLTYSLSPDSSTIFKISSEDGSLSMKVLPPSGERYLITVTATDNGIPSYATSVPVQVVIGEAMPTEKPVFERQEFRFEVLENSKVGLQIGNLSGETREFLYRIQDPEASKIFHVDKFGRLFVAGPIDREHKAAYTFTVEIENEMMSQKVQCKCHVIVLDENDNSPVFTSSASYIHLKDSMTHGQTIGQIMATDVDSEENGRVSYRILSGNDLNIVTLNTDSGTIQMNEWNDAQLDEFPNATWQILVEARDHGHPYRSTIGSITVSLKMSSWSGSAPFFVLPVYEVHVLEDTPIGTIVQRVRASNRLGLDNKGLLYSLKEHQGKLSIDVKTGEITLKTHLDWESEPLISMYLSVSDGNGRSAVVPLKIVVEPVDEFAPVFTKSSYTLQVKAENKISSFLMIVYQIPISTPAGDSVGQMQAIDEDGGPHGIVKYAISDRQNTVSIEEDTGIVSCLFLRPTLFSFQIRLRKSLSQRRNLTIEQITVVAFSSPNKQSKTTVYLEIGPFDLQPSLPKLLLHSKPVQIAAASLILLLLLLIIVICVCMCKTRRKNKKNEKPDVICTVTTSGDSQRRRSSTQKPTLQKQVYSVKTGEIRTLSGDIPGPHHHHPTNSMTSSVSTSSDALRMIRGSSRSQMDSGIDPDNVSINSSVTDYLVSLGVNANPIPPRIRPNTTYDSLMNEYIYARVEDVLPPGPISLTTPNQHSLLRQPLSTASRRPPPIVPSFEPLTEIFSEIVEMRKNEGRKEYVQVEI >CRE02882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:835698:839739:1 gene:WBGene00055321 transcript:CRE02882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02882 MSDETEPDDFGMSTILLPSTRLFQVKIPKQMCSHVFPALYLNLGVEMMYVLDQRLRVQKERIEDREKSDKVVKEIMLGFLAKQTLDEVFKGHGTPTRAGLKMFFEKVAHCSIMRLNENSMDKLFDLMMMSYKFALMKMTMPEQIMTITVNHLRALLDLVPLDKDIGTAVEHAYTMAFTFYRPLGPMGWFMLRNSLLVFFQDTRVKVSTFIKDCKQLPNGRFVIFDKSAPVQLMLDGHPVGETKIQGGGLGKSDRKEGNEMALFESLMSSTTTSAVAESEIWGMSLFDNIEDEKTYMKEVAENQQITVIDAREKKKTLKAAMEEMQIDKRPPTAGEKKKKSKGASMLDMMDEAAARPATAKKGSKAKRSESIGANSTTKPAADAKPRTRSGSRPTTGARPGTKAGAEARPATKTGSMPSSKGAPRPTTAGRPTTKSSERATSATPKRAGSAKKKVATEAAKDED >CRE03081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:840675:845287:-1 gene:WBGene00055323 transcript:CRE03081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03081 MKILLFLFYIFIFLPSPTTCLTRFTVPESAQIGHRIGWISGRQEESVAPKYFVVFPDEQTSKFLKVDERTGEITTIAHLDYEKRSKFDIVAVRVEESGETVDILVEVDDENDNAPSFADQSVKLEISEFAQLGTSFALPTVTDGDGPKFDVHRFVILQGNVNNVFKLTSRKVNEQLQADLVVNGQLDREFRDKYELLIEAQDGGKPAKTGQLRCSIEIVDANDNAPVFTRSRYTASVSANVSIGSHIITVQATDGDIGENSRISYEIKKTSSPSNSNFAISSSGVITTTATLLPASTHDVIVVASDHGNPPLSSSAVVTITVMGSTLASPPLDIIWLVESNSAHLSENSTLGSIVARVSIAQMYRDTKLRLIGTTSLCPQQTDQSHVYLLLLCGILDRETTSEYHLKFLLEKDGQIIVEHPQLLTIGDINDNAPQWHSSQIHISLNRTAGGSRTLTAKDPDLGQNGWIRYSVLDTDLVSIDKETGRVFVTNTIDCNVGSEIKFRVRAEDGGSPALSTDLQVIADFLDSESKPPQFEKALWQVEIPEDTPVGTCLVKQETQINIAAVSKPEKKENREFPKVE >CRE06213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig933:213:710:1 gene:WBGene00055324 transcript:CRE06213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06213 MFTSYSSNDSKIMTLLYKTFIRPVLEYGTEVSSPYKKCDIRAIESIQNSFTRRLLSRQIGRYLTPSDPDYLSANQRNAKYGLVSLEQRRQASDYKMIIKMQLGKIDINTDDFFTTNTFTKTRSSNTFHWKAGKTKTRRNFFVHRTLSRIPVSSDRPSISLHSLPN >CRE02887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:861765:863096:1 gene:WBGene00055325 transcript:CRE02887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02887 MRLRSTKALVTVALLGTLSFAQEDQEASTNAPEDSPASDPLAPHNDDALLKPVLAKDMRSRGASGQRRGPFASFSGNYGSYGGGGGYGGGGGGGGGPFGGFNGGYGQGGYGGGGGDYGGGGMGGYGGGYGNGGGDGGGGYGGYGGGGYGGMGGGPGGYGMGGFGGGGDYGGYGGGGMGGGGYGGGGDGGYGGGGQGGFGGGGMGGYGGGMGGGGYGGGGMGGGGYGGGDGGYGPSGGYGGGYGPSGGYGMGGGGGGGCPNGECRGKK >CRE02888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:867929:868987:1 gene:WBGene00055326 transcript:CRE02888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02888 MSLLKMTDISVSLSSNTDALWRNCFELTNEMNITAPGRRIFPTLEYIIMGLDPSKYYVISMHFEFVDDKKLRFVGGKWTESPSTEEKGLPRGVFHQNGLQLGKDWMDKPLSFDQIRVTNRKSNEKKGPSFVHLFAQHRYIPVLTIYEGDQIVHISKIDYTAFITVTAYHSDALNQLKTDTNPYATGSRLDHRMKRQSASGGAESNSQSTKKMKKEPEPSTSNSTVPSIPSPAPIDVPTFPFLFPTNLSQPDVLLQQFQLFCQMAFPLQQSMNTNQFFPTFFPTPPFTPDAPALDVTPPGKVEPVEPTEKDDTVDA >CRE03083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:869566:871275:-1 gene:WBGene00055327 transcript:CRE03083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03083 MPNSNSSEVFDKSLSSKVFDNPHLLEIIVSHLAWNCESNLSTRLINKSFNSLFLRIIRRNHRKMKIEFIGRAERCEETAKDWIFINYRKMRKSIILGYFNFLNKVAGVKVEEIITKNLWKPEEMFARYLHKIIHSDLIGGNRGSVRRLIGLEEVCEGCADCLYMARRCVEYGSIRFRVLNLIKKPIHYRKLHISDKLLEIVANYCSRKSTTKEECFKHLNDIIRPSISCDTLVLWICEMREHYVDGVRIVAHFAMPREVLDVIIRKWNVKTIRMNMTVCTSEKICREKWIDGGYFTRIKLDDPYWKTGQSGDLKLQHVSVKVSDSYDCAGGLMYSNPKTVYEKSFENYIANLRRLFQMDKLSIDFSHWKHKHSASLEEFMKNILRVIQLEKQRKLEVNIQFFTEISSFKVGNSEELAEIPSEYSLLSDRVECIRMFVPFEIVESGPERLNMIKWVGRRFQVKDMDNHFTLNFNIYVKETELGELNVDKGLMERHPNSLIGMFVY >CRE03084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:874707:885140:-1 gene:WBGene00055328 transcript:CRE03084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-2 description:Receptor protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LWG9] MLNIPNLSENTIPKISIGDVSNVVTVTDNDISSTTATTTKMRRRRITPESEVTSSTSSLPPVPSFNFPQLSTSTTRFLLLLVLCAIFQPCLSIPELRCGPIDIRNKPWSFRTMWSHVGDPHAVNYYINKSNHETWPPKNVQINCTVVEGSFAISFVYDTQAQHKKGSPDEKTLEMTDYPRFPHLREITGSLLVFETTALTDLGYIFPNLRVIGGQALIQHYALIIYRNADLDAINLPKLSVIRNGGVRIQDNKLCCHVKSIDWKSMVMTSINDVVVDDAAESSVTETGKLCPKGPCEDDPDETMCRYVDNAKGSRIQSCWSNTTCHKVCQWDRLDNQEMGPGCDPDGNRCHDQCLGGCDRPNDPTACSACRFLHYKGKCVEKCEPHLYVLMNRRCVTKKQCLELNPVHQNKTVPIKATEGLCSDKCPDGWEVNPDNASACRRCNGACEIKCPLDHKIDTFPKALAISRCNIIVGDLTIEMRGKQESGMAAELKKVFTNIHTITGHLKVVRSPPFLSLAMFRNLRVIEGRNLFLGKYAIAVVENPNLRKLFDDRANFTIGNGTIQVHNNRMLCYSIVRNLVRRLGVDLNEDDQSADSNGDKAICDDARIEVRIAAISSDSIVFEWDKFNTSDIDHRKFLGYELYYKEVPKVDYTMSIDEDRSACVDSWSSVFKQHYEKDYEIPGENVTVHLGSAQKIRPNTVYAYYVATQMVHHPGAKNGLSKIGFVTTKFSQPEPPTLMVTKVETDSILLTWDPPRNPNGVLTHYTISWRELDIKVHELASIFCKKDAFEKSLQLARDSASTEADEKTYKPKDYSLAPTLTSLIPSTEETCQAAGCCTCSAETADVKPIDADATVETSSFENELMDTIITPRCDIEKDPMGCVMQSRAPEHENMSDDDEDEGNPDGDEDSEGQQLRMKRNAEAFERQRRQLYLDGNHAIRETSRRQYEQFTALIISEIRSRRSIRTIEEMDKQLEQNEKNRVSTSTVKPSKKPLIYVDTKKPKGTTTTTPTPSVTPSPTHDLKPVIHDELVWVSGKFSINVTAKESGNMFLLKNLKHFTTYGITISACQDATLNDNNCSPPHKAGTKKRTKPILNIDKVDNSTIRVETQSDVKKNVNVTWDEPTETNGGIIGYQVIMNSTTGSTPMTMCAGAIPGYSPSVHGVQFIGLNDGRYEVYIIATSPYRQGDTVVWSGPLIIESPGWFELWMIGLIFVVLAAIAAVAAAISRKYYKARVSKRVKAMSNFMHLNPEYTVDNTYTADYWELKPESVTIGSQCGEGSFGKVFLGTGDNVTTVMGDIFGPCAIKINNDNLESGDNLNYLMEANVMKNFKTPFIVKLYGVISSKVPAMVVMEVMELGNLRDYLRSKRQDDVFNEMDCNFYDVVPREKFCEWAAQICDGMAYLESLKFCHRDLAARNCMINADETVKIGDFGMARDLFYHDYYKPSGKRMMPVRWMSPESLKDGKFDSKSDIWSFGVVLYEMVTLGAQPYIGLSNDEVLNYIGMARKVIKQPECCSDYWYKIMRMCWRFAPRDRPTFLQLVHLLSAEASAEFKEVSFVFTENQMAMEDSEPLDIDEIYNYEPEPDPDDIEAMDHLDSEAENGERRHTDSIPMKEFRSDHLNSSGMNSRSTISVGSGGPHTGRLRKKSRQRSLDEEYALMNHSRGPSDTEVRHYGNDDGDYVERDVPQDVPTRRNTGASTASYAGGPYLANRGGSNERAGFGEGVRLTDALGSGHLHDDNDYIEKEISSMDTRRSTGASTASYGAPHPNTQTNWSGSRGATYYANKAQQQQQAAAAAAAAAAAAKQRTHVHLNEGRGDRLTQLPGTGHLQNKDEGDYIVTEPRNTKNDGSPSKNGNTSSNRASSNGRSLFGEKERLIEDTEHPLG >CRE03085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:894987:896603:-1 gene:WBGene00055329 transcript:CRE03085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03085 MRSLDPLDPKNRNAVFVNSSSDASSKSGRRQSKCLRDRHPCPLRTRSRTTLKAVPNNSNYKFPEGXXXXSDFLNRNTFGQIYSHHKFQVGMHFRACEYMSDTWTHRPDTRMSVSLALMRWTSGLGEPNMKCLTPADTILNPSPLLINFVFVSSLISRVSAPLPLTVLSPPLLSTLLISYYYLTLSSF >CRE03086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:901411:904542:-1 gene:WBGene00055330 transcript:CRE03086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-25 description:CRE-CUTL-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LWH1] MHSPSSITHPRSPLFLLVFITVSSIFSSSPHISVEAKTFENRIVGKPFVSCRPEGVSLVAQTEDFFEGQIYVKGSRSNPSCSKAFVLSQNSTDLELKVESQQLIKCGFRAWPKPNSKMMLLSGQVIVAFHPTLVTPSDRAFRAHCEFEDFKQQAEIGVDNVFQEHDLILGNFELPEISMKVLPAGEESVSKNSLETQELKVLKVGDPIIFEWKLQQEHGIFGIELERCSAETEDGKGMKIIENGCSLDEELISDTTSSADFSKIYANSLAFKFPEEHVVYIRCAVRTCVKRSEHLEIINGEEEDLCSAENICGFLPSSENQRSRRQLMRTNNTRTDIIYVNGRFRIEKHRGFEHLRTASTSSPSTFCMPDIVYYAGLSTVVLCYVITISTTVVYKFSGKI >CRE02889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:908202:910059:1 gene:WBGene00055331 transcript:CRE02889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02889 MANKNKKKTEQKKEKSEQWSPVTMKTIKWSITRELTRTRTLVSLANRSLALERNTNNITVLDGYLAQLRNQLVLIEDLPENAIDLLKTNNKLCAQNVFEANRAQISEHLADRGHEGLVNQMITLIGDVTTTTTDGIAPRRAHPEQHIPERSVQKTINQSDQLDPGFESNSGRHLPSSTKACESTHSSDFSAHPRSNILNRGSSEASQDIAEFADDLAVRIGKIEHTQTLLLDSSATANRAVKNLQGNMQAIQESMQKMQEMMYEVVNRQPTWQTAPDKKQESEPATTSKDKQVPEPSTNLSETPILRQSSSMKQENSSLPPPVVTPRNDAVDSPSFRQNPPGSQESSSPLITNNTVYTAMNTIPVFDGKPAEYNMFMQLFNALVHENDEIPVTLKHALLMKLLSGEAKSMFRSVTLEKDTKQNLIHQLNKLSFSEDCFEDMEKDLNKYCILAYSLRSKGCRLDDSFFINSFIGKLPQQVMGTVFKKHHQKDRTFQELVGIAYKTISEKRALDQALKMKRGRITTNEVHDDRYPSTSQSRNFSHLPPDSNKGKMTVGHNKALVSSSDSNSSSD >CRE03087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:910656:912552:-1 gene:WBGene00055332 transcript:CRE03087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03087 MSTTTPTCLTFDCPVGPAGQPTCTLDNSTKPFCNYCPGNMDGYTSCQTVACTAGTPFHVDNNGDGTWYSAGYNPILPRDSTIPANSNCVYNLKGTFQTDSTLNLTCLISTNVKLTFSSSDGSLSQSVSSSTSVRSLNSVLSKIPDGSITLTSTASDQSFCSIPLSPVPRTTTPTPAPTCLTFDCPVGPAGQPTCTLDNSTKPFCKYCPGNMDGYTSCQTVACTSGTPFQVDSSGNGNWYSAGYNLNSPRSSIVPTNANCVYNLNGTFQTDSTFSLACLTSTNVNLIFSSSDGSFSQSVSSATSVRSLNTLLSMLPDGTITLTSTASDQSFCIIPLNKDSSSAVLDEIPEKKNGFFSWLMGYSESSNLRFD >CRE02890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:919667:920916:1 gene:WBGene00055333 transcript:CRE02890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02890 MTGLLKRSATINEATRTRRHKFALKYDLNNNTEQKELEAQECPSGAGMSETFVWDWPFSSDGTAPGAYTPEKFLVCLPFNKGGTGEKNGTTKKFERIAESDFSWSMGLSRDAELGSTCFWRLEIEIIRNMNAIVLIASRDISRYYENTKRLKRVRKMYRLPEFYDVTTVTTTMYDWAVVVEAQKKSINRPTMRRASTFQ >CRE03089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:921224:925415:-1 gene:WBGene00055334 transcript:CRE03089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03089 MPRINHPKRFASNSTLSARLHRHSNRKPQAAVVTARRRRQEATPPAAAMAPVVIPAVAAAVAQVIPQAQQAPSVSFELRPNRQMEHQQAGRRHSFEDFANLDSVIAEMLQNINEMTQVLQNVGNDGPCTSTSSIEQSVYLSTTFENIQPMMVALSQKVQQGLEIFIPPTIPSSSLLRITAEKLSEIGDRTLSEKIQSNAQSLTNATWQTMNSVFRVFSLTDKQVMGYLRHLKAQTPSGMPIFPRYLDTGNTYISLGDSGAYFFGEEFVCRAPMPNGTQQRRPNQVQEAAETGSDVFWYEASDTDDESTTD >CRE02891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:932659:936114:1 gene:WBGene00055335 transcript:CRE02891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02891 MLLLPPLIPILLLVIPISSTRTPPRYTIDLDEHPSQRWNQVIKDHLDYLPGVVEESKKYIPKPLQPFVWWVASKVERFFPPDTRLELEGIATQSGLPLGEIVGLNILYDIAAFDRRHIFGLGCTSIVAQNSAGRIFHGRNLDYDMTGLLKNITVYVDFTRNGTVIYSGITFALYNGVLTGQRPGAYSVSLNARYSGAYIDNILMELYTKFKRPVSFFIRDVLESQETYTDAVATLSNTHLLSPSYIIVAGTKKNEGVVIPRNRWAAANVYPLDMDSNQWFLVETNYDNWQKQGDNRRITAIQKLKELGKENFNEKSMVEVLSTIPVRNNLTVFSSVIEPKIPKVFEDFTWVWD >CRE02892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:937283:938278:1 gene:WBGene00055336 transcript:CRE02892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02892 MPILYFENQSTRRLIPLGIHENTTYFYTDSNQFYEFLAFDIRFPHKWRLVGRFERQDISYGFSSLSPGARYIYVLFLRMTDEVTFGIQRIDLVERKEDEFRYTGEISEALRINFERVTLRSGPNGKLVLFDRSLVQGEIPLWTIQLSEEASKTFTVSYNPIGVKGKNTARNGCLFTVAVDPVNRCFAKLMSPRKMLVYTESRMWQEYEIPPEESLYDIFGSDGFPIEENRAVHETFGRHGHRAGAMQTRLTFHDLNGTVVARMLHMDRKNTTAVVELGEFYIFDKFLQTNYVSFQRYINR >CRE03090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:938240:938886:-1 gene:WBGene00055337 transcript:CRE03090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03090 MSAEEAPVDVPAPEAAPVESLVYITLISDDQKEVNISSEALKQSQTLADMVANLQSSGVTEVKRLGPLRNITGDSLVKIVEWCEHHKGEPILVHNDVGAAPNAGPNRAAIPEWDEEFLKVNNGELYKLIQVSEILEIKRLEKYACQTVAQMADGMSPEEMQNFFGIPGDEEDDETAGPSN >CRE03091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:939771:944404:-1 gene:WBGene00055338 transcript:CRE03091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03091 MEDCKTYIGIVIARIEHSEVYSVWCPKTYIGRHGFITANPNRPVKLGDWVSMNFYKKEADIYFPEPKQASAPKFTSTNYIVIREWYKTEVDGVTIRVTLEQHLVANQMMIKHHFFGNILNTSNIQFPAGHFNVTIRRIKVKPDMVYEWIYDSISPLPCQPENEEINLIGIVIGVSPVQLNKFNVWCKERVVGADITITTDTMELGTWVRMTIKKNQMTQVFPECDNYTIIPPMHKTDLIGYKRNRVQLTLECFVMKRDMPLFHPFVGEILNNTITFNECGKYSITVECPNNKLSKMNKRLWRLTNKELILPEHAPVVPSPVGLQHQSRLNQRSQFEQMDQKRARSKSRARSKSRTRSKSRGRSKSSGRGIELTGLVVEGVPSSPRQRYVWCKERPAGNEVIVENKNYALKLGQWIKLHVSQQEFEEFFPSETLENGQYPWFLCDDYTEIDDVYPTERTSSKQKPVSLNMTVTSNDAHEVLTPFLGSSNIISTISNQSGYYSVTISWTKPTDKNSTSRFWMLEKCDWTNIGRDEPQIEVSSRRSVGILKNAGPAPINNQFIRHSSTQSLNIPEQPIPKPRTRVGRVNPPPPPPHPPVAAPYDKKAVILRIVPNDHKESIFGWLLEEKEECRLILGIKAERLNLRPGTFITAPFTKKDEKWAAFTNVRVDESPYKTRVNDRGGVDIQILVSNINAPDPAHFFHPYVKHFEFGDVLDNNNRLQMHSNMKYEMWIRREWFGNKAERQWLVVEQIEKQ >CRE03092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:945085:948320:-1 gene:WBGene00055339 transcript:CRE03092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03092 MDTRTVIGIVVLQKTPIEYYISCQDTFPGNEDGILTTNSTQRVKVGDWVSMIFTKAEAEMYFPNPKNKTAPQFKTSNYMVIPEYYKTEVEGKTIRIKLEQYLTENQREIDHNYFFKIYNNLNLQFAAGRYLLTVKRKRPAPYMQSVWVLENVEIPVDTSDVSLIGIVAGADKNQPNLYYVWTKERPVGQDITIRSKNISLGTWITMRIPKDQMNKYALETKNYSMMEKSLYPTEIMNGTIALKLELWLTANSREISHPFVGNIINKAKYFSESGKYLITIKRQINKKDVQPIKSVWHLIDSKLIELESTHVASISHPRQSLIDQNIQRGQNSFNNRARSKSRGRSMSRPRVQDNQIELNGIVVANGTGCKYVFCKERLPGNDIKINDDSNLGLGKWVQFRVSQQEMDKFFPKEMPENGEYPMFWCQEFTEIPEIHPTKITGHKGTILLELELNIKENTRVEDLWHPSVNYIINESYTFPQSGKYLLTIIRGKNRTGLVKSVWFLRECKLVSIEPTNQHNSRFDQMTPQRQSLIDQNIQRGQNSFNNRARSTSRRRSMSRSRADHSRFDQMTPQRHVQFDHYGRSNSSPRGILKNANPIFVSNVSPNPDGPSSSSQSARYSYSPSQMTPQPDTSLPSLSPIPAPRTIGTTPRQSQTNQNQNTGIIIGINHKGSYEMIFVWLIDKKTAAVLNLTVPSGKLNLGLGSFFSAPFTNENGRWSSSGPVTIVDKIDGVFTRVNGQGGVELQVYAEGLCEPDHEHFNYPWIPHDYFGDIVSDKGTLIDNRGQLEMHGKVKYHMIIKRSRVKSEENFQWVVVEQIRV >CRE03093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:948713:949484:-1 gene:WBGene00055340 transcript:CRE03093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03093 MSAEQAPADVHAVDAPVAEAAPAEPTVYITLESHHGMEVKISSLALKQSKTLADLVSNLHGGEDPHEAIPVADVTKDTLVKIVQWCEKHAGEPRLPDDFVADHEFVIPEWDQEFLDIDNDVLFELMLASNYLNIKKLSIYGMKKVALMAKGKSPEELRELYAIPTDEQDEVAEARARARAAARAAARAGDGTGDGTGAEAAGAGSGAGAAVADVGAGAGAEEAVAEAGGEDAVADEGAAPEDDAAQPSD >CRE03094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:950242:950976:-1 gene:WBGene00055341 transcript:CRE03094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03094 MSAEQAPVEVPAADAPVAEAEPAPVAEEAPAAETAPAEPLFYYTLESCDGKEVKISSEAVKQSKTLNDLVWNLHGGAEMDESIPMDNITHPTLIKVVEFCEHHKGEPIPVDDGSVPKKVTITEWDEEFFKMDDMELFHLVLAANYLDIKQLMNYACKKVAQMAMGKSPEELRAIFMIPTDEEIEAAEKAAEKEEVARQVAYNLAEINRKIKEMEQADAAAAASRAKEAAAAGAEELIAGVKEAS >CRE03095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:952037:952642:-1 gene:WBGene00055342 transcript:CRE03095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03095 MSTGEAPEAAADPDVYFTLQSSDGQELKISSLASQQSKTLKNLLASLHDGADFNEVISMDNIKEATLLKIIEWCEHNRGEPVPDHDEDPKPGSVRFSEWDKEYLEIDCSQLFDLIVAADYLNIRKLLVYATNKVALMGKGKSPEQMRVTYMIPTDEEDEAAEKAAAEKKKAKEAERAAAAAAGETGPSASGAEAAAEAKDA >CRE03097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:955776:956402:-1 gene:WBGene00055343 transcript:CRE03097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03097 MSAEQAPVEVPAADAPVAEAAPAEPTVYYTLESCDGDEVKISSEAVKQSKTLNDLVSNLHGGAEMDESIPMDNIKKPALVKVVEFCEHHKGEPIPVDDDTVPKNVTIPEWDEEFLKIDHDELFHLILAANYLDIKQLMNYACKKVALMAKGKSPEELRVIFEIPTDEEDEAAEKAAAEKKKAKEAEKAAAAGEAGPSAAGAEDVAAAN >CRE03098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:957927:958541:-1 gene:WBGene00055344 transcript:CRE03098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03098 MSAEQAPVEVPAADAPVAEAAPAEPTVYYTLESCDGDEVKISSEAVKQSKTLNDLVSNLHGGAEMDESIPMDNIKKPALVKVVEWCEHHKGEPIPVDDDTVPKNVTIPEWDEDFLKIDNDELFHLILAANYLDIKQLLNYACKKVALMAKGKSPEELRVIYGIPTDEEDEAAEKLAAEKKKAKEAEKAAEAAAAGEAGPSAATN >CRE02894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:958969:959686:1 gene:WBGene00055345 transcript:CRE02894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02894 MSAEQAPVEVPAADAPIAEAAPAEPTVYYKLESCDGDEVKISSEAVKQSKTLNDLVSNLHGGAEMDESIPMDNIKKPALVKVVEWCEHHKGEPIPVDDDTVPKNVTIPEWDEEFLKIDNDELFHLILAANYLDIKQLLNYACKKVALMAKGKSPEELRVIYGIPTDEEDEAAEKAAAEKKKAKEAEKAAEAAAGEAGPSAAGAEDVAAAN >CRE02895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:961765:962382:1 gene:WBGene00055346 transcript:CRE02895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02895 MSAEQPAPDPASVVEAAPSEPLIYYTLTSCDEQEVKISSLAIQQSKTLDDLVGNLHFSEVGKPTEPIPMEKITKATLLKIVEWCEKHKGESIPVEDDTVQRNTTTPEWDEEFLNIDNDELFHLILAANYLDIKQLMIYACKKVALMAKGKSPEELRVIYGIPTDEEDEAAEKAAAEQRKAKEAQKAAAADAEAEKVEETAPGAST >CRE03099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:964276:971876:-1 gene:WBGene00055347 transcript:CRE03099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03099 MSNHQGESSSQNGNLEKEVSELRLEVAQLREALRSRTTSGTSTPAPPTQTSTNPIALKNTSSLSAGKLSRSAKRRLKMERKREEASKMEKNGERQGGNQNNRRSVAMEREPTFHGAPSTSRSETYYEFDSNGNKDNWWNDTEYRPNWETFGPGFSREGYRNSRRDERPSEPFAHYRHHGYGSPSNDLREQDSRRNYRGENHYQQQDQYDTRRPESNPYHQDYQYRDQPSTSSGFELIEIGCRFDGHQTTSKRYESRGRSNGNQPNMMDNSRRQQESSPRNSDRNSHRDSGNGHGSCLPYQESRDHRKFENKAAQLSGSSSSRWGTQQSQYRPQPTQYGRRQDTYQEPMDSRYREDSRSFQEPYKGYHQEYQPSNPYHPGSSSQFDSIQIGGRFDGNQAPSSRRQSPPRPRNDGRYSSESSRPSTRDQPGTSSSGFDNFDSIQIGCRFDGTSSRTVGTRRRSPPRPRNDRRFENELGPSRSQIEALRNVPGPSTPSQRPSNSNLQKENSGSWDSLDEIEGTRIERQNPDRQVEHRESQPLPQSPHTESSRREQRAQNPPPVQINRGFGFGFGFPPLDFSESSDGPSTSEQRPAPRYYANQEWGQEPSGEPQSLSDSISPPSDPTSPPRSHSRDIIPSHQRSRQRSSRRPAPVPHGLDVPFPRPGQEQYLVDGEEEQPVEVEEKPEPFTVVFDPFGECMLSSSNGEVKFFEDDKFSWVQTDLDLIKGHGDGDLRARIEPVATPCLVPGKGAAYCGWRVKEVIEVIPRPRTPNSDDDLPLLDDAFWRPVEVSRPLPQVQPAVALPETSRPETPRGETTSEEESDGEW >CRE02897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:974058:980586:1 gene:WBGene00055348 transcript:CRE02897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02897 MNGLIALLFSPSTQMDLRERLPKKPTTIPKDKKKKYRRKNGRPGPKRGPGFYINMKYRKKKAARLAQQAAAQLAQQPAAPAPAPTVAVPQPLPATNPQTPQARKKKPGRKRGPDYLKNLRDRRRQEAAKQAASQVAAAQLAQQLGAPAPAPGKPGRPRGPNYVRNLRWRMKAGPTQLAQQGAPLPAPLQGAAPVVALQQRPKRKAKKAKAQKKQKGSSKENRPTPPSFHPDDVFLVSDRQLKLMEKKAATKPVYRENRQYKKMARNAAVPAPAAALAAVVNPPLNPVDPPVVVDDRAGSPASVDPPTVDPAPLSAVIDLVGFLNPVDPPVAVDDRVASPPSDDPPIDVEDRDGSPSSTAPPGVFVSLPGPSAAADPPIVDPDPVAAIIEDLVDPPAAIENDLAPIDEISNDAISIPSGSLFVGKRSNNSQTWNLQGNVPIDFDDRAGPSAPADHPVAFEDLDGSLALEDPLDGDEDALSEPLVDPALMSLALKPESQSEDSNDEDITDVPGTSHQFAVEDDHDTVCAIDGVDKKTTESIRSGDKEQFLHHLNRFQVASKPFMGVFVAGEEKAFTNQELILHIHENGHNFEEKLDGLRDINLFKNRDGLQMKIPNELNLTTLMDFLPRIKVPVINSYTRGTEMIELSDVARRINLPEKKREVPWNLLSFEMSHTDCRIARDFKEPLFVRENSIVNRLEDRLKKELEAIENQLQEDQTASEKKKLNKEKAAIDVQLKSMPKYQKFLLVTMANSYTDIHVDPSGTCVYYHVKEVSVSFCPDVQSLLFQGRKIFYVAPPTERNLEIYKNYEGSNPPEKWIVEELFDELQRVEIKKGYTAFIPAGFIHAVYTPEDSIVFGGNFLMDGHIDRHFEMTAVEEKALEFGHIEVDNTFPNFENVMWRYTDKVVNERLQKPNPHWKDAVAPLLLRENLDEAKKPNRKLGTKVWYSKTERIKIVGQLKRLHDDYTIRKMREDGATWEEEHEAGQPPKRRKLCRKE >CRE03100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:981772:983531:-1 gene:WBGene00055349 transcript:CRE03100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03100 MEHDTYNPYTVCQRYPSQWWIWAPNAPSIDAIPKNENVFNNTTMLTVSHDAVNCQSVMPSSSIITLQERYVVPEVIPFGSSSEVDTRSAGNPYAHHPNFTTPSEYFDHITDSAEPPRDVLTAMDIKGLEDPFVGGEAVPKVHIHPPNDVTINKTAFTSESIAHINSLDVSFPTRASAPAQSQVTRTNATLNKKMLSPESMYELEKIDGDFQKPSAINMHVFREFSPQTLADLREIRNISQYTDFPRSSKDVDTCLGISPTISEKAWFERTESMGYAGM >CRE03101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:984126:984980:-1 gene:WBGene00055350 transcript:CRE03101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-josd-1 MPNDELFHEKQRLQYCLVHTVNNILQKAEFDPAKMDEICYAFNESKWFNPHRSWIGTGNYDINILMAALQQYDLKVMWFDKRVPVERIHLNKLRAIVFNIPSRTLLTLYRGRHWFPVIEKNGVYYNLDSKLKEPIVIDDIRKFIQSHVDQKETEVMLVVENSVDEETVVDKS >CRE03102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:985075:985692:-1 gene:WBGene00055351 transcript:CRE03102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03102 MSALKHYTAQGYDGFKQTVETIGKGQRIVALFTGSKILTTGKSWCPDCVVAEPVIDQIIKEPPVSETDVHFITVFVGNREVWRDPAVGFRTDPSLKLTCIPTLLEVGKKAKRLTEDQLTNKNLVIEFFVDEE >CRE03104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:986544:988287:-1 gene:WBGene00055352 transcript:CRE03104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03104 MVCVLGIEGSANKIGVGIIRDGEVLSNPRATFHAPPGEGFRPTETAQHHRQQIVRLVGEAIREAKIEDPEKEIDGIAYTKGPGMGAPLQVGAIVARTLSLTWKKPIIPVNHCVGHIEMGRLITGADNPVVLYVSGGNTQVISYTNKRYRIFGETIDIAVGNCLDRFARVLKLPNAPSPGYNIEQLAKNGKKLMELPYTVKGMDVSLSGILSLIEKKAPKLIESGEFTPEDLCFSLQETVFAMLIEITERAMAHTASRELLIVGGVGCNLRLQEMAAAMCAERNAHLFATDERFCIDNGAMIARAGELMIASGMKFDLRKTTITQRYRTDQVHVEWRD >CRE03105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:989290:990720:-1 gene:WBGene00055353 transcript:CRE03105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03105 MDVPPEDHVGNQETQPLLDEGIGMYSEDMDRDNEPEYITEYGDGTESAQRAQRPNGATVLCRVCDVPVSLEGRNQQHVVKCHSCDEATPIRPAPPGKKYVRCPCNCLLICKASSTRIACPRNNCRRVITLAGQRDPGTAIRAPTGSCRVKCNHCNEIFLFNTLTNALANCPHCKKYSTVGNFARRRALLFFVLAATVAVLAVILTMLTNASTFNKIYMVPILIGLYGCALYTAYKSFNYYTCRKSEIIGPVEGIDNF >CRE02898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:993563:995280:1 gene:WBGene00055354 transcript:CRE02898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tufm-1 description:CRE-TUFM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWK0] MQIFSLLSRNVGAFKTVSHCEQKMQQVAMIQARHLAVPGGKAVFKRDKPHLNVGTIGHVDHGKTTLTSAITKVLATSKGAKYRKYEDIDNAPEEKARGITINAFHLEYETAKRHYAHIDCPGHADYIKNMITGAAQMEGAILVVAATDGPMPQTKEHLLLARQVGVPLENIVVFMNKVDEVPDAETRELVEMDIREQLNEFGYPGDTCPVIFGSALCALEGKQPEIGEEAVKQLLDVLDNKFVIPERKVNEEPMFAAEHVYSIVGRGTVITGKLERGILKRGDKIEIVGGTKDGTTVKSTISGLESFRKTVDQAEPGDQLGVLLRGLGPKDVRRGCVLLPQGHKHKVTDKVKAQLYVLKESEGGAKTPIANYFSEHVFSLTWDSGASVRIIGKDFVMPGESAEVELSLNSQMFIEPQQRFTIRKGAKTIGTGVFTDVLPPLSAEEKDPKSKKKLMKAEMERLGFNPYGELAEKRLKPDFSNSPKDNPAAKAFEGQP >CRE02899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:997363:999020:1 gene:WBGene00055355 transcript:CRE02899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02899 MRLTLILIWIVVFSCLPSLVISSDSSSNATETIEPQLTIVEMIETYTVETIKNETKKEMKPTLAYKIMNMGSENSWRGLLYGGQLFYLWSLRTKNKTMRVKISNIIPTYYLFQSCNQTFWQSNGPIETAVIDFTMPWVQYLWKLLRTCDPKNQFSVFTAVDNSTFSIKSDAHVDLFEYNTTQTPKETDDITHEVRIRGRNSPLFDVRINGMIARALQSNGTSEIRIAATGSPLAIHFQLVKCDLLFNFTLKIRPNEMLVVDEKLLNVFNMLKHTYCSNGTEDDLSFQLLLNWPPRAITKSIKFVLCPTVPSLIYVRRTNSSGDEDGMALFWYGVSLTLVFLCLSATIILLALLYHMSSKKAEYFVTTEPVVAYRRRQPIIQKRLFKRSVVVMEPPSDVESPCDTESPDDNEY >CRE03106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1002791:1005265:-1 gene:WBGene00055356 transcript:CRE03106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03106 MIKSPLDSFGEWTDMSVFLEMKPRNDLASLFVDVPPGFREKQPAPEQENRKTIKYADINVVNVTDNFIRIGAPPTPESLLKREKEAEQSSSNGGVQIKKQRDRSLSTSGSPKSLPNSPTKKSQKKQKKSEKSDFKPTRFGATVRDVTIKASTLCSPTFADGAGRIFMCKVNVEPIDSPLIQKTAHRLAVDVCKKEKLEEFAAKAHPRIVCARCKIGILSEEYIMTIGCLPDDDFLSTTQSADFYCRDSCGAACDPDKHKHVKREELKANPKWLPNEKRVMISYANSILHKLSVLEENVIVDEKKNIKCAGCKCQLGRVQKNHPDLYTFNHIATLMTAGQKKIPFVDKLNMSQLSIYMAQLILNGCEGQTSMKLVIRSLDKVPYMLVWLLDSYVVIANGTLEGLRNEEEEGTVLTPFPAIKLLYKVFNNSTAASDPRANGEDTSVGLIDLPLPCCEILIELLLRSSLRSPPACRAVGQFFVGYLQIDDRI >CRE03107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1007137:1008731:-1 gene:WBGene00055357 transcript:CRE03107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03107 MADIEARARQLMAEGRRKMQGNFFSFGGDGEVPDLFIQAGDLFKEARNWKEAGEAFLEAAEFYAGQGDSKHDCTTQYAEAANCFSTIQPERAVQCLEKTFEINTGMGRITMAAENQVSIAELYETELPDKELCMKHYQQAADYYEAEKQGIRASKCLVKVAMYLAELEKYAQASKEFEKIASNVADHSTLKYAANEYLFRALLCHLYIDPINTQKFVQKYEKTSPSFSDSRECKFVKELLAAIEEKNEEAYTECVANFDRISRPDNWSTAMLLKVKRTIEGGYDEDNMR >CRE03108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1009171:1019017:-1 gene:WBGene00055358 transcript:CRE03108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrp-8 description:CRE-MRP-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LWK4] MRYQEQCFGDGFKEPYCLLFRDGIAALPLVAFWILIPSYTRIVRNNEPELRAPKYFWAHLIFQVLLLVDNAVFGALYFNQSDHFLPLLRFFQVLSYANCCIWFSLLSKRLHSIHPSFCFIFLVLLVLKAVQLLILAFESTVDAGVIAEFVLLMAETALLSGSTKRLGENEEKAKLTPEEKSSFISKIFFCWLNPLIRTGAKDSLTNENLHNLNQNATSEWLYTRWRDEFRKAKESESTHREISEKVPPWSYCTPENLGTVRETSIVWPFIRIQKATIITLTLARLIADVVHYLNPILLKQLIDYVSLHDQPLSFGIAIACIMFLSATTRSLLQNYQIAGMCRQAVYYQTVLSNAILHKILKLSPSARSNRTAGEILNHAAVDIEIIVHSVPYLQNMWSVPFQVTLAMTMLAITLGWAAGAGVIIMILFVPLNFLTSRFIKTSQQKQMKIKDERTKLSNEMLNGIKVVKLYAWEESFEEQINKLRAKEVKMFRNVCILSRIVDVANAASPFLVAIGSFTCYVLWSPDENGLTPSVAFVALVIFNQLRQPMRMVANLINTLVQARVSNKRLRQFLNDEEMESKTEVALGNAIVFKNATLNWKGPMNPPVLRDLCATIKPGQLIAIVGSVGGGKSSLLSAVLDEMVLLEGRVKIGGSIAYVPQHSWIFNKSIKENILFGNEYSKYFYNQVVGSCQLRPDFKHFQQGEQTMVGENGITLSGGQKARISLARAVYQDKDIYLLDDPLSAVDAHVGRALFDKVIGPEGLLRSKTRVLVTHNLQYTKYVDSIYVIEDGQIVQHGSFEDIAHLEGPFGRLWAECENPEEAEDPEELDDVVPEDVTPLEIIEKTEAVKKVDRTNSHISEKSEKSQKPENPENVQLGRVKKSVYNLYIRTMGIFNTSAFFIFFISHFTVMIMRSLWLSDWSNENAELKKRGGVSGNSSYEDEMISVETRLIVYAGFGGLEMLLLALAFTVLTIGSLRASYRLHAPLIHSLLRAPISFFDTTPIGRIINRLSRDLDVIDKLQDNIRMCTQTLLNACMILVLISISTPIFLLCAAPLILIYYFVMIYYIPTSRQLKRLESANRSPILSTIAESIHGASSIRAFDKTDRTTSALATNVDKFAQCRYLSHMSNRWLATRLELLGNTTVLFASLSATLSTKYFGLTPGMAGLSVSYALTITEVLNICVRAVSEIESNIVSVERVNEYQTLEPEAPWTVEGSLENEEKWPSKGKIELNKFSMRYRKNLPLVLKEIDLKIEGGERIGVIGRTGSGKSSLTMALYRMIEAESGTIRIDDVEIDSIGLHQLRSKLIIIPQEPVVFSGTLRFNLDPFNQYLDDQIWRCLDICQLKQFAQEDEKTLDRHIAEGGKNMSVGERQLLCLCRALLRGARIVILDEATASVDTVTDGIVQRAIRQHFPQSTTISIAHRLDTIVDSDRIVVLDAGRVAEFDTPSNLLLNPDSLYSQLLNEKNRKQ >CRE03109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1020076:1024485:-1 gene:WBGene00055360 transcript:CRE03109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03109 MKMEFVDELGDIMPIWLPLSPPVSDSDFELRMEDDCLDFMYEIDLMSESRLPRVTHELRRPLAEKPKPNHLTNEVLTKDKDSVYDAVAKCLSMPQDTPAAAASPAYTENSFSMDDTSQDAKIEPMTSSQNDHPQQPIAPVPHQPARSRKKRPDNRPVPNRTGTDSVKRATTPPAAWREEADYDGADWNIVEDYALLQAVQLELANKHLIEKSEFEGKLMNWELVSTAVNKRTRFYRSARQCSIRYQMFVRPKELGQLVASDPISKRTMKVDLSTIELSHLRRGRMTTESQYAHDYGVLTDKKHLSRFRTVKTTAAKRQVQFWRGPRVLETRNLTSLETGMPPRHEAKMAEFEVQPGINLDVEDVITMTDDAITQYELSKKRLIASRQPKPSPRQDIRYHSLVERPYTVPISTELPPAPARQGMVIAVPPLVPIPFPVQAPLPPIQHLQPQQYPQQQQQQQQQHPHQQQYQQHQQQPGPSGLGGVGPGPSGGPGVPMGGLGGGLGVQNHQMHMGHHQSQNQGHQGPSNHQMQSQQQHQGSLPSIGATFQQQQHQQVHHQPQHSQQQHSMQQHPQQSQQSIPMRGSNQMLHGSQGEGSQGHHLMHSGPSSVSGPSQQQMQPTIQRRTASGSNVQYIQQQQGQGQSYMVMGTSQSQQGQSQGGDGSQGGNGGQGGSSMGQQQHAQPQQQRVQYVQQGGGRGSYPMVMQQRGGRVMRPTGNMHQSAGRMFIDQRHQYPNVVPVRVMPAGQQPQRMVAGQRRAPAPGTVAAMVLPHRGNGGVGQIRTMQRGAYAGPAQVGAGGQQRINVMVQPQNMRSAAAGGGGQGQMGGGQAGGVPAIRRQLVGRQLQRGDNNPQVAQVVVAPPQGMQAPGGGPPVLHMQRTASLQMVQQHSQQQQQHSQQQQQQAPPPQSHQQAPPPHPQSQGSQQHPSGSGPSGPSGPSGPSGSSGPSGGQSGEQGHQGPGQSKL >CRE03110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1025565:1032112:-1 gene:WBGene00055361 transcript:CRE03110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ssl-1 description:CRE-SSL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWK6] MPATPTTPRTPSTRISRRTSSRSVASDDQPSTSSAAPTAAVGVPDNSSNSTPTSSTSNPVFASPGIPMETEETVETEETPTTSTQKAPSDGEEEDEADEVVVKEKTPRREKRKDDQRVAYIVSLLLTKNRKIHDKLVASIGPGTSDDKAISQARIKPVVDQIQLIEQFVLKKSLEDVLQDPKYAVLADLSKPPEAPRQPEKPVVPAKTMHPIEVKIDESDEANAPSTSVMPSAAGAPGENNVERAAKREAHVLARIAELRKNGLWSNSRLPKCVEPERNKTHWDYLLEEVKWMATDFRAETNHKRRVAKAVAHAIAKRHRDKQAEAARAAEREIKEKKRMCAGVAKMVKEFWQGVDKLVDFRANEIRTARLRKAKNKHLMFVLGQVDGISSIVQDGLVASKSPSIASHDNDEEFDVGSGSEEDDEKTIAKAEKSLKKDDIKKEVDALQDEAGGDMDDFLTTLPPEYLEKVYGISPEHLEAMKQAKRETEERRKAEKEAAENAPPKVEEVVGEDEKMEVDEAAPTTSEDRPSTSAEKSPEDVEKLQDTSAEDGNGDGRGVLQNVDYTKLNSVDSDERQQELTNIAEEALKFQPKGYTLETTQVKTQVPFLIRGTLREYQMVGLDWMVTLYEKNLNGILADEMGLGKTIQTISLLAHLACSESIWGPHLIVVPTSVILNWEMEFKKWCPALKILTYFGTAKERAEKRKGWMKPNCFHVCITSYKTVTQDIRSFKQRAWQYLILDEAQNIKNWKSQRWQALLNVRARRRLLLTGTPLQNSLMELWSLMHFLMPTIFSSHDDFKDWFSNPLTGMMEGSMEYNAPLIGRLHKVLRPFILRRLKKEVEKQLPEKTEHVIKCSLSKRQRYLYDDFMSRRSTKDNLKSGNMMSVLNIVMQLRKCCNHPNLFEPRPVVAPFVVPKLQMDVPAYLFDIAHQNPAVPEIPDIFNLNKVGYQPQSTGIYNSRKPLIEELEGMQTNILHEQRVPEVDGFRFNRTAFVMKNPHHPRAGEESEDEGVRGNGVNGVHLSNGSASSNGANVNGTSSTSASIRPQTSSFIRSKTVLNPAPLTITTDRSGFHFNMANHGRSRLDDNARLSPPLKRAKLTGAPINWSDYVPQHVIEKMEVSRKNQLQIVRRRFGMVRAPIIPLEMVALIREEILTEFPQLVTDEEASVQERLMDYVELLVQRFGMYVEPVLTDAWQCRPSSSGLPSFIRSNIAEVETGSRTLLMKSSSQLDTQMSIARSLQFPELRLIEYDCGKLQTLALLLRQLYIYKHRCLIFTQMSKMLDVLQTFLSHHGYQYFRLDGTTGVEQRQAMMERFNADSKVFCFILSTRSGGVGVNLTGADTVIFYDSDWNPTMDAQAQDRCHRIGQTRNVSIYRLISERTIEENILKKATQKRRLGELAIDEAGFTPEFFKQTDNIRDLFDGENVEVTAPEDVPMNEKDMEKAMAKCEDEADVNAAKVAVAEARVDNAEFDERIPTGGASSLAEEEADEKYMELIQAVSKRELETLQDMIFFQLKPIERYAVNLLETAYKPEFEEECKEAEAQINQKRDEWDKNLNELAFENDPNGERMFGEDTADDDFYLNQKAQEEVRKKRQAASIKRASKLLPRARSPSKRKSLAPSQDPYISYAPHALSSPPDSPIRKKAPRRSSVAGGGSIGGASTSTAGRGRPPGRKSLSKRVTKKEESEEPEEPEDDEFDDNDDEDEDDLEYSNNRRSSKPVKRKRVAFAEPVGEPKKKAQKIAPNTPRPPPRQTPSSGLSSLTTLPEQGPLTFSAPSAPSDTGPSTSTTHVATPRPARVPYQSQPQHQKLLPVKVVKVSPSTSSSQGPSKSPTTSAMGPPTSFRRIVPSTGAGNVGRLPIRLPSRIPAPIQQGNQQQTNRQYVLSNPTTSSNVPRIRVMPPGTRPTQPATGSQAPKMMYPVRVREVFQRPAPPVERPGFGIYEKPRFSLGSRRSRGESMMSDAPSTSSAHQNTTPRPPQPPPPAPKH >CRE03111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1033378:1035422:-1 gene:WBGene00055362 transcript:CRE03111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hut-1 description:CRE-HUT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWK7] MTKGHDTSGRSWLSESGHFLICAGGILACYFVFGIQQERIVQGKYELPDESIEKFTFTQALVFFLCTGNTIYAYLIRKKSEIDNVPSKMYAASAASYLLAMIASNQALQYLPYPTQVLAKSCKPIPVMIFGVLFAHKSYHWRKYCYVLMIVIGVAMFLYKDKKGGEDKDFGFGEALLIFSLAMDGTTTSIQDRIKKSYQRTGTSMMFYTNLYSSLYLSAGLLVTGELWSFFYFVQRHSYVFWDLIGLAIASCLGQWCIFKTIEEFSPLTCSIVTTTRKLFTIIISVLFMNHPLSGRQILATTVVFSALTADVVDGKLSSASSPVGAKKPLISDEEKKAHTK >CRE03112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1038230:1040085:-1 gene:WBGene00055363 transcript:CRE03112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-92 description:CRE-PQN-92 protein [Source:UniProtKB/TrEMBL;Acc:E3LWK8] MDFHDYWQNTGQYQNMVSCIKYSDVYPNSRLFQNQCPQSVPTTYMPHPQTYQSFQYDYVKPGQQNQMEQQNSNQWTYPQSSQNDDFKKACDQLLEPDMDIGDGQQEYPEGGLLSVPTGEQMNQIPIIGNNNNNNNEDQVNPQQSNHGPWAPMGPVDPMQAQVNSEIDKFCIRERNKGYSAKCLGRKKEECKSNVSKAENLSNDARIFAIENEQKERILEYSVDHVLIPFLGDNNCNFDLTSYRNIEAEKEFIQETISRKKAEDKEFQEKVQVNTCGRCINFKVRVFQKTRAAKEKLTVHENDNANRHTIPVWIVKNGERKRVSEKTLATRVHRAKTAFESCESDYKAAAYQYKLQREALKSDLLDRHWNYFAPYIEYILTNSPQEVWQQAESNGTVETLNYVYSLIFKPG >CRE02900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1043131:1044318:1 gene:WBGene00055364 transcript:CRE02900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02900 MTALLSSLVLSSFVMKFTTFESIYKSVTSLEITFCFSEMSTNCSTIFHQAYNPIFRFSSIYQVVISISSIIPLGYFLIFKLLQSTFHWNLKTIFIGYFLSMILFSMFYTITAFIQTIKAFISTDPCDLSVIPFYHKRLLSAISFLLAVSTSSPFLITIERYYAMKTAEKYEKTPVILGPILVGINTLVNFGIMYNIFKDESFSDPSVSFSVYPPAAAQKNISIYCSVMFQIFTFSAILFFLNFIDVLFDIILLRQNIRLKKLLTNSSLTAKYQLEEVYQSTKFSVFLILIHITSFGVYVSAVVFFRYFGSLIISDPYYLFAVRIMCSTVRLLRATQLTREGSRVELDQGSFHS >CRE03113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1044764:1045975:-1 gene:WBGene00055365 transcript:CRE03113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03113 MAASRGTISQKSIDTRLIPSFSSHSSTQLTYHFPPKMSLSKMTSDQTGISVSLTTDKDALFKSCFELTNEMNITARGRRIFPTLEYIVTGLDPSKYYLISMHFEFVDDKKLRFVGKKWTESPSTEEKGDPRIVFHKNGAQLGKDWMDKPLSFDQIRVTNRKSNENKGPSFVYLFTQHRYIPVLTIYEGDQIVHISKIDYTSFITVTAYHGHALSQLKTDTNPYATGSRLDHRMKRQMSSGEAGSSSTPSKRVKKEAVSPRPSTSTANLFQFPTQSPIGASPLPFLSMFPNSMLQSDAFLQQFQSFSQMFTHQQAMLPNPFLAALFPTPPITPGTLAQIVTSPVNVEPVEPTDEDATFDA >CRE02902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1048524:1053708:1 gene:WBGene00055366 transcript:CRE02902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02902 MSTNCSTIFHQAYNPIFRFSSIYQVVISISSIIPLGYFLIFKLLKSTFHWNLKTIFIGYFLSMILFSTCYLISGVIQTIKPFFSTDPCDLSVIPFYHKFVLSTISFFVTLSTSFPFSITIERYLAMKTAEKYEKTPAILGPILVGINTLANFGIMYNIFKDESFSDPSVSFSIYPPAAAQKNISIYCSVMFQIFTFSAILFFLNFIDVLFDIILLRQNIRLKKLLTNSSLTAKYQLEEVYQSTKFSVFLILIHIISFGIYVSAVAFFRYFGSLIISDPYYVFGMRLMCSTTIQSIKALTSTDPCDVSINPFYHKILVSTVSFLLTLSTSFPFLITIERYYAMKTAEKYEKTPVILGPILVGINVLVNFGIIFKVFKDESFSDAAVSFSVYPAAPAQTIHSFGPPFASPSHLGLALRKSTRYCLRLSSGGRFSSSVAARPAAWATSEEIAHLSRFPFSYGLMATGGGGKPDVRCTVMFQMFTFFVILFFLNLIDVLFDIILLRQNLRLKKLLTNSSLTAKYQLEEVYQSTKFSVFLILIHITSFGIYVSAVIFFRYFGTLIISDPYYLFGVRATSTTMIPTYHLLLGVFSILFLNRINSKKSEGTTIQMSSTGKSGANNYDQAIFSIWNSVSNTAA >CRE02901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1044362:1044544:1 gene:WBGene00055367 transcript:CRE02901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02901 MTPTYYLLLGVFSILFLNWIKSKKSEGTTIQMSSTGRSGANNYDQAIFSIWNSVSGNPTS >CRE03114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1053855:1055029:-1 gene:WBGene00055368 transcript:CRE03114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03114 MRVDIVSTSILLLFLLIGQSCSFFIGDYQRIKPKGDDGAEDTTISDDSAVIETTQAPVDLSTEPTVTPSEAIPKNSPSTAPTIPPVVATTPNPNITLIAKDDQISFLANSNGHRDEHLKGRFAYVWLSFLGIRLSDVKMSWDESLVESAISRIGDSCSIKIDNSDNVRELILEAEDWFKINRDRKTMHQKITSMTYTKGISRVGCVKRINCGKTAENGDVFIFCHFAPSNITEDAGFDKGYEGCEHDGTYSSLCVDAPLIPKERVTVPPVKYVYLNKSSAEFNSILLIISVVIFGIWKGKND >CRE02903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1056259:1060037:1 gene:WBGene00055369 transcript:CRE02903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02903 MTFLNTNRFHWLCFILWQFALFFCCQQIFSIFYNFNPGLSCEDPGFQFSKPKCKLSKVEICSELTANCSNWLIEPAPFHSMVQDFKMFCGSKAYDAAWVATIQFIGVLIGAITYGHLGDHFGRKPVSFFGIAVGISFGVASGFAPSWELFAAFRFVVGTSIASILIVFYAYILEFIEPEQRVFLRSFFNWGYARLVFTLVCFICGYWRSAAIATSLLALPILPVLLILPESPKWYYTKKRFRDAKAADKRVAWLSGIPYDNDENEKVEISEKLEKKDTRIYTMRDLFTSWPIAYRTIVVCSLWFSTSLSAFGSDLNSGNLAGNFYLSQFVSGGVTAFAKIVSFYFYMSICQDVLFQFVFLLDTYVPSFDRRRLHQYPQIAMIICYAAIMVLMILPEADCGSQGSRDLAIIIINIIGVSFIEITWDACYLVAVECFPTKIRTIGIGTCSLLARTGALLAPQMAYLSDIYRPIPYAIVCSIGTISLLISCFFLPDTKGVDLAALDPTEELDYNRKKSVTENIVIQRRMTGIMAAPRLTLVENSSNNNSDA >CRE02905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1065916:1066766:1 gene:WBGene00055370 transcript:CRE02905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02905 MGWLKLGDGIEQIHHHISLQIWGDLSKPRPGFTASSDKGQRAIVLAVTTESEILPGSIDVVIPNRPKAEVLVDYHQYMSSDLYTQYMEKVLPLIVRAAPAGRQAVRQCVDSQHISRDEKYQVATAACSTKKELWEEVQIVMEARGGRDALKRYLTDEFAATLVVLIVRLPPYHCQFSPIELVWNQLKSHLRTAGKTSDKLEVVAERAKTWLRNTNEAQMAWTYEHVLDIEEGIKLVMDEDDENWVWDDDESDM >CRE03115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1077634:1079892:-1 gene:WBGene00055372 transcript:CRE03115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03115 MDATGRGRKKQQKMTNEMHPLSSPQHILMGVAHRYGFKFLLDLAMDIDNKSNTKIDKSKKKTMRNAKGDMNVKEKEYNGLKQHLDSFKLVVLQVISRFKTSTIIPAQSHTSPCSAEWCLFRDNEMKKAGVFKSTPLRCATCSEVSHAVCSGLWSEYDWELLSQVEPDMDCLRCCGRKGAMIKKDARKVEREMREKLEELKREVAQENYRMLMTAVNGEGEKREELEKAWGDCGADMSAWQQNFTGNHTMKLLQEEAVNHYTSVFPPTDEILHVKAFLICLGKIAKLCLPRSMTDEEIAEMDDVMLHHLKQFQSQENMTPKLHLLLEHVLPFMRRHTTWAKTSEQGLEALHAIVNRLLNKYRCTRNKEEQMSQVFCSLLHLGYINSNF >CRE02907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1082581:1084008:1 gene:WBGene00055373 transcript:CRE02907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02907 MSKKSSRKKSRRGSAGLSPESSGSQALPVPPSTAQTLNKTLSEPSLYGSDDDMELKRKVSSRDGSSNSSGVQPMDTEDQHGASEFDSFVEDIEQMVVTGELAGKEPPDLVALDADTEEPTEGAVEGEHSVAENPLDDAQSLDEVMTGPVHKFAGCFDNGGKSEEWPKDPSRKAVAKESLMSMQAFRRMTWEARNEPYTPPETIGEPKEKTLFGVYPMSTPYACYQAVESYGSGVILTPCHREVVADDRPDLHVLFMDDTALDTRSGRRLDLADVHLSDVFFVEKIGVKKYAQPENFIADLAEAVDWSHHKFWQVKRVSRLQRITLRSEIAVLMPEAGTKKQQTFLVNNLPEAATAKTKIVGHHVKQDLYLALDVTVCQPGSWSRGYQPSFSDEEAHLRTIDYLLKVPHMLPVITKVGSLDPRRKGIMAIAANFFGPGLAPTDTEFQRLQNVARLGIFTNEAMRTALYDGMRYRGE >CRE19439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:19788:22449:-1 gene:WBGene00055374 transcript:CRE19439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19439 MAKTNKKMKKEKTLEMTKKRMGERREKDEKQRLLREAEEKAQEKKENEKKEVDELRIRVVQMNTESQKLKKEVIELTRMVDVSNHLLQLSRKKVEGLEANNEELKSDLARGKNHIEELNLIIRTLKQDDRSSLESTVAAQKVKIEEKDEKIQELIKVSPTGGSRLKPFGELTTKEAIRSRCLKLIKCMVQLVGNDKDTLNSFIFHFVKFLSKSSEYEFCLNFSEWDSFYATIRWNLSNGFLREFQQFSKSKLAFGVFNSLHKTREMRKCNTVADDYCIQVKKTIKTTRSGKEVSTSTAVVTAKNIAQLVSRRLEDLATSGRLRVDDRGIVLGFGGDKGADTTKLAIVFENVENSNDPHGILLIGLYTGADNYSNLKANFESLFHQINNLKTVTYKVGDFEVTENVTIIPVGDCKFISALYNHSGQSSSEPCFRCHKRWINHGNNKATIGTFDFEETGQLRTIQKMKEEGLDPLLLVEPSHASPPGLHVFIGINQKYFIEYFIALAVTLDFKNDQLPINLKQQKKHLKTLAQEAKDYRRQMGAVRCTMERIDSVAAVLPNISLNQNPLPSQLACSSEYCIAHSGSIKNLETFKCRCRKVFHHLCELNMDADDVHKKDSDLSCLECFYGAPVDLGERITILNEKKAAAENEYLNVSDAFTEVVEEQLNLEALLEKSAGETRRRMEALLISIGCDYRIWYQEMNGNQTRTILRPENIDKIVHLFQSIMEERGMDTEELHLMGCAMKDLGFLMSQADNSHKSDEEIDVIEEVVARFVAQIRILLPDYGVTPKLHLLAAHVVQHFRDHGSWGKISEQGIESFHCLMNKLEVRFSSIRNVEMHVTLILEQLSHYNKIFDVGCPLSSA >CRE02909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1092332:1097339:1 gene:WBGene00055376 transcript:CRE02909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02909 MSSFLNINRFHILCFILWQFGLFYACQLIFPIFYNFHPGLSCEDSGFQFSKPKCKLSKVEICSELTANCSNWLIEPAPFHSMVQDFKMFCGSKAYDAAWIATIQFIGALVGALVYGHLGDYFGRKPVSFVGISIGIIFGVASGFAPTWQLFATFLFICGTSVACIMVVFYAYILEFIEPQQRVFIRSFFNWGYARLVFTLVCFICGYWRSTAIATSLLALPILPVLLVLPESPKWYATKKRFREMKEAERKVAWLSGIPYVEKEDRKEEKSEEKDTKLYTIRDLFTTWPIAYSTIVVGSLWFSTSVSSFGADLNSGNLAGNFYLSQFVSAAAIALSKISIFLLDMFVPSFNRQRLHQVPQIIMIACYTAIMALMITPDTDCSSEGSRNLAIIIINIIGASFIELTWDACYLVAAEIFPTRIRTIGIGTCSLLARTGALLAPQMAYLSDIYRPIPYAIVCSIGTISLLISCFFLPDTKGVDLAALDETIQEEKREDDDIQITVDENLA >CRE03117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1101357:1102746:-1 gene:WBGene00055377 transcript:CRE03117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03117 MSTNCSTIFHQAYNPIFRFSSIYQVMISISSIFPLGYFLIFKLLNSTFHWNLKTVFIGYLLSMILFSMLNTITAVIQTIKPFISTDPCDLSVIPFYHKRLISIISFFITLSTSFPFSITIERYLAMKTAEKYEKTPVILGPLLVGINTLANFGIMYNIFKDESFSDPSVSFSIYPPVAAQKMFTFFVILFFLNFIDVLFDIILFRQNLRLKKLLTNSSLTAKYQLEEVYQSTKFSVFLIVIHIISFGIYLSAVIFFRYFGTLIISDPYYLFGVRTMCTTMIPTYHVLLGVFSILFLNRIKSKKSEGTTIQMSSTGRSGARNYDQAIFSIWNSVSGNPTS >CRE02910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1104661:1105736:1 gene:WBGene00055378 transcript:CRE02910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02910 MSLSKMTSDQTGISVSLTTDKDALFKSCFELTNEMNITARGRRIFPTLEYIVTGLDPSKYYLISMHFEFVDDKQLRFVGGKWTESPSTEEKGDPRIVFHKNGAQLGKDWMDKPLSFDQIRVTNRKSNENKGPSFVYLFTKHRYIPVLTIYEGDQIVHISKIDYTSFITVTAYHGNALNQLKTDTNPYATGSRLDHRMKRQSASEGAGSSSTPSKRVKKEPVSPRPSTSIANLFQFPTPSPIGASPLPFLSMFPNYMLQSDAFWQQFQSFSQMLTHQQPMLANPFLAALFPTPPITPGAMAQIVTPPVNVEPVEPAEEDTTVDA >CRE03118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1105977:1107953:-1 gene:WBGene00055379 transcript:CRE03118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03118 MTAQPNSLLLSSFVIKFTTFESIYKSVTPLEMPFCFSEMSTNCPTIFHQAYNPIFRFSSIYQVVISISSIFPLGYFLIFKLLKSTFHWNLKTIFIGYFLSMILFSMVYTITAFIQTIKPFISTDPCDLSIIPFYHKRLLFAIVFLLTLSTSFPFSITIERYYAMKTAEKYEKTPVILGPILVGVNTLVNLGIMYNIFKDESFSDPSVSFSVYPPVAAQKIFTFCFVLFFLNLIDVLFDIILLRQNLRLKKLLTNSSLTAKYQLEEVYQSTKFSVFLILIHIISFGIYVSAVAFFRYFGSLIISDPYYVFGMRLMCSTMIPTYHLLLGIFSILFLNRIKSKKSEGTTIQMSSTGRSGANNYDHAIFSIWNSVSGNPAA >CRE02911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1115644:1123707:1 gene:WBGene00055380 transcript:CRE02911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02911 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3LWM6] MTDDVDAHIHEKFELQKRLGKGAYGIVWKAYDKRSRETVALKKIFDAFRNPTDSQRTFREVMFLQEFGKHPNVIKLYNIFRADNDRDIYLAFEFMEADLHNVIKKGSILKDVHKQYIMCQLFRAIRFLHSGNVLHRDLKPSNVLLDADCRVKLADFGLARSLSSLEDYPEGQKMPDLTEYVATRWYRSPEILLAAKRYTKGVDMWSLGCILAEMLIGRALFPGSSTINQIERIMNTITKPSRQDIASIGSHYAASVLEKMPQRPRKPLDLIITQSQTAAIDMVQREVFGDAAFKRSINLVALYDLTGAHAPGIAESLRRHLLEIGELPGLLGKKFNRAKTGASESGTDPEEVESLGIALVQSYAPIIDEKKGIIADLLRIVSHELNVSVPASPEEFFLGDGTLIRGVHPPRRRKRGITTSHYSLPNEIPETPILKNTGGTSNQGVNRAPEKISEPFLNTNFQKPRVQQLFDNTHITPTTIVINKHETSQDIQLTPKTLNILNIWHTEETFKMPVMRRIQKLSSPAQLLVFAPQKRLTVEQCLVHPYVVQFHNPSEEPTLHYEVYPPLPDHVQLGIDDYRDRLYEMIDEKKASFKRIQHDKIRPFGEDRSRAPIAQAECSDTDYDTARSLQKSTSMDKNTSSSHDSSSGTLRERAQSAESRTSKGSNGEMRNGNGTITNGIKQRRRSIERSRLFANIKPSKILHPHKLISNY >CRE02913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1138503:1142064:1 gene:WBGene00055381 transcript:CRE02913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-attf-4 MEDDVNQKNEEQEVEMNGVSQRVSKLENNFVFIQHQLSTIISHLHMEPCKCATCAQVMEAGKPKKQVSGHLDKRTHRQIDFYFQNAASEMLSQIFPNASAEQLKTLLDLSKQNKLPSQIVHKESNGTVSSATVTPKPVTPQIEQNLKLGQGYQSGGNGGKIQMHSERHSEPSRKIKKLGFPPPVSAYYQRKESGTLAPPTPLTSIPNFITNTPAASASNTSNASPGPSSSDGDDIVDTPVQSSTVPATPTTPGTNLFTQSMVDMLKLNSQQNAAHSTGSPGFLRGRGRGRPKLIGDELDADLVDYMVTLKNADPHKGNFTASQALHMARQYILERAPGLLEEHGGHVKLKLTWAMKLVSRIGERQREIELGLPAGTLSNMGRNLTNIPTGGNFMADMMAQNIFSQHMMMVNQQLGGGSPTHSSNSSAVETSGGHHQNEENLHVKKEVTAMPEIINIKELNLPFLNNFLAELNDKNSGLIDGELGATSSSSALLSAPNA >CRE03119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1142298:1146058:-1 gene:WBGene00055382 transcript:CRE03119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wht-1 description:CRE-WHT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWM9] MMLAEMMTGDHLQLLKNQEPAVPHVFPEGCNLYWSSLNVTGPETKSTNFIDRFRNNAPKRQVKEILHNVSGMAESGKLLAIMGSSGAGKTTLMNVLTSRNLTNLDVQGSILIDGKRANKWKIREMSAFVQQHDMFVGTMTAREHLQFMARLRMGSEYYSEEERETRVNQVLTQMGLQKCADTVIGIPNQLKGLSCGEKKRLAFASEILTCPKILFCDEPTSGLDAFMAGHVVQALRRLADNGMTVIITIHQPSSQVYSLFNNVCLMACGRVIYLGPGDQAVPLFERCGFPCPAYYNPADHLIRTLAVIDSDRATSMKTISKIRQGFLSTDLGQSVLAIGNANKLRAASFATSSETSEKTKTFFNQDYNASFWTQFRALFWRSYLTVIRDPNLLSVRLLQIIITALITGLVFFQTPVTPATIISVNGIMFNHIRNMNFMLQFPNVPVITAELPIVLRENANGVYRTSAYFLAKNIAELPQYIILPVLYNTIVYWLSGLYPNFWNYCFASLVTILITNVAISISYAVATIFANTDVAMTVLPIFVVPIMAFGGFFITFDAIPSYFTWLSSLSYFKYGYEALAINEWESIKVIPECFNSSVTAFALNGCPRNGYEVLESIDFSASHKIFDIAILFVMFIGIRIIAYVALLIRSYNNT >CRE02914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1149191:1149883:1 gene:WBGene00055383 transcript:CRE02914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02914 MQLPVGQDRQVATLRYNLLTDSDVLDSLGALLENEYSLKLYRANDIRRITMRYLSSEKIEIAFFARKLITQIDDMESKMEQIEKEKAMKRGNESSTSSSAETSRKPLPLSEDAISCKRTMPTIFVPKKRGAVSVASQKPQEPKVQIPEDRRMSIEKKMKEERRIEEYLERKKVIAEEKKREEAIRVSKVKNAFEEARLKRKQEAMKAREQGFWEEDQPKPKKAKYSKRRW >CRE03121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1150872:1155111:-1 gene:WBGene00055384 transcript:CRE03121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abcf-3 description:CRE-ABCF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LWN2] MANVEAIPGYLTATFPTLPIEIKDYVSAILKENSDEITSLEEVMDAVGEHLQASVENLCEVGAQNACQQLLKLLHGDNLPKVVKQQEATTKRLEQTVDMAAENQNFDALQSIWKVQSRDTPTTVDKKKLAKAEDRAAAKAEARADAPVERKKKKNEPSATASQAPIRDTGARGANAKDIKLESVDISIGTKQLLSCADVTMAYGRRYGLVGRNGIGKTTLLKMISSQQLKIPSGISMLSVEQEVEGDDTLVLDAVLMSDTKRQYLIDREKTLQLHSFESLNYSKVKTFQFQSRINKDNITDAEKTKWNDELSKLYVEMEALQLDKAPARASSLLYGLGFTPDEQKRPTKEFSGGWRMRVALARALFVKPDLLLLDEPTNMLDMRAVYWLEGHLQGWEGTILTVSHDRKFLNEICTDIVHLHTRRLDHYKGNYDQFEKTMKEKLTQQQREYESQQQLRQHTQEFIDKFRYNAKRAPMVQSRIKMLEKLPVLLPVELESDIHFKFPECEVLSNPVLQLDEVSFRYNDDSPYLFRKLNLGTHANSRICIVGENGAGKTTLLKLLLDDLQPSVGLRNVNRRIRIAYFTQHHVDQLDMETSAIEVLMKNHPGKTQEDYRAALGRFGLAGDMALQSVETLSGGQKSRLAFANLALMAPNYLILDEPTNHLDVETVEALGKALNTFNGGVVLVSHDEQLIELVCKELWVVKDRMVTTLEGGLEEYRKQVYKQLQLTN >CRE02915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1155723:1157239:1 gene:WBGene00055386 transcript:CRE02915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02915 MSDDLSAFFAKKKDKKKKTAVKIEEVGQVLERRAKRQEEFEHEHEEAPEKRVEEEKTTNQAEESEWIEYGESQGRLEGLKIKDMGLESEQDQVNNEEPEDREVHETKTWGQVSSEKKATEETEQAVTISDKAMAAAYKPPALRRPGGGGVYRPRGPAANFDMSSDAAFPSLADASKIEKVKKEESKSPGGWLKAGAGGSSGPSSSGPPRSYTTISGSGRESALAAAKSMLSATTAGPTRSEPTPSSAPAAASSASSAAAAPAPAASLGAPKAGSYVPPHLRKQQA >CRE03122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1157521:1159396:-1 gene:WBGene00055387 transcript:CRE03122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03122 MIIYLERTREIQKEYTIMMALYIKLSTDGSIDHAFIYLLKSWRADLLKTSSEMMSSYSSLGAHGRQYVDSENVTNEEDMYQFM >CRE02916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1160189:1163235:1 gene:WBGene00055388 transcript:CRE02916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-efk-1 description:CRE-EFK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWN5] MFQTSDSQISRFLHSPQFSSCHKVLDTLPTHPTPPIFWRTPRRALLRCSEKRVFIKTQIIVFLYSVLSEFFDRFLSKNLNFSVIKHWANMTIDTTNESDNSPDNSPGFQTSARSFSLNASKMVPITDDFIEDVFIEENEILEKPRMDPLRVRHLMETWRKAARRARTNYVDPWDEFNIHEYPVQRAKRYRYSAIRKQWTEDIVDVRIHPDSFARGAMRECYRLKKCSVHGSTRDWSSNYVAKRYICQVDRRVLFDDVRLQMDAKLWAEEFNRYNPPKKIDIVQMCVIELIDVKDSPLYHLEHFIEGKYIKYNSNSGFVSNAARLTPQAFSHFTFERSGHQMMVVDIQGVGDLYTDPQIHTVVGTDYGDGNLGTRGMALFFHSHRCNDICETMDLSNFELSPPEIEATEHAMSVAARQKKSCVAAPTVFEARRNRVSSECIQVVEHGISMDQLRKRQTLNHSSTDLSIKIHNEDCVCPECIPVVEQLCEPCSDDEEDEEEEDYPRSEKSGNSSKSRRSRMSISTRSSGDESTSHRPRKCGFVDMASLRQRHDSFRSSVGTYSMNSSRQTRDTEKDEFWKVLRKQSVPANILSLQLQQMAAQNQENDEEAPPQVTGHQFSVLGQIHIDLARYHELGRFIEMDSEQKEMLDGNGSENDARAPIKYDKQSAIFHLDIARKCGILEAVLTSAHIVLELPHELLKEVTVDDLFPNGLAEQENGIRDLEEFGSDLMEIAAEMGDKGAMLYMAHAYETGQRLGPNRSTDYKKSIDWYQRVVGFQDEEPDAEGSGKTTFSSFSPLSRHEILAKMAEMYKEGGYGLNQDFERAYCLFNEAAEAAMEAMNGKLANKYYEKAEMCGE >CRE03123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1163318:1172008:-1 gene:WBGene00055389 transcript:CRE03123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nfm-1 description:CRE-NFM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWN6] MGGFSIFSRNTKPIYAKVSTMDADLEKIVIEKTWTGRHLFEAVCRIIGLRETWYFGLQYTNKKNIPCWLQNDKTICGQDVQKDPSDASFHFLFLVKFYPEDVEPEIILDVTRHLFFLQIREAILSMNLYCSPEASVLLASFAVQAMHGDCTEEVGPIDLDKHLPKSVIDQYDMSADMWRDRIKRWWSRNAGQSREEAELEYLRVAQDLEMYGILYYPICNNKETDLHLGISAQGLGIYKGVNRITPRPFFSWSEIKNIQFKNKKFHMKTVDKSTISFRSRETSIDSSILDLCIGTHNLYLRRRQPDTLEVQQMRSQAKEDKQRRSAEQAKMAIERKERQQMEKECKELKQQVELMTMELMKAQENIRKAEEANDQLAEKARHSEHETLMLYKQKSEVEAECNRLSMNNMKSEEALLRMERKAREAEILAKQMSMSLADVSLDANRKVTQYSSQTALVIDPSWHQQQPTQSGHSSGHPGMTTTGSYHQLQSLDSNVHPQQMMSRSLNMPSSAFSSPIPSNPPPNQQPQHQQHQNPPPQQTQIPPSGNNPHHHPLQTQNQNHQNQNQGAGGNGGQSHPNQQPPYYGSGLIVPPPDPSISQIFEQQTILMELEKSRNEYEKRARIFKEHLEELRGDIDGLKRDENVQQNGNIQQQHREHDAIHAQNVAHGFDKFTTMRMVRGVTVVSIASSIGSSCSSPEPSGTTVVITRQGRRPRVSTVSSTGSSSSIMSHVNAIILQRQQSEMEKFKVFVYGTLKTGEPNHKVLSETEGDYRFISAGTTIEKFPLVVGTKYNIPFLLDDAGTGNIEIL >CRE02917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1176575:1179757:1 gene:WBGene00055390 transcript:CRE02917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-anmt-2 MSTHPSESIPQEEEENHTVLLPEDYVQKFDPDAYLQFYFSQDAIEDGTRVSLFALPVFAQLMLQTMRPNERETLLDIGAGPTVYSALCFRDVAKRVHLADYVDRNLDVLRKWVRREETIDWVPTIKVIKRTEGGPVPTENVCQEVEEKARGLVKSGGIHFADVHQNVVVPELAGKQADVLVSIFTLESACRNYEEYCKCVENMMRHLRSGGRFVLGSVLEDDEYNSGRQTIFHLLNLREQMILDALVAVGLDVANAKKYVLDGEGVMFIMATKL >CRE03125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1180103:1181773:-1 gene:WBGene00055391 transcript:CRE03125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03125 MEESQESNFSLSKFDANEDGSLSQYMSINECEREIQMLERDIRQQKESSSNAKTAITFGQLRKIHSLSTMLGPHKELFYERICRAAEIQRASLKNRLKSNINKFEPSTPLSFTQGGFDSSQNSLSASESQNFVVTPTTLHNLFNNSRKAQIEMLAPLASEEELMRFPAERQFDLMQIGFSYDEVKQLLEMFDKYKNDVQEINRHNTLTQVLNQFCNYISMYAPPNLKLISSAFNLLELGNPANEVKRVLLKARRREDSEESDVAPFVKKSCPSSQSPRSPNRDELLLGLDRSPPSDDGTQKV >CRE02918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1188191:1191757:1 gene:WBGene00055392 transcript:CRE02918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wht-7 description:CRE-WHT-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LWP2] MRSSEITYHNTSFEVNGIRAERSYMRQVCAYVQQDDCFIGSLTVEEHLRFMAKLKMGSEYSSEEQDRRVRSVMRDVSVSAFYNTFQSLQFQLGLQKIANSIIGTRTRKGISGGEKKRLAFASEILTSPPILICDEPTSGLDSFLAYQVVCVLKKLAATRNMTILLTIHQPSSQVFAQFDSVYMMVNGSVAFCGSQLAAEKMWAELSLPIPMNFNPSDHYLATMSVRDPKEEVMKMNQIQRICDTFKYSENGKSVFKESSGREVDERDRAYSEDWRRRYASNFGRPVFGASFFQQIRALTWRASKTVLREPTLFKVQIFQSIIIAILTGLIYTNNSPIDQRKIMNINGSLYQMISNMAFMFQFSVVHVSFQKERVSFHPIQSPYFQHFCLEMNTFYRESSSRLYRVSAYFISKNLAELPSYTICAILFTSILYWMSGLVPLIDSFLIYILVGMLVQNIAISIGYLFSCAFGTVNLAVAVMPIFVVPMMAFGGFFINQDTLKWYFVPMKYLSYFGYGYEAVAIGQWTHVEEIPGCTSQEYCARNGTEVLSNLSFKQSNFWTDIGVMAFMIFAFRFLAFLALYIRVKRRT >CRE03126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1183315:1184247:-1 gene:WBGene00055393 transcript:CRE03126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03126 MFENGLKRPNPNRRIKGGHQIGEVFQWNASQKKKGKRMRYSFLLLVLTVILALFDNVETATKAPKLSKATKKTVNKLVNCLTPVDTVLAAKTADCEDSVCVSSAKQYAQRRYPQQYQSTVVPCLSSTTTLAPVTEKLVKSHRENGNKKMKIQGE >CRE03127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1184440:1186179:-1 gene:WBGene00055394 transcript:CRE03127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03127 MSSSSSDTPSTSSVFLPAWPARRTDDNMYPNGSTKSDELILHDYGLEEIPLRYHVEQPEEEYDPLNIKKLDLTQNSFVVIRGLRTFTNVSMLDVSFNSLSSLPEEIGSLTSLTTLIARNNLLEHLPKGMQLLQNMEHLYLSGNRLEYVPPVILTMRKLKTLHLGGNYIDSCPSNISVLASLTVLYFGGNRLREIPASIGCLDQLENLGLCDNILETIPSTLGDLHYLETLSLHNNKLRTLPTDILNLRRLQQLSLRNNPLVHSFVHNMDLAPPSLKELSGRTVRQNYHNVPNLDEVLPTDLVAYLNSACQCVNPECKGVYFDARVEHVKFVDFCGKYRVPLMQFLCSPRCSAGIPSVDYETSSESEEEIEPIMRRVLLG >CRE02919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1210869:1217747:1 gene:WBGene00055395 transcript:CRE02919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mvk-1 description:CRE-MVK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWP3] MEEKTVTVCGEMVRSLDANGKEMVIRREVSMTETRESSLKVEIPSEDVNEDSESSTVKKIQEPSVTSVESIEIPVVKKDSLDSPSGSTSRAGSSVSATASPMHRNTTSQHGGLFVSAPGKIILFGEHAVVYGRTAIAGSIDLRTYVSLYTSADGRIYLSLPDLGVEKTWMLKDLLKAADRLAAEYPIEEDQPPSLEILVPIARKLSGSCEDQCGVQHLAILAFWYLLLGVAHRKSGLNRLARGLPAPPLPEGLHRTNLSVMTNSHHLGGDADRSEAEIALSLPVPPLTPLPSPGAQSSAPPAFPVVSPAPTPTPTSTPTPLLPGNLRADSPAVQQDLLAVKATVRFKLPSCVGLGSSGAYCVCIATSLLQTAGLIPPPTIVADEQGNLTWEEEHLDMIRKWATAAESLIHGRASGLDAAVCTFGGVASFKPGHPIEHLKNLPDLRVILVNSKVERNTARMVQTVKERLKKFPEVVDAMFGSIDAISLDAAKILHRPLLEENGGGDSASTVQENGLGPFGGNMVEQDHHSLQPTRTGSVRSSSLSSYVGGGKRNSSASVISATSEKGENVDTFSKLNDLCRINNQLLIALGVGHPKVDLICTTLARYGIHPKMTGAGGGGSVFAFLKPNTPQTLLDMIDGELRSLGFEVWQPPLGGPGVVEHQTRPELFQTPVSSTQCSTPASKHK >CRE03128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1219017:1222811:-1 gene:WBGene00055396 transcript:CRE03128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-18 description:CRE-KIN-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LWP4] MAPAVLQKPGVIKDPVIAALFSNKDPEIRYQDLREIGHGSFGAVYFAYDKETEQTVAIKKMNFSGKQATEKWNDILKEVSFLNTVVHRHIVDYKACFLKETTCWLVMEYCIGSAADIVDVLRKGMREVEIAAICCQTLDALRYLHSLKRIHRDIKAGNILLSDHAIVKLADFGSASLIDPAQTFIGTPFFMAPEVILAMDEGHYTDRADIWSLGITCIELAERRPPLFSMNAMSALYHIAQNDPPTLAPIDTAEQPEWSAEFVQFIDKCLRKPAEERMSAEECFRHPFIQRPRPPDTIQELIQRTKNMVLELDNFQYKKMRKLMYLDETEGKEGGETNGGTGDDLDFHGNEAHSIGRGMLAVADQNAVAEQLHFPIHLFFFSHFFSFSAGDSASSRSASLTSFRSMQSSGGAGLLVSTNLAGAMDNVHGSSGYGNGSSTTSSARRRPPIPSQMLSSTSTSGLGTMPSHGSAAASITAIAVNPTPSSPSDPIPTSHPPMETARSDDDLLDTSIRVAPVKDLHMPNREVKERIATLQNHKFATLRSQRIINQEQEEYTKENNMYEQMSKYKHLRQAHHKELQSFEERCVQEREQLRVKMDRELEQLTTTYSKEKMRVRCSQNNELDKRKKDIEDGEKKLKKTKQSQNQQQMKLYGAQQLKEYKYNKEAEKSRLRSRNMSRNAFENELKAVKIGLNRVKDARENEFEEKLRVELEEEIVRYRRQQLNNLHGLEERLDDEDVNVQERQMDTRHSLLSKQHEMTRDLEIQHSNELHMMKKRHLETQHEAESASQNEYTTRQQEELRKKHTMQSRQQPRELKIQEAQIRKQYRQVVKTQTRQFKLYLTQMIQVVPKDEQKELTSRLKQDQMQKVALLASQYESQIKKMVQDKTVKLESWQEDEQRVLSEKLEKELEELIAYQKKQKGMLEEQIKKVNDTAGNIFRERTTLEERIGTRRALLEQKIIEEREQMAEMRRLKKEQIRDRHSQERHRLENHFVRTGSTSRSSGTTVTSSSIQMAM >CRE02920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1226790:1228432:1 gene:WBGene00055397 transcript:CRE02920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmt-1 description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LWP5] MVHGHSHDGAPCSGHHGEESGSRVPNPQDMHALVEQLRAAGVDVSSLPNIPTAPRDLEEARTKSFQFWSTQPVPHMDERVPADVNCAIEENIPLDKVRAEPFSLPAGFRWSNVDLNDADQLNELYNLLTKNYVEDDDSMFRFDYSADFLKWALQVPGHRQEWHCGVRADSNNRLLAFIGAVPQTVRVYDKTVKMVEINFLCVHKNLRSRRVAPVLIREITRRVNVTGVFQAAFTAGIVIPKPVSICRYYHRSLNPRKLIDVRFSHLSAKMTMARTIKLYKLPEETATRNLREMTRADVPQVFKLLTNSLKQYSLAPVYTDEEELAHALVPRKTVVYSYVAENHNGKITDLISFYSLPSTVMGNANHKTIFAAYLYYYVAGSVSVKQLINDALILAHREKFDVFNALDLMHNDKIFADLKFGKGDGNLQYYLYNWKCADMKPSQIGLVLQ >CRE03129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1229102:1230639:-1 gene:WBGene00055398 transcript:CRE03129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03129 MRLHRMPDNATLRMSDEAPKLSKKKSSHSYHQQSTMFVPSEPIEQIALHGFNLLSNWESRDSLEELIKNDYTLEMYRTYEIKRFCRYYLNGSCDFMARRIIRKIEALEMKEQEERTGTTSSTSSSFKPVVATSSANKPSTSALPTVTVNKPSVVVKTSKDIPIPPTSSVNVPVSCAVKSSKPSTSSASKRPMPLPEIVISSKRTLPTKFVPKMKEAVSVAYQKPCVPEVRRPSIDQKLNAEKQLQKFIEKKKIIEEKKKEGAIQVSKVMNAFEEARLKRKQEALKAKQLGFWEEPKAKKGRYTKY >CRE02921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1231575:1232387:1 gene:WBGene00055399 transcript:CRE02921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02921 MFVPSEPIEQIALHGFNLLSNWESRDSLEELIKNDYTLEMYRTYEIKRFCRYYLNGSCDFMARRIIKKIEALEMKEQEERTGTTSATSSSFKPVVATSSANIPSTSALPTVTVEKASVVVKTSRDIPIPPTSSVNMPVSSGVKSSKPSTSSASKRPMPLPEVVISSKRTLPTKFVPKKKEAVSVAYQKPCVPEVRRPSIDQKLNDEKFLQKFIEKKKIIEEKKKEGAIRVSNVMHSLEEARLKRKQEALKAKQLGFWEEPKAKKGRYTKY >CRE02922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1233832:1237524:1 gene:WBGene00055400 transcript:CRE02922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02922 MSLRTERVTTFMQLFSIPTGITGLVHFLCEEKEVLAVIATILTILLVFCLVQLLKKFILYKSKKEKMDFKNEYLTYMKTRLLTIAFFSILSQTWVLLDNENFTIQCYCFFVLNTSFVIIQHVLCASANTRLPNYDDHPIVKKNCLKVTIMFSGMIICSAKTQNLFMFLSQCYFQPMFYAWLLDFHIVFYDEYFYIDDCRDRILRMRRRREEMRGSFVKIITIKKLIKLPIRSGSVESTHIYHQQSTMFVPSEPIEQIALHGFNLLSNWESRDSLEELIKNDYTLEMYRTYEIKKFCRYYLNGSCDFMARRIIRKIEALEMKEQEERTGTTSATSSSFKPVVATSSANKPSTSALPTVTVNKPSVVVKTSKDIPIPPTSSVNVPVSCAGKSSKPSTSSASKKPMPLPEVVISSKRTLPTKFVPKMKEAVSVAYQKPRVPEVRRPSIDQKLNAEKQLQKFIEKKKITEEKKKEGAIQVSKVMNAFEEARLKRKQEALKAKQLGFWEEPKAKKGRHTKY >CRE02923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1252059:1267185:1 gene:WBGene00055401 transcript:CRE02923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02923 MVTRPYEPPPDYPMIEQRWPTTVRNSSIQHHPLHHHIVTSSSGHPSYRSHSIDAVVNRSSSAYFQHSPHRPSYPNRNHPDYPICGVSPARHLSYNHFYNQSAAEIEEMLRTRQFPEIAGIYGNDEQPALRRFTRYNQSINSKSVPPPAPPNPPKMEKHTAKDIGNPRGSIFSKSSKKPLFFMGNWTFRERNKSARPSISEALREERPQSMNLTDIRTGVRSKSGEVLGPRNHIHSVEFPRNIETRVPVKIERSSMVKRKPSNLCASTEVSITSSSPSPSSSSSASAGEFKTTITVDDDHQTTAIMRPKKEMESSGTVNNNRYSFQSCVQLRKSCPDLDSTAMSNMEQHRVSKKRTRRAKEKMSLAAWKRKTVKEWTLDDVLLWLQSAQMDDVAGLLIGYDLRGEDLLQWNDQTLAQLGVSDSETRRKLLSELEKIIKNGPETPQDDPRNNHKTLFDIVKQTSYDQVLAVETPLTTRDITVTHGRLGCLQITKVNGANLPLKEHDCLLEINERAGEQFKSALMLTKLISDSNGAAIRFVVLRRKTDTILDETQQKESSSSGISSSPQTPTE >CRE02924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1270078:1270714:1 gene:WBGene00055402 transcript:CRE02924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02924 MLLRCLILSVLAIVASSFVLPSNLDNCAPPNGTDHQMHWWQCSNGPVQFLNATPYDSTGTKYEYPIHLSKPIVVKTQINNPTNTYVKPNLRNTVNLWKYGGWSGCTWTSIPTLGLLKDLSACDHGVPCPVKPGNQELDVTIDFTQFEQIINLLKDNQPYQLEYMLHDNQSGDDSCMMVQAWAYLQ >CRE02925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1271184:1272096:1 gene:WBGene00055403 transcript:CRE02925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02925 MPCASMLLSPILFDYHNFLFLAESPFSIFLISYVSLNSFLFCFSSQNYYNIIELILYPSNCFQMLYRCLCLFALVALSSACDTWPNSTETKVNWWQCSSGPIMVTNVNPTDKKGAYEYPIRLTEPLLIATTINDPSSTYSSPGLKQTIKVWSWNINTCGWTAVPTFGLLNNIDACTNGTPCPIKPGNNQVINLELDFSDTPAIINLLKNDKPYQLEYLLHDDVTKEDLCVIFQARALTHT >CRE03130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1272230:1274188:-1 gene:WBGene00055404 transcript:CRE03130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-28 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LWQ2] MHFLPFIFCIPLVFGAPTKENALNKILIEENPDTAGNREKIRGIINKAFANRIPRVQGRQGVAPPVKLAALNYGPKNNQTHRFEELNSEINDYTFESDIMLNEKQANNLANSIENGHYRAKRQAIVDTTLFWNVDVPIAYQFDSKLSATNIANVRKAIQFWNDNSCLSFIEDSKANNRLFMSSAGGCWSYVGKQVDMPYQVVSVGPNCDTLGTATHELMHALGFWHQQSRSDRDDYVYVDFSNIIPSQAYNFQKMPLDQAQLLNLKYDYGSVMQYYPYAFALDSSKYTILAKESGFQNSMGQREAPAFSDLVAINKLYNCDSELRKAFVDLWVHISEKCTKQMTCSNCGFTDSRNCNQCKCPRYFSGPTCDALPSGSAANCNGEVLQASSTWQTFDAKAGDPNSYTSSTTNSTNCFWHIKAPAGQKVEFKMTKSPMAAICMQECPWQALEINMGKFDLYGMITCCDTILNQVFTSEQNMVALRGVIKYNQLTFSIQYRAVPSQGIATTNVCVSR >CRE03131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1275203:1278948:-1 gene:WBGene00055405 transcript:CRE03131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03131 MSFEGTTTWQVIVEETAEYKENIDEKAYFDKIICLWKEYPNAISNRITTSVLIDEDTDAWKEVISVFNSKYKFDPSNRSEITISKFIRKVRPSNTQNFSENAYELSFYEEDFLVRFYPVVLDDKEHPHFASPYSIACRVPSENSLTLQILKPSNAPDEHYEFLRNSAFKQVFTWMKGIDLSKTSRKTNSLIDKESYARTYQQIREDYGRGLIQGWTENSNPHKVIFEDCGIAAYITELINRGLLPKPKKFVDIGCGNGVLVHLLNKIGLNGYGVDVRQRKIWKTTLKDVDLRESTVEPQFIVENQPHFDADVDLLIGNHSDELTPWIPVMTAKLNCNFFLIPCCPFDFFGKFSNTGSYLGPKRMTSQYESFFEWTVSVADRLGFDVKLDRLAIPSTKRLCIVGRVPERGLCPNLDTTIQKMTQGQKFVARPREIKNNNCMHLSVSDRERIAKKLFDYILNSSDEVRDGWRCGGEVPLAQLAGILSDEDKKLMKDQDGGLQTFLRNHHQIFHVFKAAARLRDFRQPVVKKQRPGWTQPKQTPKMKSPCWMSLNHPDGCPVGPEACRYEH >CRE02926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1280089:1283421:1 gene:WBGene00055406 transcript:CRE02926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-2 description:CRE-RPN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LWQ4] MLTLLKRWRSQPGGATNASAFIRILESPKAAISDKVHVLQAFNDWDILTNTWFEVADALPAVEKLLDIDQFPDHNAAALLVSKVYFCLEQYERALEFALRTDFNVVPAPKTGLGNDAEYVNKVIETAIDTYKTLSSQGLEIPVRLRELVEKIVKRNLEKSEIWYVISLGFETTNLPMIERAISAMPNALNDKNQTTLHETLNRVVSGAFDRSFRFKVINTVIKTYMKCPSPDMSKICECYVLTDNAEAAADTITDLISRNLSTAAYQIAFDLYETASQGFLDRVLRRFEQKDSPDAKAMEKIHSIMKGHETVKAYLDFYVRHNHTDSILMEEIKENIRTASAHNALLISNGLMQYGTTCDDFLRNNLNWVSKATNWNKFNAVASLGLIHHGQEASAMKVLDPYLPKESVEGFGFKEGGAMLAYGLIHAKHGDATAMSTLAQWLKTADNEPVRHGACLGFGVAGLGSSSISGYEKVREVLQRDEAVSGESAGIAMGLIMAGNLNQEVFNELKQYTVDTQHDKTQRGIRTGLACASFGLQGDAEPYIKEAIGAKSNPMLRSTGICMLSMAYAGTGSPSVVRRLLEKVATDPNLDVKRYATIGIGFVLSKDPATCLSYVSMLTEHFNGHVRYGAAMALGIACAGTGNMEAISLIEPMISDKEGFVRKGALLSLALIMCQQTDYTCPKVNGFRKQLLKKIGEKNEDSLVKFGAIISQGLLDIGGQNAAVTMHNSDGQPDMGSMVGMMCFLHGWFWHSMHFFIALAAKPSCLVMVNENLKIPVLDYICHANSQKYAYPPRTESKKDKDVKKIETVVLSITGKKNALKKQAAEEKKRREAAATAALAAANAQSSSSGTNVEDEKMEVDQPGKPKKEKVPEKDTKPLHRLMNPARVIPAQRQLISISDSRSYTPMKPLYKGGIIVADRVDKEKEEKLVSEVVSQINLPPSSEKAELKPFTPFDINLVDF >CRE03132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1283557:1287345:-1 gene:WBGene00055407 transcript:CRE03132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03132 MDEDKIVLIGNETDLLHSGYNFAIKAEGKRFPSSVHFTHHAILASLKLPDPIIDELLCTTSSDVQQKAMVILQENMPDGHDLNTLGGYLMTSLHSYSLQGLRLRAEHDKEFERTLMKTNEALLIVCDEKNAQVGIGMDDKTFMEWMAKEKADSRQISYWMKHQHTKPSDLGHNWLGKYLMWLRFETQEKRKQGLLQRETFLVPGISKEKDDKVAMISINDLLICLEGIFRPLSNYYVFPFEMKGERYRSVEHYAYEKLFNSLKLDDKAIEKIQTTPVPLDVPTVAGKVLRSLEISAETIAEKTTKMDRWRQSAMKHKIYHNEYLQQLLLSTGSAILVDTSIGDPVWTCCASETELQHLLTKPYVTPQKLIGFMLDGEQKTTPKSLRHLYGNKSGLLLMELRERMSIHTTSRIPLLSAINTAPLKSIVTPNVICFTPESVFHPLYPAEIRCSHDGPPLPSPAHYVATQAAKLLNINKEDTEYILEETSSIQCWQRLHEVIELRGRGLELEQTWWMDKRMQFIKESLQLLFDQHPPLLRCLLDTGDSLLVYCSRFSSMDAELSIGMREMDFRWWMQEVGMSTQTLIDMCSHPMAFRPPYLGGNRLGLILMELRREFVLQGVFPQQLPELQLTPDSILGTNSPSENFMTSEHFDILQPWNYTALWINPLFLLAKDGHPEAMAQCTRVKTSPRMVTIDDEKVTEMVQNLVTEESHDATLGRIAAEDLRAVFMKLCGRLRTKMETLDRQAMEIAMVAMETHRLQSIRRSLSDTNDRSEDGPSSSSGPSISAPPVPSNRPRTPGSHPPSSSSYRMPAGRERDRDDDRDGRERDRERDSRLPSNASSSAIAAGIAPGSASSSRKERMEYKIPTTSRRKFGKDRERVPEKSSMSSDRGRDKEIPSKKRPHTSPPSRKTMPSSPKKTAPTPVTPAPVVPPKPKRNPDEELSDGEILSTDEEDNN >CRE02927.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1288027:1289086:1 gene:WBGene00055408 transcript:CRE02927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-3 description:CRE-RPS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LWQ6] MAANQNVTKKKKAVIGGIFKAELNNFLMKELAEDGYSGVEVRSTPARAEVIIMATRTQNVLGERGRRIKELTSVVQKRFAFEEGSVELYAEKVSNRGLCAVAQCESLRYKLVGGLAVRRACYGVLRFIMESGAQGVEVIVSGKLRGQRAKAMKFVDGLMIHSGHPVNDYIQQAVRHVQLRQGVIGIKVKIMLPYDPRGQNGPRIALPDHVQIVEPQEEVIPKEPHSQHKEEKKDVQVPPAQPVAPVQ >CRE02927.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1288027:1289134:1 gene:WBGene00055408 transcript:CRE02927.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-3 description:CRE-RPS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LWQ6] MAANQNVTKKKKAVIGGIFKAELNNFLMKELAEDGYSGVEVRSTPARAEVIIMATRTQNVLGERGRRIKELTSVVQKRFAFEEGSVELYAEKVSNRGLCAVAQCESLRYKLVGGLAVRRACYGVLRFIMESGAQGVEVIVSGKLRGQRAKAMKFVDGLMIHSGHPVNDYIQQAVRHVQLRQGVIGIKVKIMLPYDPRGQNGPRIALPDHVQIVEPQEEVIPKEPHSQHKEEKKDVQVPPAQPVAPVQ >CRE02928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1289362:1290105:1 gene:WBGene00055409 transcript:CRE02928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02928 MPMLPPVANALKGSETGAEETSEQDAAKRTKVIDMAQKVTRQIISSVNAPGAIGPYSQAVRAGNTIYLSGSLGLDPKTGDLKEGIVEQTHQSLKNIGEVLKAAGADYGHVVKTTVLLQNISDFATVNEVYGQYFKAPYPARAAYQVAALPKGGLVEIEAVAIAGEIEEVQN >CRE02929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1290707:1292124:1 gene:WBGene00055410 transcript:CRE02929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02929 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LWQ8] MSAAVSDNDVMMDEAKRNESFEKKSDDSNKRFAECFIKTLLSCKGISKTVKTMDILRLTYICKKIFEAQGRLVEIDGPVRICGDLHGQFPDLIRLFAQGGFPPDSNYMFLGDYVDRGAYSLEVILLCLSFKARYPNNFMMLRGNHELAHINDKYGFREEIFTRKGEFAIPIYEEFTVRGTFGQTDRYTLGFQKMFDVMPLVGLIGGRILCMHGGISKQLKSLDDLRKLRSPFNLEDDCLENDILWSDPGKGSTGWQPNPRGASVVFGEDAVIECCKMLDIDLIVRGHQCVQDGYEFFAGKKLVTVFSAPHYLGTFTNNAAMCKVSAGLQVSFEVLIPEDLKVEERKMGPESTAAEMTQ >CRE03133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1294859:1295100:-1 gene:WBGene00055411 transcript:CRE03133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03133 MNVNIFSILLIILVILSEVESSTLRDMFTKNIQKRQETTGGMQTAYTKLLPPHVAEWFLRKHF >CRE02932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1307385:1310134:1 gene:WBGene00055412 transcript:CRE02932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02932 MRDPPIFSIIGLSIVLFMNFGYVDSKNQTSIIFHDEVGTTSSFSLNETEFEKIRDLNYIEFYVALRELYLTDGFALSNKLNTVILGQKVIPDLIYQLAIRALELTRFEMKQGDASLKRIKGMIKLNEPDALIHQPWLIDLADEILRNSFNSRDVAYSIRDLGVELSKPNFTRTDYTNGTYLRQKINNWTAVQGFNDTNFTESFLMIHHTIGPENFGVLADILEAMPWQVLKMQYEGMYLGDAELLRLYKSRLNSAIADADITSTMLKQDLAALVEVLNSTNSLIGQLADADIIPDPNVFSDIQQGIIQMDGESDQNRIQRAKETMENIWASISNQEAKNRFISARNNAMNRGIGGLEGVKLAMTAGARLIKNLGGSVDNANKKCQELVKLARFDSTAQQKILNAVQILLKLNGTDGQNTGYQLLFDLYFDKKAPKNQFLFPSSRYQSQETMIAFFGDVNLDVQSGFPDLIKALAKEKPRYEKFHNFVDSLQGDNNIIRNIRRSYGINVPSMEFALKIRTALQSIRNITSNQVELVETLRSIREIYRTEYSGEYFTSLASIATLSRDGIWLDVYDSLENIYTLTVGPVERAEERVAPFRGLKEMVGKNGSYLEVFLAMQSILESPWASSQKCEFIKEYYSGLGDVTPVVEAFGIKTNDIRRYNEGSTYIDALIQLLRASGSLQNLGRLLAFHKIQTTDKDPNNSIRMIADLLIHFGKNPRQ >CRE02933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1313442:1316199:1 gene:WBGene00055414 transcript:CRE02933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02933 MTQTSSDNNCEDYNNPEFAEFEEPSREELSDLNKLRNLRVLEFYQALDELYLTGGFEKSGTINRIILSQSEIPDDIYQVLIETLKSTRQELKNGDASLSEIDYQLDEQNSEVAKNLLTAVKKAIRNTTETSDSQSDSFIEKISKIELNSPEDLKQINLGNGEISKQLAELLEKLKAEISPKDFKKISEATQNAFDSGVGGVEDVKNTVVGGARLVYNMGLNNAKLKCKEIQKAVEMDSDFKKRLEAGNEIVKRLNRSEDLGSSGYKLLFKAYFDKTAPRGNMIFLPGKLMKIQTMTPLFNELVKLDNADTIIKIMNGVSNFKGRIKDLQLFIDQLQDDQKTLDLLNKRFGVTLPDQKTALLYRSVLEKILALSVDAAYLVRYVRSVKAVAETGNYQEFMKLLIRFTKLSRDGKWRDVWNSLEMATLIVKPLEDSENIKDLIAPFRGLNETVTGSYEEVFNALQQIIGKHRKGKKIQAKCQFIDQFFTGLSAQNTVLKSLGIEVAEMKKWVESTEYIDALIDVLEAAGTFKNLNRLLEFHGKYTTVDKKPLESMDLIDDFIRYLESSGRWNRKVSLDVDE >CRE02935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1325903:1331761:1 gene:WBGene00055415 transcript:CRE02935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-esyt-2 description:CRE-ESYT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LWR5] MTWQSYVVPLVGSALLSALTFFLGKWDYSFVWVLIIVLASVTKSYLWRKRERRLISLRATALREREVIMAQLQDLPAWVQFPDTERVEWMNKVIHQLWPYVGEYTKTFMNDFIIPQVKAQMPGMFKNFKFTKMDMGDIPCRVGGIKVYTTNVGRDRIIVDMDVAYAGDADFTVSCCGFTGGMNNIQFSGKLRAILKPLLPYPPMVGGVSATFLEMPKMDFNLTGMGEMVELPGLIDAIRSVINSQIAALCVLPNEVVVPLAPDVDVTKLYFPEPDGVVRLKVIEAKNLENRDISFIKKGKSDPYAEIQVGSQFFKTRTIDDDLNPIWNEYFEAVVDQADGQKLRIELFDEDQGKDEELGRLSVDLKLVQAKGTIDKWYPLEGCKHGDLHIKATWMSLSTDLKHLEKQEWEAEWGQADKPIHSALLMVYIDSVADLPYPKSKLEPSPFVEVSLGKETQRTPVKVKTVNPLFQSKFMFFVRHLEGQELKFEAVDDGTRRSLGSLNIPLTTLLKEPKLEQNQQMHMLTLGVHQSPIVITTRIRALIQGKPKKNDQLGHDVLGEYGNAFHIERANGKNGTANGDAVAVEPAKTVNLDAAEDDVEIKLNVDNYQILRSDSNSSLNSHGRSNSRLGRLFRSKHEMKKRETRADENRGEIEIRIDFDELVNQLKIAVIRCRDLMTFDKKDQCNPYVSIKLVALDGHKEVFKKKTPTAKNTRNPHFDNHVEIDVNPSDLLNHKVVINVKDDTNYGTFVAKPVLGCLEIRLDSLLNRQLSQRWIPLSVERK >CRE03134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1332207:1332551:-1 gene:WBGene00055416 transcript:CRE03134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03134 MPLPEIVISSKRTLPTKFVPKKKEAVSVAYQKPCVPEVRRPSIDQKLNAEKQLQKFIEKKKIIEEKKKEGAIRVSNVMHSLEEARLKRKQEALKAKQLGFWEEPKAKKGRYTKY >CRE02936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1335153:1335767:1 gene:WBGene00055417 transcript:CRE02936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02936 MKEQEERTGTTSVTSSSFKPVVATSSTNKPSTSALPTVTADKRSVVVKKPISKDIPIPPTSSVNMSNVPVAVKSSKPLTSTSSTVPSKRPMPLPEIVISSKRTLPTKFVPKKKEAVSVAYQKPCVPEVRRPSIDQKLNAEKQLQKFIEKKKIIEEKKKEGAIRVSNVMHSLEEARLKRKQEALKAKQLGFWEEPKAKKGRYTKY >CRE02937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1336685:1338152:1 gene:WBGene00055418 transcript:CRE02937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02937 MHAKHPRRTCSFTSELKPLMEFLAEHTKNVKCPMVLTHIFNKYRDERNMKSLPGQIQRNFRTYLSPIIHTFSEFEDEVLVKIFYATSTPVEEEFLKKLRITAEVTVDESQRICKYESGSLRLNGKHVKLSGYSRLKRRSTDPSYGRERKTNNNNTKRRSEPFSFAPNPVPAPVLASVPAPVPDPFSVPAHRMNRNPHGTAVATNSSIPLSPSNWLGMSAVPGINPICNPMITPESLVENQFFNMMSVFVNSMTSMMESQQHFLVNMVEKIYIQSLQKKDGPSETSEIPDMAEPPEIVETTTSLPHFLKFSKCILSFLNCEELNNLSKHYDKYLESLGTEDAAIPNEKLILALQVLFSVSLSANVVSVAKPNERTNAKHFISLIENFVSSELNYPQFRKLDEQLKKKIASLEENSRIPIENLKLAFEAALAIVSKELHGNESEVPESVDVKQEITS >CRE02938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1338462:1340295:1 gene:WBGene00055419 transcript:CRE02938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02938 MDQSTNYETPLAKRRGRPPSKQARNVRCQCERNEETQGMIECSECKDWQHRGCMGIGETVDPTNYKCELCDPRELPVSKEDAMNNERDAEARSKSKPAAFDVKDFLKYIDNQSIGIQTPFVMKEFISGYIASRGMQTTPHSLQMRFRLTICKTIPDSPLFDVEAKARMLFATSTSINQRVLNKLREDAVVEVDQFNRITKYESLHGGLKLCGKHKCQGLSLKSGSKRKSPRGRRPKRISGYSYLTDDSMEIPEEEEAEADLTMGVGDEITEVGFVPSGNGEMSRSFNQQHVKEEPNTMTCYHQPIQTTPSLPTGDPNPYPANPMPAYYYPSMYSQYPQYPQYPHYPASSIGLNGLTNFMASMTGFMMAQTNMLRDMHLVSSAHKTGGPDQVASLKDLLKYLQAYLVHIGSLKLSTITHQIVIAIHGLGMGDKEIPLDKLRTAFDYAFAHIYASDATPPLDSISASHFLSKLHTYASNQKTGNFQSIRDLISQKMLSLDKEQMVPLENIGRAIETLIKYVLSPVR >CRE03136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1340497:1341418:-1 gene:WBGene00055420 transcript:CRE03136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03136 MSAKPLALTGPGGANQLQDDQILVDALPYLDTEYNETDRQLAMKLVEHECKTFRPTKNYLTHLPVPDYDAFLTPCMLKEMSRMKKKEEMEKLDLSRCELPPPSAVKGVDRKLWAKVRRLSACRERNYIFQVLRNAKAQNEHLMLRQINLELMDEYAAENYLQRNKLMESLLTQAEKELRITKEAVMEVHAARKMAQLKAGDKVKQLEYSWVTMVTNNYRMEMENRQMESDNAKQIKRLKVDPSKLEDKEDQEN >CRE03137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1341534:1343721:-1 gene:WBGene00055421 transcript:CRE03137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gen-1 MTINGIWEWANHVSQKVALETLRDKVLAIDGHIWLYESLKGCETHHQQTPNSYLITFFTRIQRLRELKIIPIVVFDSISSSSAAHEAADQDEFVPRKRRSFGDSPFTNLADHVIKTNSLLSHFGVKVIIAPGDGEAQCARLEELGVVSGCITTDFDYFLFGGKNLYRFDFSATTMLSGARLHDVTHLSLGRMNIEKKVARPHLIATAILLGCDYYQRGVQNIGIITVFDILAEFGDNGCKETDPQVILDRFSSYVRREIPARSEDSSRKLRLRGKKFNFPDGFPNCTAVGNAIKMYMQPAVSNQIPRITSQITNFQKVEEILVKECGWSPQRLQREVTTSMTRSRNVLNIVFFVCQTQSHINEFFPSTRTKTQNFSPIVEPCKSADDYISANNNWMRKRKRMDSDSPNVVPKKPVTANRKRTIRIRAPPPTKPYHDNNVITLDEDSD >CRE02939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1344293:1346880:1 gene:WBGene00055422 transcript:CRE02939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-stt-3 MTSKTTSRVGATTLLTIVVLALAWLVGFASRLFAIVRFESIIHEFDPWFNYRATHHMVQHGFYKFLNWFDERAWYPLGRIVGGTVYPGLMVTSGLIHWILDSLNFHVHIREVCVFLAPTFSGLTAIATYFLTKELWSPGAGLFAACFIAISPGYTSRSVAGSYDNEGIAIFALQFTYFLWVKSLKTGSIMWASMCALSYFYMVSAWGGYVFIINLIPLHALALIIMGRYSSRLFVSYTSFYCLATILSMQVPFVGFQPVRTSEHMPAFGVFGLLQIVALMHYARNRITRQQFMTLFVGGLTILGGLAVVVYFALVWGGYVAPFSGRFYSLWDTGYAKIHIPIIASVSEHQPTTWVSFFFDLHITAAVFPVGLWYCIKKVNDERVFSEFRLRFERQANNLFISVILYAVSAVYFAGVMVRLMLTLTPAVCVLAGIGFSYTFEKYLKDEETKERSSSTSSTAKDEKLYDKAAKNVKSRVPDCVNSNVSSPVSCVLSVRLCVVDGNSHYAFFFCVSFMFHNANEGEETGVSSNVRTIISIILVIFLLMFVVHATYVTSNAYSHPSVVLQSSTSNGDRLIMDDFREAYHWLRENTADDARVMSWWDYGYQIAGMANRTTLVDNNTWNNSHIALVGKAMSSNESAAYEIMTELDVDYILVIFGGVIGYSGDDINKFLWMVRIAQGEHPKDIREENYFTASGEYSTGAGASETMLNCLMYKMSYYRFGETRVGYNQAGGFDRTRGYVIGKTDIKLEYIEEAYTTENWLVRIYKRKKLPNRPTVKEATIPIKGKKATQVNKQDLTLTFNFNSLQGKNKKGVIRPTPTSAKA >CRE03138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1349357:1350272:-1 gene:WBGene00055424 transcript:CRE03138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-8 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LWS5] MSNSSSVIFSTINCNTSYSYFWENVKYLLQAGYMIPPALLYSQILHVIWRKNRKIYSQHQFFVIYSIDCVVGLVLLLLDIFITRLFVYFPQLCVSGSEFFLSYPIFMAIYYPLLNYLHCAQPLIQIFLTLNRMSSVVWPVDHNKLWKKNLPFIFLFILLVPFLFIWNTIISQKILIYYFGGFYINCLKLVPWASMSLFTMIIRSVAVGITVVSTVITFWKMSNMKNRLKKSERTLCFACAANSVCFIIPAGFEAMKVLNSFWSTYWLAYLLQPFAWDVLNVG >CRE03139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1350474:1351658:-1 gene:WBGene00055425 transcript:CRE03139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-9 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LWS6] MTDSLNSTMALPPVTPACDPKYSYFWENVKYMLQAGYMIPPALLYSRILYVIWRKNRKIYSQHQFFVIYSMDSVVGLLLLLLDIFITRFFVYVPQLCTTASQFFQSHPSFMNIYYPLLSYLHCAQPLIQIFLSLNRMSSVVWPVDHNKVWKKNLPFIFFFILLTPFLFIWNTIISQKVVVFYFGGFFMIGLKAVEWADISLFLFSVRSVAVMITVTSTVIMFLRMSKMKKRLKSSERILCLACVIHSICFMIPSFFEALALFNEEYGKSWINFLIQPFAWDVLNVGSPLIMIFVSGQLRNHVFDVSIGCLKKKKDPRRVTVQTLTVSFSSNH >CRE03141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1353331:1354858:-1 gene:WBGene00055426 transcript:CRE03141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-2 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LWS8] MSCIACFILVVQDILFARVFQYFPQFCESLSEFVKTYPIFALIYYPLQQHLHCAQPIIQILLTVNRMSCVLMPWKYSQIWKKYMPYIISLVIFSPFLFIWNVIISPKIPVYTFGGFYIGYERIVIWATMSLFMLILRVITIVITAICTFITVLRLTQMSKRLVSSERTLCIASFLISSCFLGTAGAESIFAFQVVRTSTSISYFLLPISWDILNVGTPIVMVMASSQLRKHVFGTFKRSRSNSRVDEGTIVTGF >CRE02941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1357247:1357809:1 gene:WBGene00055427 transcript:CRE02941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02941 MKKPIKFQARAMSHLEEISEEGIQAMSKSGSVAVLLPSTAFILRLTPPPARKLIENKVIVALGSDFNPNAYCFAMPMIMHFACVTMKLSMPEALTAATLNSAHSIGRGKTHGAIARGRNADLIILSANSWEHIIYRIAAHNDVIRHVIKNGNIVDV >CRE03143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1358007:1359584:-1 gene:WBGene00055428 transcript:CRE03143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-5 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LWT1] MSGNFSDIFEEGCDSSYPPLLENLKYIFQFLYMIPGIVIHVRILSIMLFIHRKIYMSQSFFIIFSMDSLASITQLIIDVSIQRVTIYIPQFCPFLYSTFETYVFIPNVYFIVYNYMRAAKSVIQVFLTVNRMTCVLAPLRYSRIWRKLIPFAIGIIALSPFFVIWNVIISDTFPVSIFGGFTLAYTKRVRWASLSLFQMTLMIFSLIITIFTSSITLFKMRRLDNRLKSSERTLCLASFYMSAAFLSAAVFQSYFAFFNIAAASTNVFYFLQGFAFDVLNVGSPIVMILISGQLRYHVIPVKQLAPKHSTVVSVSSVSRKG >CRE03144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1360155:1361720:-1 gene:WBGene00055429 transcript:CRE03144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-6 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LWT2] MANSTAFLGCSHSYSNVEENLKLFAQLVYLVPAFLLIGRMIYVINFVYKDDFHDQERFWNLYSTDLILNWFNLLLDIFYYRPSLFIPQFCESFSFFLRANPLLIDITFPLWYYFHVGKMVTQSFLCIERMSYNLLKTNDYRKLWKHLSTVSLFLVFFFPFTLIWNILLSDKYIQYYYGGFQPNYARRVNWFGTTAWQLSYMQISMGITVITNLISAFHHFKAYQEKNPSQLCIIWGVISIDYIFCGSIFCFLNKSFAFEYSNLIFILVFLVWDGFNIISPAIMIAMNKNLRKNVFAIEYEDEENQITHSSLQIKV >CRE03145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1362004:1363338:-1 gene:WBGene00055430 transcript:CRE03145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-7 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LWT3] MLANQPDFENFGRNCSGNYHTLTENFKYITSCFYLIPTFALLVRVVLVCRFRYREQYQYNLCYWIFTADCVVSMIYIILDLIIIRPALYIPQLCSTFSPIFINHPILNHIIFPLYNYCRVFKTVSQPGMILCRVLCVHLLTAHDEITKRNMPRAMVTVLTLPLVVIFNTIISEKEVVFWKGGFFTIYHRKIEWLSLSMLHLSFILCAILIILTSTFFIYRKLVVIQSDVMPARSLIFNSSFWVFGFILQGTFQSYYAIFRHSSWFPSQIIDMQFFIFDVMTVGCPIIMVRFAKEFRDQVLFGKRYAKSQDLNQIQLMSIEMQEKEKEAAREARHRCQTPKFDSIH >CRE03146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1365256:1367147:-1 gene:WBGene00055431 transcript:CRE03146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-3 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LWT4] MNASSRNFPLSNQNINISETSFHFSRHQPHSQRRMQMSYDGCIPGYNSFSENFHYYYQFGYLFAAICINYRILYVIWVSHRQFYSTQSFYNLYSIDCSISILAMCIELCCTRLFLYFPQFCVPLSEIVANSPIFMRLYYSSVNYFKAVKPVIHIFIAVNRMSCVMFPITYSQNWSNKMRIMISIIIVAPLLVIWNTLVSRNYLAFLNGGFSIIYERRVSWVSRSTRGNCKRVELQASLSLMQFSLIIVTVLITMVTTIITFYKMTTMKKRIKSSERSLCIAAALITVGFLLEAMTQSFFAFFKDAPWLITVMAYIFNTTWDILFVGSPLVLLLVTTQFRDHVLGIRIGRTQRVSSINNALHFHQTHHTMTRYFVFCMLEFPEICIFF >CRE02942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1368157:1370834:1 gene:WBGene00055432 transcript:CRE02942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02942 MRLFFSVLILLFYDVFCSEIVVNSNRKYLFGDVLEPLCSVITSNQSLSKSDVIQIIEKQCLVFLVPLNQQVKVFILFAFSGPDLNLTPSQEDMIPPYPNCDIFVNISTSTIEEVEDLLQEIIKEKGAQQMDVSLKSVINSLSIRLQNLIDYLPEGETKEKTQNHLSTIKKISIQPLLDINYSSPPSSFSPRTHKFNPSDLLSCFNISSFSPMDLLSLSGQTIGSVACSRFQICSYSSLLSNPCLSSLNISENQYNRVVNKTIGEVIGQKLRGFTSGSNIGKFFGELTRSKRTPEPLEYEEVTPLMKMDGREEESEEGVMDEQGNSTDTSEFIDQMNSEERLGVSREKEKLHREPTSQFEDLEKLPSWVGSEKLTEEGSGLEDVSDSRVNKKVIDEVINSTRSPEDVKRTLDGYERDGSGEYPDKRELKIIDSLLKSEESDDVIRPSSKIPHSDVIVNSRIRETKKLKDNSLYSTRIPLGDKKNSEDVKRLLKLDAYERDSSGEQPSEESFEILSQNNESEEENNRTSPKVRHEVIRPFSRIQHDVTHPTKTSESLKLQASTESIPYSRNQGDVHFDEALSYFKTQIIQDDDVISQNLTNIDPSLLLEVQQFLNTNLNSSMVENLSNGTPIQQNVTEILSELGDEFSISDLLNKLKIYDWSTLKQLFGSFDVSNLFGKLSSIGINLKSLQKIVDSSSGNSMTSLVDKVKAKLSSTDSSNSPELFKIVQKLSESSTIPTVLQNALKSNDTSSLISDTLSVLKSNPSLLSKLNL >CRE03147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1371080:1373190:-1 gene:WBGene00055433 transcript:CRE03147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03147 MPLDSPAKLEYPLHWAVYTNEQNDLKELLRNKSTLEIDKIDTRGRTALMLAVTIGNFDCARLLMESGADASIPNKEMWSVSNEAVAQGNEEFVQTVIQHRDFQRATRGSRAMKRSLEKLREVPDFFCEMNWDFSSWVPFLSQACPSDCHRIYKKGSSVRIDTTLVSFEGANWVRGNQSYMFRLSNDGYAEFIVLNHDERIAVVQELRDDEVINEYRPLPGAMDDRLRNPISTTYIDVDNIGFERTSRGFLSWFTSGESNETVDKYECKVLNASNVHLVTKRRYDHLSHEARERLAQEEASESKAVASFKKMMSTNKMDENTTRDLFAEGLTPDQYLDPNYEFERGCDIGKKKEVVRRSNAFKATLWMADEYPLNLHDQIIPIVELMAVNSPHFARLHKFIRLQLPAGFPVKIEIPLFHIVSARIAFQNVNTPGKYVTPIDDQNVEIDENAFRIPGGYVIDDDDNRYGITWEDDDDRNNRNTRSVGNGTIPQGNRGRGLGNNLSDDMMLQIAIEQSLRESVGAEDTRTQEQIMADLEQMQSFVAVPAANSAETEYFRAIRDSQVEADRRRREEEQFEEQLRQALELSKHEQ >CRE02943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1376511:1378307:1 gene:WBGene00055434 transcript:CRE02943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmg-1.2 description:CRE-HMG-1.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LWT7] MMNSGFSANIFPSSSSPTSLYQSHQLQPNPSTAMYQATTRDLGKPPVRGKTSPYGFFVKMCYEEHKKKYPNENVQVTEISKKCSEKWKTMVDDEKRRFYELAQKDAERYQAEVSVAAYGGEDAMRKRKRAKKDPHAPKRALSAFFFYSQDKRPEIQSAHPDWKVGQVAQELGKMWKLVPQETKDLYEQKAQADKDRYAEEMRHYKSEMQKMTGMEQYEDDHLGHHVVHVDDINSQNIS >CRE03148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1378764:1380632:-1 gene:WBGene00055435 transcript:CRE03148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03148 MDDIQTFFDKYVHLPSEISGKHDHAYIILILLSVILILLLLICNLCICYFIRRRRRRELIDYPSNTLQYIPFPRNIRKPYRTDSGTSSSNRMMLPPRHHV >CRE03149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1386022:1386521:-1 gene:WBGene00055436 transcript:CRE03149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-19 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LKF8] MAQSVPPGDIQTQPGTKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE02944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1390016:1390503:1 gene:WBGene00055437 transcript:CRE02944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02944 MVNEISFNCEDAWLNLFVGGDLYPVQVKTLMNPSTCGTFFRDVVKVSDAAIKVRGVQWETSPNHIKYRVDIDRDGLLFRHVLQYLRNGKQTSLPDDTYTLEALVGEAEFFGLEKYREMLKKKLWKLTGKRQYYACYSDSD >CRE03150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1390991:1393062:-1 gene:WBGene00055438 transcript:CRE03150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03150 MSRYRFRKARSNWPMGNDSSRWEPPPIQLNELVPSTEADDPAPPTPVKTEDQPYEGGPLQWKGYMYHPRTKKYYKMTSDPSLPQGFSKADLDRMEKAREAKFQANRPRFTSGSFVKRPVFKPITSLLDDLTLGRCTMARMERHIHESRLLNCNPRPSFTIKTPIDHYNVAGCEFLDVSDTGDRVVGTFTIAPHGPTPKHSAVYVFEVDSLGDTIQSESERREAYDLLPFRSRPTNKGFNTLGMTVQPMLNDDGMSDEPSYLDYAVTRYDAFIVDQTLARVDADVTCMLTVTANDTISRNGNVCSYCTVHLEPLAELSDPEAIPTLSSPIYNKRWREKGNIWSVGWNAPQMSIGFGLESCFRVENLLTDRSFLMSSRKKNVLSHCFSADGNLVYMGLRSDNCIKSDLRMNRDHITGQLNGASNTTFVRVLEKSRPECVVTEGFDSIIRVWDFRWPKKPTMEMHGHRNNCNRLNVFFDKEERFVFAGTFVFQSIMKHFHISAGSDGYVRGWSLTSGDMLCSIKSPNQSNPIFPRAVYSDCWGGRPGNSALIVAVGDSMRVHSLEL >CRE03151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1396699:1398100:-1 gene:WBGene00055439 transcript:CRE03151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03151 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LWU2] MATRRGKTRGKKAGSNTTTQRSKERATEREREGSQKTIVEDPTNLEKKTQRKQILKFVKNTLEKGPPGLRNEFGSMKRFNDFEKMKAFKGAQEMGKNRYKDVGCLDNNRVKLNNPPWTHDYVHANYVATPSNPKRFICTQAPLEKTCADFWYMCLQEKVETIFMLCNLTEKGAKKCFEYYPSKDKESVEFEEKGVKVTVKLESPKQEQLKFDKNSDAKVMETVFIVEGPGGATQKTTHYHWIDWPDRGVPTADMAIIELLAKTRASKAPIVVHCSAGIGRTGSVVMIEYIMDQLLSGQQIEESDKILQKIRDQRNNSIQTEQQYLFVHQVMMNYFMEKKLFDSAVKMAHLAFTEQYLKSVH >CRE02945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1403217:1415195:1 gene:WBGene00055440 transcript:CRE02945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gar-2 description:CRE-GAR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LWU3] MAVASVLLALFMLFLSIVTVVGNLAVLLSYYLDKNIRQPTNYFIFSLAISDLLIGLEGIPVYTAFYLNNNEWIWGDVLCDLWLSIDYIVCLASIYTVLGITVDRYYSVKKPATYRNWRTPGRVVLIIIFIWLVSLFTLFEILRQLLQVPSILFSVSIFGYGTFTGTGRILKETECYVQFMTNPYLNMGMYISYYWTTLFVMLYLYWGIYRAAKKLALKSDQKTKRLALLTEMRRPEVSVRTSDAGNSSSDSPNDTSNSSKCFRTAPPTTTLPTTQTTVGTPPPVFRNHMTLHNNNIDYTKDNEIPRPPTPPDENTYSNPNFSIISEQLTNGGFSRQEPSSVIERESTAPCVSPEPSQGSQENENDLNENHHAHFKPELSLPFIDADSVSSMVGHDDLRRAMSIRISRSASGTGRHIGTPPVVELIENVEEVLGEQIVVEEHVEENKKLGGGIENGGMNHVTIADDEQQPSTSKESEQKEEMTPDNHDEVKVPLIAVSRVESVKSTAGGKVRRLITQMRSHSIRSKRKRNNKKVSSSESHLLLQSHLSPSSNREQRVNRTRHPVTITLQFQSVLSALNFFQRKKMLVSFRSFSRFQEYKSRSENRARKALRTITFILGSFIILWTPFYVLATIYGFCETCKASPSFNTLYTISYYLCYMNSPLNPFCYAMANQQFKKTLTRIFKGDFRRV >CRE03152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1415845:1417562:-1 gene:WBGene00055443 transcript:CRE03152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03152 MSNKRSDSPDLNDDLLKFIIEKTENVKSPTTLEKLSRDYMKYFQSMLSESYWKRRIQRLCSQIQKTKNINVDTKVKIIFALSVPVDIGFQVELNKRAMLQLDDYRRIIKYKEKGGLELEGNHENTTDRNNDKALAMGSSLRKCSAKTNICDDDDSDTSSKTSVDSGRNSMNSSLNVQRKRMYFMESSSDSEAENSKISKKSRVRLESISLENCTNEAEIPTNFRNHEMFIENIIAATCQKNNQSDNDCEYSDNSDDEVDLRSYQFEDKIDGEHLKNDETTLQSGKNNSSKSPNSQVLHSISSDLEGDKDFRENESARRKPCKKQQETNTEYPRQIHLMDSESTKCQSQQARIEEYRIEDADSDIEFVEVVEKSLADESTSLKEFLLSFRGSINSLETPIFNSIRRRIYDKIEILRKQEKVNIKVSIKTITQFLDTFLLNLSPRKQSITEESTDLRDFYLLLKPSVCFIQHSFTNQLKLELNRRIDSLLHQNTFIPTETIKSAIKNSLKILDC >CRE02946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1418095:1419115:1 gene:WBGene00055444 transcript:CRE02946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02946 MPKVTPIPNAPAGLELEMIAATKPRLMFPSSSARVYDLKPSSEYRIYLRLDRSSCRYQLKEQHWVSDRIPQPKEADEGRMILSKYGQQKGEFFNVNGVSFENVRISFNKKQTKSTTDTIYVRKFFKYTQTIIVDELIPGVGNRRFQMYEGQGGKEFVVVSHFESSRMSQYYAIGFENIRRFGKKKQKVVKNTHTYSPVILMNHPAVSAKICCDFRYEDPDDMQPFTLPSGETLVRKYGRSYFYPAPQSIGLAGV >CRE03154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1421317:1424263:-1 gene:WBGene00055445 transcript:CRE03154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03154 description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:E3LWU7] MHKLSKRLLGSVHVPTSPSTLPCSPFSLVQRQFLFFPTTMNKLMEEIRREKLIVDRCKQSIDRKEPYDLKSMKKQIDISLSKITAMKLRLLHMQNNSIDTHHASPPNIKQQILNTQLLCHGARKVRESLINDESPNEKVLRETEQTILVLNEKLDLLIQCSNQKQSVSTPISVRRTRDGSSKDKILPVQVSGLLKIRVTGLADLPVNTKHRAGRYASELLAQSIKKKKSPIFGRHGFRRHHRVPAINDFIVVIHVGGKEVATMVWNEKKTMVGGDEETIQLFKSRQLDFEVYHTDSRSLCAIGSMQLESLLNEHDAKYPHASFAHRVVNLMPEGSLHFQTTYSDPDQSMGKRFGENYCDGSARLSLSVRKNGSVIARDSQTRFHQRTAISKNQFVPLTPNTMLRPRRVDSLDSYRLLKVIGIGAFGTVQLSQRIDDDLYCAIKIIERDPRTQTFETPELQILKNINHSFICSLLTNFIENDALHLVMEFCEAGDLHTHLSRTSHGFAKQQVTYFAASIVLAVEYLHERLYVHRDLKTENMMLTRDGHLKVIDFGLCKKLSSREEKMCDIVGTTTHLSAEIHRREPYGIEMDWWAVGVSLYQLRTREQPFYGTREVEMQKNSRVREWAAFHDLLISLMAIHPEARLGYYSTEDVKKHPFFMETDFDAVLNLSLPPPYVPLMTPGLDLEWFDNPYHSELDTSSSSYSSINSFLDKDMTI >CRE03155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1429688:1430230:-1 gene:WBGene00055446 transcript:CRE03155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-113 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LKF8] MAQSVPPGDIQTQPGTKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE02947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1433184:1436577:1 gene:WBGene00055447 transcript:CRE02947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02947 MNSLPLLLLLFCHVAYVTGVCRDTDTCIERVKEVVAAPRRFWFGEQRSALCSHRNAKSLECGGPPQLANFHSIASRLFRVKTYHSAYLRNLNIFPRVTYRASPGHYRACEHDFFSGNTSAVEADPDMEPVEPFRIRKRPEISWSELQFDDQYTIVFTDVGYATLNYLAVDFPKATKILKEYEPTENYRPSPNPVVVLIFRKGRVDVELPKSEEDFDLPQFMLKHELEDDLVGLSLIVASSDPFAIEKQRLRGKVDYCHSLLQKRLSSNPPRHHTILHRLPIDEIDSWISVSFDQHPMNANACCQKIMLPKTSVFLDPLGDVSISALTTLTPPSISSMRITSSHSNYINYHRQTRNFVEFSNEKFSLAIIDAHHGHLHWLEVDIPSANLNAANGNGLTKADYVPLIPKKPSTCHSYLFVLLAQPASMETLEPFCEGMCENRKKFRLELFKQQHNLRLSALSTVSSCYDLPYAYHILMKDASQNRTMERRGKQRTSLHSPMSSEVCAAFHVSPHHKCPISSSSESILLSVHLLVTSILLIFAHFF >CRE03156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1436855:1438099:-1 gene:WBGene00055448 transcript:CRE03156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-767 description:CRE-LET-767 protein [Source:UniProtKB/TrEMBL;Acc:E3LWV0] MACQCFLIGAGYVALAAVAYRILTIFSNILGPYVLLSPIDLKKRAGASWAVVTGATDGIGKAYAFELARRGFNVFIVSRTQSKLDETKKEILENYSNIEVRTAAYDFTNAAPSGYKDLLATLNQVEIGVLVNNVGLSYEYPDVLHKVDGGIERLANITTINTLPPTLSSMILPNKFFTQLSAGILPQMVARKAGVIINVGSSASANQMALWAVYSATKKYVSWLTAILRKEYEHQGITIQTIAPMMVATKMSKVKRTSFFTPDGAKFAKSALNTVGNASDTTGYISHQLQLELMDLIPTFIRDKILTNMSVGTRAAALRKKEREAKSQ >CRE03157.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1438454:1440353:-1 gene:WBGene00055449 transcript:CRE03157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03157 MTAMFSNARSTTSSSGHIVEFKAGRCRLEPVTGGGETARTVSPEHARGLIYIKQTNDMLIHFCWKNRETGALVDDLIIFPEDAEFKAVNGCADGKVYMLKFKSGEMKIFWLQDSTPDIDKDLVKKVNDALNKPPTSRPSASRSSGSNANADRPSAGGSLISGQDFNTPLGGLDQGQLMSLIQSLQGNTSDAIPIHSSGAHDDNSSEADCEPPSNSLSEEGSSSSNPLSLNNPAIQKIFNDLGRQEKKGAAASLSDALSNVTVAEVARNHAAELSQHLPPSDDPTKELAETVRTPQFRQAADTLGTALQTGQLGPVLSQFGMNDETVAAATQGDLRKFAANLTKAEGGDKVEPAAAAQPEFTENADDDVAREPEAKRNRPDNEDMDVD >CRE03157.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1438454:1440438:-1 gene:WBGene00055449 transcript:CRE03157.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03157 MTAMFSNARSTTSSSGHIVEFKAGRCRLEPVTGGGETARTVSPEHARGLIYIKQTNDMLIHFCWKNRETGALVDDLIIFPEDAEFKAVNGCADGKVYMLKFKSGEMKIFWLQDSTPDIDKDLVKKVNDALNKPPTSRPSASRSSGSNANADRPSAGGSLISGQDFNTPLGGLDQGQLMSLIQSLQGNTSDAIPIHSSGAHDDNSSEADCEPPSNSLSEEGSSSSNPLSLNNPAIQKIFNDLGRQEKKGAAASLSDALSNVTVAEVARNHAAELSQHLPPSDDPTKELAETVRTPQFRQAADTLGTALQTGQLGPVLSQFGMNDETVAAATQGDLRKFAANLTKAEGGDKVEPAAAAQPEFTENADDDVAREPEAKRNRPDNEDMDVD >CRE03158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1441389:1442734:-1 gene:WBGene00055450 transcript:CRE03158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03158 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LWV2] MVNETRTDTARFIHRHMTVKKWINYREQSYTKQELINLALDARDVFKNNDKPSALAEIAPPVLVVGDIHGQYADLMRILNTCPSKPAEKKKERPAPNNKKAGFISNRFVFLGDYVDRGSHSVECISLMFALKVHYPRQYVLLRGNHETRAINFAYGFREELQIKLGEADGHEVWEAFNETFAWMPLACLIGEKILCMHGGISQGMMINLIKQIPLPLEDVGTNALAQDLLWADPTPDQSMASALPTPQWGKNLVRGLSCTFNPAAVTETVGRLELKLIIRAHQMIPDGFKFAANHQLLTIFSAPRYMNETDNRGAIVRIQENGDFGIIVMKNTKGAGGKNPLNDELTRADDVPNESAKKKSDSAVNVMKLSQASSSKTKNSPK >CRE03159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1444404:1445126:-1 gene:WBGene00055451 transcript:CRE03159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03159 MFSEHKKKHEEAKRNDKNGELIFDDLESQTRSMRLSDDGKKEQSELLNRRKSKTINLSEYMSEMETKNPKRKSIKKSDQKPSTMSLNVGKSGMDKGKEAVSSQRSNSLESPGLAGTPGQSNLGLGIFEAATMMCNIGEFAPKMSRVNLSFSVDITTDSTTMTFKNDKTKTLKSKESKTSLGSASGPFSQMKEAQEMKKKSKNSFEDDD >CRE02948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1449760:1451016:1 gene:WBGene00055452 transcript:CRE02948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02948 MIPLTRLFARAFLSPKRKTTTFLSSSSSCHSSSIAEDEEVSFESLKPSRSFEKSLTKELKDRYEKTLKEFEIFVYMGKYVPNKMSDDAWKQSLECKSLNDRLSYWEYLALTARREQRKTKVNSSKQARYKEILEEQQKRYDAGGMGYGPEMYQLIGNPLRNQKRVNNIEGSRVFSSMNAGAPRIALDLQYMSEVNRRESSELGNQMQYCISENFASNFPLVLDFVNSPSQEFLEQWLQKSVGYYTGNYVNQTILPEFSTKGIKDFYGNSANTIYISPNARDVLDGPLTADVIGICVTMGRKREALSAARRSKIRAYRLPIHRYVKWKSGPQFLPFPNLLNVLREVYTSGGDWSRALHNNISKRHLVDTNEDEQKKMQSLRRRTREEERRELTEAIISATKD >CRE02949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1457806:1460109:1 gene:WBGene00055454 transcript:CRE02949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02949 MSSVASKHALLIALGGFSIAALFVWYINKKDKGEREKKKVNDLVTNGSAVRAENGNLKKAQNGHANGAPGSISSSKLQKARDEEKATDVPVQNEKSSIEESRQKKSAEKQEVVTEKEETDHVAAGDRITVQEQQNQEEKENQVEISYTIDYYHFSRFPKANSKKDYCNKSELLQMRTHLNEGIHDL >CRE02951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1466403:1468964:1 gene:WBGene00055455 transcript:CRE02951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-akap-1 MLTSAPVFVHPTQLPTHIPPPPVFITSSGTLLFPPGFLPPPFPAVADEEELHEFIGAAESCSSPEPSESFIFSSSSSDVSVAPPLETISFSNVTLNLFSLTGEEEEELKMYARIQEEVKVKRHRRNSTTSSGYGYSLPRTPTTEILFDTASSQDSGRATGPLASPHDEGLTGTEEDFLPMYEFEIPNSLVGLIIGVKGKTIKELSQRTNVRMLIRQHHAPDKSKSHQICQVRGKRDEINHCLQMLRRRFPPARFPELNLQPVVPPVLPNSNFDMLSTQPTWLTLPEEIKCEIAVSSIINPSHFFVQQPTHATFPSLRHLDMYMGSLYGEQSNLPELPIPCQNGLLCAAPVGNSWFRAVTVQYYDETDEVFVKFVDYGGYTRIARQELRQIRTDLMSLPFQSSEVMLAHVRPVDGTNNWSDAAMLKFRELCTGRVIECKMVGYSAETRIPMIELYVTVKEGNETREVRFDQILMGMGLARTADPSKMSRISYPTTIKDAGDVHMKRPSFSSQTSQTAVVC >CRE03160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1470012:1471196:-1 gene:WBGene00055456 transcript:CRE03160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03160 MTISFVTLHDREDLLMKSATFLNTEWPRSMGSREHSQRKSCRQTPPMSFLLLETETDEIIGHSRITRLPNRETALWIESVMIKKDQRGRGLGKYLMLETEKWMMENGYDEGFLATEDQCRFYESIGYENCDPIVHSTTATCVFAAMDHFQNLFQNAAAANPSIPSTSSSSSTNSSTFVSSSAPIPPPPPPPKMVTRSTSPISKHIDVNITDHQYMRKRIKPFDK >CRE02952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1471493:1476614:1 gene:WBGene00055457 transcript:CRE02952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-thoc-2 description:CRE-THOC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LWV9] MAMFETLTQLCLDIVHMKISPAEGFERILVEKSEREAISSELIDVLVLTEPESEFAENASTSKANFESFVHLLTNTIIPEEILRLELDCYKKDDHNKALIRLKTKVYFKQAKFNLFREESEGYSKLITELMESAENCQSNDDYAKVIKNRVLSLIGQFNLDPNRVTDIILEVFEIFPKQKLYFIELLKEIDVVPEYLCSILGFKYTFYQSDKKKTPYSLYVLTAYLIQHEMIDLMKILAYMIPKLETIKEGHRARMTNAQERASKAETISTASIPILDSRGYEENGMGPAATSTISFTTVIQLQEDEDVKLSEGFNEESVLSTNQKLGLACALLENGNWKQAQMLIDRLPEYYAVQASPRLCRALCKIIERAIDDFYRQNCSLNLFGDLTNSKKPVFDDMGNGLKPIESWDDLGQLASVLAYLGPRLAYRATTNIKILRLLTAYYQKVEKDELSKDEKRRIFILLLPSQFSHFSVNQIFIEVVSECLLPSLTLSDTNVALSEELWQLLQLFPYSWRYWMYSKWNNETARHPEMHIMKGKIHGRTKYVLKRLSKETVKMMGRQLGKLCHIHPSTVLSYLLSQVQTFDNFIGPVVDSLRYLTSLEFDVLTYCIISQLADPSKQALKSTDATISPWLQALGTLVGSLYRRYPLELNGMLDYVLNQLKLCKSYDMLLLREIIQNMSWIESISGATKEQIEALGGGDLLKQEAGGYSTATKNRKAAQRLRDALLKGDLAVGLCISIAQQKEHIMYNESATLPLKLAGKMVDQCSDTFQQLVSFLSVYMRNEDFAKRVPSVRELLSEFSLGMEATMCLARPTFFSKILDNYDLAKKSTKAAVDEAGQKARLDTHQKTEIFTNALESQVEIVMNELKEVYPGMEETAPVRFFAVFWMLTMYDIEVPTSAYDRTLEALKKASREDNHGSKGKKTDKQLESKLREEQKRQVEHVERYKAWLSNRKDVLIEEKFHNSVIEVLIQQCILPRAIFSELDAVFCGQFIKMLHEMRTPFFPTIVIMDRVCILLVLELHLIIFFFQLFENVIALIAGLTENEANSLACLFEILLSMSQRWHSEKEIFEKECAGFPGMITKQAIEYQTFRKLCYRWQNRFVYMFKAVLTKEDSNYVLIRNSLIMMTKMTSGFPVISHCVTSMETVATKLKDREKGKRDDLSLKAASYVGKLKMRNVKIFAQNSDYANVANKKVSTAEKPKKKETTEKTDGEPADKKLKVEGKKNGTSAEKATKEEVQQNGGASGTVEKKTTSDENKERKKRPGKTSAPSAPKEPKKESDSTEDGERITPSPPPAKKTRIGDRLKRPEEEKKDDKEPIKDTDSEKGESKKKREKSRERKDKDPSGKEKRSEKEKEKKQHKENGESQKDQKILKDQRQKSKSEERKEVAGPTLPEAPPKKDEKKSSRKAIEFDLEETPRPASSSSSSRKEKKEERKEERKEERKEKKEDLPKASRIAEPVREKTSGRDRGHRTYR >CRE03162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1478568:1479618:-1 gene:WBGene00055458 transcript:CRE03162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03162 MTSEVVHFTGQEHLPPVLKLIADSNYQFSTHKHASEKSEDGTYHVYTVTMACEPLINEVISTARSCSNIRDQVLVSQIKSLTTSEKKKKKNKSPVVHQMKILKDSAHPNQYFMLPDASSSAASSIATSSDSSSFTSIPTRTGSATSVKSTGKALTMVHVKFILLYLDVCKRRVQSNFVDEFSSDTLLQDVVINFQQLCSRQLRGQEFQPRLAYCIGEVSEKNSKPILDSDLGKSLAQLASTNSIIQFSLIADNVKYVGE >CRE03163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1481134:1482259:-1 gene:WBGene00055459 transcript:CRE03163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03163 MNIQKYDDYSSCAATATHTLTVLFSCYQMYFSIIADKFSQSPKYLNFFSFFRLSTALTACVVFGIKAICLLIDYLSGNRDLKSCKRDLLIGTGGIFIISSITHYIIYNFGHTHGFLIVFLFSSFIFSRYVLQCIFQICIIYSTGSRIVDIYAVLIGKLELRPTVNRVEPGAEVVNKLKETVEDSGSDDELEFEERKVFSIRELQCNICLKKYSSESKKRTPRILTRCGHTLCQRCVDTISINRSVKCPFCRKYTYILGYELLLKNYIVLEVIQEMRSLERSELKRKRRNSIS >CRE03164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1482515:1484255:-1 gene:WBGene00055460 transcript:CRE03164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03164 MRFLIFLSVIDLIVSLTPAQACPKTSINVMANKSRTLSVIFGVSSEQTDRNDDHQKANKVPSRNTTSIEDGSCEIDQTFIALTVTFTFILVSIVIFLIFLCIYCKSNDQLIQREIDARTTAESRLRDTEDRLLAETREKEETKEKLNEALELLSKLIPKMEKLDAQGAQQTELKRECREIAENLKTTLGEPLPVVIFGAEWRQKGFLVLLFAVFMSTAVVAVVQSNNIPARASFWSVTLYSMASIGFTSMSGAICDMMEPFLKISRTPSKVAVFGVFVLLSLFIAKKEFAYARESLPPFDQSSEEDMEHIKNMTIFFFGLINCLVVSIRLDKAKYAKTFVAMFLFFWIGTVSAYRTFLGSYSIAETLISIIMFFAASASLGSLFVKYH >CRE02953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1490298:1491982:1 gene:WBGene00055461 transcript:CRE02953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02953 MKFIILLLSVIVSILLSVHRTEHQRLKLLEDKRDNEAVTPVKDVRTPDDLEIDNILNSSVDNEGGEENDNFINDSPNTTSSGEDHLQEDTKQYWLPLAVATSFILALGVYSVHLNRQLTKTEDELALAYRDIGRMKRERKIMKMCFEKKRKSCESILRKMDLIKHGEVIEKAEVANLKNMMGQLKMGLVMPEADGIVGEQRQDVVGNKIKKLFNVLSIILVFVATVVVAVKQFNNIPLHKNRLDQIVIPCASIGFSSFCGACCDFYSFIYKRRPWIRAFVLFFFSSLMFGTFLLENGESKTLLQCLLLGLVFCDETASQLRNFNPAKYFGAGSLFVAILFVWTYRNAYEISIPELYFDAIFLFAPLLMFIYSLIQLRWKPRPQQNI >CRE02954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1492814:1493969:1 gene:WBGene00055462 transcript:CRE02954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02954 MAEDDPIDCLFQLVFSFRLFSLLLLLVFPFIAYESEVFNFEWISIQPTLFSVLLMIEIIFEVKQRIEKYDLKNGTKRSRIYERNVKYGLVAVIICDNIPIICAVFVPFHTHLFLYVAYLFTISGTLISVMFLFWEFSFLRVNSWNGTLLFKRSTCLISFYLILLVYLCQSTENTRHPRDQASANVFILAYGMLSSITISKLLFLFDSKVVGKSQYGRYIKEDDSEVGLNSEDLTSVKMARYTVNSKYSYSKFNNFRCDELVCQICLRNYNEKTKRNSPKILTGCGHTVCQHCVRKLKRKTHFDCIPCPFCTVDTKLNGRSVDYLPKNYAIIGMIRKLEQIKKTQK >CRE03165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1494799:1495642:-1 gene:WBGene00055463 transcript:CRE03165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03165 MADEQKCSADFDQENNFQTPNDDMSEPVDDRTKRMVAELQKHWITEYHNSRERALVELTEKLHQEFLSDQQNIRSELLQQFKDELEHTRTDLESKYRDQLKSENAKLTEKHRREMSEAKKKQWCCQCENEAIYHCCWNTAYCSVECQQTHWQSHRKLCRRKKTTAATNAQTSTTTAT >CRE03166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1496468:1497418:-1 gene:WBGene00055464 transcript:CRE03166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-13 description:CRE-RPS-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LWW7] MGRMHNPGKGMAKSAIPYRRSVPSWQKMTAEEVQDQIVKMAKKGLRPSQIGVILRDSHGVGQVRRLAGNKIFRILKSKGMAPELPEDLYHLVKKAVAIRKHLERSRKDIDSKYRLILVESRIHRLARYYKTKRQLPPTWKYESGTAASLVS >CRE02955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1497845:1501483:1 gene:WBGene00055465 transcript:CRE02955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-182 description:CRE-TAG-182 protein [Source:UniProtKB/TrEMBL;Acc:E3LWW8] MADTIGTSSNITTTSSSSRPRSNRGRGGRSRQAPRQDGVNSAENQDAAPSMNPRGGHQGFNKNRRTRVQKDGPSGNRAETQISHTFNPNAATFNPSQSNTFNPNIPPPLLPSNSVDVPPNQNIRQQNQNSRRRDGQQNNNPNSRRRDGQQNDQRRQTGNQEPREQQQNPMRQQNRNQQNPQQNRNLNAGPSGQHQENRRNQGGFKRSQGARRREQREEPLTEEETKMLADKPLRERLVYLLENNKYECAICYTRITTRQGVWSCKTCYHIFHISTGCITDWAKSSRDKEGANTWRCPTCQTENETMPYNYHCFCGRMRNPNFRVGEIPHSCGEICGGARKYGCPHPCNELCHPGPCVECKLHVTKSCNCGKTKKSVRCGSGQNVMCDIVCGKSLSCGQHTCEKICHSGECGDCTVFLEQDCFCGKLPKEVLCNPAAGEKYSCGSECDGMFVCGVHRCTKKCHDKECGECETGVHKIRTCPCGRNTLQSLGIIRTKCTDAVPTCNSICDKWLTCGTPGKNHKCREKCHDGPCPPCSLNTSVICRCGTSKGVIPCDEYLEIMKTTGEYLCTKRCRKKKSCGMHKCQEVCCIQDEHYCLQMCNKRLSCGIHTCENVCHAGQCRPCLQASFDEQFCHCGTTVRMPPIPCGARLPVCSQPCARPHICDHPVTHKCHGEQNCPPCTHLTDKTCYGGHTVRKNIPCHIESVSCGIVCQKPLKCRVHICQRSCHGDECEKEGEKCTKKCETIRELCEHPCALPCHESSPCEPSCCKANVRVTCECGRIKKEAPCCEVDKMIQTKIEKEESDKADSGDEDKPGKLKRSSSFSQLNCMKCDDECKKLERNRKVAEALEVDTDEYGMNKLAPTISFPCYLKEMVRTNLEFVKNVEKVFIDLVIKILSGEAYHDVFRSHLPPMSIEKRRFVHEYANFFNITSESVDSPPKRSIVLTAVRGKSHQPLILISDLVNFKGALKTPGPAVIRKDLLDQAMSKKEESEGLMKPLRCTEKMVIRREARPMKEIVAPIPLKQQNQFALLGSDVDSDDEESTKNVPTTSATGTSPPKDWWNDDQDSGWQRVQQKEIIVEVERDMTEEEIKAAKILNEGPTWEDQDDEESANATSSETIQLEKEPVQPIE >CRE02956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1501964:1503199:1 gene:WBGene00055466 transcript:CRE02956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02956 MQCDDVTWNILHKGQCSYKAWSKPKMFCRNEMNLTGLCNRASCPLANSQYATVREENGVCYLYAKVVERSHYPRRLWEKTKLSKDMNKALEQISDQLIHWSEYVRHKCKARLIRIHQYLIRMRKMAVRGNQKKLIPIGRKVERREKRREEKALVAAKLDHAIEKELLARLKQGTYGDVYNFRQEAFEQMLENTEKELEIEQEVEQDDPDTGETQFVADFDSSDDEEDMEDGGDGHWSPEETDSENEETWQQQEEESDGDDDGEDGGEPMEVDEPPKKKSKKEVKKKTVKKAADGKKKKLKKRAHVEIEYEEEVEPRQRVKA >CRE02957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1503292:1503967:1 gene:WBGene00055467 transcript:CRE02957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02957 MDSPAWMFTKALSHRQKVTRLYKRCLREVDNWYGGNNLEVRFQKCIIRARFDANKDEIDTRKSQILLADGCRQLWEKRHFKPFRFALDPGGSSYDRERESPDEIVDSDQWTLAEREQFPYYFNTREQRKKELLAHWAKIEKAWDEEIASIQTQLPAAAPTVSK >CRE02958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1504070:1505466:1 gene:WBGene00055468 transcript:CRE02958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02958 MDGSTGFTCVTCRVVFETAELQRDHYKTEWHRYNLKRQAAELPAIGFELFSEKAASFNPTMPAVAPEVEPLYCKACKKSIKSENAMTDHVASKKHKDNEKKSQEAVKKGPKQPRKKPENMPKKEESVKENENEEDDDDSSGWETDEGEEGIEELNDDDALPVTSCLFCPQTKLTMEETRKHMSFHHGFQLPDRQYLVDELGCLNYLGLKIGAGRTCIFCPDTKGRYESIQSCQQHMRDKEHCKLRRDPQSMIELDDYYDYSPMYEGDDDEKNDSELFDDGWSLTLPSGAKIGHRQLHRYFKQYLRPVDGTQRLVSKAAIDKARGFYPALAWTGTTTVEAKKVARDMKFVERFRRRCELRRAVKSNKLFKTNGFVGDNH >CRE02959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1506306:1512900:1 gene:WBGene00055469 transcript:CRE02959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-716 description:CRE-LET-716 protein [Source:UniProtKB/TrEMBL;Acc:E3LWX2] MVKLDEVTNEKYFPRGGGSDKPKPSKNSTSDFHSAKQGLKRKSAAPEQNEAKKVKEDSSWFPKVEENCFIDGLTGLGVVAEVFEDGVVLHTAGTHTVKIHASEVSKKFTELFNAEKIEMKDAFQVGQMIPFRVITKKTIGDKGKAKASCNPSKLNKHLSPNMLVAGLVINTSVISIEEKGAILDVGLDQMTGFIEKSQFPASGLKEGLPLVVRILSTTSRVIKVTSFVEQDNLNMATCEKLQLNHLMPGTILECEPTGDAVTAGVIVHIGNGLKGILPRRNLPPRLRENPEKLGKAIRAVVMFCQQNSKILVLNAHPDIVAVSRIEKRTSFEGISIGDKVKCTVIDAIPTKSIVYFTLPPTDGKKSLVTAVSSRGLLEKPDAVASEYEVGTEKLCRVIGFRYADRTITVSTRKDILNQKITTYQDAKCGDILDGRVHHVTKSGVYFMVCNFVKAFAPLSLLSDKPLTVPKIKSMFKVGTEMKCRVWQICEQRKNLIVTCREQILALKGPSANTVEELEIGNTLPCVVRKVFDSGVILLATFNNISGVLRKESAIHLPATPKVNDFVIVNVEKIEENNRVIFVLRDANMNAALASGQNSEKKLVQKAKPADAGVGVGKVYKGSLSAKKGEKANVTFMGDGNKEVYASVDDHLLSDLLEAPVGLTKRLLMENKEEISRIIPMGKMAAINRACVKRSVTRFAKGMKLPKNFEDLKEEQVVLGVVGQIITNIGVFVELVGGSGLVGKVFERKTAKSTCELLEVGQVIIGKIENIDSTKKSFWIDPCTDMENGERMLKQYALPLLESIVEEVKWLAEHSNYPSPGSKVDAKITKELDDLTLAEFEHNGKKISAVVPKKAGEKEVAEKTPKKKKKSAKSFIVVDINHSRNEVILAAATTSEGARIVAIRRDYLCAISSDGLIYLPTRLHPNHLPISDPKVKVHMIVDLSNKKSIGDGVFIATRGGGDVDDVARTTLIKKEFIGNSKKEKKTEDGSEEGKVRSIKNFGVYEGTVIGHAKLEENRKRNSLFVDIRLPGDNVGRLHVSEFPPNLLNSENPLEEFLTRNVNKKVIVRIIGFIKSAKGPKIAELTMIPSKIQAGKVRAATLSYKSNYSVGDMIKCFGTATLTEKQQLKVEVNPVWIGSISRENVTEDLKITAADGGIVDFSLKKGEMRQAKVIAVDRKSMSMTLTLDTSEVDSEFKIGSTVTGRVFFVSKTYIRLKLSTGQQAVLTPTAITDKYESVEEVVEKQMAVGQLVDVVCAKIQDKPKRHYVVLKSRYNSKTTNEKRKLILDNKLIKEGSQFDGIVENASKGSLFIEIGPGISGRIPVNEENQDVLEIGTSSVVRVTVAKVHKNEIILKLDDTLIRKWTTKPERKRTSSKSDGVPSKVALLEEEKTSETLEAEDPGFDWSNKGFRNEDLAAVGKLTISNEKEEEEEDVEDEEEDVSDEEEEEGASGEEEDEEEVDDEEEEVNDEEDEESDDDEEKVDLIEKSEEEHSRLVRSDPNSAINWIEYMSLFVEKSDLTAARKTAEEALEAINPTESEELLKMWTAFLNMEVAYGDSTTVEKVFQRACKNANAYTIHKTLAKIHQKFEKNAEATQILEQMVKKFRANKLEVWTLLAEHLMTQKDQKAARDLLPRALKSAPNAQQHIQLISKFAQLEFKFGDAERGRTLLEGLVTAHPKKTDLWLVYADAALKHLGIEHARKILERACNLEMSVHKMRPLYKKWLEMESKHGDAAAVQLVKSKAEKFLQAVADNVLEEDN >CRE02960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1513189:1514112:1 gene:WBGene00055470 transcript:CRE02960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02960 MTSEEVLSSEEPPSNGFRSCYDKLRKAVGNIPMDSSKSEKIQNLSSGKISEILPNLYLSGRTVSQNCDLLKEKNIKTVINVSDREVMNYEENHPFVKNYRFYSMSDTANSSFDGIIEEAVRLIHETRVRGEAVLVHCFLGVSRSATLVAFYLISAYGINWRDAVDYIRHRRFSANPNFGFLHQLKLYFNTKSKEFRLELTSQQCLKMRESDRDVIKKYLPFAVIEL >CRE02961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1514393:1516871:1 gene:WBGene00055471 transcript:CRE02961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02961 MALTEEQKRMIAVKREEAIRRAAAIKEKEMLTATTSSVPFPPPARPVFQSPPQHRPPMKSTANTSIKQTTMNNFINMVQKPTTTTSSIKPTIGVKLKLDVEDRIRIEFYPFHSSIVDLIKQVSSRNYDSAKRTWTISINDHSNICNLLKNAQTVKVELEQIPQNILGMLNFKPKPTPSDLTQIMDPTLIEKLFPYQKEGVLFALERNGRLLLADEMGLGKSVQALTIARYYKADWPLLIVCPASVKGAWKKQINTFFPIIHRIFIVDKSSDPLPDVRTSNTVAIMSYEQMVLKHDVLKREKYSTIIFDESHMLKDGKARRTKVATELSKIAIHVILLSGTPALSRPAELFTQIRMVDHKLFTNFHEFAVRYCDGKQGRFCFEAKGCTNSEELAAIMFKRLMIRRLKADVLKDLPEKRREVVYVSGPTIDARMDDLQKARADYEKVNSMERKHESLLEFYSLTGIVKAAAVCEHILENYFYPDAPPRKVLIFAHHQIVLDTIQVEVNKRKLGSIRIDGKTPSHQRTALCDSFQNDDSIRVAVLSITAAGVGITLTAASVVVFAEIHFNPGYLVQAEDRAHRVGQKDSVFVQYLIAKKTADDVMWNMVQQKLDVLGQVSLSSDTFRTADKMHLRFNDAAQPSINEYFQKTPEKGSWEDPVEEDDDDPEVICDSPAPKRSKN >CRE03167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1516918:1518936:-1 gene:WBGene00055472 transcript:CRE03167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03167 MGSEEKLPSLKIDMNVAVRNKWHPIKVLGSGAFGKVLHVINTYDGSDAAMKLEKAGEGKDSVLKIEVEVMRALNGVKCAIQYLDTGNEPDYRFLVMTLCGMDLQKVYNCLNGKFTDSTILRLAIRSLLAVKALHEKCYIHRDLKPCNVTLDYNEESPIIYLIDFGMGRQYGMFLEQIENGFGIRRPRDSCRFRGTYRYCSPRMHLRKEQGRVDDLFAWLYMIVELRVDLPWADVVNPDRIEVLKQEKFDAAIASKPLTRSLIPIHEHLKSLDYADRPNYWFIYEHLAKMMVDIKAKHTDPMDYDELRKKKEEMDPIKKKYLKKPRVIEKPMDEKATLTMFEESFRPNAKDVPGGEQHIVKPLIKLPWGSVGADIVATMKDDHIGDTEEDRKKDNDGEEKKRESERRKKDGEKNDDKKKDARSKSKKEKKLDKSKESKDPRESKRRNTDRETHAHGNRTDAKPKETKDAEQKTNRNNNNNSDTPKKNKKSKTITQHRKKEKGSNKKERPESSVKESSKRNNGANARPPAVLSVAAAQKKKM >CRE03168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1519999:1521469:-1 gene:WBGene00055473 transcript:CRE03168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03168 MLSSLRRIVPTLPRGSRSLTSQQIFDREKKFGCHNYKPLPVALSKGEGCFVWDVEGKKYFDFLAAYSAVNQGHCHPKLLKVVQEQASTLTLTSRAFYNNVLGEYEEYITKLFKYDKVLPMNTGVEACESAVKLARRWAYDVKGVKENEAVVVFAENNFWGRSIAAISASTDPDSYSRFGPFVPGFKTVPYNNLKAVEEAVSDKNVAAFMVEPIQGEAGVVLPDAGYLKGVSDICKKHNVLFITDEVQTGLGRTGKLLAHYHDNVRPDMVVLGKALSGGFYPVSAVLCDDNVMMNIKPGEHGSTYGGNPLACKVAIAALEILQDEKLVENSAKMGELLMNKLKTLPKDVVSLVRGKGLFCAIVINSKYDAWKVCLKLKENGLLAKNTHGDIIRFAPPLCINKEQVEQAADIIINTIIEFAKQH >CRE02962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1523115:1524813:1 gene:WBGene00055474 transcript:CRE02962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02962 MSKKKEFWLKPLPPNVPLVKTVVSFKIADQDVGRPPAEVMKIDEDMMISFISANSYFNGLIMGDKVVKVNDFPGKAAKCLAEILKGEKCQIEVLRRKGAYPATKERLARVGAVVKKGHACFLVDVERAPNVTTTSVGLKLGVMKKRGYVTKIEDDSIATTLIGRGDSIIDMGGDAIPFNDNYTDTFVREHLSKVSTGSKMSFLIERPIILEFAKEHQKYIESITYEDSDIEMAKDVVDIGRRASNMHFIIFKKMTPTSILSEDVRRQKKTTNKTTEAGESNISVSVSSSLISFTCSTTNNISSDVSDPEDLKPVVTKSHAAGSSSDSGGAFDDE >CRE02963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1531891:1534630:1 gene:WBGene00055475 transcript:CRE02963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-756 description:CRE-LET-756 protein [Source:UniProtKB/TrEMBL;Acc:E3LWX8] MAVPAASSIVSYGGAATSNFLTTPISPFLAGFYSSNFVSDRISSCAPFRVDRIRERLENEEEDDEYPPDDHRRGALFCRSGTWLELLPIENEEDGSTRVKVHGTKEENSKFSIVEFISVAMSLVSIRGVETKNFICMDPSGKLYATPTSNYSTECVFTEEMMENYYNLYASCAYGNRINPWYIELRKSGKPRKGPNSKKRRKASHFLVVHHDLDRFGPAVPNGNDVTSLVVASLFHQPPSHPLFRHRTVTKPPNPHRISNLRAKVEVADPVERARLLQAEKKKRREKKKRRREDRLRREERIRQARRQEIKDLRAEELRRLEIEKQERERKQAAAAAAAAAAAAERLRAQTKTTMNPYPAYRPQQTPPSQQYNPQRTQYPTSSNSSSYPPHSSGSLPPPRAAYNPYYQTPQATPAPLTTTTTTPYPYSVHHQQQQHHHHHGHHQHHHQHHQQQQQQQQQQQQQQQQLQQQQQKQQQQQQLQQQQQQQQMQQQRVVYSYPQPSSTQLQQPSEDPRRQYYPQQQQQQHQQGIQQQQPQQQQYQYHQQQLQPQQQQQQQFQQLHQQQYATAHTVSNPNRQNVNYQRYPR >CRE03169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1535034:1536086:-1 gene:WBGene00055476 transcript:CRE03169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03169 description:Hydroxypyruvate isomerase [Source:UniProtKB/TrEMBL;Acc:E3LWX9] MSGSTNRVAANLNMLFTNLPLIQRYGAAASAGFKLVEVSVPYSEPATKLREAADEFNLKHTLINAPPGNWSDGFRGLASLKSQKDEFRNSLDTAIEYAKTLGCNRVHVMAGIPKTDDDVANASKTYSENVHFAAEKLKEHDLICLIEPINKYTIPGYYLNNYDEAMNLIYSDKSKNLKLQYDTFHAQQINGQIGATLRKLKEHIGYIQVAQVPKRGACDTRGEIDYNFIFEEIKSIDSSWIIGAEYLDENSSKESFNWVENMSLSF >CRE03170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1537610:1539133:-1 gene:WBGene00055477 transcript:CRE03170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-4 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LWY0] MRFSFIFSTLCTVTWSASVITNKQVIDTSKAEFETTLNEADFESNLHQRYDLHTLGIKVKDDPTIGNYSEGDILLESPKKFVEENNKLGRNAIRQMYRRWPNGEIPYTLSSQYGSYARGVIANAMNEYHTKTCVKFVARDPSKHHDYLWIHPDDGCYSLVGKTGGKQPVSLDSGCIQVGTIVHELMHAVGFFHEQSRQDRDSYIDVVWQNVMNGADDQFEKYNLNVISHLDEPYDYASIMHYGPYAFSGSGKKTLVPKKSGSERMGQRVKFSDVDVRKINKLYNCPSGGSSSNNNQINTNSIVNNSPPQV >CRE02964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1551956:1553356:1 gene:WBGene00055478 transcript:CRE02964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02964 MSKSDNKPAPAQGEGGMPSTRAQVEENSEELNLLLKQRVTAVQGGQDNLLPKGASSKMSQPQDKDTSTALRTDKDQANKKMSTSPAEQVNKTGRTESNSTTNGTSSESEDDSSEASNQSEIETPLRLGTISQLILLFDGKMVNYGIFISQFDHLIDKVNDIKPELEQAILVKLIPSSLAEELCPAEFSEQGYTLLRRHLNQQYSPQAQRTALMEELKNLEFSSDDYELLISSINMLARYMEQLKTLGYDPDDEFIKHVFVCKLKGDLQLEVAKIIWKKKNLSFKELMEVTHERIQFFQYVERLQQAPNGSQQEVEQQLYTRCERRVQTDKKQEEVDEKTLINKLIKEFQKSFTPDGEGRIHIGLPHTGRQGELVDNSVVAKQRLSSLLGRHLQEKEAREAYQSIIAKQKDSGITEEVKLKTSTLGPGDDPLNKYFIKHSVMIKPRADKKSQKHQLFRVEGAETSDQ >CRE03171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1555511:1558968:-1 gene:WBGene00055479 transcript:CRE03171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atgl-1 MTLVNSRPELMNLSFSGCGFLCVYHAGVAAAIKEYAPQLLQNKILGASAGSIVACGLITNVCISHATSTILKVVSQARARTFGPLHPEFNLLGIVREELEHILPPNAYEMCTGRLVISLTRWSDHENVIIEDYDSNADLIDAIMCSCFIPLYCGITPPKFRGVQYIDGGVSDNQPIYDEHTVTVSPFSGESDICPPDWDSGSMLGVDFNGTSIRFTARNMFRLMACLWPRSTDDLSKMCLQGFGDALRFLTKYGMAPCIRCLTIQTSDANAVAVGGRVSSECFSENDDTKKAVSAMPNTMNRIRKRASTNALNRYYICIGKPRKTFSCPSFRTRGESECETCGDSDIPLEEVNIQSFFPSIMKKRKFEELICCNLSILVFLAFEDAVAAEKSIFQYVMSFRLVRYATTAMGISKFPLDMAVAFVKKLVNSPADLAILTAFFGRVHQYLDMVSPPQWIRLKLRSLADFILGEVEKQKSRYTNFSCLVAVSETDNFGSVLASSTMDKDEHKEIEMSEDAEKEMRLLRERDRRRKILKKAGKITPNNSESSLPTDVYDVDSFEHVVDFTRSHDALYEFHYLDENKVMRTFGLFTDHHPQPTASPSHHHHTRSLGCPSRLVHVPEEDEDVASAVSAPAVIFHGGEVVAAEFEESDDKDSGLSGIDTTKVGEPSPRFVSYYLQLLFSVSFLRSNCCSGCSKRDACCSPVRDFDDQATSSMPESTLRRGDNRRGSQRRYIRDTLESRKAKKSSTVSPSVQATSSDSEGVDGTEKLFVPSRKAWRASSDFDNKDSGTSSHNKHTDTTTA >CRE03172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1562157:1568320:-1 gene:WBGene00055480 transcript:CRE03172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03172 MKRWLEKITSPLKQQESSSDWVRDELYSGTPTSISRARWSSAYASDPTEWERLFEGKWSVVSNILERKLNDPEHKVVYDELMSLLENMTNMCTLLMLEANSQPEPIIGPILDKFFTEQILERVLDWAIQLTDSLKSICQLAIIRIFEMIVSDSHSQNHCLLVHKPILNPLFRLCEWFQRADIYWRVSKSENKKTSEAEKMFVLLLNQICTKLVEDRTLLHFFFHSNQFVVFTELIPFLYSAGDTGQLARDAVLLILSVSAEDKSIAEYVTERTSFCQVLTTGLSACFSQLPRRILGDGGERLVEDGYRDFLADYHSALLFCNAIAQTAHSEVVGNIAEFFYTGFLTNVIKPAFLQNDREYIGASMVYLQVSLEIYLLFVTVLIQMCIETIVEPVLVKSIVQMILTERDDNGILFYEIVISYVKGGDKTSVTALSLIDSFIKLACEDVMLALVFRPLLTNHSATKKQLSIVYKSSRGGQLSQTYLNCIPVCMLDYQQAASHELLSSYMYSTRIRMDARSEQCRKWKWKYDGVVAGSFVLPAESDDDATCHVSFSRMSSSRSSVSMAPYVSNRYSNGSHLSNTFNINKVCALGQPQQNVDLSLSEFDDDLEEDNDFILPNIDMEDVSEEMTASKVMTQSTIDYMHISGLDGSESDDAMPIRVEDSEKSETDHEAPKSSFALSGWREVKDMDTFKKLLSKQEVKGEKLPTNQIVDFINAKYESLKLGEEKKEEEEPENEEEKKEKEKKNRMVTDGFSIYNFPERSKLLQMILEGVETLCENELSFNTELFCLIADLATYPQPLLAYYLFDPKEDSTEKHLLTILQSVQTRIDVMAEGIESFEMWIEKGFDTLEARALRIRQQSRPSPRSPDEHDATLFYGKSAIPPPGRKPLLREPSHNQETLDDKTARRTALAAILLAHLCQMLASIVLQQSLII >CRE03173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1568414:1571392:-1 gene:WBGene00055481 transcript:CRE03173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03173 MDVSRPIIEKPRFIAVEKFVEARTNSIAQLLKAIDNDALVSGEVTKGPRTAAQRLPRHMRRRAMAYDVRRFPRTMRGFAESHLISKHAKKCPSRFARRRTANTRNKFGRSTSKKGIWLSTHVWHAKRFRMIQKWGFKIADRSFQRGFRAVLRDSNRNCVIRDRSYYTCLTIMSTDAYSKMSAFSQKTCRGQSSRGEEEECHQLYVPDQYPYGYIGPARFQKLTGVQSDKVHVWIHPASKPQFLKALIEHYKLEKEEKSEFDQFKNSEMTVTIDSEHISRFHLSGPKCLSKLRDSIRLVENESFGSEHNRFLSVANSVFSSNRDGEVLYLLIEDPRTTWDRKTGLKHKVVSDDSTAEDWRGNNKPKSEFWIREFRENAVGKRMSDADFHKQNGSRIGGVVSTEAKVPIVLIIRNGGLKALDGVDIIISEPFAKDLWVSLQRRGVRASGLRDEYAAHLESKTLYFPLDDVESEAGKESEAAMKKELTEKYSAKPHNRRCKHWSALSVKYPFEYKWDELSNDWSNETSNKEGAFACRDLKMLKGIQSTLKGSSEFGKEVKEAAGMLVPVKLQFFGRGRPKKFGMICIPTDEDLISIRLNRNREIIQLPPATCSSVYIEEFEPMELEDVEESKNRMQGFVSLDAAASEKPINLKWLFEETTRLDDKTTKRKHVNRKKKESKKRRKLEFEKRQEVVEEEEMQRQKANYRFSANREIIGRVVAGEQSVLAGQGTAIGYICANALPLIASNYHKTKTVVMVRNATSKYYHPAYVTIVTRAVQI >CRE03174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1571611:1572195:-1 gene:WBGene00055482 transcript:CRE03174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-17 MPKTSVWKSRVGTQILMGKITDITQIGIDRIPCAQVRCQMNEFNIYLKKYFARSFDFWALDKTSVGNIGDTVLIKQIEGSTRPKANVSHVVDRVVFKFGNVVDPVTGRKIFNDTFADEIDLKKVLVEEVVDKPLEEESMLFEERRALQIRRLEKEKESNV >CRE02965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1572519:1573238:1 gene:WBGene00055483 transcript:CRE02965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-txdc-9 description:CRE-TAG-170 protein [Source:UniProtKB/TrEMBL;Acc:E3LWY6] MAANIQQQFGEQLLRAAQVVEEQIDQEMNKLENLEEDDLEVIRRQRMEQLKKAQKDKIEMLSNGHGKYEEVSDEKEFFEATKKSDRVVCLFYLPGNFRCKIVDKHFDILARKHVGTRFIYINAEKAHFLTTRLNIRVIPTIAVIVKQQTIDYIRGFDELGGKDEFTTETMENRLARSEVITIEKKHVAQTKKKIIRSGVDEYDNEEDW >CRE02966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1573509:1576602:1 gene:WBGene00055484 transcript:CRE02966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-16 description:CRE-VPS-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LWY7] MKESRTSTPSSTKPKLDGELCLRPSSSVFLGDQQLFFTQEYLKTSSLSLKFVVHFAACQFSGPIAVIYSARPNWYIWIRTISGRILKRDMPCTDPVFIDWTRAHCLLVLSKTGRAQVLSSLGEKISEVLFDSQMSDVHECRTFATSRGDSGIAVMDVDGQVSVVNSVSEPVIWNMRPPYSELPTAWAAFQPHSQLTHILLIFEAVFLMGCQGESLTVQNHASVWVDANTKYVKCVVDDARSRIAMMTENGKIQIVSIDLSTCFCIVEVKDHDIGKCINFGWVGNSAVFVQMSSSLTVFVNVSARRKPGDEVQIYEKMTANARISVEPDGIRLFESTQVEFVEAASREKIAVMNRNPNEDGAHLYKAAQEMSQGTGHNSFAASTVIQDMYKAIDDCVSTACDTWQPDEQKLLLKAARFGMAYTNTTPDTTKLMRAIKEIRVLNELRMVRTGIPLTHRQFRTIGETCVINRLIDMGSYSVAIKVAQWLGGENCENVDRVLLEWVRRSISKVSKSNIKMDQPALEALDEKISAKLLQFPHVSIADAARRAIDSKLPELARLFIKRETDDENHVAVLLQLNDVSAALQKAASSQRPQLIHQVVRHLMTSESRSSYELAISRIPLAQCLFQDLVRQEGETRGVSSRQMLALLEQASDFERQTLFHFDVAEIERNPDERLNALRRAKDAAKSMGDKAIEEILNDVSAFAPLQIQRGQADMSVRNTIIGMADDTAKVAQLKQQARLNDKQVLLWTIEGLAKKGKMEQLFDLAQKRSPIGYAPFVKACVKYNRMDEVKKYYAKVNGYSDLVAANLAMKNYVEAAKLAYDRRDREVLHAIHMKSHDDPSQCPRVKQLLNALDQN >CRE02967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1576820:1580127:1 gene:WBGene00055485 transcript:CRE02967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02967 MVTSKLWSCTETVLNGGIKLFLYSSKNTKLRVAIGEVPGPMVHGAVSFVTEADSDDGLPHTLEHLVFMGSKKYPFKGVLDVIANRCLADGTNAWTDTDHTAYTLSTVGSDGFLKVLPVYINHLLSPMLTASQFATEVHHITGEGNDAGVVYSEMQDHESEMESIMDRKTKEVIYPPFNPYAVDTGGRLKNLRESCTLQKVQSYHKRFYHLSNMVVTVCGIVNHEQLLDIMNSVENEHIATNPEHFPKPFSFTLPDIKESTVHKVECPTDDATRGAVEIAWFAHHPSDLDTHSSLHVLFDYLSNTSVSPLQKDFILLEDPLASSVSFHIAEGVKCDLRLNFTGVPVEKLETVAPKFFDKTVREHLEESYWDMERMGYLIDQTILNELVKLETNAPKDIISHIIGHQLFDNEDVELLKKRTNEIDFLKKLKSEPTSYWVQLVKKYFTSASATVIGVPDEELVDKIAKEEEERIAAQCEKLGEEGLEKHGKLLEDAIKENTANQPSAELLDQLIVKELEAFDRFPVQSLTSESQSLTSQQTTFLSQFPFHANLHNCPTKFVEMYFLMDSSNLSIEDRSLLYLYTDLLFESPAIIDGVHTSADDVAKLFTKDLIDHSIQVGVSGLYDRFVNLRIKVGADKYPLIAKWAQIFTQGIVFDASRIQMCAQKQAGEARDRKRDGCTVASTAIASLVYEKSKFTMLNVYFESTSFSDTNCFLFDELVLEKLHEKISKEAAKNPDLVIEQLERVRSALFSNGVNVHFIADVDSIDPKLMSSDQWNWVQSDSRFGPGDRFSAEAGENVSLDLGKELLVGVGGSESSFIYQTSFLDADWNSDVLIPTMIFGQYLSQCEGPLWRAIRGDGLAYGANVFVKPDRKQITLSLYRCAQPAVAYERTRDIIRNIVESGDISEAEFEGAKRSTVFEMMKREGTVSSAAKISILNNFRQTPHPYNTELCRRIWNLTSDEMVKIGGPPMARLFDEKCFVRSIVVHPSKINEMKKAFPGCTKIKVSDLQFAC >CRE03175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1580392:1583044:-1 gene:WBGene00055486 transcript:CRE03175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mel-32 description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LWY9] MADRQVHTPLEPVHRHKYTNNENILVDHVEKVDPEVFGIMKNEKSRQRRGLELIASENFTSKAVMDALGSAMCNKYSEGYPGARYYGGNEFIDQMELLCQKRALEVFGLDPSKWGVNVQSLSGSPANFAVYTAIVGANGRIMGLDLPDGGHLTHGFFTPARKVSATSEFFQSMPYKVDAQSGLIDYDKLEENAMLFRPKAIIAGISCYARHLDYERFRKIANKAGAYLMSDMAHISGLVAAGLIPSPFEYADVVTTTTHKSLRGPRGALIFYRKGVRSVNAKGVETLYDLEEKINSAVFPGLQGGPHNHTIAGIAVALRQCLSEDFVQYGQQILKNAKTLAERLKTHGYALATGGTDNHLLLVDLRPIGVEGARAEHVLDLAHIACNKNTCPGDVSALRPGGIRLGTPALTSRGFKEQDFEKVGDFIHEGVQITKKYNAEAGKTLKDFKTFTATNEQFKQEVADLAKRVEDFSTKFEIPGNETF >CRE03176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1583445:1584734:-1 gene:WBGene00055487 transcript:CRE03176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03176 MDSQSERVNGQKILEQGAAEVDRESTVSETESDTKPETAKGGFETKLEGDTVLIQNPEIKCVLSLEQLLQQLAEGPAPDNTEASTSFIPPIFSMLPMISSPAPTTPPMPLDVGMVFEQFLQDQRLPSQEKTPRQLKDAQRKRYRRSLETPEETQIRLAKALEYKRKKYDHETDEEQRARLERDAIRKRAERTNESEEKARLRKSKAAEHRRNYLAKESEEKARIRREKDAERRRNSLKNESPEAAQERKAKNAARRRESLKKETEDETLRRRSRDAERRRLYLLNETDEQKERRKTQDAARKRVHRQMKPDDFLTMDADVLLSAMSSDMLAVNPDTKTDPNLSNSLSVMP >CRE02968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1588623:1590159:1 gene:WBGene00055488 transcript:CRE02968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02968 MDSDEDVYMVESDSDDGYPEDEILSFEDLESEMKASISEIQDVIEGSTDICRLLLQKYKWNKDFMLDRFYESPDTLAFLIDANIVPKQSAVFSKGDVECQICCMDGDLSGLACNHLACDDCWKAYLTEKIKEKQSEIECMTSNCKLLMKDEQVKKYLADSAAIASFRKILVNSYVKVNSSLRWCPGENCEKAVKVHQPSESRLLICSCGTRFCFTCGNEGHEPIDCCYLKLWLKRCMDDSETFNWINANTKDCPKCSAPIEKNGGCNYMRCENTRCRYEFCWMCFGSWKNEGAHSCNTFKEKKTENSSRDKSRVSLERYLFYYNRYAGHRKSLELEEKLKERVELKMNEMQKQSMTWVEVQFLPKAVEVLSECRHTLMFTYAFAFYLKKNNSSIIFEENQKDLEQSTEQLSGFLERDLDNEDLVTLKVKVQDKYRYVEQRRKALLDHCAEGKEQNVWVFNE >CRE03177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1590339:1592476:-1 gene:WBGene00055489 transcript:CRE03177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-721 description:CRE-LET-721 protein [Source:UniProtKB/TrEMBL;Acc:E3LWZ2] MRISGVTLFRVSSQLRNVVNGQWTTTHYTVKDRSSDPRWKDVDMARESDVFDVVIVGGGPSGLSAAIRLRQLAEKAQKELRVCVVEKASVIGGHTLSGAVIETRALDELIPNWKELGAPVHQQVTSESIAILTKTGRIPVPVFPGVPLANHGNYIVRLGKVVQWLGEQAEAAGVEVWPEIAASEVLYNEDGSVKGIATNDVGIGKDGAPKDGFARGMEFHAKCTIFAEGCRGHLSKQVLDKFDLRSHAMTYGIGLKELWEIDPAKHRPGYVEHTMGWPLNVDQYGGSFLYHIEDAGQPLVSVGFVVALDYANPNLNPYKEFQKYKTHPSISKQLEGGKRIGYGARALNEGGFQSIPKLHFPGGCLVGCSAGFLNVAKLKGTHSAMKSGMVAAEAIFEELQQKGDDVQTIDPADYDKNVRDTYVVKELKATRNIRPSFNTSLGYIGGLIYSGLFYVFGRGIEPWTLGHGKKDNEKLIPVKDATEIDYPKPDGKLTFDLLTSVSLTGTNHTEDQPAHLTLKNDQVPLDVNLAVFGGPEARFCPAGVYEFVPSEADESKKRLQINAQNCIHCKTCDIKDPHQNINWVTPEGGGGPKYEGM >CRE03178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1593549:1595721:-1 gene:WBGene00055490 transcript:CRE03178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03178 MHPILNVVVVSFHHKRGCEVEYSHPKLDGTGEAGLPDEWHLLPSLALPDGVHNCQKDTIFFLLPSREEPGKCVFGISCYRQIDAKELINKSDDVTRASVQKAVCVLSRIPLFGPLKAKLEVITQAYFEQKDFSKVDVLAQMYTNLCDIFDENLTGEYFSNLAHHEISIQELFIRFRHRALLLFKLFLLERKVLFIAPTGLRLGETMLAIISMFPKLLEEGLFYSTIGVNTSDKIRPAKISKNENIKNEEIVIEEDVGIQLTRSDPLKKKDSCGFPLSLFTQGSSFDPYLPIQSMDLISKTQSCIVGATNALFAMKKDLFDVIIKIEDDGSLVHNHIEFVNDSALERVVSLTTADLRFADFVLKKVEEQMKASSSEFDGSDEWIRLQMKNYLLGLVATSRSDLQAAIPHFGIAFVNEWRRTKNHKILVANKHEDLTSVPPGHMFSEQMGVYDVYLRLEHAVNGVEGASKVIGTVNTAGKNLGSSIGETGSRVKAKFTNWWNRKAPVQEGIEQAEEPSE >CRE03179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1595933:1597278:-1 gene:WBGene00055491 transcript:CRE03179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-1 description:CRE-SET-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWZ4] MKVAAKKRTTGRIRKDRAATASPSSDVENAGHLIASNPGRMTPAKNTRSSRKCTVAKDISSHKITEFFQVRRSCRKTSKQINEEAKNALRDMVLKGSNERLLEVYKDVVKGRGIRTKINFDKGDFVVEYRGVMMEYSEAKVIEEQYSNDEEIGSYMYFFEHNNKKWCIDATKESPWKGRLINHSVLRPNLKTKVVEIDGSHHLILVANRPIAQGEELLYDYGDRSAETIAKNPWLVNT >CRE02969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1600862:1605718:1 gene:WBGene00055492 transcript:CRE02969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jhdm-1 MPPKRKSTTKETTHGKKERKSTKKESSPENDSEGEEGIPFDKKTLQRNVKFDFEKLMTDPVFQHPELVVKMEPEDLTVEHYEKNGLEKPIHFRCDPKRIGMKLPPSSFTVDDVLNLVGGNRVIEVVQVEDQGGVKMSLQEFVDFYKTPKEDRKVLYNVLSLEYSLTPLEDLVDSPALVREIDWVGKIWPDALRQRWISFNGRDKKLYTPHHTFPKVQNYCLMSVANCYTDFHIDFSGTSVWYHVLKGRKVFWLIPPTETNFFLYQEFIKTVSDNSFFGYTVEHCHVAILEPGDTMLIPSGWIHAVYTPDDSLVFGGNFLHSLSCQTQIRVFAVENKLNISRKFRLPYNEELIFYVMADYVKKWTGREYVRPLRIEDAKLDYVGEKWQTAGGHLKKIVYSDYAYEVTNDMVNTEEVTTKDGKKKKRKAQSTAQIVSEVKVIAMHAENSGYGGSPMISKSTFTEVTGLEEEADDEDDSKPQETKEEMEARRDAEIDELASSNSLIFYKNSKHDFIRNKCVPDHKLPIGHEPPIYFNDDAVASISSSLLDELEALGAYMRKKGRVEVAEGICQPASLINVFNTVLRKRRAELEGTTFEFNQVMPRRYVSLIALNLAVNQLFVFQTRAAIETGGYDFEPAQTTTAGVSRRNSQFKVEDFPDELLEGEEPERPRIILPQQPSSGPLEYIPTERVIHEDANGFEEEYDEDYGETKQMTGKEDVEDDAEEEPEEEDDDGDEEYKEEKYVAPVTRRSSSRKSTSSVKKEEKEEGVLAEETPKKVKKEKKEKTPKSEKKEEKIRDENTKEPKKDKREKEKKKKEMERRMRDSQLEAELRAAHGGVMSKSKKKKPEKPAYVGGLPTAPIQNDPVVSNPYNYDPRMEMMKLGTGQLKSAYRKTKNNVELHIEKNLYKLDPKRESQEGSESREQSVEPTPSPEVPYDRYSQYHTEEHQHDDLEIQRPPAKRSKYESISVDTVETPTSSKRKESRPKAYTPTSITSPTSSNSHKHKISSPAMMSPVQEHTGRQPKTNERRLSDPTAIAMKKGVYMPPMSRQDKMIADEPSASSSRHSSISSERRQSFIPDFNSSRHSSIDAYSPTVQTPMRTSWIPNSYVPTRRSLDDDFPIDVVNDSHSPIDVVNSPPFPTAVTPPPVTLSELNREMSNGKKRHNHHHRHSEEGRKPKISAKDAISELKILVNRLKTINDS >CRE02970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1606015:1607775:1 gene:WBGene00055493 transcript:CRE02970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-algn-1 MSKEDETDPETERSEAAVVVLGDIGRSPRMCNHAKMLADEGFDVKIIGFYDSIPGDQITNHPRINIVGIPPPPDFMENLPAFIQLPLKLIWNFLTLFFALAFKTSSFNLRVILMQNPPALPTMIVCYLFSLIKCSKFTIDWHNYMYSILQNKYGLKEEQVFGKEKKSKKARIVRCVSFLEGLCGKLSDYNLCVTDAMRRDLMERWGVRASTFYDRPPTWKFKPTTINEIHELYLQLSEAEDGTVLKGNEESETILTSISPDGSVSLLPNRPIVFLSSTSWTPDERFEILLDSLVEYDSVASKNQNLPKVLMIITGKGPLKSKYLEDIRGKCLKNVTVLTPWLEANDYPKILASADLGISLHTSTSGLDLPMKVVDMFGAKIPALALKFKCIDELVEENKNGYLFENSEQLSHQIVELSRGFPDNCKELNRLKQSTRETKFESWEVMWKRSAAPAANLTPPDDGFAKLRATIQFSFFAIIAIMLIHFFMGTFSGLF >CRE03180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1607937:1608484:-1 gene:WBGene00055494 transcript:CRE03180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03180 MSGRIINCKFKMSGSGVDQVDERVAQMMLPAAIVTRLMKEDNISGSKEARELITRAAAVFLINLSDVAVQAAREQKHKTISADHVIKGLRELENTNIYNHCKLVNDNWKILRQQKALARKQAESEHTQAELDDDIIEETVEDTPFDGSMN >CRE03181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1608544:1609397:-1 gene:WBGene00055495 transcript:CRE03181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dlc-1 description:CRE-DLC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LWZ8] MVDRKAVIKNADMSDDMQQDAIDCATQALEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGRNFGSYVTHETKHFIYFYLGQVAILLFKSG >CRE02971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1610626:1612631:1 gene:WBGene00055496 transcript:CRE02971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nduf-2.2 description:CRE-NDUF-2.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LWZ9] MLSRSLNPLRAVACSRPALSKRDSHTIWYPDAKFERQFKTGGTLGKLWMSERVSDFDEKIGLDKLEKLAYTDPVLSDNYEGKKREKSLENMILNFGPQHPAAHGVLRLVLKLEGEVILKAIPHIGLLHRATEKLIEHKTYTQVKEINPFLGYLKIALPYFDRLDYVSMMCNEQAFALAIEKLLGIDIPPRAKYIRTLFGELTRIQNHIMGITTHALDIGAMTPFFWMFEEREKLFEFSERVSGARMHANYVRPGGVAWDLPIGLMDDIYDWAVKFPARIDELEDMLTENRIWKARTIDIGLVSAADALNWGFSGVMVRGSGIKQDVRKTEPYDAYADMEFDVPIGTKGDCYDRYLCRVEEMRQSLNIVHQCLNRMPTGEIKVDDHKVVPPKRGEMKENMESLIHHFKFFTEGFQVPPGATYVPIEAPKGEFGVYLVADGTGKPYRCFIRAPGFAHLAAIQDVCYMSLIADIVAVIGTMDIVFGEVDR >CRE02972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1612944:1615829:1 gene:WBGene00055497 transcript:CRE02972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02972 MNSNDSSFNGETTSSGILWAEVLCRIPFVKNPSVKSENIVRINSKNEVEEEDALQIEQYIQKQEVILSHSQSLHSQEHCRGIVEDVEYQEKNIKVTVSSDSAFPIWEDTDIWMYSLSTPANSLYTTEPNTSADSEPTLEELKNETTDDMDQAGLLQSINGWKLINMDRSRTRRKEGGKWRNVYKTELVMTCVDPSKQELLEHIKERTSLRLSDDIDTMGDVLVDVLSKSVDEKNLRTVTLGVTGFVRDDVNISLTKDTTFYLNIYDLDDDDLASLASENYVLPGYPLEQNLDELCEKVGDLTILDVCVKTWNSEEQNYCYIRLEVTSAKKLTHFKLLLGHHVTIQSTHNERSSVLAVVSRVMTKGMILTLKGIPKHNPEFYFSKTDCLRIQIADDYEERLRNLTGSKALSEKFRVELANAKSDIRFRRFWNDPKGKFAEFSIKSEVFQNIPYDTKVILGKCWELEGQLEQKANFVFATRVMPSSSSDCSSSTVNINFQIKNFDALVTGAGAFQVSKAFYYVELDSIEEVSDDPDYRLLNARSVNLPRGETVAKGCPIGEDLKFLVFPNTFLARNEKKRQQLGKNQPRNPGFVKKWGYQKQGEQHELTNDLIRKKTLVAPEHKTVEKEEKALEMLSPVVTQAPEVFQHPTVNSFTPRFQDMSASWKNLQEISKKHKQMCFAYVSNERGYDNREAFVKLEPGFDEQGNKVKINALDFDLGKPIYLTNGVNEKEIKIQGEVVDSKKTVGFL >CRE03182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1616375:1618669:-1 gene:WBGene00055498 transcript:CRE03182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wht-6 description:CRE-WHT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LX01] MTTEVGYEKISEDVECTAISPGYSTLGDIVPVSLHWKDISYVIIIYKHLLDKTFRVSTVKEQRELLRDVSGVARPGELLALMGASGAGKTTLLNMLMCRNLKGLITEGTITVNGNEMGDKISTISGFAQQEELFVGTLTVKEYLMIQAKLRINESKKIREERVTDVLHQLKLWKCRDSRIGVIGEKKGISGGEARRLTFACEMLSNPSLLFADEPTTGLDSFMAESVIQILRGIAKTGRTIICTIHQPSSQLYQMFHRVIYLANGRTAFQGTPQESISFFEQCGHRVPDEYNPSEWIIYKLAVQPGQESQSNERIRKIVECYEESDHRQKVMEELEDVREIGAPPAMHKANVFVQIQALIMRCGLDVWRAPQLTMAKIIQKILFGLFLGLLYLRMEYTPRGIHNINGALFFIVGEYIYSTAYAIMMFLNNEFALVAREYHDGLYNLWTYYIARCVSLMPLFSTDGLILLFIVYWMIGLNTSVSQILLATLISLLASQSASALGVAMSCIFPTAQMTSVMASPLLVLFRLFGGFYGNTETFPAGVRWLQWISMYRYAFEGLVVNQWSKVDQFHPEADWSDEKRDAVLSNFSFPHWAIPVDIAGLVVISLAFYTIGFIALLVRMKKAR >CRE02974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1622048:1623976:1 gene:WBGene00055499 transcript:CRE02974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zip-8 MSIMSGQQYPPMAFDHSWTAYQAALTYSAAGVYNPGNYDFTRSPNTSGGSDESLESRIDPKRSPKYAEKRKKNNEAAKKSRKQRKEREIGYQKENEVLKAKNQDLERENQELIAQLKNMNIAMREMIAERDSLGLSNNAKFQVQHAPHALRDLTNSNDFNLPKYENL >CRE02975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1625515:1628441:1 gene:WBGene00055500 transcript:CRE02975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02975 MVTMKGYTSSLTLLLLLIPSPGSTQSTPPPGGDTTNKDYLPPEPWQLLLPVTAGTFKPPTDLTKFNTFGQFKGFSRCGKVQVTGKTLDLPIDETNGLTLECYPHPMRVLYVKDPSKLGQITSGAVDFGTCQGIQLCNTKASISNVNHGGTTKTLEPANSIDTLKMNDNLCPGRNTNNILLTNELGCSNPTEIPDLKTAAGPDVYSVPRWDTNDDGLFEIIRSFTLEGIGKKSDVFHRTNSFITLPKQDTWTTDHEWKPCTAACNMGHIVKMNMKKGGGWKTTIYLKPKTKAFKVCIDAQGHDSDSVAPKCKPKFELFILPLNGLVIWPKNGRASVIELRSPGLTTEHYAIEFGFGYGKKDNGVLPSEFYVANMFREVVVSDSDGYKMDEAARISFFFEESDDLAPFGIYSAQIEAGKTYIEKTKADQVKDEKVLSAKDGEKVAEGKESLASPQDDPPKALVATTTPSSKRLASNAAAGVLLQQSDNSSDAIYMPGKWWAWGLYIGFIIGSIVGVAIIGGIFYVLRRTVYGFWYRGMYKRYGCDASGTTGGITGVGFGNTTTGAITVGGTTGGGTTVGRTGGTTGGTTSGTTGGTTGSMTSTGGASTIAM >CRE03183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1628658:1635498:-1 gene:WBGene00055502 transcript:CRE03183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03183 MKSIYFTKLPQYLSVSQNTQHLNISQYNAVRGPTQEMFLKDLGCGMDTCQNLPIKVIPIYNAIDSKGRLKFETSPADSDTVLGKAGYISPEEHGGLIRYDEYYSEDVDMFAYTNGRYPNQFGACQLRDYGRYRYKFVRTIGYAFTAVTVPENLPIYSIFGYDDGLPEPTTILPLTVSLSHNQYVFWTNPIWTILKNSTNGTQGVGSINLPWTPPVSASPIEPTNVCLLSGNLSILSRCGQVDRMLQFFDKTHVYIGFIDSVYGAVDTFIYSYIGLAFKSQNNLCGIPVQPIREFYKQGVGSTVVAGDDYTDVTSKGFIPTGNIIGYTIDCNHAPNGQIVITIPDGLSTISTVSGFTSPTSSSLVGFYVEPVKIVGAPTSGGEQGYYLDPDGTPSEIDILDISNVCLFTANLTVVSRCGYASNLYLYYDVDDNFYFVGLYSFGRNVTSKMIGVAFENDENACGLNLVPIRELYKEGVGYNVVAGDEYSSFLANGFNITGNTVGYTVDCKDAKDNLVYGDMPAYEFSTTPSASVSTSSVKPNSRDGFYVEPVVIIEKTVSEGEQGYSVNDGTQNVLNTSNVCIFTANSTVYSRCGFAKPLYLYYDTSDDFYFIGIQSYGREVTQKEIGQAFQTDENICGLDLVPIRELYKEGVGYYVVAGDDYSTLLSSGYTLTGNIMGYTVDCKDSIKNFVYGDIPDYDFTTLATTTGSSLPTVAPNLTDITSLYVFPLENVSMPGGLLGTSGFTSGSGHGESRNGAIPVCIFTANQSFLPFCGDARPLYQYFDLDSKFHFVGIQSFGRNVESTQLGLAFASPENDCGLKLRPMRELYKQGVGYTVVAGNNYAKLISDGYSLTGNILGYTVRCKDPVEKMICAHYPSNWTTTTVTTTPFSTTTTAIPTTTPYNLSAPLPKLNCDIIKNYGDLRWRMVQFERYMEVGDNFTMTGHIWPNASESNVNLYIGLNPKWLQSYISIHITMRWWRSDIIYNYFWGKWNYGLETFSSRPFTKGLPYVLSIVRGSNYYDVYGNGIHIKKYWIVGTVSSHSVGGMMGYREWTIDTVQMDCVQTSTTSASTSKSSTSTNPETLPTSILPTTFEASNTTQASTLTTSTTPTTSNTTLKSLPATFTTIANNTDGTTKSSTISSTFTTLTTTFETPTTTRSPSTTPYNLSAPLPLLECNTISEYGDLRWRSVVFGRSMEVGDNITLTGYIWKNATDSNVNFYLGFNPIFGNTNIPLHISQRLTSTRNIYNNYWYQWGQEAYSPRVFTQGSSFVISVVKTTNSYQIYGNGKLMINFGFRGTASQNAIGSLIAYREWTIDSVRMDCARPPTSTTPTKTTSPATVTSTTIITTSPYNLTVPLPTLQCNSEITNYGNLLDRPVNFGRLLETGDNITLTGFVWGNATLSNVNFYLGFNPTFGVTVIPVHINQRWLENNVIIYNNYNSRWGDYQEYSPRPFTRGQPFVLSVIRAANSHIIYGNGEQIKVFNYRGDASINQIGSLIAYDHWTIDTVSMVCPNLLTTSTISSTTATSTTLTTTTPSTSTTLTTTSPSTSTLTTTTTITTLPTSTPTTTTSATSTTTSSSTSTSTSSSTSTTTTTSAATIPTTAPATSTPTTATSTTTTVTSTTLTTSTTSTPITTKTPTTSTPITTTKLPLLGTCPGGIVTLSKGDSNDPQQIIDAHYGEITTSGYKSYMIVYCQSLPGYSIYMMFNVNQGGSAPTRDYQEVQLECSLLEATSVWTYFGRNITSVSCQQARN >CRE03184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1636127:1637757:-1 gene:WBGene00055503 transcript:CRE03184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03184 MLEFVRKRVFLFSFFLSSSEFHFFLNSSMSAAALEEIGVTTPVPENPSTRPPPLPSTLVDVSSDDYIKLTALETQLAHLQVMEDYIKLETRNLEKELLHAQEEVKRIQSVPLVIGQFLEAVDQNHAIVGSTTGSNYYVRVLSILDRELLKPGCSVALHKYSNALVDVLPPEADSSIQMLRPDEKPDISYGDIGGLDMQKQEVREAVELPLTHGELYQQIGIDPPRGVLMYGPPGCGKTMLAKAVAANTAASFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENSPSIIFIDEIDAIATKRFDAQTGADREVQRILLELLNQMDGFDQSTNVKVIMATNRQDTLDPALLRPGRLDRKIEFPLPDRRQKRLVFTTVCSRMNLSDDVDLEDWVARPDKISGADINSICQEAGMQAVRENRYVVLTKDLEKAYKNVVKKDTNDFEFYK >CRE03185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1638225:1639948:-1 gene:WBGene00055504 transcript:CRE03185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03185 MEERQNAIYEEEQRRKEEEKRREQKEMEEKRMIQEQMRKGIQERTRLEEMEREREMMRQIMENEQKRNQMERQEFEATTPITTIKPIYRPGIAEYRPPEVESHMIRFTTQSPEWATPSPTWNPSWNTVTVEEETPGVPIIHSQCQINGQCELKYDADSFCAHPNTPSMYLQCAPLYGRLGRWTERHCPDTLIFIVAIGRCEKGEEMRKPYDPDNRVVIPRLPSETSFVEWKGNRVIDHNIPSPVSPPRVYPPVPETHQPQIYNTIDEFPKDLLPKIPEVSPAETYAQQYQNHIHGSVISGYQRPVAPTVAPIPVIPVSYQITRVPNIPNINQVASNSIKSEIDLSHIHPLFPRVQPDFLSRILPSLNLKMHDEHSPQSLGSVVKPVLKKIALNQTEQFLDRLLADQVQDEKIRKEIIDRLKSSNAEETTKKST >CRE08575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:82606:84029:1 gene:WBGene00055505 transcript:CRE08575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08575 MQHFSVIADVIFTSERCNRVTYGLRTVNGDPSRYKQCGPSGRVWIVPCAPQMTFDPEDRVCKERPDSRAMKPMRKYETPPKNIITTPAPIPSSYPVSAEVTPASAPVAAPEEFVFSTVRPKSRKPKSRTRGKLRKTTSAMTTTPLPITTTPMSMEIEKSVESELTTTPKIVIFASKKNSLGGGRSEETKQQLTRGRGVSPAFTRPGRIRTTTSLPVTHATRFIPGVQKVTVAPKEAQGMPHTLAPYEKMERRGENFEVGEMTTMTPEYTVRYNGQTMTENEFLNQLLHIVQHQKTVSERQQQDKFDRMEQERIRQEKEEKAREIERRRQLEEAEKARQAEVDKQAAIYAEQERMAMERERELERIRQEERKREMERIRQEEIAMEISRMRELER >CRE08583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:74720:75809:-1 gene:WBGene00055507 transcript:CRE08583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08583 MSSLPTDISVSLSVNMDTLWKSCFELNNEMIITADGRRVFPTLEYDIKGLDPLKYYSMYMHFDFIDDVKYRFINGNWTESPSTEEKGVLRRVVHHHGLQLGQNWMDRPLSFDQIRITNRKSNEQTKGPSFVHLYTQHRYIPVLTIYERDQVVHVFKIDYTGFITVTSYHSAALNEFKTNSNPYATGSRQHRRQKRSLASGETESSSSSSSKKMKKEPASPTPSTSDSSVPPIPSPTGAFPFPFMPMFPTGLFQPDLFLQQVQLFSQMTQPMLINPFLPLTLFPTPPISPPITPESPSQIVTSQVNSEPAEPLKNDVTIDV >CRE08574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:72755:74113:1 gene:WBGene00055508 transcript:CRE08574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08574 MSSIPNNISVSLSTKTDSHWKRCFDLTHEMIITAPGRRVFPTLEYDIRGLDPRKYYSVCMHFELVDSMKLSFVMGNWTQSLSNEGKGAPRRVVHHHGLQLGQNWMDRPLSFDQIRITNRKSNEQTKGPSFVHLFTQHRYIPVLTIYEGDHIAHVSKIDYTGFIPVTSYHSNGVVDLKKTINPYAFGCIGRRNEKSPRSPDDSDALNSPVPLKKVKKEEEGEDDVISAPLSLSNSFIQSESLSSSPKPLEPVTHSNFLNVDTETTIVPILPNIPILPCFPNIPLMQNVLQTQNFLQNQLDFQQKLLVLQMSFAFPPMYLNQFLNPLSNSLFPTPSPNPEDQFAASAKTESSDSENI >CRE08573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:70780:71869:1 gene:WBGene00055509 transcript:CRE08573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08573 MSSLPTDISVSLSVNMDTLWKSCFELNNEMIITADGRRVFPTLEYDIKGLDPLKYYSMYMHFDFIDDVKYRFINGNWTKSPSTEEKGVLRRVVHHHGLQLGQNWMDRPLSFDQIRITNRKSNEQTKGPSFVHLYTQHRYIPVLTIYERDQVVHVSKIDYTGFITVTSYHSAALNEFKTNSNPYATGSRQHRRQKRSLASGETESSSSSSSKKMKKEPASPTPSTSDSSVPPIPSPTGAFPFPFMPMFPTGLFQPDLFLQQVQLFSQMTQPMLINPFLPLTLFPTPPISPPITPESPSQIVTSQVNSEPAEPLKNDVTIDV >CRE08582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:65273:68852:-1 gene:WBGene00055510 transcript:CRE08582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08582 MDHEFDENPNDSEERKKWGHKDVEHWRAVSNVHYYAREGYYGTAILVCDGRLATIQDVPLSILKGVCLTLLGKIPEAIRQLEAFSADNDYALGALNALKWAHSSAFNPDNKSIVEIETEISTRARNEKTPYTSYASASEVLYFAGEFQKAKQMLDIARKRAAEKHAKHYCLMGWIDLGLGKKQKSTQELFEKAGGQEYPDGNIGRCRILEGHHSATEMKVAANELAISTIHFLPGHIEKAKASIMLKDWKGVMDCIMNADQPEGSNPYIEVLRTVHGICYAGEVSQLNRTLQLLLKSLDENEPTNHALYARVTKLIVSISGKNDRILRYSRDFLVRALKISRKPDYVALSMRIAFGLGDAKEVSTLSQELVALDCEDSYAVLSSIISMLMVSRVSDARAQFDILPSAHPKLLESPLYYLIASVLAKQSKDKSFENFRQHIENLVEMLRNQLQSFPFGLDYLALFSSDLLYSAVEQCFDFYPLVPVKAPDEVMKLTAKTLQMINDVAPGLAHCALQLARNAYLCSNTNAAEKWINKALEKDDSLADAHILRAQLILDRGGKITDADDALVTGLNFNFKLRETSLYHLIKSKTFKKKNENDEAIKTLKMALQIPRKEASNNLFLPKESADTHKISVQLELIDTLQQTKRIQDAENTMADALAEWAGQPEQDQLIIAQSQLYLTKGHVEKALSILKKIQPGQSNFHLSRIKMAEIYLEEKKDKRMFAACYRELLKVEPTPGSYSLLGDAFMKVQEPEDAINFYEQALKMQSKDVQLAEKIGEAYVMAHLYSKAVNFYESSMNIYKDKNMRLKLANLLLKLRNFEKCEKILRAPLEKEPEPMDTETIQTNIQFLLLLAECHEMIDNVPEAMKDFEK >CRE08572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:64150:65170:1 gene:WBGene00055511 transcript:CRE08572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08572 MGPPPKKNKQKSKEKQVVEKKSADDVLKSQQKQKSVESGPGEKKKSKLSKISNKKSDKSTPSDAKESGGQSKPMDGTQNGPTPNDETGNGSLKNIGDLLVMKAENCGEMDVTIEDIETPVMHDRVVRVAATDMIFDPKQVAYKGCVDNDKVRKQEFSVEMNTVQFQDPKEVEDLEKELQAACAKHELRFKNVRKNEEKNPNAKLPKSPESEKSTEKRAAPDARIKMRPDQCVLFETKEQLTEDDQEAEEQKNEANKAPK >CRE08580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:58886:60321:-1 gene:WBGene00055512 transcript:CRE08580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08580 MYTCGNRKVIPNMPDLILRKIFEQYDYPVLCKMERVCKRWMNIINTKFRKEIHEVSIERLGSMFPQAHQCVPFRRLTISCPSDSHDFLAGVFRRSRLSFMKMTTDLNFLAGIDQIHVAKDTGRRYFSNVEDLWLLIIHPDDDATQRFLNIEGTLFSELQQLTLQVHVDPRYFKNVGEIVKSFILRYPKSNINLELHAEKSMHILNQLSALPSLPLYKIKLICTDFDQPQLRLDQLYGVMREQNIQAKNITMRDWSLFADGTTPVSYNPLDTFRISSCSIETVDNLVRSLQMTASQSTQVDGVPPAKKKKIVKKKKETEVVTEEGLVPKPKKKIVKKVVKKKKPVVYIKRLEVAGQCTLHGLTFLQVNRIYRHTDRFYIQQKAHTELERRLTTVIPGLDVDCSEIYYCW >CRE08579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:53444:54553:-1 gene:WBGene00055515 transcript:CRE08579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbx-7 description:CRE-TBX-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NH04] MSLILSDLSLFCPNNEKSVDDSPHAELENQDLWKAFSDIGTEMVITKVGRRMFPILRVKLSGLDKKSKYIVMVELVPSDRYRYKFTNGEWAVSGKGDLQSVRAPIVHPDSPQYGDSWMTNGASFKILKLSNDPYNTSGHTYLNSLHRYYPRIHIVRCDSFDKIIISSFKTFHFKYTDFIAVTAYQNDEVNREMFTFLKLILRFQITKIKIANNPFAKGFRPENKERAKRRIRISEVPPSSEVEEPSTKRLKIKEPAPVTEPPSPIPTVPVEMLAQWQLAIMSSFGGVQTALPSSEAKEETAVVVPVPVKKIGFGVSDLLGTSS >CRE08571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:48809:50999:1 gene:WBGene00055516 transcript:CRE08571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08571 MGSSGAGKTTLLNVLTGRNKSSLSTNGEVSLNGRSLEPSEMKQLSAYVQQEDVFMASQTVSEVLHFAVKMRSPERLSKEKRERLVESLLVTFGLKNCENTKIGSVKEKGISRGEKKRLTVACEILTDPPVLFCDEPTSGLDSFMSHQVMKCLKDLATEGKIVICTIHQPSTWVYQMADRLVVLCQGKVAFEGKTKNVEPFLATLGSPVPEFAGVSDHFIRVLSRGVGEKQKDYEVRMQRILNQQQSTHQLTRSESVRYQQQREDKTKKKKIYRSWCFQFFALTGRGLIQISRRKKYIVARLILTVLVSWFLGMVYLRIPIHRDHLLGIKGVIFGTLQMNNILYMMPSLISFWEDYPVVVREYQSNMYSPSAYFMARSLTDSILHLIYPIIFFVIIYFMAGLPLTFIGMTTFLTMCIAMSMIITSLSHAVVSLCGNVTISLTVAPLISVPVMVFGGFLITVDAVPWYYKPLSYVSWYHYAFEAIMIAFFKDHGRIEGCQTVNPLFDIECSTGEKLITDQDFKISNFWFDFVAVGAILVFWKIFGLLTFVFRIRSKT >CRE08569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:43784:47836:1 gene:WBGene00055517 transcript:CRE08569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyk-3 description:CRE-CYK-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NH01] MGNTILTGRSNAIAPIISAEDARTYISEEEYRRIRQAFQRFKNGCINYDEFCYHVLGGAQIPEEKRRLLFSFFSRGAESISFDNLLSSLVGLCRVEEVQSRFIEEYHEFASWGLSPPKLTIPLNDSYISFYEVMSYVTHLSVNEVIELEKVFATISDRAVCKLNEDKWKQALGGCFPDSYAERLFAVFDENRDGQIDFRELVCTLSALCRGPHPGRISQLARIWDVDCDKLLSDEELSNMYKDLEVPVEHQTVTKSANGKAALIDFGIWAQENEKYISEYYSMALQIGHICLGLRPESRKMELQIVNEFEARASILPLTEWNIVSSGWHSEFRTLLEADKSPMPIDNSGIKGTREDSWTSRVACISAESARLKPDLVPSDYIRVPVPLWRAWLRWHGCALTVDSQFTRKYLDGEFFEDNKPALELYPLEILLLGHDRKKSHDGSETTPRSLTPWACAQVSRSMTVDELLSLCKTELRLGDGDARLWQVVKENEEGNVLLDDGNQNLHQLYTSLGKTKKVNKMKLLLEVRERGTGVWPEELRASLTGKQITAASTLSSSNAQLSGRPGAVGLVNYGNFCYRNAGIQCLARVSPLTQYFLEDEHLEDIKKANTRRKDAIETTIEYAKLLREMWAAKKKNIAPNDFNDAIRMNSDMFECSEQHDCQEFVAFLLDQLHTSMYEANKTLHPPPPDEDKKEVTRDLKDETDEEKAERSWTEYEKQNDSLVTELFTGQLRSRLICRTCQSSSSVFEPFTSLSLPIGFEDVDLYQVIVVHRDGRIPRRYGFRLSRDSKIGHLREVVAASSGISMSHITIQCMSSKGTLMSRSPNHKSSNLRDEYPLSSFPSGARLYALELPESTGENEWRVAMHRKLQYNHEPHILGSTAGFIVSRFGLPLIVGLDEHVTGKKLYEDVMYQMHRFMEHSVNSFSSRAHDPCEDDASGFPFTLCLVDQNYEWCGQCPALRFCRGCPIRPDEGKVFIPANCPIAVDWLPIALYLRYNHSQEQACEDDPSVAETWSRHFAPSSLEHCIEKFSCPETLDAAIQCDRCEKKTMRDKVMTIWKLPKYLIIHLKRFEFLREQGRMGKCKRTVNFPLKHFDPAPFVDKPDGNTYECIALANHYGQLSCGHFIAYAKSNEDKWLLLNDCSVREVSEEEVDKQGAYLLFYERKDVK >CRE08578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:37418:43178:-1 gene:WBGene00055518 transcript:CRE08578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-10 description:CRE-NPP-10 protein [Source:UniProtKB/TrEMBL;Acc:E3NH00] MFGQNKSFGSSSFGSGTGSNLFGQNNQNKSLFGQNTATNTSATSSLFGGNQNKPAGSLFGGGGASTSTNLFGSPQTQNTQSSLFGGAQQNANRSLFGGVATTTQTSGGLFGSNTTANTGTSSLFGSSNNNTSAGGGLFGASGSTVSGTTVKFDPPINSDTMLRNGISQTISTKHMCISAMNKYSDKSMEELRVEDYIANRKAPGAGTTTTTGGGLFGSSNTTTNQAGGGLFGSNNAQPKTSLFGGASTSSPFGGTNTTTTGSSLFGNNNANTSGAGSSLFGAKPAGSSLFGNTTGGSTFGQSTGSSLFGGNNQPANTSGSLFGQPQTQPSGGSLFGNNNAGSTGTSLFGSNQPPQQQNAFTFGGGAATSNAFGQPAANTGGSLFGNTSTANTGGSLFGSKPATSSGFTFGGSQPTTTNSFGSTNTGGGLFGNNAPKPGGLFGSTTTGTTGGGLFGSQPQANTGGLFGSNQATQPLNTGFGNAAQQQVVVQPQVAPVPVIGVTADVLQMQANMKSLQSQLTYAPYGDSSLLKYNNSSEAKESNLSAQQRQLRFLAAKKGAAASPGQESSFIVPPVSKVMSDLSPALSRTSEVTKDLNYTSKEAPPSLGRGLRNSTFNGSMSMANQSVHESGALNRTIDSTLDASLNGSSNRLGVRGSVRKSNLKQLDFSALSDTSRMGSVGRESHVADPDALPRISESERRSDTVNSTPSVDPVQAVINRQQDRKRDPPSLNLDTTCDEHTGLEPVSTASSATSVVSTPSEENPIDNTAAGVKLSKPDYFSLPTINEMKNMVKNGKVVLPEGLTIGRSSYGSVFWPGKLELKDIVLDEVVVFRHKEVTVYPNEEEKAPEGQELNRPAEVTLERIWYNDKKTKKEVRDVVQLAEVGWREHLERQTIRMGATFKDYRAETGSWVFRVEHFSKYGLADDDDEPMEIAPPQQGQQPSASPLQAVRVFNFLSLINLLIFQIDMNTSARDVNNQVQRKKVHQQTDGRQREVILERVPPATPLHDAIPIVRRKNVGGLGGGVLDNSREEYNISGMTTEFLNEDETSFCEEGQQPEKKPKLELLMELEYESSRFIQNLQELKVMPKPKEPEHRFHGGGHAAKMIGYGKSTLTDLAIVKGRSSRVGWSETGCLVWSSQPTHNQILFGTLDRTSDVDDETLVSMLNVNLTLSETSRKGPSLDTDSMSSALTSNFVTYPDTYSEMFRRYIEIAQLGGYDGHASVWKLLLALFPSEREEGWSFERGELIAEWLKNEAIKNAAEERTTRDTSVTAVWNQLCLGDIDNAFQVAIENGQKQLASALQTSVVSPEVTTHYFKSQIDHWKNFDVLHLVPKSTLKCYVLMSGVSHYSWTHEGQTHSINCLEGLNWIQALGIHVWYLRKWSGLEEAYDAYQKDVDEGRAASNRGDLYGELIKLACESQHSVEVVLDCAAGESPHDYFLQWHVWSVLFSVGFRTMSKTAETRLHRSYSAQLESLELPKSALFVLQHIDDDEERSTTIRSFLDRVANFANEEILDAIFEQYDIPSEWIADSQFSIAKPDNDVTHLFELAVAAKNLVEIRRLFSEEIAPTAVLSGDHEALKTACVMVRPFENQIPEWGATGMVYIDYCRLVDLIENNADEADLKELLESLETRLHAPASEKKNTIRKLSLQTVGRVLFEYRSDTSNLPEWTKLLGHHQLFKIFRDRSSWGIERFTIDYD >CRE08568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:33923:37113:1 gene:WBGene00055519 transcript:CRE08568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eftu-2 description:CRE-EFT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NGZ9] MDSDLYDEFGNYIGPELDSDDEVGDIDDNAEDDDRSDMEEDDEPDRMEEDDAEEIPQNQVVLHEDKKYYASALEVYGEGVETLVQEEDAQPLTEPIVKPVSKKKFQAAEHRLPETVYKKEYLADLMDCPHIMRNVAIAGHLHHGKTTFLDCLMEQTHPEFFRAEDADTRFTDTLFIEQQRGCSIKSQPVSIVAQDCRSKSYLLNIIDTPGHVNFSDEMTAAYRLADGVVVLVDAHEGVMMNTERAIRHAIQERLSVTLCISKIDRLFLELKLPPADAYFKLRLIIDQVNNILSTFAEEDVPVLSPLNGNVIFSSGRYNVCFSLLSFANIYAKQHGDSFNSKEFARRLWGDIYFDKRTRKFVKKSPSHEAPRTFVQFILEPLYKIFSQVVGDVDTCLPDIMAELGIRLSKEEQKMNVRPLIALICKRFFGDFSALVDLVVQNIKSPLENAKSKVEQTYLGPADSQLAIEMHKCNADGPLMVHTTKNYPVADATQFRVFGRVMSGTLEANADVRVLGENYSIQDEEDCRRMTVGRLFVHVARYQIEVSRVPAGCWVLIEGIDQPIVKTATIAELEYEDDVYIFRPLKFNTRSCVKLAVEPINPSELPKMLDGLRKVNKSYPLLTTRVEESGEHVLLGTGELYMDCVMHDMRKVFSEIDIKVADPVVTFNETVIETSTLKCFAETPNKKNKITMMAEPLEKQLDEDIENEVVQIGWNRRRLGEFFQTKYNWDLLAARSIWAFGPDTTGPNILLDDTLPSEVDKHLLSTVRESLVQGFQWATREGPLCEEPIRQVKFKLLDATIAAEPLYRGGGQMIPTARRCAYSAFLMATPRLMEPYYSVEVVAPADCVAAVYTVLAKRRGHVTTDAPMPGSPMYTISAYIPVMDSFGFETDLRIHTQGQAFCMSAFHHWQLVPGDPLDKSIIIKTLDVQPTPHLAREFMIKTRRRKGLSEDVSVNKFFDDPMLLELAKQQDYAGF >CRE08577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:31422:33399:-1 gene:WBGene00055520 transcript:CRE08577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08577 MPTPFRELHNNSNASASSYETAWSTSFSNRSNSKPTNNDSRSSMPEIKDEPDFDLDESISNLENNISMMSVSSARSPAFRTPARNSIRDAPMTPGDRMLQSVKKEQDRALLKELYPEMFQDDSQKPPERPREVKKEVKIEPNYEDYDANKENRPPGEKQDGEVRKTRSKRIVVSSDSEDDGNFDNYLQNLRGKPAEPPKAERKLPKRTSFVVEDDYISEEDSEESEHSEEDDDSEEEEERASSPEVVKPKPKDKSTKKVPSDDDEWFLVSLAENYSGPIHSDAKIYSKDGALRLKKNREALLTKLVEILVRRVFTEIPSDMLKVTWNARLRKSAGQCRNHRHGNSTVEMSPVVCTTAERVRDTLIHEMCHAAVWVVDRLHKEGHGPGWKRWGARCSSTFKSLPFIERCHSYEIEAKFFYVCETDGCPVEIKRQSKSLDTSRKACGACLGRFILYRYCRRTNTRIRIEDPKAKTCPKPVRTTVPAPSPSPPPIRSVISKYPAGFDEFSEKNYWDYSSEGLTHSEVMEKLLKEFNELTKSS >CRE08567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:29056:30826:1 gene:WBGene00055521 transcript:CRE08567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08567 MTVPQKYDFFLRNLPEPLMTFELHNVFINAAKMGDATMRIDHIHFYVHQLPAQHHRMLEIVVRHLRRVADLSNENLMTVSNLGVCFGPTLLRPKEETVAAIMDIKFCNVVVEVLISNYDKIFKTKPKSSMGSAVPPKPDHHTANDNGRPLATRSFGTSVPSPITHRSRSPKTPGSGSKIVRSTQVVSSSYSRGMQKRDAMFPTEIARYGAGFDVSELSDFPNDNKSPLTSPTTVAPLASGSIEEIDEISKKERNSSDSLNSLGSLGSVGDESTATVVAAPDVFDKAKPKYSVSYASTYNRIPADSSKLTSSISCTTVDSTGVVETSFTSLTRQNYLRGTLNSSSMGPTYKYLSRRVKTLYACTPDHHSELSFEPGQIITNVYESNEDGWLVGTLNGKTGLIPSNYVEPLP >CRE08566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:18862:28945:1 gene:WBGene00055522 transcript:CRE08566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08566 MVLRALEFSDSISDSPWFRQNLHDHEVALDDAFKNIKLIENQCKDLIACTKKLSTAQRAFAKTLSEFKIETVGTNQTDDERFIATCLKEFASLINQVEDERMKLVGQAEESYLEPIKKFRTEAIGKTLKEEKNKYDKESSKFYSTLEKHLHLSTVRKNDFREADAQLETQQKNFFQASLQYVAEVQSVQERMRFEFVETLGSYVYSWLSFFHVGECLTRNMTKENYMATNAEAEELKKKLLASHTKPGNDERRPTPSIKQGYVYMQEKSKIPKTIGRDVLGRWTKYYCVYSRETRIFTMVSANSATKTDMKSAVAQTATFKFKSCSKRPMDTIDKRFCFDVCVEEKNDVMTMQALSEKDLGEWIDAMDGAKQNSYTAGENPSCSTYKQTQLDDIGFEFVQQCIDILEESGIHEQGVYRNCGVTSKVQKLMQLGLDRRKASEKGGLNLKDEEMWETKTISSAVKTFLR >CRE08576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:96:5929:-1 gene:WBGene00055525 transcript:CRE08576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frm-2 description:CRE-FRM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NGZ5] MNSDEEESIQNSGETKDMSWMLRSLSARFSRNRQPQQKNQTQDASFDTKKFVQCKVLLLDGAHLNIVVPRNAIGSELYEEVFYSLDLEERDYFGLQYTDQFNVQHWLDPCKKVAKQVAIGPPFTLRFRVKFFTSEPSSNLKEELTRYQFFLQIKHDIASGRLQCPHPLGIELAAFALQSELGDYNPEVHTALFISEFRFHPEQDEKMEIEILDKYKACRGQTPAQAELNYLNKARWIEMYGVDMHIVEGKDGNTYRLGLTPQGMLVFDGPQKIGLFLWEKLQKLDFKNKKITLVVEEDADQSNNGQIQLHTFVFHLTSEKAAKHFWKCAIEQHAFFRLKSRPVQPNRKMQFFRLGSTFKYRGRTEYETIHKEGARLSRRQSCSFERRPSQRYGPRQSHVTNAQLRDAKRAEIRQQILEQQRVNEQQRAHAQSDPLPPLPPISNLPVPSSPNLKKPLNNNNNPFIGDTSIPSSSTSSSPSHVTKITVGTIGGGVESTSPSTSSYIPRPVVSGSSSFSHPPPLPAHQSSSKIPRMSSASEARKNSQPPQPAVRMQHNFEVFFI >CRE04496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1395:3700:1 gene:WBGene00055526 transcript:CRE04496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04496 MSIITQDALKSVTEQLSPTSRLNLAAIDQQFFSVSTKWHDVKTILFDEDEVTMAGANFVHTFENLAFRKNGVDGNRSFYKADAKETALKLCPGRKKLIIQTKLSDYDAVMLNKMNLKLTKLYISTENLSLVNFPKFEKLKTLHLVFNSTKELKVVDVVEQRVLKTVFPQTLTRICLTGIYLTENLLTHMATLNNLSCLDTIGCLIDTRVGAKYIPLLETYPSLDELSLPPSLFSFSIKTKTQTELSFQKLTVTKIGLYMDQFDDDVFYSQCRHFLPKNLKVLVVFGNYLPLKKWKLLSSINNFMILFGPNISLASCPQANLTNVKLLSHLVRSPPYIQLERNPNFLRNHDMSVGNLEWQFSLIEWKDHNLCRKEVLALRKQMNATGEEEVVFEGVRIPAPVIMQRLGQFRGRRMMMPHSPNDFQVFDGPIPMAPPLPARHPIVHPPRHPQNILHTVQPQHRRRSNRNRPDTPVPRRASRRRTRSAPPAIEDVPRQQPRQSDSMRTHPPSPPVGTQTGAVFNATLPNISPIRTTTASDVTRASASVSTATNGMSVLNRGFMATPNSDTSNTATVGSRRLSTESQVSSVLPSEMTVQSVSTASPERISQIRGNESQMTPPPPANQQNQPPSS >CRE04497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:6387:7790:1 gene:WBGene00055528 transcript:CRE04497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlp-1 description:CRE-MLP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYP7] MPFKPVEHPKCPKCGKSVYAAEEMSAGGYKWHKFCFKCSMCNKLLDSMSCCEHQAQLFCKQCHCRRYGPKGVGFGIGAGSLTMDTGEQFGNTEVDMTLVSKRFHVQCYMCNKLLDSCTVAPHEAELYCKQCHGRKFGPKGVGFGLGAGCLTTDSGEKFGGSKQTNRPMTAEAFTAPINPSHS >CRE04684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:8151:13016:-1 gene:WBGene00055530 transcript:CRE04684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dct-6 description:CRE-DCT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LYP8] MIESTTSHQDFQQRSMTGYALEHPSYRVRGALFRHQDDGRLLSGCGGDIEVIHALEMERSQHTGLPVRVTNVVVFGSNDVVRLIQPIEGTEDVIVNGDYHVSIVQSVELFESSTVSKSRILKLPGKIVAVNAEKHSNTELLLVFLLETGLYHYSFCAQTSEHFQCIQAFRCNRPITSGLLWRDSGLLHVAYYDGFVRVGVVHEQYDDMLLVKRVAVNRNNLAVLLDVVFEEIGRIQKFEDTEKQRRDDMLTTSKSLSEKLVTEEEVVEGDTTNDDFAKLKFEFKNQSIRCERVSTRLTSLRKLISIIKSALDMNDQIEQMIALLVDQLGELEKLEQLCEEVQKTGNQNLIGKSWIAVEEKRMVVDELIAKVNSDQIKKHSDEWDQKIDQIIDQLNGCSEVAKDMRMILSQNIFEHRGDKKDVFTHFILDSQSRDITLYCLSGLTTLAIYPRKGKRVASISLDASFATCLTSACAMKSVEGVYVADQNAVFPIYFYREKRYLDAGNQLQIPAFDSISSILIEPHQMILGSVTGGLLHLSFDFASNFEHFIIASSMLAHPISSGAVNCIKLLATGESLLALHCTDAEVVVSEKDQDRWHRVTHHTGGAHSIAVTPFSVDERGAFAVVASDTFVRLKALQYAEESLIGLHDLGESRAEDENGHPIEVLNVSLDPSMQYRQLPCKLRYAVGFSDKAIRTYVALLTGQHDFTVTEKFIAQIEPLFNVQNMICFHGRPMGCYVSCAKTLQIWNDLDRHQQKKLKSERMQLVKLSSSVTSMERTDGFLLVGFADDRLSIYEEKGNGSVELVGTVEEWHKGLCDRSVLGLRTRASKTSCGTRLFIHSLTGHHIVIHTVLVQASKIEQHDFIVAHEHSMSQPIGFEFVSYKYFEFLVYGRGISNEKLSVEDRKRMDCFRDFEFN >CRE04685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:18086:18502:-1 gene:WBGene00055531 transcript:CRE04685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04685 MPQAIKLSGDTTYQVVAPSTLNLHSIFPGIPVQLHILIEDMINRSFEISLRIFIFLSLFFLLCRNDKKRKHRCCGAAKSTTFLSFGHSSPLFIHLLIHNLISFPRGNILRTRYANLADISKSALRREEREKDERQNVI >CRE04686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:18613:21549:-1 gene:WBGene00055532 transcript:CRE04686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nop-1 MSVPKKRKSDVHIDSCARDDSDHQTKKDKHWFEEAEQNGENRRGSIFKGIFKKMKSSASLKSSNKGTSSSKLETLANETQLSINSESSVTSVESGPSSLVPNIAKNAVLRTFVNRESFTPVPPSNEVEPTDPFLLPTVGGSKQESTSRMEDSISVRSVAEMVNLHSCTSQISSHMSVDTIGSNHTIDEDSVSIGSASAFCTPSRNSLQRNGLRASSRSVSGIDGDDDMPPALFRSLCNSAVRRVPNSKLLENGNNQMRRSMRMTIQKRNLSTKSMEDVPEEEEATGVISTAVIRMSAPIAEEECEEQFGGAKEFFDSNITSHDESADEGTASISRLSTASESRASTGGTSLVRGRKSSIFRKFFNGKDKERRLSEVFHNAEPSTSTERRGSILSFTNDCSFAGGMPSASVSASVGNVSISSVASSESVQKPKMRKKNPSTSNLTQRLSSVFRRSSSTANKDDDFFCPSTQSTRRNTLTGYSSISSGIGSIASGVSDQGYGTIGSRNGHSISRNGSKRDDENKRERSRRLIDRIIISDIPASDLLKIKLEQIRRKDRDDSDEMFETQMKGSTSLNSFSQSEYLNFDVVAVDLKHDGTPFSSTAASYVDEKIIKMVKEDMHSNFRPDRCGSVTDCEPNLVYVQPEHPQILRGPNDSIASNSDPSSELLRNVRNGLHNSIEEWKKISSTRESSTMLIYPTFCQSEEEWDSQATIDAIFMMLDSILQNVRRWRPNGKCILAGLTPSNVSLLREKYDKLKESVEQTERDGPGSSFEDVDIQSISSTSTVFGKHM >CRE04498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:22673:26902:1 gene:WBGene00055533 transcript:CRE04498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nono-1 description:CRE-PSF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYQ1] MSGRRDNRRSNGHDEREDRKQPRDLRGGGGDKRSGGSARTGGGGGGSRGGAERGIAPAQQPYESSGGHRSGGGGGGAGGGGRGGGTAFNGAAFTESQLMDEVPKKKFTGRCRLFVGNLPNEVKETELKELFSPHGDIAECYLSGKGFAFLRLDTRAHAESAKEAIDGRIIHGRQVRVRFAVHGAAIRVKELSPTVSNEMLYHAFSHFGDVERAVHIVDEKGRPTGEGIVEFERKPNCNEAMAAIREKVFLLTASPKPLICEVLEPRDEDDGLAERMIPRTPGLSKERELGPRFPTQNSFEYVYGMKWKELYDVEKKRRAALDEELRESRRRLESDMELAYQDYQAQMLREDLQRRQQELERLEAARRERMRGLGGPPGGMPGGMPGGMPGGMPPQVQPFHPQGGFMSGGPGGPPGGPGGPPPFQQQFQQPQQGMFNVPTGVPPPLMGGGGDMRGPPGGGHGGPPQGGPGGPRGMLQGPHGTSNMIEGVQRLLQIFKSDNGPPGGMSGGRDFPQQGPGGMFGGGPYGDGGYPPEKKQRR >CRE09943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2259:792:1415:1 gene:WBGene00055534 transcript:CRE09943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09943 MADEDTQSTQLGEIIRKNVEAMLGSVLAAVRQPEVAPKLSAKGLQKQADFNVKVANLLSKKVDEYPDDEDFKKIFDLIKARNAELELLDKDPRAAAMMEKATALASLTNASNGGISDPTQLMVLASLLPGDAGPLKRRRMNEPSHSQWFRGAGASRGESSTRYYKNSNTNGYGYQRFGHSQGSERARSQVCYKCHQPGHYASSCQQR >CRE04687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:31918:35957:-1 gene:WBGene00055535 transcript:CRE04687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04687 description:5'-nucleotidase [Source:UniProtKB/TrEMBL;Acc:E3LYQ2] MSRVARLGRCLFVNGRRFESRQSTLQMQAETLADSPLSTSLEPPQFSMFKATEIAAAAAACAEAECYEQLEQLKKTEVVPLLMEWFVQQQQIICANPTEVAAKLRKMVAGGARKTVVISDFDYTLSRFANEKGERLSTTHGVFDDNVMRLNPALGQQFVDLKNKYYPIEFCPILTQEEKIPHMEKWWGTSHSLIVNEKFSKNTIEDFVRQSRIVFKDGAEDFIQSLDAHNIPLVIFSAGIGNIIEYFLEQKLGAIPRNTHFISNMILFDEEEKACAFSEPLIHTFCKNSSVIQKETSFFHEINGRVNVILLGDSMGDIHMDVGVERDGPTLKVGYYNGSLDDTAALKHYEEVYDIVLIHDPTLDVAQKIVDMINSTH >CRE04500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:38803:40885:1 gene:WBGene00055536 transcript:CRE04500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04500 MLRQWWLRGVGACSTFTRAHSGCSTSSSMKPKRAIPSDGLQLSDFIREGTKKQRQKAIIPSIEDTKEYLSPEDLNGNGKTVCYVTYGCQMNVSDMEIVRSIMSQYGFVESDQKEKADIVLLMTCSIRDGAEKKVWNQLKLIRSNSVNKRQIVGVLGLFSVLMNAKISYLTGCMAERVRHDLLEKRNLVNIVAGPDSYRDLPRLVAVASGGSNAINVQLSLDETYAEVQPIRVDAESRTAFISIMRGCDNMCTYCVVPFTRGRERSRPIDSIVEEVRRLRDQGYKQITLLGQNVNSYRDMTSMDFPMNPSTTEDRVPGFKTVYKPKSGGLTFTSLLEKVADAAPDVRFRFTSPHPKDFPMQLIELIASRTNLCKQLHLPAQSGDDETLDRMGRGYSRDLYLRLVDDIRIILPNVSLTSDFIAGFCGETEEAHQNTLSLIREVGYSFCFVFPYSMRGKTRAHHRLTDDVPENVKARRHLDLTTVFREEAMKLNQKLIGTEQTVLLEGKSKRDVAFSHGRTDGGVKAVFDNSKSQLNPGQYAKVLITEANSQTLKAEFIRETDL >CRE04688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:40881:43940:-1 gene:WBGene00055538 transcript:CRE04688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubq-1 description:CRE-UBQ-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYQ5] MQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKNNCERKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGDM >CRE04501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:47492:48742:1 gene:WBGene00055540 transcript:CRE04501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04501 MMSSDEADDVLPREEEMLKTKKDKYKVIALLGKGGYGAVYSVLRQSDSEKFAIKCEKATAAKKVLLMDCNVMKGATQIKSRHFCAVLDRANVKDRFNFIVMKLIGKNLWDLRQDRPDGRFSLGTALKTAAQCLVSIEHLHSVRLDIRISTKSPSIFQFGYLHRDIKPGNFAAGRKESNEHHTIFMLDFGLCREFVKRAEGKDMRAPRSSAPFRGTTRYAPLAAMLQQDQSRKDDIESWLYMVVEWTSGGLPWRKLKAHDRDKVLAYKKDVREKADILEDFLYNCPKKEFTRILKYVDTLGYYAVPDYGFIYYCVKHAAVANKIKDNDPIDWDPERPYFGPLETPGDGKVIDLEVEGGQSVKEFSKRNPKEKDQSDETRKGKKKAGASPNREKTEVKEVKK >CRE04502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:49372:50488:1 gene:WBGene00055541 transcript:CRE04502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04502 MPNRVKYYEKLREKRRLARERAKKVQEERKRAREVKEEKWTTRNEFGLVSEHRQIQRKAYIEKIRKESQEMLKMAIERVNSHGKREGIIQKEIEEEVETVKNFIDEQCNYYAEIHEQISPPKERSVDQIVTEIEEGLKEIYDIFASVFDFDPKMPEDIEVKEDDDQIFAKEIAQLEKEFEANTLKAENIEKEIAQSKVQIETENVKFAAIEQEKAIEIIKLEKQIKNMEAKLDFIKQDPVEVEEIEKLRKRVEEETQRNVEYSESLKYIKEMIRIKKEEKEEKKKQKLEELERK >CRE04503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:53311:57345:1 gene:WBGene00055542 transcript:CRE04503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zmp-1 description:CRE-ZMP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYQ8] MFHDILILFLAFIPSVFARNVDHTEFLQKYGYLPRGSNQVSSESLSEALKNMQRMAGLEETGELDERTKRMMERPRCGHPDVHEEKSSRGKRNTPPIFKWQDKTITYGCKSAGTSTRISLDDLRRTMHQAASQWSELADVEIVESSVENPMIQITAGRAMHYPCRVSFDRQTLAHAFFPPHGQIHINDNVNFVMTNYTERIGGNSLYSVVAHEMGHALGFSHSSDSESIMFAYDTPRKWKFTSNDKYWMRKYYGRKKSSTRSKEEDSREERERDDRRKYEKEKTREREHEKDDIRPDECRVENPIVVQYRGEYLVFKIILGR >CRE04689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:57663:59120:-1 gene:WBGene00055543 transcript:CRE04689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04689 MSCEKKDDDKKEDKSKSKRKEEKKEEKKEEKKDLEKSKRKEKEEKKDVDKSKRKEKEEKKDAEKSKRKEKEKEEKKEEEKKEEKKEEKKEEKKDEKKEEQKKEEKKKRAGAVYNQMNNKNLIGEGSTVLGRFEVDVIIGGGGFAQIYKAYDKLRKEDCAIKMENSALDIRRMKLEITVLLALRGQMGIPEILGQGKWQHGDIKSQYIVMQLVGKNLSEVRKLLPHRRFSDRTLYRAMLQVLKALSLLHGAGFLHRDLKPSNCCIGANDCTRIYLIDYGLTRQYLDKGGIVRKPRAGVGLRGTVRYMSLDAHARHDLGPNNDLVSFLYTTIECGDGSLPWSNESTHENIIKLKQAHTGEKLCTKQPAMTKVAEYIESLSYHNIPDYEKLFGLVDDCNPPDLLPSEPYDWQYRPVHNPMTPTPNDTPGGTKENATERMNV >CRE04690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:60202:60728:-1 gene:WBGene00055544 transcript:CRE04690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bcl-7 MYSANRSHRAETRNRSKDELRKVKSIIVLIETFVLAVSAQNIMAPPKPQLKEIDEESNQIPSAENSQDSTSVTQPAVNFDINEDSNFSTGDNFDSDSNQTFEPQNYQGGATGSTDFSSMRDAEMTPKQP >CRE04505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:60712:62274:1 gene:WBGene00055545 transcript:CRE04505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnc-2 description:CRE-DNC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYR2] MSTIGKEDIYESDGASSQVQNTQPENDAPNHPDIELVSVDIDEALKKYKNRVLNLTSIDYSDSIAKKRRHAFGNNQYVLEVAGAGFAGTETAAEKLNRILYEIADLNEQLRTDENVKTDLLNAEVLENLEKEVKTLQGVQANGKPARVEHEVELPKVRSDSKVATLENRLRRIEQVIGSSVIPSAPVLDTIEDLKLRCETLNQSYVSGLEQRLNVMLTKLEKIDETRANNDIDENLDKKVNEILELMQKWDVACSSLPSNVNKVKALNRLHEQAQHFAGGLSHLKTIREKLEKEVAQGREAIIEYETNGKQEIGSVVEKLKILEAKVAELK >CRE04691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:62403:62988:-1 gene:WBGene00055546 transcript:CRE04691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04691 MKKILGLFIFLICILYVDNAATSSIISDPRSMFPKVMRTKSAFHFIHFFMGTFRQMFRNKTQVIDHFNYYDDQVKGNDCYIQGGLDFTRLKDYFEKYAKTHHDPKISVIFAVMTKDAIHMTAEFHVSSQTWLGFEDHFHMLIRAVNDDAVGWGVRYLSMAFNC >CRE04506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:65455:67884:1 gene:WBGene00055547 transcript:CRE04506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tdo-2 description:Tryptophan 2,3-dioxygenase [Source:UniProtKB/TrEMBL;Acc:E3LYR4] MACPYMGGGELTHRVTFMEGGEESQQGVNKVEMGFGQTYPEYLQLDKILTAQKLKSEADGQRVDDEHLFIVIHQAHELWFKQIIFDLDIVRKLLNNTIVDETKTLKIVSGLDRIVKILSLLTEQITLLDTMSPLDFVDFRKYLTPASGFQSLQFRVLENKLGVKQERRIKYNAQHYKNVFNDEDLKALNTTEEEKSLLTLIESWLERTPGLKSTSEDEGFWIKYENSVNRYLSDLAKQAADPSNTEEISRQLTAEYHKTSDAFQSILDPRQHEQHIRNGNRLLSHDATKGAMMIYFYRDMPRFSQPYQILTFLMDIDSLFTKWRYNHVLLVQRMLGAKQGTGGSSGYMYLRSTVSDRYKVFLDLFNLSTWLIPREYIPMLSPRMVKTLSEHSNLSHSQSSESE >CRE04692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:70589:76240:-1 gene:WBGene00055548 transcript:CRE04692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04692 MSANANWDDSDSENENVVVEEKPVILPRARQPSIAKSLEKVQILENSVAGSEKNASDDDSDASSIMSDDLESLGEATEVLEFSLEQLSSMKKNLAQFPCSYKNIISEFADVEIFLISIDSLVVECAAHSYHNWDVAGQTMVLNKQIDRFLQQFVDIGGRFKLVVFSDLTAQFAKDTTLSFARSAALAHLANGPHSRDLLFFTNPTDPEWDKLLNDLTPSFLMISTDNVTSNVCASQDIDLTKQFETIAFDVLTRSMSVVLLHSIKVNFVSVDAYYIQPLLVVAPDWPAFLAAHWDNNGTLLRNHLSKEIKFNQFETPADFWVKIITDVKATGKASDTFDTLAAAVILSSLICSRRGAHRIYYPAREDAKRGLNVIRDRRLILNAAVVLLDKADHVNSKLDLGDLWDGRMVYSIFDELSANQAVLPYRLQEDFAKYHQKAGLTVPLATDTNEKLFDPLPEITDPLVDLPILYSVTSPMIKRFVPEIEKMTSQNAVEEGVVQDYAEFLKDTSSWRLKAIEESYAQKEEKIEDAWQLKRANRAKQFLMRWYELFANSLEGRGSNLLVDFSRVPKGFAAPEEEVVDEKKTGKGGWSGQKQQKPGAGGKKGAVKETGKSKKDLILEANKKAKDQKLAESEKVKIKYGCQQGKESVIFLNNLYTSLDLPESKALCVFEVVVREGRTIFDQYQGADKQEIRRNAAIDLVGHLKDCFVKHWEYLESKQKEQIVDLWVSLGFEAPAGSKPSSEAKLKKLNLGINMVYYQLQYGGELIDIQSDPKKDDRVSGFAPDGWQRKMLDSVDRGNSALIIAPTSAGKTFVSYYCIEKVLRASDNDVVVYVAPSKALINQVCGSVYARFRNKSMKRGMSLFGTLTQEYSQNTMQCQVLITVPECLQELMLSRTPAVQKFVSHIKYVVFDEVHSIGASEESHIWEQLLLLIQCPFLALSATIGNADKLHEWLNASEQAKSAGKRRVELINYGERYSELELSIMNIKDPHGEDDGVVHKKADTAVIPLMPYGVYMPEKLRMFSIPEDQQLTARQILHLYNMMAEVDAATKKEFEPCKFFGQHGSKAVWISRSELRRMENALKERFMEWLSTDEQKINSILKILKEPVNTQLNYRSRPFNKEKVANDYIVHLVDNLKEKGELPAICFNDDRHVCEKLAVTLANELERREVEYMDTDEFKNKYMIKDESKLVKLAKRKRDDAEKKKKGEKDEDAGPEKEDDEMDVLAMKKARLARALERFKLRGRNGGDPDIYAKMTERMQRNAKNRESTQLLLKLFERGIGFHHAGLNNTERGAVEVLFRSGNLAVLFSTSTLSLGVNMPCKTVMFGVDTLQLTPLLYRQMSGRAGRRGFDHSGNVIFMSVPTSKIRRLLTASLSNLQGNPPFTVIFLLRLFAYVHQQDIINEEGQKVSTMKQRAFAAKSLLEHSFSIHTRREATDGVLQKQLRMFSAFSFQMLRHLQLLSPFGEGKNFAELAIHSQSGASGTLLFIHLMQKKCFHQLLKKYDNPEKAQLVMLEILANLFTNVRMSPFHERTDNLEHVHVNLRGLPSGLVPFVEEYNQTVSGLYKRFMAASSKDGNLLDPAFTVSGKLDTDTVSLTDDFLVAPLFDLYSHDESFLPVIDFNKKDHRGRQIQRNAFAYDFYVHGSRALLMDVNKLHVSQAWFLLHDFASILERLAVGVHNMARPQDPLVLVLEELSKNYDEKFRKAFGMRTRD >CRE04693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:76666:77556:-1 gene:WBGene00055549 transcript:CRE04693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04693 description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:E3LYR6] MNVFRIAADMSHLLAIIILLLKIWKSRSCSGISARSQILFAVVFTARYLDLFTTYISLYNTFMKVVRSLITFLVATYATVYLMFFKFRSTYMRESDTFRIELLIIPSVVLAFVINHDYAPFELLWTFSIYLEAVAILPQLFLLQSTGSAEVITAHYLFALGSYRALYIFNWIYRYYTEDYFDPIVVVAGIVQTVLYADFFYLYVTRVVNTRKGLELPI >CRE04507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:78369:80543:1 gene:WBGene00055550 transcript:CRE04507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpff-1 MISENGYMDLMRNCIKWNSRQMEDRKKRLRFPYYEHQTATAQRESRFTTRTADHMYASNDPNTVMQFSTERWKKSKSHQPSDAVEMSMFLRENPSIQVAIDHMTPQVSNTIESISDNSNDSTSTRPIRQTQIKEEYREDYVLDDELSPDEMGSDEDDWSTRGKRRKGNMNTTQKGSSTRKKVPTTRSSASRSTPSRASVKEVKYEEPEEKMHQCDKCNAKYKSLAGLSYHQAYWHDKKSNQLLEKLLSPSVEISSNCDFCNGTNFMNKNTRLPEELVSCHDCGRSGHPSCLSFNENVTKIIKRSGWQCLECKSCTICGTSENDDKLLFCDDCDRGYHLYCLRPALEKAPDDEYSCRLCQIEFGDKASAPAKK >CRE04508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:86574:91953:1 gene:WBGene00055552 transcript:CRE04508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pxl-1 description:CRE-PXL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYR8] MPSDDRFADAVKPALEALLSDLQHTTEVLRRAHISDRRSQSRDDFDQTYDLQRTLDTQRRQRIFEKPRKNLWFVLRLFCLLSMSLFSVENGPEPNLPVYSRRSSRPSVQSLLSQVEEPIHAASSRKSLGPPSQAHSYSDVRSNGRSPSRDPLHSDSMIGTMNGELSSKHGVNTIPKGDCAACGKPIIGQVVIALGKMWHPEHYTCCECGTELGQRPFFERNGRAFCEEDYHNQFSPKCQGCHRAITDRCVNVMNKNFHIECFTCAECNQPFGEDGFHEKNGQTYCKRDFFRLFAPKCNGCTQPITSNFITALGTHWHPDCFVCQNCGVNFNGGNFFEHNGTPLCERHYHEVRGSICSQCRGAINGRCVAAMGRKFHPEHFRCSYCNNQLTKGTFKEVDHRPFCHKCYNNTYALTPA >CRE04509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:94681:96247:1 gene:WBGene00055553 transcript:CRE04509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04509 MSTRWLYNLKLFIILFFCFTIFFLLFYPEYTGNGHLGSYRTAFLSVTRNKRFLVAADEWRTCFSDKLKKSRQEPKEMWYWVKRATNQCRNQTSFSRIELTGVKNKDEMKYHVYSPSQEPSVVVTLGIGLDVKAETTLKNTLPFGSTFFAADPIFKGNGELYEPVGLYFPFAVGKETDVSTALVLKNGHYIKQVMPHIDIITFFKKFVKESTIDQFLMDNEGPEYDILPMMARGAEFDQNGIVVCQVNTEVHQADEERKTKFLGIMNTIIEDGRYAFMVSYATVHHRFFFINIEHPICVEKYFARFFE >CRE04694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:98468:99754:-1 gene:WBGene00055554 transcript:CRE04694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04694 MINRFLSSSVYFGFVISIILSTSSDAKIASKCRDTDMNCAVWVCYDVIVSRSKEKFQVATNSSDCENVELVSSHCQRSCQVCGEPIDPKYDVKLLPPKLKSIAWMVGRWRSEFGGKAFFPTIPKFTYGEQVDITIADNAEDAKTPLLNYTAFAWDINMPDGDPTEIHSENGYIAVDYDKEQEKEYVSLNTAMSNGFMTIEEGESGPNQVKFRLQRIGRISFSHDSAVRIVSFKWVKRERKQRFQMFREWTLLDENRLESRLLMTTTITRRLMEHTAVIYKKIYP >CRE04695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:116852:117504:-1 gene:WBGene00055555 transcript:CRE04695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04695 MDSCEDFDMCEDMDKIDLCFPTKKEDDSKPCDCQNIEDRFREFKQSTYKEIHQLSCALKKVGYSPLCPDVKRRTDLDYIRKCLDRMTADQAVFYSRAGEVLVGCYVTPLRPTEVQCGQGKLNLCQYFAAKWCYSIDDNQYVVINGCGAAYPVSELFIVRVPRPEAMQNDREVRAQKKRDSGMRWSIPSSDISGVQKVLRPNK >CRE07890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig535:2750:7606:1 gene:WBGene00055556 transcript:CRE07890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07890 MKRKTQNAHNSPGGAPPPTSLEPKTKKTKPESRSHEKEEEEATEDHTRTDMKRKHQPSSSSVQNQEGLSEEKKTKHDTKPERIVSRQRLKHGRGPQRKMQLRDFERSETFPTPEEEEFFTENVITSNEPHFRRHNSQFLIADTVRMKFVNLDKVKDNKILHQHVAKLLDIFIRRMLKKAGGNLKTTKYWLQLNHDGYTDRDGFFVTHKTYAVADGGVIMNEIAKQMQSNKELRLDESFTVAMNVFKDKQSQLRGRGTPGSRKSDKIEKIRKTLLKQHFGVSLCRITGTSHCLPKAFALGKLESDIQITTNVDQKQKMEKFYRSLVRPEVLLDSLIVFNMCVLQRTSAFKSEAQEKLARQLLVDAGMDVNKKEHDRKDLVQLANYLSNYQIILWTANKHQAVPTEEKRFNPDGKGFIGLFYYEGHYEHVNHTKGKQASRFCFQCSTFDDNQHCRRCKAKCKRCGATGCKQEDENIHCSFCNIYFRSKTCFDAHLTAKSKKGLSQCKKYSRCEKCNLIDRSERIRGETHVCNAKAYCSICREKALKGHTCAHHVPDEKEKLRKRENQKQWAIIVYDMECIVAESGLFEGHIERGPKHKPNFICVRMICSDCRGEEGCQLCAQPWTYSYKNFPPFKNNKHDSPLASFADFLLHNPRAAGAYVIAHNGGRYDHVMLLAELDRQGGTKAKEPKILLNGMTIITAEFEYEKRKLHFRDSFQYLQMGLAKMPGAFGLEGEAKGFFPHLYNHPDNYDKELKTLPAKEYYSPQFMAPSTKKEFDDWYEKCYHDGFKLHDELLKYCQSDVRILTLTLMSFIEMCEATFNGWNPIVNGCTIASYVMFVLKHEYIKKGDVGYVPENGYGGGNNSMLALKYIQWLEKIDPTLHLKYKLRGGEVKIEANGHSYFADAFNETTNEVFEIYGCVWHGCPKCYPDRDKKCPMRPDKTMEALYKETMKREEDILNKGFNLNSVWECEIYKEMEQDREMQKFFELNKYDQRLKPREALYGGRTQAFRSMAAAIEDILLNYYDFNSLYPYLNAGGTAYPRGNPIVVDKDFPNTDEPLKLKGIVFCDVLPTQDAAMGYLPQKIMKKLMFVLCRTCANNQNIEGKCTHTKVSERFLTGVWCTDELNKAVSKGYKVLKYHEIWHWPEDAWVKGGFFADYIKPLLKLKHESSGWPKENMTDEEKKAYIARIWEMDGVKLDPLKIIKNKALRSLCKIFLNSAWGKFAQNPMKVETRLIYNSDGLAMANFFNDPNFEPTGLLPYGEHKHFISRKPKKDFLKTSPFTNLAIAAITTCAARLRLTEAIERVGIENMIYCDTDSVIFKQKKDSDPLGNLKGDNLGYLTNEIPPGNELVEAVAMAPKVYALKIRDKNGNYSYTVKAKGMCLNSGNTGSINFDTMKER >CRE04511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:125055:125647:1 gene:WBGene00055557 transcript:CRE04511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04511 MPPKLSGNRGKRCNCMDPLRNIRSKYTSQCKMFRTFREIRYRGGLGIECGLLQDSETLRYRIADQLAKDEAIFYYKDGRILEYCEVITKCPSEVPSIQDGANLLEFYNSRLKENITDEQFVVMDKQRIYYPISELFIAKVPTPCSSCKNFPHSTTTIFPRLFFQNYLFPDRMKPRIPGKSE >CRE04697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:126754:128237:-1 gene:WBGene00055558 transcript:CRE04697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04697 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LYS5] MTTRNTGKKSRGKKAGSNTTTQRSKERATEREREGSQKTIVEDPTNLEKKTQRKQILKFVKNTLEKGPPGLRNEFGSMKRFNDFEKMKAFKGAQEMGKNRYKDVGCLDNNRVKLNNPPWTHDYVHANYVATPSNPKRFICTQAPLEKTCADFWYMCLQEKVETIFMLCNLTEKGAKKCFEYYPSKDKESVEFEEKGVKVTVKLESPKQEQLKFDKNSDAKVMETVFIVEGPGGATQKTTHYHWIDWPDRGVPTADMAIIELLAKTRASKAPIVVHCSAGIGRTGSVVMIEYIMDQLLSGQQIEESDKILQKIRDQRNNSIQTEQQYLFVHQVMMNYFMEKKLFDSAVKMAHLAFTEQYLKSVH >CRE04512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:128906:129311:1 gene:WBGene00055559 transcript:CRE04512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04512 MFSANLFLIILFASSSFFNLVSSHPLIGIRDDLSSEFVGVGLRDDVALSIHKRRVEKPTESPFCTEHRQTFEDYCNNMWTDRPSRIQNALFTFCPGYENRCLH >CRE04698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:129531:131994:-1 gene:WBGene00055560 transcript:CRE04698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-10 MGDKVMSIPVPQEAGAHPDAWRKANEELMKVRSLNLSHCSIELNLFFKVQGGATKKSTASYGDSNTGQNSWMNNPFPYPSANPPSAYGQFGGGWHPSTWNPYVPPPPPPQQVPATAQPVYNQTSFNYPSGQQQNWNNGYGKGRGGGSGAGAGNWNQQQKPQFQPFALNRKNNASGIPHISNTFVPQGGSGPQPLMGAGRGRGAPMQQAGGPKPTPPSAQRYMNRAFEAANSAEDRLKTQEYLAKRLQPLLNAGNAHMINWDIEPLPHEKNFELTTAWTPANKLPHQANGFNRKKSPQRRRSDEFSNGGDSGGSQMKRARRDSDGASSDSDNSDPLEILHFSSTTQVFELDGKWGGKKLGHGNICDFTLINNSSNDDKFVLKNSNHVQKKTKAQKKAEKRARKADKKQKQKQPTPQKVKEPWKANGADTQAKKDDRARRFAETLPNVSKPMVSIVQQYFRRGQVVRGTCQNIEKSFFRLTAAPIPSEVRPLEVLRLSLQNVRDKYRAKAEYSYLTSQLRSIRQDLTVQRIRDEFTVEVYEINARISLENADREEFNKCQSQLKLLYAEVENCPNQAEFVAYRLLYYIAMDNKIDINALLRELTPELKEDKCIDFALNVRRAVTMNNYIKFFKLFKSAPRMCPYIMDLFVDRERKKAIGIITKAYRPTITYKLISEFLNMKEYDLVDWLEEELKWTDVAVGGVFDPKIPRNL >CRE04699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:132449:133197:-1 gene:WBGene00055561 transcript:CRE04699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04699 MTDNKVNITDNLESLKEGEIVTDEKTGKKYRVKKNIMPHYSAGGPHGLGDPEDRTLRKIEADVIIPNRMNTRIERVECNESYLGLVSCFRTDGAVSGLNTCKPALELFNRCKYEKFHDPAFRTKITDEYIAERSAARASGMTSQQRKLEEFREWKKSNEGK >CRE04513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:134057:135135:1 gene:WBGene00055562 transcript:CRE04513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-21 MFWAFFIFFIHAGLAHSLSTKCTSDNGIFCECSQENSEIKIWNCIRPGGWSAWSKWSKCREGVRKRRRNCNNPLPIATICYGAKVEKQKCAVSSNIPEYLFGEWTSWNPWSRCDCNSNQRLRSRHCKGNSCEGCDKQYEDCLPGSCPVSKKWSSWTDWVDYGSIANLCQLTFLCNLGIEQVRYSAWCSSSNVANVDVGFRTEVQESNKHAEWSEWNMHPGVTYRYRILRNSSISIEHHLLSRSTSSCLPLYFAIPIFCFSILTGFILQNIILCVVNRCQRKFSKLTYSYDSDPRDYPSHLIRSPKDESFW >CRE04514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:135527:137285:1 gene:WBGene00055563 transcript:CRE04514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aldo-2 description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:E3LYT0] MATVGGAFKDSLTQAQKDELHQIALKIVQDGKGILAADESTGTIGKRLDAINLENNETNRQKYRQLLFTTPNLNQHISGVILYEETFHQSTDKGEKFTDLLIKQGIVPGIKLDLGVVPLAGTIGEGTTQGLDKLAERAATFKKGGCGFAKWRCVLNIGTHTPSHLGMLENANVLARYATICQANGLVPIVEPEVLCDGEHDLARAQKVTEQVLAYVYKALADHHVYLEGTLLKPNMVTPGQSSSSKATHEEIGLATVTALRRGVPAAVPGITFLSGGQSELDATANLHAINTAPLGKPWKLTFSYGRALQASVLKAWGGKDENIAAAQKTLLHRSKANGDASLGKYQGEDAAGAAAESLFVAKHSY >CRE04700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:137359:138344:-1 gene:WBGene00055564 transcript:CRE04700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04700 MNDDKLRSLFASLATLTQQGPIPVQAYSMLAGQHSTEVLAEHMRLRWLSDGRFAPLAANILMHIHKADTKDISLSSNVLALLLNDYRNRLSVRNDSRLMFRNSVKTLFAMYPVYVKMDECVSKCFIKPMFSSLDALIDDGPDTDDLETAGILLSENGKILHDLNSYLVERIIVKLRHKLISEDPVVTKHVRQIFIHVYDLWAFGWSELNIPECLLTLSAEGKLSIVDQTPLRKNDISKQEFGSKESII >CRE04701.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:138868:140037:-1 gene:WBGene00055565 transcript:CRE04701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04701 MNIAQNNRLEQLRAVEADLLCPPPADPFQCFPTGWTRAANLKENEWIRMSNREKPMSPPPREPSPEIRRAMRRAAPPRNNRPAPLQVPERRALRQLNGVPNRRPCTPPPVNRSNPEIAVPVENVAEPRRRALSPTQLPGVRAIPKDYNRFFRGLLAPGEYFAKSQTRYLGPFDEMPTEATLPSNDDYVILQRMGRLPDSGKSIFRQVGTLST >CRE04701.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:138514:140037:-1 gene:WBGene00055565 transcript:CRE04701.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04701 MNIAQNNRLEQLRAVEADLLCPPPADPFQCFPTGWTRAANLKENEWIRMSNREKPMSPPPREPSPEIRRAMRRAAPPRNNRPAPLQVPERRALRQLNGVPNRRPCTPPPVNRSNPEIAVPVENVAEPRRRALSPTQLPGVRAIPKDYNRFFRGLLAPGEYFAKSQTRYLGPFDEMPTEATLPSNDDYVILQRMGRLPDSGKSIFRQVGTLST >CRE04702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:140070:141959:-1 gene:WBGene00055566 transcript:CRE04702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04702 description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:E3LYT3] MTVSQPVKEHSKRRVAYYYDSNIGNYYYGPGHVMKPHRIRMAHELILNYGLYRNLSIYRPTPASFKDITRFHSEEYVNYLKGANLDNVTSFGINNQFSSVLTNDDCPMFDGLYDFCRLSCGGSLAAAVKLNKKKTDIAINWMGGLHHAKKSEASGFCYTNDIVIGILELLKHHKRVLYVDIDIHHGDGVEEAFFTTDRVMTVSFHRHGDFFPGTGDITDIGAGKGKLYSVNVPLADGIRDEAYKNLFEKVMDEVMYRFQPEAVVLQCGADSLNQDRLGRFNLTLKGHGNCVSYFRSRGLPLMLVGGGGYTPRNVARCWAYETALAVDMEVSNNIPFNNYYEYFGPDFQLHIDQRPVKDDNIDLDKKIETILDNLKLLNPVPSVERKTSPPDAVVPDEKIVVEYADPDVTLPQELEDSIIQHEGEFFDHEREGDDRRNEHNGRLSAE >CRE04515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:142398:144067:1 gene:WBGene00055567 transcript:CRE04515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04515 MDNSGKGNSFTYHDIRKSQPHTTDETTVETQENEATGTDMDIEVDIYNEDVDDFYIEVVEQPSGLKLPKADSHCDRKNRKMERIAGSISSVKVDAIEVGTSVILATSDRTLTHPTVDKAVKNGIAEATFLGDISIEALVEGMLVPSAADGRFAMLGTSVMWNALKSNIRDLKSSISEQTAQSFLEPRFDGIDLQLANVPNYERSYRIQAVGVFSNADLGYVYSLLKFRTSAKLLNKTKQFLKAYFIVACRPRCMIWRYTLRRSGNSHKKAILNLPQEIIQTVLTFLIDLTGIGDGSKPLESLNRSTPFYHSLGEDEAVRQQRYYEACKLKDLLMSFFRETITDCLNEIREMPFCLNTGTMISPLRGRSQRNASVMWKDYESYLKTEHDLPSEANMKKRIAFEQKLCDIVPRGIHVIRR >CRE04516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:144372:145010:1 gene:WBGene00055568 transcript:CRE04516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04516 MWRQVKGAEKFNNGGFTACSLLVTHFELKIAGVDPKKKIEVGSFRVSPTGEQKGLKEIGYARSESHLTEIIEHVCDEAKQYKLVVNTLTGKSVYVHKDATYLKGDESSKIRSRLQNACNDFIDSHEDELLTFLKTAHEEPVKTFCHYEIGVCSSVDVAALPPNEPPKNEEEPMNLSDIPDDEL >CRE04517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:145269:146799:1 gene:WBGene00055569 transcript:CRE04517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eng-1 description:CRE-ENG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYT6] MTITPITTLEELWNWEKREDVGNEYIEPLAHYKSHSGPQILVCHDMKGGYLEEETVEGKQFENEKYPYMFLNWWEIDIFNYFSHNFVTIPPEGYTKIAHKHGISYRTLSIFKLPEFPGVLSLGTFITEWIPGKEICSKILESEEAMERTVASLVAVSNHFGFDGWLINIENEIDENKVDLLVRFCASLTRKSKQINSNSKIIWYDSVLHSGKLKWQNALNSENNKFYEACDAIYLNYNWKDGDLLRSAEFGLLERIFVGIDVWARGCVGEFKCDQSFALAKLFHMSVALFGPGWIYEKFSEENQIYKGIQFWSKLYPHVKTRPLTSGNLSTNFDTGMDGSWHYRLSNVQLQPQNITTSCHQVIGKGLTLFGRHRKFLIFSFDNITFESMKIVCESDKPIEMILNRTELISKMNEHEWIYKSSGILESISIQTTSHDETVIRIFSVISH >CRE04703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:147054:148491:-1 gene:WBGene00055570 transcript:CRE04703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rabn-5 description:CRE-RABN-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LYT7] MSEPSSSEEVSQEAKAGEQANTAVMKKVSQVSPNPAFQCEMCQNYEVNLTTMQENERKLKEEVKAAMELAERYQLDLSNERDYRKELEKKMNELSTNCLNQVNEAAKINAKSEQRLDELSAKHDKSIEVFTDQLNLARDKLQQADDDFITLGKKYKKLLGSTRKAAQELRSEKIDLPADIDQLQFICLKNREELIETRAAKEHTEQQLQDEISILRAQIQEERAHREDQEVTFTAEISRLQSELGSVNSKMAQVSETITEQTSNNRQIRDLQATVAELENQVQQVQNERSAVEQTAQNYKQRCTSLQQELDTSEVVQRDFVKLSQSLQIQLEKIRSSEQEVRWQWDEDVNKCSNCDTSMVRLKPKPHCLHCGKIFCSNCLKDTVPSGPSNRLANVCSVCHTLLNRDSKPFFATQDT >CRE04518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:149413:150974:1 gene:WBGene00055571 transcript:CRE04518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnpp-1 MAATLKPNAPEIRKAAQEFINYLNKAVTPFHATQEVKERLIQAGFKELPESGHWDIQPTSKYFVTKNRSAILAFAVGGSYKPGNGFSIVVGHTDSPCLRVKPISHQKSEKFLQVGVSTYGGGIWRTWFDRDLSVAGLVIVKNGEKLQHKLIDVKKPVLFIPNLAIHLETDRTTFKPNTEIELRPILETFAAAGINAPVKEDPKGEYDPRSIVSNHHTQFLGLIAKEAGCQPEDIVDLDLYLYDTNKPAIVGLEDEFISGARLDNQVGTYTAISGLLESLSAPAFQSDPQIRIAACYDNEEVGSDSAMGASSAFTEFVLRRLSAGGSTTAFEEAIGKSYLISADQAHAAHPNYPAKHEENHRPTFHGGVCVKTNVNQRYATTATTHAILKQIAFEAGVPLQDMIVRNDSPCGSTVGPILSTKLGLQTIDVGCPQLAMHSIRYVLFLKFSFNQFIYSEFADTSSIFQATTLYSTFYERLATVLANIQ >CRE04704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:151134:153455:-1 gene:WBGene00055572 transcript:CRE04704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpf-4 description:CRE-DPF-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LYT9] MTTEAVYGSWSSPITPDLFGKCNCKSICELQVVGDHVYWIEQNAVTGKRELYSKPTNGDQITRWADGFSVQTAVHEYGGGALHVLQDGSVLFATVEGVFYQKSADSGVEQLAEANNRTFRFADFSVTDSHVFCVNENHQGDSKFPENRLISIDRSTKNQSVVASGADFYAYPRVSPNGNKLVWMQWNHPNMPWDETSIKMADLNGGVTSNEVTLKDGTGKQINYSEPTWDGEELLTVNDSTNWWNVYRSAAEPNALEKNLNPIQREISYPLWQLGFRNYVINKKYLVMNADGVLYVRSGDVTVEIPTPGYTVFGYLSIDPNGSEIFAIASGPKRASSVISIDLKNKDFRLKVHRESRNSSDIDSLEISEPEEIVFKSGGVNVSGYFYPPKNSSYTAPPGTLPPVLLLGHSGPTAPAQNNLDLKKQFFTSRGIAVFDVNYRGSTGFGTEFRRMLYKNSGIVDRDDVLNGAKALIEQGRVDENKVLITGSSAGGFLILSCLISPNNIIKAAVSLYGVADLLGLDEDTHKLEQSYNELLIGKYPEEASIYEERSPIYHIDKIRTPIAFLHGKEDTVVPMNQSVTMFEKIRASGVTTALMLFDGEGHGFRNGEVIKESTEATFYFLMKAVGIQPSISSKIEIFNKKL >CRE04705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:153542:155505:-1 gene:WBGene00055573 transcript:CRE04705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alh-9 description:CRE-ALH-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LYU0] MNRFLNKGMVATLQRRMASQLLINDSKYAFLKELGIKENNAGVFHGKWAANGQTVQSFAPANNSPIANVQNGNVKDYEIAITEARKAYNDWCEVPAPRRGEIVRQIGDKLRTQLQNLGKLVSLEMGKISAEGVGEVQEYVDICDYATGLSRSLEGKIFPSERPGHALLEQWNPLGVVGVISAFNFPCAVYGWNNALALVTGNSVVWKPAPSTPLTAIAVTKLVEKVLVANNVNPALCSLVCGEGDVGQALVKDKRVNLVSFTGSSEIGKIVGQQVQARFGKLLLELGGNNAIIVNEDADLNMVVPATVFAAVGTAGQRCTTTRRLIIHDKVYDQVVERLKKAYAQFESRIGCPLDSNTIIGPLHNQQAVGKYKASIAEAIASGGKIEYGGKVLEREGNYVLPTIVTGLKHDDPVVLRETFAPILYVLKFSNLEEAIAINNEVDQGLSSSLFTTNIQNVFKWMGPKGSDCGIVNVNIPTSGAEIGGAFGGEKETGGGRESGSDSWRQYMRRSTCTINYSKELPLAQGIKFE >CRE04519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:156849:159010:1 gene:WBGene00055574 transcript:CRE04519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cct-6 description:CRE-CCT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LYU1] MSSIQCLNPKAELARHAAALELNISGARGLQDVMRSNLGPKGTLKMLVSGAGDIKLTKDGNVLLHEMAIQHPTASMIAKASTAQDDVTGDGTTSTVLLIGELLKQAESLVLEGLHPRLVTEGFEWANTKTLEFLEKFKKEVPVERDLLVEVCRTALRTKLHQKLADHITECVVDAVLAIQRDGEEPDLHMIEKMEMHHDSDMDTNLVRGLVLDHGSRHPDMPKHVRDAYILTCNVSLEYEKTEVNSGLFYKTAKEREALLAAEREFITRRVHKIIELKKKVVDNSPDGKNKGFVVINQKGIDPPSLDLLAAEGILALRRAKRRNMERLQLAVGGEAVNSVDDLSPEDLGYAGLVYEHSLGEEKYTFIEECRAPKSVTLLIKGPNKHTITQIKDAIHDGLRAVFNTIVDKAVLPGAAAFEIAAYVMLKKEVETLKGRAKLGADAFAQALLVIPKTLAVNGGYDAQETLVKLIEEKTAAGPDLAIGLDLETGEAVEPQGIWDNVTVKKNSISSATVLACNLLLVDEVMRAGMTNLKTPQPE >CRE04520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:159303:173470:1 gene:WBGene00055575 transcript:CRE04520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddx-23 description:CRE-DDX-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LYU2] MAAEPPSLEELLEKKKQEENELAKVFGSFLYSGFSVLKQPDFQPKFLSKAERAALALKRREEEVAKIREAQKQAEDARKNWGRAEKPADDRKERDNGRLDRGGDRGMDRGGDRDRDRDRDRRRDRRDRSRSPRDRRRSRSRDRDGRRRDRSRSRDRRDRKDDRKRDRNDDSDQEDDTGKMADAVKDRYLGKQKEKKKRGRRLHEKKFVFDWDAGEDTSQDYNKLYQSRHEIQFFGRGSVAGMDVNAQKKEKNSFYQEMMEHRRTEDEKEQEKSRLEKELKKEKKVAHDDRHWRMKELSEMTDRDWRIFREDFNISIKGGRVPRPLRNWEEAGFPSEVYQAVKEIGYMEPTPIQRQAIPIGLQNRDVIGVAETGSGKTAAFLLPLLVWITSLPKMERQEHRDLGPYAIIMAPTRELAQQIEEETNKFGKLLDIKTVSVIGGASREEQGMKLRMGVEVVIATPGRLLDVLENRYLLLNQCTYVILDEADRMLDMGFEPDVQKVLEYLPASNMKKDTDEFDNEEALMKGFQTRQKYRQTVMFTATMSPAIERLARQYLRRPAVVHIGSAGKPTERVEQVCFFAYFIFIVYMVTSERKKKKLIDILMVETDRPIIIFVNQKRGADVLAKGLVSVNPEFRASVLHGGKGQEAREYALQSLKSGESKILVATDVAGRGIDIKDVALVINYDMAKTIEDYTHRIGRTGRAGKHGKAITFLTQDDTAVFYDLKQVLLESPVSSCPPELANHEAAQGKPGQYTSKKRQDETLFLK >CRE04521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:174102:176639:1 gene:WBGene00055578 transcript:CRE04521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-15 description:CRE-MDT-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LYU3] MSEEDWPSPKFREHVIQRLEPELARNRQNAPNLPVPGDARQVEEYVFAKCMSKDEYMRTIAKVINAINCNSKSAAVPSVLQPSQFHSPPCTTAVLGGTTPVGSTPGYRAPVPPDPQPTSAQARNPPSTVATTQASTTPSSQSTVPAGTVSASAAVAAAVANFPSPDTALRVGGQTTPGSQSSGQSSAPNVPFPNGGPQQGSVPMNGGGGPAMGQPPPQMGAPNMGMGGPPGGYGGYGMMNGPPGGGPMGSNPYNQQMKKEMEQNRPWDPQGHMYQQPQWGGMPPQQGHGYPNRPMNGQQTTPTGTSSVLESLINQPQQYPGHHNQMGPPGPDRNMPGNRPGGGPGGPQRPGMPVNQAMMSVEDQNVYSMKLRNMRGSCESLRTRARQCRQEGNHEAAHKLEVMLSVLEGKRVVSLEYLNHLETWIARKQDFLNINQMAQNPNHMGMNDPVMNGDHGMMGNGQVHNPYGGHPGYNHGQYMGGPPPHMQQQMHQSQQMWHQQQQQQRMMQPQDHMMMGGGGPMHGMYRGDMGHDQMTSPVNNHRHAPYQNPAMRNNMRQMPNGPGQIGRDRNSMSGSMSGPSSGAPSMNPMGTPNQKMGTPGSIGGLSGLDDFTYDDFLPNPIDALQPTLHVGNPSNSMNSGQPVQRASLTETARKELQALEARFEIDPNHQRHDANHILVSCKLRNQPYPPLRLVVPTTYPAGSVTVDRAVIDLDAYLYDDLQNVVNERLSRPGLSSLTDYLNAWEEQVNQYLQQNQSNMDPSFGVSNDFFYENLNL >CRE04706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:178477:178602:-1 gene:WBGene00055579 transcript:CRE04706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04706 MEHVAIMSVAIVSDIGFIQPVSQA >CRE04707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:187212:190222:-1 gene:WBGene00055580 transcript:CRE04707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sma-4 description:Dwarfin sma [Source:UniProtKB/TrEMBL;Acc:E3LYU5] MTSQPSTSNPMYYDQLYGAEQMIQYTPMDYQTNLLCGMQYFNNSHNRYQFPVPIPHMSSPYTVSHHPPNLHNMHTTNSLDESPPFNGFIGTMLIPPPQIAYNNNSIFTPPPPPAPSQPSQPPQPTPQQQAQQAASSQPPPSQSVSHQTSSHSQNAGAVLTTAMDSCQQISHVLQCYQQGGEDSEFVRKAIESLVKKLKDKRIELDALITAVTSNGKQPTGCVTIQRSLDGRLQVAGRKGVPHVVYARIWRWPKVSKNELVKLVQCQTCADHPDNICINPYHYERVVSNRITSADNTLHGGDIPMKNEYMGEQGMIDGSYGDWPSTPPDNNFNGGFPTSNVQHTSPQLPPLISDIPLDLTQIIVPTPSQPLDNWCSIIYYELDTQIGETFKVSALDHGKVIVDGGMDPHGENEGRFCLGALSNVHRTDASEKARIHIGGGVELTAHANGNVSITSNCKIFVRSGYLDYTQGAEYSSKAHRFTPNEATFTVVFDIRWAYMQMLRRSESSNEAVRAQAAAVAGYAPMSVMPAIMPDSGVDRMRRDFCTIAISFVKAWGDIYQRKTIKETPCWIEVTLHRPLQLLDQLLKNSSQFGSS >CRE04708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:197107:198917:-1 gene:WBGene00055581 transcript:CRE04708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04708 MDLLDLYHAHDLSLATPDSYEVHFTKRKEEGEVKEEKEVLTSENSSPDSKGKQKKTRIVPWEPYKAAVAPDKKGDACPEKLPELIAYAMNSKSEQNNNILMRKELIDGRKYRKSLEPMATSEREIELEREIDLLRKELNIEQKMNSELKRLMIATLSDELQGQVEALTEDKVRLAYRVDEYMGKLMVEDEESDRLRIDRDVWKCKFLAQSIRCDELNSKNEYLLKTLVGVQHAVRTKNVTSDEVRDFVQLDLQPLFFRSPCEERVRPITAKYTNLTISCCRNCSGRDIQLL >CRE04709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:199060:199823:-1 gene:WBGene00055582 transcript:CRE04709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04709 MFSGSIQKLETLTELDLIKLSNLGPRSLQHAQSVSSSSSTLMVFHRTYTETSPPPNSSNRLPYKSIRLANTQIVTEFIQYGELPTFKKQGCRSTNNPWRRYGALRKLCETGGFAPNSGSRKERKNRDSLVAVKNVKLPPPPTSSQMTLNKVRDPGQYEIRLALKNPKNVTVDVAAQNLAEQVKVECERRENQYIFFLFFRHLFCIMDTSWSKMSNLFRMFKRNKLKFVPTNKYCISSCL >CRE04710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:200191:201942:-1 gene:WBGene00055583 transcript:CRE04710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-him-10 description:CRE-HIM-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LYU8] MSNAKSVVLVMFDPRKISTTLNQKLQVGVTPDNILNPTAEIVQQIYLNFVRVVINISENSLHTLPLNADSDFDQELHKKSIPLAIVYQSMKAFIKDNSGGKLDLTMCDLVTPGKNPQRFRKLSSFLADFIKLDEIAAPIFNEISEEFSDQKVEMEALQEEIVAAEKRKDELVARQSQRRRRENELMDDHNKKKSELAGIINQYTEIGVKTEELEKQKNELIRQIEETEKESITAKKTVELLNEEVLASPEELRQEMTERKKQIEDLKESIITAKQALQDKLEARDICANADKNVPVIEQKIQAWAEEREDILDLMDEVDENLRKLSEMEEQLTFTTDKKSNHGKRMIEQAEMHEQLRREHLQRSEELNKNIEEITGQIAALGKNQPSVSRDIEEKRQELLALKNAYSEQLAKYRNSSRDSFNKFRKINALFNEVQRVSLEKKNAMDRAKNRLQNMLIGRLPSDYTFSTSSINDSENCDPISPIESDFSVFKN >CRE04522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:202178:204004:1 gene:WBGene00055584 transcript:CRE04522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04522 MEKAIHKFPEWVSTKIVNFYNEQGIQHLFNWQGSEFNLQCANKCWEEYCGGAFRLDYRCQTLNHCNFSAWQVASTGKKVLFVLPYISVAREKLHQIQKCWRRDDIAVCGFIGPQASNPNEWIGAVCTIEKAGSLTNRALSEEWFHEIGMIVVDEMHMVFDSSRGAHIEHMLSKILLWNQQSEEKVRIVAMTATMPELRRIGEWLNNAHVYEASFRPIMLNNNIIIGSEMKEINGDNRVVRVFSEDPLINLAEESFRQNCQTLIMISSKLEAEKTALSIAMKFQQLKLTDDSMMERLKERADGLLFIRNGLERHGCKDRNIMAALAWGISYHHAGLTIEERECIEMGFRDKNIIILVATSTLASVQEEFLPMT >CRE04711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:204441:206222:-1 gene:WBGene00055585 transcript:CRE04711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-10 description:CRE-CEH-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LYV0] MAVEEMQLAASMQMNNQLSNSQRMSFAIHEILGIQGNAYLSHGYCPQGTFFPNQPNFMDISTCGVSGFDRPLCGTDGLGNIPTTAPLMYRMPTSTSDVLTVEPQTQVSSTSSSSSGGGVGSNSTGSGGGGKSSKRKKRRHRTIFTQYQIDELEKAFQDAHYPDVYAREVLAGKTELQEDRIQVWFQNRRAKWRKTEKTWGKSTIMAEYGLYGAMVRHSLPLPETITKSAETADPQQSAAPWLLGMHKKSMEAAAHLESVEKCDMSDSEEDEPPIRQSIKTEYKSSNRERTQDHQLQQQQQQQQSLNFDAPLVDTSSLSQLHFQNTQMIPSNNSLKLYHDYTNSM >CRE04523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:206606:208660:1 gene:WBGene00055586 transcript:CRE04523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04523 MRESYLITKKCDEEAVQKILETPIDQVIHTKNRDTDRTNLSRFVLEGICTGLTTTKTQIHQLCSLLFFSSENLNRCDDSIEMLLANSFISVEDEMFSPTQLGRAAIASSLPPEASLAIFEDLNSASRAIALDTELHMLYLVTPINVTVWQECDWHHLFALFSKLPADHRRIAKLVGVSEKFILDQLQGRRNNKMLQVHIRFFSALALFDLINEMSIYQVSHKYRIPRGCLQTLQSQSATYAAMIVAFCLRLGWTYLKALLDGFAMRLLFGIRSELSELVTIEGIDGQSCEIIKVIESISNWKHDYKISVQVFD >CRE04712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:208715:209221:-1 gene:WBGene00055587 transcript:CRE04712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04712 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LKF8] MAQSVPPGDIQTQPGTKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE04524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:209608:212733:1 gene:WBGene00055588 transcript:CRE04524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-polq-1 description:CRE-POLQ-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYV3] MPVWCWVLSNSNDGLGEWLFGEPRMRVSEAARLLKDRARTSLMRRVQELGITLELPKIEQEDKEEDDENENIQESCDSGLPDSFPDMDIVEDVEIVEEVTKSVTEMSLTDTISDDDLFKIEEDVFSIEKEDIDDSEIVEETVIECLETSLLKMKASKDEVFLRRLSQTFSPAGRRRSTLNGSFLEDSFDMPVPGSIPFTLKTPGREHKLILEEPKTAPPYLEDSFDRSVPGSVPIGFSKRKSILKSANRLDTSRRDSMNSNASENKSFDVFATPPTRQEKHRFIGKHCRVADSVDSPLSSFVSSPALKHPKLDPDKFRAEDICGSLNSWKTWMKSAASTSSCSISISDDQSGIAIRTDTGITFIPLSEEFDGGIHQNFKNNQSEIAAISPNPQCFESFSRCVIPLKTRIGCLNLLTESVEVFLMTMQTAFQLFQKFGIKISHVKILRIAAHLNNLIECETEVNGDMFTMLLERFPHNAATQEMYSFYLSSIFKSTVEVFAMKRIFDDLKVSAIQSSSEESFHLEMSSCQSVLNMFYTGISFDHSKCNSFITNTRQRIELLEEEIWRLAHGKFNIDSSNEVANVIFHRLGLIYPETSSCKMKQRHLPTNKLILEQMKNQHKIVGKILEYRHIQHTLTQCLLPLSIYSTRIHCRMEMCTATGRILTTVPNLQNVPKRVSTEGLSARQLFKASSGRILISADFKQLELRVLAHLSNDSKLIELICADRDLFGELSVEWNFSRDVVKQLCYGLIYGMGPKTLAELTKVKVAEAEKMLCSFFSMFPGLYLYLSNKGTYLYVTGVRSYINETKEHVSKEECVQTILGRKKFLKSGLTGEEKARVERVAVNYTIQGTASEIFKVAIVEIESKIKAYGARIVLTIHDEFLVECPENRTPVISEIIRDCMQSSLSDILRVPMKISLKTGTTWGDLK >CRE04525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:212909:217905:1 gene:WBGene00055589 transcript:CRE04525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04525 description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3LYV4] MKFCIRNFVCEHSQEVPGPMDNIFSFKKNKIPVFHIYGVTDSGQKACLHVHGVLPYLVLRVGGKLTPTVVAAMKAKINKGIEKEIETSTGNTKKYNADYVYKMETFSSRSLYGYQDEEEYFVRVYFSSPWYLQKATHSLGKEVVDKPLFQPYEAHLPFHLQFFIDNSIFGMDNIHLNQVKFRICSEDPDDDVLYQNLTVGDVKKDDNLLSPYERKTNCHVECDALVTDILNLQMLADNVHSSNPGLEYIWREEKERCDALGIELKDVFNSYEPRKSTVWPQEREMLRTARKMAKRLRQERCMSEKLDDLMTTRIAETQTASTSDEASNVTIWERPDEKEDESMEVDETERRRSREKTPEELDEERQKEEDREEIPEDDENDPGVQEAEMTMITDSQRAERGKNNDGVEGEDEEEDVMDLDDEDDDENEDEDEDEVDEDKTIENLEDVSSGEENNDEVIQWITTKSAFNQHFISMNENLYEAKKVDPKRQFSREMTACSTDSDGTVVAPAEHSQPLEQTKLLSQTSVDEPSSSEKSGESDIVGLCVASLELLVDTKMPMPNFVFDPIVSVSLAIYDDVCRESSPKLQVLLTNIPNGPSHFDRRVVFCETELEMLEEVVKYVVQFDVDVLVGYETLCLSWGYFLRRIKIIGTDLSMDRTKPEPFEEGQQKNEDEISVVPPKGRLLVSVWKVVRSDLKLRNYDLGNAVANVLRRKIPMIDNCTLMKRIRGNRSTVRNDVFLHLLKLSSVNISLLIEMNWFLKNAEMARVYGIQFHEVWTRGSQLRVESMLLRLAHRMNFVAPSITHLQRNIHLFRMGSPEQLQLILEPQSKVYFDPVIVLDFQSLYPSMVIAYNYCYSTILGKIANLVQMSNETKNREEIILGAIKYLPSKDDLVKLVAYKEVTASPLASMFVKKEKREGVLPLLLREVASSILAARIMVKNSMKRIKSKKLKRILDARQLALKLVANVSYGYTAANWSGRMPCAELADAILGKGRETLERAIEMVQRGEYGGSEVIYGDTDSMFVLVRGASVEEAFEIGRRIAEDVTNANPDPVVLKLEKVYKGCVLETKKRYAGWMYEHENDEGSLDAKGIETVRRDTCPIVSEVLEKSLGLIFSQNWKTLITYLNTMVLSLPNENFSKFVFCKEYRGDYSQKALVPQKKLAESRLRRCPSHITLRGERVPYVIIDGVSGSTVYSCVRSIESFTRNPEYKINTYYYLNAHILAALRRVTDLIPMKIDFLPLASDQCFVTDCARIGKTPWCIECETNPEELALSLIQFGRENRARSQIRQICGICQSSTNQITEEQVLECTNFSCLLRQTLSIMNRANTESVIMSHTLF >CRE04713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:218144:220007:-1 gene:WBGene00055590 transcript:CRE04713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04713 MADPINLHEDEQPFELSPFILAIMKIAREEGDYISEQTLRELEEKVTPEIVPHKHAALVASPKRIEITQEHLDTVKKVVDVVKVMVKVGSFLSEKVGKYIGPIGTVAGVVKDIVEYFEPKKDDPVLTELGELKKQLTAVSKCLLMSQSNISLQLSQKMTAHFDDLKSFVVEQDFYNRYTISISTLYEYMLDTLTERTNESVKLFEEVYGKNKPQQLVYDMLIKLELEAANPLKWAMKGDNLQSKETFNKWKNILESVLTEALFLEIYASGLLQGVGSHGVNRILEKIARYDELVKQWNEHYLTTEDFWPKGVETLVNEVHENRNLTSKDDKIEVLWKGIESIHTNFKFYAAVFPRDHIWHHYKHYESQAIVSDREGFVIVVYRSKVKEPYVRDRAFLRRCVERDDLRQRHTQSWQFNHWQIVRNYYNDYPIVENSAKFYGTFHLVVAQSKSIIASRYSETENSPCGPGYITYDAELEVFDGFTRSTFPLFLLHGL >CRE04714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:222530:224391:-1 gene:WBGene00055591 transcript:CRE04714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04714 MSESENVESKDVENPIKLSPFLVTITKIAMEEGDYISHQTLTELEEMVTPNKIPQKKGHVVVRLGFEITNDQLDTVKKVISVVKDCLEIGGFISEKLGKFLGPIGAVAGLVSDLIDLFEPEKEDPVMKELGELKKQLTALSQKMTAHFDDLKSFIVEQNFYDKYTTSLTKLYMYMLDTLTHRTKESVKTFEEIYRKNKPQELVYEMLAKLEQDSTNPLRWAMKGDHLQSKATFQKWKNILEGAISEALFLETYASGLLPDVGKHGINMILEKIGRFQEIVKKWDDYYLNTDDFWPKGVQKLVDEVHENKSLSSKNDKIDEIWKGILSIHTNFKFYVVVVSTDHIVRYYKHYEDQAITSSREGFHIMVYRSARKQARDKRWLDQYMFENMEESRSETFQFDHWQIVRNHLSQDNIDKIGKYLGTFYFVVGQSSNHIASKFSIMDGSDGPGCFTFSATLECSDGFSSTTASLFKLYGN >CRE04715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:225031:228161:-1 gene:WBGene00055592 transcript:CRE04715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-23 description:CRE-CUTL-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LYV7] MRRLVAIPLLILTVTFQAEAVKIIDNGLAPPEIVHTPVSTKPRCKVFAPPLDLIFILDSSGSLRDKFQDEIDIIRRILKHVTIGKSATRVMLIQFSGTQHLEFNFEKFTDREELLAALDVLRHVSGITRIGGAFEFALQQLKSPGSGLRDGSVPKIVYLLSDGRTHDYPKDWQMSDVLRRFLIYYHDFKYRNFSREIPNIDIWAYGTGDYVAMAALANYTQSEQKIVTNQNLQKLEPQFEKYHGTEICEQQPTCVKGSDKPLDLALVVDASESLDHLFSDQKKFLVERVLGNINIHPEAVRVALITYSGQAFVHFKFNSFLYGNNTSVQGFVKNIRSIKGTTATNVALMDAFDLLTSKDPSTGVREGVPKMALVLTDGHSHKSPKAISEKMRAAGIIMIAVSVTPRPLVDEAELRLIAGDDKRAFTPPNLHVIPFLLDHLTFSFFQDFESEFMKYVGFGCDGIELPPDAKPQVRGATDVKCTEHSMSIVVRTQRALQGVMYAHMYHDEPECMIRKTDNSREIQMTFMEGKCGLVKTPTADGHGYHFNITVILQFHPLIITRADQGLDMSCFVPSAVPRQELDRAVFKNAADTQCVYRLHRYSPGQCVALDAKVGETLYHRWECDSPPEYNYLVHDCFVESEKHNQQILDANGCEVDQHFLETPNYSRFKDYPEDSYVFQEMSVFKFPGDGDLLFHCKISLCNMNDPNAPCNQSIPPKCPKKVPVLPVRQKRSTSSDQWMSAEWRSKQIRKRQIELKNRSRRQIVETKPGYYMTLQVETRTLNVLLSESIRPRDSVKYCDIS >CRE04716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:232398:240871:-1 gene:WBGene00055593 transcript:CRE04716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ida-1 description:CRE-IDA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYV8] MRFFHFILVLFSISTVPAFLLYGCNLSENLCDNDELCYPDGVFGQCYSSESGSPEPTVLDNLDDTQLELLKLELTRLATKDKDWGDEETQCVLAYFKMSMFYQLQYDPDFCQVRKPANVWALIQLIDTGLSEDPSILEEDENPENVTEEEMAQIIEQLKEPSLPTEEDVEEALNAQNEDVDDEILDQYVQAVVNNENPDFSELSDGQLNSLIGRLVDLKKNVENEESELLSGNGEQEMAVPLDDLEERGEQAILKKDIEQVGEVNQGLDNTEHKIVKGRKDQIVTRVDANRVYLKVHLKNEDQLMPLIEFLQNTIAIPNNLYFDDFQYVLFFTFTIRIVPNFRFENGQLSMRISRFEGAKPKAEKRIDSVEGVASAVYKRRKDIARLSGADVRETGIGSGEDGSLPVESSERDWLLMPVLFVCAFTVTALGLVAAVQIARSRRHYKDNIQQIAEQLDGKTSFAYQDLCRQRADGGRASKSSSTSSWCEETAAPTIDISTGHVVLNFLQEYLSEPTKIEAQWNGIKDYHNEERTKSKAENNSTQNRTILPFDDNIVDIDGKTNENEDFYLNASFIYDDDPRQAVYIAAQTPASNQIAAFWQTIWQHGVCLVVNLSTPEECKQEKNYWPDTGSEVHGAFEIHLVSEHIWSDDYLVRSFYLKNLQNSQTRTITQFHYLSWQKDSTPTSAKSILEFRRKVNKSYRGRSSAVLVHSWDGSGRTGVYCAVDVLCARLLRGIRQIDVVATVEHLRDQRDGMVATGDQFKLVYGCVAQEVNHLLKSISAQ >CRE04717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:242960:247900:-1 gene:WBGene00055594 transcript:CRE04717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04717 MTNIFENCSYYSIYELYLLDCTNTTDQCSLIQYVDTIQSLTFWLDLVIPFILLTIAWFLNLYFLIILIPKYIRMNDVTKKQYVFVVSRGISSLSAASAMLFIRCVEVLSVDFTIYFLFFMIDDLSFYTLLGKSPCFRQLQNLSGSYVGSAVLLYLATVRPIFYSLRISVRTVYFLAAGNIIGSILLSATTAVFQAAVQSEGPFKCDVEHCQPIINIVMCKKTHKKYKNKQNEILVSIIAISFIIPIITLSFVLVTLYCYKNRAESIGSFTADASAYDSARTRLAWTLFTFTLISLSEAIPASYMVGIKVDGSITTCVNFYQADHLIVPAIMNSFQGLAWSIALIVDPLCGLLFDPRIQKVWVQHINPNLVSDSLYLIFELLLKNGTEDIE >CRE04718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:248210:249374:-1 gene:WBGene00055595 transcript:CRE04718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04718 MPDGGLFSNCSEHYPFENYFVNCTNTTRPCELIHDFAIVAQLLSILDFYISSVLFLLTGILNVYFLWITVPLYLSFNDETKKRYIFVISRCISSISAAVTLLILRCILYVYFPPETSNYYLYAIVIIADDISFYSLQGSYIGMATLLYIGVIHPVYFSTRLTLRKIKIFAGINVIIAALISFPCGALQTATYVPGPIECGSQHCVIVVSTAFALTIMILSFVFICLSFHIHQAKKLGNYVSSQTLHHARIGLGWTLTAIIVISLAEGIPSSFLIGLKADSVLNTCNNFYQADRLVNVTVFTSIDSIVW >CRE04526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:250298:250816:1 gene:WBGene00055596 transcript:CRE04526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04526 MEVFIRCYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTFIPSVKSLRRHPFYLRCNIKNSNKSSSQFLSNRTLNCWNSLPVSSFPVKSSSRSFKANLKHVDLSKYLTLSPLNY >CRE04719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:253631:255589:-1 gene:WBGene00055597 transcript:CRE04719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04719 MNHSLFENCSYHYPNEVSFENCTLTSAPCTLIQKNCFFPDVNSLITRNCRLIIQSFQDLNTAISVIFYEYYVTISLFSVAALANCYCLCVTVPLFFEMEEKSKKRYIFLLTRCLSCICMVFSMILSTCVFEQILTPSPSNFFIYAILNIVSNTSMFGLLGSYVGLALLLYIGVMYPMIFKTQISLRFVYLMVSSIYLVSLLISIPIGIFQAAIQSPGGLINCSQKTCVPWVNLIQFIISGICLIFTIAILFFVFISLVRHNQKLKRTDTLSSSASSMKRVRRRLGWAIIAVTFISAAQIIPYLFLLDVVPHDIDICNGFYKSNKFMGEAIAGFAESLVWIFVFLFDPIANIFCDKKMSERVKNQFETLKDRMPNAALASSLFPR >CRE04720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:255916:257404:-1 gene:WBGene00055598 transcript:CRE04720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04720 MTQQLSSIFSNCSQHYDLEIIFEFCVNATDPCKSIQQIETAVTIAYVDYYSSVTLFSVAGLMNIYCLLVIIPLYRRMQNDSKKKYIFLITRCIAGLLAAISWLLIQCIYLRFIAPTAGNFPYYVMALALNIGSIYGLLGSYVGMAGILYLGVLNPVAYNQHLTLRIVYVAVSIIFLMSIVLAVSENSEIIVSTFQFKIPFAILQAAIAIPVSVKCTPEKCAPLIATMNFVLVFGSLIITIVILLFALISLLRHRKKFKKQDTVSNTNLNSAIRLLTWTLVTVLFVLIAEIIPFVFMEIKKHRGPKPGCYWFYHANIIVEQVSFAMIEAAVWSIALIIDPSANVIFDRNVSKQAKSQVKWMRNLFVGLARTITRRFSPKRGKEEEESVNREPTTQSELIILSHESS >CRE04527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:257808:260719:1 gene:WBGene00055599 transcript:CRE04527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04527 MKLTKFVSNCNQSRYRNSNQSKNMENDRSTDKINEDDAPMSEDDTVYVSASDQPGQITINLEKDMKRKITIISEVEKPKRPRRGSVDLRRQVIVNNIDETLSIRLPTHLSNKSVFGGRSKVASESIQLPSPLFILTEQQVVGASEKKTVQFVTKILLTSHKLSIDDRKKLYAELVEAEYVGTSRSSSDLSQSSDDEPEEDFQLKMYYSSKGIYIHSVQNVHKIRNGKSSKITEEKSYSNICKTKIVGDLGKSVEGFLNVTCSETVSVTNQNYTRSEHFKIGLKDSSVFGNVPISALKTTFDPTKTISFNEFVFFDNKNIGIDIRHESTARMEKMWNHEVGSFGEEQSEYREVNFFFDDGKTGKDQKKTAGATVSSVVQTPKKSTENSSKSSDVSSNQSVSSSNSSASGSSISSKRAKFRTKRQKTMKKKKSDTSLKKTTKSPDRESSRKSIRPDEEPLRLSSIKSTDQRNSTVNESFYHSFPAATSTPKSPKSPLNSSTILQSNIKSASKTTPNKSPLRHGSIPIKVQGEKHSDNEIDLDKSLSSKKPIASKNLARIVESQKKKEEGISSKPPASPGNSVYMYSTGNANNSTYMTAKDLQDSRISSSSSAPKSLISPRGTLRVKETKVTREVRQEEGKPDEVNEKKEETVKEEKVKLDKKSRSISPATPKLKNTLNQNMVTLSTGIHSLSFFLLNSKFSVKETHQTLDVIPIKVSDVSSPSINSSIVSGQKAFKEEVQLDIMIDKKRLVLNVNFMLLAEKQPEVEIIGFSFKGNKLWEKSSEWNLSSANTTSHVSFILLKRYRIV >CRE04721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:260954:261243:-1 gene:WBGene00055600 transcript:CRE04721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04721 MTGCFWFYHASKVIGEAAFSLTESSLWSIALIIDPLTNVILDRTVSKQAVRQVIVEVESKMDAQKLCRTGEECDSKGFKEKYR >CRE04723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:264228:269064:-1 gene:WBGene00055601 transcript:CRE04723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04723 MSHSISPNPFDNCPGYYLPDFYPYKSCTNISNFCEHAKDIYNIEMLLRWAIFVIPCCLSFFAFFLNIYIFYVLIPNLRRMNNRAKKKYVFVLSRAISSTMSIISIFLLPTMIFLTHFNFWVIALFIIFEMLSFLSLLGGIAGTTLTIYLAVVHPVYYQREMSLRKCVILVFSFWISAVTVAIGCGVVEAAFVGKYAPFLCDYKSCAEPVLIFGIVCISVAFTLCLGTQSFVIFSLWRHERRSNKRGDYSSTQKAMTGVKRKLFGGFFAFGTMAVFEIASAIMLVKSVSSQNQDMNPCSMLQTSGDRLQFVISCVILTLVWTIGLFIDPIFTLSFDPLFSETVTRHNMHTAMEIFDWLFYSATILFTLSGFLNIYCLVSASYTFYYLNNEIRKHYVFVLSRCFSSIICVFSLLITESALYTDAISSSFTFYAIGFSFYNFSMDSLLLSYMMISLLTYFGVVHSSFYRSNVTLRSLYFTLGIIWGFSLSLAIPLSLYQAAANSPGPIECDLHYCLKVVEWITFSFACICLLLNVLLTGFVVISLYWHNFKARKNGIEVPEVTSRARVRLTFTFFALVIVCFVELFPFGILIGFDQSGLQKCESFYQSDKLLIQVIISSVETFLGSLVFVADPLINICFDKNILQTVKLQDISISHSIRLWMGFYIPSVICFLAILVDIYCLVITTFLLRSLNQQTRKRYVFVLVRLSSAILVAICIILVQSTNALDAPFQDSYTYYAIFFVVYDFSIFSLLGSFTGVAVITYFGVMRPLFYRDRLTPKVMYWVAAGICIVSACISIPIGLFQAADSADGPIRCDSTSCQVIVKWLLFSISCVILIGATSTLLFVTISLYWHNYKSKKMGNLTSSASDHGRARLAWTTAVLIILSCVELIPTGLLLAYGKLSLSDDCDNFYRANELLVPTIISSLETFIGFLLRKSTKISVGISDQSRFYSILSLIFSLIDTFQNTFLTR >CRE04528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:269122:270051:1 gene:WBGene00055603 transcript:CRE04528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04528 MIEKTVLTDKRRGKRIGSEIMFYEEVITERELLGKKEYENKKRENYKRASHCVFYQKAMDNTSYVSVNLTSEMKKRNESTTEDETEQEPPRKAAGFEWAAEPDEMLFPCCATKFVMLGIATVLVVVAILNWILSHWCSSFVWLTLSTLFMGLIIFLPEYFSNIINFAVNFCVWVAFIIFEIVITIQAAQESGEDHYDKKYKSRLTAAIILGCIEIILAIAVVILLFRMLYYYYQNRGDEQIMAVAA >CRE04529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:270360:271175:1 gene:WBGene00055604 transcript:CRE04529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04529 MGCCQSKKARNQSTSSATRREPVPRHYPKGPPPSYEQTMKNKPSKRSAESIDPRIQNEKNQEREGNMIKKEKEDKQVEKEKSVEQPVENEAETKLKENRDEDETPNPSPAPPTTASGPSASAAPEPVQNQQKSNSESKKKTRESSKPVSTLLTSHNTFHIFQSSSRPAPPPIPPRRPPPSSGRPPPPPPPRHRPPPPPGYRPPYYGPPPPVIVGPPPVIMSPYPYYYDTVVFDPFYDGYYGGYGCDGYDFGFYF >CRE04724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:271243:273235:-1 gene:WBGene00055605 transcript:CRE04724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04724 MSQQPGSDRRVNFAQEEPMTLGEKISHRMDQFKEMVSSGCCSCASRCPSVAIVLILALVILGILAAIPLTLMLTSSAQRMSTDSTDLSPYSIRHPKFWPKTDKIHFNDLDGIPMSSLFPPNVSTCSGFGFACTGAVHMIIPSSKRCDGIKDCQDGSDEENCKECQSVFSCRSHVEEDSKTKRKTKVLPTLICLTAEKLCNDVQDCPDGSDESVCKSTCSKDQFKCTGNNACLPTSAKCDGVNDCTDGSDEKNCNECQKGAHKCGKQCIKASHVCDGVAQCADRSDEKECDCKSCSGSDKALCEDGTCIKRTQVCDGKKDCTDGMDEENCPGSCSIEAFSTKTKQLTCSDEKRYTESEACSGVVEACEQSCPKCHPKLTFTCPAVGGSQKRCIRRSKVCDGINDCDDGADEKNCTPIKECGIENASQFTCDRKCLDASRRCDGVWDCEDKSDEQNCQQCSSGSIRCAADKKCLPAYTRCNGVAECSDGSDEQKCSCEECLGAHSNTYMCNESNRCLKRDEVCSPYSMCPNATYTDKAYCAALVLKNSGRFPY >CRE04725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:274693:277887:-1 gene:WBGene00055606 transcript:CRE04725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrm-1 description:CRE-WRM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYX1] MDEECTDAETYGSQPCTPQSFNPMMPSTSRVATPVTPSRMSNRQVPASPFRAQPQNMEPSISRVHELREGAAGKRSYTNEWMQNSYIPPTSQQQFSRAPSMIGSTISNMSNMSQLSQMTKFSALSVNTEYGQFNNWINESQPVLSKVSHSSMENQDPMQRRKRMSIPEIIQSLASTEVSVQASAIRELEPLAKKWLLEQTYGQADLKLIIRALFQVLYPREVENENVIRKTFEILHHSVMLTSRHARNTDKIFLSLNAELMNSKSSIYEIVIKRAERLDTVYESSAMVLLTHLCCKPYLMRHVFSEKVESPAHQRLHQTVMEFAVKNLNNSESKPKNKGFSVSIIKNLSRTNARIRYMAKQMDVINIFLSIIRNEYSDEDLLWPTMQALTTFCSDSENGSRFVVLGGAQVLCGLLSHGSTRLLHELLGCMRKLADLPAIQNQDMEESIHSVIRLVGCDDVTIVERATGVLRNIGLHNKMNKAIMVQNGVTSHALAVLRTSDRFRYQQSANVDFTRQQILSIYENCLSILNNVTLMSQNDIIESAVHACQMISENPDSAHVLLHYFNVGNRKCRKLAVTVMKRVIEAVPSFAEPFVDLLGTTNEPLAILLLQRAYESLQEWKQTIAELMRMDQDTPQRREVDEKRKDHEDIVKRSVGLLTNLCRHGNPRFFDSLRHVLANQRNTALNPFLWITKDMSDSILPEWLEFILSICCTEWSQQNCLLYWFLKQANITQDDLQTLRNTRGNERVNEKANEKIRTYIDRIIDLGCRQQQLQNQQQMLMAQQQQQQM >CRE04726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:281274:282659:-1 gene:WBGene00055607 transcript:CRE04726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arf-1.2 description:CRE-ARF-1.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYX2] MGNVFGSLFKGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVGEAREELMRMLAEDELRDAVLLVFANKQDLPQAMNAAEVTDKLGLHSLRNRSWYIQATCATSGDGLYEGLDWLSNQLKNRS >CRE04727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:284569:287780:-1 gene:WBGene00055608 transcript:CRE04727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04727 MNIDNEDAFFDWLSDELTPITDADPNALAKYVLALVKKPDKSQDELKEFTKDQLNVFLGDHTAPFVEKVFVALISKSYLPSETVPSETAPTAASEEKSTRKDDVPVEKPSSKSVVTRLPSPSAPAGTQKPSGSTSSSKSDNKPIRKRISPPPSESSREKDKEKVSRDKDRRRSRSPRDRRGRTTTTRSGRASSSDRDRHTRRRSRSRTRSSSGSRTPPYKSRSSRRRCKDFEERGYCIRGDQCPYYHGRDPVVVDENALSAMVPLPAPPNFSFSLPPPGYSAINPPPPGVVVTSGEYNPETPALTNYSMPPPPIPGAIPGAWTQQPPSVPQYVPQPVSTFTQPPPTASSAIAAQQPGAFRGGIRGRGTIRGRGGFSRGGFTGVINRDNCTLQVAKIPPEMNNIAKLNEHFASFGTVDNIQVRYNGEIDAALVTYSSKYDAIKAYKSPAPVLNNRFIKVFWHNPGNEGAEGSTDKTATPASPPKPAEKPKIATVQESKFVSVAAQNQRKQLQDAKERLTKEKSLLSTLVQAQNQHNIVLDKWLAKQKSLLVKARTSTDENERKNSTKLVKHLHKQIKACKEEIDGILLKISEKSMLVDEVVAQIEALKNPYKTEDGNRKRKAGSDGEDSRSKMSSIVVVKGVKEELVADLMAQMEMFGEVFDHSVKADEDGLMTAVFPFKRTSDALKAMSEGKLLNGQALDMELKTERIEEIPSTDTNMSADQLLAAIPSGLESDDEDDLLND >CRE04530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:289557:292113:1 gene:WBGene00055609 transcript:CRE04530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-28 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LYX4] MVTDIANSKIFRRRSSTFTDPTNVLAVHELRSRILQDPAATVKHSADDIQRIRHEDWWLDRFLGSVNYDVDIAYAIILECLKWRRNFEVDRISLLSLKPLLDNQLMYLHENDLQNRHILWIMMNKYKNGEEGFEKLFTFWMERHYMEYKGCQPLTVFIDMSGTGLKNMSFDVMKFIIHSSKYYYPNAIESILIFDNPAILNASWKVIGSWLESSAANQRQDLLTFVTKLSVTHYVPKSHLLEHQGGTDTFKFTMDELAKCLPPPPPPKPSPKLLQQRMHSIDDTTNNNVTEFDFSSFQRRNVKFDEESVTKRAPSLSRRSSRGPKNRKSHIPPALRPLIDNRVNAPSDDWSSNDFVSITPRDELRLEKLDGENDLLDVVLVKNQSSETPIMFKFKTTSPEKFRVRPSSGIVQPGTTEIIRVYLQYGELFRICFRDIINKRKLPEYRHSWNKEKFLLLTMESSSTDIDNFSEQFKNAINKAEFKFKCRLSENVKLDQPEERRSSFLLQQQQLLNTKKDGFSLGGVNFNMPLIIFICFLFLMFQFIYILQMRSDNALLRETLVSLSGQIKEANSLSSENIVETVKNEL >CRE04531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:292759:293352:1 gene:WBGene00055611 transcript:CRE04531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-23 description:CRE-RPL-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LYX5] MSKRGRGGASGAKFRISLGLPVGAVMNCADNTGAKNLFVISVYGIRGRLNRLPSAGVGDMFVCSVKKGKPELRKKVLQGVVIRQRKQFRRKDGTFIYFEDNAGVIVNNKGEMKGSAITGPVAKECADLWPRIAANAGSIA >CRE04532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:293454:296585:1 gene:WBGene00055612 transcript:CRE04532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swp-1 description:CRE-SWP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYX6] MGSWNRRNQISSNDAQDVSESDFCVLKLRCGLQEFKDLLIFGYASSIFPNDYQSENIAEERHMVPCLGDAENRVDRYDCRLLLPSISVATKRSGLPAEKCPTEEMEEEMCEEERYLDLHKDIQREQEEEEKRLKNQRNAIGFDYGTGTMREPESDSEDEPFEPPEGIKFPVGLELPPNMKLHHIIEKTASFIVANGTQMEIVIKAKQRNNAENFGFLEFDNRLNPFYKYLQKLIREKKYIPDISKRPKKLNKTAFSSSKPAISSSLAAIAAAHGSGSDSDASDSDCELHPSLLSEGAKKSVTPDKPGTIGPRKKPIEYDKPPVFDLKPARDITQRNDVYAALFKNLAHVTRQAAGVEEIKHGTEKNEEIDPTPPEDDPNDRLEDPEYREWYEMFYGKPCPWVGPRPMIPPTPDLEPILNSYAETVALKGAEVEEALAARKDLQLNFMNPLSPYHSYYHHKIRLHQWRMFQTSQEGVSYPASIPLVAPIVPLVSSDLLPPPISTAGCSPGPSSLLNLSLTTPPEPPMNRRQRRRLLETSRIDESVLEPGVFDPITDIPKSASTPANLQSLGGLKPISFTLKTDGTNEDSSFRFDPDADEISFAGPSDSTADDSYSSGLFPPPPAPPAFQSSIQTQVDRKEKARIFMEKLLLEKKMKKQQEDEERQKLEEETRRKAEKISESLSERRSERKNTEEAPKERIPKSLDDMISSKINSLLSESGFNPIEEKKPDIKDETEKKKQRRRSRSRRRSRSRSSRDRDRSRSDERKKSRKSDRHRSRSRSRDRYRRNRSRSRERSYRR >CRE04728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:296836:300674:-1 gene:WBGene00055613 transcript:CRE04728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rgs-5 description:CRE-RGS-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LYX7] MSGFLGRLRRKKEKENGHPSTSSDCNYQNGDAHRDSLSNDHIYIPSQRRQSSSLSVPQPTADIGSGSDHEGIQVKQKPQLASSLDRLLIDSSALSYFIQYLDSTDKLNLIKFWMHVEGFKSSFSEQIEAAQELSLRDAKSIYDKYVDDGSTSSLALPRKIKQIVEDNLAMNPLQKSCFDEAQSFVKSLFEYRYFDEFHNSVYYKKHELEVLSDGCSLGDILKVQPLLLSFLEFIKEKEDHDMVQFLLGCDSFEANLELMEDSEALGDAMALYEKYFSMQATNMIDLGGAIRAEIESLICEESGRPNRKAFRTARTACFFRLHDKYLSDFLKTSYYHSYLSELQSFIEFTVELPRKVRNRAASSSDVASSTDSLTHAFSRHLEVLEKKMSDKDEQRTPTCSPSPSKRSTPRSSRLAEIDEMGRYHALYDDSHSQTPQKPMRIRTTLRKYLDKNSLREEEIAEEVARTIIRDMQEMVASSDPPNSPTF >CRE04533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:301045:301502:1 gene:WBGene00055614 transcript:CRE04533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgg-3 description:Ubiquitin-like protein ATG12 [Source:UniProtKB/TrEMBL;Acc:E3LYX8] MDSLANEAAPESTTSPETIIAPTAVAPKLKKVTIKLKNIGDAPVLKNKKLIVKSTDTLASLSKVLRKLLNLPLNDSLFLYIDNAFAPSLDCTFESLSRCYSVRSTGDEVLEIQYSITPAYG >CRE04534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:301702:303568:1 gene:WBGene00055615 transcript:CRE04534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04534 MDKRIDHDEEDRDSSEYEPIDDEEDDNADSFQQRIVYSKDVDSDDFQNQYLNEQEPSTTNHDQMEIPVSMKIDYEEIYPHNTVPVETSHEIIEAEKVYYENEMEDLVYHEIYGEGNDQKIGIDKRIRNIVGSSASCIVCQRTESEKIRLFKWPKSGELREQWLHFFRLPCSVLDATQEPYICCYHFSSDTFVMMEDRIFWKMTALPKYRQRRPGLSEPFPWEPAMKLKVEQEALNQPSTSTASLGYRIRYRLPYNKHKSRNVHQVAIMTPVAQPHLFYEFHFNRYGLHNTKFYACLNCRKAKTESGIRDVIRTIHLDGYKFLSNGDPFNGHHFACRPHNIYENKSQWRPIASQNEENGIYQSVEYMDPTGESLEWHSVIRPEVETPDDDVSHSRDDPPVMLFDNFENPITEEVIETEYVTEEPAAADLQYSQLDESEVEMKPSQKASKKFRIKCHLCLKTNFPSTQIFVNHLQKHILDEKQCKKCAKRISCDSRAICTRRGICENCIS >CRE04729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:303766:304218:-1 gene:WBGene00055616 transcript:CRE04729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04729 MSSYALYRGTTLGQALEQTLNDMEEEGLLPKSLVSKVLNQFDKSMNKQISRLPKEKMNFCASQLLTYRYCDNVWTFILNNVTLKDPQRSFDDPIDKLKIVACDGRQTNLLQNMSGQGSSKRVARAAGDDDDDDSD >CRE04730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:304326:305214:-1 gene:WBGene00055617 transcript:CRE04730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04730 MNANDFLAQLAGINVAPEVVENEEEPGDYEEISYEEVDAEQFDTFEARASRPGNSKRRVSRGRVAQRELQSLQFDNPGGEMVRFLPDSADIVNANRSRRNYTEKQAEIREEAIHHDKTGKLSTTTKGQTEVIDLCDCLNPDCDGCHWPCATCKSRKCVFQCRQGRRDMIARVEEMFTVEGTKEHASATNPYFPYVIKD >CRE04535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:305438:307267:1 gene:WBGene00055618 transcript:CRE04535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abi-1 description:CRE-ABI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYY2] MLHHAEGGMSVNDLQELIDRRIPDNRNQLETSHENLAKVAEFCKKNYVTSRDQPLALEETKQYAIQSLASVAYQINKMAVDLHDMLAFQTEKVDSLVNQVQYVGQVVDVHKEKLARREIGALTTNKTLFKQPKIIAPAVQEPKLRYQRTPIDYSILDGIGHGVRSADQSRGGTLISRATSSVSGSSPSQYHNDSPAYGVFSGERTATLGRTMRPYAPSIAPSDYRLPQMSSQSESRMTRQISHGSEFGDHLSGGGGSGSQHGSSDYNSIYQQDRYGTIRAGGRTTIDGGSVAAPRLPSAQSSAGPESPTFPLPPPQISSMHYNGYVAPGSVVQQQQQPYQPQNYGTIRKSTVSRSDLPPPPSSVLTGNRISIHDDMDDLPPPPESVGGSSAYGVFSGGRSDSYLSSQPASLFDTSAGWMPNEYLEKVRVLYDYDAQKEDELTLRENSIVYVLKKNDDDWYEGVLDGVTGLFPGNYVVPL >CRE04536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:312425:313328:1 gene:WBGene00055619 transcript:CRE04536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rcn-1 description:CRE-RCN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYY3] MVADNSEKSTKSVANGSLISTVSSKDDLPNAIIVTQVPEDVFDNKEDKANFSSLFTQIEKDIHFDFLRSFRRVRVIFSSPENATAAKLIVQGFSFKGHELKAFFAQRIYMSANSQMLQPPPLEKQFLISPPCSPPVGWEQTKDMPPVVCNFDLMARLASFAIDEKYEVHNGDDLTPTIVVHPCETPIDIPSALEMPRTPRPSSPAEDAE >CRE04731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:313617:315461:-1 gene:WBGene00055620 transcript:CRE04731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pst-2 description:CRE-PST-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYY4] MTTAQIHSDCSSSMLPRHVKDDVEPIHLLGFNIARKPKWLQFVLLSGAIFVLYVGYGYMQELIFKLPGMKPFGWTLTLIQFLIYSSCGYAECLVWHNTRRMIPWRIYGVIAFFTVATMGLSNASVGYLNYPTQVIFKCCKLIPVLIGGILIQGKRYGWIDISAAILMSLGIIMFTLADNKVSPNFDSRGYIMISGALLADAVIGNIQEKNMKKYGGSSNEVVLYSYGIGSAFIFSYVILSGEIFTAIPFFFENAWKTFGYALIFSFLGYLGVNVVLTHIKVFGALVAVTVTTLRKALTIILSFLLFSKPFTIEYVYAGSVVMLAIYLNLYSKNKTSWDNMIRRLVARAMGYHDVSVARKDPMLV >CRE04732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:315922:316863:-1 gene:WBGene00055621 transcript:CRE04732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-12 description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:E3LYY5] MSDAGGDVQVAPAAIAQGPMDKEQALRAVLRAAHHADGLAKGLHETCKALDKREAHFCVLAENCDEPQYVKLVETLCAEHQIPLIKVADKKIIGEYCGLCKYDKEGKARKVVGCSSAVVTNWGNEEQGRAILTDYFNSKN >CRE04537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:317141:318156:1 gene:WBGene00055622 transcript:CRE04537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ska-3 MNDGELNQILNHFLSKFKEIQIDQSPALLQKLTLSQFKNFAQIDVQTTTSLSEGLEDYLSDHLEILNLQVQLKRKKCELAQKLTKLNTQFNEAIEETNKRNTLLKTGNIDFLNPPRQTEKAVFAIPTESKKQLPLSESSCSTIAMKDHAAHRPTPAMDPVMKPPTYTSLAMSTRKEKKENVPKPESFCLDDSVLNGHEISMINISDERRRLQFDDLDDEDETGETPLKPKIVLPKTPARNPASVPAASERKTVDIYTMPPPPVFTSSSGRIFNQNRD >CRE04538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:318347:318951:1 gene:WBGene00055623 transcript:CRE04538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04538 MEEEEDGATKSLSQQENKFSLKLQRVTPENLKTVRTLVTSIFPVSYSDKFYQECVDNELVGVIIHNGEAIAIVAVKPENFETGQVLYIRSFGVHPRHRESGIGSFLMDFVHDKCKSLNLQNAMLHVQISNKKAIGFYKKRGFNIESMVPKYYQRCDPPDAFIMRKSFL >CRE04733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:319342:325125:-1 gene:WBGene00055624 transcript:CRE04733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-par-3 description:CRE-PAR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LYY8] MHTGGRSRYDSSVIPPPPPYHFNHVHTPPQRVIQQTHRSRDPPVYSPNMTTTNDNVTGSNDRIRVHRLECASDGGILDMDDVLEEVFDLNYDQILAITDEANGGTTTPTYSKIQKQQHHYAQPLPYSRKFEGPSTPIASAFGSVTVNHQAHRSSSPYNVGFARATTRDLPIPPSSKDRRDSVVEVSSFENIPQSGLRVSVTTPKSDARLLNEPILRSSLRTEPSGSRADDSTPTKHSRVTLSPEVEKRLAEQEGEERRSERRKYEKNGGRFNRGSDRKSRITDALLDARDRIADQLENEVEEKKVHSVRGRIEQGPLPGTTLITFLPVGDKENDLSKQMGIEVNAVFDESSEIPSTSEPSKLNSIQVMKIEEGGRVGKDGRIRVGDCILAIDGKFVDQMSIIRARASISELASINRPITLVINRTLDSFLEQESAKPIQSALQQANTQYIVYFTETLSQHSFSGAKGFGFTVTGRETSKGERLFYIGTVKPYGVALGHLKSGDRLLEINGTPTGQFTQLEIVEKLKETMVGAKIKFLVARVSQTNSVMNSSSSSENKENEETPKNEEEKSAPQKLPLPALMTPPVPKESPPPSSNPRFDIVIPFINGSSSAGLGVSLKARVSKKSNGTKVDCGIFIKNVMHGGAAFKEGGLRVNDRIIGVEDLHLESFNNMEAQAALSKKLKEVGMVRSSVRLTILRDNDYHPGHISRDLSRITVDASSPSPSSRMSSHTAPDSLLQSPHTRATSSSGPDSSHSRQSSSSSAVPALIRPNERDSIISDSTNRHDESELPDTDPFNREGPGRKSLSEKRGMGAAADPQHIKLFQEIKHQRQNSAPTSSTQKRSKSQPRSLSQRNYRSPMKLVDLPQPSVTSTVAQHVPLDDSDMLNRRSQSMESINRPVESILRGTGQINPSTSSKVQFMQNASPDQHPFPPGSALLRLKNEESRSRDKSRRKSMGNAMRNFFGFGSKSRDASPEKAVELRSVERPKSIIDERGGKEQLKTTTAPPPPPPHQGPRRGSGGNVFVDYGEPYGLIPQYPHNTTSGYESYADSELYDRYAAHRYYPRRGIDEDEYIYRQQSSSSLNPSSYVNYGLPASNAYHVDARVPSKASGSVSKTTMRRVFPTEYEDDSYQHIPQSSRYQQDGSGRGSDYHHMFNSWFAYTGGGAVGAAPVIKSSYGPSPVRIAAASAIERGESFAVESGGGPPPPPIDRRGRTTSGGAIPTSTSGPSGFHSSKEKYADARSGKFNGGSTRLFIPRHGGGLSAAAFATNFGSTEGYETRGGSGSPSQYRRRDQGPPHRFPQY >CRE04539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:331065:332376:1 gene:WBGene00055626 transcript:CRE04539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04539 MTAVLVAYFYSFLILLLISSANSEFIRLKRQASLATKDGIDIPFTLRSAITCLTPGIRENLCLGPRVQVLKEERIGLNNRKGATYGYHNGVDIRLLRKHKVPLINDDTEIGHSIGATVDVTRDDGVLEVGTRDKIFQAWKHNRGGLVEWDSQGAGVDIGSRASVADDLIKLRHTLFGVNVGPTSRRSIVGPYQVGETNGQYLKPANNPVPSLYHNYYAGRGDWYKNQFRRPGDEYRTREQRACPWCFAVDSSYPTQTMFNPFFG >CRE04540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:334172:335221:1 gene:WBGene00055627 transcript:CRE04540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdz-21 description:CRE-SDZ-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LYZ1] MSTRLFFLLASIFTIVSGNDQFWVTSVLTEFKVRDQCAAFWNQSQACSNPSLRISTNINQPTQFASRAWQIQEILNSTGNPTFISQWHGSIDSIRVSVQVEGSNPLNPFGLVAKCDEGFHPKVVFNQSSFDVMERLSTRVVVINSQCFTAKVLLKVDTECPYCPPPTTTPQPTTLAPESFIYTHRLPLIAIILLIIFTILSFCLFYFVLRRFMTLRRGFKTCPSLESGISTITPLSYSTVISPEKKQKEFVDFFALMPPVIEYEELDLDASIDIESMPRLGSLVYDYFCYENQVDVSVSDAVYV >CRE04735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:335677:342751:-1 gene:WBGene00055628 transcript:CRE04735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ckk-1 description:CRE-CKK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYZ2] MVGDTSDDSPGPSSSSQTNVMTTTGNVDRRSLLSAASMVRQQSDTTGVRRLVRARAVQEDDEAGPHSSNNLAATMSPNLSRPTRYVKSVSQQRSESYIQLNQYRLMEEIGQGSYGIVKLAYNEEDKNLYALKVLDKMKLLKNFACFRQPPPRRNKENAAPSVLKNPLQLVQKEIAILKKLSHPNVVKLVEVLDDPNDNYLYMVFEFVEKGSILEIPTDKPLDEDTAWSYFRDTLCGLEYLHYQKIVHRDIKPSNLLLSDIGQVKVSHPKPTINHLPSLQIADFGVSCEFEGIDAFLSGTAGTPAFMAPEALTEGANHFYSGRAQDIWSLGITLYAFVIGTVPFVDNYIIALHKKIKNDPIIFPEAPVLSEALQDLILGMLKKDPGHRLMLHEVKVHTWVTRGGTVPMSSEQENCHLVTVTEEEIENCVRVIPRLDTLILVKAMGHRKRFGNPFRNKLSAQSSIRDRRKSSSVKDPTYVPPPNSPPATSNNNSSNKVDRPEIKCIEMNLSGLTLKVDEAMQPKVESARQ >CRE04736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:351326:352604:-1 gene:WBGene00055631 transcript:CRE04736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04736 MAPKPNPPPKEDTWAFQPIGSPFPPSPVKCMGEQNMYVALWYKHGKPIHGRSWNNGGVVECSFPYKEAELTTKAQLEGQIQVLQYVGDHNNQGFWYEWIKYKDRIEKLDDKHQLVRCGDSFPIFWKRKEGNLLGYVDNKTEEAWFSFNGKVIKQLGPQLNEMYIITRNCIGGPPHCECDNCPKPPPPPPIPPPGPPPPRVMHDEWIDIREGDPFPTRKLVQALDKTLDTLPGVNPDQYVALWFVYSGINQKLKIYLQVAANFSWFNNEYCKNVGSIQLLVRLGPHVVGYEYGWIPFPEAATFEEGKTWKPVHVNNHKGDISVGVVNLPGGKQILAKVDVRNESYGYGYQGKEHSARGPACASSVTVLCRKALPGYKLDG >CRE04541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:352833:353938:1 gene:WBGene00055632 transcript:CRE04541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04541 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LYZ4] MANNRSTYGTCNNKNDFADEIIVKILNMGAVGNKFEAIMSSKQIFGLLDVVRDVFWKQGAMVELETPVKVCGDVHGQYSDVLRLLEKGGFPPTVNYLFLGDYVDRGPQSLEVVSLFLAYKVRFPGNFFMLRGNHETGAINRVYGFLDEIQRKYGSKIGANLWNTFQTCFAYMPYTGLIAGRILCMHGGISKRMQSLNQLRKLPRPVLDIPNPSLEIDILWSDPDQTIHGFDDSTRGVGQVFGDEALQEVMDRLGVDLIARAHQVVQDGYEFFYKKKLVTIFSAPHYCGEFDNAAAIMSVDVNLLCSFQVSSILR >CRE04737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:354987:360248:-1 gene:WBGene00055633 transcript:CRE04737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-4 description:Serine/threonine-protein kinase receptor [Source:UniProtKB/TrEMBL;Acc:E3LYZ5] MIDKGTVKLKLILLVLIFSTCLLNALPTPNLTSETNSDDVPEDVRRAQMDDEIVERDFDDSLVTRAFVNETNGTIYIQPVSEQKEEEDEEPELERRDDSIECDHYDESKCEKLGECSITKEVCHPEKHLKMVGCLAVFAYPDNLTTNSTDEYFPVNRSLIKTMGCMQYQHDTMMDCVDKKKCLQTKLTHAQIGMCCCKTPNCNVLANVMLINPDVHKESDASKGFWQSTPPSGMDGLGGLPIYWVLTTVLGGIFFFAILIALYVLWRCRQRQREEDEKKRRNCESEKTNVMEAGNLPLVDHEVMIEMVETPKELPITDFQLICKGRFGRVFKAKWKSQNGEEKMVAVKKLVDTQKSSFEAEKKIFEGLVSLPRWYANVVQFICAEKMGEEYWIVTEFHERLSLYELLKHNEISVTSCNRIIMSMVDGLQFLHDDRPFFFGHVKRPIIHRDIKSKNILIKTDMTACIADFGLAREYNSNIEKSDLLGQVGTKRYMSPEMLEGATEFTATAFKSMDVYSMGLVMWETINRTKLKSSDVVPEYQMPFQTIGFDPSIGIMRNHVVSKKERPQWRPEVFGQISMSFMAGVAEEMWDPEASARITAGCAFERVWTHVFSLQDCSEGYHSGNSLKDGKGGEDREEERRKNRRNDEMFKYHSKPNKPHPSPDPSLDDCPQLPPIPIITERGT >CRE04542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:370393:370859:1 gene:WBGene00055634 transcript:CRE04542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04542 MPTVTTPLPTTKRDPHFQDRFKITVHVELSIYLFMGLTLAFILIMIGVMMVFRWYYRQQLEIRLKELQQTYEPGRIFLMENANGNEVVQVRPGPLSPPITDQTEYNVVAGYLRDDKRGQLF >CRE04738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:371360:373198:-1 gene:WBGene00055635 transcript:CRE04738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-basl-1 MDPVKLRLEGKKMIEIVANYWEEIRHRKPIPDVKPGYLNKLVPEHPPKTTETWETILGDLEEVVFNGSSHWNHPHFFAYFPAGIGYHSILADIISSGLSSVGFTWIACPPITELEKVTLDWLVTLMNLPEYFKNSHPGPGCGIIQSSASDSTLIAIMTARAAKVEQVKNNPSSYKWVKDETLSIFYKIFYWQSNRSIHDSTDIITPYYHDPRVFKDFVMYFCDQGHSSIEKGAMLAGVRFRKLKGTRKYLDNYGLDPDVLQKAIEEDRARGFIPFMLVATVGTTATCGVDEIEKLGPICQKEGLFLHVDSAYAGEKSVFFVILIRSFAFCEEFKYLVKGIEYADSYNTNLHKAGMINFDCSPLWFKNGTYASRYYNVDPVYLAHEYQSTSADYRHLEIPLGRRFRSLKIWFTLRNMGVDKIKEYQRKTVALALQFSKIILENEKFELFTPPHLGLATFRLKNHSNSDNKRFLDAINRDRRIHLVPSMVHGTFLLRFCVGSPLTDEDDIYHARRVMIEVYFKLFQK >CRE04739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:373585:375644:-1 gene:WBGene00055636 transcript:CRE04739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bas-1 description:CRE-BAS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYZ8] MDSDKLREEGKKMIDFVADYWDGIRERKPLPAIKPGYINELVPAQAPSSPEDWSKIFDDLENVVVNGATHWHHPHFFAYFPTALSYQSIMADILSGGIAGIGFTWKSCPSMTELEMSSLDWVVDLMGLPEHFKNSHDGPGCGIIQSTASDSTMIAIMAARASHVERIKAEPTFMKWVSETGVGKTLKGIFDRVKTNKIDDEASGIITPYFHDPTVFEKFVMYCSDQAHSSVEKGAMLSAVRLRKLKATRGFLGNYSVSKETLQNAIKEDRARGYIPFMFLATVGTTCSCGVDQVDELGPICVEEGLYLHVDAAYAGTFALCDEFKYLIRGMEHVDSYNFNLHKAGMVNFDCSPMWFKNGTHISRYFNVDALYLAHEYQSTASDYRHLQVALGRRFRSLKIWFVLRNMGADKIREYLRRVGYNIAFYSFNFNFQTEVLAAEFSKLILENGKFEHFVPQHLGLTCFRLKNSTNADNEKLCNTINDDRRIHLVPSTVQGTYFLRMVVCSQLTTLDDVIYARDVIYEIADRLF >CRE04543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:377371:379191:1 gene:WBGene00055637 transcript:CRE04543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04543 MFWLFFAGGAAYFILQFLLRPDPIKLLNIYGQPGKWYFLKFNLMKFIIDRRQKKKNAKPESSESNKENLMNSQWGGDGGERDIQEMEKKHDLKEEWSADAVFFDASNQDGWYFTLGTAQRPNDIINLFFILRIPEVGTFVNEEIHTETNVKSIRCSEKEWKTESGFVVSCIEPMKKWRLRFNGKLATSPGKINFVKNGAEKMKDDVEVIDANFDLTWTNFGGQFDFDKMCSPTAIAHSLAIEPWSRGLFDKMRASHQTHYEQFGKLVGDIKIGNNEKKGIRMTSMRDHTIAGYRRWSDIRRYIMMIYHLEDGTCIHTSVISMPETVFSHLEFGYIVTPSGETKPVDRVHFSLPNHGEDRDFPDDFHYSFEVEGKTYHADVHIKEVVSFKMGLDQACQVNEGMCEYLVDGKRGWGFAEVEYRIHPY >CRE04740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:379444:384000:-1 gene:WBGene00055638 transcript:CRE04740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gak-1 description:CRE-GAK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ00] MNDIMNNCNPNFRSEEPRRQLTLNGVSWPVRTTEETISAPPISPLSFAFMSEEERTALNFPGRHFQPEINRPRNISKSASFTPEPSLDSTPPYNRKTFKIDDLLVVDDDEDDDDSPPSANSFEPKAHLGWASFGESILPSQPPSPPEPAKHTSAPVPLKRKVYVVRQQNREKDTSDHVVTHNDNMPGPPKEDSPPITTINDISDSDADVDVVGIDEDNSISIEANEDGNPPDLPPQSSIGSRFSYKSKKARYRYDSEEEEMINARFLSNISPPPLLEAQATSGSISSAKDNAGADEEKKCEDGQIEQRLGLEELNSAQILKTKVSSSTIPVLPLSKSIMERKKVALEMTRHAVIKKYNSPSFKNFTVAPKSVQIPAYNDNTPMTLYSNINKPLNSISKDVRGRCVRCRDRSPVDMSTFKFIDDTTVVSVRAHLCDQTRVMIARTAMWNREYSKRLGDRAAGTVWQRPDEVTAQMTGFCSATVRRCIEIANLSIIPRCADRIGVSKNELVSLKSTFGTEKFLGQTMRTPHVLTHYYAQKSQSIAGSRRPSSSNVTPPIPRMGNPPVETEKNEDEDRRYLPFSLEHPFAIFFLRHHPLTYYQPSSSFPSSTSFQSSSPSVSPPESLVPPLKKTVLRWTSIQTPRILRPPNPSYVVPKMAVIPVMKKVDSTPPKKEEIVMTGPRKRGRPRKIRPSELTVRPIQPSTRRLRSYKKELCEIDEDVVDVVEGLVSKVSGTFSINTS >CRE04544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:385837:388370:1 gene:WBGene00055639 transcript:CRE04544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madf-11 MTDRRRHGADGFHIAGMKNTMTSVVTSSHNTPRDTTDLQQQFTREDAPTKATQYTLVRFINKIKLWLKFYNLQLQLITMVRDNPILYDDELQAQIPDNLLKAQKRETWDRIRNDIVWQDVSLVQEVWSDLLDQYARKSMDMSDNLIEAMRWTDSILNKKKSDSRPSSQLNSMSSTLDKNFYADVPLAEDVLEPEQPIGNTSPSLKYDYVVIQPQVNHGRTSSHQTHQPIQNSASQYSRRKSPSPRETEAIVRTYPLSPKRTNHRMGSSQEPKIPPIHADIKKDIPSMNFGRQSVLVEVEPSLSSYSTPNSKMYKMVNTQRGSTPQATVTVTSTRRLVQLPHRTSNLGFESMPDQLIEPPNMHAEPPKKKMMMDVGNHHQHHHHIHHRNNTMVEPSSSTMPTTRSDDALRNTKVMSDVVPIADDEIILDASGECKIGPSGMLLASQPPSSSRNLELNSNMPSTSEDGMQHYHQHEIEDGGVVEDMDQQIVGGAQSEEMAIQYDEDLSFQQHINTVLNRLNDEDVRYIHSLLYMYLFFQKALMKFQMQKIILDARFGVGTARNLLKDEICEVEPHDEIDPTQMPNEEPI >CRE04545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:388636:390244:1 gene:WBGene00055640 transcript:CRE04545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04545 MPQESSRDAEKEKVMRSRRGTVDTEISALTAFQTSLDVDDAPYRARLYQLFGHIEKEFDTLYAENCALRARIVQLTQTEGEAGNVVAQEVFGVQEPGKSSRKAIQMGQKLRTALRGPPVFRDGSKFRLSRLLEGHKDGVWHVAADSTRNVCASASADQTARVWSLESGTCLATYLGHTGSVNCVAISTNCAVDTTEGSGTAAGLLLATASGDESAHVWRVPSNNAPLEHSSEEEEENHGGGGNRDPNNILGLEHNRFTSSNEKSDGHRIRVPVMRLTGHRAPVSCCEWLAGGQQMVTASWDRTANVWDVEKGEVVNILSGHESELNHCSTHSTHKLVLTSSKDSTFRLWDFRESIQSVAVFQGHQDSVTSVSFNTDYRLVSSSDDATVKIWDLRNMRTPLATIRLSSPANRVAVSKTHAVIAVPMDNRHVRIYDLNGNRLPRMPNRRCHERMVTCCAWLDEHPTNNLLTSGFDRLVAAWKVNTTTS >CRE04741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:390283:392131:-1 gene:WBGene00055641 transcript:CRE04741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-6 description:CRE-CED-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ03] MAKDIYKTFKRSVSGIVGGSGNNGESSSSPSTSTGQVKYRGGTGRTWIHPPDFLINGHVEYVARFLGCVETSNENGTAAAREAIHAIRFQRDLKRSEQSRETAKLQKVEIQISIDNVIIADSKTKAVMHQFPLPRISFCADDKDDKRMFSFIARNDDGKPSCYAFTSEKLAEDITCTIGEAFDLAYKRFLDKNRASLENERNTYLLQRRIVELENENQKLATLLQEVTNGGRRAEPNDYGIPPHPAFAPPSLPLSPMPQGPPPSLQPSSIYSMPKTNDNAPTEMAPMPPIATSPNGTSPSSPSSNSPSGPAPSMPPPRPPAVLAPPPPVAPRRVPVVSPKNSTAGQLDGLNFGEVKKPHSNVFDDSFDPRAGEKKSVSEAADYNPFGADFLPALQNTNSAPPSASALALASEAIARLPSSDSSGPPKKTAADYDAMISEVEKKLAAMNNGTLVFGQLQTGDLGGIEGESEYGTPSDRLNPKVMNLKE >CRE04742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:394827:397009:-1 gene:WBGene00055642 transcript:CRE04742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ucr-1 description:CRE-UCR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ04] MALRLAVSSALRPAINSQVRNASSAVSVKDVLANAPQAEVTTLKNGFRVVTEDNGTATATVGVWIETGSRFENEKNNGVAHFLERLIHKGTGKRASAALESELNAIGAKLNSFTERDQTAVFVQTGAQDVEKVVDILADVLRNSKLDASTIDSERATLLKELDASDNYHQLVLFDMLHAAAYQGTPLALSVLGTSDSIPAITAQQLKEWQEDHYRPVRMVLSAVGGGVSNVPSLAEKYFGDLSNEYPRKVPQVDGTRFTGSEYRYRNDNVPHMYAAFAVEGVGYAHKDALALQIANQFIGQWDVTHATSRTAASRLVQKIGHDHGLQNLQHFNINYKDTGLFGIYFVADAHDLNDTSGIMKSVAHEWKHLASATTDEEVAMAKNQFRTNLYQSLETNTQKAGFNAKELLYSGQLRQLSELEAQIQKIDAGVVREAISRHVYDRDLAAVGVGRTEAFPNYAHVRAGMSWWRL >CRE04546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:397397:399949:1 gene:WBGene00055643 transcript:CRE04546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddx-15 MSSRHRLDLDGSGRGDRRRYFNFVFTNIFLSSEDSRSRSPKKRSRSRSPIRHSSPDRQRQTGAIGNMKVSEQLSFVSSISHIQVQINPYNSQPYSNRYWTIWEKRSQLPVWEYKEKFMELLRNNQCITLVGETGSGKTTQIPQWAVEFMKQQQLGQPASQAKLVACTQPRRVAAMSVATRVAEEMDVVLGQEVGYSIRFEDCISERTVLKYCTDGMLLREAMNSPLLDRYKVLILDEAHERTLATDILMGLIKEIVRNRADIKVVIMSATLDAGKFQRYFEDCPLLSVPGRTFPVEIFFTPNAEKDYLEAAIRTVIQIHMCEETEGDILLFLTGQEEIEEACKRIDREIQNLGSDIGALSCIPLYSTLPPAAQQRIFEPAPPNRPNGAISRKCVISTNIAETSLTIDGVVFVIDPGFSKQKVYNPRIRVESLLVCPISKASAMQRAGRAGRTKPGKCFRLYTETAYGSEMQDQTYPEILRSNLGSVVLQLKKLGTEDLVHFDFMDPPAPETLMRALELLNYLQAINDDGELTELGSLMAEFPLDPQLAKMLITSTELNCSNEILSITAMLSVPQCFVRPNEMKKEADEAKARFAHIDGDHLTLLNVYHAFKQSNLKFDYSYPDTTSIFSDAEDPQWCYQNFINYRTMKTADTVRTQLSRVMDKFNLRRVSTDFKSRDYYLNIRKALVAGFFMQVAHLERSGHYVTVKDNQLVNLHPSTVLDHKPEWALYNEFVLTTKNFIRTVTDVRPDWLLQIAPQYYDLANFPEGDTKRKLMTVMQTLQKNSGRGY >CRE04743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:400254:402067:-1 gene:WBGene00055644 transcript:CRE04743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04743 MTDDDRETQLFELEALESVLRENKLTKTSDWTNKNAVIEGIIEVGFDSISDPKVTIEGTSETGENFSLSFDILPPVRLKFRLPADYPSVSSPILELESDWMNQEQMTTCEAELVKICEDNLMMGVLYLCYQAIIDLIDQLPTRVIKLNEANVLNKNGGSIDSLKKKILGKGEEAAEEHFVNTLFDCEVCYDSFMGHNCIKFQPCAHVFCKSCTFDYYRSMAKGVVSKAMQCLAEGCKSEAQENIVKEALGDELYAKYEVTLVEKAIREMADSVECPRENCQKVAYVTDRWVFMHLKNHRNFCFRQRNLAECSYCQFSFCNLCKQTFHGISGCKWKKGDKEKLVKQWQGDDEHIKADMCRQFGGEKHVEALVERFLNEEWLDSNSKPCPKCSVSIEKNEGCHKMHCTKCDTYFCWLCAAALDKVDPYKHFQGDGTCSGRLFEGVHNFFEEEEEGDDHLFEMAFDEGSDYESDDSVDFEIEDQLEEWLEREGHFDDGPDGMGDLEAGD >CRE04547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:403282:404349:1 gene:WBGene00055645 transcript:CRE04547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04547 MKRILFLSVLTAVNAFYYKRTMYGDANEGVPASPDYVNAYYGFPSVPPHEPRRRPSFNMYPPQGYFRREHPFDFGPFGYGSSRIGDANFGEEKTERERAMEKAKPGFWRQNQRETMDRIKQIIGEQRMNMEQKHMQIRRVLSHEGDEIRSKFADLHKRLIMKNNKMASTVSPVPELSKQAKKLEKEAKKIMENRKMSVEKKQEKLDKLMLKAPESVKNELNAMNDSGSSAPVPIPAPPLAPGQMEALNRVNPSMTSQQEILNRAQQTQAVVEARQIRVSEDGNTVEI >CRE04744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:405342:405897:-1 gene:WBGene00055646 transcript:CRE04744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04744 MVIWPARLAEKYITTEERKAILTKVNDYAQTDDWFPALSTIIKEISNELNGPPEPRFDTGTLSLLLSVGLAVGLTLFITCCVCAFRCCGNVKTRERRKSVERAMDNLRNSVIRRGSQFRRFDCQCIPMLCTFPCVTLTWRIYLQSFINASKIL >CRE04548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:406172:407537:1 gene:WBGene00055647 transcript:CRE04548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04548 MEILLESNDDTVVLKDGVEIPKVKPYVPILKDRNGSEYLRNEELFRGKFLVKGLIGRGGYGQIYYGSDATFPEDVVIKIEPVIRNGKTGRRMLLEQKILYRLQGRPHVPIMCASGHTDHLNFIGIIFVAIKFSLNHLLVMQLLGPNIGDLKKRSPVRRLSSTTVARIMIQGIAALRDVHSLGYIHRDVKPANMCFGVTQNTRHVLKLVDYGMVRRYKNSDGNRRKPRYRPGFRGTLRYSSVRVHDGKEQVPSDDFVSMAYSGAELLLVNLPWKLVSVEEIRQTKLDFHTPNSPFLMLTGPYFSIFCGAIFSLRSQDEPDHSSLQALLSEMTGGKSLREAYDWEENYRDALGSSTTESV >CRE04549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:412391:412703:1 gene:WBGene00055648 transcript:CRE04549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nspb-12 description:CRE-NSPB-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ11] MFAKCFTAILLCAMIIGSHQQVVVPAYSAAYVPSAYAYPSVYSPYVAAAAYPSVWAWGSNKNKDDSAPRAFARPSALLNNQKPTSA >CRE04746.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:414376:416275:-1 gene:WBGene00055649 transcript:CRE04746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlc-3 description:CRE-MLC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ12] MPAPSQDVLKEIFNLYDEELDGKIDGTQIGDVARAAGLKPTQAMVVKASGQEFKRKGEKRITFEEWLPIYEQLSKEKEQGTYADFYEGLKVFDKEETGKILAAELRHILLALGERLSADEADELLKGVEDGEGMVKYEDFIKKVLAGPFPDQD >CRE04746.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:414191:416262:-1 gene:WBGene00055649 transcript:CRE04746.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlc-3 description:CRE-MLC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ12] MPAPSQDVLKEIFNLYDEELDGKIDGTQIGDVARAAGLKPTQAMVVKASGQEFKRKGEKRITFEEWLPIYEQLSKEKEQGTYADFYEGLKVFDKEETGKILAAELRHILLALGERLSADEADELLKGVEDGEGMVKYEDFIKKVLAGPFPDQD >CRE04747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:416626:421738:-1 gene:WBGene00055650 transcript:CRE04747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpc-2 description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3LZ13] MTGGQANGRARIKSECGKDQKCMPLEDKWLLVPAFLKVRGLVKQHLVSFDHFVNIEIRNIMQSNQKITSDANPNFYLKYLDIRVGNPSSEEGLNMTHDKITPQECRLRDMTYSAPISVDIEYTRGNQRVFKKDLIIGRMPIMLRSSKCILRDLAEEELARVQECPYDPGGYFVVKGSEKVILIQEQLSKNRIMVGRNSSKELQCEVLSSTSERKSKTYVTMKKGKYSVRHNQLTDDVPVSIIFKAMGVESDYDIVSTIGHEEKYVSAFAQTLEECINAGVYTQQQALAYVTSKVKARKFTPFGSLPGTSVSVLTPPKEHEAVDFLSNSMITHIACPDGNFKMKAIYLGLMTRRLIQTELGENELDDRDFYGNKRLELAGSLLALLFEDVFKRFNSELKRIADNALMKTMAAPLDIVKHMRQDMITNTIVNAMSTGNWIIKRFRMERLGVTQVLSRLSYISALGMMTRINSTFEKTRKVSGPRSLQPSQWGMLCPSDTPEGEACGLVKNLALISHITTDSDEKPVLRLLLNSGVEDLHNVHFSHVNKPENTLIFLNGVLIGTAVDPERVVRAVRDLRRSGLLSEFVSVSRSITNRSVFISSDGGRLCRPYIIVKNGKPMLTQDHVQQLKEGKRIFEDFVDDGIVEYLDVNEMNDALIAVYEKEIGPETTHLEIEPFTLLGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGTIAYNQQKRIDSIMYLLCYPQRPLVKSKTIELTNFEKLPAGANGIIAVMSYSGYDIEDALVLNKASLDRGYGRCLVYKHVKGTVRKYPNQTFDRLLGPALDPNTRLPISKHKNLDQEGIVFAGARILPKQTIINKHMPVVSGESGPGPSASANTIGIAGRQVDYKDVSISYKTPTPSYAERVLLTYNEDEAHLIKVLLRQTRRPELGDKFSSRHGQKGVCGLIAQQEDMPFNDLGMVPDMIMNPHGYPSRMTVGKLMELLSGKAGVMNGTYHYGTAFGGDQVKDVCEELAARGYNYMGKDMLTSGITGQSLSAYIYFGPIYYQKLKHMVLDKMHARARGPRAALTRQPTEGRSREGGLRLGEMERDCLIAYGASMLLIERLMVSSDEFKVDVCTGCGVIGSKGWCQKCRSSKSMANIKIPYACKLLFQELQSMNIVPRLDLARYTE >CRE04748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:421915:423363:-1 gene:WBGene00055651 transcript:CRE04748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04748 MGSSVRNLPALFRGLGGKRVYEKMSFSLSGSTKSEILVDCHGSKKVVTLNRPKALNALNLNMVREFYPKLQEWNSSSDVDLVILKGSGDKAFCAGGDVLAVVRSFKDAESGKDCTMHKDFFREEYILNHLIGTFNKQYVCLIDGIVMGGGCGLSVNGRFRVATEKTMLAMPETALGLFPDVGGSYFLSRLKGNLGMYLALSGYRLLGADAFHAGLATHFVESSALAKLENELVNMKDVNENAVDEVIRSFEPKKIPDFSLSKNLAQIRDSFGAKSVEEILLSLEKDGSDWAKKQAATLGKMSPTSLKVTHRQITEGSKMNYAKIFTMEYRLTQRFLADKDFHEGCRAILIDKDRKPKWNPATLSEVKESTIDHYFSPLPNNADLKL >CRE04550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:424029:425280:1 gene:WBGene00055652 transcript:CRE04550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04550 MGSSAQECGCKGARFCALCETTERVKKLRVEEDKHTHYKVFIYDPIRQLAIPTCNLNSKSSLLEIIDETSACQSVTSDDAIEIDGLTLIHDFLTEREESSILEMIDNVEWVQSQSGRRKQDYGPKVNFKHKKVKTDSFIGMPEYADMLLNKMSDYDLKKLGNYQPFEMCNLEYEEVKKSAIEMHQDDMWIWGNRLISINLISGSVMTLSNDSKSFLCYVHMPHRSLICMADECRYDWKHGVLAHHIRGRRIALTMREAAKDFKEGGELYEKYGAELIRLGNIRVPLSKTSA >CRE04551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:426438:427425:1 gene:WBGene00055654 transcript:CRE04551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04551 MSDRSLNYSDNATLLQRDSSQFLPKIKKHTKYVLVQSVSLFSRSDPSFKTLLSICLISVTSWFSASLSHSHTLTHSLLSVLVVVLQFIASKLRLHSLPSHIFFDHPLLCSLSIISVDTFCFFFSFPSSFFLPSSSSSSSSPDRSIRTQKEILSPKGFTSPGHFFLSFSVFLPSSDLLFRILIFSETIFNMCDEAARLAKIGRQEYDLIRIHDAPNCDDQTKFECDLELARFQVIRSQIALKNVYNEEFVTPAKLRYLRDDLEAAEEHLKKLLELSQ >CRE04749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:427409:430471:-1 gene:WBGene00055655 transcript:CRE04749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04749 MPNDLPLLPPKNQHKHLDKTMISLPSDFRHLAHVSPMGASEIENLRNSENVIDAVNEGSYYVKPSSSNGTIRSQKKERVGLDDAFPAPNQDDASNVRGYENQPTSHQYINVAPPRPSRKNYKAPPPPTPPPKDTKPNLIPDAEASDPPVVFRSISKSSHVSEPLPSPKIHTPERIEAPKPYSVVIERPGDLGGAFSFGLDDCIPIMKNTSPLKTLSPLHRTLAIPRPNVETPILSPSSPPNYQDGNYMSTIRSTSAQGKKILDELDDWLDDLDDDDREQLSTISTDESGYPTLAHEKDRAFMKHLVEKYELGVEPETVRLRRKKGPAPRLPPEALSSPTFQAPSIPPFSASRPVSMPPNRPPPSYDAPSFDAPVYEPSGLINGRNLTKQNALDLRSSDEGVSPVMARFLFDKRIKSATMPMSIGRMTTSSGFTDLSTTSTRSDAVSSALSALDEDPVEVLKRNEEIEEQFPPVPKPRTRKTVSTEPTMIEEGVEEEEMKRENVIGEEFEVIETSMVVDSEIENELKVTRKMEKEEEVEMKKKEEKISHLQITEVPSDFESEIDVHDELIVTRF >CRE04750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:431248:432697:-1 gene:WBGene00055656 transcript:CRE04750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04750 MSEVAEVVPKIIEKIFSEVPEPFKNFNITIKPLKNSRSFWSECYQIIVKSNSEEFENRVVSPLFVKIPRISAVNLACDPDNAEENTQILQALTAREIQFYKDFKTINFPGFPFPRLYYGESFENESMAGLACEDFSGIGASIDFVPGFDDGQTLQLMESLAQFHAKTIQISEEIQLDKYTNDLYDQKWMRMLYNDTLDFEKLVPEKLVGRIQAVKHAFDEDSVQKSDELNRESGMPFVIVHNDLNTSNILWNIETGRIQAFIDFQHVSKGAVTHDIIRIFCLGLSVKNRKENTDRYLRHYYDIFKQQFPESKIPFTFHQLKQSYSDHFNYINATSLFSLTYYYKMYKDESLDMKEESKEREEKAEEILSRAIGILDDITMQ >CRE04552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:434524:438819:1 gene:WBGene00055657 transcript:CRE04552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04552 MGKKKKPRVREEILKLFEVFEDVEFPPIPNMLPPQKSLKERLLEQEQERIEEIKAYEKRFSGKSHIPDDVFMALDRTFGGYETVNVEHSNIFKEEMDSFIKTSEPEPDQFMTERPRNAFESLHDRRPLVYWREQVIKGFKNSSMILERFMDERDLARAPNATKRIAKAECINLQIRRYSEIMKTSLKKQTNIIAAAEEVKDRLRRQAKDPFGLFSLIPHTIKKSNKSQHERKQILLDSYKDYLQDFNYSERPGCVAISSYLDIQKRRNELKEFLNSCESRKTPVRSVSVPPKRVKENGHEKRIEKEKDRNKRKILESSDDEVIVIPKKVGKEQRLSRESGRRSTDNTQPSAENGRPKKDMMKPLPESEIFIPKKISKDRSSVELVREKKDNVDPSLERKRKEVDKRRELTQKHDQQYCETLKKAQEEHEKKMKEEEEKRKQETIRMREEYVRRQLDLNKRMEEEKRLRAIEEQERKRIEEEKKERIRRKEEAAELERIKRSITQLKEQSLRQEEESAKKVASEEDYQFIVLPAPKFFDGWKYFKCKKPDDWTQRKEKFYNHFNWPLDEMQRDLERSEAKKSASGEPIRIPHENTSTTKIKPLYMPAKKNSNLSVAIKKRPTSPKPSTIQAKPVEEIIGEIKLLMAPSRRNIAHSISLRSQEVDYQGIYLSRLKNGYIYPFHLADGQYWPLFFTLEDTSAVGHVESLVACSSFSGKYASRYLLTSSLEDDDRSKKFDQAYGESDGDWFEYSQKPGRFSNRIGFKGHYSKSKESFEQQKQRARDQLHDAFANETREQDEFCRYMEGYRRGSDRNRNEFKQHLSTHLRQLVRDARLDVYSIKEMFHDIEDGVTDHPGTRDSPLELWWHTHGYRIYLHTRDHEYGMYMYDACAAYEKLLPPQLNSGNVDKFNEWCFTPMLASLHYQSKYRPFDVFSSEKVKRLSERHSKYTALINGRKFSLSQAVELCRLTTLDKYQWTILHDQLVSHFRDFPAIFQLMFDLPLNLIPRTDKIACKLLNSQFRLSCNSWSYGQSMYKKDSK >CRE04751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:439488:444858:-1 gene:WBGene00055658 transcript:CRE04751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dex-1 MQRHALIGALPPLLLLLLSTVECMKFDPERIAARLRIDEKWDELEAFQSIKSRRGRQIQPKEISIQVTAPLFSSRLFDYGPTADDQELPQALDVGKKLDLVHPLQFFGSNYKTIYILSNGAVGFEASSRSFKSGILPGSTRFLAPFWNRNDLRNGGKVYYREVTKGRVLERGQSEIRYQYDKNVKVKSALIITWDKMQPLNTAALPEENTNTFQAAIFITANGTFANFIYSNIGWTQGAEAGFNAGDATSHFKLPTSGTPNIMYLEEYGNTGIPGEWMFELSDLRVISCKSGIKGDTCDQECSNGEWGPDCAYCCHCSEGTCHPISGDCPRGCATCWDGVACQTRQEKCATKTQCASNALSFNDYDRCGEPIQRCQCLNGYKGDGYNNCEGKYINVSRRTIPSQVISDIDECRANSTICHKNAVCTNTPGRYFCMCKEGFSGDGQTDCSQSFLFQYDTHHQLPRKKNSKMEWSLKKPMKIFGETTEKLTVTSTGLIAVNELNKDGGRLEDMKLIGIAPFFGPIDLSRNGAVSVEEVDDVEVLRRVTRTIAENYNDPTFEAKSVLVVTFSNVTDGRQAKGNTFQALLIDGSGARNEKMTFVELMYRDLPWASGAEAGILSSDASSSILLPASGTEAIAQLAKNSNIKQPGTWLYRIDKPQLMPCAQPIQVPPYCDHLLSTAPRLPSKLLEEKKEDLTLPSPGAFLIDQPSETIVPTLVRGGGTVTRGRNILTVTSSPIENQPKRPTTKTTTRPRPNFSSTPHRPIVSLSDEDFEIGPDAFEVTFPPFVTVQPELFRPNQRLQGSQKSTQRPLPDFSIKTPLREEVTTSTESNSRVTSSNNSRSTTIPSRSPIDTEMSENEESPFEAGSFDGETEKFDLDSLDNAFKTTKKGPELSVTPEPVQVDLTGDAHVVETSEEEEEEEKEMKTEMSTTTTSTTMAPTTTTTTMMRTEERMSTEVPPSIFVFTTTQKPRVTTTTQRRIIQQQPSVIVNSQPPKQRNEPTVNVGHAEEQSPSKLSLLMVFTVFQFKFIFLSELAILLPVMIILAWLVILVCIGAVVCCKKRNSRESSQLRAMYGAAYGVRPTAYESKRKESTYEDHLERAARLNGQSALSGQQAGKVSQYGSYWNIPLSSHSPARLSTQERQSPPSFINNGYTNQTRYAYAGHY >CRE04752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:452416:455248:-1 gene:WBGene00055660 transcript:CRE04752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04752 MENDRSTDKVSFQSFRTVRIIFLQNNEDDAPMSEDDTVYVSASDQPGQITINLEKDMKRKITIISEVEKPKRPRRGSVDLRRQAIVNNAIRSAQSTAYSRSRSRSKSRSRSRSRNRSRSRGRSLTRTRSASGDKMKKRSKSLQARSRSKSQGRVPPIPIEKIEEKKIDQTLLLRLPTHLSNKSVFGGRSKVAPESIQLPSPLFILTEQQVVGASEKKTVQFVTKILLTSHKLSIDDRKKLYAEMVEAEYVGTSRSSSDLSQSSDDEPEEDFQLKMYYSSKGIYIHSVQNVHKIRNGKSSKITEEKSYSNICKTKIVGDLGKSVEGFLNVTCSETVSVTNQNYTRSEHFKIGLKDSSVFGNVPISALKTTFDPTKTISFNEFVFFDNKNIGIDIRHESTARMEKMWNHEVGSFGEEQSEYREVNFFFDDGKTGKDQKKTAGATVSSVVQTPKKSTENSSKSSDVSSNQSVSSSNSSASGSSISSKRAKFRTKRLKTMKKKKSDTSLKKTTKSPDRESSRKFIRPDEEPLRLSSIKSTDQRNSTANESFYHSFPTATSTPKSPKSPLNSSSILQSNIKSASKTTPNKSPLRHGSIPIKVQGEKHSDNEIDLDKSLCSKKPTASKNLARIVESQKKKEEGLTSKPPTSPGNSVYTYSTGNANNSTYMTAKDLHDSRISSSSSAPKSLISPRGTLRVKETKVTREVRQEEGKPDEVNEKKEETVKEEKVKLDKKSRSISPATPKMKNTLNQNMVTLSTGIHSLSFSPLNSKFSVKETHQTLDVIPIKVSDDTSPSINSSFVSGQKAFKEEVQLDMMIDKKRLVLNVNFMLLAEKQPEVEIIGFSFKGNKLWEKSSEWNLSSANTTSHTKTIARQASNILEDGKEEKK >CRE04553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:456246:460011:1 gene:WBGene00055661 transcript:CRE04553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nekl-4 description:CRE-NEKL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ22] MAEEEDHPLLESLSVFKLGEPSFARNECELLSRFLEDQQENSLDSLSLHQLCTNVIRNRICNRDFIGSRPAKKWIFLIFRLTRTLFREKSRIATFHSINLHANFVQTFQFIASRFLRNEHDWNVTVVNCLNVTQKLIDNGENAQKFVECNLEDGVLVLLATRQMTVLQHSLEILGRLSDWSTTCRENLCESNTIDVCLQLIPDGDILTQKLCVSLLRILSCEEQAREQIRIYDGVPTLLGLLSIKNSRLQWHVAWTLAQLAEQHETSLEIAQLGGISLIFAAISNLKPPGKAVGDWVAMLTGLTALLAQLAQASSNQQLMSNANGVYILGKLLAIRKQVVTDEPIDSWLLLQCSIFRVLRLMYTFERSRQLLKKVLPTEIFEKFVDVGNYNSLLTDYDEISRMYDDLIEENIDIMKDWEGVNERRQAVGEVGEYELLDQLGAGAFGCVYTVRKKAQSHSESPAKLFALKEIFMTNLNDRESDKSFGDMISEVKIIKQQLRHPNIVRYRRIFVENHRLYIVMDLIQGCSLRDLIVTMKEKKGNFEEKKIWAMVVQMMLALRYLHKEKQIVHRDLKPNNIMMTTNERIVITDFGLAKQKGPEYLKSAAGTIIYSCPEIVQNLPYGEKADIWSFGKIFCLHFSSHTHERSGCCIYEMCHLKPVFHSTNMLTLAMQIVEAKYDPLDEMWSDDLRSLITSCLSPDQADRPDIMKVSGMCGSRLLEYLDDVARQQATTSDMNTSVSSYSISKFDESPSSLNSSTSSYKRPGRSKVAGTGLLPPINPAPRDVILIFSGRNHSMSAGETPRVFSTSIVPLPRITNDRYGVMFPSAPSAIPNRRRVQTCSTEHPARSSSSTELKVSKQSDGLTVSSNVLRQIQDPVLTILNQIHRILVVTDKESISTTMNHQRRLVEMFRKNLLGRENDAVQMKTHLRKLASESPEEINMNLGFSDFRPVLVQSHINGYQKDQKVTRITYEQLSACIECLIVENPPVK >CRE04554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:460474:463672:1 gene:WBGene00055663 transcript:CRE04554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-25 description:CRE-PQN-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ23] MIRFLFLLELLVITVLSQCPPGLTPLFSNNNNQPLTCTPQDSCSCYSGSSRFGTLCQYASTYNNYICCYSTNTQCGTNSSPQISASGQVVSCSMNTNCASGYTCNNGVCCPNTNSNSCSNSGNNGCLTGQVLVNGQCYNSVNIGSACQRTEQCTGGSQCQNAICQCQSGFVNVNQQCVVSNGLNCQLGTVSYQSQCISLASPGQNCVTSSQCIDNSICNNAVCTCNSNYQLVYGYCVPFTTGNCQNTQTLVNNQCVLYSIVGETCIANQQCVGGAICNSGTCRCQSGATAMYGYCISSSSTSCNSNQVSINGICYPTVQIGGSCSFSQQCLNNAVCTNSVCVSSFCNIQCNSNQVGDGNELSSLQLFIDLLSRCASLTNAIIMCPSGHSVLVHSSVYRILNVQMVFVSVHKELRFPMEYVHPITITMDISYNGQCYNTVSIGSQCMITQQCLGNSQCINSFCQCPSGSTNVNGFCQGSNINCNSNQISYNGQCYNTVSIGSQCIITQQCLGNSQCLNAFCQCPSGSTNVNGFCQGSNINCNSNQISYNGQCYNTVSIGSQCVITQQCLGNSQCLNSFCQCASGSSNVNGFCQGSNGQCGVNQVSSSSIFSKPSTFQVLYNGQCYSTVSIGNRCTITQQCLGNSQCLNSICQCPTGSNNVNGFCQSSIIEYFELSNIISTYVLQVSFNGQCYNTVSIGSQCVITQQCLGNSQCVNSFCQCPTGTSNVNGFCTTTSNANLCSAGQTVQLDNTNQPINCLVSTCPTNSFCQYSSSGQRYVCCRSTTGKKK >CRE04753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:463770:465859:-1 gene:WBGene00055664 transcript:CRE04753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04753 MSMLVLDEKFYIRSLRLIGFLPQSASCRIFAGDSMQKRLTSGSSAAAGKLMQDGISSTQLLHMLLQREKLLYQNYPLSLAQGEGMIGWRERNRECAWVCAAARRIGLEMDAASLAVSIFDRVVTSVRIPGKYINCVAVGSLSIAKKLCEDHEEDAPVFLGRLRLEYSAQELKRMELKILEVLRWDANLPNLNRFVECLLSELEATFLLPSINFRKHLDFLLCDSALTSNFRWSVLALSTVSLLVEATNKHWQYPINALARLCKIPMSEVNRCRVKISNLWSRHMLPMPSTFHLLADLDDQDSDMDIDSPLSYDPSSHPPAPSSEASEYITSPAPRALQPTPC >CRE04555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:467152:467490:1 gene:WBGene00055665 transcript:CRE04555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04555 MLIKHAHRVIPRSFSYQHGHVVTCFCAIIIIDAIYKMGRKWRTKGKRAETGTCVPPGTLIYKVFRQLTTRKRNEAVRLRRVVKISGTYFQIETSGGGGQKNDSKFYFLLAYL >CRE04754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:470001:470687:-1 gene:WBGene00055666 transcript:CRE04754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04754 MLRNISPFVLKSTRQIKISAATSSASFNGVIPTEKIEKRYTLSSGPGGQNVQKNATKVEIRFKVNEAEWLSETLRNAIEEKLSHRINSAGELIIDSDRTRERHLNVADCFDKLRSAIYAIEKEQGKRKTSEEDEKILRERAAVATQHRLYEKRRTSEKKSLRHAAVEL >CRE04556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:471046:473051:1 gene:WBGene00055667 transcript:CRE04556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04556 MYSSNAVHPFEMDSSKSTAASHSKGMGWPKASLFLIGHSAGAGMLAMPMAFTVMGVEMGTIMTIVCAGFSLNTGLQLGWMWPMLQEMWPEYRKICQNPYGEIALRSYGPVARTALEIMICFAQLGYAVVLLLLAAKNASVLFHFFLSVEINQCYLIIVVALLVWPTTMLRSPANFWQIAWLSTASSWAAVVLIVIGVLHDAPVCQKDVQHDPTDLFKSFMSYGSMVFAYAAHSSFPTCQHDMKKSSDFSYSLILTWTVISVYYLTVSYLGYFVYGSSIGDSIISSIQNVTLQQLINLMFAIHVASTIIIASSPAFLMFESLAKIPKNFNIKRFFLRSFVFLLVTLTALTFPHFGPMINLLGSSVNSLLAMILPTAFYLSLRTFQLKWKVDKKRKELPTFGEIFESTPKFILAFNCISMTFGLLAGIISTISAIRTFSNSSLPPPCYIQYFSSGLPFSSPNGTIDCCGTYRNLTVSQSDPNGFCSFRNK >CRE04557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:473459:475163:1 gene:WBGene00055668 transcript:CRE04557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04557 MELIDKVFQNGELLENILKNVSKDGMNHLSLRLVNKVFNSEMLRLIRRNHRGIYVSNVFKSVDDEWRMEEEDVTYDVAINNQKVNSARVYAYCRFLKEVAEVKICKLTIEALPVKYTYEDLHDALINMLTGSDYDIVEEFIGTKQICRFGCDRCSDIVNNGKCKNYGPLEEYIILHPHHFHCLLVSDVLLFQIAQRCITFSDEKEEALEKLDGMISPLISCDKLHLILTDSFGATRYRSIGEENQLSHSFPREVLDLMIVKWKVKSLKLEFQATDSETCTVFHYGDVFAKGSFDTPFANLKVSNYTLESVEIDLKCTEIVIEEMETFLNTRQPSPFDNLVANAKRLFPTRNMLVKFPNEYCLITPENIASFIPKLMKISEMEKDRNFNSTFRIYSPNNGKNYSDYTLNIPDILNLGVRFLTEEGEENNHFLLEKVVPIPVEEERLTLGNKCRWYGKSFQLKNEMTNNRIQFQIIFEEEFLEMLSSVRDKLKQVGFLEHYLP >CRE04756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:480188:482513:-1 gene:WBGene00055669 transcript:CRE04756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04756 MLNPNDADDSDSSTDSPPPVVPRPKRSATVSPSTRNSFYNSRRRSDANLNFFNERERQRERRRSMVRASRERSESRRSSQQNLRKTNSEPNVDMPSVREYLDYPKTKKFQIDVEALQKLLLSLPKFASASRSRINHRHDSDSGGEMTTEIEELKDAAKSIQSLQRVLAYPSQVSSDRGGNRRLPTVDPVESCLESSVFSDVDESSLATDTTDGGRRSGISTRLGHPRGVMQFIPSIRNDQFQPTSEIRRNSISRKSSVPDGFMSNELQSDPVPNVRNRRRGIVDEMFSSSTSLLVDRPSETLAGVNRFAKLLDTFRSRPTSPEQHPSISWNPYVYSDGGEALETCGMEDSLHEADILLWKKRSRASLRRHYSVRHLAARELLDTEKSFVEGLEFLVTKYMRPLRQPLECTLIEASLVDKIFYRIPEILAHHQVLLTTLSQRIDQWHKDAILGDVLLAHVGLGKQIIDEEGFFQFSKQSMIETYIAFVDNFKFAKASITQARQKHAFEKYYSRCCRDHPNKLDLDALLISPIQRVPRYELIVKQMLKHTPVEHEDRERLQRAQRHIHCLAVAINQHKDGSEQMEQRLREIEAIVDGLDDLVTKERTLLRHDIITLKGTERERCVFMLSDLLLVTSVKKKAKVMYTKMT >CRE04558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:477798:479868:1 gene:WBGene00055670 transcript:CRE04558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04558 MGLSRVWSTTDKDGPTSHINEKGIGWIIGAIFIIGETAGGGMIALSYALTSMGLVPGLILLILCSVFSLYTALELCWTWKIMQNRWPEYRDHCRKPYGEMAYRTIGRKMRSFIAFMICITQIGFATVLVLLAAKNLSILLHFFFHLDINQCYLILIVGLAVWPATMLPSPMHFWQAALFSAGSSTLAVILVVVGLAHDAPVCSQEAPHDEPNLMKAFMAFGTFVFAFGGHATLPTIQHDMKKPAHFVHSVVLAIICKCLDRNYYFCTILYLCIAVGGYFVYGSTVGEAIIPSLQIKWIQQTVNLMIAVHVITTIVIVMSPPIQQVEALLKVPHRFGVKRFLVRSVLFWFVIFIGLTIPHFGPVLDLVSSSLKHRTISIFKIGASTMVLMTLILPPIFYLSIRTQEVIWLEGNEKSDEAEPIHERATLKEILRITPKAILALNAAVLIFGIIGGTLSTITSIIRLADSDMAAPCYWQYFTKGLPFSGDNIGSVSCCGTFRNLTVSGQDPIGYCSNPDF >CRE04757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:484103:485687:-1 gene:WBGene00055671 transcript:CRE04757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04757 MKTSTIFLVSLIIAAVHTNFLPDCTNTADGLYPLGSCEPRFLTCSGGIALIMDCPDDLIYHEGLEFCDWRHNIFACGRAEEASAESSGDASGVATEDGSGAEVENGSDENSGESSGDELLENVCENLVNGVYSSRRCTNYYFICSSNTPRFLSCPTPLFYDPIQQKCSWKEAVEECQKEITTAAEEYIPDDQQNRDESSGSGSGHPIESCEDKSDGIYPIDECSETFLTCSEGISGIMDCPSTLFFHPSLLICDRQQNIIGCTGSPKPTPACEEDGYFSFGRCASSFTGCTNGRAIIMFCPTGLKFSQSTQMCDYEYNTLECEDRNRKESSLDDDYEKDTSNNFLTPCIHMENGLYALDCTPRVLSCQNGNERVFECPQSLVFNEQSLTCDFPETSLKCRIEDTLLIRYSAISTYDCAIDGLFSNSLCSRDYYRCHGGQLIKHECADANAVFSMVEGQCVDASNLAQCQ >CRE04559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:485994:487405:1 gene:WBGene00055673 transcript:CRE04559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04559 MASLPRSALRELLVTRYVPKIEDIEVEEVETARAGCSVLQPKRTLQNEDIIEAQGEDVELVEDENYRSVHRIDETPKLIVKKPNVSQLRDEAVKVLRNLKKTPAETLRLERYEYLVQRWFHTSRESEKELVEYVLLHPNHRPYLFTMQCRHIYIEDLAGIILVSKSLLSEPRETGQCLARFAIQTTIEMPFAVLYSVLENMVVENEGFQLSDGIVTASILSQRGNRSITHRLKTDKCKEYGQLEPELDNNGGLIASTASIRCSVKGKQHVEMQVVYRNNSSSKNPTARNRYIELEQTVKCMNRRVLIMEEQNELVEFEVEKDMIPLKNGVPPVDDLISFLKMDNSDIDSYSD >CRE04560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:488876:493898:1 gene:WBGene00055674 transcript:CRE04560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04560 MEDAPEKKRRKLTTALKEAIGAHSQKPEENIEKPIALALITQDLLNKIQASRRPQTVLEVTLKICKEKKSEAHVEEAFLSIFRKHFAILGIDSAITCWKLIEEHLNESESLNEVTIANEFIKTSNLLGHGFGKLLQTIKSNLPSVIRKTWNHGRLADSLSIAYSLVSLILAESVYGDDQTNSESVSEYWKKEILTEKSMKKNYGMRMLSLLAGEEDLRKYFLTKTEIDDNQLQLLSEIYFNHIEFFSGILHESNCIQLLGKVIDTHLESNQLRLLKNRIKTAETSLSPILYYSMIDSFRRFFEFTDTELISIENFESLKSKEVAKQLPSICSISKKPHKMTNKNAKKLKDFLDLIRHVAEKSEDHTCLAAFSILTINIAMIFDDSKTWSVCKSILKNLPIDVLEKLVKAGKGLDNEATSILRETKNLTEERNEPRKFVNRSGSAVIEKLEADISVEDSITLLQGVHSSEAKKDVFVFVRNPHMMTNKNAKKMKDFVDLIRHVDEKSEDHTCFAAFSILTINIAMIFDDSKTWSVCKSILKNLPIDVLEKLVKAGKGLDNEAKSILRETKNLTEERNEPRKSVARTLRVDSIVKFVEDIPGGESLNELKLYKLLIEMYGGSPIESLALQIVFARIAADGWADLDRTPGTEKTDESWFLVIVKMIDLLETAMKSAKNSIIKSYTALYCQLFSQVLKNSQKFIRNSLNAVASKTLTSEMESEFVLRRHKLTQDVARLVDAMKRNESYFSMVRISTIMFTYILIFQLTASIIGDAVFYGSDSLLAMSKLHSLADKNTSGLLATNLPVVERTRYKKFLSTITKASKRVY >CRE04561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:494745:495088:1 gene:WBGene00055675 transcript:CRE04561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04561 MYYRPPTVVPCVTPMVTPAVVATPMVTPIVASPVMATPVVSPVVAAPVVAAPYAAPMVAAPYAAPMAAPYAAPMASPYGGVRFM >CRE04758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:496639:498255:-1 gene:WBGene00055676 transcript:CRE04758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lon-1 description:CRE-LON-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ37] MNYLTLLTLLAPTALAYNVPHGFLTGEAVTSHSGPNDLDAELQHGGDDEVKREKRGYFFPSNFQNDGGLLARSEHPNEYLKKWIVHEHNRYRRMVPASDMNMLYWSDELAASAQRHADTCDFRHSRGRINVGENIWAAPYSNYSDSISIWFNEVHNPRCGCNHAYKHCCGHYVQVVWAKTNLVGCGFSRCRDVQGVWGRGHRNVFVCHYNPQGNTVFVTARGQLYAMPAFTWASGDNGRCSNCPANAPACYQGLCYMPKNYEHPTTTTPSTTTTTTEEPTTTCSPDEDVDPNDAEAEGADDDQFRLRA >CRE04759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:503883:505863:-1 gene:WBGene00055679 transcript:CRE04759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04759 MINDEEFDDQQPADEVTAATMRRLAFEKMDDDYYLGGAVGDEVFDSDDEVSSDDEVKTQLRKLAGIEKEVTKDAFGRRRRRSEFEDDMDDELDRSITEYASEHLGIKPKVVEIEDAPEKEVETKKEEIVNPELDAECRNADDQWRQETENVERKMAALELLPFDVDHTDSEVDPEMPAAVLKDKSGQAVKLVYSCKNGEVFDVETRRKLQKERRLRIAASRLPEKQRRENLIKTVVDTFKTNDIESHERPIKKKYEEGASTSSPLASILKKKISPKKMKMEEDEVKMEVDNVEEEKNPIKRAAMDRMKEIEKNDELLYDDQEDDDNEKWVKEHRKIARGQDVPDGEADGVLSCPGCMVELTRDCQRHEIYKTQYRAMFVSNCTLDGQKMSIEKTGKEKRRERQKAKKSGKADAPVLPDDSDLYTQVKCSSCGTIVAMMDSDEIYHFFNVLAGYS >CRE04760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:506189:507996:-1 gene:WBGene00055680 transcript:CRE04760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04760 MFELPVYKIMGFFFLIFIQFFGFNPFLSNICFSLCNCPLIFTFRTVQNLMNWVLFSVAVMAPLMAAEPTVVVVGGGLAGLSASLEIITEGGRVILIEGENNTGGNSAKASSGINGAGTDTQEKLGIHDSPELLVKDTLSAGDDENDKVLVKILAEKSVEAVQFLRNVGVDLTDINLCGGHSVPRTHWIPSPKEGRPIPAGFEIMKRLRTRLNELQEKNPESFRLMTQTKMVGIIREEGKVVGVEVLSAKGEQSKVTGDSVILATGGFSADRSNDSLLSEFGKQTLGFPSTNGAFAKGDGVKIARALGAKLVGMERIQIHPTAFVDPKEPAAGTKFLAAEALRGKGALLINSEGKRFGNELGRRDYTTNRILEHAKPIGEDFQGGSAERNAAIMLMNEATIDAFGRPAFNFYAIVKKFFKKYENSEELAKALNVEYSVIKATLDDYKEIFEGKKEDPFGKKAFPVSAISPHEPIYAAIVVPAIHYTMGGLKIDEHARVIDEQEKPIDGLYAAGEVTGGVHGSNRLGGNSLLECVVFGRVAGRSGLQVATNASRSEL >CRE04761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:508157:511458:-1 gene:WBGene00055681 transcript:CRE04761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04761 MKTVIYLLIVLFLPFATATAGLFDLAEYRRVSYDASIGEEFLWFAPDLDLREVTIKNIDDFEKRIVANIPDESLPNYVFVTSKGGQKFACSLPDVEELKKTNSPRSSKNPKIYGDALAASFYVDKCVKLRGNHWWAYTLCRGQTVEQTHGEPGQEGYVKNILGLYDGSLTMPSYQESTEDRLLYVEELYTSGTFCDLEEYREPRKTTVRYECDSQLSTNEAYVSSVAEVRPCQYLMIIKVGTLCHYPEFLPASQANTKNIGCQPYLSKKDVRLMLERQLEETRRQDRNKKQVALSRKEFHRSLARYNAITKSSRNMYIKDDIKKKNAEMDYHAAHFNLLIASLELEGEPITKKKQDYMWKLFSTADVGDYMFYADYDSISDENRAHLWYYFNDPTWPKDQFPKDILATAVQNAYIDEVREVLQSSYNLDYDKNGFAVGIREFLKTGVVLPETNLVIPAMDVYDTIIDINTEFSMDRYAWLQLIYLRLSEDREEFELIEKIIESIMKPQKKRSYFPLGNISEEALSYVIDEVWDDLIEISENGPNSEIFQVESNAQKGIYEILQIIPYPKDQPKRRGKHRFNYKIFLPEDKLAHEMETEYFHLLAPIYQLLSINWFHRKNENYFHVSPTSRDFLKPSDSDDYKFHYNLARDMGFFGRMLAFTTVAKIKDFENWRDGVVDEQIITDEDLQNSFMEKPLVIDEETMDTAAFVLYDRMGPTMVNAAKRILWKKKVEGYKIKYWVKDRKLRKQAQSMQGLMKDLLSVKDDSDAFVSLGGNSGSSNKKKEIFDEKFLKKLSMLESADDLDVDSIKESIYLTDDDFSNLDDMQHVLDLLEKAGLSKKTEVQIKMFDADGNEVQSDELDAVAKSLLDVADTVNRMKDSERAYLKKLLEDIQAGEEEEKD >CRE04762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:511888:513977:-1 gene:WBGene00055682 transcript:CRE04762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-paa-1 description:CRE-PAA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ42] MSAVEETADDSLYPIAVLIDELRNEDVTLRLNSIRKLSTIALALGVERTRNELIQFLTDTIYDEDEVLLVLAEQLGNFTPLVGGPDHVHCLLSPLENLATVEETVVRDKAVESLRKIADKHSSASLEEHFVPMLRRLATGDWFTSRTSACGLFSVVYPRVSPAIKSELKSMFRTLCRDDTPMVRRAAAAKLGEFAKVFEKTTVVEGLHSSLTDLHVDEQDSVRLLTVESAIAFGTLLDKANKKKLVEPILIELFDDKSWRVRYMVAEKLIEIQNVLGEDMDANHLVALYTNLLKDPEGEVRCAATQRLQEFAVNLPEDKRQNIICSQLLAVAKELVTDGNQLVKSELAGVIMGLAPLIGKEQTVSELLPLYMQLLNDQTPEVRLNIISSLDKVNEVIGAAQLSTSLLPAIVGLAEDGKWRVRLAIVQFMPLLASQLGQEFFDEKLLPLCLNWLTDHVFSIREASTLIMKELTQKFGGQWASTNIVPKMQKLQKDTNYLQRMTCLFCLNTLSEAMTQEQILKEIMPIVKDLVEDDVPNVRFNAAKSLKRIGKNLTASTLTSEVKPLLEKLGKDSDFDVRYFAEEARNGWLFLHSIVLSKKMLFRSRLVIGHITSQIGIS >CRE04564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:514910:515592:1 gene:WBGene00055683 transcript:CRE04564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04564 MGDGANVFNTFSFNKWADGKREEEIDKESRRRRAEQVRRVKCASLTIFYWQIKRLKERLRKERALREAQGDGDLDLDALIEAIQRGELINMRRKPQQTDVIVPTTSEDSSRRKRGVPDDLSDDYIFNEINLYANRSVGLNQVAEKFEHSSTGGNVPQIDPLSWKFNRRNQNNEILANADTRVEQRQNNFKFNRMIKEIPK >CRE04565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:516072:518477:1 gene:WBGene00055684 transcript:CRE04565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skpt-1 description:CRE-SKPT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ44] MSRFTARFTSTFGDKDDSGDENKTVFADESVPVFPCYNLYPRSSKLSVSGNSARLKSINLNDNNDIEEDTLPIRRIGARKRDNPFKKYQVPDEIVDVIFSNLRKRDLLSAMQVCQQFYAVGHVSRNWTITDVMERPITELTLITLMKRKIRILRLAGAKPDPISRVNVRMFASCMLGTSRIECLDLSRANLTVRQLLILLKPCRKLQCLSVEGNVLDDQVASCISDNKNLRELDISMTRGISINGARMISQKCNNLEQLNASWCGLSEPILRVIIDNITDKLRKLNLSGSIREDGLNDELVDAFSSKADNITDLDLSDNGQLGDAVVATIMARFPKLTHLSLNRCYAMDPNIIVHLNIKPSLIFLNVHGCITENNMKLFLQMCDRLKCNTQLFNFTAKPTSNNAPFIWGHNMLEY >CRE04763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:518631:521614:-1 gene:WBGene00055685 transcript:CRE04763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-disl-2 MSEVTDLETIEPPTSNSLKSTGFSGTASDNTPNSLKPVGFSQTATGGQFGAAAPFRVKSTPRKQQPFIKNHYNSPQKNQRKIFTEYISKEEANVGIKNGSMFRGVLRINPKNYQECFLDHPKGTSYSDVLVLGQNRNRAMQNDIVIVQLSPKEEWLVNQLFWVNYVEYVKWWGLNKKVERKLGKTDNNTNKPEKRCLQNEIDDNGVTVDEVPESCLITVGSIVRIDEKKHFRVAAGKLQLMPNSANPNVLFVATDSRIPRILIPKSEVDQEFFSRPKDFEKFLYTAKIIDWRDDSVYADGQLIKLLGVSGEIDTETERIVYEHQIDHREFTDECISSLPVSTAEQWTIPDSEFEYRRDFRNDIVFTIDPKTARDLDDALHAKHIDDCDGKGTPGVEIGVHIADVTFFLKEGTELDRWASERGNSTYLSQLVIPMLPRILCEQLCSLNPGVDRLAFSTVFKMSYEGELKDVWFGRSIIRSRVKLAYEHAQDFIENPDKEFEATELPGISDGNTPTEIKEKTLMLHRVAQILRKKREDSGALRIELPKLKFVMDEAKRPQGVSIYEIKDSNKLVEEFMLLANMEVAKKIESCFPDKALLRNHPPPKEKMIKDVADQCARIGFPLDGKTSGMLSTSLRKYQGNSRLFMCIRQVISSLTIKPMQQAKYFCTFEMAPSFFHHYALNVDHYTHFTSPIRRYPDVIVHRQLAASLGYDEKCNRGAEEIQNICTRCNETKQASKEASEESAMLYFGVFIHSTGRMTCQAVVLGVLDSSFDVLIVEYGVVKRVYVDKMKREFNKQSDQLTLFWSADPNAETGNKDEFSTLIQICSVISVVLTPVKDFDVNAVMLRPSLEQRKILGSTLKDMMETDSKILE >CRE04566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:522171:523290:1 gene:WBGene00055687 transcript:CRE04566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04566 MATEEPITSFPMDKEAAEEEIALLVRKPGFDDLTVADIIRHMNNRFNTNFDSYKSQLKEMVIEEIKKRNQEKDSSDESGSDSDNDSDHEKEKKKKLKTEIPEEKEKVVKKEVKEEVEETKPEKPQKQKKSAKEDDSDSDSGVDDAVIKKSSKNSAPRVQSDMATTIKSSRRAAASDALKQIRYTSGGGRYANSKKNKVKDPNADNSGKFGPMTKLCYISTELQQITKDQWMKRCDVVKVLWDYIKEKNLKDPKNGQFILCDDVLKSIFNKNRIKGFGMTKFLTKHIIGTSDMVPDMREEAEAEMDKRRKEWKVRSLAFHYQSTNII >CRE04567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:524070:525640:1 gene:WBGene00055688 transcript:CRE04567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04567 MSDRESRSKPKKTVIGSFLGRLSRSKSRGVDIDRKKDANQHEVAKIVPSSKSDGNIKSVSDRRSSETSHRETSEAFSAEEARMSPARRLLNRFTTFRSTGRFKSASRSSKDASSDCLNTSRSSLTAKTTSEHDLHAITSSKPNRILVSSGIPTGAQSETDLRFVTSRDSHKSVPLNEPFGFNEKSPRAFMSENDLSSAITDFPEVNRTPSYLRISCALNGYTRSPKADLTPKAPMVMGESIVERRSKMFNHPQERKPAPGFGSPLTTTKSSESKTSEIEWFVV >CRE04568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:527499:529676:1 gene:WBGene00055689 transcript:CRE04568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04568 MLISTDFLPGPFLTPIAPQHNNRLNSLLQNEMGNHVSTPQPIRDLISKFDQLDLCSAHKNEKDGVENIEPHKFIINQQPYQFLNEMFQVFDEIDLKKDANGNDEEKSVEESNLKISTTDAESDSGISGSSPASSKRNVEILKDTKEDEQEVTTSTPSDAHEFRRLHDKVKADLKAKMDVASKDLEHADDFPEKCQESLRAAHGNAHLLVRKKFSKFNELIEKNINPIADDPMPVTVQDLEGFWMTIDMELKGIMKEFTKVEKYRAANWDPSAVEEEVDVADTTVKTTKPRPLITKKLTPIVSEETKKKLAEQKALAEQRRAEMRAQMRQKMKAKNQEEPVLGASEEC >CRE04764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:530644:531060:-1 gene:WBGene00055690 transcript:CRE04764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04764 MSEKKHIERTEKTKKSSERQGEYSSVRKWGVVKKIWKKKRNVGKKKGKTMGNGIVQISIIKNRKKVLLRNFNAVSRVMVFFSIFYLFPGAGVPSAVVSPSNPGREGSNVINDENSFLDSNIPLTEKNEENSEVIREKS >CRE04569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:538993:541477:1 gene:WBGene00055691 transcript:CRE04569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-24 description:CRE-DNJ-24 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ50] MAPREDSPYTTLGISSTSDDVEIKKAYRKLALKWHPDKHTDDKSKEEAEQKFKKIAQAYEILTDKKKRADLDRTENPGLHRRRSTPGYRMHSHDMFRSPFDIFREFFGNRDPFENVFFDDAFTFPDVDSYAFKNPPRSADFTTKHHYHRFPSSRVHIFYDENKNKERDDKNSFSTVIRFSSPTEPGKNATVRKTSTSTKVVDGKKIVTKTVENGDEHIVEVHEDGELKCRTVTTPPTSPTTVTVAA >CRE04570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:542478:543142:1 gene:WBGene00055692 transcript:CRE04570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04570 MKLEVSSSSFNYVIFHLLHFFNLFIFLVVIMSTGCGKKKAMKSKETSRKSSETVQSTATPVKSVQLNPTESPKPAEAEAAPKKEEAPAPAPPVEKSKEKSKEKSKMEEKPKEKSKKSEKKSKKSQKSKKGEEKKDGDDNGYENCADMTPDELKKIAEQADTKK >CRE04571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:544089:544654:1 gene:WBGene00055693 transcript:CRE04571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-22 description:CRE-GRL-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ52] MLRLTLFSIFVASSSAFLFPMAGGGGGGGCAPPPPPPSCGCAPPPPPPPPSCCPPPMCGCAPPPPPPPPPPPMCGCGCGRKKRSAEEPAIEGILNMDSNLECNNEEIKSVLEKNMKSTPSDSLLSVRTSLPSDEFFVMCTHGLTAYSAPAGTKNCAIRKENHFCQIFSLNESN >CRE04765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:544765:547656:-1 gene:WBGene00055694 transcript:CRE04765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04765 MSKTPPGEQVTGIHRLVSHRQRLQGIVVRRNEVFLLPTRLIPSSKDLEEDLSDVSLEEGIVETADIDELMMIDHLEKTREKKNEKGKRRVEDNRVNTINTLDQLCELYGYDVDGRDFPDDVLFDSFNEASAFSQPIFCGESHEIECVALWDYFGGDNYDDLNVHAGDSINVIAEEYQVRVVDKNYMRMPFQWSLARWMTERNVNTYLGLIPSHYIVSRQFYEDHPLLFKWPVWYHGSAEVHEVLHKLYSDRSALCPGLFAIFSPVWLNIDLEEHRCFFLMILIERDQHHDDELRELIEIERGNYGIMVNSINHFFGEMLPENEQPEKSDATEFIEFMSEIETTPFEPAIVPIHRSPLGHYELMGNRYETLYDLVHHLSTHRSALPHKLVYCRSSPLVVGRNVVPPPTPKFRKDLRCQPMIIEQWAATHFDEVTRRVECGEKTLFATKVLFDIEKRSHEAIANRLNLGDPEKAAMASYMKTLDIPRSPDDDSVETGKKRCPPHESAIRINSPFYIDETQIEFDCRSGKDTLGKGAFGVVKKGNLVRNSEDRVPVAVKQLALKENESDERHPAFTEMEILEMVSHPNIVEYYGFSMISGSMNGVSSAITLNLVFELMETSLDKFIDKIDAILSENEQLDILSQICRGMSFLHTRTPSIVHGDLAARNVLLKKHPVYWKKYICKITDLGLAKPCLDELHTQYDDPTKIPFKWMPPEVLSSRTLSLKTDIWAFGIVCFEVCTKMGEPYGIINASTLYQYLNDGFRHYELPNMSETIYDVALDCMRYHPSDRPTFGELVDRFLDSIIEMDGEDEVKMKQREKVTSEKQRKERMANNHKKLHDNE >CRE04766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:547797:548936:-1 gene:WBGene00055695 transcript:CRE04766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04766 MAIINIWIFPLLISLYSIICLLVCDFTKYIPAKNAYTIMTNHILLLLSVWMYLKYRELKSFFRQADVPALFIKASRVNLFIGLAALTSYQLAVNFPATKINHVALIGNKLSLVLANLYIWFHAFLSLKIHDANVPRWILFLIRISLAFVVFSLAAAMIQANWVPDPAKQYVAIDAIYEWCCYFAFSVFLLTDAFEFRFMVFKPPKLIIRGCTGYNERVFESSDASEDEETLPPLSQRYVN >CRE04572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:549408:563624:1 gene:WBGene00055696 transcript:CRE04572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04572 MQEPSPGNVQKKASSEILFADYGKNSTDGEDPTPENKRVIDLYDPVDAMSLNREKDRVVVSGIRGVLQIIKVQRNKDSDEIETPSIVKDLDMRVYRKGKINILYSAQNVKWNQLYDQYIATTSSNGSIVCWNVSRKNKSVFKAHERSATCLDWHATAPYILVSGSRDCTVKSYDMRVKDNHQLTFSDRNCESIRDVAMCKAPGFDDYFFTGDDGGVLRLWDLRQTRRWIFQKVAHRSFVSTLSLNPHNRQLLATGGGRDKMVKIWEWSGAELNRVSVVETTAPLGRVAWRPDKPYHLATCASVNETTVHVWDVRRPYLPYVTYDEHRDSVTDACWPTNDFDVFLTCGKDGLVVLHNIDSGHAPISYACDVAFDVTPDGTMGVAVNSEIHAKNDAELELNAIPLKGKKIIRQIPYESFNKPIKSLVCFGVPESLTHSLPPTIFYKIAEKYIIGGVEIIQLCETNSKTARKNGLEHVAQTWRLVEALCEQAKIQEEYDRLSVEEKERIIRAWAVRKKELAEEGRRWLANLNDHYRDDVKKQVTQRLENTQHVTAFLKFSSSSGSEASDNEKVGDTDNKRNEVDRMAPNFDRLLSKQKKRKKKNAAPIDFYFGAGEANFKGGKREHLHYNEFMGLRAEAYELRDEEKERRFFCKARKQPTNAQEYEEFLMQDSEADYQAWSPMLEIYRLLLYHAEQGDMQTCATVSMVCGKKLLDAVDPYTVNGWIKCYMEMLDRLELFLVTAKIRKYCTLDSISSVSRENTTIQLAHADCDALIVNGRCTKCEVLAQADCTVCRFAIVGMTYQCNLCGHCMHADHAYEWFQKSNSCAFRGCPCPCGGNTWPDGIRTFIGNDEVKKGHRKFNHLEQQVEPISNRVDVSRLDTDSEESPDEDLEWSPANNILKNKYGEVPCKDWNTEWAQQLLQMCSLRNMKPHEIAALEEEKEREREKSETEDENPHIWSPKTQKELDESDIRRTLMYRLEDDDREKKEVIESTPTEEETYDVDREDFVWDFLASSSSEDEIVETESVVDQWPRLEQIPEEDEDFIEDEDGNEIRKVLPKEKEKKKPKLKPKPKKKGNEVARKRFVEKISNRSRGPEERPLVLFDEEMASMKEDKWDMLECDDYIHSIGHIGVPPQQFSAIYERKRRDNERVTSPTLTFAIRNHVKTKSGLYCSTSASETDVDEELGFEKRCCESRQNGTDGIVTEREGTVKPTLSYGERALKILSMNKKELKKLKAKKEKRRIDIIYGNIFGKSNQQTAELSPDEFIVSSSSDDSDSTNSSKSTTSVFSESSASELFIPVPEATPLNVNEGYKAESEDGFSSESTSHEQLSAEPTLRLPTPQATGDLYLKPESPKRVVVQKMKKYKKVRSALSYSVEDVGRYPDEHADNYFICINGAVPSPTQSRLSSPQVSPMQSIPSSRFSSELDPPPAPLTSIMAPSKKDSEVEKESEKHSESSEEHFDSGVQEHIHSTPPSRKTSSIITSDAPIIEPPAITSYANPIFSRITGRPRSRPVTICGSGYENVTSVNGSGMHSAPATNAVNQLFPPSSSLPTHSIFADSKNGDANVVETGNRHKLSVPSMPFAPQKKLSNGNQNNLLVTDCPPSSPNSRRENIYVGSESKMTGSHSSLSIHSKINGTENKIMQKQPEKGKKTAEASDSDLFSTDEDLFGSEGPSDEDNGEPFFPASEDIPVIRSTKHPWENEQQYQQRLLSEKEEEEEERREEEEKEREIEAQLAVLNRHTPMMRELAKRKRRLLKPKIPPDRKPFTKAMTSLKRVKFTEEDYDVAMTVALGNLESDHPLKSDNSDFEEFVREQERCLPCQHEVKENKREKEYVNWLKNISEENQMFFAKSLSDPCAVFQLSHLDSYMTDAEKARKTASHLIEFIKMFYFIKWEELLKIDNDFMLKEVYELWQDYRNKRPRVCRTHFRDYQFRFFASSIATKMKQYLITDESPTVKQGLLNYDWLHLKPCVNTLNDDLATIDDMMDTVVQRNPNWTTEEKAVANRYWDLFGDVPLHIETTDRVAVPPLSEDQLEIIDESNCWTKKMIKKALYNARKYDIKLTYTKQKKQKDMFYVQERSEKVLNREWQMRLEKMVNETRHVDLAMSTFRINPNDKLIYTTDEHHPWPICQFDTGNHYVTVQPMVSRRYHQMKSRVRRKFTFRRTHKAVKNAKHIAITTDELRKLPSFKRFEPKLQKATEFKNMMSKHYKVVDRAIVENRHHLNTIVEEDDEVALIVQMLENRPFKSGKRRLDSEDVFAERREQIDYRDRDVLTRMIAEKYRKQLEDYEKHEAAKMKIMKKHWMPELRRKIHVARRVIEIGRLMVEEDVRKWMEYQYELMFAKKTFRKNSYITKKLMSNNVPVEWLDSVDDIDFEEPFSPPVYAKPLTPVARYKWNPGPSAYNSSSDEEDYDPDDEKPEDDVFVTFETQPDLPVIAGKLQNIIKEKLELADDLVDDEWEYSDDSSVSTWFDNDTDDIVNRLINKDYVDEHIVLKERLKGPLGRKLWEVMAERQSPEPLSDEEENTSFDLMLRKDEEEKEKKQAEWMEENADLDDIGVSDGKTRKEVTKMETERDSLKYFKREDRKKEMDQIRWFFKKFALDHSYTSEPYVWNDELHEAIATMHRSELRRVGNRIHQNMKNDYELRMMQDDMVTEIIPADETSLQYKLINFLSFRNDGESFEKSSMRAADCSKMLKPIQQYEMFFGREGAYKLKSIGDDMLAYQDIRNSFKYLSRHWGDNRCISAEAVRRFVKVWWYNSKVTLQRFLSSCKVRMETMETGGQIFDSMVHWYYNCQNRKIAQETFDQLEIGCLMNDILHTVDEYVEETEMLCRMTTSLEEKKAYSTMDYFDWYYFKHGYPDWYESEEDTESDSSASSVSEIIPITEDSKPNSLKANGLLSEDHASPIKIMPDQPRRASEIEEEEDHQSVNPFTRRRKSVLTGNPNKEMMDHYSKVYNKLKELEIEKRRKQEEEAAKLTVARWEQAEREKLWDIEDMEKKVHDFLMMNLSIKEKEGREDPDKIPYKDWRDVMENSEEDGYKDVPSCAMYGKSDMSTIKVLEMCGLPLSLDNQLFVMEMDECQSAATSVSDAEEIASVIEKDFVDDKFGTSPDIDDDAKSYSSTRHVLKHELHFQRDLECRRLPSCVLLFKPEEFFENPTDTTREFTKWKRIIEASECPFSFNKLVMRERLIQKCREECQYGLMERYFATNERNHKSVIEVFKNCFILVVVDTELKPWDCRVVSLDDVSKPAKDKISYLRKLMVEDSQEQLVKWLEINKIDMEVYSRCPVLIVTDENLTLSHATQMSEFLIDDRVKDEWLIIKREEGVRALDAIIRMPPPPSHKLEAYKVIFKPIYDAWYKVWSFENAQSNARTPVVRKFDPVKEYLHKARMIVNYAHQHYRMKRFDSLMSKDPTEFQLVDRSDVPSASLFDSLDAAVSKFCNEDSTHLDEPSKQVVKDTLIQLATGKIPYFTTNYFGGYDYTENVCGLFTRIKNFMSFFQLGLTTQEDEENDDDRLPILRNTDFVQPDTPNAKYLDMEDCEEEIEWLRNMYEESDFHRRRFMAVLMFELAQKAMFKFAPNMGDFEKAKNRFEHLLTMHAKQRPLLDMMITKVRGTYFMPLETNLFLYNSKLITFGELVDSEIHPEDWKNKKISFEDYRRQFPKEPHAMSDFLEMNENDSDTDSGSEVRSDTSSSLGSSTESLNSSKKARRTRKTINKAQRELMLMKLRSKPVNPDEVFALEKRALGPKKWKLRRRLNEYLESIADLDRNKLEAKLPAKKQDLLKYITDLIVNNSDTAHWSWGDLKMKTKVGLIPPVNRMRPKHFNRIMKGKKMYKPPKKYDLNDAQSNGRKWF >CRE04573.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:563919:566109:1 gene:WBGene00055697 transcript:CRE04573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04573 MISGSSKILLYRLWIQSRAVYTKTIVPSRKIAIALGIGAVSISRLRTVRCDADHQDPWLLVPQKVELKTFSIIFRCIGLFLRFSPLLITYPLTKIEAFENVWWRILLWSVQNSGPTFIKLGQWASTRRDIFSKKFCDRLSVLHIQTKRKRYFRDKKKIINEVFGKGFMKHHGDRVFLEIEPYSIGSGCIAQVYRGVVDVAELEKATGRHIPELEGRSTQKIAIKVAEKDVDKQIELDLSILRSGAWIMQQIVPSLWYLDPTGALEQFEMVLRRQVDLSNEAKALQKFSNNFQPKETGIRFPIVLGYTKKAIVETYEEGIYINKLVAEEGQPELTAKQSQAVRRRIALLGARALLKMIFVDNFVHGDLHPGNILIRFNDSENNLRGVHKAPKAESIVKRGFDWFRSLVNWRSTPRIRFTDSPDLEDEPTLVLLDTGIAISETPKNLHNLKSLFRSVVEKRGYDVGKLLLTQSPFQQCKDPERFCRQVEKLVLKARSEKSLRTLNISALLSEMFTIVAEHKVELDSAFTTVILSVMVLEGFGRSLDPDLDLFQCARPYLLNVFV >CRE04573.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:563919:566045:1 gene:WBGene00055697 transcript:CRE04573.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04573 MISGSSKILLYRLWIQSRAVYTKTIVPSRKIAIALGIGAVSISRLRTVRCDADHQDPWLLVPQKVELKTFSIIFRCIGLFLRFSPLLITYPLTKIEAFENVWWRILLWSVQNSGPTFIKLGQWASTRRDIFSKKFCDRLSVLHIQTKRKRYFRDKKKIINEVFGKGFMKHHGDRVFLEIEPYSIGSGCIAQVYRGVVDVAELEKATGRHIPELEGRSTQKIAIKVAEKDVDKQIELDLSILRSGAWIMQQIVPSLWYLDPTGALEQFEMVLRRQVDLSNEAKALQKFSNNFQPKETGIRFPIVLGYTKKAIVETYEEGIYINKLVAEEGQPELTAKQSQAVRRRIALLGARALLKMIFVDNFVHGDLHPGNILIRFNDSENNLRGVHKAPKAESIVKRGFDWFRSLVNWRSTPRIRFTDSPDLEDEPTLVLLDTGIAISETPKNLHNLKSLFRSVVEKRGYDVGKLLLTQSPFQQCKDPERFCRQVEKLVLKARSEKSLRTLNISALLSEMFTIVAEHKVELDSAFTTVILSVMVLEGFGRSLDPDLDLFQCARPYLLNVFV >CRE04574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:567970:569064:1 gene:WBGene00055698 transcript:CRE04574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04574 MTYQVPPLRPEGGPRGKESLSWLEEYLEGKDEILLYVTAALGVLMPGFVYLLYHKCHAYYERYAKKKEEQRLAEELAQSEAVVIVLGKEDGIAAPWAKVIHDKLCDEMVRKPMIWWSDSLNVKELMEFKGFCVFIAETTAGGQPTPSSEWFLEWLEDLAADSKLRKKANFDKIRFSIVGFGSSDDGPAGFNKVARTLLKRLKILGSKQIIEVELFNTAETDKKTMERFIEFSFELLLQMDKHLPGMGGDTDESEAPEGSSSESSSDDEETDEKHKKSK >CRE04575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:569888:572218:1 gene:WBGene00055699 transcript:CRE04575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-paqr-2 description:CRE-PAQR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ58] MDEDDLESTTPAEAQKLLQKGNRNSFDERDMVMVLDNDQLIMENRNSSDLQSPLRSVTDVLREAENIITDGPLQQATTLENQNVIVDQVDVEFPNTQPADGQRFEIIVRHSKVVEQPANQQRDESMYATRQNRLATVLEGIEIDGNDGPSTSASTSTSTFKKGHRRAWSMPNAKGEKMTVAVIHDNHEEGGNQHRRRIVKYKLHPQRKTDESGAPQKHLRFVTAGHDAEIQLDHSDDDDELEVEINEEEVIIASETGEGTRAVIKRFWEARWKATNFETLPDWLQDNEYLRTGHRPPLPSFSSCFKSIFALHTETGNIWTHMYGCVAFFGIGLWFLTRPSDQMQWLEKLIYSAFFLGAVICLGMSFLFHTVACHSVEMSKLFSKLDYTGISLLIVGSFVPWIYFAFYCRPQPMIIYNAMIIILGIAAMVVSLWDKFAEPKFRPVRAAVFIAMGLSAVVPAFHLLVVDGVDYMMEESQVHLMILMGAMYIGGATLYATRVPERCFPGKCDIWVSYLHKEIAVISFFFSVSISSILKIRYGNESNSSFLGRLLGLDEPDGVQNWSPRDIGI >CRE04576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:576821:577783:1 gene:WBGene00055700 transcript:CRE04576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-szy-2 MSSPSASAEDVKLTADGKICLDDPAEFLKRKPKKSILKMKQDTSLEGKDGRAHFDEMNILATYHPADKDYGSMKIDEPKTPYHHSDGESEGDEGVLGVPSTRPRRVSLGNAVDPEKVAEGLAHPESGKSLSSAEDSEDEGDLTEEQRAHRRDFEKKRRAHYNEGAALKMHADLDVEEEETEDGKMEH >CRE04577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:579125:580426:1 gene:WBGene00055701 transcript:CRE04577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-9 description:CRE-DHS-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ60] MSLAGQIAIVTGASRGIGRGIALQLGEAGATVYITGRKPEESLNGKVGLTGLEETAEEITKRGGKGIARYVDHQNMEEVKNFFEIIEKDHHGQLDILVNNAYQGVTTISENMGKPFYETDPYVWDTINNVGLRNHYFCTVYAARLMAPRNKGLIVNVSSAGGLRYLFNVAYGVGKQALDRLSADTAVELAKKNVCVVSIWPGAVRTELVSKFFKDADGKPRADVKNSDIFANGETVEYPGKAVVALASDPRRMDKTGRILVTEDLGREYGFVDIDGLRPPNFRSLTFLLQHMGWNTTANFVPSWVKLPGWIMWAGSSRL >CRE04767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:580462:581931:-1 gene:WBGene00055702 transcript:CRE04767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04767 MNSSHYSANATFIGPPPTFHQILAITLMTIKIILNSIGLILNCFLLYMIVQNYQKLHKTFSILLTYTLINDCLISVTLLLTIKRIIQCHETLAMVFFGPCTYISTSFCHVLDAVHLHALQNWILSQILLIGFRTAMLSSAQSFLSVKRTIFFCVLAWLPGLVSLVLHVTYKFSRPRLLELIHRCYDEDFSYSYISGYESFYNSPLPFTIVMLLVPNVPHYFLAYCFRHKQNHDLKRRTMSTSTLRMHTTLRKVSTMKAFLPLFLLADVLIYFFCQLEVLPPQFIEYFLGTISSSINILNPVIAICCFRPYRKMFKEYYRLILSKLSAHPKVMFSEGSSDEVVNL >CRE04768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:584249:584980:-1 gene:WBGene00055703 transcript:CRE04768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04768 MADVADPPTVSIKAARSKVAVSAKATRKPSAPKTAKSTKSAKVNKVKTEFPITLKSPQFQSNAVPRVQKPKSAVDHPSYLVMIVEAIKKIDDRRGASHQAIHKNINTNYNLDAKLSRTHISAALKKGVTSGALIQTSGSGASGRFKVGAPKRATAASKSDSTKSGSISKTTSATMSTKSTKSATKSVSVKKSKKTPAKSKKEPSKSKKPSTKNQKAPSKKTMKPKKV >CRE04769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:585981:587136:-1 gene:WBGene00055704 transcript:CRE04769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04769 MEKPMSLLSIETVLCQMKIENLWRIRGQNQVLKMISDGAPVQGTKKWQVRTVWIEEDRIGSQYDENDQPTFVTLKGTKQTRWVSPRVICCVNANLEAIQTSILPVSTSFCKNVLSINLESEKEESQDYFQSVLELAKRSFKLEFLCLHIKNLTEAQLLEAFRSFNLDVNMKEKISISMNANADLTPRFVEEFLSARGITKRRLLLIRRAEEGEEETLNRAAVLKFFEVGLFSYSKKTTFTRTFQNPNNVRDLRYLTVERMDREIAYSLIDDLQQRHANGHVFYQNGAIGFTVGTSMTSGTQIEFLSLV >CRE04770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:587686:588189:-1 gene:WBGene00055705 transcript:CRE04770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glrx-21 description:CRE-GLRX-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ64] MGGVTSKVNVDVVQDQVKKDPVVMYTKTSCTFCNKAKDLFADVKVAYKEVNLDSLKAAQPNDYLGIVNGLVYTTRQTSVPQIFICGRFVGGYTELDALRNSGHLFEAIAQCTGENAPHE >CRE04771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:588361:590533:-1 gene:WBGene00055706 transcript:CRE04771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04771 MSVNVRVVKRSTEETKKKEPEVKLTEKSTKLLENIEKMDVAEKLFNLEDKIAMEMTHDAIFEEFNEDYKFLRAALEEYMEGKPTTTASLAKVARLRRNTRLCHYATAQMRKEVAERMDLVDAKLLQLQNVTSEVQHIQKEIDRCLDFSAGDEGLELIPVEEFYAEAPVTLSKPDETTGNEHEQYLVRLQYEDDQRRELLSTLNELEGRRNVLQSDIRGKEVRLQGLKPKLDDLAKVAEPVFDIVGAKFKDLKLDGEQRKLSLALPAPLAVAHIHATAYKEIHDDKMFEFRIVGSNDTVKHPKDEAETKRRRKEDESTQEKINETATKILDVHPMSLEFDIECPNEIKITMTIQYLTELKVTTAKWMVHGDSKARKSVLFCADSLMSDLFENDSGDKCPNKVGSLKIEHLKYVPFFHLLNTYTIFRLNFNSNAKSTGKPYLFIQELSGSDQQIEGVAVTEHLRKIVKAIRQRVADRCALDSIIRDLESKKTEGLEVATDVKICSFKNCEDEAFIASIPTSLRDQISGGTSSDRFSFKLEATNGDNKKLIVFLSIPSDYPRHAALFGINAPEDANSEIVNGIEERLNDESLYVDNPSSLKEQLSLLLKHFDLS >CRE04772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:590843:591880:-1 gene:WBGene00055707 transcript:CRE04772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04772 description:Histone H1-like [Source:UniProtKB/TrEMBL;Acc:Q4TWL1] MANRVALPRQKAAKQASPKAPKSPKSPAARAGKAAKAEKTAVASKIPKVQKIKTVADHPAYLVMVTAAINDLKERKGASKQAILKHISNSFHLVNAVNTEKKVRSKLALALKKGVASGALLQVTGTGASGRFKLAKAGATPKAPSTPKAAKKTSVVAPSPSEKKAKSPKKAAVKPAAKKNKKAPVTKKTSAKAEVAV >CRE04773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:592539:594721:-1 gene:WBGene00055708 transcript:CRE04773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04773 MTTENESPETSEIDDSKQNERRRSTTVIMTMNVTMPAEGETNGLRRNGSMRRRLSKQNATIEEPISLLSISDPSESSDKTVPCIEEHPEEGKEDSGEKPPIERSDGHKLRLPGLAQKKTWSTSKSLSRESNGTEYSDRSGTDLRTFVDRTLHKSEEDRKQLLEFEQELKNLILDESVQSKKFELPSSYHRMLLHRVAAWFGLDHNVTNKQTDIVVNKSERTKMPDECFTDFIRHNNYVEDGFKRQNTGGSRKELHHMESFGDQTSQYGSQASLNHDLLMMRRAQSFDVAPVTGPSVMQSPQPTQHVRGLPMRQLSLNCPQQQIVGSPNSGAHGHQWAPQRSFDCSNNSYLCTGKHPIMRKAESFGGMTNGITHGCYESNVQMVCQTPPSVMVHHHHHHSHVQQPTSNYTGYQVFDEMPMGSPNYPPVSEPGMCSPGGTYYEYVPQVVQPQQYARPIRYMSHDYNQRRPPSAGMQYMYGPPQYVQYQPIVHPEVEVIVDPVQTQPFPPVTHFVVPPADQQQGYASCHQAETANSFIKASIDGGYGSMNQETESSGNAEKVEEISQ >CRE04774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:600754:606277:-1 gene:WBGene00055709 transcript:CRE04774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04774 MTEVEFEWNESKLQALSNILPKWASGNIENPIELESVQLEAEIRWLTNRSDTVGVKQEFKRLLNTSFDPLMDYEEHQKVKEEISSRKNNFNWISDWYKIAEKLSISHIDKNTNTVTFRRLQILPNVLPILVIAHTAPQNVIIIYGKRQSLKEEIDLQTILRHSYSNIPDGSLQTVHFLSKNLLDLYSQLFSRQIAPEFKTKHFRCLPSLWLQYNVVGPILDKIEGDHQSKITVIPLDEATANWVKRKWTNYKYLTYLNEITGRVRGEVHNHPIFPWVCDFTSENSGFRQLNRTKYRLCKGDDQLREMYSREPSHHVPELLSDIGYMVYRARVESKEKLCRHVRRKWVPEEYPSTMSRMFQWTPDECIPEFYDDPSIFKSCHPDMSDLRYPEFVSSPEEFIIWHRKMLEDEEVSMNLHRWIDLVFGFNLSIEDSKNSLNLHLCFVEKNRRGLRTNGMVQLFNRPHPSRIPLNYNPKSDSYHLQMESFGYGMKVDLQEKTIEDVEESVDEDSHCQMFQKIKKVRRLRHKTYFSSMVSLMEMMAQIVLAPHLVGRFDDPNHIRRCIRLFAYRIPVNYRKLFDFLLNLNQDFPDCDEFAFFLSVRLNIPTTISNFSEEFSKCVSLHLLRKLDVIPPFSQRSQLLLIKEVESLKKSIRLCDHMELCVVAAFQQLLEDEEACIQAVHRLMPVITRSLSQSALEDLINPMIELIQCETSVKLLDRRFLMHVSICYGTHTFLDLFLPPIVEASASMNCDRSVVAKESIMWLAKRYGPVICAKFISSNLLRIMASCYEGFELVGFDQEPKSVFGVILQGDETCSRIESLLSEIVLTYSVTFITVQFLPFCVDLIEQFHKRPSVQLEPGLVSVFRIVELSIRSMSDHQLMNYLEEFIIQKVIYRVLTILLDVSFQFSSVRVRNIVICKVCQLLHSITQKIGTENSRIYASQPFKLIFSTFSEIYETNEELRINMRRRSPENTLFEVPLWMVEDVVDKFAKEWGVPFLSSFCDDPAFLIPFISNTVSSSPITSQMAHSPPSSFTAYSLGSMSSGNRLFSLSASSLVSSANSLGGLSLCDSGSLSAVWCARVSAAVCGVDNYRFDHLSLCNFTGHQERIRKLAAISNENSFVSASSDKTVKLWSIKPEQDEIGCQWTYPNHTRSVHDVTILADNSIASTDGVLHVWDPFRTTLLAQLEWDSKEGNGGNIMRIENVDRHILSAICSLHSTVKLFDSRVGGWTCELKVSPGPGLTRAITVRDNGNKMAVALSNGTLAILDARNGKINALAQTNSTHTVSVNWLSDSRLLVCDADECGVFLETNPRAHIVRKLQDPVSAAVLTDNSLVTLQTGSMLRVYRNSGELQIETKIRPDELPGTPTAVLPLPLNCSYLIGSSHGAIRLMC >CRE04775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:606551:608247:-1 gene:WBGene00055710 transcript:CRE04775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04775 MYGYKKPDCFLDRERKTTNLLGRTFRMSKIIGKISRIPKTLYEHKKKTIFISFLGYLGADWVYRWDKNQGIRKHYANIAVKYGQKTVSPEDRPKRIFVLVNVEGNSRSCFDAFNKNALPLFLLSGVQVDVVKADNEAQLEALAGAVDTQEADVLYVVGGDGTIGKVVTGIFRNREKAQLPVGFYPGGYDNLWLKRMIPTVFEKSEDVRHACESAMAVIEDQKQSVFAFELTTENSEVAPEYGLGDVSAGWFRQIEDTRKKFWYFSVIKRRWAYVWEMLKRSPRPIECHVEYEETCSGCEKCRPKPVIQAPAWRWWHVLTGTPKYKNNDAQKDYTGIINVKCGEKHEIDAKGAELLIENEQMNDYSQLRFRMGGNDIGRFGVVSDGFKRCSKNIVGRSTDENFYNTDILANSVSFKITSLPSYIHRLYISSNPTPKDAELTDRTITIRGTQKKLDVFFPTSIRLEL >CRE04776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:608283:617948:-1 gene:WBGene00055711 transcript:CRE04776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqe-1 description:CRE-PQE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ70] MYNGGYGGSSTFRIQDYAPVDPLNGLQGMVPPQAPTQNTAQQPAIVPNRNSQPGPSATGTPMNRIQSSGRDENPARDGGGIRRHMSTEEMRREIARSVPYIPTPINNTSTGAGSSATQPKQSTQRPKQQHNAEPRDTRPSDARKNASANSSPTNQQQQRIEKRNLPNMQSSFMPMIHQPPLPNQHGTGNPANNAQLNPGNSTSNITLNALAQMPRMLVPPPQVQMNVQQQVQGQNMQRPPGQMPFSDASRIYRVQQNPDIYVYLENHPSCNTHVIVNNNIVPLVDFVESIPVSGFAITEQAAFQLRIRLPPGHRLRLIGGQLPLWPLGAANPSGQRRQQPQVPNIPGQGAGTAVISRGPPANLSQQNVPGAAVLQRNPQQQGFPHTAGAQQLPVPFGQQNHHPMQMIPNGMSQEQQIQFLQMQHLQQQNMLRQQQQHLQQNQLRQQQDAQQRHQQSQQRNQLRQQQEQQQHQQQAHQQHQLRQQQEQQQRQQQLHRQNQQLQNLPPRQQPHNQQLQQHPPESRQQPAPPNVPGSQLQSQNLPLPVPPTPVLADQSGLNGSIEPPIVSILNIGISADEPPPPCAYTPSPTSSSPQAEEAAELKPVVHHQRKPTKKKKVPEVITIDDDEPRRVLIKTEPLDAVVSSTSESVSNVPSSSASTRPPPNIKQERNEEATCSRNYQRPDQQEQQQQPRSAANIVSVKTEPVQPVEPVEQQQEELSNRELTLELRRLKAMLDAKIEKERKQAEINRLAEEQVEELRIKNCALLEELQRAKDAQNRHQINPEEVETPGPVEIKINVQESQVSTSEQCIQPPAISPLSEASRSRTSSVGSDILAMRPRKKIIEESEDDDEMENTTHKKPGNSAKRSFAFSTRPKNQREKRPVTYEESDDEMPTSNKKKRLNKESSDDDDDEWDDGATISTDDDDNFNARTDRSDDDDLSNFIVDDGDEIEEEEDSEYSDQDDRTRRSDRYSKSSKNDRKSQTPRSKSPTPVKKRRDSPVRLPTRKGKSKSVDEHRNSSEAGPSTPSKPMTSEEKKAASRRKSMKTKEENREKVRLAQLERMNQYQARVGRRTRCSELSVIDPLNESLSFTINQFNRAVKETPKSNEQSSREEQRKDNRREKPAQLNKRATPSVSDDRDDDGVHIPAKRTAHANSVPGGSRDRPTTSKPPISKNRPNQAEIEAKRQKESADKKKKDREEYNSLKAKKSLTDLEKFRMNKLEKLLNINQVSRPAATSKASGSTSSSFKRVTIKQEVGSPVKRLPPPPPPPDCLDPTKGRSFVKFRKWAINAIHSALLEGHKPNPAEEAQRIELEIATLHPTNEQKYRTNAAHKIEKLRKECNSGIIEVNKNAVSHQQILTGVAKENCTVEKGRKVHIDHRKMTSEQLGPLLEPLKMTEDDLEKNGYPLFNKHPKRATIAENQYTQNKKLFLEDYDLTRTCSRCNKDFRLNPDGTIIREKDICRYHNRGKSSNGKKETFQKRYTCCNEETKFSPPGCKFSDVHVFDQLFKKELTTFVSTPRPSSHNDPRTNKAYALDCEMVYTIAGPALARLTMVDMQNVKVLDVFVKPPKEVIDPNTEFSGLTMADVQKATDTLQTCHQKLFKFVNSETVLIGQSLESDFKAMRIVHKNVIDTSVIFSSKSNTKLSLRLLTLTYLKRMIQGDNEDAVGHDSYEDAVACVDLIYFALKNPENVSKLKAIEM >CRE04777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:618123:620226:-1 gene:WBGene00055712 transcript:CRE04777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04777 description:Sex determining protein MOG-3 [Source:UniProtKB/TrEMBL;Acc:Q155W5] MSKDESIGWMYEGAKGSTHREDYLLGKKVDKNFEKYSDVVNTQKAETIDTIVSTRAVFNSGQSTGVKTSSLQKDIIKSEDPFVAVKVREETKRRELMDNPLMKMRLQNMLKTMMTSKNEKKKDKKVKKDKKKKKKKRSRSSSSSSSDDDVQRSKKNKRSPSPKRKRRMSGRSPESKYVRGRDINSGRGENSKVRHRSRSRSVERSRRNQRSRSRSIEKIRRRHQSRSRSIEKNRKEGERGRSRSFDKSRNSRLNSRSPKHNPSRSRNSERNPSSKHHSRSRSPDRTRRGSTSQRQHRAGSVERNRLSPKRSREEFRKSRSRSRSSDQPSSSNKNGSRKFNSHIPRHLQRRHDSVSSEVNFCNVDCFQSSDSESDHKSQRKQKGSDEEKDDARKKSYGLVEMRKRTSEEREEEAKAPIKEYKLIKIPTGRGGNNITKQREARKALTDEEKAARLAEMEANVKWREEIRETNVAKKRIEDEEEKEEADKTGYAPSFIRSQMREACDDMTVEKRLQSNKRGVQRSHGYMDRSFAKK >CRE04578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:625598:628411:1 gene:WBGene00055713 transcript:CRE04578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04578 MENDRPTDKNNEDDSPMSEDDTVYVSASDQPGQITINLEKDMKRKITIISEVEKPKRPRRGSVDLRRQAIVNNAIRSAQSTASSRSRSRSKSRSRSRSRNRSRSRGRSLTRTRSASGDKMKKRSTSLQARSRSKSQGRVPPIPIEKIEEKKIDQTLLLRLPTHLSNKSVFGGRSKVAPKSIQLPSPLFILTEQQVVGASEKKTVQFVTKILLTSHKLSIDDRKKLYAEMVEAEYVGTSRSSSDLSQSSDDEPEEDFQLKMYYSSKGIYIHSVQNVHKIQNGKSSKITEEKSYSNICKTKIVGDLGKSVEGFLNVTCSETVSVTNQNYTRSEHFKIGLKDSNVLGNIAINSLNTTFDPTKTISFNEVVKFDNKNIGIDIHHDCIAKMNKMWSHEVGSFGEEKSEYREVNFFFDEGKTGKDQQKTAGATVSSVVQTPKKSTENSSKSSDVSSNQSVSSSNSSASGSSISSKRAKFRTKRLKTMKKKKSDTSLKKTTKSPDRESSRKSIRPDEEPLRLSSIKSTDQRNSTVNESFYHSFPAATSTPKSPKSPLNSSTILQSNIKSASKTTPNKSPLRHGSIPVKVQGEKHSDNEIDLDKSLSSKKPTASKNLARIVESQKKKEEEISSKPPTSPGNSVYMYSTGNANNSTYMTAKDLHDSRISSSSSAPKSLISPRGTLRVKETKVTREVRQEEGKPDEVNEKKEETVKEEKVKLDRKSRSISPATPKMKNTLNQNMVTLSTGIHSLSFFLLNSKFSVKETHQTLDVIPIKVSDDTSPSINSSFVSGQKAFKEEVQLDMMIDKKRLVLNVNFMLLAEKQPEVEIIGFSFKGNKLWEKSSEWNLSSANTTSHVSFILLKRYRLV >CRE04579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:632127:635776:1 gene:WBGene00055714 transcript:CRE04579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04579 description:Solute carrier organic anion transporter family member [Source:UniProtKB/TrEMBL;Acc:E3LZ73] MEKHNVQDFEEPGENLKHKIEDEDDADKLLCGYGACTPRWLQGFHNAKCLLLVLGICAFIQSFVVNAIFPVGLSTLERRFKMTSTHTGIISSWYDFAVLLVVFPVCHWGNNGHKGRWIGWGGVIMALGSLICALPHWMVDIYHPDGNSLANQTDFGQCVNRDDPACAGKPYSSWFNPYFWMFILGQTLHGVGSTPLFSIGTTYMDENVSQKASPVYLAIHAVLTSFGPVIGVFAGGFLLNLYDDFDRVDRIPMERSDPRWVGAWWVGFIISAISALLIAFPILGFARELPEAKRHRAKDVNQCHIANGDVNAKAPRDLTKLPACVWKILSNPTFLVCIFVGIFESIIINGFAAFMPKILETLLSTNPTLASYLSSVVIFAAATGVMVGGTIIRQLKLQVGGMLKMIIVCHFFALIFTTGLLSHCPQREFVGINLGYEDLTIEKSHDFSIASKCNSDCHCKMEWNPVCDRNTGHMYYSACHAGCTAMTKNQGATEWSGCGCLTSNNTFHNLIHGIKEHPEVLNQGYCHQDCGYREYILMVTLFVTVVASFASGIPTQQIMLRVVPFDQRTLALGVNWTFVRLLGFIPGGILFGVIIDTACLEWGESCGKATSCLVYDPFKLSWTITGLAIVCKLLSILATIIGYMTYRPSDLDNAGSIQTVDSHCHTALHLVVNDDRLPEQAIIHASYGHM >CRE04778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:635984:638157:-1 gene:WBGene00055715 transcript:CRE04778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-div-1 description:DNA polymerase alpha subunit B [Source:UniProtKB/TrEMBL;Acc:E3LZ74] MAYEFDLDTLNENLEHFSLECPNQTNLIEKLDELCRNFRKTNEDICDDIASVMTNENKKVVDSSILEKLEELFEAEAKKTIHTPRSVKKPTRIPLAERSGFILSGGPGDDDKPHHMNSSSNAKLEGHYLDFSPFQNSPANEKFFKRADPGQVISSIRGRKYQDKGLKGASKNDDKMKIVSKQPSNLYAGDKCSMVIDAKSRRMTDISQHIQKVFEEIADWGNPLIPSVDVVYTYGQVVHDETKDNEKFGENSVALMINDEDGTMIRLDLSKITEDVTLFPGQIIAVRGTNETGEELQVDKIFTPSSLPVSPVESDTTKDIWFACGPYTAMDNCGYEQLCELLDKVVAEKPDILVLAGPFVDQKNAFLNKSTFNITYDDLMEDLLCKIKEKLINTRTEVIIQPSASRDLCTPSVFPSPPFQFKNRKLDSIRKEIHFVSDPCIFRIGQKGIEVAVTSSEPIQGLSNSEFHRSANQENIDRIARLCSHMLTQQTLYPLEPTEVPSSMGDLLEVCRLTSSPHIVFAPTKLAPSAKSVNGSVFINSSTLAKGPTGNYVKMSINLHAGEIMPGETVADYSLIQLMKI >CRE04580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:638692:641926:1 gene:WBGene00055716 transcript:CRE04580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cor-1 description:Coronin [Source:UniProtKB/TrEMBL;Acc:E3LZ75] MREHLRGRRHPQILAYLLLTLSSFRFYLFRKLTESCIFSLQIEMAQIVRQSKFRHVFCKPVKHESCMSDIRVTEITWDSLFCDVNPKFIAFINRGAGGPFMVVPVNKIGRIDKDYPFVDAHKAPCLEVAWSPFNDNVIASCSEDTTCKVWVIPDRGLSRNLTEPAVELTGHQKRVNTIAWHPVANNVLLTAGGENVMFMWNVGTGEALLEISGHPDQIWSINFNYDGSQFVTTCKDKKIRILDSHTGEVLHEGTGHEGVKPQRAIFVKDGLVLTTGFTKRSERLYSLRAPENLSNPIVEEELDTSNGVLFPFYDEDSGLVYLVGKGDCAIRYYEVNNEAPFVHYINTYTTNEPQRAIGFQSKRGMSSEENEINRIYKLTTKGVVDILQFFVPRKSDLFQHDLYPDTRSTIPALTAEEFMEGMIQSLINQKLTKIRSGKNAAPNRQPVNAAAAAAAAKPKVQVAKKANILSTLAPTAADVVQSQSYAERPPSSQQPSPRPSSSPRPRPVVDEDMGIVPMREAPPSRPASSRASRTEIPPKEEKGPDPMKPKQAVTLKSRAARDEPGSGSQTPGQRRAAAELERIKRDQSRTADEDTLAPPPQPTRASASPRGSVSSASDHVPQNMDELLEDLMKMKAVLRQHERRIRMLEEEIADRNMSNAYSF >CRE04581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:642224:643488:1 gene:WBGene00055718 transcript:CRE04581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04581 MALLILVFSIIISFVGPPTAEAFLASAFSSVSQAGKTSNCHDWSEYGPCFSTSDRSFWRNLPQQCYQNRYMSLITGIGNPIVQKVMDYAELFNKSATACGMCNVQVACSPRCDYVAGGNSFGVVDRICDLPTEKQACAMTPQAYDEDSGLCKVWPPRHTTAVDFLGALVPPNIRDQVWSLKPLNCISISGKCYCCCAPYTPNPCDAQCTLHPCSRRQTFSKTQIKILRRKWLQKQEEQQEEREE >CRE04779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:643697:644347:-1 gene:WBGene00055719 transcript:CRE04779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rip-1 MSELCDSLSVVYTDSPKIEDHLFAKAIRWADQNPEDTIFWARRKALEKVPEVDVEWSSKVRALRRIRFGYNKKNLMNACIDKAIKASMDSEIAAFICSCNLPINCSQLGSLLAALASFCHGNDGRAVVVIDLRESEEAWEKADPDETDPFENWDFLEEEEEGKEEKTGNSVVEETEQKKGIQIYL >CRE04780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:644476:645767:-1 gene:WBGene00055720 transcript:CRE04780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bbs-5 description:CRE-BBS-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ78] MDRMERVNGEDIWQDREIRFDVDHKLLRMAKGEFAVAKVEHVEDTKGNNGDKGIMKVTNLRLIWYAMNMPRINISIGWNTITGTQSKTSTSLAARNRGGTNEAIYILAKLSSTTTKFEFIFTATSPNSHSKLFNTISSINRAYETTKMYRELKMRGIFIREDGTLRILPQEYIVEIVAGAWNLSTETVCLRLDFLIYIKLIQGSLGVFVITNIRIVWYAELNTGYNVSVPYLTLYSARVRESKFGMALVLETTASSGEYVLGFKVDPPERLQNLVKTIQTLHKAQSMKPIFGVTFIKEKSEKTERKEVTKEDEEIDIIDTNEDDVEIEKNIRPDPFAAYFDGQHSTTDEKKLPVLNQEIGLSMEPIRNGFTLQDLWAIHVDV >CRE04781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:646118:648913:-1 gene:WBGene00055721 transcript:CRE04781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04781 MDEDNAIEIFKAFTKQNIEYDLEDTATVCYKSKTYSAKVLLHGNVLCVSESSGTEAVTACVPLIFLLEQVVIVKAEENPEVPPTFSFVVTFSEPAENIQITLESEEKREHWMVKVSHSFFSKIIIFFVYVQLATCSHQMARAELDEVAYKFYTMSPSASSETDSVIDPKTSSFQNFYQSAPLRVTHSFAITQQNNLPPRRVIVEESMCESKLSLIVPKEIIKLNRKKISEFSGLLESRLKVWPQVSISWLHEVIRQLREMSETYEQCFEFVDHYSGPSFRKSVEKHRVAFGLVPTNLHIHSYEINSNDRRDFITAGTASAIPHRYHNGGLVKLYTQLDVEPYNFDYTFWNKRQVILELKKTIGELSHKIEKEWKIAKFGSPDKICLQVHAGIKQVYEGLKDVLSSLPDIERCSDILLEEEKKREASGRTMPETRVYDNIQNQIDRIDAMIVSLNTKIAVIDTLNEAPHEREACEKNTRQAVSTCLDILLVLADSILESQLFSLVKSLQKPSVAQSYLHIMIRTDFVLSQAVTIATTAILNRIQKKDVPFTNDFLLIVFSFLSAYGDERGMIEDAVEAWKCLEQRVRFRLVRAPSAVCRTCIPMVQGTRSSLKVSIPLPHEYFDALPDNFKWTSEFTVVTSYFNIGVNHEATLGQSFGGIAVESSVNQDAAEKLNMFANRNEASQRAREAVMEVVNEVSTEPSRKNLSIFEWAMMASEQLGAEAVISCKSGKDRTGMASTLEQGRVLRETCGFNTTQISEIVNSLRKDGVRRENCRKNVGKPVYSFSPFQMHFLPKAFRPPSGTYSKGVAS >CRE04582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:649377:652782:1 gene:WBGene00055722 transcript:CRE04582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnk-1 description:CRE-CNK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ80] MFSTTMGFPSTPLEAKQALGISSAINAVSAGLRAGSPMLSASYEPQFSFARCIEQWKGKEITRWIEGLGDQMHPYLGLIRENIKCGKQLEALDDDSLKKMGISALGARKTILQAVTLLLYFCYESEQENLQRLAQQVKTSCYYVERTMTAAMRMREKAIRRSEIVNILNSVSNAVLQLAEHTKRLVFWLDRTPFDEEEEFKAVRNSISSFMWNLLRNVNVQPKALFETGSQIVRMSKELAYESQKIVDCEDPIVLYACFVETAQLRRRSGTINWGLNIQSSYRGVHVISEIKEGSPADACTKIDAGDEILMINGRTCVGWDLTSVVQRIGDADVTELSLVIKRRPREPQLPKQSKLAARALAPSSQAAKTYSTDDYDPFGQSEPLKRHRSCHVISEIIKENERRIRTSRRLARRSSIASACPRKERRNMEEPSLDEDEDDWDVHGYIRDVDGEEEALVPRIAKRTRTMRHQPDGYVRSFIDNKLVTDIEDDVVNDQLTFNVKCPSEFAQIKEVDKEELKILNLPEAKVSDEEWRAPYQEFSGPSFRAVGDSNLSAFSLDSPRLLPVSSRMNSSVDDSIFGVLPSPSTSSMNSVSSPAPFGKFQMSISQTEWSPNPDDLPGSPLTAAYSSMDKLFEGWVRRRKTRAELSANELTNKWPKIWMCLRGHYLLLYSNQNTKRPEMTINLIKATISDSTDLKTSKKNIFRITSPPLDYHFSCFTSLDWKNWIQKMKMSKEIYSTAANQSRIMSQSVSSYNNDLSDQNFFLGQHQMSTSHHGELSTLPRIQNGMSSSKSGSSGFKSK >CRE04583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:653168:654648:1 gene:WBGene00055723 transcript:CRE04583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pad-2 description:CRE-PAD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ81] MHFSFLLLVLGTVLADVDDSSHNTVSRVDTNKYSVATEKKFLLYDVNFGEGFNLRRDVYMRLANAVRLLRESGDNWILVLPPWGRLHHWQRMEVALSWRLFFDLESLNRFVPVIEFEDFLDGTDIYCIVLEDSCFSETENKPIDQVVYLQHYEEGWGTEYVRKFDERKCLPPANSHYKQEGDSDWRGWFYSYEDVRATNFKCVSIQGDSGTLRDLLKQSNFSEMSSVMIDRAETILHENFGDVNYWKARRSMRYSKELIEIADTFSFRDKYLDSDDENDDTGMTTDWRKERSKRSAKGGPYIGIHWRRRDFLYARKEQLPSIKGTADILENLSEKLKLNKIYLATDAPEEEINELKYYLREELEVFRFTDSQKFNDGQIAIIDQYLCAHARHFIGSHESTFSFRIQEDREIIGFPISSTFNRLCPDNNLECEQPSKWKIVF >CRE04584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:660043:661445:1 gene:WBGene00055724 transcript:CRE04584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04584 MRCNDLKRAVEDVVLRPDENRLQDLHHRDTIAALDLCQLESSSTSATRPDEITASTMNACSCSSEIVGIQTSSTVMADSSRPPPPLCASSSLDAIMFRQQPIPSHRHSASGSRRQSRVYSNLHSKRKRSNSLTNYNKGQSLSVSLPPSARHTPHRFPNEEDAFRNSIVSSDSHSSVARSDEYNNGYVLEEEESSVDKEFVEHVVQSDNKDTVFSSRPYEFIVV >CRE04785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:674044:675403:-1 gene:WBGene00055725 transcript:CRE04785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04785 MRNEPNHFTENSKISLFEMASLPEQPRNAYLPISSFPEYQKFSYQFVDYVTIIILLAFICLVPSIYSTLSMMIFHQRNLSRNFSKEIHQYVFKSFVCMQSCNIISTILDFVIIRIPCTSLVTSYFSTMKLDSLMRFVIAACYGFEYLAQLFTILFCFIRVLVLYHPRKHLEICSDIFIVWSILSVAISCVGCSPHAINGAMGMQLSSPFQYGAMVLTSTFAYGNPLHIIGNSIFSFIVTICIIVMTLMMVLKMKRLKLINQNSKRKAKAETTLAITMCIILIPSVLSQIMTITCLGDAKYACYIILIRPILLDCRVNIVSCYFYWTHPYFKNNSSLKSVNVKSVSSI >CRE04586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:683280:685915:1 gene:WBGene00055726 transcript:CRE04586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vglu-3 description:CRE-VGLU-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ88] MASKTLKKSASAISDTLRRTFSSKTWEHREQLHTLTEDNKFFLRKVRWQIALLAHLGFAISFGIRSNFGVAKNRMVNNFTDAYGEVHVREKEFFWTGTEVGMMESSFFYGYAASQIPAGVLASKFAPNKLFMLGILIASLLNILTAVSLKFHPFTDIFVMVIQTMQGLALGVCYPAMHGVWKFWAPPLERSKLATTTFTGASVGVMIGLPASAYLVSHFHWSAPFYVFGVLGIIWSLAWMYVAGSSPTTHNYISEDEKKFITEKVGSVAVKNMTLTTLPWRDMMTSTAVWAIIICSFCRSWSFFLLLGNQLTYMKDVLHIDIKNVSFIMPRNSNQFSFQSGIIAIFPQLGMCIVTLTSGQLSDYLRSSGKMSTEAVRKSVNTFGFTVEAVMLGSLAFIRDPVIAVTCLIIACSGAGAVLSAFNVNHFDIAPRYAPILMGIANGLGAIAGVGGIVTNSMTFENPEGWKWVFLLAMSIDICGIIFFLVFAKGDVLPWAREPEKEETFNEFVRRMSTMVRSLSRRTRSRSTDTNYAKMEEERVNSSEMKACNKTETPTEEGVLNETKAVGGNLEVVPEEPSQKNLKTDRPEV >CRE04786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:686250:689162:-1 gene:WBGene00055727 transcript:CRE04786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04786 MIRNVLTIGAATMFTVYLFSSAFYSVYNCIFSKDNYDVFIVSLDLKGVTSTPCISFLESLSVSFPVLLIDIHVLQSLHEEKCEKLSTQRIKVAIDKTFRNNTDSNESRFQFFGMENHANKDYFQFKTQPIRTHHIIENGKEAAGKLAEFRDIMLQFNMFPFLNGGTLLGWYRECGIIPHTTDMDIAVFAKDFHSDLVKFLQSRSSPFQLVRKIGLLNDSFELTVTTKTGYKVNTDLFLMYEAVDGNGNVRNWVGGASYTLKYKYIYPKYDPWCAADLYGYLFWVTCSPQKMLIFEYGNLWYEDLPSSQYNWKNSANNVQRNGEWREEELEQVYVMY >CRE04787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:689519:691714:-1 gene:WBGene00055728 transcript:CRE04787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04787 MSNNQNDWDDIMDVLVDNVGYLTETDRAIPEDDVVVITHSAYPTIPILRTEDSDMRSWMQNNQIQMPDQYLQSSEKLKRNLFEMRRRSQTASEESRRQSSRTSEGNDVPSTEYHYMYQDISIRMGEMSMMPPFPEESEGSTSPSSTESDDSGSDWNPGPSSIDTTRNASESPLLPEIDREPRRLSKTQKGKMTDEELEKRRKEANKKNSKNYNKNKKSKEISLNQEYEEKKACLKRMKDEDKEMKNLLLECYTYTGTVVRVSEEDGIPTLMDEETFINGIKSIDSEFLKIKSQDANFRKLAEVYQMKKKKHTDAENDKKLKKANTNTYGSRKSRALHSMRIAHFELKLAELQFEMTKYSDRTNLMKMVKKQMASIFNFRYARAEEYISLPRSRKERFDQLCNILKADSPRTSRT >CRE04788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:693341:694801:-1 gene:WBGene00055729 transcript:CRE04788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-50 description:CRE-UNC-50 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ91] MSSQPRGSQPGPSFSPVTSPISQRNFRYEPARSGYTSPGQYSTYSTSTADRVGCLTAVRMSAFAKLSRFTRRLVHIRQMDFEFALWQMLYLLIQPSKVYKNFIYRKRTKDQFARDDPAFLVLLSLSLLFSSIFYAYALGLSKTGFFTFFLWSVFVDCIAVGVVIATILWWVSNRFLRKVRDQDVEWGYCFDVHLNAFFPMLILLHVIVPILYPTLIDSPSFLSVFLGNTFWFLAACYYVYITFLGYTALPILHKTQYFLYPISFIFMFFVATLTAGWNISRTALYFYHSRAEPHKFAPQHNSL >CRE04587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:695426:695858:1 gene:WBGene00055730 transcript:CRE04587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-69 description:CRE-UNC-69 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ92] MSQKTEQDDIPLADDDDTVTIISGGKTPRAAQPLPKEEPPEDPEEKARLITQVLELQNTLDDLSQRVESVKEESLKLRSENQVLGQYIQNLMASSSVFQSSQPPRPKQ >CRE04588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:696857:700460:1 gene:WBGene00055731 transcript:CRE04588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04588 MNVSEVPTTDSGYPTPRDDLPSSSRSASPRSSPDEHQLTSTPVRGRRNMPMKEHFSHFRHDLSIIESTGSDSISTASDSNVVIGIVPQHYYRISFPLDSVKSLLAVSKELKKKSRENTVLRGENETLRGENESLREENCSLRETSRRTEMDKSISPEMGYEGVVFPVIPRLQLHDASKENDTNTATEHPMSSDDIKKELLSIIKSEEDRTILESKMENVRMVISDSKPSAKVFTGTATIQLNTEKIDADVQTENDDHVKIVNANLQIEVDQLHSEIEIIGKRKSDLENRLFDYEKIKAKFEEDEQKLRSDLEKKLKTSQAQIARSEAKNEELQARLNSKKKEVEEISAENRRLLEDKNTHEFEMDEMKVHEEHLMKQNSDLEVKLDETAKKVNDLEDALKEEKFRMIQFEKEAENTAKDFELSISGLKKKADEALEKETEAMKKLEEIRKQNKELVKENKYLSDSQHVLLDSEINSKNEINVLQANIRNIEAQLSVAKDQIAEEKRHKELLNEDVTRLEIQNQKLIEDKYDSNELMDELKKGKLEIDHLRQQVQLLSQESNDVAQLKEELQSRTGVEQRLREKLEQALRKEGESDVKIEGYIRSEAAATAELERLKVELAEQTSVFVAAQQELTQKEATILELERAFEALRIEFEEFKADANAQYENEIFVREQELNHLRARLIELETYPGHTISVSRQSKEVQTDDIVLEETTISPSHVVEEQVLSPVVSPVSEELIPRHLIRLDEKLNRLIEKLKQVRTVETIGDTSADNQLSPLYQSSETVKQIVEILESVLLDSQEKDNQSSNYIDNFWASLNKRAEHLVQIMEEKFETAHREYGRNVQKIDQKWKMQNEKLRMELDKANALSEQRVYLATQFREQYEMEEKMKDEALAEIERLVGMRDEELAEWENNEKDLRKRLERAEHAIQVNARDKLNRTEEFEAELEHLTTRLQKSEVDHNRKVKELEKQIHEEKGKRAFERESLKRMHISFDNTLEQCGYLRKRNTSRQKLLNHMHAFLHGINLGNCDESMRKRNELIHRVENSGLLKLNRDEIDDERIDRRILGEPNRSQ >CRE04589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:701545:702545:1 gene:WBGene00055732 transcript:CRE04589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04589 MTSEVVHFTGQEQLPPVLKLIADSRYQFSTHKYAIEKSEGGAHHIYIVTMSYEPLIKEVMTTARSSSNIKEQALVSQIKSLTTSEKKKKKKNKSPVVHQMKILKDSTHPNQYFMLPDASSAASSIATSSDSFSFSSISARTGSNAKSTGKAVTMVHVKFILLYRDVCKRRVQSNFADEFSSDTLLQDVVINFQQLCSRQLRGQEFHPRLAYCIGEVSEKNSKPVLDSDLGKSLAQLASTNSIIQFSLIADNVKYGGE >CRE04789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:703203:706554:-1 gene:WBGene00055733 transcript:CRE04789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nex-2 description:Annexin [Source:UniProtKB/TrEMBL;Acc:E3LZ95] MSLRKFVMSGIQDVLDNKLGGLSGNKQPNNGQQRDNSSSSSSNQPQQDYNMNPGGYPNQPSYGNAGYGGMPPQQPGYGNGGYDPYGQGQNHQQQQPYPGGGGGQPPYPGGYPNQGQGAPYPGGGGGAGYPQPPQYGFSGGPQSGGYPPQQPYQQQHQQPSYPPQQNFQQGGGPQMGGGFFPNHGYGQPVMIGTPSLFPIPGFNANADAEVLRKAMKGLGCNNSKVISVLCQRTNGQRQEISKAFKVMYGKDLIKELKGELHGDFEDLILALMEAPAIYDAKQLYKAMDGLGTKESVLIEIMTSRTNAQIQQVRDAYKMLYKKDLERDLIGETSGHFKRLLVSLCAGGRDESNQTDALRANQDARRLYQAGEKRLGTDESTFNAILASQNFNQLRMVFEEYQKVSNHSIEKAIESEFSGDVRDGLLAVIAVVRNRPAYFAKLLHDSMKGLGTRDNDLIRLCVTRAEYDMADIRNMFQSLYRTTLENMIKGDCSGAYKEGLIALVNGNRGTM >CRE04790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:707233:707649:-1 gene:WBGene00055734 transcript:CRE04790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04790 MGLVISLVAPTVHMNDNISSRILLGPDKPENNPSSPENISKYDNISYPVSTELGPSPGNKPPLNPVVGVPTILPPSDTTSKAQNNSVYDTLKMTPEVDWNKKKTQ >CRE04791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:707936:709837:-1 gene:WBGene00055735 transcript:CRE04791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jmjd-4 MTFEIPRIEDEFTPWLNILVEHGFKNKPFILGETFSSSWLARKEWVLPDGQPNKEYLKQAYGNSLVPILMNGSDYKSTTLTEFLENMGDPKVYLKDWHFQNQFGTSVYKLHPFFSRDFVNCEKWTTEKSKNPFGDDYRFVYIGAAESWTKFHADVVSSYSWSANICGRKKWFMMPPGNEHFFKCNFSESGFVEDIRDFPNLFEQAEVVTFIQEPGEIVFVPSNWYHQVHNLADTISINHNWMNSTNLHIVHKFLNQRKHDVKHELRDCLDSFQKNEFDEKVEELLFIDARLNISKFLQLCELVKESRSKSQHEYLCKVHSSDIWQCMLSPDCYSSISSICSCSTSFCSKCSSFIEQLEFSTVSHYLGESCRNHNVPIEYLQMFTEDQLSKLYDCATTSERLPNEFADGEKDFKKLIQYGEYFKACQSSYSTDYIQKAADLNEEEKVQLKNIVTQKLVEASGKDDINWNVNIVIGNSHVAKSLRPIITIKMPTNEGETFEFDIDSFAQFRQQLASAVLAVNPQE >CRE04590.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:709754:711334:1 gene:WBGene00055736 transcript:CRE04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mev-1 description:CRE-MEV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ98] MINIPTSILCRLGARSSISRSFGTSVVTKSEAKTPIQKFGWEYLMKQRAKNRPIAPHLTIYQPQLTWMLSGFHRISGCVMAGTLLVGGIGFAVLPFDFTTFVEYIRGWNLPCAVTAVFKYIIAFPIIFHTLNGIRFLGFDLAKGVDNIGQVYKSGWLVFGVSAVIALAIVINSCQNKNKAVKTA >CRE04590.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:709754:711326:1 gene:WBGene00055736 transcript:CRE04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mev-1 description:CRE-MEV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ98] MINIPTSILCRLGARSSISRSFGTSVVTKSEAKTPIQKFGWEYLMKQRAKNRPIAPHLTIYQPQLTWMLSGFHRISGCVMAGTLLVGGIGFAVLPFDFTTFVEYIRGWNLPCAVTAVFKYIIAFPIIFHTLNGIRFLGFDLAKGVDNIGQVYKSGWLVFGVSAVIALAIVINSCQNKNKAVKTA >CRE04591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:711332:712505:1 gene:WBGene00055737 transcript:CRE04591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-9 description:CRE-CED-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LZ99] MTMTRDGRFDSMDKAAPQFSFRRRTMATSEMKEFLSTKGVESTNLNLDDAPDQSPPSTSTRRMSFGETMPERIYDWEDPRLGIQGFVVRNLKKYFRIPKFLKADYFTYRIEQSGLDWYDAPALPDGVQDEFKMMRSLATIFEKKHTEELENCSEQLLAVPKLTFHLYQEVAKFFDRSIGPGHCSMSYGHLIGLISFGGMVAAKMMGTAELQGQVRNLLLYTSLFIKTRIRQCWKEHDRSWAGFMALGKQMKEDFERDQAVQEGRLRNWSLIGAGVIAAIVCGRIVYSFK >CRE04592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:712938:713677:1 gene:WBGene00055738 transcript:CRE04592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-15 description:CRE-TTR-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LZA0] MRALLFTSAVLLAIAFVEAKKQTITVKGTTICNKKRIQAEVTLWEKDTLDPDDKLATMTSSKEGEFSLTGSDDEITSINPYLIITHNCNVKKAGCKRVSEFVVPKEKIGGTYDMTYVTLDILSAKDKEKC >CRE04792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:714162:715396:-1 gene:WBGene00055739 transcript:CRE04792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbx-9 description:CRE-TBX-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LZA1] MCNGFALFFTCSSRDDIIRFSQSFFFLTFFILSISSNTLRIIHFRSKMFNQVTVSLVPSHDEKWMLFHSEVNEMIVTKTGRNFFPKLEYIVEGLDPSKLYAMMIQIEPVGDSRYKFSNGKWAVSGKGDVHAEAKKAWHADGVRSGKEWMETTVAFDRLKISNDLQTRNAWMIPLHSMHKYVPVLTIYEAPSESPFVANSSNQCVASVRIPHTEFIAVTAYQNQKVTELKIKFNDYAKGFRKEKQNRKRRSPSDFDYSTDSTESTSKSSSPQPKYSRASSSSPPEFDLPSPSQPINPFIFSLPPFSQMVSGNMSSLPFFPFGMPPFSTTPTPSSPKSSPRSSSSPEISIPSDSSEKKLTVIKEEPEESEEDIDVLN >CRE04793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:719887:721100:-1 gene:WBGene00055740 transcript:CRE04793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbx-8 description:CRE-TBX-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LZA2] MSNQVQVSLKEEQDRLWRLFHSHRNEMIVTKSGRKMFPKLDYVIRGLNQNKLYAMMLHIEQSDDCRYKFSSGEWMKSGKAEQHKEPKKLWHPDGVRSGKDWMANPICFDRVKITNSVDSSNASMIFLHSMHKYTPVLSVYESQSETPMGIPQPSTRLVTSVRLDYTEFIAVTAYQNDAVIKLKIQFNPFAKGFREGSQGDRKRSSPSADDSTTDESSSQVSSPQPKKSRTASVSPPLMPRLPPPIFPNSHFPTSTPINPFIYTLPYFTQFSTGVLPPNPFPFPLGFPCFSPLSFPPQPSVKVEEKDQEEIEPEINVV >CRE04593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:724946:727754:1 gene:WBGene00055741 transcript:CRE04593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04593 MTDSQSTQMARGRNAKRNKSTASVGSKKNRTQSTTSTKFQQSVDGFSAFIVSVSKLGKNGHEFTWHDLRKVYHKETQRQLTAEELNAIFSAKSLTKREIFELPKVKRFMESMDGSMMCRFRLIGEPDGYQSENDDIPPLMSTSLESDVVTQNSTSPNFSQAASPIMPNEKSIAISTDCDDKTGGPTSDLSKSDNSPSQRSLVQQSSMTDDEGWVSGRDTRSDNSSPVEIHTDKDEVDGGSKMELDDEVFEDEHRIEAGSDNVDGHLVTDVLVVEEATVEQKYKEAENSYIAPIVMTESITAAFEAVIQSVHANATLPETQNSSATARVHATPRKVLSPPVPAERTTVSSDELSTGAPIKRLIEKFDTAVNFVEQKIKRDGSPSIYTQVLEEIKETEHSVDQEVLSTKTEEHEEAEVTNVIFRSTSTHSSIIANGKEQLAEFVAEQEAKHKEKPATPKITNANTTTEETENAFCAKEQSSMSSLDREYFDSKTKHNVDLFAELGVKDPDGFQLKTVDSCGSGPASSEIITVIETPSKVLDAMRKRTDSVESDADVPYSLCSEDEGEPMTMIEKSMKASQSAEQLSKPKEEVRAKTVVVNVELDGQAKRDSNDTNAVHVKDRVAALQALVVDKSVPIAKIDSLDKEEVLVLSRNEELPHIALEAKPATGTIRRRHVRFMRGCCVVQ >CRE04794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:728262:728905:-1 gene:WBGene00055742 transcript:CRE04794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-1 description:CRE-SPP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZA4] MARILPCLFLVLLAVSPFLASPSNPLNLKKHHGAMCDVCKALVDGGEKVGDDDLDAWLDVNIGTLCWTMLLPLHHECEEELKKVKKELKKDIENKEAPEKACKDVDLC >CRE04795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:729252:730897:-1 gene:WBGene00055743 transcript:CRE04795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-umps-1 description:CRE-UMPS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZA5] MSALTDNTRNGALKRNLLRQMLKAGVFKFGEFQLKSGQISPIYIDLRECFGHPELLMLISEALSRQIESSGVQYTGVLGIPYAALPYASVAAGQYLKKPLLIVRKEAKSYGTKKLIEGLYQPNDQLVLIEDVVTTGGSILDVLKVIQAESLVASDVFCILDREQGGSQKLKDAGVTLHSLLDMNTVLTFLLSTGAVTEEQWHGIVKALNLSYTSPVKLIIDKDLENLSNLPYVENARTPLAERESLTESSLNRKIISIMRNKESNLCLAVDYTTTSEVLQMVELAGPFVLAIKLHADAITDFNEDFTRKLTTMANDMNFIIFEDRKFGDTGNTNLLQLTGAQKIASWADVVTVHAVQGSDSIAGVFRKLAVDPAYRLSGILLIAQLSTKGSLTALNGYTERAVEIGNDNRDVVSGFITQTRVSACSDLLNWTPGVNLDAKTDSTGQQWRGVDQAIDVQQNDIIIVGRGVTSSSEPVQQLKRYIFSSFLVLKWHSSVSRYRQIAWEALTRNDTSIH >CRE04796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:737692:738039:-1 gene:WBGene00055744 transcript:CRE04796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04796 MSVSSLSTYPQMNVETFASFRRIKIIETKEKLGADEVCSEAEFAPNHTDNWPFGETRQSAKKKKINMNQEETGNAQRQGIKNRKRKEEMIVVMSQKKGKEMGNWAKIHNNKNGEI >CRE04594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:738583:744654:1 gene:WBGene00055745 transcript:CRE04594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04594 MFSSSSKLSRHDEGCERMTRNGSVCAVEESEPDMPTQHKEVLLTKLKIEIKNIMAEHGAKKYLNLNSPYVTSLCVAVDACLMDGLRRRLLTLFNSPSSMSLLQIIAKSNGSAQQVLDQTKTIEEHHTSVIPMHLIWIREALYMKSLSTIINHFIDSKSVRRYYDSNALLMDPVKGRLVATLLLAPCMVTYRRMSSRNDQEATAEELVEGAVRVRNGENSGSTSGVGSRPPLSITRQVSSIAASVERNGSVSRDYVFSLHHSCKSTLLYGKNNVCVAMNGNDFAKGYMSLQKFYDGNLSLKWVPNQLMHASSQPSSGHSNNGEFTNIWKNTINIEMQDIIYIHLHQKDESSPTCLTFVNCEGVQSAPFQLPAGQHSIAFLSSLETGLAPLLRLDPPLWTGSTKEKILPRLRKRSTAVANPAMLDYVFRLVRTSGIEPAPEDIEDPLAPSPIPPMHDNCVSLPNSPYIVDNVDSIVNFQIGKACQSMRNQIMARAFYGWLTYVRHLRTIRTHLLHLVDTKTLICDDDCDPVDEKFWKQCRAEPTKENEEEFLKRVYWRGIEGVNSKEIRRMAWPYLLGLFEWAEYPEGRLEQFTKQYWEDIEEWRVLEAEVRRRDEEAFRAARAKKAASPVREESCEVFEDPNEPTCSQHYDRENLISLFRANLHRIDKDVERCDRNLMFFSNKDNLESLRRVMYTYVRRNLEEGYTQGMCDLLAPLLVTFEDGQLNYTYNRKCINLISEALTLECFSILMIRQRGKFPQRPGMSKCLLNLRSLIQVVDPQIYALIADIDYAQALSFAFRWFLLDFKRELSYECTYKVWEVIWAAQRLRISNDFSIFFGLATITNYHDVLITNNFDYTDMIKFFNEMAERHDCSRLLSSARTHVKCLQNLVQHLK >CRE04595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:750799:753024:1 gene:WBGene00055746 transcript:CRE04595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04595 MANWPRISPLAYVALGVLLGLTLSIITQSGNSAYDAASRIAIIRANRNDPQLEEHDHAHGNDPHGDEEVDDNHADFAPVQFHSNDSSHSHDGESIIADEISKKVRVFCWILTGKQNHEKRAKHVKATWAKRCNKYVFMSSEEDAELPAINLNVSEGRDYLWAKTKGAFKYIYDHHLNDFDWFLKADDDTYVVMENLRFMLLAHSPDEPIHFGCKFKPFTKGGYHSGGAGYVLSREALKKFIEVALPDKSLCSQNHGGAEDAEMGKCLEKVGVKAGDSRDADGHHRFMPFVPEHHLSPGHVDPKFWFWQYTYYPMDQGPTCCSDYAVSFHYVSPNLMYVLEYLIYHLKPFGIDRAIRIPKNDTIIHTAYSISRSERGQDDAFLERPEVPL >CRE04797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:753608:754590:-1 gene:WBGene00055747 transcript:CRE04797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04797 MISTNTYDSFLPESNDHLNPLSQLLFPPRHHQNKLDFVFLEGKMNEECNVKIPSSPSSLFSLFISPRLFISFRLKLYHFLNFRIKMDTVVPVPNAATIENGSANSGYFTPKGYLNQNIVKEAEAVREKRIESPRFSTTSSEYNVPVSPSSRFPSSSPLIVISPPKDDATPITVLPTAVRSMPDTHHRSLMVAIIAALLVFTAVVFMLLLVIFS >CRE04798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:759573:761097:-1 gene:WBGene00055748 transcript:CRE04798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04798 MGVVRRGSDVTSHVSSSGKSGAAPCLQHFSVIRSIGRGAFGKVCIVQERKTKKYFALKYMNKRRCIEKGVAANVIRELTLLSKISHPFIVNLWYTFQDPDYMYMVSDLLLGGDLRYHLTQQGKFAEDRAKLYLCEICLAVEYLHEMKIVHRDIKPENILLDEQGHAHLTDLNLATQLEDDQLATSYSGTRPYMAPEIYATYLELEDGYDSRVDWWALGVCFYEMLRGRTPFEFSSRTKPEEAYVAFRESSIPYPAHWPTDLIHFINTMLKFDKEKRIIGLEAIKKHAYTERIDFKSVFERKPAPVFIPCKEGLNCDPMYELEERILVSTPIHRRRTNHNNSSGRSSSEPQNAALVEVSKAFIDFSRHNMKIEPNGLCRSN >CRE04799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:764400:767321:-1 gene:WBGene00055749 transcript:CRE04799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chl-1 MEEFSFPFQPYDIQNRLMREIRSCIEHGKVGIFESPTGTGKSLSVLCATLTWLENEDKQIEAELESKLKTVQLELNKSEKTTENWEAALKNKIKSEKEKEGIVEKIEERKRLTKRIDQAKQGVIDISRKRKAPARHNDFALVDDEPTDEASPSEEYHSDGENGQSIAKLMDENCEIEQEDELPKCLKIFYASRTHSQLEQLIDELGKTRFLPRVVTCSSRGNLCVNEEVKKLKLNHLINEKCMELRKNGTSEKDKKQKTEEGAKKIRSCAKSCEFHNSSQIEDLVNGILANKLKTALQLTKEGISSKGCPYFASRKAVPQCQLVLLPYQVLLHEGTRNAWGIDLKDNVIVLDEAHNVLSTINSIYSSEVSEKSLTLALKLIREYNAKYKLLLKAKNLLYMKQLEQLTSKMLIFLNSESKEDVMTMAQLSRNLNILELNLFKLATYIEKTDLCKKFHGFYLQSKRNEIITKKENDKPKLTGIQKLMVKKEENQKQKADESEDVQPQITVSSPLFSLKSFIDALTNKCEDGRIIIDKTNGSKFRYILLNPADRLAEVVKACRATILIGGTMEPSQLLVETLSRGSIGQDSISRFSCAHVIDDSQLLAVTINKTTDGKPFKLTFETRKSHETLKALCRSLQVLTQHLPNGIVIFVPSYEILSDIIRKAKETGIMEQIERKKTVFAESRHSTPNMLADYSTAARTPKGAILFAVMGGKMSEGINFSDELGRAVIVIGLPYPNKTGVELRERMRVSLQVIVQSWVFYFQFLDSQMPNGGNMLYESMCMHSVNQSIGRAIRHRKDYAAVYLFDERFSQESTRCKLSAWIGDRTQTNLGFSEIIQKSNLFFKANSTK >CRE04800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:767445:769380:-1 gene:WBGene00055750 transcript:CRE04800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hat-1 description:CRE-TAG-235 protein [Source:UniProtKB/TrEMBL;Acc:E3LZB2] MLTEKSKPTCIRKELNTLNRFKRIPRNQTDQIPQYASGNMPTLRGCFEKKAPMAREMATLMTREYFPRPYSRQLGEKKTNVARERVQRKMVMEDLTNVNRNRKYISDGLSAVKMTFLQNMNDMATAPRFSPEMVYQHFGDEETIYGYDDLEVTIHHTAQTLHCYVNISYSSKVKSDKGLEADDIVEKLVHPDVRPNVLVSGKGEFQQKLLGQKDFKPFGEMVHKFRSKGKDFEVYRVSEQSEEFNLFLERIQTLGMFFIECCSLTDNSEENWLHYFIYERCDTGEGDGSTVANVAGFATLYKFYNYCDKIRPRIAQMLLLPQYRKSGIGAQFMESFLRDLRATPEVFDVTVESPGEQFTYLRDYVDCVNCMSLHEFSSENLKNGYTEGMRLACLTKLKISKMQSRRVYEILRYRATNKKDKEALKAQRIDVKKRLYVPMKRSDKDWRRLNMALTAEELRQAACGEIDEDNKFSTLVQMYDKLMESYQKTIDRIEAHPNIF >CRE04596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:769742:772569:1 gene:WBGene00055752 transcript:CRE04596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04596 MDNLFQFKENVSPQVQSTSPSNCMVVRGGTIPASLQPFPGMEHDRTLLNELSQETSSNGFSQFVETPREFEDDKEIEVAAAASENKESPKTLPAIRSPTPPVIRILPETAPSNQTLSPLHINVNDCSKIDSQCDSSRDSKLSSNGSSVQKTVFQTPSLRITDRASTSTDSNPIVMGPPSSTNTQVLLSPAGNLHNGFPRKSSGDSSPSVVRAKGNKSPHQFSFQRNHPSQRSNNISGVSSRPSSSLASPQGGGRKDHEMTRRRALVDRITKCRGSDYVHLLPQTEGLSHTQTSILLRKNRGTLITEGMPYSMQKLIDCGPEADKTDKAFVEKIREANQKRIRHNNLDELTDVSDLEDDHETSNAEPKNRRSPKNFPRWTPKRMRYSGMLSRAETLLDEKIALLGMLKNQYSVMDGSAGVRFEKEEPIPRRRRQLNGMYFLYDKSAFRRVSANHFGCSRAMPIQSWTKRSLQQDIFEESTSEKPQSAGPAVVKPSLNPSDKWVATAQKNVVTYLTNGEKREITEYDYEEYKAGEGIQRVNELSSLDPIEFVLSSDFNTFEDRQMSYLYRQSTAPSCIIRKKHGKSVHFEIDDRKPSDQNSVLKKKTDKKKERKPVEEDSSNDEIEEEETEEPKVPSKKRPRGRPATTKRKSSKMSVAAKLSNGIYLDYLFEDFMAEDVCTKQLPNKITYASIAVPNWYKIPEDYWDDVPASSKTGSDDLLIPVAKQHHKLMHAERDRIKRETDKRIRNRQAPTLNEDGLDADGYPPFDMQQFEPDIITSRRLYVSVVTPYEQRDFLNNLNSQSTT >CRE04802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:778059:780654:-1 gene:WBGene00055753 transcript:CRE04802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prp-3 MMYKAQQEIEEKRRKMAMAAGTHQLKSSHSASAQVSAGAKGLSLGKEEATMFVNFSMDKTTRIEQLKAKLARQPVVAKLNALVANAPAGVMAPLSEAVQQVEKKVQQQITKESKEPEKLIEYLDPRINAKTADRRRRGFNFHEKGEFEKLANKQRALAKLERLQNEVSSAAQSTGISSAVKLAMVTPSGTARIENGVPEIEWWDMLVLDKVNYDEIPPEDDVERYSQTVSELTEKIRLGLEKAPEPKVKISNLMRVLGNEAIQDPTKMEAQVRKQMAERLKKHETLNAERKLTEDQKRAKKTKKLSEDTSTAVNVSVYRVKSLAHPSKKFKVETNAKQLQMSGAIMMHKAQNVIVVEGGPKQQKFYKNLMLNRIKWTDEIVGQKKDAEKDAPGERNLCEMIWEGQVKRRNFRDFTVHTATLEKQAREFFEKHGVAQYWDLCYSTTVLLEGQDILPTA >CRE04804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:781860:785320:-1 gene:WBGene00055754 transcript:CRE04804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04804 MSSSNFETGERVRIAHQGNPQSSSLQADMDAKKALLSQNINGSLNHSAPRPPRTTGAGFKYGERKPKRQKGSDEDDEDYVDEAIPSEDEEDFDMNEEEDDDDYEEETSRKRKTPAKKKTAAPRENYRREDSETPEPEMKRIRTLSDSSDDEWLTKPRFNGSVSPKNVMRTQMAKRSAASKRKIIIDDESDDDFINDDDASDKESGKNSEKGSSKDSDKGSDNEELTMRQGQSLKAAVEKTQKQVKKKVESDEDWEEDEDDINADGDETPSDDSDIEERRAKRGETKNQKECREFFNSATKELLLQQARVNDKIAEFFIANRPFETYNVMMNTMRGVTRGTNAIEAYMEYLEKRGILSRILDDCKDHAKTVAKDFERCTEGPLELPLLKEGCTLHDYQLVGVKWLIMMNNKELNAILGDEMGLGKTIQIVAFLSYLKQIGKTGPHLIVVPSSTIENWIGEFHKWCPSLKLLTYYGTQDERKHLRHRVKKQKDNIDVILTTYNMVTSKSDDKKFFKNFSLNYVIYDEGHMLKNCDSDRYKGLMKVKGKRKILLTGTPLQNNLIELISLMYFVLFKVFNKYCEDITHLLQHFKQLGPALESKDKALYQQDRIEEAKSILQPYILRRLKNQVLSSLPTKSEQIIEVEMKKPQKQLYDDIVNVLQRPEEAGDSYGSLMRLRQAANHPLLRRSEYTDAKLDKIAKLLCLREKAYADKKWQHVSEDLAWLSDIKIHQLCEKFRCTSKFLLNEELALKSGKCEQLDKMLPEIQKKGDKVLIFSQFTSMLDILEVYLNIRGYSYKRLDGQTPVLDRQEMINEFNISKDLFVFLLSTKAGGLGINLTSANHIIIHDIDFNPYNDKQAEDRCHRMGQEKSVHVTRLVSKCTVEIGMLALAKKKLQLEKQVTDGVKGQLDEDALRELREEEGGEQIEGRDLSKLLSSAINGRYDDVEDSGDSNGVDAKEDESKPSTSA >CRE04805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:785880:792272:-1 gene:WBGene00055755 transcript:CRE04805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04805 MASDESIIPKESTSSSSLETANDVERIFLRLVHADTDVKLQSVTDRHLCDILELADRKTELVNLISEFLNHFNKVVRSNLAIRLPVSQLIKVFEKNGACSSNLSLIYLKYAKERMDGPEQLKVLPIYMKHFSKKPTDLNYIYDIFTICLPGFHELAGMERKDWPKIEISSTDAEILARCFQAILTFSSLHDVTFYFSEVLGRRLEQITGLAEKPKIPGLCIDEFIHIGKKLFHENLNLAETKMMILKLLGKELLEEKVGFPLIVVATASRLNEFVPVDDLAQSLLKKIPTENLVNERSVVDKLMYAYLGSEALTTKPGKAELLVAHGDEFSQAAVLTYLSKSKIAPTAYMNNVKVCLHGCESPSSRVQVSTMHFFQLVVEQMPDKALKLFAAVLFKKLFELLPRPETAASSSPNKVALCGIYRCLSVLGIKHPDNVLKNWRVAYQMFISLDTETVEDVASAIAACLISWIPLFAEAKDQELRQKTTTVISEIMMSKENHARMVAMKYAEVMMGDGNTTLLWNLIRSAGDNRDTIRSGAFRQLEKSLEKHSPHTSSIIEDFWKNLHPDFPPNKGETPTLPLFNILIHQAASRYLYGTFETKVMGEPAHLRIVDGDDHWITVAPRIVKLLHGEGNAEKVRKAAEIALFSAINSTGLCFKQTFFTNIFFPDVHLVRIASCFIAAYRSFPQHNSGHSSYPPFQYAVQQCSQKLRDSTRMEYSIALTYLLSILLHEDQVTRVELFNSSKSLLIEKEIPGLSFTCAAAITPVLGAQFIPPVGTSEFIMEVFLPLIKNGYHRPTSALESTLGALYFVLQNNTEALDQKAHSGIIISLIENCEKIAVSRQDSFTQKTREFSAKVIGLLGGRIEDDEPSYERLAQSLNRIGQGPPQQELQMVVGEAIVDSILGNLAMTKRDFNLVDGTGLTLVQRLETDVRLEIVNKRLIKFITETLEHKKTNANQHFRKAELIWLLIVIQNFANLKAEVLKKAELLRALQQSFADGLTENDEFSQDISAKGMGVVYGLADGSLKKGLVESLMNTLSEGKRSEAKLEKDTKLFEAGQLGTTPTGGKLTTYQELLTLASDLNQPDLVYKFMQLARHNATWNSKMGAAHGFGALLENAKEELEPYFKQLVPKLFRFRYDPDVKVQNAMRSIWGILTADRKNVVDEFANEISKELLPALTDREYRVRESACLALLDLFRGHDTVEMHQLIPEYLEAVLRVRDDVKESVREASNRAADAIAKLIVRLGSSSNLEKANRFLSVALPAIIDQGILKSTVKSNMIFCLSLVLELTKSAGKQLKPYMADLIPILMDAVSENETPLLNYLAARSDQHQIEMLDDARASLARSSPMMTAVNDLLPHIDSEVLIKMTPRVAETLRSSVGTSTRSSAAQFVTQLALRAPQLLYDHTAQCDKLFAALIPGVRDRNPSIRKQFANAMSYLAKYSSSNQMKKLIKTVVADLIGSDGNFNLIKYSDCKFFFSEELKVSSCHVISNLAANSSEVLEGYTSQIVPYVLLEKCREVPKGDDVAREKQEKWNDVWSELVPSTSAAARLYKSEILELAMKLVTNNEVWAVRKQAAVMIGVLFESLKSEAGIEIAKKSAFCLLQNLNGRLWDGKVEILKALTKTFEAGGSQFVENLQSSEAEEIVKVLRREASKKNADYACAGLSTLAAWSVITKDIESANWLAEKVDENVTKLTSIREGNESDDNMDGLSNLEKEIRASQLVTLNLTALAISLATFNTSEQAEKSLNRVAGYVNNNLIAWKSKQFFFNELVKTIENWHPEETVNAEKLITNLLEQADELCAQQKKTVAADALQVVLRTQNRSKQFGVDWETVIDRVMRGTAGQITGLGSRFEIKMEID >CRE04598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:792659:793676:1 gene:WBGene00055756 transcript:CRE04598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04598 MVASTVWDEKVSEFKVSLLFIRGTLLSQSKSSNTQWSQFAQLPLNDWFLAFNGYAAHARSRVILPSVVKHTAGFSWRTVQGSASTQDSGSVTLFLIPIISPGWHQDGNPSNQNIC >CRE04806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:800070:800956:-1 gene:WBGene00055757 transcript:CRE04806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04806 MTTAYDDDHCSRIIKLDVGGKIFKTSISTLTKHDSMLKTMFVTRIPVKKDDEGCIFIDRDSQHFRLILNFLRDGQMALPDSDREVKEVLAEARYFLLDPLIELCEERLETSISPYYHVVSTVLEARKYIFATEKPVVVLRLPVYIATNGSQCYYFSETKFREFAELHHKLVSFVLITEPEFNEDCSWTFFLKTKKITARVKGPADNNLLEDCFTQLLEDVKERRRESSVSEDN >CRE04807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:801192:803224:-1 gene:WBGene00055758 transcript:CRE04807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04807 MGCVSSRIPVIIGEGYHLKVKKTVAVGGSAKIMEVDPGGNKESMIVKKMVAFSKREEERINQEIDLYKKLANNEFVIDLVAHIVDDINHYLLFKRYPTNFSEYLETEKTRDTINELQHLKYFFGIVSAIEELHSFEFAHLDIKPANIMKSGEEIKMIDFGSATKMPIEIKTPADHHKHKEDAEELCSMMYRAPELFNCEIGSTLTTAVDVWALGVCLYEFMYLENPFNKTYEQGGSIALATQSPHMIKWISKKSVSQKTIELIKTILIVDPEKRPTIGELRIKVIELLDNWNKSDSEPSEIGLIDPEKIENEQREITDEEFDLAMRQTN >CRE04808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:803486:805331:-1 gene:WBGene00055759 transcript:CRE04808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04808 MFSYVILLNCIRLSSSLFPGAEPDEGDVRHLYPPIYIGVGLAEHAHAIPYLLGWVENLDYPKDRIKLEFFILNKEDATVHQVKWWLDSTKQYFKSVKVIEDVDNWLETALRNARLQKTERCLLISGDTVPTEMNMIQMLSNEKSHVAVSPLFQSIDDSSNIEEPSENLLRRINLREETFSFALPMVINLVSMDSSYLTFDKNNLPYYEGADDPSEVFVMSAKNMGISLWADNQVNYGIFVNPELDIEERRNVIRYQIADLIADEKTLPFVSRSVRPWQPESTKQGVDKIYLINLKRRSERLNRMHKIFDLLGVEYSLLEATDGQQLEDLPADLKNYHILNGYLDPITKRPMKKGEIGCFLSHYRIWQDVVKNKLKKVIVFEDDLRFSYNGLTRIKEVLQDLDASQKEWDLIYLGRKKQSDNEELWIPLHRHLSSVEYSYWTLGYMLSLSGAEKLLVPEPLKKVVPVDEYLPIMFNKHPNKEWSSHFEPLDLNAFTLYPLVVFPQRYTNEPGYVSDTEDSVIVTPKPKNTEL >CRE04809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:805796:808772:-1 gene:WBGene00055760 transcript:CRE04809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cul-1 description:CRE-CUL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZC4] MSHSEARRIQAGSEEVWTNLREGLDVAYRREFMSPKTYMTLYTSVYDYCTSITLSTNRRDGDERVSGADMVNPQRITGADFVGHEMYQKVEEYVSAYVTAVREKGAELSGEDLLKFYTTEWENFRISSKVMDGIFAYLNRHWIKRELDEGHENIFMVYTLALVVWKRNVFNELREKVIDAMLELIRSERTGSTINNRYISGVVECLVELGVDDTDSGEAKKDAEAKKLSVYKECFENKFLAATREFYAQEASNFLGNGGNVTDYMIKVETRLQQEDDRCLLYLNSSTKTPLANCCESVLIANQLEFFQSHFGNLLVDKRDDDLSRMFKLCDRVQNGLDQLRLSLERHITKEGFEALERVAQEASNDAKLYVKTLLEVHQRYQMLVNRSFKNEPGFMQSLDKAATSFINANAVTKRAPPAAQLTKSAELLARYCDQLLRKSSKMPDENELEDLQTKIVELFSLMFIIIYLSLQMIVFKYIDDKDVFSKFYTKMFSKRLISDLSASDEAEANFISKLKSMCGYEYTARLSKMVNDTQVSKDLTSDFKEKKAHLLGEKAIEFNVLVLSSGSWPTFPNSTLTLPQQLSSTIEVFGQYYHEKFNGRRLTWVYSQCRGEITSSAFSKKYVFTVTTAQMCTLLLFNEQRSYNMEQISAATGMDAKTSSMIVGSLIKNQVLKADVPLQGDDIPMNATVTLSDSYSNKKVRVDLSKLSMKQDAVRDTESVQKNVDEDRKSVISACIVRIMKTRKRVPHQQLISEVIVQLQGRFKPKVDMIKRCIGSLIEKEYMLRVENQKDLYDYIA >CRE04599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:811070:812253:1 gene:WBGene00055761 transcript:CRE04599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04599 MEEIQAITEGKIIAKRWKVVEKLGEGGMGAVFKVRDLTRFQTYAAMKVEGDVSDGGVLKLEAYLLKALAPLPFCARLMDAGDRKTYCFMVMTLLGKDLMAHKREANIPKLCDQTTLRLAMATLFAIKQSHLRFQIHEVGYTHRDIKPGNCVTGIHGSDAKNVYLIDYGMVRSFTAKRDNGQLALRKARSGEQLFRGTPRYCSMNVHNRKEQGRVDDLWSWLYMLIELHCGLPWRRLTDEKEIMQAKSTCPMEVLLKGCPQEFTNIHKYLESLEYKTRPDYFGLWSECFSGFKRVRGSFFGRFEWELGKAGGEEMLTALSISEKRGPNDYKCATNMQMAKKIWPYADSAHFKKKILNL >CRE04810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:813152:818148:-1 gene:WBGene00055762 transcript:CRE04810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atx-2 description:CRE-ATX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZC6] MSSQTGLGAVNGDVLCAINDMIGRVILVSTTDKKRYSGVLDAVSKDLAFGLQCVVELTKENENNLLRTESEVVEKMMFNFEDIVDFAYVTQEDTKNHLVSKFVTDRQYHGDAPNDNEELQEWNGGEDEDGLGGSIEDDVVTTGSQSSRRNNHNNGNGWSVNEMFAVNEKMNVVSTFKEDLTQYTTVEVVGSEEDRARAERLAREIESNSSSKFMANLENDDDERDLDKMTRQEDFDSGSNQRGGKRNNFNQPPQQRRNPNITPNGQPVNRRAEGLRGDRRNSGSSSANNSRYGPPTSVQNYQPQQGQKSGGSHNNRRQNEEYRDNDWQMAKGKGQNQGNDQSFRQQQKQMLDPRPSAKQPEGEKSQSSGSRVTVLKNWGNEFSIATKEQSPAPGSTPPAPQGNSGSAWNRGPPSSLVAKGTTTDSTPLATENEESSVPRTVPQKKEDSPSNIREGNNETDGHQEDGDNVSITDRSETSSVVTTKSSSFKFNINAPEFKPRPAAAATPTPTTPTGPVSQNMPQISQQFIPAASEYQQHPGMIQPVGPQGSPMGLPGGMVPHMPPGGVPQNQGQPIMMWQQNQQGYPQPHQFSAQQAAVPGVPGQMYGPAATNPITVNQMNQPTQQIPTSTAGSAPTDGRQGNAHGRRQDGEYREAQPLFYPSYGQTGQMMPVQQQFYPQQYQGTMQQQQYPMKLIPQQGPQGSYPQRFQQQQPQQVYMVPQGQLPQQRYQGVAQQTHQFAGEQGAPQSHPNSQPTTPGPRGEINGQKVQQGPPQSQNGNNGMHREPEAGSNASLSGSASSQSGQRSGSPQNIGVPPQQQHQQGPPPPHGPPPPHGGAQHAVPPHAAPPHVGPPQATMVGQPMQFMVMQAHHQMHPQMQNYYQQQQMYYPTMVVPQQMQIPQNQHGQQSLMGERADTGYSQNNIFDYRTMPNYQPNQPHQQQQHQQMHPPHIPRQNSLPQQFGGNQGNVKFINCLSNSSSSFEGVNPSSQQAPPSAPPPPQQQQQQQGGPPREHQQQAQSPQ >CRE04600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:819362:820980:1 gene:WBGene00055763 transcript:CRE04600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04600 MTNRPSKSNTKNSTRGKQNNDETSRHPKKSKHPKKVREVKQEQEQSSAMSIKAVKKGKKVSNAQQSVDGLGGGVTKPVHAKKEKNLTISDDEAPTAGTATSTLSTVSTAGSSDVPPQTPPRKELGSIRKTKGNHGNEHIPSSIKHHATTPTTQDKWVGEAAARSWIEKADFEKAKADYAKIQSIGVNVEKECKIWKSNSKWNQSEEYPTLDSNLVKVDNEYVNMAQLDTPFGKNVFLGQFPVKNSEEAFWKAVFDKRATNIHIIVGNESFDFFPQKAEDYRNYGNMWINNRKVTQIHGNDDVCRYHIEVLPRDCSNSIVCVLDVIKNWNFENVPVKYAVAIKQTIELTNFFSNASSDESALIMSQHGAGRAGFLLALTVAVNKLDSKTEPCIADIVKSIRVQRPKAVESLTQYAGLYTSLFYFIKVRPLRTPKFHINHFKKKLGKSDGLVKKSAELTQQFTNALNAEINQPSVIGSVASTVTNTNNGSAVLSLAISGQK >CRE04601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:824060:831776:1 gene:WBGene00055764 transcript:CRE04601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04601 MSSYFGASGALGPDHVSRQSPAAIRFQRKTSTGSAPSVPPPSVPPPEGSTDEEEPRTSSNNNYSSTSTVAAPVREPTRVSTLKREEKEKKQKERMEKERRELEEKRVKEDEMKKEENNLNTTTHPTLGERTSYVEEPPSTTTTLDRRDRKAEKALVRRITNEMQMATYVQQIDLSTVKQPVGAYTQGVYRIRAFRFAEAIFRRTFWTLGKFIANQKMFSILVALFCLASSFILPSIHREQIYIDLPFNHLLGSDSDVISNGIGITTKNQEFNSSNPAFSCMKWRDNNRFAVLLKTINVFRTSRDTILRKDAVLAYTALKKKLDHFPVEKREFMNQCSLECETDKDMVDRIIKKSPQVALTYPETFVSMSKDSTNLTRVYLGASIGGVETDTDGAISKASSLLMNFELKNDLTGKEHNSWHRHFEEQTRLTTAPNISLMSWSPETFKDAVVSSLKDQYWCLQFCLIILFVFCLICSFGANAYKSKPCLGIMIFITISAVSITGFSMQFYRNLPVDPLVYPICFVTIGIGLLWLFQLHLSWSRYSSAAVHPTEKIAFILAHDAPGIAASAVVIVLTFLAMGAAIQNGHMVSSFLGIASSVAILLVFTVWFVSFLLIFFICFLYFSFISVFVFIGGSREARGVKWYQIFKTGDTHFTAPQLASFDSASLFSLHDRLLDSRPCASRAIGAFVISPKIRYPILVLCTAYVFFAIYGFYNTGIDIKEEYFLPSGSSEKTFIEQYREQFGKTTQFIELTIESNIEYHDHNVQNNIFDIMDFAIAEGYATRAVNWLAEFAKFEKASIYDVNPDTFVPVVNLVFLPSETYRKYTSDIVMDRFQTQIIKSRMYLELTAKGFSERAAFVDTILKKAATKGIPLSVDIPSSMSLRHDISVCTSGMVAFGVAILMLFILSLLLLGQPALTVLLLVTSIAVLVETVGYAYFWSVPMNIVTLTMALAGNALTCVIVMAFCYSYSMSGKSQIRAGVRIQYTFQATLVPVLLACIVPVITFLPLLYVDVPVVYHLCKIIVLNAAASLINYLFFLPNLMVAFSERFGFSCTSLNCAELCCDMDDESSIYYIPTGGRAIHPEGLYHHPSYTYTVPKPLMNAPPPHYLAIAAPPVNSIYGQTEYSSRGELKRESRRHRRHSESGASETDGTPRRDRESRRRRQRRAVSRDSEIYEDLPSPRVVTSSRSTSPRRQQPGSMRRQNHPSGSSNPRPQAFHYDEGAQWRPYVQPSPYMFYPGPVYGQQRR >CRE04811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:832202:833386:-1 gene:WBGene00055765 transcript:CRE04811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-33 description:CRE-RAB-33 protein [Source:UniProtKB/TrEMBL;Acc:E3LZC9] MSEHHVNLPAPQFSTYATVIDPPKQVTATHPDEMVTPTQSTQNPPSQDVSHTVSSTPVRVPYPSAPPAASSVPPPVPTPSASIPKKMALAPPSNKTYKLKRTFKVIIVGNAAVGKTCLSFRFCCGRFPEHTEATIGVDFRERSCVIENELLRVQLWDTAGQERYRQSIVAHYYRNVNAVVFVYDVTCRESFNDLALWIKECEKHGLVSDSEVPRILIGNKCDVECQNRVSTDEAQMFADRNNMALFETSAKAASEADHVESIFLTLLHKLQQSKPMHVQSQDERQQKEQERLILKANETGNVEEEGFCC >CRE04812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:833573:834820:-1 gene:WBGene00055766 transcript:CRE04812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-11.3 description:CRE-TAF-11.3 protein [Source:UniProtKB/TrEMBL;Acc:E3LZD0] MTSKLSSADLFGGLSDSDSSDNEDRIENERASATVFQDLEMSSEEELGHEDTQPMELSPSPESISLEEYRYNRNESNRSENGYSTSFLSASTSSSHSSYYEHTGIPNTSIEMIGENAENSVDNQDRRNIEDPIPDSTEEIKVEESAIPPPIHQIQVQRHEALQKANAPSHFMMSKPSDSFTQRKFPTRNNSSLTDEELEEKLLAEKIKEQEILSDIVLIANFSTEQLDRYAAFRRSKFNKPAVKNIIAQATGSAVSDPLALAVGGLAKLFVGELVEEAVELRDASNEENRPVQPHHIIAAFNKLNQDGKLWPPYGKKF >CRE04602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:835379:835868:1 gene:WBGene00055767 transcript:CRE04602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04602 description:Ubiquitin-related modifier 1 homolog [Source:UniProtKB/TrEMBL;Acc:E3LZD1] MSSTIPVTIDFSGGAEFLVKAKVQKVQIPEESTLRDVLKFVRDNLVTDVHRINMLLNDDASEVAHGVITLINDTDTGLLSEYDTVIEAGDTITFVSTLHGG >CRE04813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:836184:837211:-1 gene:WBGene00055768 transcript:CRE04813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04813 MLPRVCRGGNQSINQDNSDAYSTAEIEYNWCTSKEPNCATAVKADENIELSSYQFTKICQKRTLASTSSGTYSRLRVSFIFDRDSGFYFLQIFFPASLVVVLSWISFWINRDSAPSRTLIGTMTVLTETHLMTGTNRRLPPVAYVKAVDVFLGFCYLLVILALIEYACVAYSKKKNEDRRRREKKMEHKPAPPTPDILHDVRLAECTCNAAPTSIIAVIKQPNRFCVSHSHIDIVSRAAFPLVFIVFNIVFWLMLLYKSKRLPYISEHEGDRCEAPDLH >CRE04814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:837714:844991:-1 gene:WBGene00055771 transcript:CRE04814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-49 description:CRE-UNC-49 protein [Source:UniProtKB/TrEMBL;Acc:E3LZD3] MARPFIFVILLSAQLCLHVVLCQDEDSHINTSLLSTVLDRLTNRTTYDKRLRPRYGEKPVDVGITIHVSSISAVSEVDMDFTLDFYMRQTWQDPRLAFGSLDLGLSKEIDSLTVGVDYLDKLWKPDTFFPNEKKSFFHLATTHNSFLRIDSDGTVYTSQRLTVTATCPMDLKLFPMDSQHCKLEIESYGYSILDIVYVSHEKKSVSTESYELPQFVLQSIKVVNQTQKLSSGEYSRLCWFFLFKRNIGFYIIQIYLPSVLIVVISWVSFWLSRDATPARVALGVTTVLTMTTLMTMTNSSMPKVSYVKSIDIFLGVCFMMVFCSLLEYAAVGYISKRMKLVRARKESRMLTPLPHLEPPPKRTVSVPSYFNNTTYRPFYSSTDRTSNLYIPESQRTIIFANEDAVPNELTPMLGRSNSQASVFLYQTAIIPDDDFGRFWRWLRPSNIDKKNGFPDGYQTKDIDYYWGKKRTDLETTAVKFDTFQLPQFQPTLYFVNTTKAETSSGGISICYEDSTCSKSQFPGKYVRLALEVILVRNMGFYTMNIVIPSILIVTISWVSFWLNREASPARVGLGVTTVLTMTTLITTTNNSMPKVSYVKGLDVFLNFCFVMVFASLLEYAIVSYMNKRLVLRREKRRKAAEQQQRNEMPMFNASPKAANNNADLYFAGHNSSMNPLMEIPENCDCRTIPMMQHPRLVTDGAHTLWPAPFARPKKASKTCCQRWTPAKIDKLSRYCFPLSFSIFNGLSFFSILHHLNYCFFHFSSLLVVHEISKSKLLGQNPGKRQMAAHPLVNS >CRE04815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:852208:854684:-1 gene:WBGene00055773 transcript:CRE04815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04815 MPVELESIRTRQIVALRTMINLNESVVSSGVKEPVWKILILDKTGQDIISPLLPVKQLRDLGVTLHLMLGNRREPLTDVPAVYFVSPTDENIDLMSEDLKKAMYDSFFCNFISPLARPRLESLASAAVHGGAVSQVQKVVDQYLNFISLEDDLFVLHRYNENSLFSYYSMNSPGTSDVAVNSMLESIADGLFAVCATMGIVPIIRCPKGNAAEMVAKKLDQKLRDNLRDSRNNLFTMDGVRMGHMQTTRPLLVIGDRGADLATMLHHTWTYQALMHDVLELDQNRVTINNVTAGKKKEYDMGTGGTDKLWNNHKGSAFPTVAEAVQEDLDAYRSSEEEIKRLKQAMGMSGENDAADEAMTSLLADTTAKLGSTVTSLPQLLEAKRLIDLHTNVATTLLDVIKERKLDVLFELEQKLLQHSQLGIHYISTSTCLYFQNLRSAKDVLRVLIIAFLCQDTVSQNSYDQMMNLLRERGIEESALKHVQKLKSISQLGSRAANSTHTEEHQGAGTKTISMFGKLLSHSSKFVMEGVKNLVPKEHNLPLTKMIDSLNTPPSSTGISSAVGINQMIGGSSQAPDIDDTYCFFDPKLMHQPTKETITSARQQPAQDIVLFVVGGGNYVEYQNLVDYGKRKNLMRVTYGCTELVNPSQFCDQVRPRILPFL >CRE04603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:855133:855759:1 gene:WBGene00055774 transcript:CRE04603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sip-1 description:CRE-SIP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZD5] MSICPYTGRPMGLLRDFEPMMPYWAQRMSMLNDFNNIVPQQHNEVENTDNKFAVKLDVAAFKPEELKVNLEGNVLTIEGHHEVKTEHGFSKRSFTRQFHLPKNVDLAHIHTAINKDGQMTIDVPKTGSNSSVRAIPIHVSAGHAVTQKPANNTNNTTGKH >CRE04604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:864772:865591:1 gene:WBGene00055775 transcript:CRE04604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04604 MLLISLFSLFSIGFGARILRRELDDQPTALFLELGPLEVVSTSPSDDDVFMIRDSSPSFPTADSFRDKRGVDPMSIPRLIKEPPLKKRSGDFKRGDVVYPSAAKQTVPLVRVREPPLKRGQLFLEELLQFNDDAHKRFMNPLRRIRYGSNRHIYAW >CRE04816.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:866204:867736:-1 gene:WBGene00055776 transcript:CRE04816.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpd-1 description:CRE-HPD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZD7] MSTFDKGEKPDIGTFVAFDHVRFVVGNAKQAAYWYCANFGFEPFVYKGLETGSRITAQHAVRQDKIVFVFESALLPDNSELGNHLVQHGDGVKDVCFEVEDLDSIVAHAKTAGATIVHDITEESDEDGTVRFATLRTYGETNHTLLERKNYKGAFLPGFKPHPMPRNFFQTLPRVGLNFLDHCVGNQPDLQMDSAVEWYENILKFHRFWSVDDSMIHTEYSALRSIVVTNFEETIKMPINEPASSGKKAVSQIQEFVDYYGGSGVQHIALNTTDIITAIEALRARGCEFLSIPSSYYDNLRQRLAASSMTIKEDMDRLQKLHILVDFDENGYLLQIFSKPCQDRPTLFLEIIQRKNHQGFGAGNFKALFESIELEQTKRGNLFYDNVKDGEKK >CRE04816.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:866204:868726:-1 gene:WBGene00055776 transcript:CRE04816.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpd-1 description:CRE-HPD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZD7] MSTFDKGEKPDIGTFVAFDHVRFVVGNAKQAAYWYCANFGFEPFVYKGLETGSRITAQHAVRQDKIVFVFESALLPDNSELGNHLVQHGDGVKDVCFEVEDLDSIVAHAKTAGATIVHDITEESDEDGTVRFATLRTYGETNHTLLERKNYKGAFLPGFKPHPMPRNFFQTLPRVGLNFLDHCVGNQPDLQMDSAVEWYENILKFHRFWSVDDSMIHTEYSALRSIVVTNFEETIKMPINEPASSGKKAVSQIQEFVDYYGGSGVQHIALNTTDIITAIEALRARGCEFLSIPSSYYDNLRQRLAASSMTIKEDMDRLQKLHILVDFDENGYLLQIFSKPCQDRPTLFLEIIQRKNHQGFGAGNFKALFESIELEQTKRGNLFYDNVKDGEKK >CRE04605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:869278:869890:1 gene:WBGene00055777 transcript:CRE04605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04605 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LZD8] MPLPNKGGEPEFKLKVEPADMIIIKYKMKSESHVPLNITNPTKDRVCYKVKCTDNEIFRVRPPLGFVKPGETASVTITLKPKSGIDPNRHFFAIYHVACVDKTDPKKIWNANTKPDGVIRMQVQLECDEKEKNEEKDKKDEKKEEKKDEKKDEKKDEKKDEKKDEKKEDEENKEEKKE >CRE04606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:870628:876798:1 gene:WBGene00055778 transcript:CRE04606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04606 METSSIFKPYLSYDTLHGDKQTMLKNSIGRQLPYIINFKENSCQVFDIELERITHIFTFPDSCHLVVVDYFPTADGQFGIIVGIEDKLKTWGSENFVVALAVTENSPRMVITHSIDVPDRITVIKTLFSNVDMAEGKEKRSLQLYHRLLSWPHVIAIGCKGTKCFLSSLQKTDESQEVPAINTPKKPLLDLLACYVENDNLRYSNEDGTFREYTSSGVYVSALALMPRSRTLLVGLSMGGILAATLNASNQMNLIELRHERLIHAIAPLEPEDDPDKFEYFIAAVDRDPRHSIMIQLWRGSFKSSEEVNDDEKYDRPQYNVCLEHKIPYGERWLSVKTIVTERGVMDGVVQRRRNDSSMDSTVLNVTQSFGCTSDRSNVFLAYERRKPEVINPEETMYIVEAAIFDIDAWYYKRVPGRVTTDGLSLRQSPFMSCIRSDINSSQVSDIGILTLDSMDISRFTSKISDADQLFYPSSVSYDRVFVVHNTHIDWMKIQNIQETILQRCASKLSWIVRSPDSMATNIIAAGLIRKNILTGSPNTSVAEINDDDQKLPMKLRVVLNAMMYYGKINEFTGLISQNDLSDKIKLEIADWAFFEAVDYKRIISDRTVALFQGSTSALAPLAEDTIYQGIKLFRIVNEYLKGCCKYVSNRAYLQNLALSVKCMFNHTKLMSRFINVLIVPISRHDQTIMRRMHEDRKSAASKNKTALPVQLAVRNMHRISPDAQFWNDLPYDDWYPPTPLDLLESILNISISERIKREVVIQYILDWLRANPNKSELSDVKLAVEVIQVMTNQMLDVDLEKILYVLDQEKTALTRKANVTPTDDRNKKHVFSLEIEELTYDQLWSGEAHLASTINSRDLERFQQRLKLEEDKEKGKLPIFDSETEKLYQVFLFEKQKYRLMSSEAYQSHNLLNSFLPTMLNKTSIEPVQKSSKELSIEKSVKEMFEKHSQKEIEAMPQMFAKFDKNNVKKRKSDAREFNSSPISFVPPTAKRIQQKTKDEEDVPEGIDTEKLESVNEENLNRMIATPARYYKRPVETVNYTSPSDTQKMPFVPQQNSILKTAKTVQSPSRGRIRFDASVRKGANESIDSIVAEENEMENAPKKINFAILEDAEEEETRIVRKSRSNSTAQETEKVAEVQFEVLEEHQDVTFELQDDDDNQMSLNNGETFESISAAENLQTLSEVNDPEKIPEVPLQNGTVEVVEQEYEEHLWDGVHRSFEAQNEDDCGSYRVKKLKNVTNEAEIQIEAENDPAVDVPLDRTFEAQEEEETGPLGDTGGAEASYKPVYIYTVKSAEPSQTPMTEEDRPPSANTRSRKKETNSRSATPVENENEAEKKKVRKPLAASVKTTSETRDSKKTDEESIPKNEDGQKISKPFGIDDKERPSSRKTRGVRVEKTVEFQEDERHEDENVVVVARKTRGVAVQQSSTPDEIVDNQLPSSSRTRVVSEKRNRIAVKKEEKKPVPTPRTRSSSASRSTQTELNVDSSKDDVESSTPVITGRITRSRTNSRCQTPSRKEEVDKQVSPTRKDESSVANSKIRAASVVPKNTPAAVSSKKTTRVSVSAPSTPRRGRSATMSESEEPVATNRGSTKRRNPTPDDTSREDVVKKTRGCARTPLLKVIPESTGDEQDKPTDTPKRGRSRTNSVSSSATTSRSVPTTPKRGRKAASVAPTLEEVVEEHEPVVRSKFNCAFLIRFYFRLQLDDRHVVSNRSRDDLIIQ >CRE04817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:877145:879479:-1 gene:WBGene00055779 transcript:CRE04817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04817 MFMRLENNRKYDSKTTARCKRSDDQLNRSVKSKKMSAKSSKKSKKSKKEMTKSKKSDRSKSSKKEKMEKSDRKLDKKKSSIREKVEEPKSQKEEEEFVNEEKPKPFQKVKRPWKNLFKLKRKRARKDVHVSERNGTWCHLFAMGHDIHATTVFFRRVSVRMGLLTGTVICLVFYICTVFRDSHIRYFRKIPDLRRLLTNEKLVHQAELFRHNWCLEVEDKEERLDILERNRLFDTSLLDSNIDEPGTIRAVSQNTTRSEDAEGETEELLGLLPRDKLASFPYPVKMKFQLYLLLIFIFPVIWLILLFELTLHTTGHNTRHLFSEDNFGFAWMYEVLRAHRELMNVKEERHKCMGTMKEMKSGLSSSVMTIMKVFVWERSLGSSIIPIVISIISLGILLDLALQGLFSCRLNHREVNNDGKRYIFFYNVAIKFFISFFVFSIFLAITLMTEKYFFKPDRRDN >CRE04818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:879807:881439:-1 gene:WBGene00055780 transcript:CRE04818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04818 MAASDYIMDVETQRRIEYTRQKLMRIEQMNEQLRKLSKANKNSEEKLERLLKRKDSLELDVARLTDAAMRTEPDVGKELMHSIEEPLEVDMVYGEAYREKMCHLKVLLNEIIVRTSFNEKAMCKEIGHQEAEFENRLRETISTRTSLSLKTDEAQKKYEMLVREQANLYEDVQEMEGNIEKFDASRWLLNVEKRRVSDILDRTKREPKTGIDCRKPYIVCSEASSIEDLISSTASLTHDHYAPEHHFNQTVPAVNFKDVPSIDGYSTEMKVHKEGCPKNKKNSLHPIPHSNSLISEKETPIKQCRGKQQTKLPINGINLMELISKAIQEELSSL >CRE04607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:883073:887229:1 gene:WBGene00055781 transcript:CRE04607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04607 MSFDTLEGWILTQDSDIRHLMSLDSLEVWILTQDSDIRHLMSFDTLEGWILTQDSDIRHLMSLDSLEVWILTQDSDIRHLMSFDTLEVWILTQDSDIRHLMSFDTLEVWILTQDLTFPPYRHICPHRVNQYFISIIFVRIYITKNFEKYIFVLHIDRNLLLETEKVLEYNMYSRRYVHALSASLHVSVSRGDLRLLNFSVAIRVSSSIPPASIHSSDPLNQYCNEGQENREGSWRPTGHRKNCCCWWPRIPVREHLPLDVEN >CRE04608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:887495:888689:1 gene:WBGene00055782 transcript:CRE04608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-30 description:CRE-RAB-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LZE3] MEDYKYLFKVVLVGNAGVGKTCLVRKFTQGIFPPGQSATIGVDFMIKTVKVGNDKIKLQIWDTAGQERFRSITQSYYRSAHAIVLVYDVSCQPSFDCLPEWLGEIESYANRRVLKILVGNKVDKGDEREVPERIGSDFSDVNHFDYFLETSALDATNVDQLFEQVATRLTNDMKITDERVHQYRADATNTSSSGGPIKLIDRAQTQLNSCCSRQ >CRE04609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:889078:891416:1 gene:WBGene00055783 transcript:CRE04609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acdh-11 description:CRE-ACDH-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LZE4] MHRINHVVRMASSSSTNATIAARHTQYSHAKTGGFSQEAPTLHNPYRDDPILDRVLRRLLPESQYVKVSADLTKFGDRITSEIEHLGRQAELEQPRLEHQDAWGKRIDKLVVCNEWQKLKQICAEEGVISIGYENDVDPFVRRIHQVAKLFLFSPSAGLVSCPMAMTDGAVKTLTVGILYSLNLYGKHKLATEAVDRLRSRDPTSAWTSGQWMTEKKGGSDVAGGCDTYAVQIENDTYRLNGYKWFSSAIDADVALTLARIVDSDGNAVEGSRGLSLFLLRIRDDNGNLNGIQMVRLKNKLGTKQLPTAELLLDGAIAERIGEPGRGVAGISNMLNITRIHNAVASLGYMRRFPALFSFLFKCSFSRIISLARDYSTKRVVFGQTQVKYSKWPLHTTTLAKMEVETRGSMLLLFESARLLGLSEAGKSSDVDAMMLRLITPVLKLYAGKQAVPLVSEGIECFGGQGYMEDTGLPTILRDAQVTPIWEGTTNVLSLDVLRVFSGKENILLAFGKRVSQLLSNTKSEEPRLQKSKESIESALKELQKLLVKASDSAVQGETRIDTVARHISFAIARIYSGALLIDHASDSTVANQSDIEVAYRYCCEQPLIDLRWEWFANERVKSDRAIVFDNYLGLQKSKI >CRE04819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:891646:893572:-1 gene:WBGene00055784 transcript:CRE04819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04819 MHSSEYILALSAILLLTTVSHVAAVRCKCTKESETVTCTDGVCETENGSCLMLDHPTMGVHYTCHTRGLKDGSCHNKTSKSGAEVRICGCNSQDFCNFSMWPDKSAHHHHKHHHKHHEQTQEETINSVSSLSPVFSLFVSSIFYFLL >CRE04820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:900149:904083:-1 gene:WBGene00055787 transcript:CRE04820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04820 MFSRTIQNYAEIKPNLLFRKAKMAKKSVEKYKAKKIEIPEIKGEALFGLHAVLEAIRSGKRDFHSIYLKKAIEDSDTRIREILQIIQELGIKKESLTDDQLDRLTEYQLHNGICMDASPLNFSNFETKNFSIFVDRVLDPGNLGAIGRSAWYFGADGICLVKGRGPKTITPSMIKSSCGALEHLPVQQFENFHEFRDSIKLNSGELVATCDPLAAEKAGITVKSLNEWKPKKTVGIVLGDEGVGISKEILNFCDTVISIAPTREHQSSVTSLNVSVVAAVENNTTDEYCSNGVRAGNLCQLIPSSGYECSSFNSSASWCESFNGKYKCCEKSTIKCKDRSSFCRLTKKLCLNESDFGKMKAKCEATCGFCGFKTFEEVEKTRKRIDELARGQECDLPRKCVFPKEKMLNSTGTPSVNHGTTATIVDPIVACRSKLNWNSTTVGSAKNVTEYNNSTEFPMTSNETSNITTVDLKTLLQTVGKLTTSTTLRSSTTRSRIPKTIDSFDSNEKLKTFASRRHNETTTSITLPSSFNSTKVVRKLTLEELKTTRDTMPKITTAEPSSLSNSLSKTVIRGTCFDEYTYCREFTSLCSHPAFSEVMASHCSLTCDRCDDVERVEEGSEDCEDMTPDCKNYRDLCQHSKYKTLMKSYCPKVCGHCIPMCRDRHQNCPQFFDDGFCNDTMYTYDERKYLCGATCLLC >CRE04821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:904250:904897:-1 gene:WBGene00055788 transcript:CRE04821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04821 MAPINGFHEDNDEAIRLRDSFRDEVSKRMGELLLKGQTMLDEYCPTCSGILMEDRTGVRRCVTCELFREKTSHIVAEIPLQEEDLQEAVDQSQARETASAEKTPATRKAIVPKAAPVVKKSKKIAENTHGNDYTDTIVSAKSAVMRKLEWATSQLDSTEDTSRVFDFLTVIQKSAETLQALQL >CRE04822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:905130:906533:-1 gene:WBGene00055789 transcript:CRE04822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-epg-6 MSTISHAAITLEQSGFTTADRNGFQMYQLFPLHYRMHEHFGNFIDHFRFSNMFFSVPDVGPVRIAKQMGVSNKVCYVSMMNGKYSQNNVIVFNVETNSDETEITTPSRYGAVTNIQVSHNRLAVFTATRLFVYQFPDNINQIRSEDIRPNPKGISAMSYDPTTSACYLAYPGFKTGSIQIMNLNTLTARESKSPVVIDAHVTEIVQVALNCQGTLVASGSTKGTVIRVYDARTKGMLYELRRGSVHAHLQCLAFSPCSSYLAVASDKGTLHVFGIRDAEPQKRMTVLERNLGSSSILKIQLDRQVLALGFSKRESLKQNFMKIQKIISETAKSLTGLVAICSDASYWRYHFSKDVNGKVHSSPPFYEELLEFSADASFFRTPLD >CRE04610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:908339:911379:1 gene:WBGene00055790 transcript:CRE04610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rabx-5 description:CRE-RABX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LZE9] MSNKYGDEDESKKAKMHLKANDLLCVNGCGFYGTPQWENRCSKCWRAHQNELKKSQDFAKNKSLLSFDQFQERRKSTTESKSRGIKNLFKASPIPESGASSPTSTSPASTPTRRRDLSPDSLEARKHFTDFLVANLSTAMAQEIARSVKNAVTKICEMRMNPDEMSELVMSYYQYLGERIGGHSLFDSPDCKVKVEDVMDQVEKYISTCCYTTFFCASHEEEVADVSLQDRIRSLHWVTAGFLETKLVFKKQTVRDKIDEGISELIEINAKKSAFEKLECLTRSCKAVFEALKESESSMSADEFLPTLIYILFRGNPPLIQSNVKFISRFAIPARLMSGEAAYFFTNLSCALEFARNMNHESLQMEKAEFEAYTTGHLAPPLSVINSACNQAMYVLEGTIETITTVVKKAGGLASNLTGVHGKADDDLEKMLIVIKETVDFYPTEEYLKMKESIFGEEQETADLLVSLSRQSSESGRGTLSTSADSQPSTPQPQLEPSLESPLAAFSQK >CRE04611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:911781:912253:1 gene:WBGene00055791 transcript:CRE04611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04611 MLLELILLGLVAYLLLAWHRKEVEKVSHFNKFINQTLKFKGGEGLGAAGPILEELENVVFKIIDIFKKQANSTSTSKRGSTKNTTPMFAEEASTQRSSPRIAQIEKFESVRQPREKSRSKHHSRHRSKMDSALKAAQK >CRE04612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:912345:913336:1 gene:WBGene00055792 transcript:CRE04612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-22 MAVLIQLFFLNTVFIITSLSFIRMLRVLVRTGTESCRAVSTSSAAFSIPVKKDELSAKDVWERRSKLRIPKLQKDEQLSSKVYFAPEWDLEKKPNLDEGFVDPLKGYGMTPEKWEYYNKVNSKLSSTGGKNVGRFQVVWQPNYIVPETGLPKAKEVFHCKESVHFSPKRMWAACQLVWKMNVDEAITQLDMQQLKACTLLMETLKKAKTRAANEFHIEYPSQMYVADAFPVQSNIVKGARRHAHDNWNTIRYRYIHIFVRLEEGPAPAQKQRHATKSGWDHMDAYYNYLRSRSVKYSI >CRE04823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:913418:914546:-1 gene:WBGene00055793 transcript:CRE04823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-10 MTRTTRAALILVLLSCIASVSARTIPCLDGCTCELSEHDPVIRCDSVGLERFPLPHSSPLRGFHFLALTCNDIETIPAISMIKASFPDLQGIDVQGNTRLNCSDIAHLHTEIPVLSDCENEHPLQCDKLDKSCDWKCRALTKLKEMWAQFKDLVNRKAKEWQAEETIEAAKSWFSAQFKKFKVAIGELSD >CRE04613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:915008:917467:1 gene:WBGene00055795 transcript:CRE04613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpr-9 description:CRE-HPR-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LZF3] MSSPVRKTGSLEGNKRIMHGFDDAAYRRVRKQQKYVGRKLFERSTLMLIDQMQATHETYADPSSSTSNFRKGIQHEDMKGAQFVVQSNLKIMSRSIAALSKISEDVLIEVSDSGLYFKIVNRSKFCVFRFAPEFFNACDVSLINNNSVNICRLSMKSAQKIFKGVAFGEKNFVGCEFRIDPTAERMMVKLQMNYDIDRTIHANLREMGSILHKPTYDRSTCRNTTVVFASTLLPILAQMKSDIEVTMNVTKDGLTIRNFHSMDGISMFNMSDQKGGKKVKTETTITADKLTRHKIQIPVEFSFSFKEFMAIVIFADQLGSEVCLYYDLPGRPFILSIEAHPNFDIELALATMGNDDEIDLDGGIIKETLIHHEVLKSTQSSGSHKNSKRNSPLDEINISSSSSRSKRGPVPNIQDNHSQSPSQPSKNKFMSGQEKPTPPAADMSWRDHEIIDVVEQREPSPDDDVQPVEERNTPGEVLTDIMEIEEPAQMLGDNFSMRQEMSDFSQMNMSNPRDAAQNELKKQTKIEREKERGSTIYLASDEYNERNEMFKIPEPKRRKVDDNRNTTV >CRE04824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:917670:918634:-1 gene:WBGene00055796 transcript:CRE04824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swt-4 description:Sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:E3LZF4] MTSLGQTILPYLSFTALSSTVAFFLCGLQICHRIKTRGSSEGTSPAPFLLSFLSCGLFIQYGLLKDDDIITYTNGIGCFLQGCYLLYFYKLTRNRKFLNKVIAIEMCIIGIVVYWVRHSSNSHLTKQTYVGNYCIFLNICSVAAPLFDIGKVVRNKSSESLPLPLCIACFVVCFQWMFYGYIVDDIVILVPNVIATIISILQLSLFIIYPGSPKGVFPEKYEHI >CRE04825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:919871:923833:-1 gene:WBGene00055797 transcript:CRE04825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04825 MARTMDPTSKATFPTDFAASTWTNGTESWYNVYSWVYSQNESDNGDSIRSSTSSQPQTSPSSGLDDHEHRLLCDTPSSPIDPPTFFNNNNKSSELDLNTSWFSPPPITSPLIQTPALAPVQQQKKQESESKDYALLQELLRLNLNTSPPTPASNISTTNPIFSSASPSTSSPWSLPQDETHLHRPAPVTRSTPQTSMNQNIYWNTPASRQTSTSDVDFLQALSNQLTACQVTQQTQPSGVDMTYIMQQQALQQQQQQQQQNNRQWPPKAVFQRYSFMAALQSKVDEVTDEYRQLEKERKQTEAELARHNLGKKISSSNGLPIPKLPTAPSRIDRMVVDFFREHARISTLLAKMEQLTGMSMPIAAHQTLAELLQAISSLYHSRVHERALILQQLRGEAIHYDEEKEAGVLVEILCLVQQAATRVRAANWYCLMTTLGPLDANQRMQMDQIVSSDYTIPPPPIRPRPV >CRE04826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:929673:931028:-1 gene:WBGene00055798 transcript:CRE04826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-11 description:CRE-DHS-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LZF6] MLSSKLAIVTGGGSGIGQEICKKLASSGAQLIVADLKKSSAESTAKLLPGSGHTAFQIDVSKYDDVERLKEFIQSSGHHPGVLVNCAGITKDSTLLKMSREQWDDVINVNLNSIFMMSQMIARESVKSQTSLSIVNVSSIVGKIGNFGQTNYSATKAGVIGFTKSAARELATKNVRVNAILPGFIRTPMTEAMPPKVLEAMISMVPQRRLGEPEEIANAVLFLASDMSSYVTGATIEVTGGLGM >CRE04614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:932316:934455:1 gene:WBGene00055799 transcript:CRE04614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04614 MTLTESSQKLQKRRSTRISQLPTKKLDTKKPVRQVKPKLSRAPQHHEISDSSSSEDEEEAYQMSSKDEEADEKMDAEEFSEEIGEDFSDSEPGVSMTTRSNSIGSNKENNRRRTRSQVLVKQGANETPSKRLAEEFSKTSLATPSKRKLSKQSQLTPKQQKNTTPRKNIQYSNIRKNVKVFPDSKDSSDESDEEYSHAPSKTLRTPRERLTVKIPKHLVVKQKITPVIISKTPGGTLRTRRRARQNSEEFNEIIDPLDSKSATTLKELASRLHLSKVPEKLPCRENESRDIENFIREVIDKKRGESSAMYISGVPGTGKTATVRAVVNSMKKNNKYPKFVYVEVNAMIFKKTVFVEVYNGIQEEFDISKKTQRSKVSASLARQKLNAIFKEEDSKRPPIVVLIDELDSLCNRKQDVLYDIFEWTALPQSRVTIIGIANTLDFPERMLCQRNASRLDKRRLVFQPYQHGQIQQIVRSRLQGSNLIEPNAVELVAKKIAANTGDLRQALDFLCRAIGIAVEKKSQKLEMSHVLAAQNAVLESLKYRLVKGLRIHQFTMFRAIESLVSTLKTYIFNLVIFQTRENEETIFADIYKNYCIICSEVSGLKPASDNFAYGMLLQLASTSLVVLTKGDHGTMNRRVKLGMTTMEAEKAIKAATEQNKSYYSL >CRE04615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:934712:936709:1 gene:WBGene00055800 transcript:CRE04615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-orc-4 MLNEADKKSIKENAQKALDILDDQVFGLSEQERQIHNIVYDFLQSKDGKCCLLEGGVFSMQELSIYYSGERNCGRESILQKVMNKFRKELRVINAGFVAADPNYQQELAREGDDGYSVFLIRDADKLITPQQQKFLYTMVDKATHYSWLVFFSISRQDFAQNLQKQATSRIPKVQVSFDMTHDFDEFCSTMQKFLIPTECEKDERYTKFILSLKLEKKLKPVFQTSQYVTLKQLAAQLLMLFGYYCENNEDWNTTVINKKVDELVEECFPYHNEKSILLKDQTLRSQSVFLCVWKLLQDKESEGLLLVPKTSYRNVFLAYKKLANNYYKPLDVRSDIYVYRELDHLVTMGLLKADESTNVTNTSFRKIWLHFNNKIVQETIPQLSLPERINVFLDTVL >CRE04616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:936775:937621:1 gene:WBGene00055801 transcript:CRE04616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04616 MFNFIFSSIISQMSHEYDTVAPPNAKRMKTDAQLELKKTLYVVLEGCSLETAKVGGEYVILSSDKHANFLRKQKKDPADYRPDILHQCLLNLLDSPLNREGKLRVFFRTSKNVLVDVSPQCRIPRTFDRFCGLMVQLLHKLSIRAAETSQKLMSVVKNPVSNHLPVGSRKMLMSYNVPELTLANKLVSPDTDEPLVVVIGGIARGKIVVDYTDSETKISSYPLSAALTCAKVTSGLEEIWGII >CRE04618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:947136:954948:1 gene:WBGene00055802 transcript:CRE04618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnt-2 description:CRE-CNT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZG1] MPLIRNYDYYNFIDVMPGPSHHPVTVTNHSHLGSTRPASYSAVPSKGRMYYGKNEYRGNLNATINDPTEFEEHFDIHANDPLEGGDDKRKKKRWRDLLFPKSRHSSRKDKENSNVSVTTRSLSSVSFRSEKPERSRRRESGSLSRHRYIPPPPLPMPPRRVMTSTSIGYSDDAMTSSSSHTATDYDDIVPTPSYVIYPASSIAQARKIGDRGNNSINPSLPAVFLGRKIVANGTTHKLFRSPTYHHDAFVNSHEWTLSRSISEMKLGIVGTSQSGKTSLVHRYLTGTYTPDESPEGGRFKKEVVIEGQSHLLLIRDEGQQHLDVQFCQWVDAVVFVFNVCSIQSYDSIQALAHEMSKYRNISDLPLILVGTKDHISEKRARVITEDEGRQLAAQMKRCSYFETSSTYGTNVERVFKEACCKIIQSRIRTQVGNATSQARTPTPTHTESGRKDYQDPRYISSNSFVMPSNMRPSFPTNSSRRNTVHHREQPSSSRTASERSMSAMLGAPYGNRMAAGVSPSASQKSVHSIANGCYSRSSAALLDSDTPAVNSYLIDSMSSAGTPLNHQNSTNQVSASTSHLPTPSSTPNTQRKNRRISNIFRQKDHQEEKSKMIESLNLGIGRAIPIKQGNLYKKSSKSALNREWKKKYVCLYSDGRLTYHTNLKEYMDKTAQGKEMDLKLATIRITGRLHPLHSHRVTNASSSTDGSGTPTLKSYEPRRSDVGVGANSGDGTSGGGSDDAIKENQRQQFSPPAMPQTVAGKKKRESRKIGANAKHNDEEDECFEVINNCLMRWEFCAGSLEERDEWIQAIGGEIEKSLGKEVANAKTNNRAVADRPDIAALRSIPGNGKCADCGNPAAEWASINLGIVICIECSGIHRNLGSHISKVRGLELDQWPVEHLAVMQAIGNDKANEMWEYSLMNERKPTLDSSREEKERFIDRKYVQKAFLKPIPTGEPVTSQLISAVLARDVMSLNVLLANGMKVDEVNTTTKDGRTVLHLAASIGSVELAQLLIWHNADTRILDNNGRSCLFYARSNGFRDVFDMLVTSGLSPDYGLPQENNDYSQMPEFSAMGSTSNREYSMSGDDTYSLRRISMAPPQVPARRYLPQQPELDETSVI >CRE04619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:955737:958218:1 gene:WBGene00055803 transcript:CRE04619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04619 description:Dimethylaniline monooxygenase [N-oxide-forming] [Source:UniProtKB/TrEMBL;Acc:E3LZG2] MSSEKKHLLVIGAGASGLPSIRHALLYPNVEVTCFEKSNDIGGLWNYKPHKTDLSTVMKSTVINSSKEMTAFSDFPPEDTMANFMHNREMCRYLKNYAKNFGLLKYIKLNHSVVSIVRNDDYETSGKWKVRYTDGDGKESEKVFDGVMLCSGHHAIPYIPSPWPGQEKFKGKITHSHDYKDQRGYEDKVVVVVGLGNSGGDCAVELSRVSKQVYLVTRRGSWVFNRLFDRGEPVDMVFNSKFQMFLSQTIPAPLVNWNFERLLNLRFDHEKYGLKPKHPALGAHITINDELPNRIACGTVRVKPAIKSFTENSIEFEDGSVVENVDEVLLATGFSFHFNLVEGGNLIKVNENKTDAYKYMFPLATSDHNTLAVIGLIQPIGSIMPISEMQARVYLETFAAGRKLPDRDQMKADVNVKREEMSRRYVESRRHTIQVDYANYMHELGDLIGCNPDMRTLWRQKPFLAWKVYFGPCVPYIFRLNGPNSWEGAENAIWDVSYRAERPTNNKLERRNGELKKQN >CRE04827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:958921:959394:-1 gene:WBGene00055805 transcript:CRE04827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04827 MISVVLQCAKEGYRSTGVELNSILVAYSKYRSIKEGLGKEARYFPLIKTTSKVVFCFRFMRKNIFKTDLSPYETAVIFGAESLMGDLVPKLSEMRSNTNLLACRFPLPENDAWKLEHQIGEGIDAVWVYKRN >CRE04620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:962840:963500:1 gene:WBGene00055806 transcript:CRE04620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-12 MLFLQVTMLARTLRPISKVFRSSAKYSQAAGNFYLLLHSRIINSFSGLPLNEGSAAPLPSEDRAISSKVSSLVEEIANLSLLDVSDLNWALKKRLNIPDQPLMAASAFAPAAAAAPAAQAEAEATSDVPQKMTFTVKLTKFDDTKKIAIIKEIRNAIPGLNLVQAKKFVETAPVNVKEDLGKAEAEELKGILEKAGAVIEIV >CRE04829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:963860:965157:-1 gene:WBGene00055807 transcript:CRE04829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04829 MSINIFKSRIRSPKDILTHLVFGCAIGYLIGIVILFDEDYDISKHIHLVEHEKPTQKTDSVNLRCVIIIHKATHKPEKIISAFDDGYTKLCQETIYFTNNQKFFDKFQKNYTMYFVDSNLNHFYWSFYQYVMEFSSKVPSQWTFIGDDQTYLIVPNLRNVLTGFDSDKPVVLGKVRDTSNLFSWLFPLSNFKKISVRGGVALSNSAIDRLSACKGFFFARASDYALYDCSEEYDVQIVDPFDEDALRLFNDLPPKQIIAPDSKLFRSRPTIGEKCSDHAVSFGQLSEKDMRVLEYGMSLKVFGRGGLCCLVATVPLICLIARSPDFRI >CRE04830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:965853:967082:-1 gene:WBGene00055808 transcript:CRE04830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04830 MVAGVRLLAYGRLAVRAVFSVSALDLGSSIGPEAISSGRRGLSSSASKPGKPPTEGSPSPAHSPHVENVIASCAGFPKDMLNGPSTVQDKGVFGDDAWFISRFKNTFVVGVADGVGGWRKYGIDPSAFSRRLMKECEKRVQGGEFDPKRPDSLLDFAFRASAEAPRPVGSSTACVLVVHQEKLYSANLGDSGFMVVRNGKIISKSREQVHYFNAPFQLTLPPEGYQGFIGDRADMADKEEMDVKKGDLILLATDGVWDNLSEQQVLDQLKALDERKSNVQEVSCRRFKYIAIKLFFKVCNALALTARRLAFDSKHNSPFAMKAREHGFLAPGGKPDDITLVLLLIA >CRE04831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:971346:976165:-1 gene:WBGene00055810 transcript:CRE04831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tat-3 description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3LZG8] MYTINSRYIFLNFQKSAGSDVEASTSNSSSALYDTTTSKGHHRRTSSLWVPPTRPIFSSPTQVIKEKAENGGRILKAHPVTRWLFPSQPILPQQRLVVPNNQKEPPPRYEQPNRKYCGNRISTTKYSVLTFIPKNLFEQLHRAANLYFIFIVILNMIIGAFGKYISVMPIAFVLGITAIKDAFEDYRRYKSDQKINHSTCRVWDASQQRYRKLEWQNILVGDFVHLSHDEIIPADILLLRSSDVNGVCYVETCNLDGESNLKQRQAIRAMGKYHNANVPLEYSPAEFNYRVSINYGNFSTSYEKFQIACEQPTTDVYKFEGRLEAMEGGPPLAREFTILAKENVLLRGCVVKNTDFVEGIVLYAGKDTKAMLNNSGPRYKRSSLEKMTNIDILWSVCTLLALCVLGAVLSGVWLRNYSAPYTVPFLVFIPPNSTYHNNNDSQYKYSPSYESWFSFWSYIIVLQVSQSKISNFLIVLIFQVMIPISLYVSIEFIKIFQVWFMSQDRNMYYDKVDKRLQCRALNITEELGQIQYVMSDKTGTLTENQMVFRRCSVNGNDYGGRPVLDATDSSLELVASNLRSNQPTEKKLQLAALAEAAANTGRLRPSRDPTLESHLASSVLKQGSDIDDPVFAFFLTMAICNTVVVNAKPHEDLMDPDGDIVNSRFATEEDALSPKRESSSESITGNKYLADVEEESLSSNASTPVVAEEKVVDDGFEEIELIEFPEVKVEDTQPSDKDIEVIEKKDDEKEGTNNYNGERKEGKGFGILHRPSILSVPFAKLKGIKSPFRRSVDKRSSSSEVNAPPPHSFYDSESPDELALVEAAREYGVRLLKRRFDDVIVYLRHSTKSVKYKVLHTLPFDADRKRMSVIIREVTGKKRIIVLTKGADATVLPVLSNEFCTSTRGEEVIFKAQEHLTQYAKEGLRTLCLSMKVWSEEEYAEWKEKHEEAELDMVDKDTLLVESTLRAEQDLELLGVTAIEDRLQDGVPECIHSLREAGIRVWVLTGDKIETAVNIAYSSRLFSPSMDLLNIGANGVRAISDLLGEHLKRIARAQEVSVDAVDSFGLVLNAATMNYCLDPHNIDRFVKLLRGCRSVLCCRATPLQKAQLVNLAKNHLKGKVLAIGDGANDVSMIQGADVGVGLSGQEGMQAVMSSDFAMARFRYLSNLLLIHGHWNYYRLAQTILYFFYKNAMLVFVIFWYQIFNGFSAQVPIDPVYLMVYNLIFTSVPPLLFGCLDQDASAELLLDCPKLYEQGRLGKRYRWYSFWINMLDAIWQSLVVYFICYFTYRGSNVDMWTFGHLLVTQLIIVNTFHLALFVQYWTWPMFWSMFLSVLLFFICALLYNGFVTANWTWTNVKDPPSMVSLKSFSSLEFWMALVISVILCLTPRYVITAVVNTVSPSTTLRTRLGAEDGIKKAESRFTSCAVGCLSAPFKCVRLCCKKKATAQLEQVQ >CRE04832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:981306:983112:-1 gene:WBGene00055811 transcript:CRE04832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04832 MLRGKVDPKKEEQERLQGFLLEMLKEEENKYCADCQAKTPRWAAWNLGVFICIRCAGIHRNLGVHISKVRSVNLDSWTPEQVQTMRVMGNEKARHVYEHDLPAQFRRPTNDQQMEQFIRSKYEQKRYILRDFVYPTVNASELPKSLAQASKKNGTPVVSITTRGNTAITSNGHSSASPSAAPSLLDFSDPPAPTSTTKNSVNFFDEFEGLSLNNSVSTAAPPTHASNDDYDDFGSFVSANAQSAAATVPTIAAFADFSSATTTNSSAVPSSGLNDLTSLASPENGGDKKKTNADILSLFGPSGGVAASNVVAPGGFAGFGLQAGPQQSQAPQNSLPAFGTPHVQPPQNDMFGGLSGINFGTPSAAPPQMTMSHNPPQNFSSAFASMSSNQSQPSFSPKPTPTSPTSSQGFNIPNKSNAFADLALGKVQEFPDSVMKTNYGQSALHSTPTSSSVNHMYSMPMSTSSSSMGTTTATNNDLFDMFASAPPPVPVTVNSSSGLDDLLGL >CRE04833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:983921:984326:-1 gene:WBGene00055812 transcript:CRE04833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04833 MKDTVVKGSLKLKKVSTEAPKKAKKSKPTVDPKQVDMTIRRDSRNVSSSGPHKTEAELRFEARRQANMAERMLKRAAVSHREKVEKFNKQMEEMTEFNDIPKVSWTK >CRE04834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:984607:986048:-1 gene:WBGene00055813 transcript:CRE04834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbb-1 description:CRE-TBB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZH1] MREIVHVQAGQCGNQIGSKFWEVISDEHGIQPDGTFKGESDLQLERIDVYYNEANNGKYVPRAVLVDLEPGTMDSVRAGPFGQLFRPDNFVFGQSGAGNNWAKGHYTEGAELVDNVLDVIRKEAEGCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMSSFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETYCIDNEALYDICYRTLKLTNPTYGDLNHLVSLTMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLSAKGTQAYRALTVAELTQQMFDAKNMMAACDPRHGRYLTVAAMFRGRMSMRVSSVQISNFQEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMAATFVGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLISEYQQYQEATAEDEPLDEYAGEGETYESEQ >CRE04621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:986580:990436:1 gene:WBGene00055814 transcript:CRE04621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rib-2 description:CRE-RIB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZH2] MISSLIIFNYSFSDKSPSWIPQSGALIQNVEEINDYDAACSGYSVGRILREQKRVLASVRSELVESQNKIEEIRAVQEELQRLIPQKQLELSALEGEIEAAQRQLEELRETQNVKVFLPYSPLVHPKVSQELPQVPHSILNFDDVIDYSRCSISSFMPIFVDRITDGRYEKEWENVFRKAASVLTEKSDEACLKIRITNGILDTDDSYNTVLFNTGNPLKVLQNLKLIMVQSSQLRSFDLAVLANYTSPIQQSPVPLLPIKRKYLIGMVVRENSRNLDLSTLEDSGKNTSHKFIIRKCYENKSNCSIEERRRIHSQSIFCFLLESDEFLQDFIDSIEFGCIPIILSISARLPFEDLIDWRRAAHRLPIARLPEAHFIIRSFETSDILEMRRIGRTFFETYMADRKSIADSLIAALRFKLQIPTLETRSNQKNQAVPLFNSSFTSPRGSLVNVQPNFDDEYLLGPLESRVESVSFAYNFTEFQLYSYEIWNTVYSPFRSKEFIINAAEPPAEAEFHEDTKIGFRAIEPGSGVEFSKALGGNRQREQFTVILLTYERDSVLVGALERLHQLPYLNKILVVWNNIHREPPDTWPSLHVPVEFIRVTENSLNNRFVPWDRIETESVLSLDDDIDLMQQEIILAFRVWRENRDRIVGFPARYHARYGDSMFYNSNHTCQMSMILTGAAFIHKVNYLSAYTYQMSTAIRDHVDNIKNCEDIAMNFLVAHLTRKPPIKTTSRWTLKYDEPDIYKSKFFPDVPPVQKACSRQTLTSKNVTSAYDCSPKFMGITH >CRE04835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:990371:991509:-1 gene:WBGene00055815 transcript:CRE04835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ran-1 description:CRE-RAN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZH3] MSGGDGIPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYVATLGVEVHPLVFHTNRGQIRFNVWDTAGQEKFGGLRDGYYIQGQCAIIMFDVTARVTYKNVPNWHRDLARVCENIPIVLCGNKVDVKDRKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFLWLARKLLGDPNLEFVAMPALAPPEVQMDPAMIAEYEKDLDNAAKADLPDDDDDL >CRE04622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:992083:993663:1 gene:WBGene00055816 transcript:CRE04622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04622 MGKKDKRSKLEGDELAEAQQNGSFQLPSSTEIAKLDASQWPLLLKNYDKLNVRTNHYTPHVEGVSPLKRDIKNYISSGFFNLDKPSNPSSHEVVSWIKRILRCEKTGHSGTLDPKVSGCLIVCIDRTTRLAKSQQGAGKEYICIFKLHEEVEDDRKVKQALEKLTGALFQRPPLISAVKRQLRIRTVYENKFIEYDPAQQMGIFNCICESGTYVRTICVHLGLILGCGGQMQELRRNRSGICDENENMVTMHDVLDAQYLLDTQKDESYMRHIVRPLEALLTQHKRVVVKDSCVNAICYGAKILIPGVLRYDDDIEVGKEIVIMTTKGEAVCIAIAQMSTSTIASVDHGIVAKSKRVIMERDVYGRKWGLGPVASKKKQMVKDGLLDKFGKPNDSTPKSWAKEYVQTDKKAAVKTEVVEEEEDVAPKKKAKKAVKEELSSSDSE >CRE04836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:993805:996016:-1 gene:WBGene00055817 transcript:CRE04836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpl-2 description:CRE-HPL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LZH5] MSRSLAKKPKLDDSKNNVFIVEKVLDKRPGKAGREEFLIQWQGFSEDDSSWEPRENLHCIELLEEFEKEYAKRDRPIRRRQKSPEQDVVAGPAEEEPSSSDKFSLNGKQLKCIVGLTRSPGELHFLCKFNDDTARLLPAKEINNRYRPFVDEYGIFLRRQMDKRQKRLEEGKKKSIDADDDDDEWPDIPPGYNFLNVEDQDRHLGKETRFSQDKDISETPTASDILRDIHIEANESMLSSFPHDSAEETLDSVETNDSLLAVFDDSSNSPPPCPIVIDTVPDDDELLFPGLP >CRE04837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:998155:1001781:-1 gene:WBGene00055819 transcript:CRE04837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-331 description:CRE-TAG-331 protein [Source:UniProtKB/TrEMBL;Acc:E3LZH6] MDLFRKPKKRNVTTQLVRKKESSSDEEPEDSVKDFAKKRRRTNPMVQSVRSWYFYLPAIRRKFLIVRHVLQTKKDDTRLQNVSDNSDDSDDDDNQSIAVATHSFAASGEAGPSGPSDQGATATLEVDTDYSRDAQAQFERVQQQLKDGVEKDGKILYKGSALYGAKEAKDTAKGNAASGYNRVGPVRAPQFLRQTVRWDFAPDICKDYKETGFCTFGDSCKFVHDRSDYKHGWEIDEEYEAGKYGVEDDTDYEIRDQDDAFPEDCFICGKPFVDPIVTKCKHYFCTDCALKAFQKSSKCPVCHQNTEKIMNAAKDLINFLKRKKKQDNEKFITQQDNEEDDDTVRENCTEEHDHNHHNLDQEDGNQSDDNINLDHSENNANDEEQEIVMEDVEGLEHGENNSESDSEESDA >CRE04838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1001809:1002644:-1 gene:WBGene00055820 transcript:CRE04838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04838 MLSQFLRTTLRNYSTPPVLKVLSLDARDTLISLKESPSVVYSRFAKNSGLNIEPDYILENFLKHYKRMSSVSPCFGYNSGGSRAWWTEVVASTLMDVRSDILTFLATSYVFQCSPNSDIKQLELTADNLYDYYATTDPWKLVEDRVKLSLQRLRLKGIVLVVTSNFDSRLKSLLAQFNLTDLFSMIILSGEIGFEKPDKRIYQLIVNHFELTHSSEILHIGDNYKNDFLGAKSFGCRSLLFDPHCVSSIPSFDRISRLSNLKLY >CRE04623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1003023:1005074:1 gene:WBGene00055821 transcript:CRE04623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04623 MSRPKIKPDCTELIAVHGGACAKYDPSLSEDCRYVCGTGSVEKALTDFERIEKFNCGFGSHLTIDQEVECEASYMSSKNMSFGAVGAMSNVFHPSRVARHLAHSNWWKQRRLLHPLILVGRGAEKYAVKNDFPTCNPEELISNAAKDSYEKYLHRMLHPYDTHDTVGAISINTNTMEAESGTSSGGIVLKHSGRLGHSCVYGSGTWSERRQYEEPFDQFSERTISICSTGHGESLVKADFCRGIATRVLDDEEGIMYSEIIREFIREKMEPSARFGGIALIVDKFKERMSLEFLIFHNCRYLPAAVRGRNNQIRVFESKFDPDNCTDDKFVIESFNM >CRE04624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1005236:1011242:1 gene:WBGene00055822 transcript:CRE04624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-28 description:Condensin complex subunit 1 [Source:UniProtKB/TrEMBL;Acc:E3LYD6] MPKKQVRAAVAPVSPPRDDDMAAQRTLPSSGFKLIAELIAETDKNLPNDEIDRAIEDFSSFSDVSDWRGIPKYFLHLSSLSRRASTYDNWHNRFKVVDYLISCFNSLKESVIEYIEDGFARNKTREPHEMDIDLIHSVNMFVILAQRLTVQLQLYVSKSNATSGQPKTKNAKVRHALENDITKWKDVQRHRVSLYIIFALLNVCFQMINCLLELLELPVETVTGPKPAAIQYVFAPDIIEKDFTQRFMDTVSGLLEDPENAVRVNQPWITHFFRIWRVLANDYSMGTQIANSMFKDTLELAYLETSSTFPFIEPLVQLMKEGDDNNQPRINKPLYHVLEMIAHRACCLYSGDRADRPPPKAYCMIVQNLAVNCHDIMLHDVASVYKLLENPHPNVRMSTLQALADMFSSPYLSQSFCDNFEPRAKKRRTIFEKIVAHTNDEGTNVRAKAVALLRNLMENRRIPEEFESSGFVTVVGSRLQDRSVQVRKATIQFLAAFLDNNRHGHDFNRAAHVEVLDQKSRELRLMNKPRLRVMQEAENTMTRRQFQLRSEIKDQLLALLSGETELDGTAGRLDEVLQSINEDGAGARVARYYARSDRFPIHMNDPEHKEKHGLQLVDECVKFILETLEGDYIHYKVQQLQMDDDQLLEDEQQARDRSHESLQLRAQMQQLIDKMCIEVELTKCVEMTLRCVLMGDSAEIKEGIKFLTRCKLFGISGADDAIRSMCCLVWRPAADIISELIEAAENMFISRLEGNEKASERDKSTVENLMSAMKGVTERDRSSVEEVIYLLAAVELEPVIDDKSKPSRKRKTIESNVIAKLWNIALDTSVGNEERKAVALRILYPISKTEKGLPEARSRLRSLQKKLVEGPVLAVEALRIISILGLPTKQEKDAHAYNKPIFRINPEDSLFRSIEQVFFHEVVKADDDPNRDWFGIMRYSISSILNISMDVNVVLPRLASQFLFRAKRITEFYIFYSNQANEATDANKTAVAARRRDYWALTWCRVMEKLMAFCGELAVQLHAFIQVNIPRLHNRYANKILDAEKNDSKAREEAARFLTDLEKSIAQRKTIFEVPQDLTPGTSSNTLHHLVALMCDKRMFVPNRLLGRLLPIIVYSLRANTMPLRVKQAALVAYGKYLPLSADISSFAAPSFFTAMMGSESTLVRCNLIAACCDFAFAQPTLFELYAPSLFRMSQDESPLARESTILVLSHLMSNDMIQTRGVLSEPARCISDSVRSVREAAESFFRELNQRSDTIIQLLPEFLYRLSSKTERLPMKAYKIVFEFLIQLLKEKSKSSSDTMIDRVCLKFSNIDMNDTEAPKYLLIALSKFAQSDGGLTRLQDNWRHWSKFLCQPQVAREFRHMVEHLQFSSKSEDFKNHCSELIANIDKIQEEGLRKEDITAAPAAAKRGGRGRKPAAAAVAKDSDDAPGPSTRTPKKRRRRAVSEESEESPAADSDFE >CRE04625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1013177:1019084:1 gene:WBGene00055823 transcript:CRE04625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-19 description:CRE-PTR-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LYD7] MRFDCVERRFAYAFGAYSGVVVRHPFPFFIIPVLVTAALTTGLFRHEQAFMKDELELYTPTDAQARKELDQLDRLFHINDSDPFYATRRYDIRRAGYIIVTNQEEDGDILNPLVMHAAMQLWSIVQSLTVEDEDDRRINYPSICVKFPIPPEFSKALHSLFSPNMTTPEEICVSNPLVEIFKLLLVSDRSFLNRSIDEMTLSQISDAIQFDSGGMTHLLGGVTLDDDKRIAGAKAMLLPYALRHSSDDEDWVAEKWEVRLADFLLQYDSPIIRASWWTYETLAAESARDRLQLINMLLPCFVCVSIYTIACCCVFSWRRSRPWLAIGGVISAAMAIASAVGLLLLAGYGMTSVAYSMPFIVFSVGVDNVFILLSAWRSTSSTETFEHRMKETFADAAVSITVTSLTDLISFGVGCATPFPSVKMFCAYAVAAVIFTYIYQLTFFAAVMVYTNRREVNNRHCITFHKLKRDTLPEKVAAQGDRSFEKNSMLAQFFRTTYSDLLLNPLVRISILTFFCFYLVRFHSNFHLEKLSIFEGIASYGCTKVKLGLEPNDLLPENSYGKRTLMMAEKYFSDYGSSLHVWMYNLSEVNVVPRKIWNVLEKEIELYEHTEFTAGSDSWLRTFLAFVKQAGLLITPENFVYILKNVFLSQPQFAKYNRDVVLTENGEYLEASRIPVQLRHVGSANQSRAMRLFRRLAETSELQTGVYADFFQFAEQYNAVLPGTLSSIAYAGVAVVAVSLILIPEPVASLWVSFSIVSINIGILGFMTFWSVRLDFISMVTIVMSIGFCVDFAAHLAYNFAKGENIDAPERMRNALYAVGAPILMSASSTIIGVSFMASAESYVFRSFLKTIILVILLGALHGLVILPVLLSMFYCGGSSKAAKEHMDAVDQKLQAQYNNPARTASIQYLSNPDLYTLPPPPNIEYSLSTLEFNRTQTRPLGAPPPLSGSPKRELSGLSGPPEYEEHDPSGLSTFGVAPAVVANTTGMIPMRAKLKYPTSMTTAQRRESENRSTPELYYPS >CRE04839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1019303:1021121:-1 gene:WBGene00055824 transcript:CRE04839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04839 MSSFEIIDPKKPVEENKLPNGVELLQHEVNAFSNHYSNGKSFDDLEKKSIETGTEPGIHFGIDFKLHPDAVKKLDSIGQQVHSNACILQHNLVMYSFVYGLSVVGENETEGLFCKLSIAPISYTYKYPTEMAKGGVQITFKPEVPELKEVKWVHEDGTADDLFKEDNGHYSCVSMIPLKSLNEFTEVFIMISSCLNMFLLIIIVQNGCAVLRVEMTIDKEYFDIQKYIDLDIKEAYVAPNSYKILDKILMGEQVPKSDWVISASEGFPRDFHVHGPVLADSSYALRAAVTSHMCISKEIAMISHENRFVVTSLKSKDMRVILTYIYHRRFVLPEFDAVSRIGKVLSLLFKDDILKFFESWNAKIIKNLRKLDRSDSLKTIAECVKALICVTSAPQGSLLGVYNVALIVAADAWQMTEIKGKKLKKEKVKKEIGKPWTVIDDILELIDDFKTVVCGVEKMEFIE >CRE04840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1021355:1023644:-1 gene:WBGene00055825 transcript:CRE04840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04840 MWFDINRFHLLVLLTWQFSIFFASQQIFPIFSNYVPQWKCGNGEPSSDCAVYETCKSNLTFVNVAFKSAAMEYDWICGKSAYLKSLFSQIQFAGVLCGTFSFGAVSDVVGRKPVAVFALSLGILMNFVTGLAPNYQLLLGIRFFLGLSVGGTLVVVCTFVMEMLLPKQRMALRAFFNWGVARLMMTLIAMALPEWRISSIACAIAALPALLIIIFVFPESPTWLHNKGKLEQMKKSEKHIAKMAGVPYIPVEHQKIEHSKTIREMLQTKGLMRRLFVLWSMWFVASICGYATDLNSNTISGDLFVNQILFSILIAVSKMILVIFDTHVPSFRRRTLHQGAQLVVCLCFLLLTFMTFYGYTGLAFLIVNLIGTVFIEYTWDACYLCAVESMETACRASATGTCSLVARIGAILAPMLNYANLWWPPSVYLTVVLLGTINLIISYFFLVETKNVNLDNVHIDSSSEEGTPMIDEKPSQKSDQ >CRE04626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1029234:1031898:1 gene:WBGene00055826 transcript:CRE04626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-7 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3LYE0] MIAVGMHVEIKRSDGRIHGAVIAEVKSNGRFMVEWYEKGETKGKESSLDELLKLNPTLQTPKPTPVQPPPPQKTLQTSTAVNRMNTNMQNMLLDEDDTFLLDHIKNTATNKRQSGLFNVPLRSSSPTEKPAAPVRRAPSPTEKMASTRRATSPTERAVRRAPSPKTEKFAVPAAPKAPSARSVLAPNVNSSSKLESHPTVTPAPAEVPKQTSRRSVMPQPAAPPPAPVNMNTQRAPSPVARAPSPKNGPRSYPQQEVSPSNGNFAFAEMIRNYRAQIDYRPLGMFDSVNENRISVCVRKRPLNKKELTKNEVDVITIPSRDITILHQPQTRVDLTKYLDNQKFRFDYSFDEYANNELVYRFTAAPLVKTIFDNGNATCFAYGQTGSGKTHTMGGDFSGKKQNASMGIYALTARDVFRMLELPQYRRKDLSVHCAFFEIYGTKTFDLLNEKAELRVLEDKMQKVQVVGLKEEQAMNEGDVLELINKGTLVRTAGTTSANANSSRSHAIFQIILRQGKKIWGKFSLIDLAGNERGQDTRECDRDTRKEGANINQSLLALKECIRGMARNSSHVPFRQSKLTMVLRDSFIGDKSRTVMISMISPGISSSDHTLNTLRYADRVKEMGADGSGEATPIRDEELFIPPSLDKSDDDYDEATEKQEHRRLAADHFRNLKDMTEKLSRESITVLSTDASAAQKAECLAKLDQLAQIISNTRAAVENV >CRE04841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1032150:1033801:-1 gene:WBGene00055827 transcript:CRE04841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-enu-3 MSVRLSIFCRKSLKNGSTTSIPTTVLVTPSTALPTSNSTVSISSTSSSINSTDELPICSPYLLRNGTTVSKCAFSLKIPPATHKYIGVFIIFCFIFGQTIHYFYLEEKKARELKESAEVEMNPNQEPIDEHNAEQEEDRRVRLAYGMPTNTYLVEESKTPAGKVTTVFEKRHKPIPHIITPAPGATSINGLYESRTKTVKKEEAMICQIHITADKWGRGKELISLINDTDVPPTLGSSEEKAKYAMELVQQHVEKMTEQKVFLHGFLEERTRILCGSESLESEVFSEVRLELKLEMTEPVHLLMSVFSVKVEPQIVEQSKTGLPARVSELTSIPNKETPKAEVPPTPRSFKKGKSAATNSLEEKQQGSSSRPSKITIASADKRERRDSSKRRGSKKSSDLSQLVPLLQQVQSIQGIEKAPKERQRTPKESPIPATPEKPSSGQMPPVVKKKKKESTSGQGSNSLPS >CRE04842a pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1034671:1039190:-1 gene:WBGene00055828 transcript:CRE04842a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sca-1 description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3LYE2] MEDAHSKDAEEVCKFFGTGPEGLSEQQVETLRKKYGENELPAEEGKSLWELILEQFDDLLVKILLLAAIISFVLALFEEHEDQTEAVTAFVEPFVILLILIANATVGVWQERNAESAIEALKEYEPEMAKVIRSGHHGIQMIRARELVPGDLIHFSFSVGDKIPADLRLVKIYSTTIRIDQSILTGESVSVIKHTDSVPDPRAVNQDKKNCLFSGTNVASGKARGIVFGTGLNTEIGKIRTEMAETENEKTPLQQKLDEFGEQLSKVISVICVAVWAINIGHFNDPAHGGSWVKGAIYYFKIAVALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQMSVSKMFIAGNASGDNINFTEFAISGSTYEPIGKITNNGREINPAAGEFESLTELAMICAMCNDSSVDYNESKKQYEKVGEATETALIVLAEKLNVFGTSKAGLSPKELGGVCNRVIQQKWKKEFTLEFSRDRKSMSAYCLPATGGSGAKMFVKGAPEGVLGRCTHVRVNGQKVPLTSAMTQKIVDQCVQYGTGRDTLRCLALGTVDTPVSVSSMNLEDSTQFVKYEQDITFVGVVGMLDPPRTEVMDSIKACNHAGIRVIMITGDNKNTAEAIGRRIGLFGENEDTTGKSYTGREFDDLPPEQQSEACRRAKLFARVEPSHKSKIVDILQSHGEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKSASEMVLADDNFASIVSAVEEGRAIYNNMKQFIRYLISSNVGEVVSIFMVAALGIPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMDRHPRSANDGLISGWLFFRYLAVGTYVGVATVGASMWWFLLYEEGPQITYYQLTHWMRCEIEPDNFADLDCAVFEDNHPNAMALSVLVTIEMLNAINSLSENQSLFVMPPWKNIWLMAAITLSMSLHFVILYVDIMATIFQITPLNVVEWVAVLKISLPVLLLDEILKFIARNYIDGKPETTGAKARSAISLIAWVSVTLAYFAWMLGPYAELINHALVGPSVDPSKFDTIAAEKHNEL >CRE04842b pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1034130:1039190:-1 gene:WBGene00055828 transcript:CRE04842b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sca-1 description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3LYE2] MEDAHSKDAEEVCKFFGTGPEGLSEQQVETLRKKYGENELPAEEGKSLWELILEQFDDLLVKILLLAAIISFVLALFEEHEDQTEAVTAFVEPFVILLILIANATVGVWQERNAESAIEALKEYEPEMAKVIRSGHHGIQMIRARELVPGDLIHFSFSVGDKIPADLRLVKIYSTTIRIDQSILTGESVSVIKHTDSVPDPRAVNQDKKNCLFSGTNVASGKARGIVFGTGLNTEIGKIRTEMAETENEKTPLQQKLDEFGEQLSKVISVICVAVWAINIGHFNDPAHGGSWVKGAIYYFKIAVALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQMSVSKMFIAGNASGDNINFTEFAISGSTYEPIGKITNNGREINPAAGEFESLTELAMICAMCNDSSVDYNESKKQYEKVGEATETALIVLAEKLNVFGTSKAGLSPKELGGVCNRVIQQKWKKEFTLEFSRDRKSMSAYCLPATGGSGAKMFVKGAPEGVLGRCTHVRVNGQKVPLTSAMTQKIVDQCVQYGTGRDTLRCLALGTVDTPVSVSSMNLEDSTQFVKYEQDITFVGVVGMLDPPRTEVMDSIKACNHAGIRVIMITGDNKNTAEAIGRRIGLFGENEDTTGKSYTGREFDDLPPEQQSEACRRAKLFARVEPSHKSKIVDILQSHGEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKSASEMVLADDNFASIVSAVEEGRAIYNNMKQFIRYLISSNVGEVVSIFMVAALGIPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMDRHPRSANDGLISGWLFFRYLAVGTYVGVATVGASMWWFLLYEEGPQITYYQLTHWMRCEIEPDNFADLDCAVFEDNHPNAMALSVLVTIEMLNAINSLSENQSLFVMPPWKNIWLMAAITLSMSLHFVILYVDIMATIFQITPLNVVEWVAVLKISLPVLLLDEILKFIARNYIDVEAPAKDKRD >CRE04627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1051185:1053126:1 gene:WBGene00055829 transcript:CRE04627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04627 MRQFLFLSLLFAIPYSCRAGCYQRRLCCSGRNNTCKGVDDGISHLPTVSTIHNEEAQTFHQRPIRVEKEYYSPHYESSGDGYDFIFPDVFDGESDEKIGKLVLPDIIEMEGSGVDKVYQKYGLGSGDGEPESVKEIPLTTPAPKLKTLIFGQKRDQRTPPEEITRYSEKPKHLLIRYSLLSKYMPLKVTSTPLLYEENRVQSANNLYYLESSISECYCDEHCVALGDCCSDYTFVCPPRDCVLTDWDQWSKCSADNGICGIGTQKRLRHVIQNAERGGAACEPLKEMKTCFVECRPTKSALDDLTTVALILDYKYNQTRSKFGRNNIYWDLPKIAEKVKKASFYCVHYSIEWVNRNCVSRLLNKGLAEGSVMCAECQPEATYHRNNGRCASDLEDGDQGFWKLIGPQSCNGIWTRINRTEHCQCQNKYPDNHPFLLV >CRE04843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1053477:1055538:-1 gene:WBGene00055830 transcript:CRE04843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pde-12 MGFIQEESVPRPQSKRRTMLLPSNRVLLWKTEDPVKEKDKKKQSQTGLNMMFKFTYEDIPYIVKTQKAFELPLSVALSKACAEYIYPVNSKIIFQISVLMKKKVFKDKADTSENGISIKLDSQSEIDDTASISTLADNLEIETLLINEAEFRIVRNGIPISSVTLALTPIANQYCMPTIVFNKKKSVQSHWFVKTEKQKESSEKIAPTKKDVDSLYLSFSNEERREYSLEGYSFKHKGEYFSPDPTDSGRLVAVVVDTGVDNPVYAAISSRPINEPLEDVITDDQVKWCRVNKLNKDKDVVRIMCYNILADLYLNLNLEQNELFFNYCPKANQRVFYRTPIFLKQMKDFIDSQVSIFFLQEVDLRRHENYIDPFLKTLNYSSEISKKGGQISEGVAVVFDQTRYRTIYSDSFLLADLVEKEEVNSDIRRILESSAESETRFKTRPTIIQIVMLEDVETGVILVCGNTHLHHNPKDEHIKVLQALTCVRKLMKMFNEMKESEPERKCRLIFGGDFNSTPDGAVFQMMSNRFLPNDHKVWECDEKIDAEDILIEKKMSCLTGTPEYTNYTASSQKEGFVGCLDYIWGIDVESIRICPSPDHKKVIKYTALPSRISPSDHLPVICDIKL >CRE04628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1056373:1058492:1 gene:WBGene00055831 transcript:CRE04628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hex-3 description:CRE-HEX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LYE5] MFRGFFGRRSRSVWVRLTYLCIVTIIFLFATSQFKGTATHARFVPEPIQPVHSIDRAADPRIPLNLEPPNLKQKEVDERLNLDVHNPTRNAKLSTRKLTTEQKTTKPAQNIIINGEKYPKLTPDGKLIPQRRIVHLDLKGAPYKPQYFTELFAFFNRIQATGILLEWEDMFPFKGRLAGAVNKNAYSMETVETILKEAQKHHLHIIPLVQTMGHLEWILKLEQFSHLREDARFPQVICFSDDDAWDLLKEMIEEVAEVHKKYGMPFFHIGADEAFQVRYFKHGFKHPILLQIGICNASVTRIQKEYSKERLMLWHIARTAEFVKETYSDTQVLAWHDMLISAMESDIEDYKLTELIQPVLWNYAEDLDVYLPRSTWMMLRNFGSVWGSSAWKGADGPARYSTNANHYVKNHESWIKQYTMAYQDFNVFEGLIMTGWSRYDHFSVLSETIPVALPTLAISMETILEGRPLLSNHPVTAELLQCSPPLDLGFTASGCRFPGSRIYELVNDMYQKQMQLRTYRQDDYELNGWLSRVSDEYSVSSHWYIDKIEQMIEMHAAPLERIAEDLRFEMEKIFFKDMIDEFLFTYLGEDLEWLNKKRATIKTISTSKKFPKRPFVESAISRSSVSAKCR >CRE04629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1059433:1059873:1 gene:WBGene00055832 transcript:CRE04629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04629 MPSGSDEVNHLSALDQFVAPGLRLWMLIALVGGVLLIMIVIVCCFMRIRIPRTKRQIDLIAAKRKLRKSNKTSTDGNAHNDERAQAIVMNSMPSGGGGGASTSSSRHTGSRLQSQV >CRE04630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1060103:1063890:1 gene:WBGene00055833 transcript:CRE04630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oxi-1 description:CRE-OXI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYE7] MDNILRTLEKNAREREGEETQRRRVELAEKLEPYIRGYLIRKKYQGKFKFFRPNFFNDFENLEKNNKTLLTVTEMLAKAPKVIESAKTQKDTKRSNKKLQLLCNICRHIIISIDSTSKDISFVAAFLSKDTIKEATNLITSIFSQIPSILSSINSEKAVELKHWQCLIHFVIVFSSSSTWPLVRNATQVHPVLNGLCVKMMSIFYEQKNYEQLASSLFVAVNGHKPIINVETVNAQFSILYRPLKTSSPETPELFSICVLKVFTCPALLLHLNQQNIEQIKSSQLFEKTLCWLRINQEEIESGMDVRLLINLLANLVHFAYIDDQTLQSKIYEWTWAVGTSLSRCTELTVKHGEGKTSLQYWHPVFGHYLLPIDKRTEGALRNVLYQLKMLWSSRVVKCLFGKIMENKTLSPCSSCDSQSVSKNQKDISAAFSKLWRKLGGTIDSVTSSKSECEPPPNLIAIVCQVYMTALSTMVHMKGEIVSGLCRDDQLLKQLWLYLKEWGEKYGSSSGLLFNSSNAEQFGPVSASLLSLKRPTSPHTATLRLFVDCASAIISILDEEEIFEKGTPFSNKELESICKYVNVFCFRAIWSGAVDETTSNSTGLFQSMHSLLTILYERDSRRSFTNDPRFWLISEVKPSSVTIEYEKKSGKGILMMRKMAHLVPIKDRMLLFRRQVQDDKNGVASSINDSQMQTWITVQRNRIIEDGFNHLSKLTIPALKSTIRVKFVNEQGLDEAGIDQDGVFKEFLELTLKKVFDPQLNLFSTTSTGVLYPSPTSSLHDDHLALFTFVGRMLGKAVYEGIVVDVQLAPVLLAAVLGCHRLCAFDELSQLDPELYRSLTFVKRYEGDMVDLSLTFSVDEDFMDKISTVDLVPSGRTIAVTNENKIDYVHRMAHHRVFRRTQEQCKAFVSGMQSILQPSWLSLFAPNDLQCLISGVNSDIDLSDLKRNVQYFGGFHGNHRLIKWLWDILENKFTSEERKLFLKFVTSCSRPPVLGFSYLEPPFSIRCVEVSDDQDQGDTLGSVVRGFLALRKGTAASRLPTASTCFNLLKLPNYNKKSLLLEKLRYAIHAGTGFELS >CRE04844.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1064054:1065197:-1 gene:WBGene00055834 transcript:CRE04844.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cey-4 description:CRE-CEY-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LYE8] MSTEQANGKTVEEKKDKVAEVTEKLDNLAVDNTNEANENGPARRGGRGFRGRGRGRGRGGRTATTRFQPRPPYDEQVKQIEEDLKSKKVLEKGVNGIVKWFSVRGRYGFVARASDEKEDFFVHQTAIAKSSTIKYYLRTLDDEEPVVFDIVEGRKGPEAANVTGPDGENVRGSRYARSLLTRFGGQRRGGARASGGRKPREASGDDKEKPAAPEESGDGETRGKRRPRRARGKLQPDAEIGEKSQEKGNGDGAPVVEGEKKVRRRRNIKGKKEAGDATEQNQEAAPTKA >CRE04844.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1064111:1065197:-1 gene:WBGene00055834 transcript:CRE04844.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cey-4 description:CRE-CEY-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LYE8] MSTEQANGKTVEEKKDKVAEVTEKLDNLAVDNTNEANENGPARRGGRGFRGRGRGRGRGGRTATTRFQPRPPYDEQVKQIEEDLKSKKVLEKGVNGIVKWFSVRGRYGFVARASDEKEDFFVHQTAIAKSSTIKYYLRTLDDEEPVVFDIVEGRKGPEAANVTGPDGENVRGSRYARSLLTRFGGQRRGGARASGGRKPREASGDDKEKPAAPEESGDGETRGKRRPRRARGKLQPDAEIGEKSQEKGNGDGAPVVEGEKKVRRRRNIKGKKEAGDATEQNQEAAPTKA >CRE04631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1066387:1070053:1 gene:WBGene00055835 transcript:CRE04631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vesa-1 MERFKEQIAKRVNQIGEQFSSDNDVKVMLDNMSDRVGSSYDAPSTSDETDEPIAPLNSSSQNSSPKKLIKAKVSEEEEIINSIDAAYFIDNNEFDAIDYELKKLCDIEMCYEDIQRERFRLKSQHTVVSKQISTLIMQKSSSYTAQVGEMEKIRDDVGDVIEEILSIRKALSLATEQTRTCLGLIANEKKKNVLNTLKTTLTTIKSFYEAENHIRETINEGNFPLAIQMLIETQIRAVEYSEFTCVSDVSSKLREMSCLLETELAAQLSTIAVVFDSEKYRCFFTAYEMLEKSDLVAQKLLAAFTEAIETTTTAVILDKMRESDRQEDATYTSLCLKLDSEQASITFREMGFVLCRSFHAYHKILQFHSSESASETSQKIYKNLLSSRADVFLSATKRLLVLVESRDFVSLKFEHILDIVDSINRFNNIGKTYFACYQLKLGESIEKRTEIYFERYHMERMEELYMFIENESFTLCPVPFQFTIFDLQDFEFLKESRQEFDNMNKTEEAAENNVETSVELIKNDWQNPFCQAAIRSRIQSSYSQKSSDERSYSTSSAPENFKSHESFSEDPLDEPVGAPTPNLCNTALNLLRFFGRYLRMTALLPTLCAKSAPAIIDLYEFFFASICHIFGSEGAEFIGRIPRLVSCLDGISKKFSKNCEGSSPFRKVFQKDDDGKIYPNLSPAVQIAFIDNLYGACERIIAVNSIEFVARQLDLIRPVIESLLLEDVRDSLSVSLDIFYSQVLPCQSDAKVLIVDASASRSLRLKSLVENVSSVKWDIGELKSDHNLYVELLIQDYELFSIRLNSIKENGSVSLSESMENFFWNRAIYYSFKALVQGYGDGGKCSTEGRALMQLDFQNILLKLEPLCGIKPIPHANFVDSYIKAYYLPENGLEQWIKTHSEYSSKQLSSLLGAAAHVSKKARLRILDALKD >CRE04845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1072398:1073500:-1 gene:WBGene00055836 transcript:CRE04845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04845 MFRSTTITSSFTLISLILNINYVHADMWIHVNVVSIERKACPIDETSWGTETSGCASMSYMTLDERILPHHERRSSSFEYVNGIARPTVTHWPKGRLADWMVSIQFISVDPVYGLARTCDRSGYIRVFQNETFNWEREFSEKTLEVSVNLWHHFREAIQFLQIQGQCFIASVKVQASNEVCPWCVDDSKTTTKTTTTEIPSTDSPAAFLSFDSSNTSVLIVMSILASFSFLALTATTILLIVYIMDRKKPEYFDMPPPQANSTVLARNDQIGLFTTKSSERWTEYGSEAWASFQPLNVEAAFISPRDTCVYRVTQEEDDSSDSGNASL >CRE04633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1076104:1081673:1 gene:WBGene00055837 transcript:CRE04633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-athp-1 description:CRE-ATHP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYF2] MQRKEKEAKKKLKYDSTDGLLLEIRRMCGYPEQTVEEERSPRVSLSGKKKKQIEEEWKWIEAQLCGLCSIGGDILCCETCPASFHLSCIGIEASEVPDGAFHCHRCTSLPTDVQLFAPETCTSTTPQHRVGYEFYRNWEAIRWQYKRLKKEPTKPVQGPLTEMDSIDNTFPDCHLKNSLTLINSLNYSFPSRYTDAVKKYPDDSMEVKRSYKAGETCVQCELQDDWSPMLLCDFCEQAWHQKCVYPPMITMPKRNYWMCPRHTAVRIDNLVLGEELTRNERNVLIEKYGEPEVSFELFEKFCNATIERRIGCNDNLDVIYYAPVREEVASCVSPVLIHKKNNWRKGGRGKKKAESRYFDTSYGLEDIPDAFSSSSLKNLRKISDNTLVERKDRVLDQLDQKRSQQLTEDELLSTIMPYDEVIKMMRGDLDPKETIRGSGIQSICTLPDKIYRKKLKADIDLLIQFLGFGNDHDERKFSSFQTEAFFRRCTPPPSAQNTLCQKSLREVLLNSQKEALELSQVYKYHPDSKKIDHFVLLAHDIYFESAEERDSYFPSSMTIWSKEPIMALTAKWAKQSCVKIKEESPAEPVDDKMVDGGINAIVASINETTSSGPILGPGSSEVIAAHYVVDPAELESTGRALESNEPQGPLYASSQHSSLQSPQQSSQQSPQQAYLNIEFKEDGPNIAQYINDSGPLEQNIAEAVGRLSLDNFEDLKYNDYQEKAVSIYEYPGYLGEDDWYEADVRRAKSLNDLVDKPIWSHVNKNMQNFLLREQIETMQFDHDYAAIDRRQISDDSVPNDLHNYISSESVKDRYEYEERESQSPDTEFLSPNPPDGYENYDIRRRGRPKGSKNRKEGEPIRPRKKYPTSSSGRSRGRGGRPRSIRGSRGTPSAASSFDEEGPSRRQSVTFSSDAPDSPEQSIASVSKRIQEEVDEAREKYAKLMLTKNLEEDKDTYFSTRNDALESWTAEQRKELTKRVITGRLSSLIPFEARYFLPSQRVLARVEIDDYHLSIAIQRCLTKIGTAQDCHIRIDKLADSFCNDLADYHCDIIREYHSNDFYLSTLATEMVIVNDVVICRPRLTHFEEAERNRSNGSIPFIPSNIRCQCRKRSDQQISNLENNGQVITSGIVKLEDGALIQIGCVAFKFFKNS >CRE04635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1086814:1087908:1 gene:WBGene00055838 transcript:CRE04635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-119 description:CRE-UNC-119 protein [Source:UniProtKB/TrEMBL;Acc:E3LYF4] MKAEQQQSIPPGSASFPSQMPRPPPVTDQAITTEAELLAKSQITPNDVLALPGITQGFLCSPSANIYNIEFTKFQIRDLDTEHVLFEIAKPEHDQENEEPPTESARYVRYRFAPNFLKLKTVGATVEFKVGDIPIHHFRMIERHFFKDRLLKCFDFEFGFCIPNSRNNCEHIYEFPQLSQQLMDDMINNPNETRSDSFYFVDNKLVMHNKADYSYDA >CRE04636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1087968:1088869:1 gene:WBGene00055839 transcript:CRE04636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04636 MSNEDSIVPIKLAQTFIHVIDFHADPVTCVSASSGTVSYDSILSARHGRVDLLMKQDAIHVRVHYGIHLKISRSLQCTHQPPRPHHVSKLKRVFNKDLIEKPVKVDIETFQAVKKEHIIRKLGVKETSKPVNDFVEVSKEVDLALKECLLNFQKPEEDETKKGVFNITIEFPSSIPVPVTKPKEKFIIHQKALTRNLSSQIRDSFGSNLDGQQQTEVALKMFSHKSE >CRE04637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1090081:1095744:1 gene:WBGene00055840 transcript:CRE04637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cut-6 description:CRE-CUT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LYF6] MRPIPRYDIIISIITFLTLIQTNSANPIDNGLVDSELIHECVTHKAVEVILLLDASGSIGDDTFKKQLSFAMHLASRLNISEEGSHMALIQYAETPKLEFSLGQFNHPTQLEWAIQRIEYQSGATNTGQALRLTLEKGLQGARPGIPKVAIVITDGQSQDDVSEPSQLLRDADVMVYAIGVTNLVNVHQLHQMTGNPVRVFTVETFEQLDRALADSLTWSMCKTEFRPGTPEIICGPDRIGVKASTKQPFEGNVFVMDHYHDEECRAGPEKFPDSRSIGLTVPFSACNVHRYRSLNPKGIFVEVSIVFMFHSLFMTKTDQTVKVQCFYMEADKHVTVPLSVSMITTVFREQIYQMPQCAYTLRKGAPDGPIVRFATLGESVYHRWECIEVEGADKDTFGMLVHSCYVDNGYGDRVDILDSNGCGLDAVLLSTPDYDTSLRLATKPYHVFKYADRPVLQFQCQITLCLKYDGGCEGITPPQNCKKLPGEDGNHHHHHHDHSERRRKLVRRLADGVGTIDVFTDSVTVLEQEPACQQPLPYPITNTNIWIMATITLINIFVFIVTVWFTFRKRRCKPS >CRE04640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1104133:1105052:1 gene:WBGene00055841 transcript:CRE04640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04640 MSDDEDVPQLSADTMAALSMFQAEQQQKLEEMKSGKIEKIDEDWQLSQFWYDDETSEKLVAESIAAALTFESRPAKIACVSSPTLVNFFHKTEEYKKGEIALILFEFDPRFGMKFPSEFVLYDYNQPTELPASLLGKFDVIVADPPFLAAECLIKTAHSIRLLGRENAKVILCTGAIMEDYAARLMAMHRTSFEPKHSNNLANDFSCFANYQTLTL >CRE04641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1105473:1109024:1 gene:WBGene00055842 transcript:CRE04641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rle-1 description:CRE-RLE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYG0] MAPTGQGGQWQEVLCCSVCNKHFNETFLPVSLICGHVICRRCAEKPENKNKPCPHDDWKPTIPLSEYPNNVALLSVIFPRKQCMSFSGAKTNDEKRIDQLSIQIAKFFREADSERGGTVSSRDISRTLQRKVLALLCYQWRENDGRLKTLKMCRGISERVMIEIILSIQSNTHVSSQLWSAVRARGCQFLGPAMQDDVLRLILMTLETGECIARKNLVMYVVQTLVSDYPQVSKTCVGHVVQLLYRASCFNVIKRDGESSLMQLKEEFRTYDSLRREHDSQIVQIAFESGLRIGPDQWSALLYADQSHRSHMQSIIDKLQSRNTYQQGIEELRTLTTSNASMLIPAYRYFLSQVISCLEFFAAPEHEDTSQKAVAESLSQIKTLLKLHCSQDDLRKAPKEDRRVIIAHSPLMPHGVVQSISYVDENGRSSSRTAFKQSSEDKKKDHSAPQTTPSSKNQPQLQQQPSPYTPRQKRYQMGIPPNRLGYATHQNHFSGGPPQMQPHYFASQPIGSPAMQRIRGRQMNGMPPQPMPRPGPMPMLIGFSPEMMGPGGVPPNSSMMQTAEVVTADGQPAVINGTPQRVVIMQSPTHMPGGPVVMIPQQPLGSSPTPVPMGAMTPTIPVQVPPNAIWTATSPTGSVIYPAASPPGQQPHTIWIQSTDGNVFPMFDRGTGMVWGPTTMLRESGADAEQLLAKRHEILKRLQPSEDDDDPEDGGIGHVSYTVASSVLDDRMDHHPMAMIPVSNIDLPAIPINFASMPTEETMTMIGEMVQSRSRAPSLTAQTSGQQIIAPIVSSSTATVQSECGTMSVMDSYYQPITSSAIQNSPTMPQPGIPMVSVPVQVPVVPAEPFNPSVPPPPQPGQTMLVDSATGLLTPMRPILVAHPQNVVSNSLDKIVDVKERLSEAQGNVSEAENAHLRMELRMAESEMAHLDPYTKNNCLLRELHQVDMELQQLHINPTVEG >CRE04642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1109616:1111213:1 gene:WBGene00055843 transcript:CRE04642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-strd-1 description:CRE-STRD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYG1] MANVSILYTTSATSLAPNVAFSRTTDSKVQPTTITESSGVPNLKVSGFDCIRYMGTCNGGHIYLGRQQRRFKEFVAIKKFSIDEIDDYTAIAYVAFFQRETAHLRLLSHQNIIELKESFVFEKSIYQITPAMNLGSLFDIVCEYKKWGINEKATAGITVQVLDALIYLHQKRYIHRDVKPKHILIDSKGNVKLTGFRFMIELNHHLDCVFDYDTHLQNQIYYLAPEVLAQNMHGYTAKSDIYMLGISICEAINGVMPFAELEPLEMLLRKLNGQVPRPVDKLSLQDDENMGIDITLRPQEHLTRQFSGEMHAFIANCLNYEPQQRSSASDLKDSDWLTMKNIKGVGPKELSQELQLDYSHFDLSLWEQEPVMPSEPEQKFETAFDYTSIS >CRE04846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1111578:1113077:-1 gene:WBGene00055844 transcript:CRE04846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zig-5 description:CRE-ZIG-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LYG2] MPSPLNHQLFRVLSIILLGSHVFATKAADVPSVCDALIEPSVLSIDKPLENIKANRGDSLVLRCAFYASPQPNIVWYHRGKRLENHPAAQFETLLSATNLGQSVVESAFRIDCLDERTAGEYFCEATSPCTQPAVTSSTVSIIKAPKSITGTCKAHRQSVQSPPLISVFTLSRIELPGGVTQLACRTRGNPAPKTKWYKIEEDESLSPIEGQRNYMHLPNGDLLIVGDEETISESFRCVAENSLGSVHQDSSVIYMLA >CRE04847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1118354:1123238:-1 gene:WBGene00055845 transcript:CRE04847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04847 MIYSLNRITCFLIICIQVSLTFGTIPLSEGLHQIYARNAADVKKLGDNCTRNTECVSGAVCLGTCRCRNTHVSVEEQCWKKVEPGNVGCTFDEQCEGVWPMTTCQSGQCACAEEETLIETPEGNVCVVPGNCPTNNVNGALYDRATHRVSTCLTFTSKKEKNTQFIGCDEYPEVYDCIAGTCCPTRGMTCIQPLSTGETKNSSTNEDERWWYNSESGQCLPFKYSGNGGNSNNFLTKTQCESYCGGRCIRGDPLPLEDSIPPSNDNYFCKIHESDRQLCCPTPSFVCSSLGGVNVDKNTMRPFSNGSPRRGAEVLQRWYWDTNELACKTFKYYGQGGNFNNFGEKQECVDFCTAGLCPHGSPLNDPSDNIQRCQGQEQCPETHECKNTICCPRPAATCLQTLKTREKCEPGETTTKWTYSTEHNMCKSVIASRCLIGDNQFESIEECQTVCSSVQAQPKCPIGRAYKGSDQVVVRCSSLKRCPSNYECIYTGTVHACCPSRENICNQGLQVGTTCGMSTLQRWYYDPSHNRCNQFEYQGCNGNDNNFVTRLDCIETCHRFDCPDGGEALVDSSNGRVIACERNDDCPSTHMCTRQLYSNRTSCCPSRKWICSHDANEGVACGTPSKRFYFDANTETCLQFTYLGCAGNANSFSNRVACYQFCQSASCSSSEVVYQPSNLDEPFDCSLKTCPRHFSCVRNVWDETKNVCCGSPNFGVCSSTQHPMLLFSTQQPMSCTPNSQNSCPLSFQCTYSSIRMLYFCCRDIEKIDKCLQGSRPEIWQSTAEPRACSRDSQCPSTTSCFAPTPFSSGLCCARIDEICPATFIYDEQKSSDGQCSPLEKNTCGRDGQSVCLYSDVKNRFVCCRREARQIQALAKCPPGSIMELTKTYCDPENPCPKTHFCMKKSTDRKGICCRHPSLKRVMPMKTRKAGATSRKQTSRYRCPKGEKILKIDGEAKNCEVDADCPEFYKCTSQGASSTYQSICCGLDINEICPTRVYPAIRVQKCSMCAIGYECHKNYCCPQKEVACSQPIPELNNDKDSDESIIRYYYDSETNTCHSFNYLRIIGQSSTAQNHFSDHSSCIDMCVQSQISEEDLQCPHPYVNPLDHPQMCITSLKSCSDSETCLKTTTGKNYVCCQHPPSFQMLMNNLCGASYQPTLNKSGNPIRCSSSSRCASRICRQSPVLRYSICCQRRIPKTRLLIRPLSEGQIRKPICRSEAESTMGSCLPKLFPGETECEVDSQCVSPSTCNERRCTCPEGTVQFRRMCGNILVGIMKKKEVTVTG >CRE04848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1123874:1126622:-1 gene:WBGene00055846 transcript:CRE04848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04848 MAALLDSDVTMAIRIFREIEDAAMVAALEQIETIEEKNLLHAQIYTILSRYDDAEQLYLDSSRPIEALNMRRDLLEWPKALVLAETMDPKEIPFLSKEYAQELELTGDHANALANYEKGVVENENNTPELQEHNEICQSGIARMAIKTGDLRRGVQLAKQLEGRVVKRDCAIILEQMKQFTEAAQLYEVGLFYDRAAAVCLKANAWAKVGELLEKVKSPKIHIQYGKIMEKEKKYKIAVQCYEKGRDYDNQVRLLLDPLNEPDEAVRVVRESRSIEGAKLVAKFFVKLGDHNSAIQFLVMSQCVQEAFELAEKNNALKEYANAIEKHGNISQSLELAEYYNRLNDMYMAAKFFTQAGQYSTAVSLLFKNGDDEDCVALAVDCGIKSKDKALTNKLIKFLLGEDGNPKDPSQLFRLYVGLGKTRDAANTAVVVAEIHQAKGSYRVARDLLFQMHQQLRAQMMRIPLDMNKSLMAIHSYIIVKSLINRKEILLAARLLIRTCGEVQRFPTHVVSILTSAVVICTQANLKKSAHKFASQLMSQEYRPKIHEKYKKKIEDIVRKGGNQNDVPENNTPCPICDFPMPDYAMSCDNCNNLVPYCILTGRHIVTDDFSRCPHCEMPGFYSEFRKLSSINENCYMCGGDLKGAIPEDAKAYLDKMENDYK >CRE04643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1126725:1127966:1 gene:WBGene00055847 transcript:CRE04643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sid-2 description:CRE-SID-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYG5] MIRYQTLVFAVFLLPVFWCFDSFLITSIEIRNDVGNINCTSSNLTVSINELALKPLCQIEEDANTKISYVTLTYNETESIPNGKNITFNLESSVTVKNYEPSQNMTNSANYQFMGIFVPDKSSKANTVLVRNVTLNKVEAPATTSASKFSEADVPISNKTILTVTYIHIQYDDSTKKEGNSNGGAVAVAIIEGIALIAILAYMGYRTMVKHRMKESTMNAALYGYDNNSRSEKMQRFISSFLSLVTVPDSIRMSDIPPPRDPTYATPPTPTVTQQTPTRNTVMTTQELVVPPTQNTSAPAPTRPTTGASGQFNDPFDSLDSW >CRE04849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1128035:1131129:-1 gene:WBGene00055848 transcript:CRE04849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyf-2 description:CRE-DYF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYG6] MTLKVTPCNLSPSDFSLNPKPAQLQYRKGEEEHGTGPIIHRWRPHGHTLAVACANNSVIYYDKKGNVIDALNPTGKIVDIAWDKEGDVLAIAVANTGTIYLWDVNSRNTDTVESCATSSKELPTCLAWSPTSPTLVIGNNAGNIVVYNHRTSRRIAVMGKHQRSVTQITVTPEDLIITCSDDNTISVTNLEGTTVSTTTTNGEPTKMDYGSVNRKGGNGLTMVSAVLGKKILMLAPLNALDDPVNLQFQEKYGIIHSYKWFNDGYILMGFDCGYIISISAHRVEIGSEIVSFLEYRGYLASLAVSTSFNKLLTIGDNMVKVRDLDDLTTVTMLTEIETEKNLSEIEVTEDGQLVAVSSQSGVLSVFVTKMPILAASYNNSICYLTNLTQVTVVAEVEKKGSSTLELGIEPTIMGLGPSNLAVANNNTVFFYDYHTPAQMQAAQQLQSAQSAAEKPSVVNAEPINRVEYLSTVANIQLNYMYAAVNFGGKLRLHRIRNSEDNVSVEFPETNRNATLYAYALTENFLIFTTSSNYIVYFSLSEWAVVSEYRHVVPVRFVFPHPTNVVCCCFDDRLEAFIYTAVDDEVFKLPSVGSSAHYKGALWETFTIDKNTFAVFDTQNIYVFLLSKQHIQGDSVIYVSATRLPHAYVPLSLNKGIVTCLMSNGKLSSVLLDSHKTESVITDKSETVIDELLTRSLLMHR >CRE04644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1131687:1137435:1 gene:WBGene00055849 transcript:CRE04644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cul-2 description:CRE-CUL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYG7] MASNTQRASNRTTLGRRKAARSVDFGLLEPNYKKGRSTYSVMYSLKPKVVDFDQVWVQLRPTIIDIINLVPISNVQWHHKFSDVYDICVSIPTPLSERLYQEVKACIKEHVQQKRAEIREVDPDLLIQEYNKMWNIFHQGAIYIHLLFGYLNKQFVRQKRCTDLDNFAQYAAFLQIPDVKEIGCLALEIWKEDLVKEILPALVKLLLAAIDSDRKGNYPQVANVVSGVINSLVKMEETAFDAPPEGTRYKSRESMTAFYQENFEKPMLNDTEIYYSSLAQKMLAELSCSAYMEQVIIMLEQEEIRAKKYLHESSVEKVISLCQRVMIKAHKEKLHSVCHDLITNEENKDLRNMYRLLKPIQAGLSVMVKEFEEYVKAKGLEAVSRLSGDNVPQQFVENVLRVYNKFNDMKTVVFMDDGEFSSGLDKALQGVVNSKEFGQSVPKASERLARYTDSLLKKTTKGLSDADLETKLGSAIVIFRYIEDKDIFQKFYSKMLANRLIASTSISMDAEELMINKLKQACGYEFTSKLSRMFTDIGLSQELSSNFDKYISEIKPSRPGTKFVPTQALILQAGSWPLNAPQLSTNATTNQTAQDVANFHLPMIFQPVIQEFETFYTGKHNGRKLTWLYNMSQGDVRLTYLDKQYVAQMYAYQIAALLCFERRDTVVVREIGEEIGVSGEYLLKTIRTIIDVSILICDEPTLTIDSPLKLNLSLTSKRMKFRLQAPQVNKVVEKEQEAVANTVTQDRKYYMECAIVRIMKTRKVLKHNALVSEIMDQTKSRFTPDVAFIKKSIEDLIEKMYIQRTDQNDEYQYLA >CRE04645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1145884:1161432:1 gene:WBGene00055850 transcript:CRE04645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lit-1 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3LYG8] MGRNQEGQFNGAGNSESAGSSSSSGCSSSSTELYDLAAAHAALISRQQQILSQAIPIIPEHQLAAAAVAAHHHHHQLHPSVQQQIVAAHHHHHHLPQLGHHQIVLPRSDVIQQPPHFVLQQHLQNLVQQQQQQQALHHHQQLVGDMALVSHTHPAAVGSTTCYEKNQQKQQQVPQIPTQPQVAHVSSNAILAAAQPFYPPPVQDSQPDRPIGYGAFGVVWSVTDPRSGKRVALKKMPNVFQNLASCKRVFREIKMLSSFRHDNVLSLLDILQPANPSFFQELYVLTELMQSDLHKIIVSPQTLTIDHVKVFVYQILRGLKYLHTANILHRDIKPGNLLVNSNCILKICDFGLARTWDQRDRLNMTHEVVTQYYRAPELLMGARRYTGAVDIWSVGCIFAELLQRKILFQAAGPIEQLQMIIDLLGTPSQDAMKYACEGAKNHVLRAGPRSSNVQSLYRLSPQTTDDAVDLLVKLLQFDPDKRISVQEALQHPYLEEGRLRFHSCMCTCCYTKPNMPSRIFSNELDPCHESPFDPKWEKDMSRLSMFELREKMYQFVMDRPALYGVALCINPQSAAYKNFASSSVAQASELPPSPQAW >CRE04646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1162327:1164134:1 gene:WBGene00055853 transcript:CRE04646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04646 MSAEEKSSKENVSFGGFLTENVRFSQKMKLVDEKEQPTQQESDKEKSSKDSRRKNKSKLKTAEKIPSSRRNVDENNFKKSKSIHVNGKGTKEKATKEGSKEVEEMPPTANSARSPAPNAQKKKAKSALPSTKTNQTPSATPIDTAKNGSNEDGKKPTITPTPDVAPDKNSRVMGMNEVAEEVKKPINTPKKKKKERKELVRKTNLISHIRPGCPRDVDIIRRRAFEDELIQFETGEFTYSTDPTRYRFRSIPPLELVIQFVFPSLLLLAIMLASVSCMIFLTKEYEVEMEFNDMSEVSFSKYDSYVIVDNIDAYLKNETILRHYNCPGLVQGPYKKLDEHEFAFLKFESRRIKNAMKALTYRWIVQTEVVMNMNFDCPPSVILELE >CRE04850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1164581:1167448:-1 gene:WBGene00055854 transcript:CRE04850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04850 MGITACCCCSSKDAAITIGIWSLVSSIAQLGIMGWQMAAIKYERDRAANTLLPNYNTYGRFDIPSYFESYWQSPEERYYTGLFVIQVLCLISAFFLVFAAAAMIYGVHTWSKYLVWPWFPCMISSILATLAYCIMWWCGDVRDYWLAITIIEIIVVFINVSFSFCWKVLKKNLQIYCVVVVMMYYRRINATTDEYEGKDRRGVRYKINRNGNSRRDLLDSYEQGVHRSPPMKYKPLAQPYPVYPSYSRAGVPQTPIPLPPTQINTPYPIDPLEVQFQMEPIVKNIRELEEDPVGSWVRDQQSIDRGHEARSEPITVSKQVHPTTLQHSRSVPSLHGGTLVSHRDCEHRDDKHRYNSYLIYFLCSLCFRSSSRRRKSRSRHEYDGYSSASDPSDFTRQHRHRSSSKKRHRSRSRFDESVTNYDSELERERERRRSKRHRHGGSQRSSSRRQRDDRGGGREREKERDRDRERRDRDKERYDRERDNRENERRRRDHRDDRESEVPRRREGDRRSRHPDSHENSGGSQEMTFPLSGGITIPQHIVIPPSSGERGPNGEPVPQKYQINSEITINYDHNGRPVVNPREPQPTIEEPFRRRQPVSFDTFYPAYSEIFR >CRE04647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1170591:1172672:1 gene:WBGene00055857 transcript:CRE04647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04647 MSNNYNYGPRMKFESHQMLLSFTPLRTTLSEYVDAGDMKEEKISHSESFVTTNSMSSNLNHYWKKVNSLNKTDSSMTSNSTVTSIPSAPKTFESSSNTLDGSTITPSTHSLKSAKLNNLSDWQYDSNRSDSSIIGNRMISLENLADSLIEPTETREENQCLSLQKQALDELETPLNRSINQFSEFTQKQNVALDQISKEGGRKLKNCNDRMAYLKESGEEVFADFDKKTSDLLDPTIKLYETKAKVEKFVGNNEEFYKENQATGTMISENERLLARIRQNLPTNKASSVRFPASVQEEKRLEAALSSIKSLLHSFPFDENERADFEYTIKKIEKDATFEKKKKCELIVRHLLSVMNNWEENQSTVSFDSDNWNSVSTIDSRDDVLDLNVYENGLYDLKNSSIYDSNLNDLEGELRSASIRMAVEGPNETIECSPWNSASNYSGWSSNSNSLDSIQPDSSMENSTLSSLSSNSIPNQTFDDAHRNLIANASTKTAYSSSSPVSPRPPRSIHEKSTLQSATGTRSDSIPSFNFSSVSLSDMVDEALHTAKDVAENQATHLMTALQNSARQCGVVFSDLMTAVSTSSESISDTQSSHHSIAH >CRE04649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1178445:1181443:1 gene:WBGene00055858 transcript:CRE04649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tyr-2 description:CRE-TYR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYH3] MRVKTEKSEIWKFSEHRKNRETMRWTPILALLLCATIISVLAVKSTTKKGGNVVGGTAVKRPMVKKVPMANKNIQRLNQKRWREPPRDCSDAPKHLKQTCLMIRRMDLATRRRLARQSVRQTRPNQVPNWLQPIPVPANARGQAAYHPYDCMTLLCLCPFFNGRNVNGQCVLSNGVVLQMSWRKEYRMMSEDERRRWHNALNTLKRNGEYDRLSRQHLEVGVGSGAHSGPGFLPWHREYLKRVEIALRMVDPLVFIPYWDSVMDSYLPDPRDSILFSDLFVGGTDYYGNVITGPFAYWRTIEGRSTILRNLGAEGQLFNENQVNTIVAQNTIENTLAYTAPQPGCPYPNNYGAIEYSHSNIHLWIGGDMKPPSTSANEPIFFMHHSFVDYLWELWRQLQQPRWLREQAYSADHPTCANWQHFSYAPMRPFPYLVNRDGLSNSYTDQMYRYAPRATCSHQRPNCGSPYLFCDTRGYPHCVSKIRLNGNCRGFEQNDACYASRCWWGRCVNANFAAARMKGARTHSNSTLIDLELANKVTVNGTKVERVPLVKRISVKMTAPLFVDCYNRMPCCDSWAKTGGCQREPEFMSQYCQASCNTCTPSYNTTDSCTDRHVNCVAWKADKMCSGKSADFMSENCRHSCGKCELERDSQCFKNGKVCFLIPHYFGSNIVRFQIIAIPVSQNLRESISDSLMSEVTNRIKKVKKQEIDATKKVRHG >CRE04851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1181785:1182789:-1 gene:WBGene00055859 transcript:CRE04851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04851 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LYH4] MLYESILFGSTFVMTSLILMTGCSSKKKAAPSVAPSPQSASKSPAATPSVAKSTVSKSPATETKATETGETKDTTNAETKNEETKGNVRFVWISEMLIFFQDEETNDKTEAKKDETRQETVRKDDDKKDDGEKKKEEDEKENDKKEENKNENKKKKEDFIRADPTELSFESATTSQKKLRLKNLTSKKLMFKVKSTTTNTYLINPVFGKIEPNNFADVMITHRPSGKREDKLVIVSSEMLGKELEMAKTFKQIKTTGNDVIVKLVST >CRE04651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1184164:1186705:1 gene:WBGene00055860 transcript:CRE04651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-toca-2 description:CRE-TOCA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYH6] MIPVSKFFTVQDPSNALLSYTQKGIDFFEKIGQFSKEKAAIEEEFSTKLKNLAKKYAKKSEEEDEVLKSVSYINSFNSYLQQLDQIAGKHHAAAESTREDIVSYVSSKTCQLRSSRKNAINDLKTINDILEDQINEMWKSGKFYSKSYKEAENAYQKFYKADKNLEISRLELEKARTHANTRNEICEKSKNDYSRTVSNTNLEQKKYHDELLPVIFSRLKEVDKECIGDMRHVLQKLITVDECLAEGIGNCRLKMQNSINRIDSEADAQLVLDSVEATIEKPTSFDIEDLGDPKDCDSRASDSIDGKQRVEVAISSYHVLKSPNKKKIIRNFLGMREKESEVPRESESPKEAYTDNSKPPQVRLSCLRSKIKNMEKQLEQAVQGREGITRLQKAYYANPQHGNPAACTEPLISYAKKIETLNTNIQNLKEFYTLLEMSVEGMPTERSFGGRDTPDTTRSVSGCSTNLSSLRTHEDGILRQFLSSPKRLLSSPRTPKSGQKPISTTPIRRKNDISSPVLRSSFSGVIRKTPVSNSVISSPHRSVSSPMKIDKSEMLVTVLFKFETSSAETMSISPGEELYIQEGDNGDGWTRARKKKGQEVGFVPTSYLQFPGLSTAITPP >CRE04852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1188469:1190935:-1 gene:WBGene00055862 transcript:CRE04852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dbn-1 MTLNYHAHGKEIEASYRRVSDNSEHDKWVIYDYEGNSNTIRVKEEGTGGLEEFADSFSSGKLQFGVISVRLSSDVFPKIVLVHWQGEGVPTLRLASTTSHAEEFRRFLKTVHIVLHARSEIDVEPDVIRKEVRKLPSANASTNSESTYSLPEKVNSVYQPTNPQSELSSSARENFWSSMNQEEKKRQAEEKASHAEQLKRYEADRERTAADIQNKADNYQPDKVNSVYKPTKPHVELKTVNREEFWSKMNEEEKKRQEEEKVAREEAHRQYEADRQRMAAEIHSHAENYQPDKVTSVYKPTKPHVEISSTAREEFWNKMNEEEKKRQAEEKESYELKQKEFESDRKRIADNLHEKLQLSEKPAVPVASASSHVPNVTSSTSAATSSGLVGSRKEMFSAKPSDPILPKAQTNGGGAVKKWPPVGSNQDSAPREPVNRSNTDEGQTEELMSYKPEPMVYQPEPMVYKPDAMKPATHSYDAYEEPPAEPAPVVKSPISPPSFIAPTPLIAPPPPEPTPAHITSQYGAPQAVPTHYSSQYDAPPVQEPFESVIPPAPASSHYASQYDAPPEPVESFASYGENSQLPAHIASQYDMPPVMPEEPVITPIVVKSTVKAAPPIDQYDFPPAVTEQNAMALWDYQAADDTEISFDPDDIITDIDQVDSGWWKGRAPNGRVGLFPANYVKLI >CRE04853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1193588:1197809:-1 gene:WBGene00055863 transcript:CRE04853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04853 MAQRHVSVGCFSTFSEAEAKSSEEWKTSTKLEALGTMEGELAHLLSTCKPDKLAHNKTELSGFRNLFARFLRAKPTVDWSKIEPLPEGAIRSYKSLGTVSEKELIAAQLRKLVVVKLNGGLGTSMGCKGPKSVISVRNDLTFLDLTMQQIQTLNKTYGVDVPLVLMNSFNTNEDTQKVLKKYANVKVSVHTFSQSQYPRINRETLLPIVKSLEADDNECWYPPGHGNFYEAFHNSGLLDKFIADGKEYCFLSNIDNMGATVDLSILNFVLNPPSDHEAPEFLMEVTDKTRADVKGGTLIQYEGRLMLLEIAQVPKDYVDEFKSISKFRIFNTNNLWAKLGAMKRIVTENKLEMEVIVNPKHLDRGLDVIQLETAAGAAIKSFRGAVGVNVPRSRFLPVKKTSDLLLLMSNLYDIDNGSLTLSHQRSFPTTPLVKLGSSFDKVKDYLGRFNGIPDLLELDHLTVSGDVWFGKDVSLKGTVIIIANHGDRIDIPPGSILENKIVSGNLRILEH >CRE04854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1198420:1201138:-1 gene:WBGene00055865 transcript:CRE04854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyk-4 description:CRE-CYK-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LYH9] MKSSTSKEKLCGENSRQMYNMIINSQKSRFDIKDIGMFHLIDEIERLRKLWKESEESKKRLNIEMRETEEALAKARKKLAMFDIDVKDTQKHMRALMEENKALKLDLNVYETREKQLKDAMKNGIFNSLTKEDRDQFQFLHEPLVRTYSKRVQQRHPHLMEETQDEEDDSEVDYDVTGDSFEEVYTLRNGREVRRSSAAGNPGGAKRRSASAHAASIAANANSKRSRSRVMAATIDEEPNEGGTPPKRYRDDGAASPTLHQEVTTTTTTTTTTVQNSAQPRMSIHRQLTRRSLSVGSIPSCDHTPGQTTNNNGMGMSSAILTKSTLDIRTLKRGTPAWTNGTTRDIAMRPHSFVEAGIKAMRKCDKCGTALKLATSMKCRDCHQVVHRNCCNKLHLPCIPRPKTMMTPKSAGRGAKTGAGEFRLQDFCTAAKPMIPAVVIHCVVALEARGLTQEGIYRVPGSTRTVTMLLDELRSKTVPNVSLHDVEVITDTLKRFLRDLKDPLIPRTSRQELIAASNLYSTDPDNGRLALNRVICELPQANRDTLAYLFIHWRKVIAQSNRNKMNCEAMARMVAPAVMGHPIKQSQSQAIAGRDMQDCHRAITALFEFDDVYWQRFLGTSTSSHASSNQIESARHESVALCDRSILGPVTTSPATPLLSRSAIATRNRGAHLLGPMFHD >CRE04652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1201408:1202902:1 gene:WBGene00055866 transcript:CRE04652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdr-1 description:CRE-PDR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYI0] MPNVVTILLQDRKMDQRRNITLNVDNNENIAELMKNVEKLTNIPSEELEVVFCGKKLAKSTFMKDLSLTPATQIMFLRPKNLVQLTNSKFDSNNKITDTSILGSFYVWCKKCDDVQRGKLRVYCQNCASTSVLVKSEPQNWVDVLKSKRIQVTCENCFAPGLFADFKFKCLKCNDLAAALTHVRGNWQMTECCVCDGKDKVVIDLGCNHIICQNCFKEYLLSTLEEFRFTNRPPYGFTTSCVYPGCNRVVKDVHHFHIMGQSSYSEYQRKATERLISIDDEGVTCPNAACGQSFFWEPYDDDGRSQCPDCFFTFCRKCTERECTCQSDDDLTKITIDATTRRCPRCNAATERNGGCAHIHCTSCGMDWCFKCVTEWKEECQWDHWFN >CRE04653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1203213:1204980:1 gene:WBGene00055867 transcript:CRE04653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-29 description:CRE-MDT-29 protein [Source:UniProtKB/TrEMBL;Acc:E3LYI1] MSELFYFDNTCDQKKDIFLQVYRTIKQNMSGQGQQPNLTAQQQQMILQQQQQHMMRQQHMQQQQMHQRQMQQQVQPTGQFQRARTPQMQQHAPGGSPGGSHLQMHPHLQPPGHMQPRSPLVGSQLNAPGSVPAGNPATPQMMHQQMGMNQPMSLPAPHISRPASVAPPASVPPNLQTTGGGPPSNQMDSMGGQPQYPLHLQPQQTPSRPGSQQGQHVNNSHGGPQSVQQPTSIQRPGSVLAPGSIQQPESLGAPPSNSVIGGPQSVQGYGPGSVQPPGSAQAPSSAQPGSAFAPGSIQAPASQQPPSSIQPPPSAASSSAVGGATAAQNSKEPLKPNEEQIRMVQDPVDLVRNLVQKDLRNSVVEMNKRGADLVRQREEKNVNESDRAQFKRAANDFHAVCDEIDRTLTTVMETAKQLIKLEKVFMDRNSKELDGELMVNSVQSFVDNTDIVQKMFDETIGGVTASMEKMRRRQKKWEDLQKETQNNEDVEMIE >CRE04855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1205283:1207500:-1 gene:WBGene00055868 transcript:CRE04855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04855 MNKERNMKSARVEVLSELITVKNTNLETMKTEEEALKTAVEAMVSAPQEEFRRCVEELLKFSNADIKNLSKITKPSVGIRLCCEMLRTIFEPNFKPKRHAAETWQESVKFVSEKSFFIKLATCDADILSVDQMKILKKYVERAEFNANKIEHESIVCACLCRWISAFLELACTLRVMEEQVEESKELREQIKQTEEKCENETNEMQQLKNDVEKLTNLIRENEQTLANDRRLCDYRLRSGDLLTALEPHRKQWKSQLKQNEKKQNELIGNTLLFSIHRSHLLCQEKTVSSVSFETKSHLNPFEFQMCISMCSSHLNSVSVPFDSSVATPSNVINRIIRNLKTSRKFCLFISSSESLLLNLRTVLPSATYLDMSRVTWKDSQMSLLLSKHIYSIVPTVFFNITEAPPPEMYEILMKSEEREVCYHNKSYELPDDILFVFVAKSISHIPDQIKKLMEVIVVSSNLDPIDDAEKTERNELASLLGEFTAADILESKELSRKAMQMATST >CRE04857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1218471:1225107:-1 gene:WBGene00055872 transcript:CRE04857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhc-4 MNTQNTPFISHLITFESLASQVIMSNLLEKEHFKLRKHTRYLTIHRVVEQKSWHQLAYEDRLRRENRKIPKICRKNFLRWHLEQLEARKKLRRLREKNQDESIYVAKQWYYVLKKHPLKPRIEWSYQRVHKLSSKNERIAQELEELIDCAERYALIEHLSDEFRKKEQLILMKLKKIERYRLEVNNNRELLLRSQSLAAMKIRIFFEHRKGLIFDINEIINPEIGNMAIRKFRETLLAKITEFSNEINTKLLRKNWKDEKKRNIVQNCIVQETTNAAFGTIQSYLKTLRNKSSFIAIECMIVDRKLWVNSEQIRLLLKEPIRVMCSLESVRMSTEDCETWQEEAMMSVDVLSHENISNHQFLQPYVFLVDDYKVSPKLTEEELIKMYDDSTHLQIRLTKAPKFLTVRCFVLRLESVWTEMERRIRSFRNQLKTAIRRKFTTLLNKLLHDFRKYDRALQYRSIDPSMMLNNKAQVDLMLQNEIGDSARQFVDVYDKVFEITQHISLDTSQMDTLLQIASLRLSLPQCINDHAHFFQKRISTFLHFVNVGILLKHILFFFIQKKQAKVMASVQKAMDKLKIVQTMGNPQEVETYLTQMTKLRPMLDSLITEIEDLNKFEKAVDIQVSDVTKMRLFVGEIESLQSLFVATSGYYKHVNAFFESRRTLVNIDASRNFIEQFTVQLSVFESSLATHRAAKHFIAYARNEVDTFKKNFSVAEVMSCRRLLDAHWLRMSEIVGFDLTPYANSSIAQICELGLEAHLQQLKPIAFSAEREATAADQLHSIVTFWSQEHLEMRFHVQWKLSLAVKLRDLHSRVQTDINTLKHMTNVEQITDDSLPQWIEWTCRTETILKAWCETQQKWMRVANIFVTCRDTLQVSFDSNIQQDLYYLLQKEYELLRTCAKYFIKIERNVVKDATIYRVMQLPHLPCWIEKIRKMVVVIIQGVRGLLDGMRMINSRLCLSSELHLLNLFSTTSIDTRLQLLLKDCFPSLRRLCFNRRNQLELVDLLGEKVTVDLTKTEIESQEPCELIRSIETAFASKLTDIITYERQDRSKAASRIGANVGELIETRQTLDPLFLSRVEKAYYIQHKSVQRQSLLTVDFVYSNETNRFIPKSLADNWSSGHIILLIGEVCSTRSFVLKLSNCLCSNLRIVNSHNLLESAFLERLTKCIPMTNWFVLLENVDLLTSQIAFNLFKMLSTQTVPFPRLFISSTEEIKNQLPTAIAIEHLRTTSEHLGGIRDLIDDQHKSSPSFKFETTTPLSSVRSASIPTASRLPAQGNPSIQPPSSPHSLLLEKLGTRIRNREISGCVGPMAKETLKETLDHFAEKVVWIYIDVFIRDQLVVHSDEFAASPSGILFEVLSPNIQPSNGSESERSYHSTISTNSVFINTIVVFYGFSEFWNIFPFISPLFLKKTGYSSQTPPFLTLDDGSTYWPQENVQFLMCIPDEEGFHLNTVKKFDIPFHVVNENLKMNVARSWRKIWQRNYSELFNKISLIEIMDELVDRILSPMSSYFSETFLWPSTLFQVIYQDLTEVIPLSRTTSVNDTLRITVILATANYISIFCDDQKKVEAKLGKCLVSIREKLSIQIPEDVCNWKISYQDATKLVRWEEEPFCQSSIDKDSAIGNIMIVTPNMDRLLFYSIRLLSSGHNILVHGPPYSRKTMFVKMISKFLSSIGSEVQVEFIWLDGKSRVGNDVAQKVFANIVDTCEKTHQSKQLVSAHS >CRE04657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1217544:1218280:1 gene:WBGene00055873 transcript:CRE04657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04657 MKPAERRRRSRAASQAPRDSIGRFTPRKKTAGSSRLTARSVSRQSRRRSRSARSASSYRSSRSRSGSRSRSRSSSRRRSISRKPVKGRRPNRFVLAAPVLVLDLDPFLLPENQVVVAFVVFALQKRNLAAEVVQSHLVAHPREAYQDVLLVVPLRNQKENLVGQLGEHNNLYFKNTCSLSARLQSGVQAVAVAAVVAVDLAADTEMSNPREVIVWDLIVDKQRNN >CRE04858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1225546:1226941:-1 gene:WBGene00055874 transcript:CRE04858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-act-5 description:CRE-ACT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LYI9] MEDEIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRIAPEEHPVLLTEAPLNPKSNREKMTQIMFETFNTPAMYVNIQAVLSLYASGRTTGIVLDTGDGVTHTVPIYEGYALPHAIQRLDLAGRDLTDYMMKILTERGYTFTTTAEREIVRDIKEKLCYVAHDFESELAQAASSSSLEKSYELPDGQVITIGNERFRCPEVLFQPAFIGMEGAGIHETTYQSIMKCDVDIRKDLYANTVLSGGTSMFPGIADRMQKEIQHLAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF >CRE04658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1228968:1230600:1 gene:WBGene00055875 transcript:CRE04658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04658 MTTEKVHVGIDIGTTSAKICAKKGKDVIFESKRVYEIDEKESENGIQNTKLILNTVIGLLKTIREKFENENLQISDIWTCGQMHGIVFWDQDSVETSDFLNTSSLYNWTYSTPNLQNFLKTLPKWNSGEIHPGFGLVTLAYLNNSSPDSLKKTFFQKYNRCGTIMDLFLTYLTQNSKCFISQHNAFSWGYCSSDYTWQPEIQKFLPNWIELPTIAQDNSKVVGTWNGIRCHVASGDLQASVASLDSFKKTAYIIIGTSSQLCCLVDSNQLSTTVLPSTVVQLPYSDSQKLIAACSMNGGNALESVLKTNADKTFSSDRLCQLLVELDNTVPRLPTNLKIDPIFIPERGVSKELSIQNVRSDTTDIQILEATHEGIINNLFSLFPVSLLFTLSIKTIALVGSSQSTRFRRHIESVIDANFEVITSASAISTPAGAINFSKI >CRE04860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1236712:1237594:-1 gene:WBGene00055876 transcript:CRE04860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hip-1 MDHVSLLKQFVGMCQTNPGVLHSPEFAFFKDYLVSLGATLPPKPAEKPAPEGKCPMSEEPKEPKEQNKQNEEKPAESEIPKPAEIPFPEIDNEGVIEAEEAVALPMGDSSKEPTDEEVEKASEERGKAQEAFSNGDFDAALTHFTAAIEANPGSAMLHAKRANVLLKLKRPISAIADCDKAISINPDSAQGYKFRGRANRLLGKWVEAKTDLATACKLDYDEAANEWLKEVEPNKFYIIIIV >CRE04660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1233583:1236604:1 gene:WBGene00055877 transcript:CRE04660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04660 MEEELEDEIQDIVEESMKTVEKRKKDSEDDDDDEDDNDFDNKHDPKRDHRLHCKYRKSCYATGKRGLIEPYDISFDHLFHFWRAPSSQQHHLPKTEDADQDGVPDSEDDDVNLADRKLVCKYRTACYKDHNIPLSDKQQERERSFMSAKLQAPQGKKRTLKEIAQKAVSGVEEREKEVKTRPLSKSLIVEKKLQEIENQLQKKLDCKYRRSCYETGKLPDIEETSFSIPFLYPESEKNDDDDDEEDKKSFEEMNDLEKKNYCKYRKSCYESGTKPEIDSELAIESFRDILDMPDVVETRKLTLQERCKYRKSCYKTGILPDLSQDDEHIEKVINKEVSSVVPSTVQDLKTLCKYRKSCYQEISESSSADIVQTIRKRRLIEKEVRKRRTRRHRRLHKRRAMMTRYGMTTGSGSKYDKVEKTQKELQDIADARENRKDAPAPKSVKIHGKKKVVEETIETETDGTTSSQEQELNEDEKKTETESKKNEKNTASSEKDKENEEVADFQPEPETVKKTKRSLKKVKKPEIDKNEDDEPESTPEPVKKTKKNLKKGKEAESENDQPVIPVPIPVKSGIKRSQKEPEEEQKTMRTTEDDDDMPLQEKKSRVEKVSSVPSKKSVSDENDDDDDGNEFDNKHDPKRDHRLHCKYRKTCYETGKRGLIEPYDFSVWHLVHFWAAPPSQQHHNYGKQKDSDGDGVPDIEDKDTAMVNRKLSCKYRPSCYEEYGIPIEEKFLEREQKRTVKPEASDDDDGRYEDERKIDLKAADRRLECKYRRSCYDSGVLPTIQQWSDAPTLSETIETSSKNKKFQKCKYRKSCYEAEGITDKDSDVENKEELKKSHIQETMKPVETQKDRHHHQSKHGKKKHQEPEDSDEEDDEKEDQKEKQKKNKEEKKEEDKVQKQSDKETLEQEVKEISLSVAEKSWCKYRKSCYSSVEPKAAKQDHSGSMARRAGSGQKCHIYYLSCREAMGLPPKEKAPMGPNGKRLCRKVKKDT >CRE04661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1240200:1240861:1 gene:WBGene00055879 transcript:CRE04661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlc-5 MDDLADCREVFAYFDSKGDERISVQQVGDVLRALGQNPTEAEIHRCVGSFDKEARLSFEDFVPIFQSVSKNREKHTVEEFVEGLSHFDKEGNGMINVAELRHLLTTLGERLSDEDVDQLLAGHNDSHGNVNISDFVRAVMNS >CRE04662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1241171:1242333:1 gene:WBGene00055880 transcript:CRE04662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-9 description:CRE-TAF-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LYJ6] MAESGEKDAEATTNAADGYSKEAVTIIGLLGECGINEFDPRVVSMLMDVQYAVTSKVLQVASGLSRHAEKQRIETDDVQTAADILGVLSSNTPDREKILQMANDKNQQPLPQIRHNYGLKLPNDRFCQLQQNFVYKADDSYQEMEMQQVAHPPRIIEPPSAVLRPEHVQNMLKRRAPEDDFDS >CRE04861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1242346:1243060:-1 gene:WBGene00055881 transcript:CRE04861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04861 MKQSVALLVVVFFVFCSLGETKKPKAQRVIYVQKVAAAPKPLTEYELCKQECRIQRDAQSTKDRVEQLKQELEEAEQLLADHNKNVDAPEIAPERAEAAGQVPTARRASRFDHLKMAANKIVESTSELKNAVTGGASDNE >CRE04862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1244021:1245998:-1 gene:WBGene00055882 transcript:CRE04862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04862 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LYJ8] MGSRDNGSRELFTQSLYAQSVPVGAKKEKEKDKDMSMAPKSMPATPTPTSTSMSRNPSSSPATTPSKGEMPRNDKSCDRRDDRKEKEEKTMGDRGRERERQQETTQQPTENSLLGKAPPDAEKKKKKKKGRGRITSAGSCSVADDKTVNATERDDFPVLEKKLREFNFYHGFLPREDLQSTLQNPGDYLLRVSEVVEGETKVNREVILSLIPILVEGKDEDDKKKCRNVCIKRVQQSNKFFCEMTRLFDSISDLINYYTKNTGTCSAGTFQLKTPILQQPWEFMHSDVTVGDKLGEGAFGKVCQGTLKLKDGSTVEVAIKMTKVSAFLSKIKIKEMMNEARFIRNFNNKNVVRLYGVAHDEQPLYILLELVKGGSLLDHLKAAKTNGTIVTVAERIKFCIGAGKGIEYLHRNNCIHRDIAARNCLLHDKEVKITDFGLSRTGPSYKMKTSCKLPVKWLAPETLSTFTFSYATDVYSWGITCNEVFADGAEPFEGVSNAVVKADTMALKFLPMHPNCPEAIRKYMGNFIFVDGGRRATMSMALVEFDRLTLVCENGGMDGGGAKQKILKVFKKKHEKQSKGD >CRE04863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1246508:1248964:-1 gene:WBGene00055883 transcript:CRE04863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-17 MVQLDRFEIVFNNPEEAYFAGQEISGKVIIENKEPKKVNEILLELKGRARTYWTKHSGKSRKHCSHSEPYFLEQFNTGYTHKFTIVKDGKEKERVLPAGIHQVPFSYTLPKSLPSSFEGEFGHIRYTCKAICERPWDFDIVSRKAFTVVGIEDINSDPKLNEPITCIESNHAVTFCCRSTGSVTGEIRIPKCGFTPGEKIDVSYKVINLSSKTRNTALRFVQQTTYKAKTFAGHEHIKTVVRVISKIDKGEVPGGTTTEWQEESIIIPSLPPKLGKCKILSVNYAVELEVEQTLTVPCSIVIGSIPQLAQLLIHSKQSVQSSGNGSIPKSSIKDSPPKWDSESCVQVTITDESGQLVEELGNEMEALLSARKRVRMPSSILSELYPTMPSPYYKESFFGPSDISEEKEQAQFGETSFAPKYPFYTD >CRE04864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1259918:1260958:-1 gene:WBGene00055885 transcript:CRE04864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acbp-5 description:CRE-ACBP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LYK1] MFIAWFVSEFQNRTGENNSTYSEQPIDQLLEAKFNAAASRLPAFRLQLDRKTGLKFYGLYKQAILGPANAKEGPYWYETEARTKFNAWLANGKMSRGTAMQKYCDMLSILDKDWDPNAETQKQTWDKVPSTMGVIEPEMFDDFVAPKPTRLETDSEKSWFAAMRVNDVATMKQLLDEDPNILEAKDQHLAMTALLWAADLGCDPVVKFLIDHGADVNAVDGCLQTALHFTAQCHRPLLAEILIQAGADKSALDADGLTPADCCDDAELREKLTP >CRE04866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1261732:1263117:-1 gene:WBGene00055886 transcript:CRE04866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drr-2 description:CRE-DRR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYK3] MADEIFKAFIGGLPFDSLESDIETLLEHCDFTKEDIKKFEIHLVHDRETGTCKGFGYVTFQSEQQLNDAIKKLNGVEFAKRVLKVNRAQQRDRSDRGGRGGGRGNFGDRGGRGGRGGGGGGGFRRGGGGRFNDGEGRGGFGGGGNRGYGRQRKESEEFGGSQHEDGHNPRHRRPSEEGSAPSAPAAERPRFNFKPRTTDAAEIEARKKLEEEETKRRQEKLFQ >CRE04664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1263639:1272412:1 gene:WBGene00055887 transcript:CRE04664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-16 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LYK4] MICIECGGAAVNNTELCQSCMEMNSTGTMSLDMARQCDISMSCGSCQRMVTADSRQCSSCQKSFHLSCDSSEVSHLNGFICSLCRRRKQILSEDVMLSASVVSSRSQNAPLSPFSDPSVQQMSLHMSSGTMSSSFPNPDFGGDIYSQMTVSSSMNQHQHHVQQMLTTQSQLMDDISDGGATNDSDRNSSPFYQETSDYDEDFVLTSTRGRGRGSGKKKPGRGQSTGSRRQTNPGPTGFFSATQFQAMGDFSQPTPTRGKRGKRGNGITTPRSSGKGPGRGRGRGRGSAAQIASQLQQVQYGNPAPMGMPMYHPGNPQLMHMNSVGFQMMPQNSIGLSSTIQSTQIPPSVPLPSSIMNNAVPIALPPPVQTPLPEQSPTIVPNFQETNKIDTIREVDESILEDENSRQSGTSRSDEVEYTRISIVCRSNDEFMGKAPLCLVCGSIGKGVEASMVACSNCAQTYHTYCISLHDKVGSQMIIKMLSTIIFQKFQLNSAVITRGWRCLDCTFCEGCGAGGDEEKLLLCEECDVSYHMYCIKPPLEAIPKGPWRCQWCSRCRRCNHKSTSGNDLTSKGLCHSCQSLQSCSRCNRGYQLNEKIIKCSQCSKWHHGFCEGLHTDEQLEQAALNRMRCTSCRPNRAQINGFSEADTVWCDNVALDRNAHEILKSKYTPSALKSQMQEYRESFDHYEEDFTPQDDAPEPGSSLSISGQRGRGRGNPSGRRGMNRIGIGGFYAKLPRHRIQALTEEAAAEEDDTKKAKRPRKPRRSQLEDAYPPQIQEAFFGIKAVEGKSLVEYNIEEPMLAEFNGRYVRERPLKSHALCKDAAEMLRNDQTENDILEGIDFGNMDTDINFDEMDLSIFFDEDDEELEDSLQGETHPKRELPEGSYGPTTFNLDQKPSTSTGGMSSGMLGPQDGNLNGMNPVNFAQHAPGVRTAIARSGSHSDAPDRYQFSARWEEDEPAGLMATTAAVLYANEKHPHLKVQLPIWSDRVKQIQKLWRNLSSDDRQEYVNRARDNRTKSGSKPRPRRTNVQSTNSVDSPTVQSPAPHQFGFKVPTNPGEPSSSSAFGSQIEQSQQPKQVRVTNHLSAVFYNTWQEMRRVKLDRERLLASLEEQLNKARKQKKNLAAKKRQMVRTQQAAPDYDGRQIDLNDNDQKVLAQLTEQIKSTQGEMENMKKDVKSQDTALHQFELHNKILRNESEVTPLQKEQTHLRELQFAAHAEQMLAQPPPLGLGMNPSQGHPQPGTQAPINLPLLQQQQQRMLHLQGVPMMRPGPGQFIIRQQPGAPPMTMQQHQQQQQEMHRRMVIIRFPHFETNKKLQVQMRHPALIMGGVRFDEITDPVMKEAYQCLDAILADVHQHIESQKEVHHPMHGGPPAHIMQRMMHHQGAPIPRLIMPGQIPQGQIPPQHHPGVQLVQQHPPMTPQSAQPLNIAEDGPKPKKKRNNQKKATSSYPAGGEYESWLETMRTRFRLCGDIPKKQREPRLNRAGCEFVKYGLTEMAVVGERKPLLGEFGAMHVKRGTRIFGNEERAQKALIFNDVNMYQVEPPIRLVALYNNTMPTSEDDVIQDEMDENTCLNPNAALCKLFNKKHTVRDHIRCCVRYMDEGKAPIQPESSMFNEIIDRDEEVTVELVFNTSDIGEESSVEDKKDLVFKLNEKLKDLLALKEEVPWKMEDTPPESPICFSPEPEGISEGIRQTTSTSRAPSASVEKEIKKEEDEDASAAPVFREVKNEVVDSTQQYQCKQCNVSSDGVPALRVTMAKLGVLSQEATLEEKDEMVSFCSRKCYFELMSLSRSALTHEELTAAEQVVSEEIYNKLKQIYSDNIVKAVNQGKKPPAAAFSASSLIGSTEHLVSPRDTRYMMDEGKKDNIIIVPVSSLIGAVDAPKEAPPQRTAAGEDWKAYTQDIHESFINIQQQHVQCILSPKIGVPHPPYELDKRICVFCGGVGDGETFRCGRLISLTEYYWVHVNCALWSAEVFEHPSGWLTNVDRAVVRAAQTACDHCKQPGASVKCHKMNCGANYHVLCAMQHNGFFIKDRTFICKLHEKVTNVVLRLDALRKIYIKRDENSLLMRLFDLSDGPNLCLRIGAFAFHKLGSINPSQLKKFHNKDYIFPSKYRATRLFWSPKNARERMMFECRIEEKNDLPFFVVQSLEDSSICHRGFSATKAWQPIFERVNQLRDQQQGEVLKFFGTQVCGETLFGLNENAITKITESLPGFDTIFTYQHRHQNSPVLELPLVENQMGCARAEPRNRTIGQHFRTKPQPMGGTSHNHHGILGVISAQSTLATVATSAQLDTLASRQAATSVTSANSGRTRGVRSYYTEEAAARARAFGIPPEIASLSLRMETNQNNQAGFSAYQKMRREWKDRVYLARSRIAGLGLYAKNDISMGEFIIEYKGEIIRAEVCEVREIRYTAQNRGVYMFRIDEEWVIDATMAGGPARYINHSCDPNCSTQILDAGSSGREKKIIITANRPISSNEELTYDYQFELEGTTDKIPCLCGAPNCVKWMN >CRE04666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1292798:1293175:1 gene:WBGene00055888 transcript:CRE04666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04666 MVNEAKQFEKEDGEQRERVMARNQLEAYAFQVKQAIDEHGSKLESDDARRVTEAVEETLRWLDSNSLAEKDEIESKDKELKSICQSVLSKMHQSANQQPSGCGNPGSAGFNSSNYPQGPTVEEVD >CRE04870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1293586:1296322:-1 gene:WBGene00055889 transcript:CRE04870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osm-7 description:CRE-OSM-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LYL0] MRFGCLQALSSSALFLLFILPNISSSYEISINHGEVTDSDRRVLLEIARAVKRVKRNPFARDEITSSRSSSDSDLREKEDDNGDNTGDEESEVGHEKTEHFQGSASDYCDKFEQHFSYFCVGETDQTDKNAYVIKKFCPSYKNACKHKAVSSSVSLTSWPTDPFAKEISVATRPVTVGRTPSHSRRRYSDEESDSDSAEDEEIYYAELRKRYPCKPDCDRRIFAHCTEECKCDYIYPVVQRFCNPPPMPMFLNTCRLWYHGCPKYERYHYSSQFVYSKAEKGKVLPGTPEQSAVNPYGLPQPAPLRRNRALALAPIRRQKRDAVDDSENNEDLVVPPPVPASEIASIRVAKSSPIETEREEVPLTAPQSRAILQNYHESMKSEEKKRRSRRKKLRRRRRHRQRYSFDKDFETISTTQRPPTPRELWRTLKQLNSLTSGPGALGIKSSPATLKGGNEAQGGLDGLLASVTKPQTERDETARQWAAVATNPKQLTEDIVHAVSTEPNDQTEKQNRGGKKKGEEEPDFNKIKPRTFSSSAATTAIVDEQPEQPDGPKKASSGRAKAHQGNIPILPSDAAFAARGGGDDTFRAFDGLSDSRGLVHTPRSRSPFTKPGLWEPNPADPHSRDAANKYWYHPESVGVDWLNGQLQWGGHWAVPAAGAGGTAGMSAVHFPTIGSFLNIPDDYD >CRE04871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1298284:1300009:-1 gene:WBGene00055890 transcript:CRE04871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-40 description:CRE-TWK-40 protein [Source:UniProtKB/TrEMBL;Acc:E3LYL1] MATWKSYARIILAHVSLIVLSVVYVGFGAFLFYQLEQPNEVEVRARNIERFNVHKRQMIDHLWEMRSSGIGQHVVEDMAVKYVDNVTRILFEAFDTHCIGAKHLRPGGENEDYNWTYMTALFFTTTLLTTIGYGNLTPVTGRGKFLCILYALFGVPLILITVADIGKFLSENIVQLYTWYRKLREKCSKQKYSVISNKDDKNKEGDLNLDHLENYISIPIFLIVAILLSYITFGAVVLSMWEGWDFFSGFYFSFITMTTVGFGDIVPLKREYYILDLCYIIIGLSITTMCIDLVGIQYIRKMHYFGRAIKDARFALVNVGGKMVHVPDLMRYASVLQQKYGQKKTHDTIIVKGAYAPKDLSKIRFIDFGALASMESWQSLWSVLTGRTQEVHV >CRE04872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1304027:1305669:-1 gene:WBGene00055891 transcript:CRE04872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04872 MENNKKGFVATVFAKTSILMARSSLTRNNIFELASILGISRILHRTPVIFTHNETYEAMLERVNDTIPGLLDQYLIIKETVPEVARDEDFNLKCCIFENPNVLMEITDKFLHLTGLYYQSYKYFPAMQEELQNYLRRSSNNFSNLPKSTGKTFINCVHIRRGDFFDVGFHVADADFIKKAMNYIERRESRPNQKMVFIMFGDDLQFMKELFTDSIVSNEYTNHSNSIHFISQNSATEELVYSKNHCDTVFISAAHSTFGWWMGYFSKGNRVYFSDIRVTNDSVYKTGDLNPDDYYLPNWIPIEYNKEMMIVESTK >CRE04874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1308759:1312505:-1 gene:WBGene00055892 transcript:CRE04874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04874 MLHGKLVQVIVLLLLVLFLLNDQLEGRYQWGRRFRTNRIISFLTEKTSSDIMHSTPKHPPKGYLSSKLASSARLGWKSKSSKKHERITANHIFELVSIYGISKTLHRKPAIFIEDSKYHSLVNGVRKVMPGLLNEFQIFDRPVFPEAKNELISQKCCVFDNPSKLENITSKYLHLTGHFYQSWRYFDQHLSEIKSFVKPLLDFSPLPESDENNFITCIHIRRTDFVDGQHHSSNVTFFKPALEFIQSRERERNGRKNMLTVLMGDDPNFEANIYENTVIADKRTEIKNAAEYFVSKNSPDNDLAFSRYHCDTVLITAPSSTFGWWLGYLSKRQNVYYQDIRSTNDVNYKKGELNPDDFFVPRWTSIKLDENGTIVVV >CRE04667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1313585:1314305:1 gene:WBGene00055893 transcript:CRE04667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04667 MGKRNLDETMNDSAVSETAGDAPALTTEKDEYQALCELVNPIAQPLASRKLAKKVYKLIKKAAAGEKTLREGIKDVQKELRRNEKGICILAGNVSPIDVYSHIPAICEEKEIPYVYIPSREQLGLAVGHRRPSILIFIKPNGAFQELYDEVAETLNHLTVEAA >CRE04668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1314400:1315342:1 gene:WBGene00055894 transcript:CRE04668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exos-1 description:CRE-EXOS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYL6] MISGTLVAPGDKVLDGIGDYKMGNGLYEANRRVYASVTGYVNVYGFRDKTDNLVQVIEVRRSENQLDNELLPFNGAVVTAKVMAVGLRFAKCDIISIGDKVYKKRFSALLPKKKLRPLEPELSEPFKNFVRPNDYILAKVCDDAGIKDKFVLSIAEDELGVVLCRGKYGEPMQKVDWNTVVSTRTGRTELRKMAKVPQKCMLPSYSNQTDSAA >CRE04670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1322043:1324520:1 gene:WBGene00055895 transcript:CRE04670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kvs-4 MNSAIMQGAAMATSHGIARLNRHNAQRQHFHIPTANRLFYQLQTACTSTEDERQTIQTDDVAEIGVQKPDYQMYCGETEISQQFIKLNVGGQRFMLRKDTIRKRGVGRLMDLVNKSVKDSNADAFFSETSEFYFERPPALFHIVYQFYLNAFYHSGVIHQPTNLCPVDIIEELEYWRVIPDEYLASCCCAQQMDDDDEEQEEQDKPNLFKTLKFGEIRRTIWNIIEEPASSGKAQVFAVFSVFFVLISISGLVLGSLPELQVPTRQKNNLTGEEYVEMEPMPILGYIEYVCIVWFTVEYSRFQVHKIVCLFWSLGLKMLVSAERKRTFRQLLNIIDLLAILPFIIEMLLLIFGISTEQLRDLKGAFLVIRILRVLRVIRVLKLGRYSSGLQMFGKTLKASFRQLGMMAMVVMTGVIFFSTLVYFLEKDEPGSKFHSIPAACWWCIVTMTTVGYGDLTPITVPGKLVATGAIACGVLVLALPITIIVDNFMKVAETERPGGGNRYRTSQYKTEPKATKSEQMVLKVA >CRE04875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1324670:1325326:-1 gene:WBGene00055896 transcript:CRE04875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04875 MTPSVDDKSLPPHHKELMEEAVAEACRGVECGDGGPFGAVIVDKKGNVVAKGHNMVIVTNDPTMHAEMTAIRNACKALGTFDLSGHTLYTSCYPCPMCMGASLWARFDAIYYGATAQQAAEIGFDDKAFHDFLKDPKTDDIRKLEHLAADNYLLPFQMWSNKPDKTEY >CRE04876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1326296:1327783:-1 gene:WBGene00055897 transcript:CRE04876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04876 MQKFIQARALIYRKFGDPREVLELETINVSAEPGREECLIEWLASPINPLDINRIQGNYALKSELPVIGGSEGIGRVVKTGSGSRFKVGDHVTIFSAETPFWTEYSIVNDDELVHLDERIPLDLAATLMINPPTAWIMLQKYVKLEKGDYVIQNSANSGVGRSVIEMCKVFGYQSINIVRSRPNIEQLKTELWRIGADHVFTEEEFKETSKKFLKSIKTRPKLALNGVGGKSALQISAVLERSGTCVTYGGMSKKAHEFTTSALVFNDIQVRGVAVGMWARREENLDEWNHCIDQVQKLAVAGKLTAIPMEKIRMSEHKKAIKKSLEGRSIKQLFIINSSTSTSSNSHL >CRE04671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1329191:1338507:1 gene:WBGene00055898 transcript:CRE04671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spat-2 description:CRE-SPAT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYM1] MKFRAVRISFLQVFVHYILMFNLSCFFQKTTSKNLGKLMKNGRAATAHPTTNKEKRNNGTIAEYTAENREARIGEELAQLDTESFERLLQDAATSKTNLLKEDSSEEDPAVVASIMGLIDGGKDRRLNTATEHNDTISTKPPAEEIVTEKPSPAMSNKEPVLVNMKLPICGNPVTDLDKMNGSNNDTIAGTDQDMVSRMEMGENLQHFTQEKNADVLDMEKVDKSQKNIKCDEVSIDQSQENARQVLLQPEQLQYLKLKGLQEFEKFDNVLGPASRGEFASFHQNMSPAISSELGVINPLSTFNFNTFQTCTPNGRLLPAVPRKFFSPIEGVPVVRNRPPPQKGPYTFMLHTVSNILNVNEYSNRNVHSSHSSISPQSVSRSGHIESASQKKRNKLKARRAATAVSVAGGEEMNSSVEDDGKEDILDVEEKENIDDDDGLTEEELEARRNKNRKDRNKKKARKEAKAAEEAKVAEEAKVAEAKAAEAEKLRKKQEAGVSPNSVRGNQISGRSSVACAFHSPWRSAPNLLKHPMMASLDTSTSSSLHCIQPNNVADSELPGKAPVRIHDDFGDDAPPPAKLSQRHQLARFMNAEQLGISEKINFCNILGLPPWSFFTIGSTSQDEPQKNHQNGGRRSNGAGAGGKNKRNGKSVSSEYTVGINGTAPNTLEEILKDLGINDISELTENPGKKHKKGNNSNNGSKKNNKQQQNQKKEEQQKEVARSPPSDNESISIDSGADKSKKPLQGGGKKMDEGAVAASITKRCSSGVGQQSEEDDDQSYVSAQENLGGSNSRLSTPPTEFVDARQSRDEVIDNVMLDPNNYRDDEDVESLARQLQQEEDEFITVGKNKKKNKKQSSVQENVSASSTASSSSPPNRRSAQPDTRATSTTNSIPASPASGNNQPQHHQNQRGNNRPVAHPTTLGDFMETTKKETHKNVKHKKISPSTSTVPQKKQPSIEEPTPSSPAFVDALGALISINTAPAFSYADAAKKTSGENTPTHEMSPVPLSTNTNSATTSNMDQSSSSHPQTSEPSKESKSGEPLPPRASPVSSKKFVATASTAVQLPPSSAVAATFDISFGYEETPEDKQKRQQKETKVVTPPPQKPQKPTRMNGVDLLKSFTQLDEAGIKKEDNLQEMPKNGSREANVLDNEMLALWETRWNVYTNTGTKPIVYKPSQKK >CRE04672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1340026:1344036:1 gene:WBGene00055899 transcript:CRE04672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsa-2 description:CRE-RSA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYM2] MSKIPISKTGFRSWLSANEGRESKGVLYEQKYQSHHDRIRKKENMSENERPSSSSYASLINPSPTAEDYERYDADRRIRGKARDIRVAQRSASSSARNSLSMTPEPNETSPTNDQYFTPKRESGGGSQDEEFVTPSTSKKDLRKSRRMSESGSAEVTPVSTKPPRIRKNEKTSDEQAYYTNCLSRKMEENFSKMKDLVFSGHSMQEAREKVLSDTIVDTSKCSRSPRRSSRITSRSDVIVDRSSSQPPPMETLNAVPRFPRAKSPVISCSKETTILRTSYVDTLVAAHQMHMQYADRTVVTVEQQMTKLESMKNLAALSPAVQEAKRKRNAAEALRKLVETNEQREKKLEVISDLKDRIGKITNVQFSTHQLVSNRPFAGDPENEKLLKSLDGWVSLPFHEFDVQTARELQSLQKKMLVTINRFKNVASLHRRNPMNRSFGTSRKSIAMKIVPSDFATAGTYSDSHSLNSASHPNTREVSTQVTARLAETAMTQTSPRRVGVEPLDLSSLEKINSSSQTTPPTAPTIVPVTLSTEDFAQQTQQTEEFVVPHSNPPAVSTSTLSMTAATTLDIDEMLESIDLRNESLETLDSFTHFKSDISYPPMSSTLSADSTPRSERVSLDPESARRLSAGVSHFLEKIKKENESFEKENEDEEEKRTAEVEEIPVSDSAAVVVPSIDSNVPKVENISEESSETQSPPLNDESEVELNVDEHDITPFEHEMEEQEEQRRLRESALTPTPPRKRTEETVTDEYRRYIDPDDEEDETKKFLNNDEFERSLEEDQFDPRGADDSADDSGFLLNNKPLLPLKSIFDTGTLSPSTSPGLQTDTPRGPDEVNETTIGNLDMEDYCQKEYLVEIGPVMVQKAIEFQSELRGQNWVSSPNIWKPPSLEQIQLDLYDQFDYFDSYSILLWGAIVDLINAKYLKFGRKLNKSEEDMFEKEALEMLQKEYGPESKKKEWSKEVNMSKKLAGMMPLDLDYRFDVRRGLADNEKQKYQWQQIQMTVIADRYPRKKLKDELDVVYNTEKENLGQIVMERELEDVSFSNNRESQPEAGQSDSDQKMIGVSNV >CRE04674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1348422:1350367:1 gene:WBGene00055900 transcript:CRE04674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04674 MEKRCLVLDFDSVSGVVRCFNRENHKLIYVKIGNGESTSPGQFIIYNAGESNEQNGILRVLNPRIVDAENGDCVKVGENGKLKISSWIAFSSNENHLTFDRQFGYADWYGFVDCSAVRTNPSFSAFKTDITVNDSECNVNQIFKVVRIKSKIDPRSNREEYDSLMKKMEEEEEEEQHLEKTHNLDTSSGQLNPERPSRSVDAQSAQNKIPSMHKKKSRSRSRSSKIRANMHASGPPINISAGLSFMNISGPVPPTQSLFETSSSANTSSHSPIPPPLSSLPPAPQFVVHGLVIQKEADNCFIVWLFDTKQIAYLPISTQGYVIVGTCYEFSVISKNDGSACEITNVGKHLEMKPANSFEIHQTMDKIVVGIHVDLCCPNTRCQLWSFYMGIPFFNSPDVGIVTMADYLDTPIGLHLQWQETLRLYLGDPHAKNMQCICQLVKQKLPQKLHNSFISDDFVSSSRYIWQIVEVCSSEQHHQIREAQLKRENLGSNGGELEEEDEIARQKRNNRPSVRFES >CRE04877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1351017:1352436:-1 gene:WBGene00055901 transcript:CRE04877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04877 MEIDDILWLNISAICAVSINFLLIVLILKKSPKSLGSYKYLMIYINLFEFTYAILYFAEKPDLFTKKSAFFLIVNWRESIFPKFVACILDLLFVGFFGISIAILALHFIYRFLSITNNRHLKSFDSWKIILWFMNPLLNGVTFMITGGIILCADQETNRFMKENYPGLIENTTIIDDLYYMGPFFWPKLANSSSEEYFSWKGAGGSLIVMGLISLSSSIMIYFGVKGYRSMNRLIAQTSCSEKFKSVQKQLFHALVFQTLIPVVLMHIPASAIYITIFFDKSTEIVGETLSLTIAMYPALNPLPTIFIVKNYRKALKGKEINYIFHLNWESISDVFVSLKNCVLGETRVTQVSAFSTQITTGISTK >CRE04880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1354563:1356132:-1 gene:WBGene00055902 transcript:CRE04880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snpc-3.3 MSMDNMMKADEQPFVSPPVYFGNFAYFAKASSDECRKIAPRRRAFENFREKTKIPDSIIDDIIGVLDVSDIYPMVFNREMDGGLERNGLGSQALLLDENSRRRNAQFKSIALRALRYDHIDHSALARKAPHLLLPGDSFPNEDSNESIEDDVPTTEPEPERGHMDCAIIPTPESVEVINHEKHLIVSVSVYLGYTRELHYHEIRLGRLLKVTDRLELTGEHTLLDLKNAFSCPADFAFSEDFSEKKPTIQDFAKNKWPSSMFFIHDTFYVEPQDQPGWEDPSVTIRNWAQKRNYIGPMNVGSMVDKKLGELFARLGQPYVYIHQGVCEHLIVFNDLFLKFGYCYEFRKLMNYIFRDESHQNVKYPRRLVERNFRRIACDICKEASAQWMIVDHENLLPNSPAYLCSSCYKEFCFDVNGNKVCQFKAVPYCDRKDIGDGGKFISELRFESRQEK >CRE04675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1356469:1359512:1 gene:WBGene00055903 transcript:CRE04675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-puf-6 description:CRE-PUF-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LYM9] MSQPWIRRSAATSSGSSSIGGTGYNLFGNGSLDGVNSFHADFPLVNSNFYNNVFVPQPVNSSLKEFPLKDLQSTKPPVKLLPHANRRSTLNNLIPDCDNFFFNGTAVESSLTSLVDNSSLKDSFQPSSVSHVVDNSSDSLHQEITLQDVIANGQLFDFALDRYGVKFLEIHYPQEAKDDLHKLVFGKLTESITLFNGLCHNSNGNFIVQKLVEYASIEEQKVLLMKMIEGGLLEMCKDKFACRVIQLSIQKFDRPNVTQLVHAISNFDFVAMCTDQTSIHVVQRIVKHLPVDLWRFFVDFLYTGDNLMSVCRDKYGCRLVQQVIDKLSENPKSPCFNWRIQLLHTLMSCVVRNCFRLSSNEFANYVVQYVTKSSGVMEMYRDMIIEKCLLRNLLSMSQDKYASHVIEGAFIFAPQSLLAEMMNEIFDGYVKDQSHRDALDILLFHQYGNYVVQQMITICTSALMGKEERQLTPSDLGMYAAWYEKIRSRVQHHSARLERFSSGKKIIDSLMKLPPVESPASTKYSSSFLDFSSQLDIFSEVDFSRKDISKNFDFLRSNPFADSILREEYLKQRYLDLSEINYVGPLFHYPTGNETYRLNWDAVVPIAFMTILIV >CRE04676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1363770:1365158:1 gene:WBGene00055904 transcript:CRE04676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04676 MDVPAAPRPHSNTQRPTQAYYVPRKLLECGRGPQSKPTNTEKNEKPIQTSVQKQKPRPLMDNVITTPTSSIKEKSTQSNRQKSNAPATKKSSSNSSNSPRKSPPAMTAYQPPLQYPSLPRDLHLVSSTPSSRSSSSMSSSGIGPQISPTLTVSSPRVLHVKGGPQKYSLPRPNAFAPMIAQSDEKECICDRKKTHVVCKRCGYECVGRVQVTCGQHPMILALNDLRECPNPVCHSVQLLEFDEFGNEDVHDDTIEQLLAEPIEKIDLQS >CRE04881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1365415:1368319:-1 gene:WBGene00055906 transcript:CRE04881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmt-1 description:CRE-HMT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYN1] MGFSPFLDECRAEGFWPIGPSCNKILSFGIYSFFIVVNFIVLCIPNTGNNYMQMIDDEGRTTSKLTMPKILSICSLFAIICQSIFYFCFMFYFHPYSHILLAFCISKLYTKKVLLIFRFFQFFWIFSLCSFSKWRNQSSTPISLAFAFSSALLIDCIPLTDWKKYFDGTTKNRGDLTFYIIELTLVSMVFFFTIMNGLFNCSGFSSRESAWNNLSKKVVRVAPYIWPTKSITLQLRVVFCLFMLIMGRLINVSLPILSKWIVDELSTPENFHYSLLFLATFLKFLQGNGAMGGFLNTVRTYLWIPIQQYTTRELEVELFKHLHSLSLRWHLSRKTGQVLRVMDRGTSSVNNILNYILFNVVPTIADIIIAVIFFFSAFNVYFGLIVFATMVLYLAVTISITEWRTQYIREANEKDNATSAIATDSLINYETVKYYGNEEFEVNRFKKAIERCVFHLSYFRPISSSYQVTEWKTQASLAFLNCLQNAIIGIGMIVGSVFVVYMIVHEKTLTVGDYVLFTTYLLQLYTPLNFFGTIYRVIQKAFVDMENMFDLMNDEVEVKDLPHALPYNDPHGTISVKNLSFEYNTGLPVIKNISFEIGNGQTVALVGSSGSGKSTLIRLLFRLFESTEGSIEFDGTDVRNYTMHSLRQQIGIVPQDTVLFNDTIMYNIRFGRPDASDEEVIEAAKAAMIHDKITSLPEGYSTMVGERGLKLSGGEKQRVAIARTILKKPQFIFLDEATSALDTPTERAIQKCLEKLCKSRTGVVVAHRLSTVVNADLILVLDKGIILERGNHKELLSQKGVYASMWEAQIAEQRAKSIELGEDVPSDSSS >CRE04882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1369165:1370536:-1 gene:WBGene00055907 transcript:CRE04882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04882 MVTKKKARKNEDFKKVKLKVGKKLKKTTTTDTTIKAKRVVLASQLEEKVETDVNPLSFRGLTLEELCKQMGHFNSKVKMNAIQGLKQILTSRPDLVNIHLRLLVPCVAVHVSDATFEPQTRQNLLNLYKILCTASTSAMSAHITLFLAHVLRALTNIVTEVRHLALNTLSILLDRYPSLCCNHADLFPCFINYLGSSKRLAWNKPGLIDTTLNFINVYDMNRERKVIITNVEVNYETGTVEGTINLKQLFTQNPDSSPFDFGVTSTSKSHTVSPFELPDALLNLSAVLAPIISNIVLEDTGGQFLTQGVKMIESIVRGAENQPNDFLLKDFRVSLKFLPPRFAKIGHIHDFVFSKSVSSLLI >CRE04677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1374313:1379393:1 gene:WBGene00055908 transcript:CRE04677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04677 description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3LYN3] MSDSENNAEGDVRRSSRRCGVSAKKTKELSAMEQLKQARASGRAYKPDIEVEDVYDTVDDDEYEQIVSKRQNDNFVVDDDGMGYVDTGVDFEDDEYGDDYEDDVPRESSFKRGDKKTKKEKKDKKKGAMHAFLSSTMSKKAVIDESKVKANLEDDEELKNILEQLDKVDSDAEVEEQPIKVSKNPFKRGRSVDSPTSDAIAPRPIVKKSKTSNVTGLAPHRFQNSKNQVMAKIIPEPKSDEDNDDDDYGVPDFDDLPPVSPIKTEIKTPLISPQKEKNLKVPQEVLKMEVDNDEVETTGRIKEVEDSLAKFRVMEDVWTENSEEESKEANVAEVETGSEPFYVMSGESEDTKAIRMYWIDAYEDVHKANGTVYLFGRVKASATSWESCSIVVKNICRKVYFMPRSHNLRTGEESNLAELHKEIGDVLKNKFNTHEFKCKMVEKELIRDESFGNGGGTKTPLMEVLYPADKGKLPSDLRGNTFSHTFNTSVTPLERLLIEKKFMGPGWIELYNYAKPKAPTTNCKHEFEVDMEKMRNIRYLEDDDLEKMKVSANSNLAIPSIKLFALNIVTTLNEKKENEICMISVLINPKCDLSHPSGDPKNFQRKCLITKPSGGSLPYDIQKRLEAGHISNCVQTIANEKALLTRFLALINEQEPDMVVGHDLSATIALLVSRLEKLKLPNWSRISRLKRSINIGKLGHSKSGQWELTAGRLMLDSKLAAMELVKSRSFDLTELSQQILQTTRREIYSSEIPHLYSESKDLISLINWSWHDLLLSIRLVNHLNALPLYLQISQIVGGITSRTMMGGRAERNEYLLLHAFEKADLIAPDKYNSFDAKKKKEQESEDNAEVTEEKKSGKAQYSGGLVLEPKKGLYETLILLLDFNSLYPSIIQEYNICYTTLEYAKDSDEQLSVPQNTDIEGVLPREIRKLVECRRNVKGLMKTERNEAKRKQLDIRQMALKLTANSMYGCLGFQYSRFYAKPLAALVTAKGREILMHSKDLVEKMGYSVVYGDTDSIMINTNSVDLGAAKKLGSEIKKAVNKCHRLLELDLDGVFKRMLLLKKKKYAALTINPDTRVEAKELKGLDIVRRDWSQLAKETGTAVVDKILDTSLTRDEMISSIDDLLKEIRQKLDSGTIPLEMFQISKQLTRNPEQYSDVKAQSHAAVAQRLNKSGKFHFRHNDIVEYVICDDGSDNSATQRAYHRTEMTDNKDLKIDLLYYLAQQIHPVVSRLVEPIEETDAVRIAESLGLDSANYKRAAAAQASQRAAEEDCTWQQESYELCEGVIVICPYAECGETNVIRETFDSTTDPTCPRLLLSGCSKCDKSWESSEHQAVIFNQIDRQLGEFVSRHHAAAFRCDEPTCEFKTRVQTMKWCREGLECVRCSTGVLRREYTSKQLFDQQMFFRQIFDVETAIRKLSDSQKSKIFELIMGFYIFSFPGASENSDRQRFTACRIDSMEIVNRITKKYLERNSYNRVDLSYIFAPMLKI >CRE04678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1380168:1385745:1 gene:WBGene00055909 transcript:CRE04678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04678 MITKKKTRKNEDFKKVKPKVEKNLKKTTTTDTAIKANGVVLASPLEERVETVENPLSFGGVTLEELCKQASNFLKAYDMNKERKVNGTNVEVNFETKKVEGNVNLKQVFTQNPSSSPFDFGVTSSSKSHTVSPLPELPDALLHLSAVLAPIILKILLEHNSCQLLNQDVKMSEPRVRGPENQQGFLLVNDLGITVQRVKELIPVSHQNNNIILPAKLNTNNAGMMVSTTKGRNVEPLTDCMTAMDVDDHIFKSGKSCGWILNYNFLADGSGSPLKEKSLSLGSATANFIRSSVAPSHQTAQNPLELHPPSPALTTGLPKHREVPTSSSNPSPVVPAVENKTARNGTTVATALGQNVHLSVNGKRVGRPPGTFKRAPNHIASSNNNGDDVDVETANDLTCRWKDCMMRFSTLKGLADHVRVMHVRSTSEDQAIAASSSNPVSLVPVVKFTNQTAPNGNTIPTAVGQNVLLSVRGKRLGRPRGTFKKAPNHITSSNNNGDNVDEETKNDLTCRWKDCMMKLSTLKGLFDHVQEKHVQSTEQKHHAWRCEWEGCDRNETFKDFYQLVVHVRCHTGEKPNKCEYPGCGKEYSRPENLKTHRRTHTGEKPYKCEFVGCKKAFNNGSDRAKHHNRTHSNLKPYACQIVDCKKSYTDPSSLRKHIKMVHGNGEYEKAKKSCPPNHSKRRRPNPRMAPPTGSLSHPYLSTPHPMPSNAVPVHQNNFSNGALKNPTTAVKVSKSHQSHTIQQSHINTVVAAQIQTPIREPIRVDTVAPPTGVHPSNQSARQNFPSIPQQIHLHNANVATAEEEDEMSEDDEESLQPAQAIPASRPRDGSDDGNSGSGAGSSRSSASSGSGTLEMAGRATQSESRSSGSGERGKRSFLIADLLQLASEFGNDRIISDALNLSIFETRDINTVWHIYSLFDNACSRMDHFETGKRLPTWQEVRVLHSYYHSPHYNRNLFHDSPAARTRETLFWRAINSANSRREHQIQSISLNSEVDEGFEEYALRAARDGRRATSNNQSAAVRVGAQESEDEDDGFGDSDDDLPGLGLGGLDVIVRRRRRIVRRQALKQAYMEIDESNTDNQFGVVGGGFGGENNENGDDRSYDSFVHTPRIDDSDINFIKLFKRAESDLAEKAAKLPKITEMVPIDQVQASQQIPPEPINPPAACRSSSTPFSVHRLLVEEPEFRQSLLRFVTIHVDNDEIKNNVSSDEFYKLPSDERRMIEEAMSAMDGMESAEAAQNQAVIEEPQESLFAEDQESSSNVPSDYSMPSNPRRRSCDSEHSEVVPEKKQRKH >CRE04883.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1387949:1402489:-1 gene:WBGene00055910 transcript:CRE04883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tra-1 description:CRE-TRA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYN5] MRRRDQYINLSLSFPFFYVLNSNHYVEENVPTSSSRYLLNSQNRSEQFEQQHQSSINLTQGVERLISVSHQNNNINFPVKLNTDNGGMMAPSTEDPETVVDAQRRGSFSKKKNGNGWSKAGLGTENDLAKNMEPLTDCKTAMDVDDQGSKSGKSYGSGSPLKEKSPSLGSATANFIRSSVAPSHQTAQNPLELRPPSPVNQSAATVTQLVGTAQAIQSSQPVVESSAPATAPSEDQAIAASSSNPVSVVPVVKFTNQTAPNGSTVATSVGQNVRLTVNGKRVGRPPGTFKRAPNHIASSNNNGDDVDVETANDLTCRWKDCMMKFSTLKGLVDHVQEKHVQSTEQEHHAWRCEWEGCDRNETFKALYMLIVHVRRHTGEKPNKCEYPGCGKEYSRLENLKTHRRTHTGEKPYKCEFADCEKAFSNASDRAKHQNRTHSNLKPYACQIIGCQKSYTDPSSLRKHIKAVHGDEEYEKAKKSRPPNYSNRRRPDPRMAPPTGSLSHPYLSTPHPMPSNAVPVHQNNFINLALAQHHHRAQLMASNGALMDPTTAVQVSQAHQAHMMQSHMMQQAHVNAVAAAQIQAQHQAMQVQAMQQAQVMQHAQAMVLQNNILSAQGLLNPFTPISPLLQPRPTSMMALIHHQQQQQQQQGQQLTPPTPTMMQLTPHTPITPITPITPIGSNGPMFTMPNLVVQPPIREPIRVDTVAPPTGVHPTNQSARPNFPSIPQQIHLHYANVATAEEEDEMSEDDEESLQPAQAIPASRPRDGSDDGNSGSGAGSSRSSASSGSGTLEMAGRATQSESRSSESGERGMRSFLIADILQLASEFGNDRIISDALNLSIFETRDINTVWHIYSLFDNACSRMDHFETGKRLPTWQEVRVLHSYYHSPHYNRNLFHDSPAARTRETLFWRAINSANSRREHQIQSISLNSEVDEGFEEYALRAAXXXXREPSLILLRKPAKLPKITEMVPIDQVQASQQIPPEPINPPAACRSSSTPFSVHRLLVEEPEFRQSLLRFVTIHVDNDEIKNNVSSDEFYKLPSDERRMIEEAMSAMDGMESAEAAQNQAVIEEPQESLFAEDQESSSNVPSDYSMPSNPRRRSCDSEHSEVVPEKKQRKH >CRE04883.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1387973:1402489:-1 gene:WBGene00055910 transcript:CRE04883.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tra-1 description:CRE-TRA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYN5] MRRRDQYINLSLSFPFFYVLNSNHYVEENVPTSSSRYLLNSQNRSEQFEQQHQSSINLTQGVERLISVSHQNNNINFPVKLNTDNGGMMAPSTEDPETVVDAQRRGSFSKKKNGNGWSKAGLGTENDLAKNMEPLTDCKTAMDVDDQGSKSGKSYGSGSPLKEKSPSLGSATANFIRSSVAPSHQTAQNPLELRPPSPVNQSAATVTQLVGTAQAIQSSQPVVESSAPATAPSEDQAIAASSSNPVSVVPVVKFTNQTAPNGSTVATSVGQNVRLTVNGKRVGRPPGTFKRAPNHIASSNNNGDDVDVETANDLTCRWKDCMMKFSTLKGLVDHVQEKHVQSTEQEHHAWRCEWEGCDRNETFKALYMLIVHVRRHTGEKPNKCEYPGCGKEYSRLENLKTHRRTHTGEKPYKCEFADCEKAFSNASDRAKHQNRTHSNLKPYACQIIGCQKSYTDPSSLRKHIKAVHGDEEYEKAKKSRPPNYSNRRRPDPRMAPPTGSLSHPYLSTPHPMPSNAVPVHQNNFINLALAQHHHRAQLMASNGALMDPTTAVQVSQAHQAHMMQSHMMQQAHVNAVAAAQIQAQHQAMQVQAMQQAQVMQHAQAMVLQNNILSAQGLLNPFTPISPLLQPRPTSMMALIHHQQQQQQQQGQQLTPPTPTMMQLTPHTPITPITPITPIGSNGPMFTMPNLVVQPPIREPIRVDTVAPPTGVHPTNQSARPNFPSIPQQIHLHYANVATAEEEDEMSEDDEESLQPAQAIPASRPRDGSDDGNSGSGAGSSRSSASSGSGTLEMAGRATQSESRSSESGERGMRSFLIADILQLASEFGNDRIISDALNLSIFETRDINTVWHIYSLFDNACSRMDHFETGKRLPTWQEVRVLHSYYHSPHYNRNLFHDSPAARTRETLFWRAINSANSRREHQIQSISLNSEVDEGFEEYALRAAXXXXREPSLILLRKPAKLPKITEMVPIDQVQASQQIPPEPINPPAACRSSSTPFSVHRLLVEEPEFRQSLLRFVTIHVDNDEIKNNVSSDEFYKLPSDERRMIEEAMSAMDGMESAEAAQNQAVIEEPQESLFAEDQESSSNVPSDYSMPSNPRRRSCDSEHSEVVPEKKQRKH >CRE04679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1416264:1420423:1 gene:WBGene00055912 transcript:CRE04679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wht-8 description:CRE-WHT-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LYN6] MQVYLIDEEKYEEFEPINEMIVNRSGAKLDPEPKFMISGLVADEEYIMKLRIELADEFRYKYRKNSWIPVAGSTKNASISRRTQAETSKEYGATWHKRVVQFEKIRITREFNEKRQNMAYVEPGHKYIPILTIFNVSTGISIDYRFDLLVFIAVKSYQSIPVRHAKRRNQLNCPLISLNSFEENEKPVNLLSLELSPKESQQMTSFYPTYSNRFPNGIGETSVPYPHNSYPFDYFLLYPQVQYPLNQQLLYSIPTSSIHYSHIEDQIVHRSIQDNKIVVEELIEDIYIPEFGWNSVLIPGCKREQIKENVYNILGFHHEIWSPQEMELENLYFQTEQVTTKAGRVLLNGVSGCAVPGEVIALMGASGAGKTTLLNTLLQRNLKGLDVEGEILVNGQNIGKGVTSVSAYVQQEDLFMGTLTVKEHLEIQAKLRLPPGTSKSERAKRVEEVMNEMLLEKPKNSRIGIPGIKKGISGGEMKRLAFATEMINNPPIIFCDEPTTGLDSHMSLQVVKVCVFPTRRSVIHDFQTLEAMALEKGKTIICTIHQPSSEVFEIFDKVVFLAQGRIAFHGAIDEAIHHFSACGYQVPDHTNPADYFIDTLAIKPSEAETCKQRCQELCDKFEKSVYHERLLKLMDQTKDVRAMTPHHSASYFVLLMALFNRYMLDNIRNPAIMKAKMIQKLFMGLFIGLLFYGLEVDQDGLTGYKGALFYYISELTYSTIFGIQAFMPADYPPLVREYDDRIYPISAYYIAKILSFLPIFTVDGIVLVLSSYFFVGFPINVVTFLRQMITCMIIEWNVAALGIAVCATAPSYAIAVTVTGPLLTVFSLTGGLFTNVSEMHVWISWVQYLSWFRYGYESLVVNQFEHEKFDNITCQALDRHGQKQLIPDSLCEESGRTVVNNFSFESANLYTNWIAMIYLTIVIYIIGYVGLVRRVIANR >CRE04680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1424253:1425436:1 gene:WBGene00055913 transcript:CRE04680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04680 MDSLESPSGIRISLSDKKTWNEFYPKTEMIVTKKKGRVIFPHLNYNLKGMEPGTLYTVHIHLERVDNIKYKFDAGEWQEFGKGEPVHPIKYKEHPDGARPGSHWMKETVSFSHLKITNDAENKDNKLILVQSMHKYRPVVTITKHGGYPGEEFRLALTEFIVVTAYQSDEMIKLKVAHNKFASGFRSNGKRRNSIDSENTSPGDVKRRSTSSESSSSSPLLVSPPNFDSIMNPMNPLTPIEFNQNPYPTQPIYPMQNQVFDFNSAYWNSYYQQQQAYWNHNQMNMMNQWSTGNPMNSENAHNGFGGI >CRE04681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1426082:1426671:1 gene:WBGene00055914 transcript:CRE04681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04681 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LYN9] MADKKSINVSAIGTSAGASIAPPQSHSNFIDAGTTSLLNKAGEPAFKLSLNTSKIEFKCTDDRKPASVFVKMHNPTSETVSFKVRCTSAEIFRVQPPLGFVKPNETVSIVIWYQNQDKRDEMFKSHYFAFYHTRSDGRTARELWTNSKAEGVRRIPASFISAK >CRE04682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1428559:1430600:1 gene:WBGene00055915 transcript:CRE04682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-18 description:CRE-DPY-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LYP0] MRLALLVLTLFGYTHADLFTSIADMQNLLETERNIPKLLDKYIHEEEERLVQLKKLSEEYAKKNEQSIENGMKDIVNPINAFLLIKRKIFDWKEIESKMNANKAGNVVNSITDDNYGVRYPTADDLSGAAIGLLRLQDTYRLDTKDLADGKIYQDQGNYTFSAKDCFEIARAAYNEHDFYHTVMWMEEAKRRLADEEEPSVEVEDILEYLAFALYKQNNLKHALKLTEELYKLNPSHPRAKGNVKWYEDLLEQEGVRRSEMRKNLPEIQNRRPDSVLGNTERTMYEALCRNEVPVSQKDISRLYCYYKRDRPFLVYAPIKVEIKRFNPLAVLFKDVISDDEVATIQELAKPKLARATVHDSATGKLVTATYRISKSAWLKEWEHEVVERVNKRIELMTNLEMETAEELQIANYGIGGHYDPHFDHAKKEESKSFESLGTGNRIATVLFYMSQPSHGGGTVFTEVKSTVLPTKNDALFWYNLFKQGDGNPDTRHAACPVLVGIKWVSNKWIHEKGNEFRRPCGLKSSDKERFVGDLGVGPEPRDAPNLSPVLAKDVWETL >CRE04886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1430649:1431999:-1 gene:WBGene00055916 transcript:CRE04886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04886 MHPNIQKSWNILKTATFFIVLVTLLFATSVINYLLTPKIAHLCDFYDNRNTTSFDVTREECESQRRATVLFIVFCLVRLISNKFEGFIGSKFLKTCSVRATFPGRHFGAALGFPFLTRSLSNSIRVASAPIFLVIIFASTSIAFYPYAKDFAKLSRLIYNAVQRRHYLYNRSMIYTFISIYFYFSTVLIELLDVSFGIYCHQTIFGFPVLFPNFNFPLFLFLLHTVILQHHRAMPAIIYEASFSNFSFRIYNFQVNNEDCFIQLNRMRCITNKDCPQMSTAFPLDATPSKTTTIQRRAFEGRIIQIRHYRELDDILPCKVSTQVRKVSTFSSRPPSYCVPPLPRDLPSTILDLE >CRE04887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1432840:1436383:-1 gene:WBGene00055917 transcript:CRE04887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04887 MREPLSYGAHSHGASSHEVCRNEAVGKFMEWFQDTENLEKRTKRLEIVGREHCGKTWFAKKLSEMSECVASHFCRPDEPQSSEPATVIRSIGEQLMRRFPNLVLPRLTTVTLLEDTLSALDHFLRLPLETMPSSSKQVFIVIDSVDEEETQILIEMLIDILPTWTRMVTTAKQKSPVDKEKNKNEILELDSIDDVDFNRFIRSKLPHCHVEEIREASEGSWFYVDQLSRAVEHGLIPSDVIPPNGVEKMMHLIARSLPGAFADIVRLAKTSRCRPTNQQLLAVSQMLIARDISVLEKEIRLIRQLIGTSQFSNSDSELFDVPDIWFYLVGEENLRCHAAWAEHFKTKRRKCAQDICELAYHLSHSTTNPINAIKTLQSVGASDLILRCHIIDLPTTALLKSAGARLEENNYDIVYACSVGDVSYLDAILREIKPIPMVCFPSLLFKSQFFQEICFGLLTAAARGNIEMCRFLADHFPQLVTSSCCGEWNALRSAACYGQLEILHLLLDKGVDVDECGHSDRTALRAAAWSGQLAAVQLLLQTGAQVDRRDSEQRTALMAAAFMGHRDVVSVIIQYGADVNAVDKSGATALHLNLSNGSKQDEHSETTQLLLENNADCKIEDGNGRVALHLAAYHGDPCLSFIFEKNPIIDIMDNMGQTPLMLAASQGQLTSVQFLTETAHADVDSIDNNGRTSLQWAAINGHSKVVEYLCGIGSDEGHKDNDGAVALHYAVTHDNVELVKPLTNKHTVEAKDRYGQHPMMIAAANGNLKVLEYLMEVSDIINQPDHEGRTTLSLAAMAAHTQIIESIAPKITDWSQKDPDGTPLIHTLILSNLIYVAEVYLTHGGSSSDSDAHGRTCVHVVSSTNDVAAAKMLKRIGGVNFEAVDRGGRTALMTAVWSRHVAISVFLLDCCAVDPNRIDRQGATALSIAAQIGDRDLVNLLLKFGAEPKIKDSMGRTAMDVALISGNDSIVSLLQTANGSSGSSGIGSSVPNSPMDASKQRIRQIRATSSLRSQRIDHNFK >CRE04888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1443867:1445021:-1 gene:WBGene00055918 transcript:CRE04888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04888 MRGCGQGKNHSDHSDIGSYNCPSNRDHHDSSHRNLHQNEMYVSPSVMMVMRHSERVDDCCPGWIEKCNKEGKYEPFDLNMPSRLPIQRPLKDYTRDTCLTRSGVVLAQMVGRGLLMTDNTPDVIYCSPSLRCIQTATWVREMSGSKSLIRVEPGLFENFHYPHGVPRFITQLQRHVFPVDKTFRPFLSLESVVGRQETNDDYNERIKMILNAIAEQSEVSHYEKQLKVLVVAHASTVDMAVGLLRDKPRKTVDMELDNIAIPVPYCSLAYLKKKNSYWIPSAHQIPPVTYEFLSTKYNHYFVHRP >CRE04683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1446911:1447372:1 gene:WBGene00055919 transcript:CRE04683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04683 MACKINFGVQLNSLSFCGPDNIAGLSKSNVKMASSSTGTIFTSIEMDKFSTDIFGCYDEIFIANTKIGIQSLDLETSKLIPVYSLNKKTREELSCSCYNPISENMITAVWCPDTRVTRLSKIDTRSPQGSHDLITVSS >CRE14182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:47378:50026:1 gene:WBGene00055920 transcript:CRE14182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14182 MIVQKNYLALKMYRIVPTIFRRNFKIISFTRQCSTNSEGLSVNSIEANRIMEQIVEDLKKNGTQFVIPGRDSFARQESAKVFEKLVENGVKSEFLLDANTKNASLFRTVVSQPAVSLEVIETLVNVGYVTFEDAIRLLALFPVDLLRHGATNITKNIEALSACGISTPRSIASAIKRCPPLLFARDPLEMQRLAHEIGGFFSRKQAGHLISRCPQILLKPIEEIEDKYEYIFYQMGVESEDVAECVGWIDDLSLDDLVDRHKFLLATGKFATPDPKRPQIRLENPKLQRILDSNEEDFATNVARVTMEEWVVFKALRVKETINEQKERKFDRVKPSKRKAYERRHKEKQELAEHIFDVSAVGH >CRE14181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:44481:46627:1 gene:WBGene00055921 transcript:CRE14181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-101 description:CRE-UNC-101 protein [Source:UniProtKB/TrEMBL;Acc:E3N1J1] MATSAMFILDLKGKTIISRNYRGDVDMTAIDKFITLLMEKEEEGSAAPVLTYQDTNFVFIKHTNIYLVSACRSNVNVTMILSFLYKCVEVFSEYFKDVEEESIRDNFVVIYELLDEMMDFGFPQTTESRILQEYITQEGQKLVSAPRPPMAVTNAVSWRSEGIKYRKNEVFLDVIESVNMLASANGTVLQSEIVGSVKMRVYLTGMPELRLGLNDKVLFEGSGRGKSKSVELEDVKFHQCVRLSRFDTDRTISFIPPDGAFELMSYRLTTVVKPLIWIETSIERHSHSRVSFIIKAKSQFKRRSTANNVEIIIPVPSDADSPKFKTSIGSVKYTPEQSAFVWTIKSFPGGKEYLLTAHLSLPSVMSEESEGRPPIKVKFEIPYFTTSGIQVRYLKIIEKSGYQALPWVRYITQNGEYEMRMK >CRE14178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:33774:35369:1 gene:WBGene00055922 transcript:CRE14178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14178 MDVYHSKRTKLTRPKTRKTDLRICTFNCRSVATDNRLAELLEETNRIKYDIIGLSETKRSAEVHMTNRDGTGVILGKRNDSSVSGGVGFIFNKSLMPKIKEIKIVSHRIGYITLQVNKNQCTIIQGYAPTADYEEEEHSNFYENLEDVYKSCKSRYKMVIGDFNARIGERKGNEVFIGPHSMEKRNDSGERLATFCEVNRVFHMNSQFIKPTHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHRMLRAKIHINAKQAKYEQVKRRKPPRRVLDPTAALLATETLDSCEDQDIDKEYDTLIHVLKNAQDAAVTMPNNHSRNRLTDNTRLLLTKRRCTNRSDPNFKTLSKECRQAVKKDHENFTKDRLLNAANQKRSLKKVARDINEYQSYIPCLISGETGEKLTSREKMEIEVRRFYANLFATKKPSATTTLPQQTEALPPFLPEEIQHSLNSFQNGKAAGEDKISADFLKSCHFTVHKLLAKKFSRYLKEGKVPTKWKSSKTTLIFKKGDEENLENYRPI >CRE14220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:32084:33240:-1 gene:WBGene00055923 transcript:CRE14220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14220 MSPSTQTITLSNGVKMPVVGLGTWQSSPEEVIAAVKAAVKSGYRLIDTASGYHNEEAIGTAIKQLIEEGVIKRDDLFITTKAWTNQIAPGKLESALKESLQKLQLEYVNLYLAHMPAATNDDMSQQIASPVEDIWRQFDAVYKAGLTKAVGVSNWNNEQIGRALALGLTPVHNSQVELHLYFSQHDHVNFCKSHNVSVTSYATLGSPGRVNFKLPNGSYLNINHFRKLNWAPAPSELQDANVLSIAKKNNKTPAQVLLRYVVDRGIAIIPKSVNESRIKENFDIFDFSVSPEEIKKLEESKISQRLFAQDFMIGHPEDAFVNERQ >CRE14177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:30343:31968:1 gene:WBGene00055924 transcript:CRE14177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndx-1 description:CRE-NDX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N1I6] MPLGKLDLVEEEYIAESGDHTPEASRPVDNGDAVVENTNGHSNGELRVRKNEPRVPDMQLGKCRYVRLHDNVNYVAAAIILRNQGDDTEVLLIQEAKKSCRGKWYMPAGRVEAGETIEESVVREVKEETGYNCCVIELLSLQVQGSGWYRYAFFCEITGGDLKTQPDQESLAAEWYNIKDVKANKVQLRGRDFIRLIDEAVTYRSHGPVESIPRVLPISQNVAGLFLEFMIVKHSRDGLRTEVLVHKSIKDETYLLEEEQPFPTVEFGFEYFFAMVVSKCYRHLLEEGANVVFTPSHVTRIKCHPKPMESLAHGVSVRVYCQHKQSATKAIIRSPRYHWITVDSLETRKRFHMEQKQFRPSLHML >CRE14176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:24740:26119:1 gene:WBGene00055925 transcript:CRE14176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-par-6 description:CRE-PAR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3N1I5] MSYNGYGNHNHHPPSSTLQVKSKFDSEWRRFSIPLSNGVTYDGFRSLVEKLHHLESVQFTLCYNSVSGDLLPITNDDNLRKSFESARPLLRLLIQRRGESWEEKYGYGTDSDKRWKGISSLMQQKPPKRSYSISNPEDFRQVSAIIDVDIVPEAHRRVRLCKHGQERPLGFYIRDGTSVRVTERGVVKVSGIFISRLVDGGLAESTGLLGVNDEVLEVNGIEVLGKTLDQVTDMMVANAHNLIITVKPANQRNTLSRGPSQQGTPNPSVDTTGSSATGGISRPMKMNGSSDGSYHPKQHDPNDSDSGDSDR >CRE14175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:21966:23883:1 gene:WBGene00055926 transcript:CRE14175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14175 MSHQEQQEQEIEALEAIYSEEEIHVACRDYPDIELSIELKSNQYDDPTDDDFDVSLGIEFPEKYPDEIPKITLSGIEDAFTEERIAESIQKLQSVAEENLGMVMVFAIVSALQDEIGELVEVKKKAKEEIKEIEKEKKEAESRKKFEGTVVTPESFRAWKEKFDAERKAEIDLAEKERLANLAGRLTGRQLFLRDATLNLSDVTLIGAQDEVEIDESLFDNEELEGLDMDSDEDDE >CRE14174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:19503:21775:1 gene:WBGene00055927 transcript:CRE14174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14174 description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:E3N1I3] MFAKRAVSALTNAVKVQNAGIATTARGMAASGAEVSKILEERILGTETGINLEETGKVLSIGDGIARVYGLKNIQAEEMVEFDSGIKGMAMNLDVDNVGVVVFGNDKVIREGDIVKRTGAIVDVPVGDGLLGRVVDALGNPIDGKGPINSAKRSRVEVKAPGIIPRLSVREPMLTGVKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTIINQKRFNDAGDDKKKLFCIYVAVGQKRSTVAQIVKRLTDAGAMDYTIVVSATASDAAPLQFLAPYSGCAMGEFFRDNGKHALIIFDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKMNNSLGGGSLTALPVIETQAGDVSAYIPTNVISITDGQIFLETELFYKGVRPAINVGLSVSRVGSAAQTKAMKQVAGSMKLELAQYREVAAFAQFGSDLDAATQQLLNRGVRLTELLKQGQYVPMGIEEQVGVIYAGVKGYLDKIDPSAITKFEKEFLAHLRSSQQALLKVIREEGQISPQTDAQLKDVVTNFLATFKP >CRE14219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:15902:19244:-1 gene:WBGene00055928 transcript:CRE14219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mcrs-1 MGDKLDSTIDAVASMSRSTDFPSSTKKSDVLKDEKRIEDHHINPEMSRKRKVDNTEFLARPDTLTDRKRWKIEEDIDVLEDSKPCASTSTTLHTPHQRESSSISNQSLEESDRLERGESSEISDSQKKRVDMERTRNKTSHREPSITESDPMKIWTASDDLSLITAVSHVSCLRFIHNSLPFSRKFSYSDLEERFCQLMYDEKLSELARKRISGMTERQRLHIESRTPFTRNEERCLMDSAEKRLKSQRNDKNDPDNHTILTIDHFKTILDDNRSTFHQSRTPQVLSDHFRRIKGYRDTSKESEKNSYEALESNKEVLQVDFDINVPMQSCRARYYAIARRPAFRGIQSRFQTSATVPDNAIAIIHGQFLQYAMTGKMVVMGRASMYDKVDIDLSKEGPAAKVSRQQAVICNVADGEFSIENIGQRPMYVDSKPLPQMVSTSLKHGSIIEIASLRLIFSIPVPRVLHPLTRQIAFQQRRTQQEKNNQNYQRSSPGGLGGPSSSTSKKPRPKPNPAGGSSTTTVHKSIRKTDFGEKEQLVKAVQEISQDP >CRE14172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:12499:13962:1 gene:WBGene00055929 transcript:CRE14172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14172 MDDDAEQDIFGRMSMTSFEEKLFGLVERSPMDTVIPPLRQREMCPICHRMFPSVHHRAWHQFVHLESYTGFLDKNYGTGDLPFFNFFVPCPNSDCSLKFIDFTDAKIHYLLEHQCCQLFCCGLRFPEYSMALHHLVEAENMEARASYRRHKHVINVRLSTNIPNIKFQLQPLYVCKCCEKVWSSRMEYTSHLQGKHNMCPDPMCKHLFDDNEKTITHFFEHHYKHLWMDGRHILLNYNIFLKYDKTQEERSIGTYHCEYCYRRFKQRSDLQDHVEANICVATDRKVLFIFSPAIPCKCGYQFVYCYHQRDILLRYLPIFREALEHIAREAPYSEMPEDVIQIYHTMLRVLADVNYRLNNEKYWHYQRTNSSKHSSKFSPKQISKILIGVVEYFVRIM >CRE14218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:9637:10377:-1 gene:WBGene00055930 transcript:CRE14218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-33 description:CRE-FLP-33 protein [Source:UniProtKB/TrEMBL;Acc:E3N1H9] MRLLIIVAAIAFIAISSSQSVAAYSVEPRLATFSDGSAAELARQARNNELEFIKRFLPAKERRAPLEGFEDMSGFLRTIDGIQKPRFG >CRE14171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:7280:8651:1 gene:WBGene00055931 transcript:CRE14171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ntr-1 MFEQQAINNSDPQPMEPPSLEIMLLHHLMIILITLFGNSLLIYVIYKNNKVLRRKRVTPVQMLMLHMCAADILFALISVGPTMAITATVPVFYGPNWLCKFTKFLQVIPMYASSFLLVAISADRYQAICRPLASMKSSAYNRPALYSAMAWTAAILFSTPQLYLFEKRDGDCHENYTEPYQYPLYVCLFNSVVWLLPSAIAGYLYFCVCKAVWKSTSFSSSLRNNMKEKMEHLKLTEKNGGMQAHHKGATMQCVELDRRRVQTVKLTLTIVAANFVLWAPFCITSVIDALWPSAINSTFATYIMFFGNLNSCMNPWLWFHFNRNQLRRACPCRKSSEPLIQSLVYVHVMTSEHSDF >CRE28646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2145623:2146914:-1 gene:WBGene00055932 transcript:CRE28646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28646 MFSCITSFIIVFSICLIDITDSSTVIVTNGSPVSYSSYSSLTFDWDACLAYCVNDEMCMVIFNNGSDTTCQLFKIGDLQSVKRRYSSEVKVAFKLNRDNSSTCPANDTLSGGGYVLGYNDTLRRQSYHGYSISYDPDNLMWNFNSTGVTMCSSAGVKMSIRPKGPWCFFLFNAALVTQEEAVSNCSARWSGVLSGIETPEEFQAVTEIAKNNVYESLVKYYESAAWIDGVRKPECVGNPTCSGLSAFTFSDPTLSSEPTGFLWNSNQPDGTTKDCLMFKINSDKSYGIDDELCTMRNSTISKIRLAGYLCGEVPKIR >CRE28354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2143256:2144469:1 gene:WBGene00055933 transcript:CRE28354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28354 MLYWAYFSIFLSYVLSVNNNKTIVLVWGVLEEPHSPHKTVNDWNTCVMQCLQDDKCVVIHKVSDNICLLFHLGNITLVQKANSSSGQIVGFKRTMNSCPVNIDAPLFGQQSVPENVTQNSFTYKYEISRIETNGSVTWKFEFNNSAQCQEDSFPLIRGQNQVCISVRYFSQFRSQTIIQVRLFESPNFCQNHNYAMEICKSSGATGLSGSFTFDEGKQIAALADSKSKTEPAGSYSQINFWIDGNRTAVNEFSLNDDTLNGTTGYKWAEAPAAVPLSSSCVFLGTQREFIGLVYIHQCTITSSPGVFCQRGAICRTEPLFFH >CRE28353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2136973:2141417:1 gene:WBGene00055934 transcript:CRE28353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28353 MKTMKLSGFPSVVQAEIFSSMELIDILLLSFCSKKVKERIERTMKSRFDKIISISYSSSSLEKASISSSNSNNNEFMKLVPRGEARNRSIVRMNLFGIDVECCMATRNHPFFILCNQQDGERMLQTIHNYFRGFFGSSIEYHLESLCLDYLPRLENIKYSYICFRNEGVGTQLWDGYLTVSPSQEYIRLNGSGIFENEQSLKLAQTTVLDIWITESRAGDILRNFKGRQLFITDGIVTDDDIIQFLNSWKSSQTHQKLEFLSIYRGEVNDLNPENIMRNIDIKQFDANQEIPKYRYDRRKGWGKCDWKIEEFSSPNFIIRDADQHVASLRITTRGIQLASWRMTEEEVMRGRIQKNFQKTPLQKADPPRDSIEVSKFSWLIQREILSSMELVDLLMMASCSQKFYQNIKSLMRNRFDKIISITYEWRPPSYINISSSSSGDNPFMSINMRHELRGRPLIPMNLLGMDLEVSMQTRKHLLMVLYELEQEQTLLPYIHDYFLDFFGSSIKYQLNVNNLTPPFSKLKNITSTDVASDLGVADFHDFLKISPNQDFIRLSELESALLGRNLEFARTKVLEIETMSSLADDILSNFEGRQLFIDEGVISDTAIIQFLNNWISDEGYHNIEYLSISVTVFRHPLNPNQIMNRIPINRLDSSDKLPVYQFARKGHYRKCTWGVHKFSSPDYIVRDPDQHVASIRIAENNITFAAWNMTEKEFLEKRPVKRFY >CRE28352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2132410:2133653:1 gene:WBGene00055935 transcript:CRE28352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28352 MFLKCGDDEPFLLHSGLSYFQGCNSSFFKVPMDLKKDPKKLPLLKLPFLAQRLVLFQTEPIEIVEMSFLSKRLKRTIQSSKPRLEFINYYFTIPEKMDISVQSSLSTQNQVLFNFKKELENGQKRMMNGKETLLTRNRQSFTLKCEQKVQKSAEKCEFLIQYLKDLFTVNNTCLQCYLIFPKFSFYERIKPNQVELKKVHLSVEDGRKLLGDHTLERLKFDESKVLTDGKQHFQLNVRSLFILQKNEWLAFSSFLTMKCEVICLTLNTAPIDPTDLSSLVLAWYNGKLENLKIFEMTLDCEAMEESFRNQVFAILDGANVVSIDQPKGRKIIRKDEEKAGMYFFNYFHFRKILK >CRE28645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2130587:2131825:-1 gene:WBGene00055936 transcript:CRE28645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28645 MSKFPLFQLPGVPRTLVFQLMDPEQVIELTFIYRAIKETVSLSKLRASHFLLLLHNPPCNSIKIVIQFSENSINFTLIAKIEYSEEAFSSWEIDGNPVHGQKLNKTNFSLFSGKTYSDQELVVKSLTQHFHEIMRFNDYSFRAIQVSLKSIMSNFIWKHTKKFRDFVYYQNEIDTSATSKELKFLLEEITVNQLELRLKPEIHPTVSKLKLKHSTMQLDYSLSIHFDSYFDMKCEKVWITVSIVNSEDIVNFVRNWLDGNLENLQFFSVEIRNDVFDEDFIFSKFKQFMIEFPPDHPKHNTRPNIRDIKQSSGKSARLSFYLKKFEFEVE >CRE28644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2127009:2128053:-1 gene:WBGene00055937 transcript:CRE28644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28644 MSSSPKSVVITGANRGIGLTIVRELIKDKNIHHLIATARDVEKAEDLKSINDSRLHILPLDVVCDKSIDTFVAKVAEIVGSDGLNLLVNNAGAAFEYRTKIEPNRATLAKQFDVNTISLVILTQKFLPLLRKSASKVSGDKLSISRSAVIQISSGLASVSQNNYGSDLIPMLAYSMSKTAVNQFNKTFSIDVKDDHILSVSFAPGWIKTDLGGPNAPLTLEEAVPVLVSSFYKLDNSHHGGYYQRDLTPTPY >CRE28643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2124507:2125647:-1 gene:WBGene00055938 transcript:CRE28643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28643 MTTKPFLLWKLPHVARKQVLDYMDPMELIFLTFMSKHIKYSAFGLLEADKFSWTVMNNLKVIEVISKDRTNRKFKMNFQITQVPPNNKTIKLKNRKIPFKASKKTFNLKSEKNEEDNISILDDLTEHLLVIFKIAEYGLHSEFNLFDSPIFKNTKRFSELQLNNQDLTVEHTRTLLETMEIDFLTLYLTRPKIKKGPEDFQLKHNIMKLGNVDWLKFSSVLNMKCEELILGRMNHITQKDFSDFVRVWSEGGFENLTSFYVQVPHVWEQRDIDMSYLWRNEVYESFKSMDLTIVKATRNGDEKTIQRNDGKRAEILFKNFFKFKVL >CRE28350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2122370:2123853:1 gene:WBGene00055939 transcript:CRE28350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhhc-2 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LNE6] MSSPVTSPSTSSASPSDSAEIVPRAQEYHSSEPHQPPGPKQKKYQTHAGRNRFGCGGRLVCSRSHGAFVVTVFLMIATLTLYFVFDAPFLWDYSPAIPIVAAVFSITVISNFVATSFTDPGILPRVENIEIIEMDRQMGMTNGHTNDPNVQRPRFRDVIINGEHVKMKYCTTCRLYRPPRCSHCAICDNCVLMFDHHCPWVGNCIGLRNYTYFYRFVFCLSILVIYLFACAVTHMSLLAQQMPFGEVMRKTPGSVVVIVVCFLTTWSIIGLACFHTYLLCADLTTNEDLKGLYRKKHRPTPPSSNASVNPGNPTKNPFYTGCLKSFAGRLFKSRFPSVLDATGFVDHQPTIEIRVPIEHFTEKSGTNGE >CRE28349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2108216:2113479:1 gene:WBGene00055940 transcript:CRE28349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-1 description:CRE-CED-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNE3] MRLILSIVLLATWQVVHIDTRAPTFPDKLHTSTTSEEPRGDHVCTVKTIVDDYELRKVIHNVVYNDTEQCLNPLTGFQCVVEKRGQKASYQRQLVKKEKYVKQCCEGYYQTKEHFCLPDCNPPCKKGKCIEPGKCECDPGFGGKYCASSCAPGTWGLGCSKKCDCENGANCDPELGDCICSSGFRGKRCEEECPDDKWGPNCLKSCPCQNGGKCNKEGKCICADGWGGEFCLNKCEEGKFGADCKFECTCQNGATCDNTNGKCLCKSGYHGALCEHECSVGFYGAGCTEKCQCLNNQDCDSVTGECKCIGWTGKQCDIGCARGRFGLQCKLKCTCPGLEFQDSNASCDAKTGDCQCESGYKGPKCDERKCEPDQYGADCSKQCTCIMANTVMCAPNTGFCRCKPGFYGDNCELACSKDSYGPNCEKQANCDWDHTSECDPSSGKCVCKAGRTGANCSEPCPIDFYGPNCSQQCQCNNRGVGCNGSDGKCQCDPGWTGHRCELHCPADTFGANCEKRCQCPKGVGCNPVTGECTCPPGLQGAKCDISCPEGSYGPGCKLHCKCVNGKCDPKSGECKCSEGFSGADCSTTCPQGKYGDSCELSCTGSCKDAHCSKQTGKCICPLGTKGVSCDQSCEANTFGYQCQQTVTPSQCASTDPKNGVCLACPPGSSGIQCEHNCPIGLFGAQCAQECTCADGHGCDPTTGECICEPGYHGSTCSEKCPEGKFGYECTQDCPKCGPGSTCDHINGQCVCPAGLEGALCTRPCSAGFWGNSCRQVCRCTSEFKQCNAQTGECSCPAGFQGDRCDKPCEDGYYGPDCIKKCKCQGTATASCNRVSGACHCHPGFTGEFCHALCPESTFGLKCSKECPKDGCGDGYECDAAIGCCHVDQMSCGKAKQEFEALNGGGKSSGATWFFILLIIALCGVLGLVALFYRNKYQKEKDPDMPTVSFHKAPNADDNREFQNPLYSRQSVFPNTGAFGTSENNTGNPTGLLTLEEEELENKKIHGGRSSNRNNDYASLDEVAGEGPSTSSGINSRRGVNSSSDEHHRRPLLHPEEDDEEFDEPNPVYSNPHHAVVTCCVYCCRVSDYTQLSPLLPHLVKNPYAHISSPDPISQNSANSKRAQDNLYT >CRE28640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2099120:2101795:-1 gene:WBGene00055941 transcript:CRE28640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-27 description:CRE-DNJ-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LNE2] MRAILLLCVFITCYLVSAEDYYELLGVDRDADDRTIRKAFKKLAIKKHPDRNTDDPNAHDEFVKINKAYEVLKDENLRKKYDQFGEKGLEDGFQGGNNYQSWQFYNDNFGIYDEDQEIVTLNRADFQRMVSDSNEIWFINFYSTYCSHCHQLAPTWRKFAREIEGTIRVGAVNCAEDPQLCQSQRVNAYPSLVFYPTGEFYQGHRDVELMVDFVIQRLKSEVLHLNSENWKALSEDWEPYNRLPWIVDMCGGDNIECLSSNTRRKLSSMLDGLANVATIDCNSEETLCSKFDSSPGVMWFPARKLEKKSQINIESMDAQEISKKVIEYLDELPMIEPESLQRLLEGNDPDEAIAVWMLANERQASEKKDFRRLPALVQNQIFSFDCSKSSEICDDLVDKTKLPQFIVFKTTGGYEIDYAGAKDFHAASTFIREASNSHIHVLNRDSYEYAISGGEFYIIDYFAPWCPPCMKLLGEYRRFHISTSEDSILHTVAIGSLDCVKYKDLCQTAGVQSYPTSIVYTPDGKTHKMVGYHNVEYILEFLDNAMNPSVMEMSPEQFEELVVNRKDEETWLVDFFAPWCGPCQQLAPELQKAARAIQSFDDNAHVASIDCQKYAQFCTKTQINSYPTVRMFPAKKTKQPRRAAFYDYPNHMWRNSDSIQRWVYNFLPTEVVTLGNDFHTTVLDSTEPWIVDFFAPWCGHCLQFAPVYDQIAKELEGKVNFAKVDCDQWPGVCQGAQVRAYPTIRLYYGKSGWSRQDVMGYGIGTQHKEQFIQIVKQQLKLNEEHDEL >CRE28639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2097592:2098853:-1 gene:WBGene00055942 transcript:CRE28639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28639 MICFIPKREVIATMEVKNNVFQNTTQDYIARSLNATEKAIELIRDGDIVRRHVTLDIRDMHISISEDMERFKGMLKELEQHIDRERIGNTRWMDRKVAAAKAMADHALSSTLMVKDVQCLEKKVNILKESVIQMNKSYMNYEKNVDLDDLKDQVTEMVRRVENKEKEAMDAVGTDEPSIEQVFRGAIEGLYGLQSKNPKLMEEAKQLAKDLSIFRDAAANRNFYDMISSAQSTKTSSGISTSSVDKSSVSTEKPFSPEATSDSISSTASSSSRLG >CRE28638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2094410:2095017:-1 gene:WBGene00055943 transcript:CRE28638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28638 MNTPTSSSRASGSGLTIPPTTPKSSGNPRHHGQRTPNGGLSRQRKAQSSSPQSLNPFASSSVCSSPSARNVPLPPAEWLNQLQVPVDQESRPSSSISNTSSASSSTSSLSSSGIMESSPPSSFLSRYEKSSGPSDLRSIGAKAGGVRVCPLQLIAAIASA >CRE28347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2091602:2092399:1 gene:WBGene00055944 transcript:CRE28347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28347 MKSIRTTNITTSKAVIQKPPRHVILGKRKRRGNVRDADVFYDFLASFCHVVMERCSPNTEDWNKMKFGADYEHLKCPDEQFERHVEENCQLAEKYITSGRLLAFEVCMVTPTENQLIGFRITFSQMDYPECRRIRNLYERLSIILENIRTMEITENVDSIMNSCKYRPRIRLLTRVRTASKRKKDNSTAGDLKISVPIADSLPLVQNLLTFYAFM >CRE28346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2088777:2090890:1 gene:WBGene00055945 transcript:CRE28346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ksr-2 description:CRE-KSR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LND7] MKHLLHYQNVLSLFSISQSRASTSSATTTSSIFTPAFALNHQHQPNNATTSASTSSEVMVPPASAPPRISIYHKMVKSKSKFRQCDVCERFFIFDFVRRQQLDDVFACNVCGIRVHEGCLERLKNDCKMTKQIMGGILENAVIQSNKKQWEEPTPAPTPPPPTLSLQQVLVTSPTDSSLATTSNTSPNDFNYERNVHTNNINPMMTMTASTLDDIATIYSENLDSENTDYERDLMQWRDITIQLSDVHIKTRIGDGRFGTVHLAEYHGDAAVKFVNMSYLKDVDRKIEVFTQEIVSAYKNSRHDHIALFYGYVADLPTNTYAIVTNFYHNKTLYHLIHEQTSDDFDQSWIFQVSLQICQAMSYLHKKKILHRDLRSKNILLDNPNRVVVADFALMKLERLSNPKRDYTLCIPQHWLDYLAPEVAGNLMLDWRGDVMFEHELLFTSESDVYSFGTIFFELLLRKMPTGCDSWEQKLYAKMCGQKAALQRLDTQLQKVDSKFHDLLMSCWSNHPEKRPTFTHIVKRITSMMPRKESNKQKRRSTAHENPLF >CRE28345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2081798:2084859:1 gene:WBGene00055946 transcript:CRE28345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hrp-2 description:CRE-HRP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LND6] MSEEVKQEVKQEAEEVKVAATAAVAVAEAKTEEVAPAPEETPTDTVVATTEITTDATAETATNGAKPAENGSSGEPSKYADTENYKKLIGLKMKPAIADVVCEIYESGLLSPEDLDDRAVDIINSVNLEQAKFIFSEIKSSELFGVTTKSLYVTSLIRSFKDRCRQQGANAVTSGKLINGPELESLKKMLEDTGYQLEVTIGQRKVGGPPPDWDGPITGPAGSGHEIYVGHIPTDLFEDTLVPLFAESGKIWDFRLMMDPMTGASRGYAFVTYCEKEHATNAAKKFDGHEISTGKPLKVNVSIANTRLFLGNIPKTKSKDEILEELKSHAEGVTDVIVYSVPDNEKIRNRGFCFVDFIDHKTASDIKRKIAQHKIRPFNADVYVDWAEQQEEPDEDTMSKVKVLYIRNIKEAVTEEKLTELFKEYASLDRVKKVKDYAFIHFNERDDCMKAMEQWNGKELEGTVVEASLAKPPQEKKKKPAMRGRGFGGGSGGGNQGGRGGNHHRGGGGGNYGNHGNHGNQGNQGGYYPNQYGFNNGYDMPMPWGGGYGGDMGYGGYNGGGYGGPGAYGDFGGGYGGPAGFNGGGFRGGPRGSPRGGGFRGSPGRGGMANRRGRGKRPGDGRGGPASKRDNGKPDFTADVNMSTF >CRE28344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2079826:2080674:1 gene:WBGene00055947 transcript:CRE28344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28344 MHIEEQISDEGSVEQVENFYPKQVIWGQKVITLDTFYASQCRGITKIVCIAMCFFAIVLVCGSQPVNDERGPALFFCFLGIIVSFGVLLEYTFMLNTHITATCGFIMEAILYVTVSVGLLVSGILMWVFCAAHWADMNPDWATMPALATASLFLCAALYFVEVCILLNDARRCSWRPPQTTSSVLPH >CRE28637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2076089:2078475:-1 gene:WBGene00055948 transcript:CRE28637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28637 MFVLMFGFCIIVYLLISKSYKWENCLKDSKSEENIEDTGEIMLNGFRLQDLKNAPFPPPGPFPLDMPLPDNRKTLQISMFTAKNGRGLGQMLFELSALFGISKQLGRVSTINRGGGVWNTVTNAVTQHFPVFGSMFEMTDIEDSAAINVNLNIRFCCKFEDPRNLKSVEQQHLLLNGVYFQSFKYFHDFQSEIRMALTPSPDSALRAELMLPADFRDDFIICVHTKQPMIQDSGLSKPSDPIFTRRATDFLVSKYKTPSKRVTVVIFGNDPIWSQTLFHDKIGKSNYFSKFNDSSDSILPTDSPDYTALLTLGLSAIDDVAFSRNFCDSILLTAPTSSFGWWLGYLAKDTAEIYFRYSGFAELLFELL >CRE28343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2073320:2075576:1 gene:WBGene00055949 transcript:CRE28343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28343 MVFGEKKQGVISGSITWKNKEYIEIFDKDYKYIYGEVDDLFIAHGAWVEYNVVDAPADHPKNCFKEARNVDMEVKFSNAPTTDEFGKKKMDVRDFNKVDFFHYLPEEGLLTHKSTIRRNFNTGNYFNDYYGDVIMSKDSRNRLIQFSINKLDVMLNLIKSHCYTGSGPHWEVTHFINQGKPYSINGYLSILGLVLRGPGGVVRNRRDVIIPPDSLRLKDQKRNTQTTYDSSWEEYPNASREKEDFVGTTTQRGIGLHEESNSSRTFTPPYEAGGSRSLEPSTLGSTEYSQKTSSGFTHDIRDSEKTPTSPGLGDKSFGSFGVTSKATSSQMNHSPRPVETYPAGFGRSFGTQNSELFSSENRQLGFRASLGSFGASSGSFGSRNTRGLSLGSFRAPPAQSSVKFPTTPVFDEDYEVPELASEAPSFQSFEHINEEQKEKHIPESPVSKDDDNWGEVSDFRLGTRPPSSNRSHLLPPRAVKPGLSRFSNAHF >CRE28342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2071891:2073009:1 gene:WBGene00055950 transcript:CRE28342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28342 MGQLYLFVNANQTEYLNFQYRFDYFSIYAILSALYSIPSFIIIFKMIKFYIFDFKTSSETIKSEIFASFLVMQFFLLFSLISEFLIFRIPVTSVLTPWCASGNRENVIKYWIFFYFFSFALRELSTVFFFLLRALYLFNKENLARIVFRMSLILSFIWSFALSFPRLSDPSACVQLPYPYSFGSLGISADIETETASAPRRVGHFVLAVIFFVLVILNVMVFAKIRERKELASSLNRNYDVKAERTLKATMMLVITPIIITYLMIIGETFGYNVACVLDSLFQIFLDARAHVVTLYFYFTHPMFKKK >CRE28636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2068560:2070212:-1 gene:WBGene00055951 transcript:CRE28636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28636 MSNKAIIEHSLKAIGLPYKGSDPNGSYIQQWDADLIEFTKNIEKFYEDNRQTDGEFAKKLQILKEIEDYLIKQVFNKRINERLYEIKAVVPFGSSNSGLGMKGGDLDLIICVYPPLRQGDEVEMKRRTNDILTVIHEKIKSKEMLRNRRFEDLEHREKARVPIVTGKVDGIDLDISISMTQLVCAQYLSSKYIDAYEKYDRRFILLAAFVKEWQNSEKEGKDDEYCRAVFPNSCSTVLLVTFFMKYHNLLPHVTTKHNGSSLTEEVCNDRLGEAYVTWAKVRQGENGAFGAQNLEVNNWKRSNFCQVSVGTLFLLFLHFYTTVIDFKTQRLEMERATAKPKSGNDAPNQIVIEDVIDKQNPAGSVTDIDAYKQYLQKALKIIKTVKGKDIFDRLLGED >CRE28341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2066378:2068401:1 gene:WBGene00055952 transcript:CRE28341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28341 MLQRTDSKYSASLRSVRCLSPVLIQISSSSGKTRNDSVRLVPAIKWHAFRQMDQYNSLQLVLKETCIKNKTIGKVIEILECELQQQHLNVQQIGRIIADGMLEKTLNDAMLKELTRKYAKNVHSMANINIWSLSDDLSKGAIDSRLVVIGNAFLWNLLKASMSTVRDKFEHYHFKMTTLAATTASLSSNTPIEFLTTRVSSGEHVVEDDSIFKGMDLLDVYNSVVFRKTGDLQSRAKQFIKCYLAIATQPKCAIWHYSLRQSGASHKKWLQNIPEHVLASLLHFLLSLAGLGSVELEQEEEGREERGRFFMSLGDSTDVREATFASLKLSREQLVSKFRQCITDALNETREMPYNTVTRDLMAPPRGKPIDNHHCVTWRQYESLIRRCESDPSKENIEAKQDLDYKLMLTFHHRGEEDEVDIDVEDVK >CRE28635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2064634:2066151:-1 gene:WBGene00055953 transcript:CRE28635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28635 MNSTTEIDNLKREFIFTLRKKFPRDSSSSIAIMTVNLIRKIIFRENPKTMTDLQVSLANHCKRLHAAERSELIIINIGLMISKLARDEVLQKRGGGEPEPLYTLWRDDDETTERLRTADMKKIRKDLQASIKELTTEIESSRECIASQSTELLFNNDIVMVHSLATSKTMHAFLTHAVKSGRKHRVIDIVDEEEQLESAPDFVTPIELYEVASRMPETSKVVLVAAAYFPDGSCLVPAGGHQIALLAKRHCIPVYVLAPFYKLCPFFMTDPDSLHSFRSNTLPFDLSAQMTGHVDCLHPAFDCLQSDLVTLFVSNTSCIIPSHVNRLKEDYYHSQDIADY >CRE28634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2062907:2063857:-1 gene:WBGene00055954 transcript:CRE28634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28634 MIFLTFSFYFLTYRVISILQFVLVTYIFFSFIYQLFFGKTQMLIKFGGICFAECILFIFTRNLVRLQYNLNTSIFLFIQYVFLIFHFSFYLHSNENSNRSFFSRVFGIPTVYMISSLVHFLLVIQSIELVGESNKLDDYSDKIIVEGLEKHINDFDAMKAILINDIFKDEENLKVILSVRDQMGGPKSLRGTSINTEVSRKFKMRMDERSIHLSRDINTVASVDASKMKRKKMRENSKTAPEVSSSYPVTSKYNDCLKVLNPNLIMIS >CRE28340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2059965:2061839:1 gene:WBGene00055955 transcript:CRE28340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28340 MKMMRWNRILPILLGVMLISKGSVNGQDDDDWLIGGEPEVTNTPTYDYRQDAETTPYYGYDDEEETTEFNYVIEEATESDNGVEETTEYNDYAQTRAELDDEDDDVPTTEEPVTSGLDDYTSADTEAPEFQFGGSSTEDPLGPIPTAPQAPVDPFVTDASESITDETTVEPIKPTPFSFPFVTDAPAGTKTEETTVEPIQTTAKDPSHIFGKNATERTTAIQTTEDPWFVPFGTEETLEETESTQAPATNATPFMVNVETSTDASVDQPTIVGNPQVIQRGGAPRPHNPIDPAVLESLPVKSKTNLSLDTLPDGRPIKDLGEEFEVVGAGGVAGGDFSKIIANKHGFYVLHPVQTKAKDIIFDPYTIGQVLGYLYEFNEFGGQRPKDGWTAELMGYKIPQLIKKKRSAGIRRATLVFKKKPEVVAKEEKEYWKQFIASI >CRE28633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2050576:2053954:-1 gene:WBGene00055956 transcript:CRE28633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rga-2 description:CRE-RGA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LNC6] MISSNSSSTSLNVETDYPTNGKKPLKEGSVQLTSLSTLLSHHRYFFLYHETLIISKQKGACSYKLKEKLRLEKVWIASSNTADSFLIGWPFTNYLVHFRNTEEKDEWFELLSCCVQQCLRPLFTTISMDINVRGRKQTIRRRVDNGKKSGEIVVDTAGDLGLPHTSYQLTLSVGDNSHRQLQGPENVYVAIMSEIERQGTRLSESQKQSLDTCPIANCRLILSTIKSASGPSAKQIVNSIKKKVLTRTDSRSIFGKELNGPTPPQPIMTIVDHLRMDGFDAEGIFRKSPKQSTFKELKSELDKGVVPDFHKYNTHVLASILKEYLRSIPGKILLSGNYELWMREISDESVFERKITSCRALLSHLPTSHSILLANVLKLLNKISNSPTSKMNASSLSVCLAPSFLESPDPMEGGKKIPPLVEFLISNAAQVMPGFSTDNVFAIMSSPMIDHNSNMICSPAPSSSHSDDGGSSQKTPIIEELNEADDATSQSSLAESDLPDEPRGNSVHLETISEISEPPIHDERLLFSSSESEYEEEDEDELRSYRPQRSTSSTSTSSCFVRTSFVSETLKTSSDDVDKGLNKETPRSPCLKRIHFQRTEAQHRNKEGTVKLDFEAMKFHHHQRPSVRKSSLEEVARKNSAEFLSYHHSREPSDAVTPTPPKSMNAGTPIRKESREEATQTADCGLPNISASDSRFPAFKSSSVAMLTETYKSPSSSSSSSSSSSTSSSSTIPSDPHPRPYFLKDEYNQPSSKPTVQVAPFSQEPSLKTINDRLNVLRQNSIILASSNETTVPPEPSMSTSSRFRSDVTKSLTNSPIMPRSYGGSIMQKDREEHCFVPDDFYKAPVKKPELQRSSSQRGLTNNNNNNKQILASRPSSVKTARRFANGGIEACVGMDPLEINWSVSQLKTLFQDTKAPAIDTVYNMT >CRE28632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2048404:2050068:-1 gene:WBGene00055957 transcript:CRE28632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28632 MKNSGSKESGSNCERNKSTGQARKKGKGTQRQTKRRPKGQKTAENEKEKPKGKKIKSSSTTISTDTNKSSDKNHSKGSPDKDYNDETSNVSTNAKSSDLSKEDTRSPNLITCQEMKPKSKPKSRRISIEEEDEAKEKKEKEKRTERTVDQTAAEKTEKEKRTEITIDQTAAEKTEKEKEEVTEKEEKKFNMHLAQLFFKRMMDAQRTRKTGNQKRDQNLEVMPDRGWISKPIKVARKGPRLPRKPRPLDTTIFKPSGEPVWVEFELQEEVLSTNGVKTKTPQLQEALEDSDLELDDGKQWVPMFEEYSNGRFDDGKFQFSPDELNPFGTFKELEKRSERFSRPVSIKYYTMKNLIFMSKSAIERSSRLLGPLDQTPIEELRRKEADSHFQKPSKEEEEEKEKEKTCVVTSISFNTESTFSISYDRRRPILSIQKFRKKYQERLRRSIDKKESREGTSKEGASREGKSRENT >CRE28631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2046269:2047822:-1 gene:WBGene00055958 transcript:CRE28631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28631 MDTTSTSVAEMTPEQTIREYLQKNPIKLSPWEIKRYFSNEANVSEEYLECSLEKLKEVSPEFEENHEELIKELEKNVLLVVPMIKKIPITTLLQVISESVSNIQIFPFLPDFPKPPAAKLLDHIFPDNSLSSEEKITEYEQIAKNTTRILEYLISWRDHVAKYVDGLESFLEEYDAELTEEQKERIGQMIMKSKKTIPQINNKIEQKKRDSAEELREFEQFYRVVSQEPKYSSMNPSVARSQIQIVFDESDHSLRRIVARMGEEIEENGEGVEEKFIKEEEIDEEEEKTEMTRKRSADEKKKTANGKSIDFRRKSRVDLASNRNLSSNEEVESGNMQPTSPKRRKSVRFGC >CRE28630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2036793:2038544:-1 gene:WBGene00055959 transcript:CRE28630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28630 MFNTNVRSSYFLMFRVLFPRLTVSSLDSSQGHFSRKMTASSAATSASSSSSTSTTTITTTMMPDESECLKKEEERFKSPDPAPTLDEEVDIATLPSMLEDDPNGNVVECDLGFKGPRWGPQHAGAMKLASMYSKEKRLQEEVSLIFAIFFFITVFVNLLVSWETSIWKSVVFYAVLGVMTADFLSGLVHWGADTFGSVETWFGRSFIRPFREHHVDPTAITRHDFVEVNGDNFMLCIVPLGLILYQQLTYERAELTQWASFHWYILLLGIYVALTNQIHKWSHTYFGLSKWVVFLQKAHIILPRSHHKIHHISPHACYYCITTGWLNWPLEYIGFWRKLEWVVTAATGMQPREDDLKWATKLN >CRE28629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2034749:2036018:-1 gene:WBGene00055960 transcript:CRE28629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28629 MLVLCSLLILIARTIPWILNPSVILTKERVQKEVPTVKPIGLIVSESNEKDEQESRITTEGSSTLQTIIVVTPTYKRLTRVADFTRLANTLSHLSNLYWIVIEDGPETVPVVQKMLERTNLNFTYMAHPSPPNYPNRGWYQRTMALKYIRENYTNFMRSQNGVVYFGDDDNSYDLRLFEEYIRKVNKIGMWGVGHVAGSLVESPRVSNQKVVGFDAEWSPDRYFAIDMAGFALGLQLILESDAVFRSSCPSGTGALESCLLTDLGLNREDVEPFGFEKENEREVLVWHTKTLIPDIYEFRPSAWNWLFPKPPKTNGYFVEY >CRE28339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2031123:2034079:1 gene:WBGene00055961 transcript:CRE28339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28339 MISIENHMHQQQSVGPTQMNLQGINSGEHPGMIGRGISNELPTAYVTSTPLPLAKAQQQQQLHQMQQQHQHQQQQQQHHNQPHHNPGGAPRMMSEEDKAENEKNAIISSLFEEIRLEATLFGGSNSSLDVKQEVSDDGDQPTELSETAPSSGVNKKLKKRKSELALEMTADDVDDILGESGSSNFNPKNPFGPSPSGLSNGFADLGSPGSSSFNSSSQKSSPPLSKYSQNNQGQRNSPPVQGFPNMSPYMTPQMNSPLHPQLQQQNSVTSSPPVAMHSPGQVPEFKPTIINGHVMNPLAGHPPLPHHHHLPHHTRFLQHQQSAPNLRLNTPEDAASQQQQQAQQHQQQAPQLFPYHIGPMTTMVINGQTVPFDRSLIEEFEMCVRSVLMASHRVYCRHVYRQLTAEVSELYARVAKNQVPKKAVKKIIAARQPATHGERMELERQFKCLSDGLESRQSAQLYESILNFLYKMRVAIPDASQ >CRE28338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2019897:2022788:1 gene:WBGene00055962 transcript:CRE28338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsf-1 description:CRE-HSF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNC0] MNPNQPSQQQQLMRVPKQEMSRRYVQQNPPNRPSNQRSNGHSGDRGSKNGKSGVSSSSVMIEEVPNNAYLDSLNNHSNGQYKVDEDKLPVFLIKLWNIVEDSNLQAIVHWDDSGASFHISDPYSFCRNVLPHFFKHNNLNSLIRQLNMYGFRKMTPLSQGGLTRTESDQDHLEFSHPCFVQGRPELLSQIKRKQSTKVTEDKQVSEQTQQSLDIVMAEMRAMREKAKNMEDKMNKLTKENRDMWSQMGSMRQQHARQQQYFKKLLHFLVSVMQPGLSKRVAKRGVLGIDFGANSNPNGGGPNSKRPRMNSEEGPYKDVCELLESLQRESQEPFTRRFTNNDHEGPLISEVTDEFGNSPAGRGAGCDMFPDPFCTERPPSSRYSDAPSSREQSPHPIISQPSSSQNMNGTNGNFDHKPHEMYMGSGALTHENIHRGAAGAKRDYQGHQMTSPVGDNANGQSTSSVSGKIGQKRAPYKHATRHMGQNQVISENFPTKTTSHFQVSQDYQGPSNYGYVQNNIPAQLTYQPAQQQSQNAQKLMAIEDQQSMDPHQNLYSPTLGLSPSFDRQLSQELQEYLTGMDTSMDTLRDMVTKHNWDSFGDNLPLDDDEDEQLYHHQQDNGTQNGQSGSQGQYQQYPLALKNVPESSFYDEAGDLLDPLLTDNQFPENGFQVPDANYMPLADEEIFPHSPVLRTPSPSDTNLA >CRE28337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2015556:2016763:1 gene:WBGene00055963 transcript:CRE28337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28337 MTTEPLPILLFPTLVQKLVIQEMDPFNQMDLSKCSIRCKNTVKSSCKNQWSAVIKIRNHINIKLYKKNDSSKYWNIIITDKNPSELPEVSPEFQEMTRIIQSDDEMSKMEETVSSHHDILKLHSIAMAFLEFGKHQNEIERVLKFLNTDLPKIEMVYLGIKDPVRNLTASEYKCLLENSSSIENIHIETEIENPDKLPVTLNVNMFSNYSRWVRLEDIHSLNCNALMLKCTLFKNDNVVEFVRFWLNGGNPGIREFIFQMENIDYEYIGRSLGAEARDPELVRTFTDYFGMEAKVFGGFDIRRESDQMLATIYLDVNCSCGSCLAFVVWKDLVYHFSPLCTALSIKELIKKYGSTGRLAELNPPANQI >CRE28628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2013329:2014524:-1 gene:WBGene00055964 transcript:CRE28628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbx-35 description:CRE-TBX-35 protein [Source:UniProtKB/TrEMBL;Acc:E3LNB8] MPNTPITVSLKNMETFQKMERFPLEQLVMNQGRNFFPQPVFDVSLDKYTNYHIFVVIAPLKKMSYKTGQWVESEIAISDPIRRINNIINLGTRLGKYWMNEPITLDFKVTNKEWNCHENLIFLKSYVKYEPILIITKVPRNQIGSTLPEDRSEFKFSCLQFIATTRYNNKELAEVKSSINKYSSIHSKKGKKISTEAKKTSETSNSDYRLNSETENSPASSNQFGNAFSSAIPPPCHPIPLTGFNANPSGNYPSSTHISQQVSGNEQQGNPLIPNNWIPQMLPYNNYPYPMFLPTFYTPAYLQNMYNQQVQPQGNTPFPYPHYPSSQ >CRE28627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2011448:2012697:-1 gene:WBGene00055965 transcript:CRE28627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28627 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LNB7] MRTKSKSERETQQASQVMEESVNDNKEKKIQRKQIVGFVKQVLEKNPAGLKEEFKVMKRFNDFEKMKSFKAAQELGKNRYKDVGCLDNNRVKLGKPWSHDYIHANYVAVPSNPKRFICTQAPLEKTCADFWFMCLQDKVEAIFMLCNLTEKGAKKCFEYYPSKEKPMEFEEKGQKITVKFESSNKGRREEMKWEKKSEAKVMETVLNIEGPGGTLKTTHYHWIDWPDRGVPPADLAVCELLAKTRGSKSPIVVHCSAGIGRTGSIVMIEYIMDQLMSGQPIEECDKILQKIREQRNNSIQTEHQYLFVHQVMMNYFMEKKMFDNDVKMAHLKFTEQYLKAVN >CRE28626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2007741:2009351:-1 gene:WBGene00055966 transcript:CRE28626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28626 MKRNSDTMSKEESINEQLKLEFPSKSRKRYSEEVKIEIDNDILRSPLHCTPNNQEQAEFNEMVHAYKLHQRMMQLSFSNIDEFLDEHEEGPKLRKMDPSDVKQLSEVEFAGLIYWIDKQKPYGELPSEDKSALLTRYSVRKLSLDHFYSASKYPDHCSRREFVMNNYTYVPSDRTGFEQQDDDEQQIAAKVALFSGTFTRFWNNVIDQFVAMKIHDAEIVFLHSMLLWSASNNEHVTKETIKVMESRRNWAITRLSTWYKNRNMKEADLRLQRVLSLHTEIEIICDMHCQDFLVAKMFDICDMSEFFYEKLCYAPCNADPKKIDPELYEKFKQYTKKERQEATEAINESEIQENSQSDSPGKENRCPLENENKVEIAEKKESSFIDIIDPVHLVLNSQILPKVMANLPDDNYNMLFSHYFS >CRE28336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2006197:2006783:1 gene:WBGene00055967 transcript:CRE28336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28336 MSGSGFSVYVGNATYQTTEQELGDYFSTVGQVTNVRIVCDRETGRPRGFAFVEFADEAGAQRACDQLNGVDFNGRQLRVNLATR >CRE28335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2004511:2005658:1 gene:WBGene00055968 transcript:CRE28335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28335 MYISDSCLSLVNLHRNDRIAALSRRDPQRDHYNDFRTAKFVRISFVFHADTNPYFRSLFLKISETTMASQGFSVYVGNVPYQGTEEEIGNYFSTVGIVNNVRIVYDRETGRPRGFAFVEYSEEAGAQRAVEELNGAAFNGRNLRVNYANK >CRE28625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2002360:2004250:-1 gene:WBGene00055969 transcript:CRE28625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wve-1 description:CRE-WVE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNB3] MPLTKRAISPVNISRDTIPLSINRDELQCTANGTIANLIHQLSSLSKHAENIFGDIYHGAMVIHHKTSTLQQRIDRLHSKVEQLDATTDQASLNEANMRKAFKSSMLVDQHILDRSTLPTALAEQYAICDTPPDLNALNQFRDSQTPALLLYTNPSFFFERWKKETLKEVAERPKRVKSPNDGSKSPKKRRKQLSQGNGPLGTTMYNDMQHRNRQISGSRINQQNEVFSFPEEYQAPQALGLQLNFKNQNQHPANLNMTAPLGMTMHHHPQQNVHPNQQRGPSSSAHNNRGSPIIKRPSEAAPSASSVNLDSLPPPDMSMLSIDDDEDDLPPPPPSLVMHTSIAHQLPTENPSTIQFIEPSAAPPTNVSFCFQKLKLKYNFFTFAITIMVVTRDCPFFTLCFSHFVVHFIRFFLLKNSPHSDPAAASSATTSTTNRQHCCGNVCSTGSKGTMCLITNSIFEPFVVYCGFEHLFFETFHNFIHIQTQIYNRNEPLFSDFRGR >CRE28624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1999682:2001631:-1 gene:WBGene00055970 transcript:CRE28624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fdps-1 description:CRE-FDPS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNB2] MFASRKLVEKALGEVKKKFVGVVSAKLPADGMEYDLVQYRCRNLFDNTVIGGKYSRASLCVDTIRALQPHLRDETQELQAVCEAAATLEIIQSFYLIADDIMDNSETRRGKQCWFRREGVGMSAINDAFIMDSFVEDILRLALPGHVNLDRLCEAYRKSKQKTLIGQFLDTSSVNQIASFTWDRYELMVENKTSHYTVFHPLQMALIISDVLAYHGAVKKAAYQIGFLFQSQDDFLDVYGDPTITGKIGTDIQDGKCTWLAVRALQKMHSTPQKSAHLITDFKQSFGSSDPEKIEKIKKIYDELQLREEFRRFEQHFSGEIKKSIAEIPDVIQPLRPVLDGFVTKLVNRNA >CRE28623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1993870:1996095:-1 gene:WBGene00055971 transcript:CRE28623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hda-3 description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:E3LNB1] MPTSLQHSKQRVSYYYDGDFGNFYYGQGHPMKPHRVRMTHSLIVNYGLYRALNVMVRQEKRRTAVFLTQKFQRPARASFYEMTRYHSDDYINFLRQVKSDNISTLTDQMTRFSVGEDCPVFDGMYEFCQLSCGGSLAAAAQLNRKESDIAINWMGGLHHAKKSEASGFCYSNDIVLAILELLKVHKRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKHGEYFPGTGDLKDVGAGHGKYYALNVPLRDGVDDFTYERIFQTVMGEVMARFQPEAVVLQCGADSLAGDRLGVFNLTTYGHGKCVEYMKSFNVPLLLVGGGGYTIRNVSRCWVYETSIALNLDVADDLPVNDYFEYFIPDYKLHIKPLTTLINFNTPEFIDQTIVSLLENLKQLPHVPSVQMHPTSISSDAVVKTFDQSLRRDHQNDDIRESRFDEDVRVENSSEFYDAREPTSRNTQSNKRQRNMNTAEVEGFKKTRIGEEDDV >CRE28622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1992889:1993400:-1 gene:WBGene00055972 transcript:CRE28622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mag-1 description:CRE-MAG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LNB0] MSADEEKSADFYVRYYVGHKNYSAFELIIVISQGKFGHEFLEFEFRPNGALRYANNSNYKNDTMIRKEATVSESVLNELKRIIEDSEIMQEDDENWPEPDKIGRQELEILYKNEHISFTTGKIGALADVNNSKDPDGLRSFYYLVQDLKCLVFSLIGLHFKIKPI >CRE28334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1991723:1992339:1 gene:WBGene00055973 transcript:CRE28334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-12 description:CRE-UBC-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LNA9] MFNLQNRINGNDDDRHYLETRIAVRDKLLAQEMKDLESSLRNQRLWNLQVPSTSKLHELDLTVTPQEGIYKGGVFRFKITVPPEYNNMPPIVKCLTKVWHPNINEDGSICLSILRQNSLDQFGWRPTRNLLEVVHGLVALFTDLIDFDDALNIQAAQQWTQNREAFKHRAREYIQRYC >CRE28621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1990559:1991345:-1 gene:WBGene00055974 transcript:CRE28621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28621 MSGQGFSVYVGNAPFQTSEEEIGNFFSNVGNVTNVRIVYDRETGRPRGFAFVEFADEAGAQKAVQELNGAEFNGRQLRVNLANNK >CRE28620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1987261:1989145:-1 gene:WBGene00055975 transcript:CRE28620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exo-3 description:CRE-EXO-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LNA6] MPIVFMLALIVFMLALMLAHVGSMRGRKLISFQDYAKTLVISTEKNGGYAGVGMFSKTAPLKIHKGIGDPEFDSAGRLIIAEFSKFFFIGSYVPNSGAKLVNLEKRGRWEKLLTEKMKEMNEKKPVIYGGDLNVAHNEIDLKNPESNRNKTAGFTDQERGWFTDMLNMGFTDTFRQMHPESKKYSFWSYLANSREKDVGWRLDYYVVSNRIMKNVRKCTLNQLFELETDILVLDLQLWLKNLNNMSESLHLVLTLLNVSVGNAAYQTTEQELGDYFSSVGQVTNVKIVCDRETGRPRGFAFVEFADEASAQKACEQLNGADFNGRQLRVNLASK >CRE25799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:136155:137246:-1 gene:WBGene00055976 transcript:CRE25799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25799 MNLLLHISSIPGRLWLWFFDRFIMYPLMKIVAPMLAVRFMNLGYWPSTSEDDRKLIKFMDDADDLEEYESDRSHIHLYEKAMSMHPKYPNFQDMEVLEVSCGQGYSLEWIERWHGPTKCLIGCDKVVTRNVNNIVYGNATDLPFADRSFDFVLNVEAAHLYSDFRKFVKEVSRVLRSGGTFCYVDVRYPHDAYLVNEIAESFGFILQHFEDCTEEVVEGLNYSARKYDDLLERAPFFVKLFKKSLRETYCAPGTDGYERLKSGQKMYVAASWMKH >CRE25802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:144427:146393:-1 gene:WBGene00055978 transcript:CRE25802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25802 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N5Q1] MSEKWINPWIGICNTSVLIASGYVLWACAAKKEKTINSPPSVASNETRLRPPVPSHEAAKVEKNSSVSSDEKNTDSLGEQEKEKKILKEGNNKKEKKKSKILEVKGSGEEDGDGKNTGSKSKEEEKKEEKGKKKEGSKSRKSKKSKMKILLEKEKSRKSKKAAKEKKKEKSESDDEDNERPPEPGSDPRKIWAFKAAKMKCQAICKLHQDKIKGYMPPNCTYTAYEANPDLNRYTDVRCIEETRVILKNHERDYIHASWMRMPGKDQTTYITTQGPLPETLADFWHMIYQEKISYVLMLCTLFEGGVEKCVLYYPEKLGEVVKFGRYEITLTECKEEAVANTTWNALTVVDTLDQNSDPLYINHVQVVPWWPDQLAPEDASPMIALYKWVKQVNPKGTPICVHCSAGVGRTATFVGIDYATLRIMENPNIEMVEIVREMRSMRFQAVQSHMQFLFLYVVLMQYFIEDGIIERTGRIEAFMNQYRRHAQKKLAKRAAQNQQGEKAAAEEKEKEKAKV >CRE28332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1983993:1984878:1 gene:WBGene00055979 transcript:CRE28332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28332 MTLLSLPVAGDSHLRNITHINQIADDDRSLASLTTLSKSMRIQNINDLVSKFCRSVERTALKESEDSGGTSRQNDEGGNMPEEIPEEDIDNPDENNQEREGGQGNEGGDEGEGGNNEQVPGNGDEGSDSDSDNDLNYDGDNETEDSTSSEDEVNDDEEEDDEEVVHQPASQANIEQIERYIGVPHNNRNRPNREAPYDLPDIVVNRRRNRNSSDTDSSTEARRKRKRRHSSAYDRNEQIKRRREDDDDDHRPPTPRPRFVPINF >CRE28619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1980993:1982154:-1 gene:WBGene00055980 transcript:CRE28619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28619 MDTKIRIENVDYYLRDANLNATPVSDCGIYPKELNGYTEYLEHSIRKLPLCKLNIKLPILKSKELKMESLIDYINQKGWVGGVVPDFVTTKDLLKSVASLETHLIHVCRVGGVFFVLKVDKEDPVKSSHKVIFNHFMTKKSGNDVVMNDGSAYKGVFNATILNGEKKMFNILYSGEVDAVKRTPNGNLRHYEMDVTYGGPENDFFWIENSCRLFWKSFFGGSPTLIIGSRTGETAYKKSRFLTYPKHSVYEVSKYRIVLSSCSNLISKPSFKCFFQIKQIIRDEMPSEVSFPDRRPLWTVSDGKKNLHNFLQLVKTNVKKSGDCYVFSRVPGSLKWNFKRDDVAIAEFRDLIRKNIPSI >CRE28618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1978528:1979879:-1 gene:WBGene00055981 transcript:CRE28618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28618 METKIKVETVGYYHQKEDMTANVGLLPKKLNNNRKYFENPSLKFPYLNLNVEVKDPGIGDVKLESLLDFIRLKGWPNGVKPDIVTSKSTLISVAQKRPDIRIQVTRLFGVSYLLKYHEGPYNFPMSNRNGNFGLIFRHFFTRNGDEELLEVDKTSTKAVFRATVPYLNGSWNILYSGEVPAVDDQNRHYDFRVVSWGTRNPYFWKNNSCRCYWKSVFGNAQYIIVGARTHNVQSDPKTRSPHRIPEKSVYEVERLEKECCPVEAAKRNPLEAWTVSDGENNIQDLLMLIDTVVTTEGHSYIISRNSDNSEWVIEEDSEGNEEFQDLVVRCIPRE >CRE28331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1975693:1978099:1 gene:WBGene00055982 transcript:CRE28331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28331 MKTTVHVETIGYFWAENIENTTTSPDSLNGNQKYITNTNQAVPYINLNLDVPLYSEDRNNFKSLLNHISRKGWPKSEKPDVVTDQKVLNAVAVGADIPIRLTRIADIIFMWIEKENQDATQQNYEAVFKNFFTKNTEEKAAKCSKKTIFQSRIAGVPFSNILYSGEVDAIDGQCIPTIHIFFSLLSANNQHYNFKVIRSATNTLNFWENKSAQFFWDSVFGNISTLVVGTRTGEKETDPKTRDPLFYPELSIYKLEKFSQETLPLQVAKFAARPRSTFQSWSIIDGEARLKDFFKLIKSTVTTNGDYFVYSQKEEKSIVQKLNDEGDDTRNFILQSIPN >CRE28617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1972521:1973452:-1 gene:WBGene00055983 transcript:CRE28617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28617 MESQFSEIITTVGLNFLKKIGVHQSVVFSSVLVFSSLTNYSIDFNTPLPPDLIDGSPAFKMSEVMEQLEELTSSLEKENVTSPIWRYPFSVNQLKQFHLSESNSKPMRFISMNKKQNWQISCDQEENKWTEDDIFRVLGIPMCEKSMSFVMFMPKHHEPLRKSLKKLDTSRFKTLVQELAPGYVHFKIPVFKISSNIPTSRILGLRRPFHHRLKFRLTSQNVRSIDDCKVTTRSPRGMIKKPCETIPFHFDANRPFLFAIMNGATPLTMGVFSGNEN >CRE28615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1967797:1969166:-1 gene:WBGene00055984 transcript:CRE28615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28615 METTKIAVKVVDYYHRNKQMSVIPELLPKSLNFNRCFFDNPKLKVPHLNLNIEVTDSGAGEFKMESLLEYIRQKGWPNEEKPNFVTNKQLLKCIASDHFDNIEIQIVQLGGVIFMLKSSDEFSQITNFGKIFEHFMTKTTGDESIDEDEEVRKAVFLAEIPKDVDSDGKFKVMYSGEIDAIDDLKQHYELKVLIGGLNDYFWKNRSCLYYWQSIFGKVSTIIVGSRTGKRPYDRKTLPPLNIPEFSLYEVKRLEVRTMPTKAAKAVNKQNNRFYKNEALPRNAGWKVEKCEEKLREFLQSVSKMVTRNGDCFVFSKKKSDNSWTIYDVEQEDSPFFLFISGHFKEEMTLFSTQKSEIAQDENREFSTSSVISTREKTSADQKLQKTSKELERSDRMLFIDSFRRTNINKH >CRE28614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1962130:1965656:-1 gene:WBGene00055985 transcript:CRE28614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28614 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LN97] MQNTKIKVKTIGNYHRDKDMKVELDLRPKRLNDNRSYIDYKEEHIPFVKVDIEVADAGAGEHKMESRLEYIKQRPWKAEDQPDFVTNKNRLKFIAAEDYENIEVQLNGIFYSVGAIFEHFLAAENPLENQEIGGHGTVIKAVFIADIPTSFDENSEKFKVMYAIDDKNEHVELKRVKHGMTFAFWTKLSSIAYWQAIFSNVSTMIIGSRTGTSESSDCNPPKNYPPYSLYQMQLITHIFTMENTKIVVRVIDYYHRDKKMRVTHGLLPNRLNGNRRYFDIPALKVPHLNLNIEVTDSGAGELKMESLLEYIRQKGWPNGEKPNVVTNKQLLKCIASDDIDNIKIQIVQLGGVIFMLKSSENYTQNANFGKIFEHFMTKTTGDESIEEDEEVRKAVFIAEIPKDAGSDGKFKVMYSGEIDAIDDLKQHYELKVLSGGLNDYFWKNRSCSYYWQSVFSKVSTIIVGSRTGKCPNDYKTLPPFNLPEYSLYEVNKLEVQTMPMRAAIAVNNPKNKLYKNEPLPRNADWKVEACEEKLQEFLGLVSKTLKKNGDCFVFSKKKSDDSWTVIEIEQKEAWFSSFVLNRLRFIASTSSTTSSETAGNTSMRPTSSNLEALSIRSMPIASITAPRRAPRKYLIPLSPEVIVNTTTTPAQEMTTMSKTEDKMTQTINETLSTMNLTEVPSTISTRPKSKIVFNAPFDRANVNRLEITNPSNAIIGLKIKSNIRYVEIHEMPFILKPTTSTILEMSFEADSYFKEMNKVCPDDQFSNNIMIEWINVSAHIDKESYLKLFATDGLFRRKYIRVEFNQ >CRE28329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1958567:1959764:1 gene:WBGene00055988 transcript:CRE28329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28329 MFGAPCPETVPVYYIVTLHTLAIVSIPINMIGFYLVWYQSPKLRGYKYCLCYLQLASFLTECHMSLICPGYYFFPIIAGYNTGFEIISSHLSMTIYVFIFAFEIPSALLCFIFRHNAAENISRVKPRKLYLEKIMLVLAHIFPFASAFAMWKSGLPDQLKRDYVKDNWPQCLEWLKLNAFEVYDYKLNPWLAVVGIGAVLFVFLVYTYGLTLGLHTMTILQKHQRSMSRQTYQMHKTALFSLIMQSLIPGALIVIPLGVCMFVVVTGAVGLQELATDTMFLVGSHSMCQCIVMISSNAKYRRLLKEKARAFFGFVLN >CRE28612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1948676:1951648:-1 gene:WBGene00055989 transcript:CRE28612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28612 MMSTSSSMLPTTPIGKQQVIDLKAGAILRENARKSGQFFGCPLSVVLVLIILIKCLFISFWIIREYETFDFRCSAWGGGGQIVDSMYRTKRWINFYGFSQNSNPKKLNKNWNDGDGDYDYAGEGDAEPMMRKKRWINLNGYSQNSNPKKQNKNWNDGDGEGNYDYAGEGNAEPMIRKKRMAQYEKSDPRKFSKTPDDDDDDNSKTDVINKEKKKKKPLYGRSTSTSTDIHPPKIDPRLDFGPLPPNFQRRIDSNHIPDVGEDEDDLPMTTMVTLTNTQIVQLSGEDTGVVKMKTIRNRVEKVGSDEEQFIEIDIANAPRIYVSKIKSRHGGEEEEQFDLLKELKKDEEAKEEKKKKKKGKGLKNAKKLAATENPKTEVNTTSSETSTTTTKKPKGKGLKKLKKQKTSPGEEVTKLPRHLVGKSSSMGPTTPKPKSSWINGVVDPQDPMFSNQGQIRGYPMQKASKKPSTTTMRALTSEEEKENLKISESTRMMWDMDELKYTTFLAPKTTEQTKMVEENTNRGEDVKFKTTDSEGVPKMVTSSTTTTTTEKPLPGGMSKHEWEQKKEEFEAYTPSILTSDLQPSHSGKSSHSEVSAPYHVHLPSAPTTSTSSPNVPNFHFIPPSSEAPYYVEVNDADTETIYVKDVTPMFRNAFMGQDQQETTTRPYDPLHLEMEIAKLKESSTCLARMAFDVWCLFVLFSSVLFIMGICVPRWSLFVLHIVFDFLFLVVGFVTSLTIAFMSSIMYFLIDEMTSDSLFEFLLVAFVIDIILILYSIIVGISYRCCCRLVDNTIKETSINYSVSSNGEPV >CRE28611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1944265:1947263:-1 gene:WBGene00055990 transcript:CRE28611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28611 MDDEDSLLGQIEQVEEEDDEEGDGDEKDVTATDVPTTTVSEKPPSTRCSSPDIIDADALDYSEDPEEEEEYNEDNDGEIEKEEEKNDEKEKEEEEGELEDGELEDGELESSGDEGKAEPSKRAPITAPSGTGVRKPIEVCSSLNDNRLSRPLARGTLPPIRNQPYYARREESNDNLNFDSVLSEAKKVMKYQDDPGAERDTPSPNEGINEKRAIPSLLDIRTHRPPAKDLGPQVIYPSQRHPNQQLHQNRFKRESGNTQNYQKDIPMFPPRGRTNSQRGGGPSIQPPPLIRSCTSKSPPPRGMSYSPRRFKAEEISSDSDEEAFQKKPPKPIPMMKHVKRSSSGSSNSSRSTIPGARSISSDSSDGSSSAKKRRARRRSRSKSHSGSRTYSSSSERSSVKRRRHMKRKAPVSSSPTRRSRSRSPPPYPPGHQKMFQKKKRDSRDKDQKEHILTGDIHSYKIPKRGGSSGSSSAYKRNGSSGAQPAFLNRSSSSQKSSKHSKKRPRPRSETPPMSSSSKRRKEEKRESKKRKRREDRAQTISDSDDSASTFSNDSKSKRKSRKRSRRSASKESVSSRSSSSRSSSRSRSRSSGSDSSDSSTKLPSRYRQALTREEKISSDEDEEMPPAAAKKISAKSSSIDSPLHQRSPTPQKSPEIHAPIPSRSPSKSPEDDAVLSPKSASESPLAKVTDDDDMEDVNPPLPPPPPEDSEDIIPPPPSISVSETRSQLRKRLKDINNTLRVGNPVDENTANSRQEATTAQS >CRE28610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1942824:1943618:-1 gene:WBGene00055991 transcript:CRE28610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28610 MNKSEIRIGEPGESTSSGNDRLGILHLDGNDSTKFKRKLGKTEKTNLIRGRLTTEDKKAFKFADIVPMNTDWQKYFRERLHNHFTSSKIDKTIQKTDYHGALLTIWLAENPTQIGVSGIVVLETRHTFQMVTQDDRFVVIPKKGSVFRFIIGDRLFSLFGDGMRTRPAWRGKKPRIKRVLPTFIRGTLQTVPTAAE >CRE28328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1939859:1942539:1 gene:WBGene00055992 transcript:CRE28328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28328 MTVWAACRAVIFGCIIIVVGLAMTVLGYFDKYFSEKIEIIDGNEHVTYDRMIQYQLKSMQYLGPILMGIGSFILIIACVVTLESRDKHAQIITEESILQKRRRLISEEEEKAEHNDVFIDDDEDKEPYFGDGRRFVTLDANRLAPLADVDSLTDISLTHRGGSESRLQSEDVTIPPESSELSKPDRFFQECEVLDDDNEPTSSSLLNPATATEELEDLPRQDDPKNEEAVNPEDTEVSDDKRNSENAKSPESPKPKLRLEILASEME >CRE28326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1931221:1932424:1 gene:WBGene00055993 transcript:CRE28326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28326 MSLVKQVSKISKSIPCRNIHTSCHSLAPVPLTYTSYSAPEHDRNSPLIIVHGLFGQKQNWNSVGKALHKKLEAPVYAVDVRNHGASPHTETMTYTEMAEDLVEFIDKVKEETKKKRVNLLGHSMGGKIVMRMAIDSKWSDRIEKLIVEDVSPKGYSRRHVEFRELIKTMRKVNLRRTRKEILTDLETAIPDLSMRQFILTNLQPSSKNKDEMEWKVNMNTIDSHVEELLGYTLPVGSYRGPTLFLHGAKSGYVPDSHKPDIKFLFPQVQFDAIPDAGHWVHADKPQLFIESVYKFLKV >CRE28609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1926929:1928458:-1 gene:WBGene00055994 transcript:CRE28609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28609 MICGAIVTALVTWHICLTSANEDFIPVNITEGSGSGQEDTAIWSNDLPPLSSEIDFLRSTTQKTVTKRATNTFYNGILDRPFFSVFSYLKPLIPPTAIIPLNRPLQRGNSIPQLQYIALWAPRGQPPHWGAIFQNAQGKLDGSFIKDRRIYNLSSSDMQNTPVRLVQYVGNEQENNFKFAWTKAIDVDMATVVSERDFTKQCSSRMAPAVLQDHGFEYFGEADIDNRVMYYVEHNFVNTVTGSLFTNNVYLLTKQQCQCSCPATSY >CRE28608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1918405:1919461:-1 gene:WBGene00055995 transcript:CRE28608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28608 MVMIQDTSSSQMREKRPYTTTPRFVFGKLHINHTMKAIAAIGIVVILVLVGLLYLKFKKAMFVLLVPTSVSVLTVIAIVSKKHRFVWPVIAISMFHVILSCYALLIFSFYFFFKPFYILMISNWMFDTMHNDKNAAFYLQSLAMYTFLTVFMLFNAWQAHVGIHFIEHIHSEREDDEPRQLHQPTIVTVNKPMY >CRE28324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1915072:1917638:1 gene:WBGene00055996 transcript:CRE28324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mys-2 description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LLU7] MSGKRKRTHQDSTDSPFRVRGGPSESTSQIIPEVDKRYVVEKVQPGKVDKLTAVILHTELRAQVPRVLLDDDDEVETDKMKEEKEMMFYVHYEKLDRRNDEWVTLDRILVNEVVEPLVPNTIIIPDDVKIEGVPMITPSGALTRSQRKNLEEYTHLKTDAANMDATTARLEKEHEERTKIKNIPRITIGAHTINSWYYSPFPPYCENHEIFMCEYCLLYTPHKSRFKKHLMTCKTRQPPGNEIYRKDNISVYEVDGSGQKLYCQCLCLLSKLFMDHKTLYFDVDDFMFYVLCETDSSGAHIVGYFSREVESANNLACIMIFPPFQKHGYGKLLIQLSYELSKREGYIGTPEKPLSDLGKVSYRSYWWWVLMKSFHRHQGHTVTASFLSNESGIAVDDIVSTLSTMRMARQYKEPEFLPNEWYVRLHGKILNHCISNDYGRPPILLLDRSKVRWAPEKTRDEVSRLNKMSMSTRRASKSQTVTPLPTPPIDLTSGKTGIFNYLSTGCSFREPPFKKLERTKGV >CRE28323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1912666:1914498:1 gene:WBGene00055997 transcript:CRE28323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28323 MLDLADLLGDVPSKILWVIISFGFFRALQFIVRWYLFGKWTWPNFNFFGLRRRIRRNRGGTEVVETGTTTAETVEEAVVENEQEPDSRDLSAIPPNKKWRISNEFISLIHSVISGLWAAYALLYYDKLIKDLVEFRCEAGTNLVSVSFELHILMSVFKILMSTGYLLHDLIDLLINEQSARIIELLFHHVVVLSAFAVTMFTQRYLGVVVFGLLMELNSIFLHSRSLLNLYGVDKKSPSFRIVALLNMVTLFAFRLCVSAYLLYFVIISIPDVPWYQSLINGLVILSLASTNTVLTYRLLAADGLLGSRRQRRTPAATGETHVETIESGPLRTQLDDEVGRIFHIVQILKTLRFFLRFHQPFDQFFQDHHTIGVQTITETVVEDATQTV >CRE28322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1912101:1912475:1 gene:WBGene00055998 transcript:CRE28322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28322 MSVRLAGTALREIRIHVCQKSPASAGVRAFIENDYVGIKKANPQFPILIREASGIVPRVFARYEHGVERVATLEDLSRENVKSTIAQLAAQK >CRE28607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1907912:1911588:-1 gene:WBGene00055999 transcript:CRE28607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-29 description:CRE-DNJ-29 protein [Source:UniProtKB/TrEMBL;Acc:E3LLU4] MGRASFEYDEVGNTFYYVLVAFYAIILFPATYLCLPGGAKPEAVHVINEHECQCDGCDRKRRLKAANKPWRRTKKIITIVVLVVAWAIFAFIVKKTTEIEQTHKDYDPYQILGLDQGADEKAIKKAWRDLSKIHHPDRGGDAQFFDKIAKAHQALTDKEARENWEKYGNPDGPTATTFGIALPKWLVSKEYGLWVLAFYGLIFMVIMPVAVGMWWYSSIKYSADKVLLDTTRMFYYFINRTPRMEIGRMIAVLSGSFEFSKQYNKEIIERESDDVEVPRLMRSLTGVTDKGKEQPLSQPYALKARVLLHAYLSRLPLESAGDALDQDQELANYIITRILRFVEEMVNCSQNLMSYSQHTKISIETFDNLLRLQPMFVQALWQKNSPLLQLPHLTDYNLQHLRKKRIFSCHDLAIMGSENRRAVLRSLTDEEYRDVMVVLSLMPRLHIETKTVVEGEDDKHELTAGCVVTLKVTMKRSSLIDPQDADLADQYKAYSGENEDDDNNEGENGENQGEDNQEDDAKKGTKKLWTKNKQNKKKKKPQSGKGQNKFQKKATPGSPTASAAAVSETQALVKAEDEEEDEEKNSEASDVGSDDEDASGNSESEKEQTGKGGADTDSEWEDDVENNKKSIFETKNTQTHTVHAPFYPVEKFEWWWITVAYVDKKEKTRQLLTFPQLVKTLVDEQTIDIRFAAPPHKGIYTYNLSVKSDSYMDAEYSVDFKIDVKEAKFVEIKHDDYVDEDDDEAVVSSEDDYTEDDDSDEE >CRE28606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1905095:1906272:-1 gene:WBGene00056000 transcript:CRE28606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28606 MHHHHILLTSLLPILANSLLSADLTKNHQGPTTAVGAIFQSEPSIGVSAEYYGSNTDFVSSRLQNQNQSPNQNPEEDEERYALQKAAKPQFYPRPQGNYGGYGGYRPNPNPQPFGYSYVGYINRQARRYPVMMYTLIQCVPCQRAKHLLATTYGDVPSHFLELVGDEDWQRQLQVDLLKVTRQATFPYVFVCGQFVGGSSDLFNMHHSGQLRQVLNNCMSRNG >CRE28318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1871210:1873634:1 gene:WBGene00056001 transcript:CRE28318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28318 MTDFESNGFNNLRSAASSDNLSLKNWAFPTGEKSKKPSESTSDTSRINSSFSDHLSISKWKNPLKELEESSKSSFSDSSTSTSSGRSRVQSGMKQMNEIDSDGYRIHADVSTDHLSLRNWGRPVESESAESSGSSGCASSGSSSSGSSSSGSTSSGTRDLPSRSSVLTDSNSNGFRSLHSAVSADHLSLRNWGYSVESESNESKQSSESASDTSKSSVSSDPLSLSKWKAPVEEPKKSPAIDTQDLDFLIPPFIRTFCNPKPMAAELRVTYDAPTSQCTYTYSILCVEDDNAKNNCEEVKSLQKPELKKMVSTSAFAVTPSPVSALCDGPCKKEFPSNLLNTIGRCGHYLCTACYGIVKNNDGTNGCSSLSCFWKGSSREESKKNYERDICRKQRAKATDMNARGIDVKPASAASSGIDTSSYRPTFSRTHSETNMSSYSPSESLCIIPSVRKPPKFDSKVCTRLVIVEPHSTYGMTHVYCNSRLLPSTNLLTALKTMLNRKLKPTTDYILSGHLYYGLINEAGVLGMRKIKVSKYGDLTVADIPKMQVLNGKKRFFNELFFRNNRLVLIMDLGYYVEKGVKIYME >CRE28602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1863938:1865906:-1 gene:WBGene00056002 transcript:CRE28602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smd-1 description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:E3LLT5] MSSATATCENFAVQTHPVKAPDEEYFFEGAEKLLELWFCSSKIGPEGAGSLRSIPRDQIDAMLDIARCKILHSKHNESIDSYVLSESSLFISDNRVILKTCGTTRLLAALPVIMQLAGTYAGLDQVQSVYYSRKNFLRPDLQPNLHKNFDAEVEYLDSFFEDGHAYCLGSLKQDRWYLYTFHREVEFPAHKQPDHTLEILMSDLDEEVLHKFTKDFAVDGNDCFMRAGIDKIIPAGADVHDELFDPCGYSMNAYMNDTDQYATIHVTPEKEFSFASFETNQDLVCLYSQTRKVLQCFRPNKILMTVFANDISIKGKDAQQQLWDRELPGYRRTNVQFVRLETETLVYAHFVRKAPQDSTSSSDEDDGERSD >CRE28601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1861851:1863307:-1 gene:WBGene00056003 transcript:CRE28601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28601 MLRIFGLLLVFLVSTLLLFAVWSYGPLPEGAQRPRFPRDLDGLRDLSSSLGRYEESHALYTFLLFSTAYLYKQTFAIPGSFFMNLLAGALFGTLRGVALVCTLNSIGASFCFCLSALFASPIVERFLKTRIENLRCLVNAERDRLWVFLLSARVFPFTPHWLLNISSPFLDVPLRYHASSVFVGLFPYNLLCVRAGTVLAEVNSMSDVFDVWTLSELFTVSLILLLATKMSKKNNLEKKVTDHNVLHGVKMS >CRE28600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1856002:1857110:-1 gene:WBGene00056004 transcript:CRE28600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28600 MQDCYSITEAVDLQEWLGKFNDCHFTKTEEEIFEELKKEFQIKKASRYLYKLCTTKPSKLLLQSKNSQIIACLGHCGTGDVALRDACMSANKFTKENCEGLVSPIIAEFCNLKYVEDLCKKNKKNEKNETPAVVLSVVTNAVVQATEESATKKGKINLLLIGGGIVVVAFVMFGIAMLIFCLNSSPPPPPPVVNVRTTQTMKKRGRNKRKGKKKSKGKKSGNESKMKDPVSKKKKKSSEVKDPTSSKKESPSSEKVPISKMKNPKTVKMDPGSKVKTVKPSSKNPESKEPESNPKTPEEMV >CRE28316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1855032:1855832:1 gene:WBGene00056005 transcript:CRE28316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28316 MSSESLLLALPFCYSCQQLMNILAPIIFETTEMSVKKHHLSNFDSIFLLTVCFASHSQFCMKKSVHLFWLGIGGICHVVFCWMVLQIKSIILLYSLSFFIAIGPVHSICLIFEEEIEKHKSSRIMSSSIVLLGATIYYQPASFLSTLILLTSAFLLQIIDDSFHLTGDYQSIDYTRRRRSIEIKIPYYPKSKVSKVRYHLRCLQKSSV >CRE28599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1853016:1854090:-1 gene:WBGene00056006 transcript:CRE28599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28599 MMVTNGEPSSYGDFSHPLASTATWNECVQYCYDLGSCVAVFDNNCQMFEIGGIETAKRNETSRIAIKILMDNSTTETCPADDSGTEEGIYITNTTYQNYSISYNDPTWTFVSQTLTCPTNFSLFVRPNGLWCMQVIEHYACCNRTVAIKMCSTNYSASQLSGVDNQAEYDYISALTIPIYQNVTSSLIGNVQYNFTGIWLDGLRNSSCTGVTTSPCNTISAFSFLDPTLSYPPQGYPWLSGNPNGLTSPGDAIALRVNTESTYGMDDTA >CRE28315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1851223:1852052:1 gene:WBGene00056007 transcript:CRE28315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmg-6 MMTQSSIINSFNFDKYHIPNQYVQWYELEPTFGVYPTPNPSPPSSGKWSFLSENEQLQQDGDQKKKKIRSTSAYALFFREKQGTEKRAAPYASFGQISQSIARQWEALSDVEKKMYKQRCEKNRKNSIASAVEEKARQLMMTTSKQH >CRE28314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1846238:1849820:1 gene:WBGene00056008 transcript:CRE28314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28314 MKLPAFKKDGMTRKEQRKSAKQLKKIQAKAFAQRTPIEQVMRETMGMTKEDKKKRKRKRQQEAKKDARKIEFLQRKNRNDSDNEDSDKDFDDSEDEDENPAKKSKTRGGIVEVSVNKGKRKYGDESEDSDEDLTYEKYLEEVKSATQKRRQNQQDGIEDDDAAIRKYGVLLGVNEEKKERDGKMKVPKSMKSDGLDLLLDFCDSERRKELMEDELEEDVEDEEGFEDEEQSDDEEEFDLSEGENGEDNDESLIDEMVSDEELEDEENGEGIESSEDESEILPSKPEEAEDIYGRKINKSTGELIKFDPAAARRKLDELDAATSSTNEQKARVDRAVNSIINKLSDAMLIKSQQGIAELWTTSSKNDVKSALYKFLSKALLAPFRLQDSLLTTYGAFMAMCHTMISNEISAHFVECFLCDLVKSMEEEEEADDKSMENRVVFTAFLVVFRILQPSILIEIIDKFAAHLNLTNLFAIRTLVACEFFLFQKYSLCSFSVEITVYFIRYLFISDGYKSLKKTSWPLVTSKIDEIAIEFQQKPISALPRAKFLLEEVISLKKSPPKAIDYEVVERQVKVLHGVTKKKGSSVSSDSKELSMTLNDLLHAEERGRWWIIGSAFRLPEQGGYGLLASAAASGQKKASTFPPEVVSLATKAGMNSEVRRHIFCSVATADDEDDAFERLLKLQLKGEKERELVHVLIAMMMKEKTFNAFYAALLQRFCEFNKRFVITLQFALWDRLRECEKLKPYQRTSIAQLLQHLIANEVMSITVLKTVEWATRSSSLTVILKKLFLGLAKSPKSVLKRVFEPVVNPQKRAKFELLSEGMRVFWHMNLKDSDAYQKVDQWIMESGYD >CRE28313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1844215:1845816:1 gene:WBGene00056009 transcript:CRE28313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpdh-1 description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:E3LLS7] MPCQSFDYSSIGFNNNSGEIYGDRRKKVAIVGGGNWGSAIACVVGKTVKAQSDVFHPTVNMWCRDSRKRGDTSSSIADIINYTHENPKYLPGRRIPENVIAMSSLRDACESAHILILVVPHQGIPQICSELRGKLQEGAHAVSLTKGISSTSENDVVKMQLISEDIEKPLGIECSVLMGANLAGEVADEKFCEATIGCKSLKNGEELKMLFDTPNFRIRVTTDYEAVELCGALKNIVACAAGFADGLGWAYNVKSAIIRLGLLETKKFVEHFYPSSVGHTYFESCGVADLITTCYGGRNRKVAEAFIKSDKPLQVVEQELLKGQSAQGPPTAQDVFEMLEIHKLTEKFPIFTSVHKVFVGEHGETELYDSLRNHPEYD >CRE28598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1840182:1841925:-1 gene:WBGene00056010 transcript:CRE28598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28598 MSSPSLAETSELLRKSGINTYIKDFNESMLGIAKKNHLLLCVPFSALEKTSELDILKGIADVLMSTLSKLLLPGSDNSTRKLSVFYFREPVPVIARPLLFSCKVKSNYGDTYHLQGTVKQDYLDSSEPPLLAASHCHILLSDRFTPLRESIPSVYRPVDIQQVLQYFDVPEHLDDEEMMRIERPTPPVHLPKNTPTGVPATSISRDFDPSPAPTSNKLSKKRAQTPEDAPRTETKRLKSKASPFFWYKSDVRDIIGTILIAKYRFYTKTGVGKTFLEEKNTHDAIRLAISDSTAIAQTQQILSAPGKRILEEIRKREE >CRE28597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1827736:1833417:-1 gene:WBGene00056011 transcript:CRE28597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28597 MKIEVVSALPHSTVHSAVLSRRHRSVICAGPYEVLPLENCYEPLPSTSTLCEFPIECLQLSTDERTIGVATNSILKVADIMTGREVRNLTGHTLPITSVAASKFSPYCWYTGSSDCSWTQWDTRMHQSKIFGSKSSGVVRSLALSPGDHYVAVGTDETIQIFDARQREYIKQFNCSGHSLELHPSDVLLSAVGHDRIVRFFCLESFELISQSDPFLDDIQASAFDTHVMIAATNDSINLLTWEPCCDVLTTVPLKNVEKVVNVNANGLDLDFICIGENTERLEMRSYAIEELLSYSPSHELCGSIYEEDEDVDTADLSPIEESQPLPELSELPPRSPMMSSEETETSASPVNSSNGSSHSSPASPAKPAPVKQRSTSQKFPKSPVSSSSKSTTSSSSKTLNTKTSTSRSVTPVSTKPPATRPTFGTAKSVIVSSRPSLSGYNRGGVGNGANPSPSMSDLRTTKSIAGSTQSLLSERGPKKRSTSSRRNQEPITITYLGRPRTPSEGDVANSVTSSTSRNRRPSPSVAKKTSPPSIYAITSSPAKKLSTSAAPVKKQNSTSSVTSTSSNPKGAWGSCIETVQDIGVVAKKENRNIRRLKLLTSRRQSNADVPPEVCADEQLVLTATRILNRRNDWSLNTCHAYLPVIIDNLASVDSTNRAIAQEGLATIADTLTERLIKFSSINSHKIGVDIAAEERSEKAKVCVQHLRELVKKRDWLYKQLDEESIFKLDPILELLKKV >CRE28596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1825534:1826741:-1 gene:WBGene00056012 transcript:CRE28596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28596 MALASVSVYELSRLSSNYAQQSSTAVRRRSNSTKVRRKGDESLDRRRRSHNQNQEPITITYLGRPRSPSVDHVPTSPPIPMTLRNRRPSPATLSTIKKVPSTSSITATPSSPTKKMLTGSSGPKKPKAVTSPTPCTSDVWNDCIEVVNEIGILARREIKNMRRLRIATSRRIKIGEDEEMVLTATRILSRSNAWTLDTCHEYLGVLVDNIISIDERNRSIALEGLAAISDNLTDRLLKFANISAHRIGVDVAAEERAEKAKTCILLLRSVVKKRDWYYRQLDEESIDKLDATMERLKKI >CRE28312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1822734:1825269:1 gene:WBGene00056014 transcript:CRE28312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mltn-2 description:CRE-MLTN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LLS3] MRHCLNILLRGLPIFLVVDAFRESPDASSHLKSLLGDKTPVINTTQDSVLELSNHWIDQAISALIATVANEKISTITHLETRFHEKCVKNIKSVEAHAKCVSDLLHVSRAQNARKKGAQILVKKVSEAASASDWVGSFRIRKKRQTQIVNRSGYSLKSSKDDISPFTLITKQITKSIRALKNKKEERGWRQIMVDVKKTGEVIEKRRKVKEMIGKSVRSRSAKPKKLYLSPRKPLANNDYEALDDPIQMTNEIIQTSSESDKKIDAEELLKRFQDHPEDILNDDAQGLLNMTGQETEAVLRIKLIREAVKLGLSLGGQNVSGFDQKSMKFASPRFFAIAPEEHKKENDTVSFIREQDTCELTCSFSQINILSPSLFSLHDEGSPDEQKTSLVKLLGDKEGIDKDSFMDLLTELTGVADTVDDAAQKASRESEGTLINVKGEEINVSRENLTKVYGEENYRKMKVLEKLHGMYTDEQVSMMNHFNKSGYAIMTDKQRDMVYGSGAPFENKLTLEAGRNLTMPKAKKALHTVIQEIGKGNIKVGKYEKRMKDIVGSPILFSSIIASPATASQALIASPVLFTPLVLSPAIYGSVILSPWVFVPVILGPRILSPIIVSPAIFSPIVLSPLALDPLVLVPGVANPVVLSPFILTPFILSPQVMTPIILSPFALSPFILTPTALSPLILSPFVLSPSVLSPSFVTAVIMSPYALSPSVLSPPTMISVFASPSILS >CRE28595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1818669:1819722:-1 gene:WBGene00056015 transcript:CRE28595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28595 MRGPLLIATWLLVVTSGTPTTTTKSSTPSTTTTTISPEIRRAKLPEVRKNAPWECGTDEFTKSISEGEILSKCPNLRDHMNSCCYEHDDCYDAQSGQKFCDDSFCSCLERRSRSSKSCHDESAPLFCDLVRTFGEDAYLASAPNATTTTEESIVEKDDYDYESHVKNLNNATSTSG >CRE28311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1816620:1818607:1 gene:WBGene00056016 transcript:CRE28311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dxbp-1 MGKHEKGSSKDLANRTKSKGLQKLKWFCQMCQKQCRDANGFKCHLTSEAHQRQLLLFAENSNSYLRQFSNDFEKNFMQLLRTSYGTKRVRANEVYNVYIKDKGHVHMNSTVWHSLTGFVQYLGSSGKCKIDEGDKGWYIAYIDQEAVIRKEEDQRKQQQERDDEERHMQIVEEMVARGKELAGGEVDEYEATELVRDTPDQKIQLDLGFSEKKPITSTVFTSKNTSIFDLKPVKKEDPDGPGPSGSSGSSNRKRSRSRSPGPSKSSKSSKKSALDEIKEMEERKKERKNRKDYWMTEGIVVKVITKSLGSNYYKAKGIVKKMIDDYTAQVKLDDGTVVKLDQEHVETVIPSIGRQMLVVNGAYRGAETTLESIDEKRFSLRLKIAAGPTRGRVIEVPYEDASKLA >CRE28310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1811749:1813117:1 gene:WBGene00056017 transcript:CRE28310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28310 MASPAPKYFWIFVSFSVSLSVYGFFYFQSHYTIYVDGKVVNFTGDLFQVAADNKLISCGIPKSMSQLTINIMCLLYDEDSFSAESNSLNDTWVTKRECLQENEFRNPTSQLLNDNETVRFAFIRDPIQRFVSLYLDKCIHTKFCFDCDTNMSCFVQRIYDMLKNIQNYRHGFQKSDIMAQHAAPLSWMCNFDRELEKWHLLMMGSDFVERKSSILHLANILKRQGFNESLVEKIQQDTLAGETAHSTHTSSHRVEAERQVREDPFIRDLLHKIYFFDYVVFPFKRDSLDAKYRTNFWSIPE >CRE28307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1801582:1802133:1 gene:WBGene00056018 transcript:CRE28307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28307 MTNKLSTAWTEAVESGLVDLAAQSRPLWTVREEKDIGDNEMMELLRIRESLNAQFDRAFTAEMIGKKWMEIRLLFDLKYAMNGYSMEDRGHHIRRDGSLKDDLTESWKLFTKLEFLIDPNAGKKKVTKK >CRE28306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1800089:1801299:1 gene:WBGene00056019 transcript:CRE28306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madf-2- MASWTDPSRQYLITSIRERPVIWDKSYFGDSNYRAMKISAFDEVTRSLNSMFPTKFTWEDVRSQWKNLKDTFVRKLRWVHEGKYMEDAMKEPTWKFYRMLTFLDDKEAKRLGDSCDHTYELAPATPSGNCGGLGQRQQHQQISYEPTSSEEQMLQMFGSPPVLTQQQQGMHSSSQMSNCSSSGSAMSSSTSTIVTSSTGSKRGVHYSPTRSQNGSSSSGVEEELEDDDEQPERKKPYRRQVSSIPPMQVITTTASPTHQDEFDHFGAMVAAKLRRLCSEQGIMDAERVQRRVYDALFRED >CRE28305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1795636:1796934:1 gene:WBGene00056020 transcript:CRE28305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-20 MKFILFVSALIAACTASSLEFVAVPSSLKLPETDATIKTTKISTLNENILGLSARQVDGFPVSIDLFSRPRALAVVSVIGTDNLNLGGSTYSTKSDGVETVGFEQDMALIFGADRESVQVSAGGITGSRLALSAKQEVVDASVIKTQRATLRSELEAVYQLAAAIKTAGIQMDNNADVFRVTITGLVGITDESQKQEAVADVKAAIQALTAAINNSYGGQAVVELLTFDAETGSAEITSENREIPSDSHNIQKRDVSIGAFKDALNSARKGFQVTVPVSSDYPAIFAIFLGLVVILVIALIYIVVAMASIDPEKDSIIYRMTTTRMKKD >CRE28304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1793209:1794686:1 gene:WBGene00056021 transcript:CRE28304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-47 description:CRE-SRU-47 protein [Source:UniProtKB/TrEMBL;Acc:E3LN87] MDIFNRSEYISYDFQWTSLTFPNITVLVGPFYLLPTFYIVGKMAKIFYETGRMSSISIQKHLFLAFLLMQIANFSYILTDFLVLRIPATGILTSICAQTEPNQLLKIPYLVAFISSYLSMLFPVIFCANRAIIVFFPKNHDEICTRFMKISLPATVILPCCFTFFMVPAVGHCTQLTAPYPMGAVMFTLDLKVPINEYTHLITSFLCTLFTFTINITMLIKVRHILFYKKSLNRNVNKNYKAELSLTITMISLFVPFLINGIIAVLSLRNPSLIGYILSIRPIAIDTATVFEPWAFYLTHPMFGKYKRKTTVSSSPIAMVVSSSIISR >CRE28591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1789415:1790338:-1 gene:WBGene00056022 transcript:CRE28591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28591 MKLLNSSVKPLVLTHIVGKNVFINEQTLINWPAWFWWSIVGGSLICCLSCMVWIVSSLLFLRREMPCTHTVQVVVEQDGGTPQKPTSDPMKENDVAQDDPPENENPSTVESGLHTDLPSFIQRSAKTTERNEVIDSKKPEPLSDVAGESKKSAKSRKSSVSRKSSKNESKKSSKKSAKSSKSAKSSEPIDRQDGGKDKEYCIQIDSNDYEMVSEPIKYGFFQKISRSMFYSRDF >CRE28301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1787457:1788373:1 gene:WBGene00056023 transcript:CRE28301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28301 MRSALLIFPIFYLAQGYVFDCLDDCECDTEDEVIHCHNGDRTQLRLPGGTRLRGFPVIGLTYNKIVTLPDEATLLAKFPDLKVVDVERNPDFDCTTVENYEHIKIVSDCFKNITEISKVPKLFRPTKECDVSCQASKHYAKLHEYVLSLWEILKDKYDNFDLDSTLRDIQEFFTMVVKKINNVGRDINNRLEAAKAQRNHPKQVTPMPEPNEVSITLTEDETGI >CRE28590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1783762:1785463:-1 gene:WBGene00056024 transcript:CRE28590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-10 description:CRE-CUTL-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LN82] MILLLVLLAFIPLTGAADPPTLDNGIAELPLVDCMEDRVKLTFKTQRPFHGRIFVKGMVDKQNCVRDFVTNQAKDVTFELENGACNMRRQRMLGPEKRGMEMSMTIIISFHSTFITKVDRAYRCTCFYMEADKVVTNKFDVSMLPTTDLIDTARMPLCTYSVRRDSVTGPIVEYAKVGETVYHVWNCESDMFSMLVHSCFVDDGNGDERKPLLDEHGCAIDPLILPDLTYNKDNNVAYAQVNVFKFADKISTYFQCAVSTCMNTEGMCDGKTPPRCGPSGSFSSLSNNNNQFNNRRARRQSGDRLSNRTTVNWVHLADTMDLAANKITVFELEEKQDRDNEDIPSHSHLAILQGQQTASVICLNQSRIQIFFILSFLMLTLVTALTVFTLRRTMAVQKKCELNA >CRE28300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1782114:1783177:1 gene:WBGene00056025 transcript:CRE28300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28300 MSSSPKSVVVTGANRGIGLTIVKELLKDKNIQYIIATAREVENATELKSINDPRLHILPLDVVSDKSIDTFVSKVTDIVGADGLNLLVNNAGAAFEYRTKMELNRATLVKQFDVNTISLVIITQKFLPLLRKSASKVSGDKLSISRSAVVNISSGLASVSLNNYGSDIIPMLAYSMSKSAVNQFNKIFSIDVKDDHILTVAFEPGWIQTNLGGPHAPLKLEEAIPVLVSSFYKLDNTHHGGYFERDLTPRPY >CRE28298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1775620:1778377:1 gene:WBGene00056026 transcript:CRE28298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdk-9 description:CRE-CDK-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LN78] MSSQPYTAGLHQSSTQSHRQAAPKRPLPNRADEPPKRALIGQNGQNTPSGGGTPNSTGNAEVDVKQQLLNYQKIFDHASYPFINDVSAYEKLSKIGQGTFGEVFKARCKTTGRMVALKKILMENEKEGFPITALREVKMLEQLKHQNITDLIEVCSAKTGSTSTSKDRTTFYLVFAFCEHDLAGLLSNPKVRMSLVHIKTMMKHLITGLNKLHRSKILHRDMKAANVLISKEGVLKLADFGLARPFVQRENPNHPRPLYTNRVVTLWYRPPELLLGDRAYGTKIDVWGAGCIMAEMWTRQPIMQGDTEQKQLTLISALCGSINPEVWPNCVKMPLYSAMAAEPNSALPQGKGRCLKLKIRHLMKFDSADGKPQSDPYGKKTNDQVPSDDDAMNLLENLLAIDPDRRPTADEAEDDIWFYKDPVPRANVGDLMDTIPTSQFEYTVGKGAHAGRGRHHNNPQRPHQAPRQSNAIPAGQYRDTIF >CRE28588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1772416:1775088:-1 gene:WBGene00056027 transcript:CRE28588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hxk-2 description:Hexokinase [Source:UniProtKB/TrEMBL;Acc:E3LN77] MLGIIELGIQYRLWRSQASKGKKSPRSGPATPLAKMASKLNEESISLESVMAEFKLSNTTLRRMMSHMSDNMDRGLESGLERSTIAMLPSFVPELPNGKERGKFVAMDLGGTNLRVMLMELEPGEPMRTKQFNTRMPNAAMHGTGEKLFDYIAKALCDFLIERELADECLPVGFTFSYPCDQTGLRSATLLRWTKGVTATGCVGEDVVQLLEDAIKRDGRVKVNIVALINDTVGTMVAAAYEAGGHCDIGVIIGKSFLLHENIKLQFSGTGTNASYMESSKKIVHGLANATEDYNHKSMIIDTEWGGFGDNGEADYIFTRYDKIVDSKSDHPGVNSLDKLIAGMCMGELVRLVLERLCENKVLFNGQGSKMLRTRNTFPTKYISEILHDDCGVYSNTRQIMDELGIEGATFSDMLLLREVCVVVSRRSANLAAAAIACVLNRVRRPNMLVAIDGSTYKYHPFFNHWVCEKIRELLDPGLDFKIVQTGDGSGRGAALIAAIVSRVKREEEKRLKDLEIQRQKAAEAEERRLLEIEKEKEEAEERARKISEMMRYQIERGAEESYQRHE >CRE28586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1766992:1768084:-1 gene:WBGene00056028 transcript:CRE28586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28586 MDKFPILSLPAVPLRQVLGGLCPIDLFELLQCSSRTKEVLKSFEKANKTFKVGVDFQRNWVEIKGVCKFYVKEEDNNMKMDKENKKRTFCDREVPISTDEAKATNTYWPAGEKLEGTMNVGQNFVKTLGVGQLDQILISAENPAAECLSWLENCNVRLENFNLVGAEPSHADNLATAIFSDDFLSKVSVNFTSLLEPSEGWRPINFSGDMPINVFKIHLQHSQWFTGKQLMAMNCSSIILYHSTLKNEDIKKYLEAWKSGAYPKFLYLSVHVEEANKFNFNSIVNGLTDNKPTVVDNTTSVEFQREDGDSIEICLGNGGKHFTAFIN >CRE28296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1764519:1765834:1 gene:WBGene00056029 transcript:CRE28296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpn-4 description:CRE-CPN-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LN73] MSQSYHRPRPAGMAGAILDKQANKFNDVEAGYLLEWIKDLTKEEFDCEPSRDNFREQLKDGSRLCKLVNAIKEGSVKKIMKPISNFNCLENINQFTNAARKLGVKDEETFQSVDLFDGRDLFSVTVTLQSLARKVEKLGIAPPKQVSKDQIVNM >CRE28295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1761846:1763749:1 gene:WBGene00056030 transcript:CRE28295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28295 MAIKSSLSTLEYCPRSLNTVFCSDPLRPKDVAMNGKPGTIQWFDPVTVTTQSKMLVTLENSIDGDMTSRGIRSAFRDVLTVSFTPTLAATIEKFINYDGENHLRFWQRIGNATKTKLVASIAVPKNVVFVATCQTSAASNFVVRGLISPSNRFDSFYYIRLFQNTIVTASTSGTISVWDYSEKEVREDLERSRNWQEAEIRSISNIQTDGKFVSAHGQHAVLWNVKNMKIIDVLSCEDDIQKVAFAADGRHLIVSTKKGVVCWDTLCLLVVWRIQQSVGILVNSVGCFAIDSTQVMRFDAENGRVLETMQFSAPVDELIVIEQRKATLVYVAKTAKGILCNRPALIKSSGKGSETIDLKTPFNQLARISSSTSKSTSSSDQQFVRQPRPEAARLFSGPVHQLPPISFIAPLFIEKSLLPPPPRP >CRE28294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1758383:1759774:1 gene:WBGene00056031 transcript:CRE28294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28294 MEHPENIEVTLVGQPYSNLVISPNNRILAATTGTSCEVLYSDKKGNAARRVIKHDSDVIAVFFHTNERLVTVTENGEVTEYEAQERSFEKLVSRRVTAFPVVCAFAQKPQLDEEKELEIWLVVKKSSAKCQKFYDVCVTGANGSVEKVLEIPANLRKEQIAISDRVISYCQGLEVNSIILKDDDYSVVKETKYTSKNGGGHDDEHIHEIERLAVNGNFLVASISDGRVLQWSNLKTAGVSDTHHRIHWHKVGSHVAVTQFGNVLSAGAECVLARHSKGQKEPTLLPRLAAPVTGLVLSDDSSTCALIMETVFVYTQ >CRE28584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1753155:1755228:-1 gene:WBGene00056032 transcript:CRE28584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prp-17 description:CRE-PRP-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LN68] MDALRAYGESDSEQSEDDVIMQEISKGKSVTLGTDRAIVMAPDVQSKSVIKEVAIVDPKTKEIKSNPKFEQLFKSESGPVNPFKSEQQRSQKNTLTGFVEPAHLNEFHFNRQIRSFDTLGYAQNPTAETGTSQFVGDVKKAETEKGVSLFESKKTGGEKRKRVRNDDSADVDGYTGPWSRFADEKTVAKPSPELQKQMDEIVKKRQEKSRRFKKEKEDSEQMAEESSTLHLKEAEDYQGRSFLVPPSFTGVNLREDYVPERCFVPKKLIHTYRGHNKGVNFLQWFPKSAHLFLSCSMDTKIKLWEVYDRQRTVRTYSGHKLPVREVAFNNEGTEFLSASFDRYVKLWDTETGQVKQRFHTGHVPYCLKYHPDEDKNHMFLVGMQNKKIIQWDSRSGEIVQEYDRHLQAVNSITFFDKNRRFASTSDDKSVRIWEWEIPVDTKLIQNVGLHAIPTMTKSPNDKWVVGQCMDNRIVLFQLVDDKLRFSKKKAFRGHNAAGYACNIDFSPDQSFLISGDADGKLFIWDWRTHKIVGKWKAHDSTCIAALWHPHEKSRMITAGWDGLIKMWN >CRE28582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1750420:1751397:-1 gene:WBGene00056033 transcript:CRE28582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28582 MLSARIPLSSLRISCSAAFSSAAEFHDPIKDQAEALVARKPSEAKKGRVQSWRAPVYTAPHQHVFEQSPDFSFKDGRTVHVTSRKQLDYKLDQIRLAKKIVALLKETENVETIYKREQEARKSKEAEEIGRRPMAKGNKNID >CRE28292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1749432:1750331:1 gene:WBGene00056034 transcript:CRE28292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28292 MFGRLKQKVKEKTGRAKATSLPVDVDESMIYFKNLLPRLKDLHKHMTDLNDVYKWQKKANFLAPLENYARLGDKVNVQPFIEAVNARMSAEGDSAKGVQNECEKYKAYYSNDCRLHQEQINYLSKTRLDMDSAADKFANAETDANKMKLDTCTKEFETACTRMRELAAGIKEIESNHSAWQDSLMKEIKVAFRK >CRE28581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1747999:1749313:-1 gene:WBGene00056035 transcript:CRE28581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28581 MTWTDNKRRVFPHRNPHIIFVKTRSKMTSAYFGVAGGGNAGAQSAYFGVGGGPAGGAPKSNGGGGGGGAPPPGVSCYLGAGAGGPAGGGGAQSAYFSVTPTAGGAAPMGGGGGAPPPASSGSYFTPPAASGGGSTMTAVGGAPRGASTMTAVGGAPMGGSSTMTAVGGAPMGGQSTMTAVGGAPRGASTMTAVGGAPMGGSSTMTAVGGAPMGGSSTMTAVGGAPMGGQSTMTAIGGAPRGASTMTAVGGAPMGGSSTMTAVGGAPMGGGSTMTAVGGAPRGASTMTAVGGAPMGGSSTMTAVGGAGGQSAFGGAPAPPMPSSGGGGGGGATSAYFGVSGGAMGGGGAQSAYFGVGGAPTGGGGGARSGGGGGGGIPGQSVYMGAGGGGGGGGGATSAYFAPK >CRE28580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1743705:1744841:-1 gene:WBGene00056036 transcript:CRE28580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-38 description:CRE-NLP-38 protein [Source:UniProtKB/TrEMBL;Acc:E3LN63] MIPGSTKAKQMQLLHFIVGLAVLISVCLTASDDRIMGWNKAHGLWGKRSVQEASQDKRTPQNWNKLNSLWGKRSSGIASFDDDYTMDSNGENTNEVAMLYKRSPAQWQRANGLWGR >CRE28579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1740593:1742716:-1 gene:WBGene00056037 transcript:CRE28579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28579 MKTAHKSSGKLIILFLLVSVPWVSSQESTPAPTPAPTPAPTTEPTVPPAVGESTTAVPQQPPPPTTKLESYNFEGPLTEMRGSYTLPQMMNYDKGRPGWASIWSVNVAEKNFGVYFWPPKYCHAIYLCAVTSKSYNAMNQFDEFDCDNKFLKYYPHIQLIKFRKDNKSEIVEKFYVESALQFQQRADNVYTLKALKANPRSYTEWIEMLKKPESFENLDWNLEKNDLLILTNSRMCEVNKPGLNGFIPIFHHSNMPPEITKNWPQWLPNQEKTDKFYPTVTLAATGHSKKDVDLLDYLKGDNMIHSQTTLGNANQHCSNYEKVSVFGARKPVYEHSPFVSTATYEVFQPNLNVAGQRYTMELEFEMNNCQWIRFWATDQHDMSEYKKMKPEDTMDLFIQEGFYLPPDSNEPVPLPDVHLMSKIKFTILKDAASVNDDHELIEFSFGNVNDNYLFHHFFRSKSFGKKTFKLHMIRSPRCESNIVIDGGFKEKETTDIPNLKDVKSCLYTYSREK >CRE28291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1736406:1738769:1 gene:WBGene00056038 transcript:CRE28291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28291 MENRNDSGERLATFCEVNRVFHMNSQFIKPTHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHRMLRANVHINAKQAKFEQVKRRKPPRRVLDPTAALLATENLDSCEDQDIDKEYDTLVHVLKKAQDAAVTIPTNHSRNRLKDSTRLLLSKRRFTDRSDPNFKTLSKECRQAVKRDHENFAKDRLLNAANQRKSLRKVARDINEYQSYIPCLLSGETGEKLTSREKMEIEVRRFYANLFATKQSKATTIIPQQTKALPPFLPEEIQHSLNSFQNGKAAGEDKLSADFLKSCHSSVHKLLANKFSRYLQEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPVLYKIFTKCILNRIRNSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSGYIKLLKECYKDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHLKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINANKTKVLRNKFASSHQIHIRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGFTLTEQREKNLHREDIRKMSQVKDPLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE28578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1729433:1735947:-1 gene:WBGene00056039 transcript:CRE28578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sepa-1 description:CRE-SEPA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LN60] MQVQTPSYYYAGPTQMKTYTMPMGYTVQSQNGENQVPILYHRYVLTSCPLKKTNIAGVNRQNGSCYFYKYSAADDTMKRHLCRACQQIVEERHLSPLYVQYCEATKDTIIFFYNCLTNSVEQYTYSFKTGAVMEVFRPETVYDRSKVIYSTSVAVLKDDEEKEIVSIEKDGHTGFRKQILRNGRFEIQPPKPIKKLKMKKITPTIGTGDETDFEYDDEADNSENSQYSDGGRVNSAVNHDLKGHSEESKSDDDGFSLYLRSIVPLKARHCPHMGKEYICAFNASNEKFSRFMYNDYTGEFQFFWLTNYYDFQLFNCISCVNHVCEENLIPKYVENTNRAGGIVMHVENNFTGAIEQYRFNFATLGFEQILRPEFPYDRTKIDPDVTSLFVITTTTTIAVMKDKEGHLKKEQYCMSTHKFIPAPPVAVKTIFMMRREEQEREEKSIMRNKYSIETSAAKPRVSTVKRIPEEDYIASFEHLTHNQRPLQQAKLLGSSPSTTVHMNQLNEMLDMIHVLRAKPDHLPTTAPVEAKPVDMKLVASKLNKLESTQHVSAQDQPEKVSLGEVINQATMLTVNEPSPATHASAAKKTARKEKTKTSQQSSHHDAAVANSMKEIEKRGQSTPNPAQITKGQVNSSPYNHGFVNWEEFLRTNPTPAEVLAVIETKTAGTSKKSTLSKRNHQSRSNESTPPRSPLDSQAPEIPAAVPILQEASAPVLQPVTPIPSPVLPPVPHSGPMIVKVEREVVIEEGEAPIPTDNVSTSGALTLNDLSESFPSTSSRKRTRRGPGSRRSAKGRRTNSKYWTYTDQMSYRCNVHSCSAEFSSAEAARSHFNSNHDLEPHIPSNNRQSQESTSAPAYKPANKRQKCPQVGCTKYFMSKEALARHFEEMHANETVVSQDTSQDDEPMEDEDHVSDYEREEEVDDEQMDEEPAVSNNIKPEYHFDQ >CRE28577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1719792:1722353:-1 gene:WBGene00056040 transcript:CRE28577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sptf-3 description:CRE-SPTF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LN59] MSKPGQSQQQQIPQQQQMQQNKMMILQHPRTTTPDGSQVYLLQPNQGQNHTGSRQVQFIPVQVQGGSEKKQTLMHQMNQMMQQQSGSSQQQQQQQQHNQHQQHHGMESIDQVIDQVGSGIIGNSNGNQQQQQQVQQQQQQQQQQQVQQRRDPIPIAPAGMVSGGQRMQNNGGGQSNGGPSAVSTLAGQQRITLGNLHFQQDPNDPQKWIITNEGPPTAGPSAQNNNQGHRQMAQHQMNDDSMMNMNMKSDYDMKYDMSTMGAEAPKRCACTCPNCVQNTRQGDGKSRTHICHLCNKTYGKTSHLRAHLRGHAGNKPFACDWQHCNKRFTRSDELQRHRRTHTGEKRFACNHCGKKFMRSDHLTKHERTHQSNRINTINQQTLRLS >CRE28290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1715216:1718540:1 gene:WBGene00056041 transcript:CRE28290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28290 MDIPVVGFTHRPPRRNVPRHGTGALTRELTTSSTEKVTGATPSAPDTAPSVTALVKKPPPTLPPPTMPPGPPHGIQFMAPPPHHQYPHHLQYPPPPGLPPPPHYYTQPPPPPPSAVHGYYHPHPHHSGPTTPVARMAPTPFGTGLPPPPQVPPPPPPAPPPRTITASSSTASTSIVASSSGIPPPPPPLQRTPRTPSNPIFQSPRDGFSSSSSAGIASSSSGAPPPPPPPPPPPPPPPMETSSKTNMSISPTSRSSSSSGNNPQRDSLYKREVAQMMQQQQRINNNKRPQTSPPKELMTPKRRYHDEGGSTPSSTRQSRSDRISIDGRSPEAGAVSGETRNNSSPPVTDDKASKFKLPFTDMYKYYDKDMANEKSNSLGLSDEEDRKILTKMRRHSIYMDNQILNKQQTVEATRQYCTTKKKMREILMTRIEHIDKIIEDLEKADSVLQSSTSPVMVEMFNHCSNGGTGAGHHSNHS >CRE28289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1705588:1707223:1 gene:WBGene00056042 transcript:CRE28289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ola-1 description:Obg-like ATPase 1 [Source:UniProtKB/TrEMBL;Acc:E3LN57] MPPKKNAVEEKPALIGRLGTNLKVGILGLPNVGKSTFFNVLTKSEAQAENFPFCTIDPNESRVAVQDDRFDWLVNHYKPASKVPAFLNVTDIAGLVKGASEGQGLGNAFLSHVSACDALFHLCRAFDDDDVTHVEGEVEPVRDLEIISNELFAKDLQFIESPLDKVEKLFTRANDKTKKIEYDTLVRVKKCLEEKKPVRQELWNEKEIEILNKYLFLTAKPIVYLVNLSEKDYIRKKNKWLPKIKAWIDANDAGAVLIPFSGAFELKLLDMPEDERQKYLKEQGVTSNLDKIVHTGYKALQLEYFFTAGEDEVKAWTIQVGTPAPKAAGRIHTDFEKGFIMAEVMKVADLIELGDEAKVRCKSGGKYRQQGKTYIVQDGDVIFFKFNAGAGLQAKKK >CRE28288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1704686:1705277:1 gene:WBGene00056043 transcript:CRE28288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snr-2 description:CRE-SNR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LN56] MTISKNNKMMAHLNYRMKIILQDGRTFVGFFKAFDKHMNILLAECEEHRQIKPKAGKKVCCYFFIVQGSILIIDCFQADGEEKRILGLVLLRGEHIVSMTVDGPPPRDDDSVRLAKAGGAGGPGQAKPGGRGMPAMPGMQGMPPGPPGGLSGAMRGHGGPGMASMQPGYGAPPGGRPY >CRE28576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1703149:1704296:-1 gene:WBGene00056044 transcript:CRE28576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28576 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LN55] MQESQSETSYIHKQYVVLDQTFVVFDSDQPKNASQKLKLNRLAGVGPIYWRFQTNAPTRYIVSPSHGILSDDEPVEVTVTLYQNRFRPRHELFLQAAPVSETAGFDPKTIFETTETAQVTYLDLGTTVMKIESALENSKSATDLHQALDASASVGADRVDQLQSILNLTNCDTEQLVNNIHQAKQLKITLDSQLEERKRLVEEYKARLTMLEGSYMRKSAEVNRLQNELLNQQKAQAMKASASPNNKSAGNCCIS >CRE28575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1699713:1702423:-1 gene:WBGene00056045 transcript:CRE28575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28575 MAAESATTETVEKNGNEESTVEIKQNGDAPAISQVPPTEVVKPSKEEEEEDEPTPAKKRSGSEEKTEIRDDAPEDVQNDEDDEDAPDGKSNLDDDQNVENPAYIPKTGRFYMHDDGRRVDVTSQGNDDDDSDDRRNLKKSRADGDWKHDRFDEKFQRPKTKKQIVTKYGFDIRHKEGEVGTPEEKETAPESTEEESSDTRQVTRQQKERRVSSSTGRGAKSGRGGAGRRPMKQREREDTVDESEGGGDGKGNDDEEQTRPAQKRQVKQQEKPPRKQSESLLFSEISSVVFFYIFGEKLSSFPEPVAPPSSEENEEEEEDDGAIRRGQRRTVPLRRGTSGPPSRLRGRLTQGPPRRSSGVSAPSHPPRQSPVRGTGRRQNDTTTSTRGAPLQVTSSSNSRRDYSNQADQNDDRFENHRRGVIRGASRGTGGTRGSSYGGRGAAIRGGVRGSGAPRYGVRRTDTSEQSEGYQRGGSGTDYSSPRPPAHQQSPPTRTYQPTSTPAFRGGSSNSHPHPSANVYPSSTRGNYSGNMEYAPRGGHGYHGPPPPQQPHHNHHHQQKRFVQGPPPPMVAVGVLPGNVVPPPQMTRGTTHGGHGNHHNGGQQRIRQPTDVVYFDPQQQQGNRQLPPRSKKVIPIVDPNKADQK >CRE28286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1692654:1695122:1 gene:WBGene00056046 transcript:CRE28286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-35 description:CRE-GCY-35 protein [Source:UniProtKB/TrEMBL;Acc:E3LN51] MFGWIHESFRQLVTRKYGKDIWEKIVHMAKFELGTESEIAHYYNDDETLRLVNSMANVIGIPIEEIWEAYGGFLIQFTMETGWDELLRAMAPDLEGFLDSLDSLHYFIDHVVYKTKLRGPSFRCDVQADGTLLLHYYSKRSGLYPIVKGVVREVARRIYDTEVVMKVQERKQEHLDAFVTEHVVFVITQIENPNSVQQKSISSKADSQIDLSTVLFIRRRLTALRLIPPSQKKSHLEKEEEPKEGIYEISSTDFGLAFPYHICFDPDLFLEHFGNFIKKTYPNATRQETRVTDLLELVHPEVPFSYESIKYYKNSLFVFRLKGLGDIVHNPTDEAKTVLLKGSMVFIDEGKYILYMCSVNVTTVRELIERNLHLSDMQRHDGTRDVIMLNQSRMSQVELNRTLEETTKKLKKMAQELEIEKQKTDELLCELMPASVADSLRSGKTMDASQFID >CRE28574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1695352:1697492:-1 gene:WBGene00056047 transcript:CRE28574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28574 MMAMSQEIGGKAYQQLCETDRYIVGRKRHDAQLLIQKFITEPIVNTNPLALVPVSSSTRQKKNKKTSAETSFPFPSVHLNWDVNWPSMDDFFQKMSIVMDPMIVSKELAQTKSSKRIKNQKLAICQNSSNQENRPFDIKSLRDVMERELQDLGIAHLQNLTCDVCGCYYPADCLYMITLPCKKQKSKNTTVNRCGGCWKGPLPSRSLSDLLVKGIAEKWAKKVEQRVECLYGDWDEKLTPMVKMLKENVLLAEDIAALLKGCNDSEDFKNACEVIILTTIVFYLDYVDFRRSCEALLAIKPTVTYSQLFEIFAIYFPNRAKGIKTGDFL >CRE28573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1690679:1692036:-1 gene:WBGene00056048 transcript:CRE28573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28573 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LN50] MGVPKVTTDGPKKTDPHDPVKWLGTVVERLKWWSPGNCQKLFLEAELIELCYRAREQFWKSSVLLQVDAPVNICGDIHGQFEDLLALFELTGYPPHQKYLFLGDYVDRGPFSIEVITLLFAFQILHPDKFYLLRGNHESRPVNMQYGFYLECRKRYSERLYDAFQLAFYCMPLCAVVSKKIICMHGGISEDLIDLNQLNKVDRPCDIPDIGVIADLTWADPDDKLQGYGGSPRGAGRSFGPEAVRKFLQLHSLDLVVRAHQVVMDGYEFFAQQQLVTIFSAPAYCGQFDNAGAVLNVDYNLVRIYFFSFLRFSISIILQACSFTIFRPDKNFNGLASDEKTKKQGKKDGH >CRE28571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1675097:1678843:-1 gene:WBGene00056049 transcript:CRE28571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pde-1 description:CRE-PDE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LN48] MRESVDKKTRQLRDKYPPPQMFVFSAMTLPPSTSTATSAENGFRRVDGLQRPVSLLRKQKEANADGNDPDGATSPSSSRKKSYDNAPALESLEKLRYILHQLNSGQLPLEDLKRNIEYAALVLETAYMDETRRICDEDDDLAEVTPETVPDEVREWLAATFTRQNAGKKRDKPKFKSVANAIRTGIFFEKLFRKQQVVQCPIPPEIAELMKEVCTWSFSPFQLNEVSEGHALKYVGFELFNRYGFMDRFKVPLSALENYLSALEVGYSKHNNPYHNVVHAADVTQSSHFMLSQTGLANSLGDLELLAVLFGALIHDYEHTGHTNNFHIQSQSQFAMLYNDRSVLENHHVSSCFRLMKEDDKNILTHLTRDEYKELRNMVIEIVLATDMSTHFMQIKTMKSMLSLPEGIDKNKALCLIVHACDISHPAKPWNLHERWTEGVLEEFFRQGDLEASMGLPYSPLCDRHTVHVADSQIGFIDFIVEPTMVVCGELLVKMVSGHLDRQKHRHILQVEPLVSLPPTDSLFPPSVDGGDDKSPSNALSPLPDLRNSSTSPSSIRKIPLNYAGKLDIPTPWMKFLHENKAHWKERAAKEEEERKVKEAAEAEAAAKQAEENKENGVTVN >CRE28285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1672991:1674442:1 gene:WBGene00056050 transcript:CRE28285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28285 MTNTEKTEKTIKRVSDLKPRIFKSVIDHRSYVPYTPYSPRKRVTPLPPSNHKYLHQPHQHQMQSDIAVHSEPRAKHVQMFSM >CRE28284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1670353:1672688:1 gene:WBGene00056051 transcript:CRE28284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28284 MARPPEMIKCGWENCDSWFSTGVDMNQHVLINHIKHMKIQFGDVDHATVEPKRDVAETPPKTPKNIEVRQITPTVSTTPLRQFPHSTSWAVSTHDSIQTLQHFPPITNSLKKLFPNPHNPDAPVDRKPNSLQFYDDDEEIIINEQPMSAVSTVASEISQELEEGTSGRTKKRQYDYDGEEEVDMNLANMTPERAPVGESSKKTKRIGDRVDQSGDKIPWALARSASHIPQPEIRLCTSFTTVGEMARAIGDELTVRKTLRKKNQSNGNYCVVCLDYRKDNGFCDYMHNNQHEQFIQFYHTLYKNERVSKQTLLQYLTEMQLLRDPLPELSTSLVRAPF >CRE28283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1668973:1669718:1 gene:WBGene00056052 transcript:CRE28283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28283 MLKELKSREIISPPAVKNEDMKIVCIADNCTASFRTKNEVRRHIETEHRDSEIVDSKSKTGRRRGSNATLNELPEKKIKENDSRVNEKPIFKCQSQSPSQSEKSMESNTLVERKLIKFDENMKMSEASSIVLDAIDQMPSSSFPANSDPSQFCVICVSFERCGSPHCKISKQIISTWRHYNDGAEITKKNLLVILNKMITGYSKREEKAAN >CRE28570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1664001:1666156:-1 gene:WBGene00056053 transcript:CRE28570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndc-80 description:CRE-NDC-80 protein [Source:UniProtKB/TrEMBL;Acc:E3LN44] MFGTERRKTGGVNLNGRSSIAITPTKRFTDFGATSVRKTDGRPSLSVGQLGQQSSRPSIFKTSVHAPRDVKSFQAANAHKIFNFLVESDGADAPAESVIRTPRGKNDFIVIFESMYQHLSKDYEFPPLNQARIEEEVSSIFKGLGYPFHLKNSYFQPMGASHGWPHLLDALAWLVDFIKINKSVSADTQHIIFGDFLEPAKVQEKALSYAWFSTTFRDYTNDRKSAESSDSEFWVETKNKLRKYFEDSNEYEDMTANAQSALQQLRFDCDEIESERGQEQTYVEDIARLKDDIRKAMDYFESVQHLKERKENETAVIKEELEAKVAENEKIQMAVNELKERIEQQKRVHGLNGKEVRKMNLENSKDKDTVSDLQSEQEMLSKQLWRLRDENPFKDQKMKVIQIAENVTKILSGLNMQFELESLRPPENEKELRACWEILIGSWLPEINRQLHQRKLDVETEKSRFHQKFAAAEERIQIENEVLDEVKKKEAREERIHRDERDEWKEARQKQEKRYDELENEKEMLKRKMQMDGSLEKEIKAEKEKKEKIKKDLEEMHQGLEAVFRKKLEKIASETAEIGNEKTMFRIEAIEVEKLIDRKCSNQKV >CRE28569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1661980:1663245:-1 gene:WBGene00056054 transcript:CRE28569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28569 MISSCSSVILIDQEPIPMDPTPSTENDSRIQTRRSLCSAYKLSNTVSVPKQHDQLHSDPTDQLTQLLKQCITQTKDLVEISGSYQKNLKEPNASFEKPLSFKNLFDELNQGFYQSPTFETLSSPQKVDNETEESTLQQIPRGSVTSKYCPIKRIHVFVVSVLDGSDMVFHYSPVHQNLIRHYCLICEDSGNGVVETLQKEVTEEEEESYKFYPLFRILYCSHINLFVVHFGFHGVILQCAFNEFTREFEAYDCQECPETVKEEHLKPIYVEYCEAISDTIIHMANTMTGFIEQYVYESKTMEFKQVFHPENAFDGAKLSRDTVLYVVPELNGRETKIMRDLEGKVTKHTYIPEDQDHVQLPQRTVRTIGVQRAQKDVDVLKTKEESIWREEDLKIHDFYQSALPLATSTPLKSVKTQEDLE >CRE28282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1660598:1661686:1 gene:WBGene00056055 transcript:CRE28282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28282 MNIFSKPDPKELERANKRELRRTNRDLESDRRQMDRREKELEQEIKKLAAKGHNDAARHLAKQLVQLRNQKTKSIGMSARISGVQAQNSHMQSMAKMGNAMGTTVKTMKDMNKLMPLEKVAANMREFQMAQERMGLTEEMMNDTLDSILDAPGDAEEQDAIVAQVLDEIGIEMNSKLSNVPSIPSRVGANTAPADFEDLEAKLARLRS >CRE28281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1658028:1660198:1 gene:WBGene00056056 transcript:CRE28281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oxa-1 MLSVPMRTAAFRMPTPLRLRLIRTTPIIATCQTRNISSQDVFKFSETAANASDLPPLPTPPVPGLSLDELIASGESVLEELGLWSWWKPSSYFRWALEGMHVHLDLPWWLTIVAATLTLRALLVGVPVMSQKLVAKQSMYRKEMNEFRDRIDEARKENNQLLQQQILLEQRDFLRSKDIRLGRQFLVMAANGAVFATQFFAIKKMIAVNYPGLSTGGILWFTDLTATDPYYALPFISAATMALVTKVGIEMGTSADQMPPIMRAFMSYGLPVVIFGVSSQFATGLCVYWTASNAVSLIYAGAFKVDAIRKIFGIPPVVPPPPSTAQKSAFSQVLKSYKDNKSIPPSIADLRQRDASSFKKAGRGKPIT >CRE28280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1656375:1658214:1 gene:WBGene00056057 transcript:CRE28280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tads-1 MGADGGTIPKRCELVQQKKKKEKLDKHVKNATKWRNCQLTQLPLKKPVIACRFGKLYNKEDVINAILSKTISKSAAASHIKGPRDFVELKLSDNKDFKKGDVKGDDYNDVNQTEFICPITNVPMNGIQSFLVNWQCGCVYSEKAQQEVKSANCHVCGGPYDATKMVILNPEPEQLELYKLKWEAEKAEKAAAKKDKAKKTAEKMEAAAGYSGLTSSDQPSNSSAPGTSGMTGIGKNVDIKRKLEIAKLAGSSSSSSSDKKAEKRKATSDIQSDPTKSDTYKKLFTTCEAAKNKTEGHWVTYNPLYY >CRE28567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1646526:1649113:-1 gene:WBGene00056058 transcript:CRE28567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28567 MDFLFVIFSLSLLFVNAISEQKDRRCPDKNYPKNACSVLGDCEIGEFCYRDIPMSSNETKNMYGCCKTRCVGTDMPHGWKYEEESQSMISYCSNLTELFYLDKVDIDPNLTKEAYGCCPLPISDFVYGKMSGVLVNRTEALPSGWTLLETGEVTGLKKCCTINDCSSNEYCGRVRNPGNLKLVDETSQNYPKISYEHIGITQRQKDFNLKDLQLCKTNKDCWNKTHFCRHPKEGDVGVCVPIIGNLIQSSGAKEAFLVNKKNGCESVDECDELGIKWFSYQCEKPVSNRSQTGNICVGYEILCSETALFQHGKNFGCQNDHDCARNHSNDDSHFPICVQNPFEKRESACCYEMKSCQYDLDLKPYGVSPLNKQMCSDDRDCQELADDMMTNQVSGKKRVLWGRCMEELENHLGKCCIADVSTLCSVGKSLFPVQKCSNHSKCGWNPFSEERTSWCGDEGYCCEDHEGERSWMCPDGKSIRLEQPKCDSKDGEWCEGRAGSCLMNRCCPMVKPEGKVIRVWAETPWYYSNLSCTDTFDLPDDMGMIYCDPKRKVVTRMTRGDSWFGPIIAIQNMYCSSNKNCTEISDSLVCVREMNNLQRCHTYYDRYTKWYLFIFPTTLLALSSFMFSYFWRHDLKHVIESQTEVIR >CRE28278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1644945:1646495:1 gene:WBGene00056059 transcript:CRE28278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28278 MRITLQISSKLAPHLSKRPILSPRKPKSDGEATSFVDYRRVRCIAGNGGNGMVSFFRGYKKPFGGPDGGDGGHGGHVVFRARRGAKDLSTVYSIVRAHNGEFGRSKSCHGKSAEHKEINVPLGTVFKSDGTTVFELNQENDMFIAARGGVGGRGNQFYVSNEVRKPFKAEYGGQGEELIYDVEMRVMATAGLVGFPNAGKSSLLRAISRAKPKVASYPFTTLRPHIGVVFYEDFEQIAVADIPGLIEDAHLNKGLGISFLKHIERCQSLWYVLDYSAGNLTEQYKLLRIELEGYQKGLGDRASTIVINKIDLSDKDSEEENQHLSSLFPNLPVFPVSAQERIGLEPLLEHLREQYDSRLIN >CRE28277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1641673:1644022:1 gene:WBGene00056060 transcript:CRE28277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28277 MTMVKTLVALATERMLLKTSGPTFLRGIEFMYAAGLAPDRLSVMMTSSHKYVLQFHSKCDIRPFAANYPNFGRVLRGIVHVREWRIKSIEFVNVAFYMRDVDNLERAIEKLGVELVLLRNCTYPGVQRGDEAVKFLSALNRKKQAVVCEYGDDRLKHRIGRRASGHRTRRASRNGQEVVAPPGDGAEAIIAPGAAQPAAIVQVGALEENVPAVIAPHGARVHGAAPHGIRRPIPPNPEQVRQMQQNPQMIPPRLAVPVERNADVPPAGIAPAPRVVEPQIRILGQPAGNSNGRVVVGAHVYEFRAIDAPNGDATPRYHNVNHLINVLNDNSANDVRVIVLPSRGGVPGAAININNNDNYVFRQITHQEAVGAPRPGDPIQHHFVAPIRGDRAIHMGQLGNAQQQQQQQAQYDQQPAQLMVAPQAPRPHRFVPQQVWDAYPINRADAGQIPQVQAAAPEPVPMFGDAVNEPQVQRNGDESNDSTDREEMDDAEHQRNEALRDLVAGKNMFSVTRLLINLKDLDPEAQPNFAPVDAQAVDLDMLEEEGEAEDDEVMEGVVMDGQFMMDDQAVMQ >CRE28276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1638920:1640344:1 gene:WBGene00056061 transcript:CRE28276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28276 MTRPQQPRIDLNHGYMRFIRRNQLDRDEYDRVHEQRKSEIRERIGESQRSIEPVKKPNVYIPPHLRRQLKEIEEKRVEASEKAPRSICRSDSDSQDDEKEICPILKKQREADDHVRKQVRRRIERTYPTVDDICCTLANYSLQETSERYSIRDGEEDLFTLELTLKNGDKKTIGVPRNTKAARLAKSLSREYNFDDSQCRNLRIFIEEQLEHRLAREARRSRSPDPSVPTSPMSSTSSSSSSITFSM >CRE28566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1633673:1636508:-1 gene:WBGene00056062 transcript:CRE28566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-top-1 description:CRE-TOP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LN33] MSPVSSHNHHHSNGNGTTTYDSNGNDEIKQEVKEEPMLSDDNATFAEIMKSSKKKNKNKRKAAESGSDSEGEYKPEKRKSASSSKKNGKKEESDGDESDDYKPEKKKQKKSNKKKNRGSSDEESDADYSEEDVKPPVKQEDGTSSATSEDGSDSEETEEERKQREEEEHRRRKQEKKEKKRREKELKKKVKKEEDESEDSDDEEDQKTKKKKSKTAEKSKPSTSSAKKDVKKEPSKKKVKEEAEDVWEWWKEDKKPDGVKWNSLQHCGPLFAPPYVPLPDHVHFKYNGERMKLSLEAEECATFYAGVLDHEYSTMDAFNKNFMKDWRKVMTTGERERIVDLKKCDFRAIDVYQKEQREIRKAMTKEEKLKIKEEKEGEVKIYGIAVIDGHRQKIANFRIEPPGVFRGRGGHPKMGMLKRRIRPEDVIINCGKDTEIPKPPPGHKWKEVRHDNTVTWLCSWTESVLGQNKYIMLNPSSKIKGEKDYEKYETARRLKKKIGGIRERYTEDFKSKEMRIRQRATALYFIDKLALRAGNEKDVDESADTVGCCSLRYEHIKLFDSAKLNETDKKEKEFVVEFDFLGKDSIRYYNRVAVEKRVFKNLKLFMENKKPGDDLFDRLDTATLNEHLRSLMDGLTVKVFRTYNASITLQDQLLKLTNPKDNVAAKILSYNRANRQVAILCNHQRAVSKTFDQSMEKLEQKKLVNSFIPDKRQKERSQGS >CRE28275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1630477:1632275:1 gene:WBGene00056063 transcript:CRE28275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28275 MEELEEQPTQTYTYSPTTSSDVRSNRSDDMEPRSILETYAPKILTSMETVADEEAENVPETMCQIFSQSLIPFFLGGCGSISAGLLLTFANKQLKLIEEIPEFLVLMPPLQGLRGNLDMTFSSRMSTLAHKGAFAEKGILSKVRRNAAVSQALSILVCLTANLISYFIVFVTPSLGDSSTNSTDTSAFSNDSSHDLNNIESSLFLTGSSILSVAVNCFVCTSFLFTIVFFAWKKDYNPDNIVAPLGASISDMLTIGSMLFFCYLLRPYAGFSSAVPIVIIIFAICSVPVWMWLGCESFLFYSLLPFKIQFLGKDDMAVRTAKEQCLTLVFAAIVSCGAGFLQSEGAMTYPNYPAYQTLISGLAGNRGAVLACRISSHLEVHKNSELGWDQRLWPITYYRSNCSESRTARLLLFTALPFQMFFVGISTVMSYAMYDPVEQDYRFFIAYAIVVLIQQSTILYLAQLLVFTLWKFQLDPDNHAIPLLTSAADVCGCGLLYSLFLTLDKSFGCVKRE >CRE28565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1627657:1629916:-1 gene:WBGene00056064 transcript:CRE28565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28565 MEYGDRSDPQSITGDTCRMNFKVSTRDKADATEFCELFAPWRLLDAEIVKESDGRQTTQCFVEATLACKRGWVQMFGHCFMRPNSEIVATYDEAKEMCDKNGGYIAFMHHRYIPGVWKKYFRGVGQIWVNATETWDQYIQSTGTVDGSALALAFTGKHFDFSVPPNSLIRINPKIKLEVLCEYKPPVTAAEINYLGRRYSEIYYPSVSVNNGILVRSASSYTRSSTNLDVCKKVLKPFLFDANSPFVPDQGNIDKLSEVKMQNKFLLTRSGAEMKRPSTGGNQCTSIIPEFKVRVDNSKVADFPVKTIEDDDKPTCDNMLSSAIVQFPGQKTKVKVMSDSRSLPIWCKLGKSVKFTYDPPEGYNVFVRSNGEVVAHKLYLEEVTYEIAKKKCAEDKAVLTGMDSSKEAEDLASIVFQFYLYCLIALLKRNKMCRSIIRKSENFKIDKTKQLFSDLAAEKNLLNVQLWLGGRRSSKCLKINKYSEDRNDECARRRVIQWEDGIAHSQEFEDSWWKNGKTINNPDYANRNQECLTFVYGTPGWADPDSPGFLDDIGCDGELGFFCSKMVEVKIVEE >CRE28274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1624982:1627058:1 gene:WBGene00056065 transcript:CRE28274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28274 MHFKHAFITCLLVFILHIAATSAVENPDGLQCEPPEMAADGQKKINIMYLVDKFLDPDTQVPGKDVKSSDVLLDLYREITDKSASTSTPCERFSRALGRAPAPFLDRENVHFIVPMLEDKNDPTCFLKAFQAEFKDDLRKKNFYFNGILINSGSDTAFHQAVRVVVPDLTTAVNTSRTKIDSFRGVTSEEKKIVMTESDELIDNLTDMVEQIVSNRVKRDWEEILTSSTSFTSQPPNTSTSSLVSTTDNSTSTSESSTETILNSTTTSSESTTTQNTTPTSSESTILNTTTTSPPLVVDRNEGLVFLEGNVDSEFSNTTVGQNATTAISYSALYEKNENEESSDEDYDGAEAGEDLEMASGLKNGENNTKTVIEYYNPSELEHRHKSIDANLLIYVLLLLLLIWLFCIFLCLVWMFYCAKKRKNKLIDHAEEQSQLLLPLLEKGPPEPVTPKPSISTESDEDDAEWNNIKPAIYTDSTAQNQSKSEVESVKQPSKEAQFAPVEVSELEENKEDDITNRPRRAGYLAPKRTSDFRFD >CRE28564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1622325:1624101:-1 gene:WBGene00056066 transcript:CRE28564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28564 MKPHRTLALLLLLGAIAILDAGKIENVLKKVGRRYNQQDRRIFFPIVSDDYDYARGQTVNLVGNLLHGQSSKDNEKSEWSATVLSELIRADGFIGSICGEKDLNYVQFIDHLKRARKNFLKNDGEKISRKLVVDTNQGNDYLTFSISFENHHATLGYNVTDTYVIHMEVVVTLQTFGEWGQYWITKILQIGACTEHGGIIPSIKEQLSDLIPENNDTSQFMSIFKQHRNGEFSSDWLELLSKENDFSGSVCIEGEPVTLTRNDMINWWKKFSVMYHPPDENYTSLTMIAAEGRKYTFRITVKVQIGYKEDEPVETFDFKLVLNQNEGTFGKIDHFEVMCNLVPRVEKEVMAKHSRVYKDLIVRRLDKLMATTPELWYKFPDALTDLFGPKGFSIDSCELGTTWNETVEIAEREFWAKNKMSNGKIEGYGLNVHESVSSVAVKMSIIIHIKWTPLEPLIRHDSMWKFYLEWDKNLQFFHTTKIFLGCRSEEGKTAYFRFRTEFCRYKHCGAKVKVVPDLKD >CRE28273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1620524:1621869:1 gene:WBGene00056067 transcript:CRE28273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28273 MAGIFSKILSFIQIDESTPTIPKRPQFFTRECFNSGNTVVVTLDSKENLRVFEFIEKDGDVFFIGHSDCVECNFDLLFHKGQKMNELEAKHDEVIVEEKRLSQQDNGESADYENGRNAALHRSATDSRCEKRDVSTMDSEFNGEIDTVVSRNNVVSKEESEGCEDENVVNSGDVESDEYLEEKKNKCENRGNWFTQTDDEIEENSEKEEEKEVVVNYTFEKCYDDVDVESEIEDSDKESLRSINRYAESGDDYNSFTEDNFDSGRYRKARSSGYSSYDVSKNRLYGVNAQKSSEVREVDFKYLKKSGESQLANAQFLDCEIFKSMERQEARHDEVNIKKIVNDVVILQNQKSDDVKNLRVFLQEVMEESQRRTEELQNIFQE >CRE28272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1618518:1620175:1 gene:WBGene00056068 transcript:CRE28272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28272 MRQASVHPRMKDILREKSDEQTLRLYWATKVDEAEQAVTKKEQNVRRLQAQKNELNGKVALLKDEIQRLHEQASHVGEVCKAMDKKKVLVKNNPDGKYIVDVDKDIDINQLVAGTRVAMKAESYMIHKILPNKVDPLVSLMMVEKVPDSTYEMVGGLDKQIKEIKEVIELPVKHPELFDALGIEQPKGVLLYGPPGTGKTLLARAVAHHTECTFIRVSGSELVQKFIGEGARMVRELFVMAREHSPSIIFMDEIDSIGSSRLEGSRGGDSEVQRTMLELLNQLDGFEATKNIKVIMATNRIDILDSALLRPGRIDRKIEFPAPDEKARAQILKIHSRKMNLMRGIRMDKIAEKIPGASGAEVKAVCTEAGMFALRERRIHVTQEDFEMAVGKVMQKDSDKNMSVKKLWK >CRE25974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig726:32:376:1 gene:WBGene00056069 transcript:CRE25974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25974 MATNRIDILDPALLRPGRIDRKIEFPAPDEKARADILKIHSRKMNLMRGINMSKIAEQIPGASGAEVKAVCTEAGMFALRERRIHVTQEDFEMAVGKVMQKDSEKNMSIKKLWK >CRE28270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1610327:1610859:1 gene:WBGene00056070 transcript:CRE28270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28270 MSATMQTNYDFPTIVNNSRAVQVSFILPRTHIITVFQVLCTIFQMILIYTESAALSFLTFVCYSLLVGMHLLHLARRWYYNIDGRYDVRQIIRDNEITLRIQYAVAIFSPLILGFLSWTFVELNNGLVHTLFHLSVMIQVAFAVGQLGFEFYEVCVSSKNK >CRE28269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1604662:1606573:1 gene:WBGene00056071 transcript:CRE28269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28269 MSSRADELIDQFLLFLRQSNTTFKPFPLLSLPPEIINRSLQQFNCVDLIDFALCSKRCKKTVQKMNHGVKSIELSIIESNSSIILKSQNGILGFVDFESSIPDGIIRSRYINSKEVFVCKTDLFYYCRDLKWIPIKLMYRFLSEIFAAPIHVLFNPVQYSNFQWFFNEIRGEQFESLIISGPNPIPPPILTCLMNDVKANGSFVLNVRHNGVFYPPELPSFFDVDYLEMLDTAEWMTKDIFLSLYCRRMRISFCHLKNRDFEQFVTQWYFSNNTRLQYVEVWFKVTPGLMNFKHFQLHRWDPYRRAQFYNSTPHLVLNCSTGFDIIRKDGTVATILIFSGSFHFIVWKDRFPPYGGSILNLV >CRE28268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1601654:1603832:1 gene:WBGene00056072 transcript:CRE28268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tfg-1 description:CRE-TFG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LN20] MVQSNGAITSTILKARYADDVRKSSLHHANDLTLIDLVLNVQRIFALPSDANFLLKYKDQDGDLVSLTSDHDLLLALNTVGATLDVTVVVDSRAHDLVQDVQRQVEQIKLDVGKLLGALSSLDNLASLVDNSSSSTAHISSIAAPPQPTHHDNIVLQKSYEAAPPSPVPSEKPELPATIQPSVQEHFNHRPAHVEEEIPLENSFHAAPASTGAPASLESLNSSFCQPPVESFGAIPPSNATIPSFPTSNAALPPIQHEQQFTQAPPQPFQAPPPQSHSSISSTPVQQGPPQGGPQYGAPPTPQNFGGPPPSGPPSEYGGYAQPPQQQNPPQQFGGPGGPPQGQFGAPPQGPPQFGAPHPQGPPQFGAPSPQGPQFGAPSPQGPPQFGGPNGPSPPQGQFVSPPQQGFAPPPPVSQAPGNFAPPPPSGTPGAFAPPPSGFGGPQGPPQGGPVGYGPPGGPGAFAPPPSSFGGPGGPPQGPPQGGPPTGFPPAGAGAPGGNPFARGPGGPGYRQSPYHQ >CRE28560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1599172:1600956:-1 gene:WBGene00056073 transcript:CRE28560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tdpt-1 MIENSRRVFAVSREASDEECEGLEPVEVEKVQTDEDKMKEFATITATDEIMAQTILQDVGWDLKRALDVFFGSDAFKEARAEVVMGPTSSEPSGTLKMTAEDLKGLEINVMSWNIDGLDGRSLATRMKAVAQIVKSVNPDILFLQEVVDRDLGPIDKLQSLYKIYYSNRGCQYYTAILVSKMFEVEKHDVIHFQNSGMYRTLQIVEGSIGGIKVFLLNTHLESTREHRGQRCAQFSFCMDKCEEILAQNPGCFLFFGGDLNLRDEEVSRIPNGILDAWVSAGSDTKTKFTWDTYRNDNKQGFYNAKMRFDRLYWHGPLNKVQFSLEGRQRIRSCLCFPSDHWAISATFSAI >CRE28559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1584966:1593588:-1 gene:WBGene00056074 transcript:CRE28559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kal-1 description:CRE-KAL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LN18] MKCFLFLISALLGFVKSDELISTRCQAQCLHLMDQRLQTNLELRKTLKHHIIQLCKDDVTCSACSAPCREQFDDIKACKKSCIASDDRETCEDSCHYLQTIYQEKPGACPSVSNSSNYECSALCQMDGDCPETQRCCSSGCSRQCLKPRSSDIRLLPIPRNISVQERKRKRSIIIRWATGRLSKAQQNENANLFLVQWRWGLHADESAMTEWQTVTVRNKPYAILKHLLSPGRYYEFRIAAVSQEGSLGFSVSSKPFKISKEAKAPPPPKDISLGSSKLGTAGLWNQMVNWNPPPSDLPIKNYQISWASSTKAEADAFEEQMRKKTTLEFAAHEKRSLQTSDDDDEFIGIQERDRHSVVVPSHSTSSEIQGLFPNSVYIVEIHASVDSSEGELHGEKGIVFIRTQDASENVLSGKATESEPEYTGPIARIAHAPVSRDESEELKVEESSPASVPRSSRPASHAAAAHLEIQTPFFDTELQTQLSWVNSAYCTPTRRQFSIKVRKTLCREYQPNHHSDTRWHDLRVTECSALLKGLSFDCDYKVEVTDTVTGDPVVDGVFSTDTCDQTSSLTPIECSSLTTPIQCQVTSESSAHCHWTRHHDAMQTVIGYRILLSSPINQDTNTTINQPQLREVRYENLQPGYVYTVEVQSITNKGLGRTVSTQFVTHSDFEQNAISRFPGGEIIELPLESSSASSLLLVSLLFTLLLRLL >CRE28267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1584268:1584730:1 gene:WBGene00056075 transcript:CRE28267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28267 MNFVSVFLIALLAIGVFSTELETKQTMEGGAGIETHTKMDTGDHQMNTQTELHNRQRRWGYYGGYGGYGGMGYGGMGYGRGYGMGYGMRGYGGMGGYYG >CRE28558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1582788:1583289:-1 gene:WBGene00056076 transcript:CRE28558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28558 MKFLSIFLIAILALGALSTELETNSQVQGGQGLTTKMKVTTTHKQVTEEHPRQRRWGYYGGYGGYGGMGYGGMGYGRGYGMGYGGYGGYYG >CRE28557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1581382:1581795:-1 gene:WBGene00056077 transcript:CRE28557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28557 MKCISVFLIALLAIGVFCSKHKMEGQTGMDSQTNTQTLDNQMDKVHRRLFRRQMPYGTYGNVQYGGSGISAGNGWNGGIVGSARGFGFGTGSDGYH >CRE28556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1579720:1580084:-1 gene:WBGene00056078 transcript:CRE28556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28556 MNFVSVFLIALLAIGVLATELETKQTMDGGAGIETHTKVDSGDHQMNTQTELHNRQRRWGYYGGYGGYGMGYGRPWGMGYGMRGYGMGYGMGYPMWG >CRE28266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1576524:1579483:1 gene:WBGene00056079 transcript:CRE28266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-8 MTVSYNLDVSSVSFFNFFRVLFRWRGSVWKSIWSELVIWLIFYYMVMVTYRFALNPEQREEVRKYIENLHENLDNCVPLTFMLAFFVTVIVDRWKNIFANIGFIENTALAIATLVRGTAPEVVLARRTIIRYLVLSQVLVFRDISLKVRRRFPNTDSIIKSGFLQEHEAIILDEVDCPYNKYWVPINWASAVLQKVFVEGKITAPPLFNAAWQEIKTFRSNMAILCNFDWVPIPLAYPQVIFVAVRFYFFMCLFTRQHLDMIDTRTIDYYFPILTVFQFIFFMGWMKVAEGLLNPLGEDDDDFECNFLIDKNISTGMAIVDATYDKFPEMKPDKFAEPAFAPFYPEDVIDSGADHALVGSAQAVTLAEPDDQIDMMKVDINSPIVVGKAESHTSSTFRRRLSSAFGGKTRSHSVQHLGPEKLEPDTPFSQSMAPQRPYAAFELSNGFSSSSGLGNMSQSHLPKLEEEESTSMDSDATDVVTNSPPSTFAPEPPSSHRPIITPNATQPVFVVPRTISEDTLGPTSPTLPFNQTLENDIDGLGRMKF >CRE28555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1573175:1574502:-1 gene:WBGene00056080 transcript:CRE28555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28555 MAKVAKKKLTDSNQKRTPSELSKTSLASSRSSKNSMSSMNSQKTKSNKVSKLDRNNRKSEKKDRGTFKTIYKASDDQPEEEEKEESNVTSLSIAWFQAAIIIYQVISMVIQYFWGRNDEVWFMIMEILLLELFASFQLIQVTRRPYIGTACFFLSVQYVLLFLLFSMFLHSPYSSKSSFLERFFGMAAVSLMSSGLHFFIVTQSMRLIGNAEKRNDYSKKVIVEGVEKRRKDLYTMISELISEICYDDKDLKVNLTIRDETGRPKTMRGAGVEAGVNRVLEMSTDGRRINLSTSNTTLTRINVPKHKSHHSSKKNHKKHGESNHDAAPIIDSKYNNCTKQEKMLSPRKILK >CRE28554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1559609:1566522:-1 gene:WBGene00056081 transcript:CRE28554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28554 MQRCISCSFQYEFPIMVDCLTIISNMSKTQDNVSHISKKIVTDYSKKPHLSTPYLKKNPKRQNTKAQEERIHDVGQFSASLFGTVPSLPSLEHLRWWPLFAFIAILCVSADAPPRFARSLAAYKTDKIETEPVSEVESAHPDDLYSEQYNVVRDVRRSNKNPNDDKKSTTKDTKGSVTINNKNNDVGSGTSNTTGASHIATGKTAAVESADPSNSSGGSPNSSRTVVSPNVTTKPSSTIISTTLEPPGKTDTKEALTTSSVVPSGQVSEKVAVGLRSSSSTVAEPPTTEPAVKNTSTSKITEASDGPTGSSSEITEAPNETTVIPDATTKTTLIQSVPSTESTPNATISTSKEPDDIATTTVLVPSTQPPPVWKESSSVTPIGTTEKHEPTVADDHNREENPEEGISAALLSPASCQKLSPGYESCDEACKAAKDDEDSGNALTGLMICAIVFLALVGIAFIILSIFASILRRNKPRETEKTPAAEEKFEDPGAQRRAAEDAAVIKKDVEKKEEKSDEAAVQEDTPSLKNNPALERMRDKLKKTQDNLRLLRTHANKCAEEHKKDLANKFTPMGPIYIPKEPEVPPPADIPSSNISLTNVAWKDGLNELWEVGSDVDDIELDQDFVLNPDSVTEDETSQKSGKSKKSAKSKSKKSKKSQKSHKDEKDGDMRTPKLDATSVPSTSGTGPSTSGTGPSNSGTGPSTSGTGPSTSGLPSVSAPAPTAPTAEKPNASETKKDQNKSKEN >CRE28265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1557516:1559068:1 gene:WBGene00056082 transcript:CRE28265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28265 MFPLLLLDPVSCENVLNQLNPYELFKFSLCSKISNLLARNVAVKVNDSFSLDIHLDESYSIGIQYETSHVFEYFSCRSNTIHNMLGDSSIVSKSVEHGKLNGNGPSMFHTSDEKNVETYWEDEVVGFKLLIEYVMNLYDKPIDILYFHKTDSLKSIEILRWINTRQGSIRFGHFDLCHVNSDHTLSRILDQIKNINELDLVMLPGSEFIKNDTRRYEFEELTITNGYWVTLPRLVDMNCHILNISGTELTNYDVNSFLKMWINGELDKLKLMTLNILEVDILKIMEGIQYLKKRNYSGESFSVSLDITKNDIFYIICRTDGLAASTYVTKFGNHLVFNMEVGK >CRE28264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1554064:1556842:1 gene:WBGene00056083 transcript:CRE28264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28264 MVLERKRQGVISGSITWKNREYIEIFDRDYKYLYGEVEDLFIAYGSWVEYDIIDASVGHPKNCFKEAINVNMDVKKSNAPTTDEFGKTEMKVRDFNKVDFFQYNTEGGQLTHKSTVRCNIATGNYFNEYYGDVSMSRDARNRLTQFRINSVDVMLNLIPSDKYCGNGPHWEVTHFINQGKMYSINGYLSILGLVLRGPGGVVRNRSDVTIPPDSLRPIRNDRDQRVASPESNWENSITTNVQEKNKNTNIAIKEIEANNDQWKTQSASTNSSAEPVNSIKPRGLAGFGAFGAFGATNPTVAAPTTEKREMCGVQNSTSQKPLVATTHSRLVGFGRSSGSFGVADNPQRFPPEFRANSGCFAASSGTFGSETRVKAPVQVINDDDFETPELASDTSRIHTFEKIGSQEDQKNTIPDKTSAAKKLNENDFDDDWGEESASTRSRVPQRQSNIRLPPQSKKPGLSKYSNSNF >CRE28263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1551404:1553615:1 gene:WBGene00056084 transcript:CRE28263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28263 MVFVSKAAPVKLSTTPVHERILEACRNHAAANKDAVVFIDAETTTKKKLYRDVEPTVNSLATALVKLGFKPGDVASQAFPNCPEFLISMLAVMKCGGAMSNASAIFTDYELQLQFNDFNTSIVFTDEDRLARVRRAVAKCPGVRKIICLRTFPLRAEFPENVLDFVELTQTPDQPINVIVPPDSIALLPYSSGTTGRPKGCQLTHKNISAMLDIATNHLETEIAPAMFGKDKPTWSKEHVLLLLPWYHAYGLNTMIETILLGMTGLVFKKFDTIVMLNRIKFYKVKLAWLVPPMLIFLAKDVMVPIFNISPFLKVIMSAGATAGKQLCEEVQKRFPKAWLCQAYGMTEMVQFTTFPRYEDGNCFETVGSIGPTYELKILDKEGKEITKTDTVGQLCFRGPTVMKGYLKKEEIGIIDNEGFLKTGDLGSIDEKGRVHVTGRIKELIKVNGMQVSSVPPVEIEDVLLLHPKVKDCAVIGIPDEQKGESPKAYVVKKDHTLTEAELTEFVRQKLSSYKWIDTYEFTDSIPKLASGKIQRKKLKEMAMSAGSSKEASDSEASHKSAESSIKSAEIMAKSAENANK >CRE28553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1548757:1550386:-1 gene:WBGene00056085 transcript:CRE28553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28553 MYRTRVLLRKVVTSAIQPASSSSYMPSAAFMYGKVRRPGQKEHFVKVASELELFKDVYGLEALDRLEIKDETWEVLMEMGWDERFIYLIDLMEYREKQNKESEKSSIKKELISEQNLKLWRAGNMVYARNFHSLVDIYGPDFRRKIDNFYGRNILKQGEDLRSFVVDCRFMREFSVKTQAYFTNQMQALHEDNWTSNLPFSVNFVNYQADQQLVSIAKRNLLFQYGPSSKSVNFQRHPFVPVITPRRVDSVIEKENLLYISPRATQFVPEVIPSEIKGVVICLSNDTSPSTSSHSACINERVQPYQLPFKRIISSPTFRPQGVQLWQYARIFRQYFAGSTIDESIRQNMDNLLRKRSLNEPVKDKDDKQEVQSIFSEASRI >CRE28262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1541877:1544988:1 gene:WBGene00056086 transcript:CRE28262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pry-1 description:CRE-PRY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LN06] MEGGSHLGWARSLEAVLSDRSALDAFQEWLMEYSSPQYLDLYFAIRAYERMALEGKPEKSQLSKSIYSKFLSSRTGNCEAIPKIYRAPIGEKIRHGIELEDRVFSHCSSFVLEFLRRQHEEFVRSDEFIEALNRMSSNAEHQPAHHLQSTSTSSHQNTIDRRKSSQPTSSGTSSRRSAAQTATQLTAEALLKSKHDRHSKLGETKLEKMYPPTRQPYVCNATTSHNDSAVSSSFSGETPSSHRMHSNRLRHIREEQARENTGTVTVPRVEKSTSDTQQFDHSSESGRIYFGMEVTKKLLRHMDKVKLNDEMEKRIDDIEECRYTTIDMVNGTEADADLGKIDEDEELDDYLKMKMTDDSQKGSQNRSPKGANGDKNGGKGETSKNATLSPAPPVAQQRESPRGSFPHHLQQHSIHNNTMRIPRRTSKEYPLDVAMTTSTSSRHSHQNQHYPRHHQIDSNRIMSQSMCGAPGPSYSSASSTFSRDSFAPSPMTRGVQGAPGSSKSSQMYDSSGIGSMAPSAFSATSSLDYKEKRHRKSLPNHHTLMSTSLTSPRKHHKIGKNLSNLITISYIGSDKIPVVTHVPNYGPMTLAEFKRHFALPSGGQQLFFKTECEDGTAPFQLLLIREEHTLLPVFEGRIAAELR >CRE28261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1537038:1538928:1 gene:WBGene00056087 transcript:CRE28261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28261 MTCQQINRLTALFNAAMSKALAAKDKDDWTTHLKAISNVFTQVCAVSAAEECAKTLAIKERNEAIEQAIDFRAKAEAHDDVIEQFHLILAADNQRNHADLTSQQIFDRMCEEVRLNVARIQDYRMFEAKYNVEKRRNERMSMHINEVSKRSVMHLMATRFYARELAAMRQMMDLMRNAASIESAVKSKLWDEIEKENQLLRLNTLWLVNRINSSDLHDAAFEEGDNWQSPPRLVILMREHINQGLGLEITGGCDQFRPVVVTGKLKRSMADDDQLRLDDRILAIDGTFVTNTTTHAEVMEMLENESAKDFISLIVSQFDPTKYQLSHPRLQKTPSLQNTSSLQKVSSLHSHSSLTHTATLSAVEN >CRE28260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1534438:1536745:1 gene:WBGene00056088 transcript:CRE28260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gopc-1 MSYCAHCQHASQPSTQQHQTVLRVDPESEYFEVSSSMEGGSGWTESLEKDFDKAFVSLDLLLGEIDSDQVEITYEGRQKMTSLSACFAQMMHKCQFMMHTISKQEKEMTSMRNEVVEARAARQSMEKEVEQLMIQVHSLQCQLHSKTAPHESDMIKKKLESQLSTFRSELTQGLSTVCELEIARKEGDRSKKTIQALETEVFGARLAAKYLDKELAGRIQQIQLLGRNMRGVEHDRLWNQLEAEIHLHRHKTVIRACRGRGNTKGLAAPLRHNFKSLRKRNGVGKSRKVVLSKHPHEGLGISITGGSEHALPIVISEIQPGQPADRCGQVFVGDAILSVNGYDLRTVKHQEAVDILSGQVQQGDLDLELVFVCPDEDSDDDGTVTIEHSDGSIYNLYSMDEPTSSRSSSNNSDESRST >CRE28259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1527526:1527950:1 gene:WBGene00056089 transcript:CRE28259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28259 MFSIDIFTCSPLKNMKRYVSPCILCYLSNLFLISIRPKKRLKRQMVYSNQHLAWFDILASPIILFWYIGAFYAFLLFIVKPFMVPKGSARQPFLLCGEKPSENRTLNIH >CRE28257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1521198:1523810:1 gene:WBGene00056090 transcript:CRE28257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eya-1 description:Eyes absent homolog [Source:UniProtKB/TrEMBL;Acc:E3LN01] MSATTDPSTIWTTLPATHPPLQPLQNDKDASTSEIAAISESYGSSSTTSLTSSVTSQYQYNSYPTSAQYAMYTSSTPAYYQQMTASLRAGTTAFPYSLTAPSYYTGSYPVDYTSAAAAYQTPYYNIRGGTAAPYYNTLNSAAAAYASVANSVLSSDAVNLGTSSDSSSGVPSTVGTFNLKEKKPKVSKKKKTGSCSPGDETYARVFIWDLEDVAVISRNFFESTAHTYLCTAATGLFELVDGIAKSSFSDVNEAVEGDVTNIEDAVVEDPAIDQGPMDNLRGLDIMRRVAPKFVAFRQFYSELSMKFKQESGFEQKFKQELGFDQKSNGVLNYDLLERVGFANREAELSQCVLQLLGFNHSGQRWSCAQRCMDLVVERSKMSADKYANVVLSNDGVVQGAAALLISGLNSAVPIENIYSTLKQGKESVFEKIQTRYGKKCSFIYVTSRDTSRDIAKRVSNKQIYKLSIPVWPLQSNNDLEKLYNAQERYLLGG >CRE28552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1515853:1516131:-1 gene:WBGene00056091 transcript:CRE28552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28552 MADKSAFVPVDAIGNHKNTDLDVDIDDELFGKKPPKATPSATKAAAAPAPAPPPSVIKAPTSPAPKAAAPAAPAGGKYTYKKSTTYQKTYAK >CRE28256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1510168:1511004:1 gene:WBGene00056092 transcript:CRE28256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28256 MTKSYIPCSNLKCSIFNFQTAGLVVAVVELFLCALAVYGLFRNFHLFGSNYFIWFLLGIISVFIILIAIALFVYAIKSENARWLIPHLSAQIFLVIFLFLVAFIVAILMLFGAYRGIRNLLGVSNYYMSDDSTFLLGIMIIVIYLLVALLELFFLYIVYRLYKHLCHYESIDNENRVNWQVVNDFPKDNKHGSAAMGDQYPYGDDRRNDGNGQRYL >CRE28550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1506930:1507875:-1 gene:WBGene00056093 transcript:CRE28550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28550 MAVKMYSDTTQCSSTSDGNSMRGEVSTSSMYQNYSITANLGIWSISASPLYKMPRFFQIVSSSIRNVVVISEPTSQLVSSELCIQKYGGVLSGFDTMDEINYMIGQVSVTKILFMNEFHSQFADITVPLFSSTTSYPWDGFWINGFRKETCRFSNQTDPDCPGIKGFTLTDPLLSNTNFYIWGFDKQPNGMSDGLGTSNCIVIRINGKSGGGMDDIPCDGSQSGSVYINGYVCGLRPNEI >CRE28548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1504025:1505244:-1 gene:WBGene00056094 transcript:CRE28548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28548 MTLAYTLVFTGLSLISLVHSENLNKMVLIHGEPRTFTNYSMSSLNWNSCMSYCYQMLTCIAVHYYDQNPECQIFEIGKINDLKQLDAYSGKIMAVKVLMLSDPTQCSSTSDGNSMRGEISTESSYQNYNITVDQDIWTISASPLYTCPDSFKLFHRVLGMWCMGVILKQNPNGINQIDSTQLCADKYNAILSGFDSTPEKRWVVDLANTVIYPNPPYKFNAYWVNGIRKDICRYSNQTQNPECQDKNAFDITDPTMSTLNAYVWAPYQPDGMQNNLGTSNCLLFRVGPQDGAGVDDRPYVDFFQKL >CRE28255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1502389:1503831:1 gene:WBGene00056095 transcript:CRE28255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28255 MTDSYFRFLIYESFESRKRRLEDDTPRNIFKCAMTDLDDIEVIEELNYGITDLRIMEQGRNAILITALIGKKETDRFEFDPEDCHGYYPQAIHFKINPMRRGFNEYGGLMEIENVGFYGCEESSQSYLLYTEKVPLGVFTLLERLFEIFPGFLNHVYISLSREEHEEILEMLGGSPTFIMLRELTVMKTMDINLWATLMEDLIRVKTFHFGKKANFEDFHNVRKVLSMKSLRYFQKYKHIKHMNLNNGNKLVSNDFASLHYETIKIANLIPDTRDIKLFMETWKDGNKSNLKYLSVEFKKPLSISDLSSLKTYLNGVKRTESPKKMIFKANNTVYSLENAYDIESPGCSGSYILTRKSFQFFVWKSFKNIIEPAF >CRE28254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1500171:1500970:1 gene:WBGene00056096 transcript:CRE28254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28254 MKPNFISFLLFSFCLFAYVAQAEDIPSPGDSAAPVDASQSSSNSSALLNTLENPTNSSQKSPPPLQADQVSSDGGHVIAHDVNGEAIPPAMKAISKASAAEPSLGLHGITEQQLNLRYYNFICSSHFHLDCKLTETLQICMKDRYVAVLGLPLAFDSGSTDLVYLTKWMRQCDQNDQDQNQEAMRIIRKRIHFQNENNWEGVFKSAGMVSMTTGVLVLALKYMLF >CRE28547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1497447:1499467:-1 gene:WBGene00056097 transcript:CRE28547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ima-2 description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:E3LMZ2] MTLSETKLSRDNVDEAKDDAGRLQQYKNLTKHEELRRRRTECSVEIRKQKGADMMMKRRNIVDDVEEEIASESEVDEPKKQPTVRLSNDEIKSILSNNPSENDMIRCFESLRKSLSKTKNPPIDEVIQSGLLIALVQALTVQSERVQYEAAWALTNIVSGTTEQTIAAVEAGATAPLIQLAVHSSSQISEQALWAVANIAGDSAQLRDYVIKCHGVEALMMLMTRLDDLTDSHVRTIAWAFSNMCRHKNPHAPLEVLRVLAQGLVKLVQHPDRQVRQDACWAVSYLTDGPDEQIELARESGVLPHVLKFFKEAENLVAPALRTLGNVATGNDSLTQSVIDLGCLEQLVPLMEKTKSSSIMKECCWLISNIIAGTQKQIQAVLEANLLPLIINILKSGDHKCQFEASWALSNLAQGGNARQIVAMMEENVVPALCHALNHTNTDMLNNTLETLYTLMLTVQNKFMDILHDQVEENGGLDALERLQESQSEQIYSQAYRIITQFFSDDDGADVENTPKEQPASAAWNF >CRE28546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1489504:1490672:-1 gene:WBGene00056098 transcript:CRE28546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28546 MTTSSPNNGVKLIIFDKDGTLLDFHKMWMPYATTTVRLLEAATNLRVGPAIYKTLGVDPVAGKVSMGALAEKTLTGIREDISLTLQTFGITPVEADAIVHGCVPEASPGEMSPVCDMPALFTTLKSMGIKIAVCTADSRAATIDQMNKMGVVPFLDDVICGNDVGIVPKPSPHCAIQICRRLGVELKETLMVGDTIADLKMGKVAGLRASVAVMTGVGTRETLAQYSDYFLEDISELPHLITKTMTEDTKRG >CRE28253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1487220:1489079:1 gene:WBGene00056099 transcript:CRE28253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28253 MNTPRKNSDIYHFSDEKKSIIVKIHFNILKPKQKCLLNFHTVKEKKNVNEERENHSKLSSSQLKSQNLKYPNSDRSSHDKLRRGAPRAKVMPAIVSIPSKSPSPAPSPSLSVPPVTPPVTPPVAVVPTVAVLPLGPSVNLLKDPTANSPTLPTREAKRNHKKVKEKVEKEPPKTTIRKKKTSSATTQSISVDAKKTNNRAILQTSSEHAGSQNDKKKKTSMSDSTKKKLSGKGFIELEDKENNTFLGESKSERSKEPSENDEKTPKKFNPELASKFFKHLQESQRARRRSEDARLEKMPESSQLNSSIRSLRGKKKKTVKTSLETDLFKPNGEPVWVVSDRPPGECEKNENGEPITNPELADALASDGLELDEKDWFELTKSYMNFELQKGNQLPTDFQFDSLAPFERLEEVNQYENSKALVYNSTKNLVELSDDAIKRFAMRREGTGDRARPVVTPDAATPTTPESPDVTLQPKQSTELYPVKAGPVSIITFNMKNTICVLYDRHKAILSIQNFRKKMNSMYSREQATQPE >CRE28252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1481683:1486723:1 gene:WBGene00056100 transcript:CRE28252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pes-7 description:CRE-PES-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LLR7] MEANHSHHPTPHQNGTLPSPSSSETVEDVDERRLNRVAYEYLCRCEEVRTWLSECLKDDSIASITELEENLRNGVLLARLGNSFAPQVVPEKGIFDINQKKYKQNESVPVYRHSDNIMQWRRAMESVRLPEIFIPETADVFEGRNMKTVFCLFALATLLHRQRKAPPMRNLAGKAKFSITELGAAKENLKDSKLPEFGDVGNMLSDRKNDANAQALAMTALREAVNEKDAQKVLEVLKSAPASIDYVEESMAQHYLDGLSEREGDEEPFTKVYVQSVVNSANTKSAMEQLEVLLNNPSEEQNSTNIIHILDLLQIEDVRQFATSLYIDLLRKAQKEHIPLTGDDVRDVIAHGNALVEVRIAVEHGSSEDVLKSLQNPHLNLEHVEKSNAKLYYNRLRKEFDGLDEQTFLLREQLDSIVAEYSSISPESRLILELNGAVQRGDSDGVEVILKNVSLDFYIVENLEYYVKRVSIKKPQNVDELKKELKEVNEEVEKAFQLAEKVVRINKAKGSEQKIRKELETLKTMKTDGYREELIHWYSKKIMEELESIDNSPDGWLDQDFALGTVYVFTGDSEEKKRSRTPQEPTQSNYLRWTALHDFIKSENLNFDQYYKEKEAEIVKGQSALRKYLDKKRAVKEAELKKEQDEAAMKIQSRYKVYRNKKDLELLKTTTTPTLSLVRKFVNQLPRGEVDFSDDLEVAESKTEVSRLMASNRQLDKNLEEMDENIGLLIKNRLNLQEVIAHRDKTAASAEDFAAKTEVSVQKQREKSSLESLEQLLYYLQTKPNYLANLIENLRENRTEVMTDVVSPIFGFLSDNREQFLLVRLLCELLGRNVAQLRLIEDFQSNYFMQATADTVKLSTFDNILSDTCQSIIEELTNFVDEESRVKTFHLDPVNLYQNLYGRSVESAEKALQDTTVSDILSSSISFLAKWSERFMDAIFENFKLPKSCVYMTSYLETALRHQFPAATTMQIDQTVAMFAFKVRIPSVRSLFFFRFHFTNHQIFQVFLSHHLTSPRSLFRALGKQITDDATHRLEAIIHFTENAVANKGYANKMWFLSLLNQNVHVIHKKFIEYINKNVRNVALDEVYSMNEFTQFDPFHKPTLSLIDKHLQTVIECLRKHKKDISEGTEDQIAFLIESINDVATSDGVMMLQLHPSPNEMLPDGTQAHLFTRAKKQEQMLNNFWIRKKYSDISGKIPICKYFQVSPELETIQNELKKDLKQLEEDGRTSHSDKYQSIVTDIANDIRLHERRQRERQEQKKSIAATRRKLMEQREELNEKLARYEEYLETCLQVGHLEIRLNLKVKSFKFQNLSRTSRRLSFRPNTKEAGKIQKERASLDQIKSYKSSAEKFFRKGIIKEISGYQTPKRISKLSVEIASTEEGGVFSVALFEGKTPVGNATLLFQDLLKSDSLHEPTYTLIELVVLDVQKSIQYINKKFYNK >CRE28251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1474907:1477595:1 gene:WBGene00056101 transcript:CRE28251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28251 description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:E3LLR6] MALNEAKIPRDNVDETKEEVGRLQQYKNLTKHEELRRRRTECSVEIRKQKGADMMMKRRNIVDDVEEEFASESEVDEPKKQPTVRLSNDEIKSILSNNPSENDMIRCFESLRKSLSKTKNPPIDEVIQSGLLIALVQALTVQSERVQYEAAWALTNIVSGTTEQTIAAVEAGATAPLIQLAVHSSSQISEQALWAVANIAGDSAQLRDYVIKCHGVEALMFLVEKLEQFTDSHVRTIAWAFSNMCRHKNPNAPLDVLRVLAQGLVKLVQSLLSCCFQHPDIQVRQDACWAVSYLTDGPDEQIQLACDSGVLPHIVKYFKHAENLVAPALRTLGNVATGNDSLTQSVIDLGCLKRLVPLMEKTKSSSITKECCWLISNIIAGTHAQIQSVLDAKLLPLIINIFQYGDHKCQFEASWALSNLVQGGTVNQIYALMECNAVPALCQALKQTNTDMLTNTLETLSLLMTAVQDCYSQELEVLLDQVEENGGLDALERLQESQSERIYELAYSIITQFFTDDDGANAENNLATRSDHDAPWSF >CRE28249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1471064:1472483:1 gene:WBGene00056102 transcript:CRE28249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28249 METPFLESFFLSYYIENWFFLSHFHRTLNGRNLLFYYHFSLVIARYYGRCRPHASSSSNTKIQNPETYKMIIIYGSPQSFTTYSNESLTFEECVNYCFESETCVAVYNPDVTTTVCQMFDLGKISKVKETNGGGAKVAMKMNSTDPLQCPLTAEENTFSTEMQNGQYNITPLNGIWTFSSRSCPLNFTMFERPLGFWCIGVIPVPNLLSQETGASLCSSVYGGILSGLQTLDEYNYIIGIRVLIEYALKIFSSQELSNRSLNKLPKRSKATEFDFTDPLLSAYDGYVWGKDQPSGIGATDSNCIHFGFNTSTYQSVGVDDYKCTVANTTNAGFLGYICGVRPTIR >CRE28248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1469223:1470395:1 gene:WBGene00056103 transcript:CRE28248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28248 MVIIYGQPVEYNYVLMKSFMFSSCVSYCYEMTTCAAVYSLADSDTCIVFEFGQISSLEKFDGTSEKIMGVKMLSANNSDCSGSVDGNSIKAITVSTCISQNDSTTSCKSTYGGVICGLQTLEERDFVVSVGTPLISLQSTYNTFGFWVNGKRKELCKETNISAMGRM >CRE28246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1466018:1467411:1 gene:WBGene00056104 transcript:CRE28246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28246 MRRSCKLLLAFLLLVTFVDLFKFWCSEDYKDWVEAEQDEIDLKSVFKRFDTQDVFTRWHTCITENLLWIDNPEKFWDDFMFASKRCDLRANVQQIGIVTLKNSDEMKHVIFPKIYNFGPHNLFSIGIGRDIQAERQFRRKMKKLGNNVTFYGADPVSYINDDLYSQIGTYFPLAIGSHSGISNAMVMIEDGGYSKKSMIHVDILYFFKNLLNVTKIDNMWFDAEGAEFGDGFFDIFYRNGRFDQNGIDICQINIEMHKHQDYPERKTQFMEFVKRLIREKRFGIFAGDQYIHNRMFLFNFESQYCLRKFLNKFG >CRE28545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1460950:1464943:-1 gene:WBGene00056105 transcript:CRE28545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28545 MKRTFRICLVITLILLFVYLLVIVDYGEFTSPLPIIYSNQCHISDWNHVETHSISPSFRNAMSRHLWMTLDLSREVSNLHSTLSISHFQGSQNYTQLSLIGAYVYPKYISITINSQSMVKQSVYCRYFDCKRNELVGSEWKGVIFPESVVHCPRRKGAEFVSVTEEMRDEPPTPMKLKYRIYERPVHNLSICVAAFYGNEPKWIQIAEFIEHHKMEGATFFYFHIGHISSYDRRILDEYQNSGDIEVKVLQEKYERPFYAWQLIEIQDCHMRSKYHSKWTAFIDIDERIHTTEKNKTFIDILNELDGTNVSEIKMPHVKVVKNGETPPKYENSEQIKREIFTRKYTKTAPPAWFASKAVIRPDRIGIMSIHEVIALEPGYKSIEMDSEKLTFRHYKDTLHRVSGNDWAQNETISDNPISSEYTDLLAKKVIEKVKFVYEKVPANCSTIPVYMTSSRYFPDPCDKMLLTW >CRE28245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1458231:1459798:1 gene:WBGene00056106 transcript:CRE28245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28245 MDTMSEEFNPFCRGTIAFYFFCFILGIMLSRCAHRLCRTSRFHRFRRYPVEKKLSSLTQINHPDFTFIIGPEDIRYSEEFDLDWCKTFLRKNFPNHSAEIPQNLCSLWIPREGFTCGTTFQEFSPKEGKLKDSFLTYQMITERAQVTWYNLKDGRHFVAGICIYLRLEMESNFIGTPPIDEEAVEIAMEMGYDYVPPKPKKEKKKAKKHGATIYQAALDAGLIMPKENKEKTRYGFTDEKNPNPPDYTALLMENQN >CRE28544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1454252:1456181:-1 gene:WBGene00056107 transcript:CRE28544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsd-1 description:CRE-HSD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LLQ8] MKRMSLRLKNKLVRTVSKLSLDERICPDSTGNFDLQNKKESEPEPTSVTDDEEEDEEEEPKKIWKVMITGGAGHLAICLVEKILEMAERDIAEGVKSDSEEHFERILPFFEHLDRVRKLEKTKKEDIISTRISDYLQIILIDLADPEEGSLNPFCAFAQVTNVFLFLSFHRTFQGSFADENFMKPLLENVTTIFHLAAVGMTGRFAVSLDLSYFRSFQLVLFQRDRESCMQINSVGTMNLLSWARDKGVKQFIYTSSVGVVFGGEPLINFKESDRDHTENFFNFYCESKAHAENIVRQASYENMKTSVLRFSGIYGVGEKRVTERVINFMKTGWWIAVFKSNGVEAQTQLSSVDNCVQGLIKAELALRHKDSKNGQIYNIVDRNPVGTFSFWAPVDQALGFPKQKLTLPPIVLRVLARFVQSSSDFFGVEPFFTVFEVELLLVTTTFSTARAVRELGYDPYPSAIPAICRRYASSEASATFEQERAGRANNFLKKMALIVLVGHAVLYISYFFVYYILLATYYIFHEIGYCLCASIQVFFAAIHFFWRCISTFFY >CRE28244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1447448:1453495:1 gene:WBGene00056108 transcript:CRE28244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-1 description:CRE-TAF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LLQ7] MNNGHVHHSHQHTNGYRIRPAENQEEVEDPYANISDYYRSHIAAKNERMTLFENMISSKLSQVSACTSSSTNEAESMTIEDPEVNDEYYGDPVRLEDLEPFIKPSLRDDTPLANILHPDLDGIDPRIFFKDFKPNKTLRFSRLFAQNIKQSSRAELWWLSRTFSKQMKSRKAASDSQPEEPEDVIENGAKRLKLNIIEKVPRVMLASDEEFRMKRPVLTEAEKMAKQNEEGTVEQPWRTGPAKIWYDMMGLPSTSQAVNYGFKMKKTPAKLPKPTQKLNFLHPDDPSTSSGSTSSSEKMVEVIEKSCEATLSEDILQPFQVIEWEKDVILDGEEVKDQLLDEFSSGRGCGWIATQYTRTYEHFVYAASNNAFEQMFDGKSAPINLSGPDSLIMPAPTRSIFPSTPSDLDILPWEENVIWDSDNMTQVLEPIDFLVDFQDDPLIYGMPEDRRVDEDHHRQDHHHRKSDGYTKKSKMILGQVQQRQKQEEDEQMENTMGQFTDNDPFNLSNDDYYVPKATSKTLSNNSLLIQHSTPATNIATQFFPTHPSAFRLRYWHRTPFTRRIVKHWQPNRFQQIQVRKHNPARHQQRVQAMREGMRQSQGGGEVFYMREINDLSGKDENLVMIEYSEEHPVILSQPGMASKMKNYFKRRQANDTEPTFDYGEMAFSHQIPFLGQLQPGQSLQSIENNLYRAPIYLHKRQSTDFLLIRSQNQWFIRPIPAIFIAGQQCPLYEVPSPNSKRATVFVRDFLFAFIYRLFWASEHSPRRLKMEDVRNAFPHYAESNIRKRLKMCSTYTRNGADTFWSLKPEFRLPSKEEVLSMVTPEMCCAQYSMMAAEQRLKDAGYGEKYFFTPENDEGSDDEVTIEDEIKCAPWNTTRAFMASQREKCLLDQTGIADPTGCGQGFSYVRVSQKPHKDENATPVPKKLVTGTNADLRKLPLKEAKQICRGYGVKEEEISALTRWEIIDVIRTLSTQAAKATKEGETVSGMARFARGNTRFSSADMQEKYRKHCQRIFDQQNQTLANTEPISTDDDSTDADSDNEELASRLESMLEANKGKKNISMSEKAKIDFETEEKEREDLKRMIHGDTVQKGEKKEGEVTAEEKKSASQFGEDVAMSASKISGITANQQLKIYRTMKGPDGKEVTRIEIVTRPQLIEAYTRIRMTRDDTFIQVYAQMDEQYKEEKRKKKRRLQDQIRRMKKNEEKAQNKVQKQIVKKEKAININLQKMRCSACHAYGHMKTNRNCPLYGKDPLTPLKEEDESGANSSASTIAVPAPLPSIQVDGTKVKFNLDYNEMKKALRREEKKKRKLAKRAEAEVRARQMEYVTRFDTSEVPGTNGAIPSSGGHTDNDDDDRFSQVSGTSSFMNGPGAVRAGRNSSVGSKRRTSMMPEEDYLQGPAKVSVRARADPKVTMASMLTDIINELKSIPGSDAFLFPVNPKQVTDYYTIIRNPISMQEIKNKIAAYSYQLRKDFLDDIKLMFDNSRLYNGDNNMLTVTARQMLQLAGKRMIEREEMFIKLEKQINPLLDTNDLIGFSYLLGEIIQRAKNIPKSAPFHTKVDGKRFPSYYIRIEHPIDLGIIEQKNKKQEYKSVEDFLKDMRLILDNSLVFNGEVSIYTAKAREIWELVEQLVNEQKETLYELERNINPAAAAARRAQMITVDSEAEVTMDEEDNTMEQTDEHPTEDEDDDLDEEMMMDTGDYDSQYDDNLAAGQILNDLAMSDSDEDERADEVRRPANEDDNRLDSF >CRE28243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1443356:1445857:1 gene:WBGene00056109 transcript:CRE28243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28243 MGSLIKMFKPSKKHNNKENVPAVSFATGSLKNKKTALNESVYVGEAPKIDLRLKSPYQVITAPKTTRGPMSCPGAPLEDRSFRSSSRKVKDDSFLNRHSEIVDDQAYRNMMARHQYDMSMSQQDDSDEEKEHLKEKLRQKKSEVTILKSKIEKMKTKWREDKTVMTDTIDDLSNENYQIRKIHDRLRVKYTELKKNIEVEKKMKCDVLKMLQEANARIEQLEKSRSVHDDSLNNSSLLIPSYSVGDATMEISSEGAGEALCFPDHQNNQNNQMTSMFMMSSMFGTSSGVSTSSSHQNPKSLEDDLDAQDEVKVFRNSVESIEEDEEMSEDEETEELELSSPFEIEAARDSSSKRQIHGKSQINLSRAHSDSDLMGAPDTVSLAMEPAPTPQPTQPQASAYEQRQKYSNPNSWLKRNSVNPDDSDSSEEERMSIINRQLKKKGGLVKYNPPRTKIHDKYYKRFGKNERTALAEFEYLQDMSTDVSGMQSSPELGQLMGQLQQQTL >CRE28543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1440021:1442972:-1 gene:WBGene00056110 transcript:CRE28543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28543 MSELFYFDNMSIAYGFDPNISPIYEDDHDTYHSVHFSSADTPRKSGQVMNRTMGTSRMGSSLSEDFHHFHQECAPETTVMTEISEEIVEDSTSPDVNRLDYENDDQNSKRIILDEGDDEIVPESIGFLIDTFIVLSAGCCLPIRHHLSKKLIEMGAVVQKTVDDRTTHIVVDRYADELIVGAAMSRRPTPPLMVDIKWIQECLDNRKKCEETDYSMTGLRYLRQTCRRLSSFREPSPLGELEETHWEDMDNDAPTDPAMLLEEIRKLSERLDALLDYAPVIKFEYHSPDCPSEYTYSLFKLYVLEYSARQHPCPQSAPIRRPTNPTKLTPEAFNQLLISISSATTIKRRRSFTGFILNHREPMDSAIEVQETNKDVRETWEKPKNVKNLNKNAGKLPKTPRRAPQKTINDMRKTMPTDLTRIRDTLGKNATIGKNRTPKKAQKSVKKPQSRTKMEPIRADDSDIQSALASLTISGQHNPDRSSIEILDDNSRIRAPLVPTKSNVINRLQKRSEEDDDDFISDVSAYIQQNRTGRRARTTEEENEEMRNEVIFTGFSKDSSIEKKLKSIVRRFKLSISSEIDERHTLCVISRHGKRTLLVLKAICCDIPIVRPQWLEESFEDSQLLSSDDYIYDEWLLIKNNKIFENFHWKMWISPDCQPDSKELTWMVEKCGGKITRHLHKADLAIAPETWQVPEIHVCLEAVTPLFLIDSISMAALQSYKDYYLEN >CRE28542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1437815:1439096:-1 gene:WBGene00056111 transcript:CRE28542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28542 MLRSFKYLYIIGGCALATEREPLRSIERIRVENVENAGSSPTRIKIISEKCGELSVPRRSSSCFLIDNRKEILVAGGCTGPNQHTNSIELLEIREENEGIVTQLLKEVMEIGASCSGFDSEFNENHKPIFGGFEAHSCLDEVQIIDTSDSMWKCRKLGKKLPKIKNSTVLWVSDREFIMFGGWEDEQRTTKAIRRIEFNVDFTDYTEDFAGFLPYPVEGHSSVRVGSTVFLIGGFDGCFVLDTIIKYDLESKKSEILKTKLSEKRENHVSAVLSDKYLVIAGGWNSRRSLDDVEVFEIQNNGGDLELARCHVDGKLLIARNRPTGVRA >CRE28541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1433160:1437637:-1 gene:WBGene00056112 transcript:CRE28541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28541 MEEHNELPTTSRGNGDFIDQIPSHFLVELTSLDELAFPANSTKRVKYTCVASTSKSLCLGTSTGSVYIFSRYAAKSRSRASSPVPVQVFTTRDGQISTISVSPSEELMAIGGDSGRVSIAQLNNGQPPTLVYSTPGDARSPDRVMALTWSPDMKTVYSGHSSGSLHCHRLTNRSVFRAAHQKLTKFDGEIVQLDTLQSHVLVATSLASHLYHAETGTIQQVGKKVRTSPSPLGACYIQDIDGVSGGGSAFIVAARPNGRLWEANLVGVVYITHQYRQSGQVPRAPPISFRTPFPTDSLQFDGLHPDNQDVSIHKIHVIRVENGRLLIVSTCGSRICIVEMETSRVILSSELEFEILDVSTCSNDVFVLLADSKGLRKFSVFERLKCVEKLNLKGFFGQSAQTILFCASHVSFPSPIITKTIEGLLTMSRKKETERLQGLLQKILDDRKSSQSMYDNMEELERRESLKGKRKSDEKPEENMSKKLPSGVHRVSISNLYNIFNCFRISNSLHVKLILQVLQTAQCSGYDDDFSFSTPQALRERSRSSPCGPESPTPSNQPIIEKRASEPSSLEIRQEFWRKNGTPDVVEEDILNRARLILEENSEKLIEKESLRTLLQLEGIKRDEIRFTPTVTIGNAAKALAELAMAVPVDLSTIWNSKDVEEKSTSSEKSEKSLSTKKPTIVKVVRPGIRPNTQHKKEKPVATVSPRSVNDDVIEDTSEDVASNNVTPISEEMRRKQDEMWLDLRLSHIKKLQFILKKHFPETNPHSHAGTPTETVPKSDTDYTLTTDSDGPTPSGIWTNPLDEEEETDNVKTPTSSSTMSSEFNCSTCGMHKSWAAASLLMAVCSSGEVIRDDFRRHASIPQSLGDWEKLLRHVAMIDSKDNLSSICPRCEMSLSTVDRVCIEGWRSGMVEEGVRPNFTRIFERCMNSSKEKFETIVARREDTEGLRVFKNIEKLEEISIKTIERATNANHFSTKAVRSPSENYLVSIEACSKIDFSNPDCLRWIPAVPLKTLLALAVFTIGKKAVIQIISADHQWIAKRMKPQDWCSIVVIGAREFVFKDLLGGKVTLLYPIFSKNFFQTIASVFDSVGASEWVTPRKKKNVPIPSRKMSPISINSTQSSGNSTATITSWIVDTNGKCPMCTLPIKMIVGKTDRGVISYFCGHVFHKMCLADRYTTGCIACQSKARKAVMAARSGSSATSTPTVSPIMSPRHQRVG >CRE28540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1431786:1432586:-1 gene:WBGene00056113 transcript:CRE28540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28540 MALSFYALLEAALLVLNGIAILHRERFLKKYGLGAPSHSFDGSNSVKDQVVSLIFAVQTVMRMPLIAINIVVIVFKLILG >CRE28539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1429905:1431645:-1 gene:WBGene00056114 transcript:CRE28539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-maea-1 MSVPEFSGAGTTIGGKNSRKNTDVLSLDYCTFRIPCEELRVKFKNGQKDLDKAAANVARAAELLTKKTSGANQPVPQETLRKNFDFLLNQVQEARKALENILEGEIGETSKIIQRCERLHEEFEVDEKTHPRQQDKMERQKFARYICWHLLRCGMIEPARELAKQMNLESLIDISVFEKIYEVEQALHAHDTKPCIEWCQYHQSRLRQIKSRMEVVARQQEIITLIEQGNIPEAVAYVKKYLVPIAKANFSDDLRKTMGAIAMPLVESRVRNPDFHDEKRYEKCAEFFIKEAYRLYQIPDVSALSVIVQMGLSAQKTPICEPDHKTPLSEQTCVVCRPDVWPLAEGLPYAHVDNARILCSFNGTVCNDDENIPYLFPSGHVIGLQAINTLKRDDNKIWDPIMKKEIEETEILRLYFM >CRE28538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1428668:1429510:-1 gene:WBGene00056115 transcript:CRE28538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-229 description:CRE-TAG-229 protein [Source:UniProtKB/TrEMBL;Acc:E3LLQ0] MEIKPEFIFIGILILVPAQWFLSPSETGHLKYHLNSLLSTVKEYIPSFLMSQKDPEAEAAESKAMEEEMNPAGNGAYGMGQYIRQRAPEVEFRVGDVVFHPKLEFRGVIIGWDEQAIAPEKYIKVAHGDNKHFSTQPNYAVLIDTRDRFTPQMSYIVQENLRLEKGTIWHPLVDKFFEGFDEQRQKYILRPIYKKWYPDD >CRE28242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1425351:1428373:1 gene:WBGene00056116 transcript:CRE28242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28242 MNFNDMENSVYGNLEEDAELLAELAAIQAEVNGENTRSAPTATRAAPSAPRRPAPGGRPQQAATPEVPGVDPRLLAAALSDNPGNDDEEVEMDEELLNELHGLVGGGSPIKQAPPVPVRVAPLSSGGPSGPAPSAPAPSRLGNENNSQLNHLRQLHAYYVKVQKSAEQGGESAKARRYKRAVDKLVELIRAVENGKKIDESEIPVAPPNFSSEPLAPAAPTAQPAHHPPAPPIRQAAQATPTSDAPPPIPQRKASATPSTTSTTTTKEPTDPKKAAIYRILQHRRNLHVANGKAAIAAGDKDSAKESVGMAKAFDQAIAALNECSADEMDMNEVPPSPPPYRKASSHQAPPTSSQASPTPSQAPPTSAGGPQTFIAALEQRQTRYLQMAQKAKSEGNERKERMNSRLAGQYSEAIRDAKKGKTVNISELPTLPDMGPLPLQTSGQAGAGRTSGQTGEQHLHQKPPAPQVGPLAPSGVEGKSRNSAQLEFLLERQNQFKQAAIHAKSRGDVETAKKYLLEMKGFDKMIQAAHAGLPVNIKNTPIPPQSQTAPTTLEPRIHAAAASSSTGLENRGERLMLLEKTLIEQVRSAETNQMRFTRLGDVGKVKLFESWGKTAKQDLLLVREVAKRGLNLPKFHYETRQIPSADLFPDLAEDVIELTIISCRDVPLPSGYEIHHANLFTKYIFPPVVSDQPQVGKTKLIAGTTSPQFSESVMLNIGSGKSRNNKLQRVFKRGGLKFEVYQKGGFMRSDKLLGTCEWKLEKLEHSAEMEESLPLKDGRKAVGGLLSAKLRIREPIGDAKAQSISQKWLVLDN >CRE28241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1423172:1425232:1 gene:WBGene00056117 transcript:CRE28241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28241 MEQLLNNLVELGRTEKFNGEIDYGAEISSDSLLEAMKVVGTDHSSQKIIDRFTENAPIIHKTLLSITFANYLQSKMTSSESVTSTAVDSSLSAEVMKKARRSIRLLLNLIQRSPEFASKIPSECVELLETLIATASFHSECLLILVKTADSTWLEFQKSFRYSHLLERILNSGISSENSDETTSILAYFSTLLERDYGFLSSCYAEMSSESFCEVLDVVRVIIERNSKVCDGKPMKIHSNNLLFVINLLELITVDYTAFLMAKLKKEPKSVEERRLKTVEMLNLVVEIVEEMCTNVEMTSNLNEKATAINAVVDVLDTILHAESLFSDFRVSQSENWPDIPLNDSKFEVLRQKIEEEKRYEATQRRYEDRPKQPPPSKLLRVETSESLSHLAQTILSQYQNIDDVIGLPRVGELKLNCLKAISNLCSLSPDNKLATLQNGRQGLLSVLQCTSRRPAYFMESYAMRNYSIFCVRQLTDNCQENKEVILQLGQPTQPIIDRKRLLKEFGIDENELSGTSHM >CRE28537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1415886:1417390:-1 gene:WBGene00056118 transcript:CRE28537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28537 MQVKIHPKNTFTIIMVVGFLYLAYLIFFESGDGMPEIDVDLKDVISYAVLAIEMGGHAVMKVNEEKSLNAAAKGLTDEGKEELLTRADLISNHLILDILQRFPRLQIVSEEKASEFSEKEVEPYRSDNYAVWQSVKEILDKIPSRRLQLSDVRVFVDPLDATQEFTEGLTEYVTVMACIVVDAEPIFGAIYRPFYNETVFGLQGFGVVTSDGKKITPVDESKTDKKVVVSRSHAGKVKEVVEKVYGDKMSIEAAGGSGYKTLRLVNGTAELYLHTTAIKKWDTCAGDAILRTMGGAMLDLEGEPLRYSSQDPILNKKGLIGTVRNPYTYFKKFQGIKLL >CRE28536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1410380:1415641:-1 gene:WBGene00056119 transcript:CRE28536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28536 MLQVDPNLQQKQTIVPFTPYEWKYVRQLFRSRRLADVKECVIILSTWMSRSENNIVAISCSHVLLQAIYADLLAQGMPESEYYMAIDDIRMKHGYAIVRFVNYVNELGQTSALLKNMVHAVQGFGIPKKIVDIRHAVTHQTCPDISELRYATNFCLEWLWDNFWLSDASNAMNSGKAGTSNSSKSGSSAEYGNQRGREQQHVASIRAFNTWRGKNRLLKTELEFSEVSEIYAIKQHVLLDYVGFLSCLVRDGHLIQTGGQWKNWKLPPTSSDEKWIVPEPITKFWEPIFHLMFSLKLGPELVISLMWRLREPTIKQISKDQITAYVRLIIQNFADNDVFSPDAWVRILDHLLPVAKYFSKEIIDIVMRNCPNLSRKRRKQIHQILTIATVKSANLTNSDGPSGSATSSSDGSKVHTVNDLLQLLKSRTKSTESSTNSRNEKTGIELCESEEWVDVPFGMAPGQRVETFTVVIDETSVSRRKRKAFDPAITLDDE >CRE28535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1405479:1407131:-1 gene:WBGene00056121 transcript:CRE28535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lagr-1 description:CRE-LAGR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LLP3] MIGPEFNITHPSIYRTSTANPFVLAGLVYESIPHWVRRYARIRPDYSFPSSMISDFKKISLSNSELYTVLLLATFFTMLRYYLQVRLESWTQQHNIYPRFAHKVPESFWKLTYYGTVWIFAFYFHMCVESHDIFKDPLSMWVEWESGHKPKMHWQVQVIYAVQSAFYIHSIYATLFMDLWRKDSWLMFVHHFVALGLLFLSYVDNFTLPGVLVLFLHDNSDATLEITKLSFYLKKRTNGQYYKYYFLMGNAAFILFAIIWVIFRLYWYTCKLLYATIYGAVYLGPQDAPFFPLLGAMLLIIFAMNVYWFNFIARMIWRVALTGEDPEDNREWDTTAVSGLNQQKLDELATEKCHLKPKNA >CRE28238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1404684:1405379:1 gene:WBGene00056122 transcript:CRE28238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndx-4 description:CRE-NDX-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LLP2] MNVFLSRMVVKAAGLVIYRKLAGKIEFLLLQASYPPHHWTPPKGHVDPGEDEWQAAIRETKEEANINKEQLTIHEDCHETLYYEAKGKPKSVKYWLALLNNPDDVSLSHEHQNWKWCELEDAVKIADYAEMGSLLRKFSGFLNTSR >CRE28534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1399873:1401403:-1 gene:WBGene00056123 transcript:CRE28534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28534 MREVISVHIGQAGVQIGNACWELYCLEHGIQPDGKMPTESTIQGESFTTFFSDTGSGHYVPRSIFVDLEPTVIDEIRTGQYKKLFHPEQMITGKEDAANNYARGHYTVGKELIDTVLDRIRRLADNCSGLQGFFVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSVYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDVGRPSYTNLNRIISQVSTFIHSVVSSITASLRFDGALNVDLNEFQTNLVPYPRIHFPLAAYTPLISAEKAYHEALSVSDITNSCFEPANQMVKCDPRQGKYMAVCLLYRGDVVPKDVNTAIAAIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVPRAVCMLSNTTAIAEAWSRLDYKFDLMYAKRAFVHWYVGEGMEEGEFTEAREDMAALEKDYEEVGADSNDAAQDEGEEY >CRE08213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:521038:522584:-1 gene:WBGene00056124 transcript:CRE08213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tba-1 description:CRE-TBA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M332] MREVISIHVGQAGVQIGNACWELYCLEHGIQPDGTMPSDQQADGESFTTFFSDTGNGRYVPRSIFVDLEPTVVDEIRTGTYKQLFHPEQMITGKEDAANNYARGHYTVGKELIDTVLDRIRRLADNCSGLQGFFVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLSVDRPSYTNLNRIISQVVSSITASLRFDGALNVDLNEFQTNLVPYPRIHFPLAAYTPLISADKAYHEALSVNDITNSCFEPANQMVKCDPRHGKYMAVCLLYRGDVVPKDVNTAIAAIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVPRAVCMLSNTTAIAEAWSRLDYKFDLMYAKRAFVHWYVGEGMEEGEFTEAREDLAALEKDYEEVGADSNEGGNEEEGEEY >CRE28236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1398518:1399670:1 gene:WBGene00056125 transcript:CRE28236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28236 MDKDQKTCQICYKPSELFNYGAPCCNACKMFFRRVKTLQTPLRECENNEICYKNANEIVHYDCRLCRFQQCIRAGMIENQEKIRFFDVVPLLHQLEIEKRIILENYESSLDNISFEKVTDAETIQFKPKSLDTRYNYYDYEFMTQISTIDYLKKLDFVKMMTSSDSKAFLKSAYLNCAILSTAMHCYSRKMGFITFPEGTDVFPTDIDIIPKHFPQLELGIKCRMIGKLSELRITQEEFLLLNMIFICNPDVPNMSETGRLLLNCHQRLHSSLLLKYCQMTYQHNAPSRFSDLLSICHVISKTRQDISNVALLFQFYKPGIEWREMLKGAIDFLLK >CRE28235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1388780:1397022:1 gene:WBGene00056126 transcript:CRE28235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trp-4 description:CRE-TRP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LLN8] MDSPRGGILGRALREASTKRDRRQSEDVDIDTIPVPVRHLGSRRQPIQMLPHSQPGPSHVSIVNIPGGPTTTSTTHQTEHSSHRTESGRFIRRRRQSREITTTSTRPHAPPSGTHRPYDPSASRESSGSTVAGWEHRPKSADDDRHEFLRQRRSGGGGGILARGLREMNKMVEELEQASEEPQTRQGILGTALEDMKGVTYQKIYRRREETPKRSRSFDDNEMSNRVGMIEQLLRDKDPLELKQLGLTELLNTDGADPNTPGRPIPTLRRSSTHLQIGKNSRVIFVPKQPSRDSVTPPHGATLGKPPFRESITSHASSHEEMSTEDLAMADPQTKILYFAKRDEWVNVEIEMETIKRSDFSMADNHGFTAFLLAVKAGKDQIVDKMIRKGARVDYITKDGRNATHIAAMYSSVETLELILKRYSELLRKGAGPKKQLAIHVACERKSKKAFPIVKRILEDTDQRMAEDGEGSLPIHLAFKFGNVNIVELLLSGPTDEQTKKADGNGDTLLHLAARSGSIEAVRTAIAAGCDNANIQNLVGRTPLHEVAEVGDQGMLKIMFKLRADANIHDKEDKTPVHVAAERGDTQMVESLIDKFGGSIRARTRDGSTLLHIAACSGHTSTALAFLKRGVPLMMPNKKGALGLHSAAAAGFNDVVKMLILRGTNVDVRTRDNYTALHVAVQSGKASVVETLLGNGADIHVKGGELGQTALHIAASLNGPESRDCAMMLLKSGGQPDVAQVDGETCLHIAARNGNKEIMRLLLNENADSQICSKIGETPLQVAAKSCNFEAASMILKHLSEILTPEQLKEHVNHRTSDGFTALHYAAEIEHRQLHFPGEDAKLVNLLIDYGGMVEMPSLNANETAMHMAARSGNQAVLLAMVNKIGAGAVQIVQNKQSKNGWSPLLEACARGHLGVANILLKHHARIDVFDEMGRTALHLAAFNGHLSIVHLLLQHKAFVNSKSKTGEAPLHLAAQNGHVKVVNVLVQDHGASLEAITLDNQTALHFAAKFGQLAVSQTLLALGANPNARDDKGQTPLHLAAENDFPDVVKLFLKMRNNNRSVLTAIDHNGFTCAHIAAMKGSLAVVRELMMIDKPMVIQAKTKTLEATTLHMAAAGGHANIVKILLENGANAEDENSHGMTALHLGAKNGFISILEAFDKILWKRCSRKVSIYSLRFDLSHRNCFQTGLNALHIAAFYGNSDFVNEMLKHVQATVRSEPPIYNHHVNKEFSTEYGFTPLHLAAQSGHDSLVRMLLNQGVQVDATSTTMNVIPLHLAAQQGHIAVVGMLLSRSTQQQHAKDWRGRTPLHLAAQNGHYEMVSLLIAQGSNINVMDQNGWTGLHFATRAGHLSVVKLFIDSSADPLAETKEGKVPLCFAAAHNHIECLRFLLKQKHDTHQLMEDRKFIFDLMVCGKTNDNEPLQEFILQSPAPIETAVKLSALYRDMSEKEKERAKDLLNVAVFSENMAVELLGITATEYNAALLLKAKDNRGRPLLDVLIENEQKEVVSYASVQRYLTEVWTARVDWSFGKFVAFSLFVLICPPAWFYFSLPLDSRIGRAPIIKFVCHIVSHVYFTILLTIVVLNITHKMYEVTSVVPNPVEWLLLLWLSGNLVSELSTVGGGSGLGIVKVLILVLSAMAIAVHVLAFLLPAVFLTHLDNDEKLHFARTMLYLKNQLFAFALLFAFVEYLDFLTVHHLFGPWAIIIRDLMYDLARFLVILLLFVAGFTLHVTSIFQPAYQPVDEDSAELMRLASPEQTLEMLFFSLFGLVEPDSMPPLHLVPDFAKIILKLLFGIYMMVTLIVLINLLIAMMSDTYQRIQAQSDKEWKFGRAILIRQMNKRSATPSPINMLTKFLIVVRVAWRNRLRCMTRKAQDDLRFEENIDAFSMGGGQQGRASPTMRPDEGGEMGGQRAELGRSADWNIETVIDWRKIVSMYYQANGKLDDGRNKEDQDAAHAIPSNFN >CRE28533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1372783:1381185:-1 gene:WBGene00056127 transcript:CRE28533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28533 MAENAELSNLEFEICHSQFLNGLAPRPVAEAAELIRTGKITLSEAMDRFPAPGCSTIDRTTDSNDGNSMHPKRGKFHLNGLLEKKERILEEQAQQNDSHQLAPLNMDTSFAQWNPTFSSSVPNPPSSASTSSSAHTSWILEAPLAHLLAPFNDKLTDKQVEQITAPLIRLLEEDLKKPVKSKEWMSKVMNLSDLIANNQGLTSIRERDSYIRYLFKKNKVPETFISSFMKEKSDAFMLKSPSRLPRPLPNHPTRPPLPPPYSPAPSIPLVSSSGPYSAASIPGLEVVASWSDEERVRFLVNELFKLRKSCLDQDMKMKAVFASLLICSHYCHNLTLESKIDYINSLLLKMGFSANQIADILESGKEVIIASLKQDSTASNSSLASNSSQMTCPPLESIRDKHLKTMPDAATTGNSATVQSPVAPPLAPVPSNVSPNPMPNQPSGEQVRFAKLLQSAEATKLRSMIKSSSSSEMKDVCCTVFFLASKLEKNMDFEKKSACVIKILLHLGYSVDAAKNIAERGKELLRGTAKEKRFVNPPLPGPSTSQPTSDQTVYNQQFPQLLLQMGSSTPQFMQGVQNLPCRNSVITQVPNPYSSVPSTSQVAPPPIGNEILRTFMSGQRNLFPQIPFFQGWLNPLALPILGFPTTQRSVTLPTIPEVPETPEATTEKTKNKRNRTTAKPKMIGPKKKAGRPKKSKRGEKDNATPEEHGDEVQQEVSSSLEAEAISRAFLLATYQSAQSTAVVPSPTEGSTDDMKVANNTVEPMDTDQGTANKSSLKTDVVVPEQEERSTMNHADIEAVKKPMSLLKTTQNLETFLNASDVAVSIDIEDAEKTEIKEMISHSDSESLKSRQCQEPLKSAIPNITITKSHIDSSVESSAEKVLKQNAARPASASLESQIVPIGVNVSSKRLLKRKARSASEPPMKVTKQRQPEKKENPVIMGSIQSEGNQKNQARGMREKTQHAKSDNEQQSNSSMGLDVSSCTMDADALGFELGLVTNSKKPAFEEADGCCLKKPENASATSDYMDTLVVTAHKNLVKKQTDSSGYAETSIRTVEDPSPLLTVEKTVPVLSKSLLNSHEKVTGSSSQSSPCLTDYPRVIGVDYMARSSRAINEESDTSMPYLIKQFPSVTSFIDSESADMVKKDAEISIDSVKSKKSVTQERINGKTAATELSVDELSTQDTVTSNQRSLNPATVSGPMLRELLIRDTIVPQIALSTSLALEPMVSKELVQKSTPIISSVPPSRPPQIETASLLTQLFEAKNRSRMAPKLIQTESEDFMVQSRTSDVPTDHKLSMPSATAEAKIRMSSMNMPANSSNTIQPASQKTATIDSTLTMNKQNDHRKTATMVYFKSKMKETVKSAPVKAIPNNCTISKPLMMPDPHSKAIRNLQEQATNEFDYSSILNQNYIVSSQLSREISSTKHTAQNDLIQEPSIRNVNAHIRTTKAVVPEMMSMVTRNTAAKPTETVQARSAPIDAFPFPTLLSKNCKIPLDMSNHTAIPMSIPTNTVKSITTHDPITSKFPKTMFSASSNSQAAVDDLSADSLLPQSMNDQSTTKTASLVKPTASGSLPHGIHIPQPPVNPPDTLVPKEPEYLTDERSLNHLLHLASIWLKHDPEGTLQVPSLSDLLQVPFIETPGSTISFAQPILQTAPAPITFSDIAPSNKDDGQRFQVSAIEKVDSMPLIQKPGPRNSHATAIEASANEVMPMLEEQVPPKTPEYCINRSVWKRNKDQADEIMNVIMIQAKIKNRKRQVAQVDSSIQQPDPKRAREQIGFKPASLCPRHPHLNGEKALSRLLPSVPNPVSYGEYPTMDIEWHITYTRWSRGFGEISRVSIGCRHLYHSEWIDASRCQEKAVPCFTRDREFKDILRQVLEISKTEVQAEQSLLNILNQFPLMRDYPQFAPFISAHIQTATKVMPMTNYEAKAPSNFIIQALNYLSDLHEKGVNITAEEVIAFIVYNLHDSFACCYAVSSFKTIQKVLKKHVNAQEIHDEIMLD >CRE28532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1366324:1368370:-1 gene:WBGene00056128 transcript:CRE28532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28532 MYNNCVQFYFMHQNSFSSSSNSNSHPYSNNFQCFSRKMAASSSSSSSPPKQNFLDSFPAFRRARTPPPKNTDSEVDCTPYEHIQYRPFCQTIRLRKRRKAQNKPKRQRLSVIMENLNMFEWLHRVGAHKSMTTDELLFVLTNGLFHQDKGNVAIVFNKDNRTGKSATSRMNAAFGKADDKKDAVKEFMDAHNSPKVNFSVPENIKRYGCGHVIRNDFYEEEVPSPIREIEIVTLD >CRE28234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1364017:1365312:1 gene:WBGene00056129 transcript:CRE28234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28234 MKFRSFFIILIHIVSINGKLTKRENEERLETCGKEKIVKRITETLAKDANSISVENETNWLKTISMDYDRYKDIKLRSIAILISKNHLLASSHLLLTDDFKWRHNGKEFEKKCTDGSENFVLSDFDSLEVFSPATPKDTSEYPLRLNPTKLIFLKICYKGAGGAFQLIMSPLIIEFKTTLPGSTPCLIDDSKVIESQDPVDFYGLHSGKVFHRKVTFLKLFKHEIDIIQLLVSPVGSQSFTVSGIPGMRFGQSFLIKDIDGKSTLLSVNTYGENESVFARVPEVSFFYNMNWLRDDICELTGVCPKGMYKEITKPSTSIPSTSTPRTSDSLPTTTEIIQKRTSATEQGTDYDYNEYEDEKEENNWEEGDENGFDSDGVINGNRRRSQINKGLTLIVLFCLRF >CRE28233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1358672:1362893:1 gene:WBGene00056130 transcript:CRE28233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-maco-1 MQTSQKTKQAQKRNRGDKSIKRLQINRRGRPEINQTIPTIFLYIRMAVVWFTMVVLDAMTGFRVELLWPAWLMIRAVVESVQLRNQHCVTTIASPSSPRISIMFVCITATSDLICYMCIPTRVIVFVATAFVWIGYLHHLHGGFLRTAATVYGGDKSQAWPIMIITCCIIIFELFFRIRSQPVLIGFFPNVADWAGITEVWPRSLNAFFSAHSIGFPVVMTTVQLNQYIDDWKLRRKQGEVSCQNEQLFRILVESLPAEYEGPKDYTSQHCLEEGSDLYYLDPPVQTTHTMQAIQAAPSATPPTTTSKKNGIHKRNGEVTSSTTTSSKKKKNGNGSFNSTPPNDNKKKNKTIRGTDLDDLDDSDADDYTWRDHSGTGEQKRSGGIYILRLIFGGIWWIFSAAFVSSSSSESSATHRHDDDDDDEEVDLTEKKNGRTDSLTNSTTTTKGRANTMPSTARNQNNNHHQQQQKHKHSNGKSHHQNHNQKSNGNASNGHARSSGLSAAIRDSSHDTNASNEADIRNMSRELESLRAEISSRRSLEEDYKLQISMHESNENRLTQQLSNMKLKADQMEIKYSTLERHRETDKSQLEQSERKYADLLGKKAEIEATLSAERKARMENASKKYDVAEHQRERERQLESEIDKLRAELKSKDETNMRMESELHGLRNYKEEHDIEALSMELRFVRDKSLQMEESLAGENKLKQSLFKCLGDARDTIKSLERRCNELQMKNGGSGGSSETLMNGGRSSTEANNENDTTASDQSSPHQHSAMGSPIPFAKMPLTVNVSNRHGSPFNEKMSPIASIGSVMAAAGVPAPPDYMMAVGASAASVGSVPQKQSSVAFPGIRYNEFTHMPTGGEHRLFDTPALSAPSPNGTDPEDDFLLNKGKFGAPAQPAARLA >CRE28232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1347676:1351877:1 gene:WBGene00056131 transcript:CRE28232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28232 MKTSSFLTLATVSVIFLVLLSCDVDAKKKDKNLKVTVADDVSPPAPAKKTKKAAAAKETPAPPAKSAPSKHKDDDNSIDDVDEDRIDEILRDASKNLVIFLYDGKVPCPTCTEALSEVEEIDDDIEATGYVQVVKTNDRSVARELGINVFPSLVYYRRKNPILYDGDFKDSENLLRWLRAHEEVATWDLTDDTFESRTDSHSPDEGSIDWFVMFYDADEGNSNAFVPLWETVAHKLRGLVNVGKIEISVNDDVTERFHIEERECPVFLLFHRGKMYRYKESAKDVRSLTNFALHKYKEQRGHRVPEPPTAIEQVYEFAKEKIMDVMDDNQTLSVLGVGGLIVIVAVTLIIKAYRIRAENKNKVA >CRE28531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1345196:1347142:-1 gene:WBGene00056132 transcript:CRE28531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-19 description:CRE-TSP-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LLN2] MISRRRRALAGSDDGSKGFASMVDDIEPEPFEEMYSIFRIVTLYEMLIHGQWKLFVHYLETKKTELVLGMYIGAAFELIRFVCVLVIAIVFILMRISALLPLQNEQIIGNITWIISMNALAFWTALILCGIIVGQFAVYQCRNPNYVVICTTINFIFSVVLIASIIHLAILKHNNDDFMKEGFHKNLLSSGSMRGLMNYQARVKCCGVEGPMDYNSDHILRYRNSLTNKTEMIRVTREFSLDDNRFYSIPISCCKRSDKTICSQRNLTVEETAEVRQLANQGQWEAMRKYFVMPEPGSYWTKGCLTEYVESIDSYGTILISTIVIFTIFTALTIGFVIVLLLYHDGVGHMVSEQKMFGVERNTGVHFLMSLDMIDSDFQDDFSLIEFLRNSDIDKFMAGDKLPGTLNGRKDAERA >CRE28530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1343028:1343585:-1 gene:WBGene00056133 transcript:CRE28530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28530 MIARLAIAALLVSSSLACLPGLGGLGGGCGGAAASPCAAPPLPPPVACGGGCGAAPYPAGPMAAPYLAPAAIAPPPPPQGPVIGVGAQPAIYSAPLPAGNAYVGQGK >CRE28231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1340790:1342882:1 gene:WBGene00056134 transcript:CRE28231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-11 description:CRE-ACR-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LLN0] MIFKQITFFFTIFLTLLNSKLGNGSVAETKLFTDLLKGYNPLERPVQNSSQPLVVKIKLFLQQILDVDEKNQIVSVNAWLSYTWFDHKLQWEPKKYGGIQDIRFPGSSDHIWKPDVLLYNRLVFSVSIFRLIVFSAAEDFDSTFKSNLLTYHTGTVVWIPPGVLKFVCQLDVTWFPFDDQVCEMKFGSWTFHGYAIDLQIDDDSNGTQSMDLSTYLVNGEWQVISTNAKRIVSYFKCCPEPYPTVNYYLHIRRRTLYYGFNLIIPSLLISLMAILGFMFPPDAGEKITLEVTILLAIVFFLSMVSEMTPPTSEAVPLIGVFFSCCMLVVSASVVFTIVVLNLHFRSADSHEMNPLVRRILLEFLPWLLFMSRPGYKFVKANVIDNTDKMPKKPKNPLDCNLPSNHAGYEAQILLLHSVHTELRKVVAFYSKEEHDERIQTDWRFAAMVVDRACLLLFTVFIVISILAIMMSAPHIIA >CRE28230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1338578:1340473:1 gene:WBGene00056135 transcript:CRE28230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppfr-2 description:CRE-PPFR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LLM9] MVKSPGTSPERKKAKIDVYPMIVKDPQAREINETHLLNYTTALSSFETPTEEQLLVIEGDTFRHHPNEDVEQYFRASAQWGVPAIAWNIVRPAFLWKLEVCTILILFQKLKSEFQFCITEFMNVEKKKKEAAAEKAASQANNEVEKAVEPPVKEKMSILGHKINLIKTTPVVFNTEESMEFVLDKAKSFDGFPFTWQRLCELLTEPMKHYNTIDKFLRAVDKVINVVTTINEHGGRSFGDWEVPHSHQQNVENLFFGAVDEVEMMELEKLKNEFQSSSSSSSSSEEPLDMSQKSMPAPRSTSSPNSSPSFAPPTARSPISNNSPSSSPKATSPASSPKAISPVSSPKSASNSTTGTQIASPSKKEEAKDEMETTKEKTSEGHEEEMPQEEIGTESEKSEKEERTDEEMEIASEA >CRE28529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1330143:1331329:-1 gene:WBGene00056136 transcript:CRE28529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28529 MKVDLDLRMRERREGAHRIQRITYLKDPENHQRMMKRLPESSIQNWQASSSNTYKNQEGRNDDRLMRLSLEKMPESSQLNVSIRSMRSKLRKTPKTSIETDMFKPNGDPFWVVSDRPPDECEKNENGEPITNSELALTLAQDGLELEEKDWFELTKSYMNFELPKGIRLPDYHKFDSLAPLEKLKDMNLYLSEKTIAYNTVQNLVELSEDAIKRFAMRREGNIGRVRSVIVQDTAEKATNTPAASIPAAPARPSREKFQLSTCIISSVNFNTQVINVQYERQNSILSIQKYRKMMSRMYSMEQTTQMESREKI >CRE28228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1328611:1329980:1 gene:WBGene00056137 transcript:CRE28228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28228 MSSSTDEKTNSPETTVSEAVDMSLSDKERSQITAFYNKREEMKANNQLVLDCSKCLMMFDDVIIYRFHMSMHTPALPSSSIISSLFTSPATWQCSLCKRICLDRLDFQLHTIKYGHLLIPQGLMIPPALAYHNGLPDLASLCQPNDLLKELVNLVNNSSTNQQ >CRE28226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1321979:1327259:1 gene:WBGene00056138 transcript:CRE28226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mctp-1 MPCLQLIRRRKKKKEVENERMKGAKNEEENENEEDEQVESSSIGCSPLTRRRRKSAAVGTSTSEKTHNNHSHHSPRKSPGFRFRRIFDTFTFTRSLKPQYSVENNNDEEETDETQKCTEGEEMDVVTLLLDVRLNNGEDLPVKDASGSSDPYVKFRYKENIVYKSGTIFKNLNPSWDEEFQMIVDDVTCPVRLEVFDFDRFCTDDFMGAAEVDLSQVKWCTSTDFRVDLLDEVNQSAGKVSISITITPMTQLEVQQFQQKATKGILSTSEKKKEQRANNTQDWAKLVNIVLVEGKGIRVDERNPDAFCKFKLGQEKYKTKVCSSAEPRWIEQFDLHVFDTADQMLQMACIDRSTNAIIGRIGIDLSTVSLDETLQHWYHLEGAPEDAQILLLITVSGSHGAGETIETDEFNYNDIRNTRIQKYDISNSFNDIADIGTLTVKLFGAEDLVAKDFGGKSDPFAVLELVNTRVQTNTVYKTLSPSWNKIYTFAVKDIHTCLQVTIFDEDPNNRFEFLGRVQIPLKSIRNCEKRWYGLKDEKLRKRVKGEVLLEMDVIWNPVRAAIRTFKPKEVKYLSQEQKFKASLFKTYFGELKEVVNVLASYKNQVEYLLSWHSRPKSLAAYIVFMVFVYFFQIFFIPLMILSVFGYNFVKSKTSSDEVSKTPRHSLKGQRSEEEDEKTGTGIRDAFNSVQEILLSVQSYLHFATQLLQKIKNTFNFTDIWLSTLAVIVLSLAFVLLYFVPLRWIIMVWGTNKFSKKLRNPNFVDNNELLDYLSRVPSRTELVSFELVFENSINFFFQQEQSNERVHRPINL >CRE28225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1317079:1319017:1 gene:WBGene00056140 transcript:CRE28225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-try-7 description:CRE-TRY-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LLM3] MRWSSVLLALLATTQIVSCDPLDKSENERRFQDCGRKMTSKVYMGRDAQQSEAPWSVFFLRFNKEKSSATTCTGTIVSPRHVLIATHCFAELNEGQWEIERKQLDKSHCEKDDYLITDDNILERIRIESDRKEVARHPEKVTLVKACIKRSAVKTANYRNVTHQYYVDDFAIIDLYNDLPLNTIQQVCVASSKSENAAGTQLDYFGYGLNPPKGQRFGKGETGVLRHETVKVIDLPMEDYYFLAKDPNSITVACVGDSGGGAVKDVNGQKTIVGVLSQTNCADPKNRNDEAKEQYASVGYYNEDICRLTGICDDQQYDKYHKGYTKKPKPLRPTESPVVPQRPVGGVAVDPNGTPGKSPAPRNQEATEESIDPTQDAPEDQSSLKVDPNGANSGYFHTILALVSVFVML >CRE28528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1308614:1311337:-1 gene:WBGene00056141 transcript:CRE28528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28528 MLRLSLLLVLTTTTAVSAQNSCEDRCVRLKQRSLSRIGYDDERIQTLFERQRPLESMKDVCWRLYDNLDCMKKCPKSEKHAIFAKHVRNKCKFVLSDMEPTLKCISKHHSFLTMRCSSFLNEATRLRAESDLSTTPRHDECRFLHLTTICLENHVNTFCPDGRKIFKRLNFRDYFLSFVLPMDDDLFDDEDLDSCQIYDFVKDAEKREREDEERKLEEERRRRIDDDEDYEEELTTIVNDMEDDSEVTMTSTMPTESTTSSEAISSESYTSKSTTLSSHSVTPILGTLETIKQEEFTTLLDELISSTEKETTDITEEKESSEGIVEKIEKHHKKEINEHDDLDSLVNKLDEESHEEKDENDLEDIHSTTQLSIPTTDQKPDTPDHSDMTRNEDDPDHNTEYHDYTDHHYDEEYHDNENTTHPTISVVSIEYRISSKSEEVDYGEEELVEVTTPDQLLNLTPPNIIRDEENEELIRIETTTQKRKVFSEDSMENTPPNFYYSSNRYDSTTRSNYVADNLDLQDSEEPLEIDTSTLFGVETTSTEMFETTSERVPETTTLVPTRPEGKSKPWLHGGVIVKPILNFDQLDVDQDEQREREERRKQTASKNSSSSPSNPISSSEPSPEDDDFLADPDSPSTNETLIVIGLIALVTCLIFLILLLLLAYFCSTRTDNYNVHKDEGSPSV >CRE28527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1304715:1307429:-1 gene:WBGene00056142 transcript:CRE28527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nekl-2 description:CRE-NEKL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LLM1] MGYEKVRSVGRGAFGDCILCRGRNDASKVIVKVINTHGMSAKEENYMQSEVNLLKKVQHPLIIGYIDYFTSDNQLAIVMQYAEGGTLEKLINERVIKESNTKEHFPEKTVLEYFTQVQYFLFPPNHLNIQILVALDHMHSKHIVHRDLKPQNILMNRKRTILKLSDFGISKELGTKSAASTVIGTPNYLSPEVCESRPYNQRSDMWSLGCVLFELLHLERAFNGENLPAIVMKITQGKLKRMGDHVSEEVKIIVNKLLQTIPTNRPDIAELLVNPTVLPYLISIHCDLGRLEPPSNDKRKPSASLGSRLRTYPTQSTLRALSSSSIAPSTQNMTPVQSSLDSGFFAAGRSTGFNSRSQSRVPVQTKYEQYH >CRE28224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1300906:1304336:1 gene:WBGene00056143 transcript:CRE28224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28224 MASSSSSTSFMAPPPKMNKTSSMNSLRKTLGLKKPNNGELSMVPSRPMVSPTLSSPLGGSGAAPLEKDYHSLEYRLTHGHWRIFNSKSVFGNKDASVLVFDKKSNVKAPPKLGKSKTYSMFDLIKYETQQLMGLIHPRILHMEHNLEETKDYFSFATEQIFGNLESIVSDDSLDRLEIKLGVLQIIDGMSYLHNSAKMLHGNLTPDAIYVTATKTWKIGGFSFAVNAKEPNCYPCYPWTKKLPPCLQPDLDFLAPENLTQGQTTVTSAADVFSLGVLICWIYAGGKRLIDAKNNLETYHIIVGQLDAALQCISNELGPNLKDSMAKVLSLDVEQRPTVQLLSLIKHFDDPCLSALRQLDDIAQVFDPSQKSHFLSQTLNAAIPHISETVWFNRVLPRFNEQLLELPEMYYSITKPLFHILEHCESHNIHKMKPWIRKLMEATTHNKLLRAFILENMSALFRRLSDEFVEDKCLDVIILSLKSEDTSLQSSAVRGLPHVAEYLPISFITKKLLPTIMTLPPFLHENVPRQLDLLAALSALSDRCDVANIPQLFICISLCNSHHPVVVHAKSRIVQRLVMRDPNRLKDAQLFCIHLLNPLVTGLACKDLATFTCAHFDDILSSVRILLDVLEQRRYEMEDRVEHKQSNHHLGLNRLGNRRVSMSSTNLPRVMISAARPSFSSDSRKMSFLSADGRLEDRGSRRESRDSRGSLESDMSIRIGNGSDISDDSCFSSHSAKGRRQSWLDGYGHSVSLEQNTNGFLETTSRNLGDRNSMKQRNARASERRARTRSPNAELDPHREQPPARPNSFTNLGHNIVLTYRNLLYKDHT >CRE28223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1296897:1298506:1 gene:WBGene00056144 transcript:CRE28223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28223 MSTPSRNRATVPQRGTVAAAAAAAAIAVHPPVRCRASTNSYRVVRRRIENRKIRKRMFDAIRRYSQVKWGFCDGKHRFQGRLIFEFQNTKHFHFFEMQNFMEQNERKEFYGFMEEEEGLLDFLKEIERTMEQNFYSRTKTRTLRHFYVLTFVFILCSCPKQYLGLRKSLCMLNN >CRE28222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1287725:1287916:1 gene:WBGene00056145 transcript:CRE28222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28222 MVSAVLLAVSCDAFAFGQEDTNNDRITVEWANTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE28221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1281782:1284614:1 gene:WBGene00056146 transcript:CRE28221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ckr-1 description:CRE-CKR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LMY9] MRECSIIISPYTVMISAVFLLCLILSLLGNAIVILTILGKSHRSRSITNFYLLNLAFADLLRSIICIPSTLLGELTHCWLLGAAMCKIVAFLQPVGVCASAYTLAVIAIERYYAICRPLESRKWQTKKRALITISLVWCFSFSANLTSLFLYDAVPIGSKFTCDSTRGPLVDFIYQLYLTFTLLFVPLALMVGLYGNVIITLNTAINSDHPTVEQQMIEKTLPSRASFSDWFVSAVQRVPSMKVVSKTFQFKKKNSLSIPQTTGLSARPSRSSFSSFFSTPRGSFDATMLLRSTNQEKILIAKKKVTRMLITLVIVFAFCWVPSYMYWLLLRMADLAATSLWNPGLNSSLTILTYVSSLANPITYCFMNKSFRTSVLAYCRPKPKRPLTRCSAMPTRKSPSKESPTHAIPMIKIDLVLDSSVHI >CRE28220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1268464:1270133:1 gene:WBGene00056147 transcript:CRE28220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28220 MFMKFTESSTVTTSPISPGWPAIPSGSYGGSTVAPKWLSTVEYAMFIGEIILNVFIVFLNVSVFAVLWRATKPEYKACFYIIMRTFNCFVAVNALGTLATMMDRKAEDFEECWYIKLIMIVNMFTCIASSIWLFYAGLNRMMVLVWPHKVINAFGCWIVRGTLTCAALLALALSIVYQKLCGVYTRYDAATNTVQMMASDMAEPLTIAVYVFPLSSIVFYVVAYNNLRQKRLLVVSDKTKSIIDKAERRTLKIGMLILVTYTASLIVHLFMVFVVTNETFSALYNRIDEIVSCAPQVALPMALLSCNKKLLFNAKSSSSVSQSGTVQDLYTKETTTNEKKSSMKSKTSTASNF >CRE28525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1265346:1265819:-1 gene:WBGene00056148 transcript:CRE28525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28525 MSSPNTNILPTQGINLLRSLLNDPHISPQKQPQKIIETLGSRPVPTYGNWTIAPNQNNFHQSQNSQPTFVPVRRYFVYKYHYEYYDPIPLHHLFNTSMKNQNEIDEDAFLPQSDLYWMRKRQERYEFHKNQKEKH >CRE28524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1260669:1261365:-1 gene:WBGene00056149 transcript:CRE28524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28524 MAEEKMTVNVPADAPEEGRVFVIESNRRDEMIKLALLIILIIIFPPAAVAVHANECNMHVFISLILVFFFMIPSYIHAIWYCFFRKPTQMTIA >CRE28523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1257534:1259467:-1 gene:WBGene00056150 transcript:CRE28523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28523 MAGDLVIPPDARNNEFRLDMDDTLFQSVVQQFILLDKEFIRAKAEKNASLRLFITSPRFLIEYARLTHFSSVLPQKEYLDRMSENNLFPYRKNVYSFNLTEIVFDKTRHGFREVDFPFDANHGVTAVQDVGGCHSSYDLRNIFVLENMNVPVCRFDPVMFCQSWVLTLFRPKFVFRGLVACPKGDYGLARMIVEVGNSKGSTFRSEASIAFKKGDDESEFRDFQPMHKNLKRKYIVNEETITVFSELGKFIPFEVATPEYNFRPTHIFFFVCIFYVNRNPIPIRGMRVSNCTVQCVLPEGPPSLDAVECNDSFPAGAYDTPPVMCNYVVEHHDDPEYLRDLKAGFFSTFDNYKNMKAAEVARAEQEMYDILEGNILEDRMDVQEVDGLSDNRKRVNVDNEDEPLAKKKC >CRE28219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1254883:1257366:1 gene:WBGene00056151 transcript:CRE28219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28219 MSASIGMAACAVSSVFFGSMFVPIKSYDSRDGIFAQWMMSIAILLVGFVVFAYTGFSGFYPLAMLGGASWCIGNATAIPIISRLGLAVSILIWNTSNCLTGWAGGRFGLFGMKAQVPASPFLNYLGLVFVVIGGSIFAQVRSEPSTPVAKKTSRASFDMETMNSEEKKALNTGESSDDGVADIEVLRPTKDLNSGSQRLFAFIAALIAGVFYGMTFVPVIRMIDNPETYKGFPTDGLSYVFSHYFGIFITSTIIFVLYSIFRRNEPYAPPNLFLPGMAAGCLWAIAQTSFFVANQHLSQTVTFPIISQMPGCIAAAWSIFYYREIRGKKNFMLLGAAMSITITGAVLVGLSKNITF >CRE28522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1249038:1251294:-1 gene:WBGene00056152 transcript:CRE28522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-6 description:CRE-MEC-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LMY2] MGSQSSFLHFMHRLVFWVLFAMVSCFVLRVLIVLDLNKRIYNHTPGPCRVLTEKYKGTAGLTYVDSQKRVYITLGYGKSHNLTTNTGISFYNTNRSDGRSQQEMYDLVEMSINWNGYEYQNLFIPTGIDSYSSSNGRVLLYVINAHPDHQCIHFFQVDNTKLNYRKSICDSSFTSLQDLSVVGPDRIFFTNMAAFGRGWAQVVEFALQTGQGVVYYYDGSKLAIGAPSVNAPTGIGYDSKRRILYVGSLIRESLFAYKVAKDMTLELMYEMMLLTSPIGIFVESKTGDVWVAAHPVLHESAWHYTHPEKKNVHSSSQILRIRIQVSEGAEEGSSWVTTEPYANDGATISASSAIVYTDDQMIIGSSFGRLLHCDLTHSHIT >CRE28217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1247989:1248838:1 gene:WBGene00056153 transcript:CRE28217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lbp-6 description:CRE-LBP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LMY1] MSQEFVGRWKLVHSENFEEYMKEVGVGLITRKAAANLKPTLEIKVEGDVWHSNQYSTFKNTTLSFTLGKEFDETTPDGRTVQSVVNFENGKFVHTQKKDGKVESVITRWLDGEKLITTLQAGSVVSRREYVRE >CRE28521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1243326:1244002:-1 gene:WBGene00056154 transcript:CRE28521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28521 MIAKLLFFLLKSSFFIVNATLFGVILIGCADGKKSKKNKTSSPPTSNNDEIIKKTQNSTTSTEKEQTLKQPTSNTTSTPSQKQIEPPSQPPSQPPSKPKTPNARTPDETPKKSEMKLDKTQRNASKESIRPPPRTLRGAPRNTKSREAQKTLSDVKEFSARGSDAPIQKEKSINQQEFDDYLNNLGENKN >CRE28520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1240798:1242201:-1 gene:WBGene00056155 transcript:CRE28520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28520 MIVGILACLTASFTLGSVFAPIKRFPSSDGFMVQFFMSLGGFITSLAIHALLEFPPIYPLAMLGGALWCIANAFAFLIMNRLGLAVPILIWSTVSCLTGWATSRYGLFGVPKGVPSSVILNYFGVIVLVIGSSFFLFIKSHVYKPKNSEKLASGGEIPSEKSMATQSSEEEEDIIPHPHHVSPVIRIVCFLAAIFSGLFYGSMCTPVTFIQNRPDLYPGAPTQGLPYLFSFFLGIMPTSTLVLAVYSGVKKNQPSLPPYLILPSLLAGLLFAIGMGGFFIGNEKLSQTISYPICSFAPGLIVSAWSVLYFKEISGKRNLILLGIAYSFTLVGVIMVTVSKDL >CRE28215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1238466:1240598:1 gene:WBGene00056156 transcript:CRE28215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28215 MENTDQKLSEKRKRAPVRRNAFKARDFQSRQMVSKEKEMNLVPTRPHSRTRLEYRSNGISIYYRRANDDVEEEMMRLRVIKDENQIDDSLRSSKSSPPESSSSITIPLESSNKKMKMKMKKKKKSTSSKHKKRRTSRPFNLSKKWNKKKKKEEKIDWMDEDTIRILNELQILNHKIRKRFQVGTICPSAAQRSNFSITSISSSSFPSISASKSSKSTRNSSKNDKSISPVGTAEAFDYCGRPIKYPFYANSFPGAQPVQPEKKPKEVLLMRSKKETQNEDYSKNPDPSDFLKPPVPPVSSSSSFSTSFSNSSESEYKPNSQKLTRVSSDTKFPNARSPATREKLRLMVKECILKKIIRESGNENLDKFTGRLKRLRTEIDGIEKKKEEKKKEKEKKEVVEEEENSCSSLKTIYSKSMNSMGCDSCNSCVECIICCTEEHSEKSKNPKKKKNKKKNKSKSKKSFRSSSTSTAYKSFESSKNSGKRVKKLMKRQLF >CRE28519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1237545:1238367:-1 gene:WBGene00056157 transcript:CRE28519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28519 MTVQSIKRKNELYLEKMELTDKFVTLHEKLQNELSLASLCISKAKTTHGISLFSVNSFDSHDLEPSVRINVDDGKFTIVKEPEEEETKKEKEETDEGEDKKKKDSGESEDVEDSEAKKSPFAGQFRPFGVLESTAAKDARKIYKSAIQTIVDLVSTQKSIISKTHELADN >CRE28214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1235421:1236900:1 gene:WBGene00056158 transcript:CRE28214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-28 description:CRE-LIN-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LMX5] MIEAALENPVLVKSALSPTPRYFGSCKWFNVSKGYGFVIDDITREDLFVHQSNLNMQGFRSLDEGERVSYYIQERSNGKGREAYAVSGEVEGQGLKGSRIHPLGRKKAVSLRCFRCGKFATHKAKSCPNVKTDAKVCYTCGSEEHVSSICPERRRKHRPDQVAAEEAEEAARRAAEESSSTSDEGSSGIKEEHHEHQVKNETSDDSEQ >CRE28518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1228294:1234028:-1 gene:WBGene00056160 transcript:CRE28518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28518 MNLELAIRIFIVGKETRMVSGTAPSFLIVLIPKRSFQGSAFAAAVCYRRISNLNIFSMEMLLLDGRTEKRPNSSSSLDRFIPDMPQKVKSRRKMRKLMCISGICVTIFFIIAVSSGDSPNNIPLWSADMRDPKRNEHSFRILLVGDTGGIPILETTRAQTKVKETMTSVANEKDIQMVLNMGDNIYFTGPTDEFDPRFESRFEAVYDSPSLQVKWLTIAGNHDHFGNVTAEIEYTKRSRKWYFPSLYYKESEEFNGTKIDFIMIDTISLCGNTKDIQNAGFIEMLRNESHDPRGPMNVTAAEEQWKWLETNLEESEYEIFAISVSIEIMIFSAQYLIVSGHYPIHSMSSHGPTDCLRQRLDPLLKRFNVNAYFSGHDHSLQHFIFDGNDDHKIHYVVSGAASRADASDKHIKEFIKDNLKFNYPEKSWFSWSPVSQLGFLKGGFIYAEFGHESARLDFFDKKGKQLYAALIPIRQIPKDTATKSTVSPFIEI >CRE28517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1218543:1225309:-1 gene:WBGene00056161 transcript:CRE28517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sin-3 description:CRE-SIN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LMX3] MYNDQPGLGGGGGNPPQNQVTSEQFVALQQLIANLSSQQNNQLPPDPQLAHLIQTLNNEASNAQAQMQQRRHQQIQEQHEREQRELREREELERNRQEQLRQHLEHQQRMQFQGIQNIMNLPMSNLFLAQLAQQVAQQIPQVPPISAAQFAPIPIQPIPQQQAQHSPAQNLFIAANSPAQHLLAAANSPVQHLPPAANPPPPLPINHAKQICENFISQEQNIMRGHTPAQATPPIVQNVPPQPQAQLVQQQRVQQYSQQQQQPQQQHVHGPQDQHAQNPAIHAPQPMQQPMHRQPPPPQQQLNQAPAPVAIQHAAPPREQHRAPPRRNAQQRAPARRRQQPPPPEAPPRVDEALAYLRIIKQTFSSNVPIYHKFLEIMKDFRAQRIETPDVIEQVAELLYESPDLVLGFNTFLPAGYRIEMSGTKYVFNSPTSAPRVLLSPEERRVRAAAADGASADANVVPDAAPKEEDVDEATDDEQMEEEDVEGTEEEENESALEEDEEKEEKPLIEEVRQGTEDDDEEEEEEPENNEEEITKGLTARTLNIIELLKSSFTARPDKLVEFVTFLEFFINKQKFYSWKKQKEAENKREKPKNGRGEGSAPPQQNAGESMEVDEEGEAESKPEDIEETTEKIADDFAEKQSGDLMISMLAKVCLGEPELLAAMIDFLPYLQNLLINASDELVQKVRNILKFNNIRDRPDVTEGSRVDPKQVDLNLMNAMQKYKFGTKKNEKLVIKQTVGKPEEKDEELMVLQKSYRIIYEKLKTRTTTSQIKQLMIMFNMYLNMDITAEQMAAEIPKIMERERSEPEIIIMSMLGISRDPKDKPENDVDAVMRKDMPPVQQKIGIRDQKMIQQVRTIDAATVCTLGPSYRLLKGGARGKETFGRIDMSRDVQGVLNDKWTSYPSWSSEDTGSQTVKKSNLEEFHFKTEEERYELDIVVDSNRTIMEELSKTLRNFQTMSEEEKNSFKLDANLNCSYRSTFLRVMTKVFTNSVHEYVEAAQKNPLAGLKKIIDGLQEKHIQWTRFQQEANRTWRDALDKQMSSAMTLLNNQQKNYDQKAFKSKPLVNAIEQVYEERRKTGGDETLPHMTLEYSPEQKVFCDVNEVTGHFFHDLPGGKTEKDRTRVMLFRILMEWLCRPQQSVQIDIDNGEVFKFQGDESEDENLLSFLELDSDRTRNNRILIIPPPSSEESEELTADEKFRQNLYKRERRVFYGDDNVYMIIRYHHMIHERFARILATQSIYSQEYTENMKKFKKWQNGVGADMHGRHALEENFRTRRDAVNDFKNVRSSPSAYYDTTLRELKQLGGSQMDMIAFEDAVKHLFPADVVLFNNIDKLFMSLAKNIHHATCAEEQENPIKLYLKYRKRLMDTENTEEREAVEQEYAQNAEEILRGKNTYRFEFINEQNIPYINIWVIPREEKGSGDEDDDEDGNDDENDDDNEDGKDGGKDDDKDDDKADDDDEDKDDDNIEDKEDDDQEKDEDDSVDDQVPEMPARSNDEDEGEKSVDFDELPGAVGPEDSVEYEEMEIIEQTGCDMEVAFEGKYKYDEVKAIDSRPGETHRIYLNCVRVFPDHDIWLSRTKLTPRNWKTFTSYKSEGIEKVHRSCDYDKINKSRRLRIRRIEKALNRKGRREDLNTWFKRPFVPLIHV >CRE28213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1217496:1218362:1 gene:WBGene00056162 transcript:CRE28213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28213 MTEGEPPPRTSTSSSPIPSTSTCPITVTCDSESDDSTCPSSISSQISSFLTLDFDKLSLDSDLCEGRYDQNRRTPGPQSPTWITHNMPPIAENAQFYRSTSQKYGRFSPYEVPIKLRRRRKTQNISKTKPTDIEILLKIKLKGDVEPLRMCIFDKEQILPKPCSVQAARPPSPVTETEEVDELSEYFTHFVRVELKMSSLAESMYV >CRE28516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1210970:1213637:-1 gene:WBGene00056163 transcript:CRE28516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28516 MPNSKKTKHGGNQQHVTIVPVEPVKGDNVDTVAYSSRSRISGESGHLIADVQSPHAKEHRIIFDRDHNVFEFRLLDGSSKHLIVYRLDELLSTTCFPLKIKSGIPVIPTKPVTSDKTLYFNFVYKKDKKQWRLKQIPVVFYTTSERDYWHSLIDTTLRRVKNRPKNIIIFINPFGGKGKAQKIFKDNVEAFFWLTPGLRYKVMLTERANHARDFIVEMPSEQWSALDGLVSVGGDGLFNELLSGALLRTQNDAGRNIDDPNTSHLVTPHIRFGIIGAGSANSIVSTVHETNDHATSAVHIAIGSECNVDVCTVHQHQKLIRISANAISYGWLGDVLRDSEEYRCLGPVRYQWSALRTTIRHPIYRGIVQFSLSHKEDVNPKDQLPPCLEPCPVCNKSQGDDKYDYHWHAEFTHVICCVIPTVTPFTPHGLAPFTGIGDGTLDLALVPRISRFHNMQFMRKVAMYGGKQLYELDPSLNCYRVTKWSYQPDSDQNDPGVWNLDGEILEQPKDEPLHFKLHPQLISFFGRDAAMVKPTKRSFIKKRKSSIVYQ >CRE28515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1208763:1210621:-1 gene:WBGene00056164 transcript:CRE28515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28515 MTEADLQVFSMNQPLNFPISEKSIYQMGFNSTATQPAVTNYVHEPHPLTNHLLDLLNPPNMTDIDKKRQLFSELMAPHYEKQAEIEKKIDVLSDVVNQFIKSQQGVNQLILERVLCVQEQCSSPISNTIVEDNQMDEQEPSQESTQTEEVEDKNERESDEQNETIANQQTINNPMPVYPVPISHDASLHSPIRNRSISPEKMETGEMSVRDFLKNTMTVQKADEKILEEELERERDEAAQAIRYNKFRVRNRPKKYKRSAVTFTSSLESTPVATSSAEPSSSTIENLVAESSQAMEQLLDQTQQENDSIEVEENVFQPIVVPCVQRASELDLSLMPSVFPTTSSYVLNSALDSTAHAAQNSLSALTDANSRFQTDPSSMWKAVDSVTHLLVDAPSLLESDLRFPDHSHHSSFLPFVVSDFIVYIPINKFLARASASSLCFCTGSIQCKIDDALTPIISTSHFQKDRRIHQQMETNFSDNLRSL >CRE28212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1207361:1208465:1 gene:WBGene00056166 transcript:CRE28212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sod-2 description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:E3LMW9] MLQTTVRSVSKLVQPITGVAAVRSKHTLPDLPYDYADLEPVISHEIMQLHHQKHHATYVNNLNQVEEKLHEAVSKGNVKEAIALQPALKFNGGGHINHSIFWTNLSKDGGEPSAELSAAIKRDFGTLDNLQKMLSASTIAVQGSGWGWLGYCPKGKKLKLATCANQDPLESTTGLIPLFGIDVWEHAYYLQYKNVRPDYVNAIWKIANWKNVSERFAKAQQ >CRE28514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1204233:1206932:-1 gene:WBGene00056167 transcript:CRE28514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpt-1 MPVDRSLYIIPNDSPVCQLDAEDAFKTLSEKERKYAHYVAKASFDGALAVFLQVSPESAPIFYVLYRLFKAETVDQLKEKAIAVGFTEAEWQAFLVYTAAFYNNSGNYKGFGDSKFVPGVEQTKIRALIEKSAVGTDEKVLKTWESVESKIGSLETTELQLGFGDKGVTCYHSSNVTQEDSEKIDRFFKQRNLAFWNTRLFKEVGADGKTTFTIKLASSEEGVVSEPEEFEGVVIQIIRGDYSLLMKRTHEWLAKAIPVVANKNQEEMLKKYIEHFKNGDIDSHKDGSRYWIKDVGPAVESYIGFIENYRDPAGTRSEFEGFVAAVNKETSKKFQALVSNAEEILKQLPWGADYEKDTFLKPDFTALDVIAFGSSGIPAGINIPNCKIATWYEPGETWSSKFGVLASAYEECRAEAVGYVLCCNPDILKIFGYTGELAHDVKYVNWLSEIRAGLLALEFYQADQKKWGQAHCYARYVLTKVVLEAGKGFVKIEETKGEDGKPDLHFKLDRNLIDSVGRPAVNAFLAKLQAYKSTGDFEGGKKLFESYGAVGETELQWREICIARRKPRRIFVQPNTVENNGEISLVTYPAEVSGVIQSFVERYDAKAIEELYTCWKNDYKWF >CRE28211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1198531:1200067:1 gene:WBGene00056168 transcript:CRE28211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28211 MNEKLFLLMLISTVSFIECDKLSKEENENRLETCGQKMQTCSGTVISSRHVLTATHCFASLPENMNRFLKIQMNDKPIEKKDCTGSDYIIDDLEVAKKVSIGTGKSIQQGKTQPYLVKKIWLISACRKFESHESLVDLTLLEMENDFPLNSRLRPACVSGDSFHTIEMAVIRNHLESMSDVAGGVTMDFYGYGTQPAVNEPESSSSLAEFQYEQTWVIQPQFVFRSKTPKSGKYSEEQLILARSTSEKSVACAGDSGGGATRVINGRTTLVGVISQTSCRKMLREAAALELYVAVASKSEKWCEYGGICSGSWRINQVLVGIIVFISYLINE >CRE28210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1194446:1198286:1 gene:WBGene00056169 transcript:CRE28210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-4 description:CRE-ZTF-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LMW4] MSADYNQYGYYTGAGSMPASAAAPSPATSVPATSVYGEQRPTFSEDGTGAPYSTILNLVGSSAVNSDISYDTSSKDPHMIRARVFIGNIARAIITRDDIIELFRPFGKILAVNYFAQQGFGFVQFNEASSADESCRSLNGISWKTCCLDVHLAMLGSLKKPTGNEGRHGNPVAPIPSAIPVGKPMVTQVVESSAQSGKRPFEEEEYEIFKQNKRNKQFANGDRTTNDQLAPNEMCDTMVCGHCRFVTSDFEEFKEHRVAGCSKFKDPEEPRHRLKCATCSQRFLGAWGLLEHLTEFHRMLLYNEEKLTPSDLAQMRAGSSASSVSGAPQNVSQTTPQDISANSTPSYPMIIEGTPVSYSNNGTPQN >CRE28511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1191742:1193476:-1 gene:WBGene00056170 transcript:CRE28511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28511 MITSSLPRRNQHIPATLNHEALLPNAQNSPISMRYSVSCAGGGHKMGTIGTYSGVGGQVSESHPSVIDLNNENKAMDKKAVHWNMLLLLFMSVCSALFTVVALQFHFSNSEKFLRSAKSSNSPYKGVIDVIRDVIASFDVAIVILSILAFFISALQLLFIMKIVKQTQQPGDVEVALQYIKSTSFLRIVAFSFWFASIMVFVIVLLLTVAIDPSRSLASKCVSITLGSSAVLLAIWFAAKTLYFWAKISYGHVYRDDCYNYLSTLV >CRE28510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1190339:1191159:-1 gene:WBGene00056171 transcript:CRE28510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28510 MVELGGKGPYSTSFDNSVFIIPAIVVVAVFVYAALKLRDATLPKEKSSARQRREEKKKAK >CRE28509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1186835:1190024:-1 gene:WBGene00056172 transcript:CRE28509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bbs-9 description:CRE-BBS-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LMW1] MSLFRLVEWVSHAVPKVSTVLNASFYQERDQLVVGGEDGQIIILDPGCREDNNHVLVTTQTKYPIMEMASDVFLSTMDRILAVLSPTKLTYYAVNFGKFFKNLLDIQPYFITSANPDDTHATLDEIFCHSFTTSAWNMCVIPIDDSPSQILVQSIDCKLSLFQGDQCVFSLVPLRALQPGPIGYCQTTQTLFVANNGFLAAIKFSLMSSGSQKKINYDWSFNLGDTAIKMEVTEGLKPTTIILCRRHVSAFNGSGSVVWQIRLEAVGMAMCLYKSLQINNTQFNRLIIATSDDTLLIFKDNQLIWNCNAQISPVSLLVCSYNKSYENVITMMASSGKIVIGYLGTEPSLYKVPEDKVIVNYADRMEQLKEIELKIKESDAAGGAIKRKEGIQMKVNIGEIGKRTVRKHSLALTHLFHLQIEPNAANNAPYCNLMIDFSELHNVSKLHLNVNSECASPSKTFQINVGSSIIHRVIILEIQGVRKKERISESTASIQIPFYVGSKTSPTSNKVTITAHCMFTQMSVTKTIELPFKVLFEESQIDRNAKYKLTIDTAGAVIGLDKLFSEFESENPQAIGFSLHGSEKTVSIFAANKSNRYRIQSEHVSLLQIASKELVKRIGESVQGMEIGGVIPFEYIRETLDEIQELETKKKEESKVIDCRMKEVRAIEGLSLNSCKTGNMSNLTAMDALFDKSYRELLTSMDNYNNFSNKIENEKAALNSLFQLAADLSKLSRVETVLTNNFWTTTHQSLRERLCYAVRSDRGNEMAMIEKLCEHSPKELPKIKEEEEEEEEKEESIAA >CRE28209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1185550:1186823:1 gene:WBGene00056173 transcript:CRE28209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28209 MTSVKKNHELRVLNIFGLENPLIFLNCHSSSLFCTVLHRFSEKRTLEMVRKLKTHEQKLLKKTDFMSWQVDQQGRQGDMLKKFHVTKREHYALYNTLATKSRELAELIKNLPYSDPFREKCTDDVLTKFYAAGLVPTGDTLERVGRVTGASFARRRLPVVMRNIGMVESVKTASDLVEQGHVRIGTKLVTDPAFMVTRASEDMITWTNASKIKRHVMDYNNTRDDFDLMD >CRE28208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1181919:1185559:1 gene:WBGene00056174 transcript:CRE28208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28208 MSNHQEGCSSRMGGANRRCDCTEDLTENEKLWNDFEGCAQAVARLYSDSSWRNFRGAAEGTTQLYKTATDGYRRGFDKGFSSGCTSIAKEIFQAFRDPQKIDMNIVLEVLYRNMAVPREERLTVDTKAGFSADTLAAVELFQQALNQQPPSLNSLSVRPHAPRERQESDALDEFLANQVQRHRKRQRSPNHSMSPTSQMKRQRRL >CRE28508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1169274:1180049:-1 gene:WBGene00056175 transcript:CRE28508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smg-1 description:CRE-SMG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LMV8] MITSKNNDIGNLIEQFRQRDTHQKERKAILVRIEEILQNVNNLEDANVKWMYVLDSVCWPVLTKFDRFEFKTYAGRILRHIGTLLFDSTAYPEFLIWLGTLYQSLPKKSDEVRADIVYSVYYVVGAISQKAENRRISEYDEEHVRKSLEWVIQVLPNASISVHNQCLKGIVLVSNTFPKVSNNLFEPIISAILTNLPDFNSHEKNFERLIDTVTLFSDQFSKNLEFSEEMVRLIRPDIKKNGLRNLKELKKRIKLTMAVVKMAKSQQMLEDTNQMISELSVEFEENGGKWSSASLITVVCDIFNELLIIGKDDEKMRKGVEESLGHVLKDLNLSKQNTKEKQAFFNSLAKIVKQLPAESPIKTKIHQIVFNQETGLFTTKNKDIRIFGHNTIYKDLVNLISVLLTPTSLNHLQATYTDLRKAMMASMSRLKRSEEKPHSDSVRWHESILLLFFSALQGISCAKSSLIVMMGIRPSIFEFFSTELPLTEYWLASNHPEVYHLFVTILVGHLKAHDFYIAQSDYLVRGDNSIGQSIGQTKREYARKQVIALQKIIANFGDKLLKRTRVLISSWLHGLVLAASDQKIGSESFSQREWVRLRSTVVHQSVLSWNNESTNQALTLLSSATKWPELTLDIDRDITDKTKKAKWKEATTIWEAGDCKIYIRQSMAPIYQMCQEKQQKLITATSFGAEEFNIVTNFLLKQIVPSTFKKGSYVWMDEVLETVIQGCQSISQEKTENLLPETFMEKWDWIINQTANFCIVNKMKTPLGKPMQTFAAFENELKRLAKDVLNRKNNEKKSKDETIPPSSPPLKYSIQWLRVNLLLKLIEVLEKLMISAIYGGSSVFNLTEIPVTSRQFFSMNSASCEVWLNRVYYPALVVAYFNGYYGLVIRFGSNALTHYAKQKSADEKVIVNGVCTACLMSLSMAVLGEPMEIVGLRRRVREEFGTEMGQQLMEALGEMARARYEIALAALETILVTDASLNETLKIIIQIAMTDMLNRIRLPEAVDYYKTTLFGSDPDAPITEDFRSIEMLTKFEKISNAVNEKRQVVDWSARERLQVVESAFSQTMRRTELLDLQKELSAMGALTLSADTSCKLYSDISSTSIIVANLVDRMTGVSQWKNQLTDGEMFDKTEEGNEGDKLAICRKLMHWGRHIKHYRGQSSAAHGEIIRLSRKTDNCELAFFHINSVIRGDKLNAWQRLEVERQRLKLVKLQNLPVRIREMNAVFKSLADVFMTSMQLKSNFQFQDVSIKEKMLSEGYLSEIAKREEHMSRASIQIADFFQSLLPAVDNVLTPNLFETMIWSEIHARSNNMACGYPGIVGGLFHLAAEMCPTLAKSHLKLAKWAYEMAKIENSPDINLCTIYQFGQTPQENEELWKCLEATSLVNLEKQVRKVIPDVMRANALISPNSHYLLIWERTSAHRRKFLSITVSSYFQFIHNMSGEFDNLPYSKKEETTLATLRILELLVKHGEVLIDVINDGLSKTNVHVWKEILPQLFARLSHPSEHIRKTLVDLISRVCTAAPHAVVFQVVSGAASSTEVGEELEEQQNDDRNRVRVCCEQLETKMAQSYPSLVRDVRLFVAELERINLLNEEKWSVVLGTMEHEMEKRLALIKSENMKTEMAMHLMPAMKDEIIENKTKLLTRQIFDVLDELYQQTIMEPAKTKNEEEFVTAFIEILSNAHHESKKNRAMSPEQSWAPFKNLIANFVHRNIKKGMQLLKTVDISPYLAALSNSCVPMPGQESVEFDRVVSISRVSDQVTILPTKTRPKKLGFVGSDGKQLDLKYILKTLFQYFSVAFLFKGREDLHLDERVMQFLRLCNVMLQPGKSKNRQIAEYQAHHYAVIPLGPRSGLIKWVEGATPIFHIYRKWQMKEKALKQATKKNGETVPDIERPSNMYHNMMRQAFTAHNIDATIASDRTKWPVHIVEEVFEGLSSKTPTDLISRELWMRASDATSWWAVTKRYARSLAVMSMIGSVLGLGDRHLDNLLVDLKYGHVVHIDYNICFDKGKNLRIPETVPFRLSRNIRHALGPSEMYGTFRESCVHVLSTLRSGHQVLTMLLDAFVFDPLVDWTSHEHTSTSGISLALQLAVYGSNWKAKSRDRLTDTIELFQLRMTENHSLWMNNRDDLLRWMKQVTECLLMEKNLMGANGLYAQQRVQAGTELREAITRHQALTKEIRPLIRAIGKEREEFADYFKFYKQAFIDPLLKGHSALRHEVDVDTCVHNFNIVMQNIDVVFVSLISLSSMPVESIISRAPQPQHFKPPPGLESKNQNNENSSNVSSADVWVVKQDQQENSQAREVVRRVERRLNGWLDGSAPDRKLSPREEADVLIAEATSNANLAQMYEGWTAWV >CRE28207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1161253:1162592:1 gene:WBGene00056176 transcript:CRE28207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28207 MGLKSSKEAEKSPKNEKLSSKKSGTKSKKSEPIVQDVVVEPSEDVEETLSEPTEQKEIMMEVPEVMKVAENLEESDSRVTSGSESDLDIVDDQSESISETDSNQVVTSEYSESGTDSGDDSEEESPEYDQESITEEDIENREELISAIENYIGEKESSETENHHSEVTASSKSVDRERIACGADVEQSELYSKSSVISLGNSDDVSAEQYESYHNESSDSYPIISENRKNGITLIRVNGPHADKTQDFWRMVVEKRIPTIQMTCDYVEDGILKCAPYIPMLGEPELRCGVYTIKRLGPESQLSANLRRQQLLIYETKRVSSEYKHSVTHFHESENFDSTQASRNSNVIIKHVSHQPNPQLLIHGSW >CRE28506a pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1149814:1154586:-1 gene:WBGene00056177 transcript:CRE28506a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asd-2 description:CRE-ASD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LMV5] MQVCRFLQTTTESSLVATSSTGSSVSTTSSDSHVTTASPPAPISPLLAPPITLQDVMTHASADHLTPNGGANGISTTQHPQYSVEYLSQLLKDKKQLAAFPNVFHHLERLADDEISKVRVALFQFEFTKDNVTLPDAEGDITVHTEKVFVPAKEHPDYNFVGRILGPRGMTAKQLEQETGCKIMVRGRGSMRDKKKEELNRGKPNWEHLSEELHVLIQCEDTANRAKVKLLRAMDEVKKLLVPAPEGEDELKRKQLMELAIINGTYRSGADQSALAAAHLAAVKQQQPLAALQAAALQRGVMANGIQMMANGISRSPTMAVCGAPIVMSPSGRAASAGATATSQAALIMQQQSQLQANAGNAALQQQAALLQQQQAAEYQQILLNQASLYDFSAIQQYAAGQNAVAAAQAQAQAQYGALAAAAAANSAQAGQQQYADYAGVDLTSQQSAHGAMLNNRRLLGASRDHPYKQ >CRE28506b pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1149814:1153252:-1 gene:WBGene00056177 transcript:CRE28506b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asd-2 description:CRE-ASD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LMV5] MDGGDCGVLSSGTTMLDGHSDDQCLLNLDTSSGVVPPPPNDTGHEFIGPSSGPPQVTITPSPVSHQPGGANGISTTQHPQYSVEYLSQLLKDKKQLAAFPNVFHHLERLADDEISKVRVALFQFEFTKDNVTLPDAEGDITVHTEKVFVPAKEHPDYNFVGRILGPRGMTAKQLEQETGCKIMVRGRGSMRDKKKEELNRGKPNWEHLSEELHVLIQCEDTANRAKVKLLRAMDEVKKLLVPAPEGEDELKRKQLMELAIINGTYRSGADQSALAAAHLAAVKQQQPLAALQAAALQRGVMANGIQMMANGISRSPTMAVCGAPIVMSPSGRAASAGATATSQAALIMQQQSQLQANAGNAALQQQAALLQQQQAAEYQQILLNQASLYDFSAIQQYAAGQNAVAAAQAQAQAQYGALAAAAAANSAQAGQQQYADYAGVDLTSQQSAHGAMLNNRRLLGASRDHPYKQ >CRE28206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1146981:1149015:1 gene:WBGene00056179 transcript:CRE28206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28206 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LMV4] MYRFNRKAKQDVKKKQNSNDGSKNSKTSSGSSNVSSASPTAHQKRRQPKSDSESEKSLKNPRPKLIQPSPVVSVPKTSSPDRTKQKSTVQDMVKGVVNRITFTPNRKQQKSTSTPPTSEIRKVSDVRSDYVDSELEKQAKKSKSKGSISTSLSGSTESMISDFTKKPIQNKQIVSVPSKAIKSERSLIGLDGETEQDEQRIERRNSGIKTRQTSESPSSRRDRSMEESASETKDSVSLADDEETREEYRKLFKDFAMASQKLTLDDFKHEFSQLPGDPPSDQCTAFNLGANNKKNRYTNIPCLDISRVRLQFMANKSNQSTDYIHANHIKSPLLKRGYILTQGPKKETIPDFWRMVWQEKSNSIVMLCQFVETNREKCVEYFPRNANATLRFDKLIVTFEEAIVNKSVVITRLNLSFEGETRGITHLQWKEWPDYQVPGSSEVMLKILRKIRARTHPPIIHCAAGVGRSGTLIAVEIALQSINTHFKLPDIKQVDQNNNSINNQLQIVTDLRLTGRATSVQTLQQYMLIWKVLLDFGVSNKLISEELVTKFSSTYRRSFRGSNYFS >CRE28205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1144524:1145749:1 gene:WBGene00056180 transcript:CRE28205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28205 MYGFKIDCCLHVCILILFITTIDAYPISSEAVMTVEDGFEVEKPNLHAHHHHHKHHIRHKHRRRSLTSDEDHTKTQRNKQVMLTKPYWPWP >CRE28204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1131093:1134067:1 gene:WBGene00056181 transcript:CRE28204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sacy-1 MSTDDVEAARRKYEERRRKIQEEEMQSRRDGMNLSSGDDDEDVDDMFLTALQRKREKVHRRALLKQVLAPTMNQKEEIERKRKKKEEDKLSEEARKTLLEKHAEIMETQGVIDESERQLREEEELLDKVTRGGGLLAVTELTKGEKYDEPITTAWRPPGHIRRQTQSDYENQRKRLGISCEGENIPPPIGSFLEMKFPKTLLEFMQNEKGIVTPTAIQIQGIPVALSGRDMIGIASTGSGKTMTFVLPLVMFCLEQELKLPFMRNEGPFGLIIVPSRELARQIYDLVIEMFDAINKAGLPEMRAGLCIGGVPIGEQAKDFRNGIHIVVATPGRLSDMLTKKIINLEVCRYLVLDEADRMLDMGFEDEIKSIFYFFKAQRQTLLFSATMPKKIQFFAKSALVQPIVVNVGRAGAASLNVLQELEFVRSENKLVRVLECLQKTSPKVLIFAEKKVDVDNIYEYLLVKGVEVASIHGGKDQSDRHAGIEAFRKNEKDVLVATDVASKGLDFQGIEHVINFDMPEDIENYVHRIGRTGRSGRKGLATTFINKKSEMSVLSDLKQLLVEAGQELPEFLKMLAGDEEGIAPAGTNADKGCAYCSGLGHRITDCPKLAGIGNKTTQALARGGGDDGGF >CRE28203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1129988:1130934:1 gene:WBGene00056182 transcript:CRE28203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-5 description:CRE-RPB-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LMV1] MADDELETYRLWRIRKTVLQMVHDRGYLVAQEELDQPLETFKEQYGDRPSEKKPARSDLTILVAHNDDPADQMFVFFPEDTKIGIKTIKAICQQMQEQNISRAVIVVQIGMTPSAKQSIGDMAPKYMLEHFLEAELMVNITEHELVPEHVVMTADEKAELLARYKLKDSQLPRIQQCDPVARYFGLRRGQVVKIIRPSETAGRYITYRLVV >CRE28202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1127024:1128452:1 gene:WBGene00056183 transcript:CRE28202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28202 MYRNGKTEGVAQQLEAILTSVIAVFFIIAILIYLIQYICDYFNSRTFKKKTVTPRYPHWLSGNLCAPQLHEPVYPIPEFCVFMKDYIMKTWNNKGKVQEKDKKIVTKLRNVLGKLFNLTVDSDLFPDSTCIISIPKSNFRNGSMPELFIPIHGGPPDDSHYEFQMIGDDRIQITYYVVDGVSYVAGICIYVENPYQERVHYNGTMILKLILDTPEYWSKWDLQEEKVDIVREKGLQRYFVATRNRYGEEKSWKFNEEEKVFESCGRCHNSDWELIEEGDPRILAMTFSVKMCKSQKEMVMIAEKYGRKCHVIWNKRVNKMELFDCTTCEQLQEAPPPSYKLAVCTASSRGPLKVLCAVH >CRE28505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1120919:1122137:-1 gene:WBGene00056184 transcript:CRE28505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28505 MAAIIFAVISSQNRMKTTTTPSTSNFTTDFLEKEENGSSSYFLEIFCIVSLIAFIMFHLHIYFKSTRLKERTLFPKSPDWMFKMLDSQKILTPIGDNGQISIATRGYTQQLVVRSGVSVENSKPAIETIRRIIQKIVEIEVSNEFIPGSTCIVSISKRNFRSGTRHAEFVPLNGGPSTSHFEYQMIGEDDRIQVTYFVVGGVSYVAGVCIYVENPYDVRLHYTETIIRRLMNSPDYWSKNELEEETTSVVRRKSNYEYVLITKNRYGDVKHSEFHGDKKSFDPVDILPLSAYINNPEREDLKFQAKNLCIRICEFQKKIVMITERNGHNLHTIWNEKKGKLDFFECASCDLPQEAPPPSYNSFIRNSIKKPVNLF >CRE28199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1116934:1118945:1 gene:WBGene00056185 transcript:CRE28199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28199 MRLNAILGIVVLVVASFKNDIRKLCWQEFTNSKLCLPKYEKCLITGKEHFGCRESFKMCIKNVPVYNHTEFCNKYLPNITNFDEDNGLEWHQNFLDETLNLMKTERSQLERDSNCILHDVLFKNHTTNVSNALTIRMPSLASSYCPCSKKSNDEKIRESKMKIPLQMWHGGFSSGKCWREMDRFLVEYLCGVTVALEINHIWAKNADCHEDSKQSNCRKTFNSALKKSVNVSVIRSTCRLYYEMIEAFLPPKQPISLDYKITYINESDIIYLQPFEYQSERLYLLNSFQEDTYFTCFPVKRELSSCNYIFEHCKRNEEVENCGEKLIDCLSKTTPIEPSCKSLLIQRNDNLGTFEKIFRYVRNNKLQLAYPVLLYIFWTLSKSIINWMLLKIAIRICIKITEILNSWSDSIDKAAEERRNRTTVSVGQQLDPTPRDMPTTNITVGEFMFEDIPLDTNNEIGSNNNNNNNSNNENGNEEPIAGEEEEKPKKEESFGESFKEMITSIGRLFASIFKFLKVFFKFIF >CRE28198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1113230:1116246:1 gene:WBGene00056186 transcript:CRE28198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28198 MRNYLIFAAVFLAAACFYNNNNEIVTEFCWKQYSWLKLCYSKLQSCFGEKEEKECIDSFQNCRKNAPFQETTDFCHEFLDSLGSKSEQSALEYNQYFLDGTLNLLDSDDHDRKENCDIQELLFGNTVTNTSNPLVLRMIPLAESYCPCNGKSKKEVVRTSKMKTPIQMWHGELNSGKCWREMDRFLVENLCGTSVALEINRIWAKRADCYEYSRQTTCGTDFISQLQIVANSSQSRNRCRYYVGMVERYIQSSETTSFTDYKISYIQTEELKYLESHEYRKNTLYLLDYLDEKTSFSCFSVKRQLGHCFYNHQMCKTNEEDAKCGTNLIDCYRNIDSIELKCKNALLKSSGFFGSLNIFAFLCGAFPTMMYFGFLRLSSLIASCIFKPLLCKLLLALSGKLDKWSEKVQTQRTDGKQTEISEGEQDGDIARENLTYDPDKTNANNEHRNMMFETSSSQLTPYAPMLKEEKVQSEDQEEGAADSIPTEVNGQQLDSVKNLVPEKPEKKNEKKEEEKENKKEELKFWECLKTLGLSIKNFISTFALHQTRFVFSSLPFGGQQPIPILFDLS >CRE28196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1106746:1110825:1 gene:WBGene00056187 transcript:CRE28196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpf-3 description:CRE-DPF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LMU3] MRDRETAKFDVKTFTQLLDHARAWRPEMRSLTSQGFSKLGLMRHTDGRLHVYAISAQNGTQNIVTGEIPLELTQQKEENDLKITVRLKTTVCNVEALLRKAAPSDEFAMLCERQRASVVQGITDYEIGSKEITLMAGDQVFLYTPHSGGVKPIATGAIELPSSPPPNVRKSSNRNAVEPMDVSEGTFGPGVKGCSVEAQHSTSASPANKTPGKNDSSLPPSSNFIFTAKLCPSDSDCLAYVLNKQVHIEQDGTLIHRTTSDSKHITNGVPSYVVQEEMERFEGIWWSETHKRLLYEHVNEEEVAEAQFGINGDNPSTPMKYPRVGTKNAMSQLRMVIIENGMVYDVSLNNQVLLNLFPQYEYITRAGFFSDGTSVWVQLMNRDQSECSLVLIPYSAFDLPKELRGNTPPRSKCFEMRKSLIISFDDTDTQTVEDMKIGHWNQNSQEAAEKPPRGKLQVPVTIHKSRRHNSWINSHNAIHPLKVTDEERPFYEFIYCLERPHGSCISLISAELDPTFVFVASDIFNYSFCRGNLVHCGEEILMAETYSINKSMGIVVDENKNLVYYVANESHPTEWNVCVSNYRTKKHAQLTENGICFKCERANGRLAVDIDHGFACWMTSVGSPAQCRFYSFKWMDGEELPKALHTLNVSLTGTDNPPEQQVDVPEMVEFQSRRTGLMHYGLILRPNNFDPYKKYPVFHYVYGGPGIQIVHNDYSWVQFIRFARLGYVVVVLDNRGSAHRGIEFENFISRKMGTVEVEDQVDGLQTLAERTGGFMDMSRVIVHGWSYGGYMALQLLAKHPKVYSAAIAGGAVSDWRLYDTAYTERYMGYPVIDQVYTESSVLNLVGKLPDEPNRLMLVHGLMDENVHFSHLTTLIDECIKKGKWHELLIFPRERHGIRGNDASIYLDARMMYFAQNAIQNIVLSKDDSAQGGAPV >CRE28195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1104734:1106454:1 gene:WBGene00056188 transcript:CRE28195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ahcy-1 description:Adenosylhomocysteinase [Source:UniProtKB/TrEMBL;Acc:E3LMU2] MAQSKPAYKVADISLAEFGRKEITLAENEMPGLMAMRAKYGPTQPLKGAKIAGCLHMTIQTAVLIETLTALGAEVQWSSCNIFSTQDHAAAAIAKTGVPVYAWKGETDEEYEWCIEQTIVFKDGQPLNMILDDGGDLTNLVHAKYSQYLPGIRGLSEETTTGVHNLAKMLAKGDLKIPAINVNDSVTKSKFDNLYGIRESLPDGIKRATDVMLAGKVAVVAGYGDVGKGSAASLKAFGSRVIVTEIDPINALQAAMEGYEVTTLEEAAPKANIIVTTTGCKDIVTGKHFELLPNDAIVCNVGHFDCEIDVKWLNANATKKDTIKPQVDRYTLKNGRHIILLAEGRLVNLGCATGHPSFVMSNSFTNQVLAQVELWTKFGTPQEYKLGLYVLPKSLDEEVAALHLEKLGVKLTKLSDEQAAYLGVPIAGPYKPDHYRY >CRE28194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1101529:1102909:1 gene:WBGene00056189 transcript:CRE28194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28194 MWGPFEIFPWGNHLFDDRMGKNFPVSIVNIQSTGQRIIVSDSQESVHFLRYRKGDNQLVVFADDTTPRYVSCVCVLDYHTVAVADKFGNLAVVRLPERVNEDVQDDPTVSKSVWDRGWLNGASQKVELVANFFIGDTITSLQKTSLMPGANEALVYTTIGGAIGCLVSFMSKDEVDFFTNLEMHVRSEYPPLCGRDHLAYRSYYAPCKVCFNFLLFRSIVSLFQSVIDGDICEQFSLMDLSKQKEVAEELGKTVSEISKKLEDIRTRYAF >CRE28504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1087558:1089993:-1 gene:WBGene00056190 transcript:CRE28504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ser-3 description:CRE-SER-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LMU0] MEWVRQKLNGQYQAEEDYGISDNFTTIGFTPLIEDSPNCSFYEWSRNPSHAQFFRIFSIISVLTVLVVVVVLGNALVIAAVLLRRRLRSATGLLILSLALADLLVGTVILPFSIANEVLDQYWIFGETWCTIWLTLDIWMCTASIYNLVAISIDRYIAIIKPLNYPMLVTKFRARCTVAIVWIGSFLICSPSFFLASSIKDKETPCRCTPANAGRVYVVFSASSSFYIPMIIVVFVYFRIYVAARAATKSIYSGMMSVTAAANKKQNPKSYLLNHPDVINKDSLPMLRVHRGSSVVAQITPNKPYNAATSRQNGNSIDAAAANGASQITAAAVAAKARKYANESAKTLVNRGATQQGCGVGVTGGVRHKRHGRSSESSVDSLNGTNSYSATPHKSGNEELGSLIENSRSSSTDSTDKTEPLTNQTDDNFSMTNNNNNGDEKETCDESLLGNESKKKSKSLASKFNHLMRRGQKKRTAGAYEKRLSLEIKAAKTVAIVTGCFIFCWLGFALVYGLEIKLNDVVWSIVFWLGYLNSALNPVIYTVFNREFRICFKRLLTCHHLNHPTHKYTNNNSYNSTAIRSTNAVNRVPQTSLYNYTQTQNSEKSSAAAVTFNTPTN >CRE28193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1081645:1086574:1 gene:WBGene00056191 transcript:CRE28193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-teg-4 description:CRE-TEG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LMT9] MHLYNLTLQGQTAINQAIQGNFSGTPKSQEIVIGRGSALELLTLDTVTGKIKVMCHQDIFGIVRSLLAFRLTAGTRDFIAVGSDSGRIVILQYNAEKTCFERLHQETFGKTGCRRIVPGHYLAGDPRGRALMIGAVERQKLVYIMNRDSEAHLTISSPLEAHKHHTLCYAMVGVDVGFENPTFACLEFDYEDSDNDPTGEAAKRTQQTLTFYELDLGLNHVVRKYAEPLNDPGNLLIAVPGGNDGPSGVIVCCENYLVYKNLGDQPDIRCPIPRRRNELDDADRTMLIIATATHKTRNMFFFLVQAENGDIFKVTLETDEDLVTEMKLKYFDTVPPANAMCILKAGFLFIAAEFGNHELYQIASLGEGGDDEFSSAMGFGENDAAFFEPHELRSLIPIDSMDSLSPLTDAVIGDIAREDAAQLYTLIGRGSRSHMKVLRNGLEISEMAVSDLPGNPNAVWTVKKNIEDQYDSYIVVSFVNATLALTIGDTVEEASDSGFLPTTPTIGCSMIGDDSLVQVIRNQIYSEGIRHIRADKRINEWKVPPRRQIVKCAVNRRQVAVALSGGELVYFELDLNGTLNEFTERKLFNADIACMTFSEISEGELNSRFLALGTVDNAVRIISLDPNDMLMPLSTQNLPCPPESILLIDTPNEDGKGVAAVHLNIGLQNGCLFRNTVDNVTGAIMDTRTRYLGTRPVKLFKVQCQGRSAILCTSSRSWLLYHFQRRFHLTPLSYANLEYAASFCSNQCAEGIVAISASTLRIIAAEKLGVAFNVQSFEHKLTPRRVAVHPTMPCLVVIETDHAAYTEVTKGLKRNQMALDVEAMASDENEAQLAREIATNLREKQLDERVYGAPRAGYGKWASAISLVSATTGQKFTHFELPQNENAKCLALVQFSKHPDAVMVLVGCGVNELLNTQEVNPEDPNYRQVRGCVYTFHLSPSGDRFDFLHRTETPLPVGAIHDFRGMALVGFGRFLRMYDIGQKKLLAKCENKVKRQHVNYGASMGVPMGVGRT >CRE28192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1079916:1081170:1 gene:WBGene00056192 transcript:CRE28192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ulp-5 description:CRE-ULP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LMT8] MGRCKTNASKDVVKSEKSSETGIAPKRFSKIPIQFQNQKYIIPPLFHNKWSDKNADRTLLNDTIIEFYMCEYMRLKVYDENARARYHLFHTFFYSRLRVNFGQYFKEGPPRRSEFAIHYNRMFQQKVKPSAILQKEILVIPVHNNKPKHWFLVLVHNPSGAIRRRIVDSKEVKSGNRRSLLTKQIAEYARFEEAGECRVVIMDSLLNSKKYQSDLSKAHSHAFDYIRLWLQMAASACDEELMSSRVRKVVCESLPKQHNDVDCGVYMLAYAEYFTWFNTEWMKTPTESLAYLKMEDDLKSLLNTEEPRLRLDKLFQKMKDPHPLQESAL >CRE28503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1072095:1077226:-1 gene:WBGene00056193 transcript:CRE28503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28503 MTSSSIITQSLLAVALLSVAMVEAAPLESTKSTAKHTKTISGSATKTKEYVPTPKTLRRGKRNVILEEIPDNAGTVMGTEEDVLREQLADLSDDQLAVLAEIVQNEIDQYNPEAIEAYEIVELPEYLTQPIEYMPRDRRSMPVFEAYDENELPEQFDDNQELIFVPEEALLEAAAEEQDEIELRQRIAEIATILNERASRRLRFI >CRE28191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1070226:1071884:1 gene:WBGene00056194 transcript:CRE28191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28191 MLEFRTFLVYYELENSPGELAEPKSLQFPGHIWQHKDIFMAELKLEVPEISETFKLKYNDIDGAILKVRGAEDYEKFMNRLEKTDENDEIVLILERIQSRKPSSGVGYSEDNRIFPSELQRGKYVGNGVHGTVIMARHEKTDKWYVIKTIFSHNNDKKEYEKEIVAYEECSQSDYVVKYYGCEVSSSKKELVLEYMDGGDFRQFGALPYRVHQSVTLSLIRGIRHVWNSGPGYIHRDIKPENILVNLRGEVKICDFGTAKRIDNTYRIATTGAGTERYQSPEQHNGGDYSEKVDIWGFGLTLWELALGPVHEEYLSRFISYEEEIIVEPIDGYPDSLVELITNCLRISPSTRWNPDQIESCAYLRDLSESDRQCVANFVKSRHYQR >CRE28190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1068512:1069683:1 gene:WBGene00056195 transcript:CRE28190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28190 MDPYQQGGRGGGYPSRGGRGGGGGGHMGYPPDQGNYGGAPAYGGYDPYNPYAQGGYGMYPGGGSYPPQEMPSPLDAEIQAVLREIHSEVAGLESSGEQFRNARRLLNSEKEKLENNIDPEWLEVDVAKPVKVCKKILIPIYRHPSFNFIGKVLGPKGATLQTLCKTHKCHIYILGRGSTKDREKEAELLTSGDPQHAHFSGPLHVKVETVAPAYIAYGRVAAVIEELSRILQPIHEDTTPAHLKNGTGGEGDEKNDDERKDDDGSGRGGRGGGRGGFRGGFRGGRGGGFGGGPRGSGGPGGPMMGGRGGMGRGRGGQPAGFRPY >CRE28502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1066583:1068010:-1 gene:WBGene00056196 transcript:CRE28502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28502 MATFASREFNEKDEEDEVSTDAPGPSEKDVLGIVKTGPKKLTTGESSSLFKLKNELLKRKDRLDGQHRVKNTGTHVSGKSNILSTKKEEKERQTREAEVRNVRMAKNERILRREEDEERLRSAQQKLREKSELYERMQEGKVVLANPDNTPVDFLVDFGTKKRRIDEEREAERERFREQEMAAPVGFGRAPIPEHYHHSEEQRVFGTSHMRLSLNENKRREDIEKLLEMSKKTDEEKEKLKSEKKKKDAERRQRINNMRVRNGLAPLPSPPATPPPPELDLDSIPMPGLKETPEERHARLMKSDREWDRGKGMYTTWIAKERDERDDEFRPPDSYFQ >CRE28188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1057008:1064547:1 gene:WBGene00056197 transcript:CRE28188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-20 description:CRE-PQN-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LMT2] MRGAASASALTTSAASSTWSTIPHSRTPPLQSNIEKVGDIVRPSSATALLSSLSTSSSGIGESLRSPSAELSMTSEAGTSCASTPTTTNTFPPLIEDSSIEDETIGDEDVETAEKLVKSSIVVPSPTDTVSRVTPAIINDPDGSGKTIEEEEKEQKEEKEEKEDDRMSVEVESSTTEEKGIPEPEEVPKPDDPDDSTLSQPGPSKESDPLEPEEPSPAVDEPSIVENSPTQDGVLPSTSSGAPGELAPSPAEMTSSSSSRNPLRKLRYSLRLPAKAALRLKRIASFQPGSLRRIGLSALKISEGGELVRLADGPPPSIPVAPEVTVESRSPCIRPRSQDHPRELISLSHQLPMIPPQQPPPPQVPPHMLPPPVMHQAHPGHPGHPGHPMGQLAQQLLPPHHPGAANQGFQIIKQEPSSQYTPQPQQHPQQQHPMHQQQQQIPPGQYPPGIQQHPHQMHSGMRQMTAEEFAQLRGAQQIKQEMSNSSGHPTPIPGTPQPQQMTPQPSQMVGPTGVPQQGPPTAGLPQQQQQPQPPQLGHPPMNLQQQRIQQQPPTASNSPLLISMLSNPNQQVPQPGTFGSGHPLNMQQIAQIQQQSQQQQQQQQHAAQQQQFQQRMMQQQQQQAMLQQQQQQQQQQLQQQQQQQQQQQGQPPPTTPVPGQGGPPQGYFPGQPGPPPGTPGRPIPPPFGMGQPQMFHGPQGQMIQRIPSYPGNAGPGQQFRVPPQQGPPQQVGQDAVIAEPPKKKKRPTKKQKEAAAAAALAEQQQQQQQQMQAYYGQQQQQQQERMQMMQQQQQQQGQMIQQYPGQQGYPGMSQGAFPPGYHPGGPPPTPQQQQMWHQHQQQQMQQQRMAMFQQQQQQQQAQGQGPQPGGPPQQPMGQWPPQQRQLPVPYPSGANQMPVDPNQPPPQQPQQHTPNQGPPVPVSMQHRLSGEFAPPPGASGEGQNQPQQHTPGQFTPNQQGGFQRSDSSASVYSGSQTPFGPQGPHSGSQQGPSQPGPSAPPPGQHSGQPSSSNDIGEKAIVDQLLNCSEPLADLGDLAELGDLEIEPMINDLQDGQTPSTSNGENTERNDRLDASISEIVDQVARSGRARANSAELAAVVDPRGNHSINSQLPAASPGGRNLSHEHNMRAHAFHGANRMEPNGMPSGAMRMVNGHETKPKFQNGARRQPSQQHVQPSALGLMQQQMMKGEDETNDAKIAEFAKTISEKDKKIKAAAESKTKLQAKATRKPRTNKKVANAANTGTPGMAPLPQQMSLQHQMQQQHPNAQMMMQGPPGQHPGHMQMHPQMHMQMQMHPQQQQQQHPQQHPQLHHQQQHHEEMMMQHHHQQQQQQHLHQMPLHHLPQHPNHVLSQQQQQPLPAPPPVSTPLQQPQSQSQA >CRE28501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1054608:1055208:-1 gene:WBGene00056198 transcript:CRE28501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28501 MKYLGAYLLATLGGNASPSAQDVLKVLEAGGLDCDMENANSVVNALKGKTIAEVIAEGKVKLSSVPSGGSAPAAAAPAGGAAAPKAEEKKKEEPKEESDDDMGFGLFD >CRE28500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1052102:1052985:-1 gene:WBGene00056199 transcript:CRE28500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28500 MIQPSLHAASKWIIRNTIISNESLTPEFNLRLITIASPLWMSTPDSCPLPDPYWAFYWPGGQGLSRYILDNKNLFHNSKILDFGAGCGSASMAAVVSGASKILANDIDKYALVSTKLNFHLNNLKDSKIQYSAKNFLDEQNRNLSVEYFNDSEDQNKYILLGDMFYDSDFAELLFKWLKRIQDNHGTRVLVGDPDRHPLVESDYLKRYKTKFSKVQLAEYSLPGYVIKEHYGFNTAKVFELKFH >CRE28187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1041589:1046655:1 gene:WBGene00056201 transcript:CRE28187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cye-1 description:CRE-CYE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LMS7] MAGRKSSRTDSVPAQKVERKSAILSPHDELRERLLETAIDVKENIPERRKSSRNESVGSQKSDCSDSRKRHSTEVDKKVSKKDQPRNDTPTKNFEMVVAKIPTAAASLNFLYLSESIHADDREASYSSTGSVSSRPRGRPLPMMPEEEEVFDDESSSDHHAESEESHEMARSDDQYDDDEDYEEGPQEEDGEDEDVDDEEDYEDLEDEGEEDVEDETEDEDDLPVQNTDFAVTKRLMNGDHLIDPPILLSASKCEGIGSPTKVWSLMVKRDEIPRATRQLLRNHPEMTVAMRRLLVDWMMEVCESEKLHRETFHLAVDYVDRYLESSKDECSHNTFQLVGTAALFIAAKYEEIYPPKCAEFAALTDGAFSANDIRQMEILIVKDIGWSLGPITSIQWLSTFLQLLGTGRKVTPDKVNDGNMYVPEFLRSEYTQMCKILDYLLSEIDSFNFTYRTIAAAVLFVNYEPRSAVEKATGLNHEQLQNVIEYVLPICRAFAKHDKNSFDENMEPDCSLKSDDSHNIQVHIKQSDIDPYVIAERERQKQNGPQRRL >CRE28497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1036820:1040459:-1 gene:WBGene00056203 transcript:CRE28497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-21 description:CRE-PQN-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LMS6] MSDDEEEGCLRIDEGSSDSEDSKPKISAPQLPPPVRKALTSPLPSSSTAPYSNESGKEDGELDDEDEEVEEEEEEDEAMDTDDLEEPKLEINEDADEAVEEKKVPEPPKLPEKKQNVEKEEEKDEAAMSETSSLASSMLSEQHEVKKDEDLENQQTRADEEEKKSESADNLPSPKSPIPSSSKKENGLMKPPQSTEKAHRKRKESTDNFSNDKRKSGLETVASSSGSSNLLTPQRSKRSSSHMATLAISQCLAEEDIVSVPMMPIQKTPDTAPQSKRAKRDVSTNVITTKSTDTEGLLNDETLLATPPGTPLLLEITIMYRTRNSIAFRIKGCEMIGFIGDGLAPMVSAMASQAASSSGTNSNKKKSSPNNEEGKDGRSSSASSNGRSARGGSQVKEGKSDKQKGKKKKEELNTDDIDDENQNNDPYGWDKCELHEIDLKEYHDCHYDGCEKRFRKSTELEYHVAKYHKKRVMVYESICTQTDISSLSTRDAETQDELPQQMPVLKKEEPSKLPTGHVYEDLSDDDMETKPTDLALKAPSTSSSAAIIGVAQASSGAPSANIVQRSTPSTAVSAKIGINPTMLALSEKNKQQQQQQRNVFVTNSPRPNQPSTSSLAPPASAIPSTSAQPPSLIQAHPMAGPHFIPPGFHPGLMPHPHHMAALAAMDPRIAVAMSHHQAQQQQQQQQMSRTPSRQTMSATDSHKIHELAKSKENEQRQRQQAATPKTPAGNVQAAGAVTPQRPFIPPQMMPGTPQSVQQQQQQQQQQQQQHQQQQQQQAAVNQIMQMQMQMQRGIIPQIQGPQEQILMMMMAQMGAVPMGPGATPGAPGFPGAGPPQQQPK >CRE28495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1028437:1030973:-1 gene:WBGene00056204 transcript:CRE28495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-87 description:CRE-UNC-87 protein [Source:UniProtKB/TrEMBL;Acc:E3LMS4] MLSFNTTTSASNFQSASSRYSTSSSSANNGSKLFPEHFYPSGLSPRQSEAVERLRPNPVSRERTIPIQIEKSSSSQNQKPSEDVVPTLKITSPKQSIVPNFVSEQRGLQPQPASQAPSNYRQFVAAPKSPRGYGDYPEITGRPTAQAPVQIPIQTVAPARAPSPQTSRPTQETIIPTIVSSHPVYYYDNQEQPIQYNRDEQPNATMETKVTGQGQPKRVGRWTLAQLRQTDGIIPSQAGWNKGDSQKLMTNFGTPRNTNTRVKSENLQEVPEDIANRSHGEVRLQSGTNKYCSQRGMTGFGSGRDVCREGVRVAQNPADLAELPEEKIRMSEGIVRLQAGTNKYDSQKGMTGFGTGRRETTKMVDSKHPEYDHEKPDQSEIPLQSGTNKFASQKGMTGFGTARRETTKMVDSNHPDYSHEQSIDQTTIPSQMGSNQYASQKGMTGFGQPRWEVLDPSISWQNRKSQGMVRLQSGTNRFASQAGMIGFGTCRNTTFEAEGGELPYEAMKVSETIIPSQAGWNKGDSQKKMTSFGAPRDVKGKHLKRIWELEYPEEAEVSLDRL >CRE28185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1024622:1026704:1 gene:WBGene00056205 transcript:CRE28185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28185 MLGSNLGKKVTAGVVQDQYKQFLKNKPEEKKKTLTPEERKLAKRAEMRKKGIFVKADDPDETPTILEKCEGPFQPMVFVLPEKPTIWKNSKKRTGGRRNKDKKQAKKNYEKLPKTDVSMSSGPQKKKAKKEKGKGEVAVSSVPSSSSSATDQSTSRSKNRKSAEKSTKPSESDALKKEIGKPIVSSKEKLEKPIEEKKEIVETVKQQIGEKVEDKKADEVFKETEQKVGEKAEVNKVVDVVAPVQQEPPKTTVIPVQPSPEVTTPIETQTPLTLIQPVPDASSTKKDSEEKSKKENVPLSATTSNPSIVDSSKKTLSAPIAENQPDAFEKLDDDEFEKMTSDVDEKEILKLAPRLLKVAKRHAAIEKCLTPEENEVLAKFFSGKQKLDATVLAVLDSALDKIIDYLQKNNCAVDEETKAVMKKRDKLKAAMMKEFLVSPQYLPKTWTAKFNEWKSEAEKQKNGINWFRVLFSYPKHKSFDDGPEDTFGNFRRRHRGFIMGIILGPGDVKSFEETKREDDCQGMFLDTKIIENANADTKDTSSTVSERHTLLTAKSSKK >CRE28184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1021299:1024304:1 gene:WBGene00056206 transcript:CRE28184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28184 MCFSFYLNSLVHIIEVGNGFVTFQLRGLEFRGTYCHQREVEAITEDIRDGSGFCCCSPGSLPGFLSFNTAWNLRWLAWEVVSGKYIIDGYSISDNSAVNLLQACSNFKLKVEHFRYFQVHELRRLLVTLYIKCIIYYTFTSHKFRQWLREEVVSNAIKSVIENQRYTDVDSLFCPTNDEDYDNAESGISRSSFKSVYLSWMMYCLAKREETVQEDKFDQEEALTLCYLLSLVARRSLGTASFNRHSSAAESFLYGLHSLFKGDFRITCQRDEWVFTDMDMLRCVVAPAVKMALKLHQDHFAQFEEFDENEGLYTTIGDYQTKMFISHEQDPGWRQAILANTPSLLALRHIYDDGQDDYKIIMLNQMHLNMRVIKLNRECVRAFWAGQQQELIFLRNRNPERGSIQNARQVLRNMINSSADLPVGYPIYVSPLTTSHIESHSQIHKVFGPTFTFEGFRNFGQNVWNRLRNHFGPSGSTSAHQQQQQAAQSIGPTPTPGPPVMQITIPSATSSGASSAPGGPNPSSGPPAAPRAQFHVGPIPLPRDGDKDTDEEQEMIVLTMTTPRPGRRLENSIVEMGKDGTARVSVLHPKSRPPLDESIAAPVTLTAPPQTERERAIMNRPNLQTMLKSGHIIGGNQEMAIGSWVLIVDSEQIFKYLNEPLKSSGECLVVWPDELVQHMSGRASWLFQPSRGMAGKIVYTWYPSHPLRNRRSHVGDHIHLISLPLMPNGLVPVAEKGLRLIAPQDINSLGLSGCTTQEQQEFQARYIDVLILSKIVTGTVSARTSFPKKPPIPPPSSRERERDRETGTPSAPGAATSSAFSAPAAPEATTSSEAGPSSDPLGSSSSA >CRE28183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1013908:1020195:1 gene:WBGene00056207 transcript:CRE28183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28183 MSVGTHIAEVIRQGIWASLTGGWYYEPGFSIFCNTLHLYVWFVLILLPLILGLVTSQGVSIVFAASYTGFIVFFFTVIKSAVAYLHMVFDTTDPVVVTRTINDSIDELYRESEPPPLDVEMIELRDMGYNLRGSERALDGRREPSVREEIAANMARIRRQDLERNTDSARENQSTPIPQITSKFWDFVVSNSSATSVHSNAIAEVKKVLNTIEDESTETESEPTSSTRRTRANPMKVLYEDERHVKIRSRSTSPPTVVTPKRKRSMPSSLRRRIETQEDEEEGPSTSSSKVRRRSDPTLSRKAAGDNHATLETYQKMIREKNRKIDQIQEDEKEDVGEEDEDEEDVDEDMPSTSSAVPSSSRRPSRRRSSTGTTNRRRKYSRRAGSPAVFAGALMKKDSHESSRSMPTSVGWTPVVVSLGTDVDRPTTSRYSADDADSGADIRGEITKFLEDLIDKHPETLDAIENVRMSRLGRGRPSSESHDSAPVELAPLPPSTSGGSGGGPSTSTAQQSSDRVTHGIFAVPHVLRNAARSNSFIDWHAHSQVVSTSQHHIAQGHEDTSQGAVHTFQDEDGNWWTYTFDENSGVGTAQPLGSGRAVLELIHREREKHPETARKLFPPAELEVLPESMYDSTEDEKGEKTSDEDEGKQPSTSAVVALPSTSNSQTQTVQLPLTRRERALSSSSNESSTYMPTLPSSVFHAPSGTGATRASSTRGSQVVCFSATGSRLRNTTRDGDDRSADSMWQMEQIMELIESTHNRRNMGPEMPSLPMNSQFTSRTLRPDYMSLPDMLDRRGSSNIRKSYYYPMKMFPKAQKNFNLKVDRMSVDRLFDRNHTIWSLLFDIVLATTVSLLAVLLIDARIFYDYSLVAFCFVVASAQFSLLKSVQPDASSPIHGFNWVASYNRPIYFCIMALGLLYVHHVGGGKEIDDNQDELTWNWNPFRFYMMPSSSILIAVRDLLSILLLLLPVAFTLGWLPQFNTLAHHVAEQIEMHIFGGTASFSVFSACVQLAKSSVFYGALCILCRVAYTFSPKSTQNPLFSAFIATSVAVSYLLSRMSSNQNLMVILFDILTSPFRKRSDSEEEEATANAPSISTARDEMPKMVRRTIGERARYDLIFSIFLVAFFFGLHSTSLFTATQPYFTVSFVSYFSISINCRIFQYAISGVCVSLGVINHYLFPQFRSHTPWRAVSSPLLKSAEHAQFESPDAAKLMYFETIHLWMVAIERNIVYPLLIISMVTENSWTIPAPWIILPLVCLRLFRGGFSQPQLMYIPVAVAFLAAAFDLKHVIDIEYNGKSLTSWNLLPIIMYICVSLYPKVVELYLKIAFIMAYVAPWQISWGSAFHAFAQPFSVPHSALIAIQTVISSIISAPLNPFLGSSFFTSSYVRPVKFWEKDYNTKRTDASTMRLSSQIDRGPMMDDSNLNAVFYEHLTRSLQKSLAGDLAMGRWATSVQPGDCFVLARLDKNLQSYRSNSQLKI >CRE28182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1011693:1012871:1 gene:WBGene00056208 transcript:CRE28182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mpst-6 MAVEMIVEPKWVVQNFGNVRILDCSWTFKPKADIAEYKSKYYNKFGVGMNELKNPEYLAEHINGAAHFNFDIAYYPTEDERFGLYTPEEFSAYIKRLGVFNGDHLILYGRGKDGGMAAASRAYWTFRVSFRVSLRTNSLVLQYYGYTQVSVLNGGLEAFKLAQGVVQSGESMISSGNFESKSVDNSILAKMGDVPFDNLASIKYLDARSKEEFIGKVPIGYPDSKAEGVRCKDAIHFPIGEVCGAKGFKKKTDCDQAFAAKGIKVGDTVVIGCGIGVSASAVWLAAARSGIVAKLFNGGVHELAHKAPQHLNTKG >CRE28493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1009158:1010799:-1 gene:WBGene00056209 transcript:CRE28493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkb-7 description:CRE-FKB-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LMR7] MTRSLLIFVVLLLSSQVYCGLNNGASSKDVGGRKRQSGGIDENIPVIEIRGEGAPMSSAQIRHLEEMDNGGPLDIKIEKTFVPAKCTNQAKRLDFITFHYKVFTEDNKKVYQTYGTGPVTIQLGTGMIMPGLDKGLKGMCPEELRKIRVPYRMSRKSKSKVWKHIPNDENWLIFNIEMIEIKPYSPEIQFKFLDLNEDSKLTNQEVQDFQKKMKKEFGKTWKNENIDNVSAAAYYIKYFDVNGDGDVTEKEWLKIMERDQKLINDSKTKEKGRKRDPGIGWILDFNNDGIVSYKENDEADERFESGPTLLPTESKDEL >CRE28492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1007220:1008904:-1 gene:WBGene00056210 transcript:CRE28492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28492 MNYQLIQFIALIIVLIGTSNGKLSPDQNEKRLEKCGKSKLVNSSGVCKNNEDSCEDVPFGTDTFMVRVLTEDHSETTSGGFFISSRHIMTSTQSVADENRKWLSDGEEMKDKNYKMQVPREYVENIRIVWDNCTLEDKENKDCIDNAMKAKNAYIFDPLREDWNRMFSPMLIELKEDHDEVMFPCIYHKDGKWILFILKLYTDIVDGYEIPNSATRNIYGFTAENGFSNMNYRRTTYADYSWDPFQITNGKNFKAMERGGPLLVYDVESYGTTVIGIDSSTGYRDNSPGTYSRDIRNFKDELCGFGGICQEETWRTTTTNDVPSSTSPSSSETNASTKSTNETDVTSNRSRSQILTTPIPLMTHHPYSEEYEMNNEDEHDERNEIDDDILLSEDDRNDGNSGSFGWILVLIYFSYV >CRE28181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1005583:1006923:1 gene:WBGene00056211 transcript:CRE28181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28181 MQNKPMRPRSKPLPGRLPPLPIADVSPISGRSGKSDTAAPAVRIGSKIKHNRLRKTSSCDRNNLRNSGQEEVKKNRKKGSKERKSGSEHERVRKVKSRESAEKSKDYDGVVTSPYDKVALSEFEKKYKDDYEEMRKGKKDEKKELRQSQIGSVFEPIMGPTAPARSGANGVKNKMKWKIDVDPIELRGEQKMAEILEKLKELRKSSGSKPCKVLKANEQMLSSSDDEPTEELIHMSARILQLVKMDLLISKEISKEEQEYLKSYCRCGDHKERVEPIFETIACSILEKVASKNEFIRHVSIPGQLRIFAVDEKKSKYPIMSLMIIRKDLFYYSWNRQTSPEDDEQLDPTWNSMTVKGYTGIGFVSSSHLLPQPAPIKSAHFQLY >CRE28180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1003615:1004974:1 gene:WBGene00056212 transcript:CRE28180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28180 MGKSKSKSNSRSISKWKKLFSSSGPKTNDSREELGSQTTATSPNLGSNNSISGNSRSKSITKRKVSYTREDGTGPKTMEEKINDPNLKSQEEKKEEKKENKEEKGGKKGSGGTGSKTEEVPSKPLKKKETKKEEQEASVFEEVQGPAAPAQTGTHGIKNKMRWKIDVEGADCNGDQKMTEVFDKLAKLRKKMKTKRCKVLKANDKVGTEDDDEKEVQDDVIINCARVLQLVKMEALISKEIPEADQEILRAFCRSGDQEDKAEGLIEKIVLSALNAVVQKNEFVRQIVIPGELRLFAVDEKKAKYPMMALLMARKDLLYVAWSKPNREVENTLDGTWAGMAVKKGTTPAVQSTLLG >CRE28491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1001201:1003089:-1 gene:WBGene00056213 transcript:CRE28491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28491 MKPFHFLLFFLISTVISVCAEFKFEIEKNETSDFINELNQLGLGKFNNNSTISDGRKDEKRRLDGEMELEPPDSYSKIVNLFYGRMLYLMESNMESDIATIAKYTNSETEILLCEHSKKSTFAELRRLIQLIHMYIKLVKVSQYALMKLIEFFLQQDSYSMQPSSDQLSVKFQLKYKATTAVNTDLKIEWHGEAFYDSNLQHFVYSSLDLKGDCASLPDEIPEHPMEPKENYINRLRSNLTEILFNSAFSTHTQTTYQSFVNSFLLDRTRVQLCEKNGETSERNEFSDFLFKRYGDVQTYTVIGWKDASTLQSEFQDHDYAITPFSDYQTDVIFTVIVSWKNGIILKERYRFRVEEAREKDGDQGWKAWWSTWVFVECPVNLTPKTDPEALKEIFIYQVCGSIPNMITNGPSTQSRASFLSHFMKKEEQGFHAQICEDNYKPIYKCWCLILIQKRFYYFSVSQFEKWLQTWTGEYDGAKLLESKVESFENFSFICIVHLMKNYGDHDKEWKKREFRMKAYYEVDRWLVKETKIGCDHF >CRE28179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:996856:998863:1 gene:WBGene00056214 transcript:CRE28179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28179 MEKNEKNGVFSRVRSRWRSIFSKVYSLFRKNLLTKKEIQKANPVKLRDCNRLTFERILSNPDEEIGTILAQATVNSIELPNGAGIALIQETTVYDEDPVIDKLPSSTICRTPSNLSRRFRRLSSGDLNVIRRMTEEIDFDLKNVIYDGNEITGEPDTENGNDRACFKPGPAYPVDQQMLFLKQCIKKIDTRPPNMYYLTNGWSVDNFEALFKYMIRTSEDNARDTLLSIEFRDVVPTLQSLYDFIEDYASFKGVIVHKDYVYATVHSRKAALERKLRGAIEQFVEVEQKVRRARISYTSTMTISSPHTPHVSVIVRFFCKRPCTIDRGTIMNQVSVQLDHVTGHKARMPSSNKNPNEGVVCECVEIPADEEPPAPRKPPPVTTIVTDRQMFVEEEEVPLQLSYHVDAVTGETLVDCDVENDDTNITTDSAQDHDMHEFVEMFPTLLELFEKAGIRDRREDDEVDCEIPVQQSRYPKKVSFVGGVTMSSDGCEDTRSVTSSGDSSVTSESVGLTDSSDFDTSDSEAASSESDLDTSDDDNEEEKEKIKSESSEKDVEKIEDELKDD >CRE28178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:995064:996315:1 gene:WBGene00056215 transcript:CRE28178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28178 MGRSKSKSNSRSISKWKKFFRGEKTSESRDDCVEQTTMNTTMSIPTTKSNDSITGGMNISRSKSITKRKSYNTREEVTRTIDEKGNDQHIAPSSKNQGEEKKKDKKEKDGVKSEEVPVKTKKKESKDEEQEASVFEVIQIILSLRMTFIQEVQGPAAPAQTGTHGIKNKMRWKIDVEGADVNGDQKMSEIMEKMSRLRRKSKMKKCKVLRANEKGVNDDDEEPNENSIIDSARVLQLVKLESLISKELLETDQEILRGYCRSGDQEDKAEGLIEKIVIGVLNAVVQKNEFIRQVSVPGQLRLFAVDEKKAKYAMMALLMARKDLLYVSWSKPNRDVENALDATWAGMTVKKVPGAAVQSPIL >CRE28177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:993865:994715:1 gene:WBGene00056216 transcript:CRE28177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28177 MIQSSSAYLYKMAIIEEIFEEEADQIVKVESLKKEGNEFFGQGEFEKAYEKYQEAITTCPPTSTELLSILLSNSAAALIKQRKWETAVEAATKSIEIGAANEKALERRAFAYSNMSEKYENAIEDYKKLQELLPKRQVEFERKIGEMNEKINARNQAMTADIMEKLKGFGNMCLSPFGLSTDSFEMVPNGNGGFSVQMKGAGNKKQEEEEENEKVPQKKDEQSQ >CRE28176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:989231:993659:1 gene:WBGene00056217 transcript:CRE28176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28176 description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3LMQ8] MRIILLILQDLHLSVQSIFLDTEMYRAGALVLRGAALRRTRFFTVSIHQNFATFSQKSILTKPIVSTITAGNQNKFYSSGNKDHDDPIAVDDSLELYKDLGGMSAIQVPADMPNVPILAINRYPLFPGFIKKVDIVKDDNLKALIRRQLSLKQPYAGVFVKRDDENKEETIASLSEVYPTGSFVQIIEVRDQGSVLELVLSAHRRIRVLEPIEETVTPKTDTPLNGRRARGKRTGLPPTPPPSPPLSTPASAPELPITSTGTEEKDEKKTAPTEEKQRKGIVMVKTENVIAEPVPKNNETKATMMAIVQTIRDVVQFNQLFGQQINLLLHPSQNVIDNPVYLCDLVATLVQSAETKDLQEMMDETDVSKRLKIALLLIQKEKAVAKLKHDINKDVEKKVQDHHRKYLLNEQLKVIKKELGIEKDEKTTIIEKIDERIKALAVPEYALKVINEEKTKLQFLDPHSSEFSVTRNYLEWLTSVPWGLTSPENRRLSHAKKALDEGHYGMKDVKERIMEFIAVNLLRKSIGGKILCFHGPPGVGKTSIAKSIATALNREYFRFSVGGMTDVAEIKGHRRTYVGAMPGKMIQCMKKVKTENPLVLIDEVDKIGGAGFHGDPASALLELLDPEQNANFNDHFLDVPVDLSRVLFICTANEISKIPGPLRDRMEMIDVSGYLAEEKVAIAHQHLIPQLRKETSLSKDQLDIEDAALEELIKHYCRESGVRNLQQHIERIFRKAALQIAEQEAEDEEPSEKATTAITVNSDAEPITSTSSTTQPESVKTTTAEKITISTKNLQKYIGRPKFTSDRMYEVTPPGVIMGLAWTAMGGSALYIETVLKRPVDLTSDKDGSIETTGNLGDVMKESVRTALTVAKGILAREQPDNKFFDKSHIHIHVPEGATPKDGPSAGVTLVSSLLSLALKRPVVQDMAMTGEISLTGKVLPVGGIREKIIAARRVGAKRVFLPAENRRDFDDLPEFMKSELDIRFVSHYDELYVHLFQ >CRE28175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:988473:989048:1 gene:WBGene00056218 transcript:CRE28175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28175 MDGMIAMLPAPLQKLSSHIDFQGQKVAERTYQVILTLAGIIGFFVGFWTQQLSYAIFTVMGASAFTALIILPPWPFLFRKNPIVWQTPTEEQETSPDSSEKKDDKKKKETKKTK >CRE28174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:986049:988276:1 gene:WBGene00056219 transcript:CRE28174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdha-2 description:Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3LMQ6] MLNAVKSMARARTPVRTYMKKQVSATTHFDVIDHTFDAVVVGAGGAGLRAAMGLSEGGMKTAVITKLFPTRSHTVAAQGGLESVNAALGNMNPDNWRWHFYDTVKGSDWLGDQDAIHYMTREAERAIIELENYGMPFSRTTDGKIYQRAFGGQSNDFGRGGQAHRTCCVADRTGHSLLHTLYGASLQYDCNYFVEYFALDLIMDKGKCIGVIALDIETGQIHRFRAKNTVLATGGYGRAYFSCTSAHTCTGDGTALTARAGIRNSDMEFVQFHPTGIYGVGCLITEGSRGEGGYLVNSQGERFMERYAPVAKDLASRDVVSRAMTLEINEGRGVGPNKDHIYLQLHHLPAEQLQQRLPGISETAQIFAGVDVTKEPIPVIPTVHYNMGGVPTNYKGQVLDYTPEKGDQVIPGLYAAGECAAHSVHGANRLGANSLLDLVIFGRSCALNILKENKPGDSIPELPDNCEDQSRENLNGLLHSKGDIPAIELRLKMQHTMQKHAAVFRRGDLLKEGVQKMASIYKEQKNLKACADSGKVWNSELVETLELQNLLINANQTIVAAENRTESRGAHARDDFQQRIDEFDYSKSLEGQKKKTFEEHWRKHSIIGIDTKTGAVDLTYRPVIDTTLDKSETDWVPPKVRSY >CRE28173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:982682:985373:1 gene:WBGene00056220 transcript:CRE28173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28173 MASGAEFRQDMPPKGGYRAFNFHRTFPKLVWSPGTVVAAIFGATAYGVYSALEGKKAVITEKFEDVDINNAMQPFLTAERDRYWLKLMAKNRALEEEIMKDVPGWKTGTWYGEPVYFTLGDKWWDPSATEVSEHKSFKIGENDDTELLVFAHSWGSVEARDHLWRQHSEYAGPKFYDNWFPQWMSKWFW >CRE28489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:981522:982386:-1 gene:WBGene00056221 transcript:CRE28489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kbp-5 description:CRE-KBP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LMQ4] MNPEENQKALEQYTQEISTEALKDAKHFLENSLPAGQGVQKDILLAEVLSEEQQIEKNRKTIESSIKEHDDLSTNLPEEELKTLEQLQFERDELQKKRQEENTVIAESYKSIEDIIRMKRETDEMRERYMKKKVELNEIIKKKKVI >CRE28488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:975371:981281:-1 gene:WBGene00056223 transcript:CRE28488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28488 MLPRTETQSEEGSDIFDYMDEGISRSTSQYSGILTIAPPSAKQSGDFSHLPTNFVTPKNEDPLRRKLVGFLKNTIKIIPLMHKASTDSERNLNKNQKDPSNNNQEEKGEEENPTTAKSARFQSKDLKKKVEKGKEEDPKMMDSESAVYEIDELNSTIAPSSSRQCIVEADLECAVTIKKPKKKPLQRTEFHPRPPFSCVPIYTAILLGLLIVLIVGAVTTIIDMRPAFIYGNADNSTHLELNKTLTSIVEPPHLSLYPL >CRE28172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:970646:974376:1 gene:WBGene00056224 transcript:CRE28172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28172 MGVYDDVSTFCDYSPTSTVSTSSSSSSSGLASPVYENVEELTGLCSRSWKMMGKRTSKPSMSDFLAQLPPPPPAFDDEVYGGGGFGKRPVESSTSTASSSASSRRPIISSSSSSNHHHHHPPIPSSSSSSPPVLLSSPPHPPVVSSSNCSSNPHPMPQISRCFDMRPLSLPHKEMQRIEREQSHRVLVITLLIITIFIVMIALGIYVFYDVIAEHINNIAGTF >CRE28487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:963539:963830:-1 gene:WBGene00056225 transcript:CRE28487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28487 MCFFTHAVVLGVGYWIGKQYSEGFTVRQLPIDPANPNSQEFVIHVEKKDAGNCGFWQKSRCCQEHPTVSAAVDQRFEKKDN >CRE28171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:962693:963104:1 gene:WBGene00056226 transcript:CRE28171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28171 MGFFSHAAMLGVGYFIGKSYCFRYRVHQLPNEPDASEISFRVERKEGPMIWGNPWWNHHYHHCHPHHHQQNNQKNGAEAQQVSPQQKKNSELLEVEASQ >CRE28170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:961652:962283:1 gene:WBGene00056227 transcript:CRE28170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28170 MAENKNLEELFKDRYSEVRVWSIIKANCVNFQKDERYKTMADTGFEKVIVVHPWDPRRNNNYRNRGGFNSGGGRGDGGGGYRNQNRGGWAPRGGGYRGRGGGWQDRGGGWQDRGGQRQPWRGGDRRRQHD >CRE28169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:957951:959227:1 gene:WBGene00056228 transcript:CRE28169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-10 description:CRE-RPN-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LMP7] MVQESTMICVDNSEWMRNGDFQPTRLQSQQDAVNLVTQCKLRANPENAVGILSMADSVQVLSSLSTEQGRLMMKTHSIEPYGKCNFIAGIKIAHLALKHRQNRNHKMRVVLFIGSPLEDIDTAELTKIGKKMKKEKVLCDVVMFGDNDTDGHEKFSAFVDTLNGKEGTGSSLVVVPTGSSLTDALMQSSVCKNEDGQAAFGAGGGGADNAFGMDVDNDPDLALALRVSMEEERARQAAAAAANGGAAADSGADQEMAAAAAVPFEEMDMGAMTEEQQLEWALRLSMQENAPSTEQPQAQQEEQMDVDGAGAAPVADEGNLDDLLNNPELLQQIVDDLPSGTEDKDDKEKK >CRE28168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:956481:957729:1 gene:WBGene00056229 transcript:CRE28168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-9 MPEREGAEKKPSERIVVARYPSCESVCAFKFVIIGISAHFLFSLFLFFLQFSRSFPTMNPKFYFEMCVSKCYLQVVMLPSTHLHRLARHLNLQSSRNTWVLRRVFQPEVTPPGCIQKNPNDFHDYQKYEVVEFETQKPAGPIKVILLQDVEGIGHQFDVVSVDRTLARKDLLLSKKAVYASPFDLKHYADMKTRMADELASRIRIPYELKVVGRDLQKMVVPVKVNMENEWTIDKKLVKSSLRQMGVFVAENTIFLASKPISGPNFDIEAKLFRFYIVVNQQYIVPMLGRITHISVDESKQMISPALTTPRDEELARYHIRKEFPLYNKSNDFTEDFPVFEHMKEHAPTTSQ >CRE28485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:954063:956305:-1 gene:WBGene00056231 transcript:CRE28485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-3 description:CRE-KIN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LMP5] MPPIPSRARVYAEINPSRPREYWDYEAHMIEWGQIDDYQLVRKLGRGKYSEVFEGFKMSTDEKVVVKILKPVKKKKIKREIKILENLRGGTNIITLLDVVKDPISRTPALIFEHVNNSDFKQLYQTLSDFDIRYYLYELLKALDFCHSQGIMHRDVKPHNVMIDAEKRELRLIDWGLAEFYHPRQDYNVRVASRYFKGPELLVDYQCYDYSLDMWSLGCMLASMIFRKEPFFHGHDNYDQLVRIAKVLGTDELYEYIARYHIDLDPRFNDILGRHSRKRWERFIHAENQHLVTPEALDFLDKLLRYDHAERLTAQEAMAHEYFHPVVEASGRTNGSDQADGPGASNSASSQSSDAKIDGA >CRE28484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:951582:953573:-1 gene:WBGene00056232 transcript:CRE28484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28484 MLKGRFLFRAIAQRTMASKIEPGAPQPIYLDVQATSPMDPRVVDAMLPYMINDFGNPHSRTHSYGWKAEEGVEAARKYIADLIKADPRDIVFTSGATESNNLAIKGVAKFRKQSGKNHILTLQTEHKCVLDSCRYLENEGFKVTYLPVDKGGMVDMEQLEQSITSETCLVSIMFVNNEIGVVQPIKQIGELCRSKGVYFHTDAAQATGKVPIDVNDLKIDLMSISGHKIYGPKGAGALYVRRRPRVRIEAQMSGGGQERGLRSGTVAAPLCIGLGEAARIADKEMEMDKAHVERLSRMLIDGISDKLPHIIRNGDPKHAYPGCVNLSFAYVEGESLLMALKSIALSSGSACTSASLEPSYVLRAIGSEEDLAHSSIRFGLGRFTTEEEVKHTVELCIRETNRLRELSPLWEMVQEGIDLKSIQWTQH >CRE28167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:950002:951398:1 gene:WBGene00056233 transcript:CRE28167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28167 MKKKVFHQSGNEATLENCPWHVTSVENVIDDVEILEKSEKKYIFSDLGYSHGNLISLLSGSLSNSSGLGNLMFQLAGLYSISQESRAILLIPMSTSLRRAFDFQKNFNNSIQFVDAKVAKRLSNDLEPTRITMTSCCSYRNLTAILFNNNRKIEKIDGYFQNFRYFHPESEKTMKKLFTFVDPVRKLRNTLFQVDEFLENVGISLTVRSARMIETNVANDDQAFEMPEEDGWFASFAKTMIVGVHIRHGMDISMNSRNRKHGHVDTPIEYYKRAIEQISNVYESVAFVICSDDVAWARRNLKLGKETAHFFCPGPREVDMAILSSCDSVIISTGTFGWWSAYLNVNATPDIYYYKHWPAPGSVMEKMTNKSEYFIKSWTALE >CRE28483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:948016:949499:-1 gene:WBGene00056234 transcript:CRE28483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28483 MEDTGNISERFLFQFGKCMRQIIFSECQNSQTVGLNSCVKKSITKIVSHLFIIIHSSVLSVHHSSTLRFLHHQTEFIGRFLLIQNQLFEYGYPVPMGDQVYIQPSVYEKNTKMFYAENDLIRVCIRCRSSFQVENDGLQRISNSKSVCKDSNGMMYRFHIHNQHPMENLKKFKRAPKVTGSNWNMSGKMYALDVESVYTSHGQAVGRVTVVDCFGATVIDAIVKPKDAVYDCVTKYSGLTLEHFKYATETIESIREKIFDYINEQSILVGHALNGDLKALGIIHDNVIDTSILYSVNGRRPSLRQLTSTHLKYEIQNGSGGHCSKEDAVASLQLVYFGAMNPTSLSPFYQEF >CRE28482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:939099:942055:-1 gene:WBGene00056235 transcript:CRE28482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28482 MQDWNCRELDSPLPSLTTLQNLEPAKTDSGMSISTDFDDDFWDLDLYQKGRSASFGGVTQYSQQFMHQKVAIRPFFNTSLETVDSGRNSSKIAFWVTDVVPTLP >CRE28480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:914701:918352:-1 gene:WBGene00056236 transcript:CRE28480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-16 description:CRE-DAF-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LMN9] MNDSLDDDFPPEPRGRCYTWPMQQFVYQDPSTLPQHHHHNPYHPMHPHQLPHMQHQLPQPLLNLNSMATLTSSGSSVASSIGGGAHGSPTQASCSSNATAATASSQQPQTVGQMLAASVPCSSGMTLGMSLNLSQGGGPMPAKKKRCRKKPTDQLAQKKPNPWGEESYSDIIAKALESAPDGRLKLNEIYQWFSDNIPYFRERSSQEEAAGWKNSIRHNLSLHSRFMRIQNEGAGKSSWWVINPEAKPGRNPRRTRERSNTIEATTKAQLEKTRRGAKKRIKERALMGSVGGLNGNSTAGSITSIQHDMYDEDSMQGPFDSMTTFRPRTQSTMSVPGSSSRVTPSIGGELYDDLEFPSWVGDTPAVPTIPNDLVDRTDQMRIDGNTHVNGIQIKQEPKPIKTEPIAPPPSYHELNSVRGPCAQNPLLRNPIVPSTNFKPMPLPGSYGNYQNGGNNQGVWLSTSTSSPLPGIQSCGIVAAQHNVASSSALPIDLENLTLPDQPLMDMDVDALIRHELSQAGGQHIHFDL >CRE28166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:912194:913309:1 gene:WBGene00056238 transcript:CRE28166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28166 MISSNYAVCTYSALTQERIRVGIAAEYFRQSTTRLLKEKLNVLPQLLLNKNQIFNYGFPVEMGDQVYIQPNEYQRDRELFYSDNDSTRVCTRCQSTFHVNTDGSQAITSSAAICQDIDGIQYNFHIHTQQPMKNLKLFKKAPKVNEFNGEMSGKLFAIDVESVYTSKGQEVGRVTMVDYLGTTLIDAIVKPENQIFDYVTKYSGLTPNHMQFATETLDSVRERIFDHINEESILVGHALNGDLKSLRILHSNVIDTSVLFESNRRRPSLQKLTSFHLKREIQNSAGGHCSKEDAVASLQLVYFGLMNPHLLSPFYQVSCSML >CRE28479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:909270:911470:-1 gene:WBGene00056239 transcript:CRE28479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28479 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LMN7] MARKEADARAPSHEMHTQDDDDSSQRKKEKKQMEKPNVVDKKIVPNPVKKQPKQSTEQHDEHKEFQKESATPKRNNLKSPLTPKTPSPNPSPNKRNQNRPSREKNGQERSVDKESGKQFRSKVSTEKRIFLTFRFQKRPSVEKDCKESSSSPPKTDAAKEMTNSSTYGSSWHEGRHDKKDLKILEEFISKMPNYHGYICREDVTILLRNPGDWLIRLSVKAPKEQENEKKKGAKSVERGVRKTKEKSSSKAFVVSVHCKGKAVSPGKSDHRNLVIKMNDAKFSLDSISWFMKIADLFSYYQKSTTTHKEGEFQLLNPIHLSIWEFHHDDIELLAKKLGEGAFGEVRVGRMTTKDPKRAVGVVDVAVKMLKNASESVTRDQVEELMHEGRVMRRLDHPNVLRSYGVSVLREPLYLMSELCSNGALREYLKENYKTITLADKLNFVIGSARGVEYLHSQKLIHRDLAVRNILLSEDKTPKISDFGLAKLTDRYEMTEHCKIPVRYLAPETLELYVFTPKTDVFSFGCVIWEIYENGQQPHDGKNAQTIRAQVKKREFLKLSPSAPDSLRRYVSEKVFVADPENRCSMTGIVQCVEKVEKSGK >CRE28165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:906556:908054:1 gene:WBGene00056240 transcript:CRE28165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28165 MEDRNITDADRECPQLPEFDEKANVPRDLKAMKPGEEIGKNWKVVKAIQSDKGFNTIYVGEHIQKKKLAAIKIERKTETIKMLQFELFVLLTVEKKNKCKQFCKLFEKGNEKDYNWIAITLCGKSLRTLRKNQPGGKLSVACGLSVAQQCLKGLEELHLLGFIHRNVAPSVFAIGRFSGDNNSEMRNIYILDFGFAHQYKNKDGTLKPPSPNPWKYVGSLRHMPRAAFQRVEFSRMEDLEMWFYLSVELVKGCLPWAHLKVSPIPNSRRTISSFQKPKEVHDYQKMCRNGLQMREMLGGLPPEFVDIMQMIDKLSFTDTPNYKEIYGLLTNAILFSGKNEFPYDWEESEMNEFKNPPKTSPEPATK >CRE28478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:905738:906361:-1 gene:WBGene00056241 transcript:CRE28478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28478 MNECGRCSVKIGEEPALLANGKVWHVTHLLCDLCECRINDGERCVPQNGIILCSECHIKTTRPVCKGCGEFIKNNLCEALNSTWHPTCFQCSVCQKPLEVFFHQLPNKMCVHSDCYWDLQLRMVVCKDLPK >CRE28477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:904081:905246:-1 gene:WBGene00056242 transcript:CRE28477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28477 MMYFNPAVQDYSNILQTGMVAGISADNACQEAVYLLQNKAYIENLILQKTQLFEHGFPMDMGSQVYIQPSPFQRGEMFYSENDSSRFCTRCRSTFHVELSGLQRTSSTPICKDSDGIFYNFHIHTQESIESLKSFKKAPRANQFNWHMPGKMFALDVESVYTSHGQEVGRVTVVDHLGETVIDAILHPRYQVYDCVTKYSGLTPELFLYATETLESVRERIFDVINEESILVGHGLNGDLKALRIIHSNVIDTSILYDNNGKRPSLQQLTSTHLNYQIQNAIGGHCSKEDAVASLQLVYFGAMNPHQLSPYFRNSYSFL >CRE28476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:900628:903848:-1 gene:WBGene00056243 transcript:CRE28476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28476 MPPKKTPKPGLLQFFAKQQQEKYQANQKIHNDDDSIDESCQILYEKSVPGTSKTPSFKSTPRSVTKWSETLQKSSEKTGRDWQNPFLIQVNNSKKSTGTDSKTELLANGFDGIHGNGIFYPIDEPIRSFEMNLIKCTVTSNCCIAVPASAPSSSGRICAMTVFNFLKWFPRCRVLLVTSSESWSLALSQMGLESQSTKLSTVTQFKNLKTEAERIMICATPQCALKVVESPEAKEFLEEIRLVIMELKPSECCAKYKPIINALTVKDTFFRCVVLTTSISNSSRRTSSITKRQIMITNLHLSDWVEQSESDFLFRNSNIPAGVEVKTWKSEENSANLRKIIESFERFCENQIDELARKSIIPSKSIKKSIWTCWKSMKQSRNTSDIDELEVAEFLITTYKLLIIDGIVAARNFVKAVNSDNPKIQDYAKKILDSSIFGGFSEFPSKFQHLSDSIEAFLKMNNHVLGVILCRDSDQAIEIQNYLNLQLTTRCTVVRIIEEGTPSARNLWHIQRISTVFIDRKSPKIVILPVNLRDFIGHSDGLPLSEVTFVASVSRESIFNFRRFAGAYLLIVNEQFEKLRKEDGRLILDDGKYGQKDVKNGLKLGAVERYDFKFEPSRLRINVTNLPAQFFYPREIGNSLDETAGISQLGKTHIEMSSKEHAELYKRLQNPDFSSFHRRKRPFWVLVSSLSFVVSNRNPYILKKDMVNPDEKNPIEVDEMDYEQVCWNQDLQYLGDIKWSRLSERLTKYIKNGPVEWGMNDKRRFEYVNEITSSERILHLDRLLRNLENNFKELSTTTDPSNPF >CRE28475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:896654:899609:-1 gene:WBGene00056244 transcript:CRE28475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pde-5 description:CRE-PDE-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LMN2] MDENLVVQYLRDHPNLIEDYIVSDEISNETFERWSVRRNIKFKKYKKPSTGTPVVGPWNDLDLSMKRRVILETSDNRTRILYEITQCCGQLIGTNSVELIVQNDEGAFSCRKTEDGELKLKKVKTTKSADYIQTIVNAGNQTIGEIHFYTQLDQTEKSIVNAVCTWAAAANYFSELYTHKNVASDSQDIHENIAKQRKLSNFLLDVARSIFHDIVSMDAVIIKVMNFAQKLVDADRASLFLVDAKNKQIYARIFDVGTGDEEHVRVNSEGQKEIRFDMSKGIAGHVASTGDGLNIENAYEDERFNQDVDSKTGYTTKTILCMPILIRGIVIGVVQMVNKHNGAFTRQDEDAFEIFAVYCGLALHHAKLYDKIRRSEQKYRVALEVLAYHSVCNADEVNKLKKIEINNRIVELETIDFNGMRLSELEKPLYAVYMFKSLFSDYLRFETDDLIRFVLTVRKNYRRVAYHNWAHGWSVAHAMFATLVNSPEAFTKLEALALYVSCLCHDLDHRGKNNAYMKTMSTPLAAIYSTSVMERHHFNQTVTILQQDGHNILKSLSSEEYKQTLSLIKHCILATDLALFFSNKAKLNVILDKGEFDINRQEHRLLTQAVMMTGCDLVASAKPWNIQTETVKVIFEEFYDQGDAERLSGKDPIAMMDRNQAHLLPQMQVSELFGLVKYQTIIQKTCYHYAIMYSPCH >CRE28164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:893411:896070:1 gene:WBGene00056245 transcript:CRE28164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osr-1 description:CRE-OSR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LMN1] MIIFLFLFLLLNFCKSPVLSFILYHSLFLAAISADPPSSDVPGALLSSLASKNHKKLPLAPSMEALELMGVQFVDALIKKGQMEMAKGAFKTQLEVLEKVHPDQFEKYKKLKVEDLAADAVMQQAEMSKLQPKTGNAFIDMLNGNGIPIGSSIRGLEDAIRTQRDMENTDPSEQIAKAVLDKFQTQILPGLVANMISGRNPFKMPQQMRKAQAAPSAVLQQAMAQREMLGKGAPGIRNEQQMMMMNRVDQRMQQREQEEEEEGDDDVEEDTVPRRRSSNGEPQSEAEHQRRDLARRLRNSPRLKGLLQNAEVQSLLSYRRMRDSPLSKRRPLAMNDDDENTFRAMEAKAKLDQKSQLVLGFHGFGGLDDDDEDDEEDENSIDPSANSFRRAPLRLSSGFVEKLKSNDELRNALDKIKYRVNDVEKYLEPKPMEFNPKPQPGYFVPRKIPTRPRKMLPLLIGSDPKTQEEVRRHPSSEWKMAKESRVLTNLKNNPSLAALFMDEKLENTLRGRQLLTDEQKGRTRVKTIRALPRLFGAPTAKAEMIDAKVTQDIEERPVPPLFFEPKGKHTRLRWTGANEREIPGLGSRFILPSLDPTMPALNTAFSTQGRARDEWDTMFKIPNNWNPGDEVGFKMNSKTKRFVGGNGAFDMPALGL >CRE28163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:889101:890864:1 gene:WBGene00056246 transcript:CRE28163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sem-2 description:CRE-SEM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LMN0] MDLQKPPNFMLDCGMAPHMMQPIQWAAAAIAAASSTNSGASNATSSNSTSQQQLQHHPYGATGYKVQIPPKNSSVTPYSDATNCKKSSNHIKRPMNAFMVWSQMERRKICEHQPDMHNAEISKQLGSRWRNLTDEEKAPFVAEAERLRVCHMQEYPDYKYKPRKKPKKNPDGTLQQPAQTQNVPQNAPRGTSPQSRQRKRPNTEQSDPSSQFQNFKTVKMEPDWMGQPQMNQKMPFHPSYPSPSEFGHAPLTPESGFYEDFYNQQQHQFAPQQHNGGGVGSPIRMTALGMEMGMAPRMMGHNAGFGAGNHPFYLHTSPPSVDQDDMRSLSSGSSGYADCSASEQSTSSPTSSGVASMTSSASGTVSTSSGMHSSIFSDDFSGIYPTVNVPPGFPWGDALKSIDLEPF >CRE28162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:886652:887950:1 gene:WBGene00056247 transcript:CRE28162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28162 MNWNNDFNNGIPFSNGAQKMIEMKHKASDDCHQENEIEESSAPSSPKPEEHIKRPLNVFMVWSKIERKKYCEAHPDVHNATISQTLAARWKLMSAEEKAPFVAEAERLRLLHMEKYPHYKYQPRRKHKKKTVKTENIDESKNRKTQKKRPYEQMAMTENKNFQNQQWNNQNWMGMQQTNAVQMTPFSSGFFNQQHQQPNGMMGMGVGIMVTAMAPMPMPQNQGFDNQFFVQSLPPAADQVTNSMVHNQNSMNFYNPYTHNHFNQIPHQSHGMCAPGNSQFQSQMNSRSVSNGSSFGSFNSMGASPATSSSRDTPSTITDDFSDITPTISFQSGSGDFINALQFNQL >CRE28474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:866418:867868:-1 gene:WBGene00056248 transcript:CRE28474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28474 MREQSKTDDRIHVQKSDDDTSTILSLTNMNFLACGAFSNVYSGTAITETNQQLSIVIKKVWPSKKHSQQSNEIEILKMLGRLKHKNIVFLLYTYTKHHGDNICMGLIFESMPFNLQQFLRNQTRKIDIIEVKLITWQLFRGQAHIQRMDICHRDIKPQNLLYNPETGLLKITDFGSSLYQPVDHPQRSYQVTRYYRPPELILGAKRYGCEIDIWSCGCVFGELLKGRVFFAGHSSVHQADVIFDAIGLPTSEEKKAMQVDKKISETIINAYESDTSHSTEKFTYMYEQTELQQERSQSRVKNDRISTADMKKATALLRRILVYTPKLRMTGQKLLTHKFFSELFTGTPLRFNGRPIPCLTKKDLEKVNKGDVTLTNESKDTQE >CRE28161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:864719:866015:1 gene:WBGene00056249 transcript:CRE28161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28161 MEEIRKQMKSGSNQLQNKMKDLSKGILTATEEAKSREMEEKRRLTTEKASGAFGKAEEEKARWKASRDAEAAREYAKIEAENHLKKKRILIDKPSGETVLNQEKETPKRTIRRWKPPPADPNAVIPSFSCGPKEAAKPKIAATESGKSSKPSTPTARRKSLASPVPVSKEATVAATPGVGIQNIPKSEGAAATRIRNQAAGVGSTTPSVPSSVISQSDSPSSTMPTVPTSSVTESPKPSRRYNARRKTQEIVNESLVKPKTKRRKRNPRKTRFTRKDRDADLLLGYEKNATFEQLEKVFEQSTRNRRPPASKIEKTRRLAPSKIFISELTDIDKIYKSSEIRDIIASVNMVY >CRE28473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:862571:864425:-1 gene:WBGene00056250 transcript:CRE28473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28473 MSGQLKSPELKKKKKEKGMKSKHKSKPGSKHGSKPGSKHGLKTKGTKHGKGKKGRKHSKHDPNKPRKKKKSKDLKKPLPGSGPTSIPSKSVPKKSEKTGVEGTPKTVEKLVTVENNSGSLRVEKTQLSDDSLRRKKENKENKKKEKDEKKKTSKSDVEKDEYKKKKKEEKEGKKEVKVEEKKEKKEKEPKVKPVAPGSAVDQSPPTAEKKVGNLYSILGELSDTKSSVDGTPDDSKKKMKEEPKVATPDAKTPETVGPPMMEIKKEGSKKELKEGNKNGSDEGKLESEHDNLVEEPPKKKNNDDSNLKKQKSESFRLKKTQSLSPVSWKKGLKLYIHKLFKVNIAAPIAPGPPGKQIIRPHPDPNNEIVMNTPPLSDRSGRLRAVEAQRSELDQPLPEPEFVLNNGDTRVKKIDIKKVDFDLIGRTGMYMERIGMPAERKQAFDYIAYNRHDLKLKQSDFAKNMAVALSDIGINK >CRE28160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:856711:862264:1 gene:WBGene00056251 transcript:CRE28160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28160 MSRATSYDPFASSNRTSSVIRSGGLSNSDYTPRISSYTSRYGSTEQLNSTSSTTSSYRPSSSYRAGSITSGTGSSNSTTTSYTPRNARAGSVSIGAYDPPRSTHSDSVSSSSSTFSRYRPDNSISSYTPSLSRYRSTDLSTTSDYSSPKYRSPDNSYSNSRCSSTVSSGSSNRYSSHKPSSAYSSRTSTSSSYRSDRDYRSMSRTTDNEVATKIVLFSYTVVYINQKVEKHYINLQEDVDEVESTFRKLYQKYVTDSEPDLTKTGVKNEESLAEKVSRRFESKSEVEDDDNSISEEDEDEILTKYQSKGLRRASSASSITPRASVCNSPSKDLTPKCSPLPVRKELKTIEKSESRSVSPEMKPLTRNFQMEATFGSSTPIEKESDDVEKKKKEDGDLVKEASKLEFVNISDFKKEESVEEKEVIPEEPLKKEAPKIPDDVPKLVDMSSDEEDFLHDSSPSEIDEKTPKELPVIKLPETIKKIVSSIKINGIPVKESGLVSSEKEAEKKEEKEPVRIRIRSDKSPSRTREVCRDIYFLASNFHNKFQIHQLGISHLQITPSIETSKTPENLISSAVNVVLNHPEEPSKTQKKTEETREISEEKSSESDTTTTSSRASTLRKRRDPNRTLENLKSILKAEKMNNGDGGNEENKLENKLNEIKNEEQEKKIRRIPIPLVLITEPSNPSTPLATTPVTTAASICLWNNNEIKRTSSSTEDDGEYDEEEDYSSGDWTEGEDDEEYMTDEEEDNEFSVSQTFSLKDHINLRELYPLATSASNTSALVSEFSDGDTFLADARKEESRGRVDSLFAVPAPTFTCSVTYDGMLPGDEDDEEWSDEVHSCEEGDDEDFRVLPIARPGSSSLGAYMSPGSMTSDDENGSNYDGRTVGCTLKLVDRAARKDSEDSEESEYYDDEEEIEDESDEYEDEEYWDEEIEYEDEEEYEYEDEDVLEEYVDEDEEEVENEITMRSVESSEEEEEEEEEECSIMESESHELFVTPPIRLMTESLVEEMIEEACYGEIPEETLFALDEVEVEDYTGQKDVHLIPTFVRQMTENIREESASRFATDRKPATDFAKKAVIQPTKLEKVQVGTKIDTKLAPSEEEKKRIKEEEERKKKEEEEEKKRKEKELEIKKKKKEAEDNEKKEKEAKLTAALAAGKEDKSALRKSALNMKDDDAKKKVATDEKVAAQKARRFGTVSAMAGKFDESEESKEKKEEKVL >CRE28472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:854248:855181:-1 gene:WBGene00056252 transcript:CRE28472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-47 MWRSFPSLVSSVVRSQNAPAIRFSSTMKQFFDDEANFGKAELRPKNRPGRSWTEEELRLKSNSDLHKLWYVCLKERNMLITMKKAHISRARNMPNPERIDRVQESMDRIEAVVHERNDAVFKLETGESAAPRKRTITSFAGFTYKKQAIEHYSPPEASKKEYETPYLDDDAYMMQKLWQEKEFMKNRDRLDDEKRRETRTEDMDRFKRGAPRVFNR >CRE28471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:842454:853990:-1 gene:WBGene00056253 transcript:CRE28471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-363 description:CRE-LET-363 protein [Source:UniProtKB/TrEMBL;Acc:E3LMM3] MIQQHGMSFQMSADKQNKAAVTNQTVPVINDFDERHRKQGIDAARALASQYRSRITENKEANQRQAARELSRYVRSELKDEPNTFSEAFLNAIDGRTDGASQSAVYNCVKSGNVDQKKAGIYLIVCLAETHAGNVIRYANYLLKMLNSGGMDEEAVKLASKALAFLIATCKSYAAELVDRCLDHCQEWLLTTPNVPQTPKAHNTLELDETRRLAAAHLSRELALATPTAFFLRVNLFFKYIFNAVRDKKPSVRIAAIDALHVVLTIVSQREAKNKTEWFKECFREALTYQSNQSSADANDRWHSTALILNELLRISDSRFELIRCESSQFIKMKFLKEDEEDGVEWLVLSKQPVIVESVTSRKLVVENFSKILECVRQMIPIVNRSQKNSAYLNTVLMQLLPRICAFPQCDRAFQTVAFDTSYNVLQKNAIAAPALGMMMLSNPDVHSSQIEKTINFISGVIKKTTNSDFLDSYFTFLFLFVDAYHEKVTVQIKTIIPQLMDITLSRSLANVLKMIMMRIPKLRLNVQDGVMASVYLTLTGCIIPPKSEPTGRPPCPKAILQKAENDPKELQRIVLAVDVLGEFYFSRGALQRIMQYVADYYLTADNVEIRLAAVSSCCEMVVPFVGVYKKVTTDKRNSLLQTIYGVLRAVCSVIVNDPDVRVRMQVISCFGQMPRPFLAHLAQPEMLEVQFMALHDEKLEMQQACVTLLGRLAELNPALVLPRLRLMLLETLSQMMQSGQARLEQHSAKMIAQLAKQSPKFMRPYVGSLMIAMIPKMRNDQKYAEVTAQVLNAISEISVIGGAEIVKNLKPLFEKLTHMINDSSSLHKREAALRAIGGICRSTAYVVDPYRDYPTLLDDLLRILKTVMSNTMRREAIKTLGILGAIDPYTHKVFTGSVQSSTARTTALSLPITETDSKDPRQDIIHWFNYEKCTLEEFYPAITIANLMLMMQDEDSQSYAEIAQAIVTIFRSLGDMAPLYTEQVVPRLIEVCRRATESSNRANLREFFLQQLAIFVAIIKKHAAPYMPAIFTIIADAWKEDISVKMVVIQVLTEMGTAIGNDFSKYTGELIPYLLTVLQTDKTKERVLTVKVMESIRPLTYCIVQHLHLVLPPLLIILDDVSLKLSIRQTALDTVLHMTQQVDVSAYAPRMMQSWHHNISTAEMRDKLILLLIEIIKQLGKFFDIFKRGVDQKLRDFNLDKSVHYEQYRKLAQRAQMSRDVITSSVFAGSNGNLQSAQAAIRGQASNVYLNNDLHERLMNGSIDSGASRQDNRDDYYRYGLEEKKEVPKVAPTTARPTSELVTVQISKQRLNKDLLISQWRNEHLTSKDEWLQWLMKIRIGFLKSGSSPSLRAASSLGDQHPHLARDLFPAAFMSVWTELDSEVQKDLTSCLLRAISTGIPELIQTILNLAEFMDHSEKGPLPITHDVLGMWAEQTKAFAKACRYKEMSVLKNSEPAMTTFGRKIKLQPNDCQSLITYANKLNVQEEAAGVVRYAERNEMNFQMRGRWYEKLNEWEKALDAYELEEKQKHSTANLQNFHKDEELTPEKAAAAEEARMHEMRCLEALARWDELNHKSEIWADQRTKRTDSIRDEINKKQLDHKMAVIAARGAWAVDNWERMADYVSVISENTQDGAMLRAVVAVHNDENMKAMGLIEKVREMIDSELTAMANESYERAYIPMVSVQQMAELEEAIEYKTRPERRPRIALLWSRRLQGCRQNVEQWQRLIMLRGLVLSPQEMHPLRVKFSSMCRKQGKHSMSRAVLRDLLSLPANTDLMCAKAPYDKPLLVLALAKQLYNDEQKDAAIRALEELANHWNKRVNPIPLPTGKEMVPPSTKEPARICAKVLLKLGEWTELKAKNLSVTPTGELSFVRQQVSPQYRTKDHRTPETIAFDNTINYYKQAARYDPDWHKVWHKLASTHFYAVCRERPQTRIVQQRDNTPSAKRSQKREPIIKAASPPPPPPLPSQKSPQPAAFHSTNGETLSDCPVPPPLGSLIGLGPMPSHLLSSASPSQSQQFHHASPLTFSPGNSAYLEHAADAIKCFAKALKSSPGSRLEDTLRMMQLWFEFGENDGVYTALNDSVFDLPITTWLEAVPQLMARLDSSQENRSVSLVLRVLTEISKHHPHAIIYALTVASRSSDEHRSKNAHFVLIKMMEYHSKLVHEARLVTEELVRCAILWHEQWHDALDDASRVYFHVCFVFFDYLYKSQTFEKVWFHNHIFNLEISLCPSKSLLLLFCNEIPQRRVQDNNVAAMFDALRNMNEMMQKGAPTTMKEHSFQQTYSTDLKEAGQYVQAFESSGNVKDLNQAWEIYCSVFKKLRDQLATLNSLDLVYVSPNLVSAKDLELVVPGTYDPFAPIVSIQSFSSKMSVITSKQRPRKMVIRGSNGLDYQFLLKGHEDPRQDERVMQLFGLVNTLLANNGETCRRNLTIQVSMTFSEKLSHLYLQRYSIVALSKDSGLIGWVPNCDTLHTLVKEYREKKAKIPLSIEHKTLQKLSADTEHLTAMQKLQLFENALSVTTGEDLRQVLWLKSPSSEVWFDRRTNYTRSVACMSMVGYILGLGDRHPSNLMLDRLTGKIVHIDFGDCFEVAMLREKFPERVPFRLTRMLINAMEVTGLDGVYNYTAERVLKMLRTNQESLLAVLEAFVYDPVINWRLVEGMKKDPKTKKETTVRGGTILPSTSTTDSIMDTIKRKLEGTEFSHIDGSNPNEAVSVSEQVALLTEQATSSINLCQSYIGWCPFW >CRE28469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:835730:838132:-1 gene:WBGene00056257 transcript:CRE28469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28469 MNQFPRTSALWFNVKCDQKTHEWKYSEVDNDREIINVRPSTLKMSGQSYASVVFFSTLFASVCSNAPIAPKCPESKIISQELIIKRKSVDVEKDGKKKEKKDEFIHKGTYVERKVSLKVDTQFLDAEIESYSNSDHHESLKKAEKVFRYCLNQYISDVKIWMTGSFAAGVDTFKSDLDFTIKTSRWSEESSFQKLMKIKGFFIGNSLFKTGRVVRARVRTKVNHMEINHVSFQTPVLKLVHLETDVEIDVTMDNEDSKRNTQLLSWYSQMDNRFSKLCRAIKGWASESGIEGAKNGRLNSFSICLMLIQYLQTLNILPNIQEFFPELNGPIEIEDDNYGRRDMKKEIQERGYKFEENEKSLSDLYFGFLKFYAEFNFDKSWISVKNGKIMEKRFDETEKPLDGLPDSHHFIVVEDPFLTTPRNCGGSVQGSCFVERIQLEFKIAADRILKKKTLFGGYPSSWRTRLDNEGRKTDKRIKVFEKERMDFNLKLSEEVEQPWGRDYDGPEWHETRVDPQNFWDLDRRPMITYEESLPWPVYVPNMYCRVDFV >CRE28159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:834560:835391:1 gene:WBGene00056258 transcript:CRE28159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28159 MGAFLSVPDATHPLRGAIDFVSGRKRTSNQTIGSPPTKIQKPEKIESTCEVQEDESDHESDDELEDREVALEILETSEGDDDSDGEDEEEEDEEEEDEEEEEEVNNDEVELQNETEPVSIENDKAEEVKEEEKPTEIQESEEEKKVEENGGHAEIPKNLQADETKQPDERIVEKNGTNGNVEVLEPVAENNNQELSNGNIEEPEKVEYDNDSISNPVPTMSAEVLV >CRE28158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:831946:833942:1 gene:WBGene00056259 transcript:CRE28158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28158 MTRKNKKKNSNKPPKPISSIPSSAPLESSYLPGPSTSTATPPFDQLDQEASTPPPKISRSYAIPKATKPEFKGILQKRLQQSILLYGGDGDAEINTPESLDDILEKLSYPTPEETFEDKIYKDIIDRNINEELKEINDYVYSMKNRDQIEVRNRGLCDTEIPFFQEACSTRKGLLDFFSNNPVNYLMREKFKTCILFCDLIGLTVRSHNLIINDIEESFSIDGLPRNKKYCKFKDGFVSVLETMRAYRDKFMIDISRSQRFVLMNLIQMMPKFLGTAVDEYFEFDENAKKWQELKVIREEEARTKERIPFNLDTYINGDYLYRKFLRPKLSKENFDMFKAVCTMDNDGILQFDRKKMLVENNSGKPTIIFPPNLTRKLEQQFEEFSIE >CRE28157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:830369:831151:1 gene:WBGene00056260 transcript:CRE28157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28157 MNPIDYLHLTLLLVGPLAVLVGCGGKKKAPPPKPASKMSPPPPAPIPPAPEAPAAPPADAAAAAEGEKKDGDKKSEKKEGEKKEEEKKDEEKKDEKKEEEKKDDDKKEEGKKDEKKEDEKKDGDKKSEKKSEKKSEKKDEEKKDEEKKDDKKEEKKDEKKEKSKKSKKSNKSKKSKRDKKDGDKKDDEKKEDEKKDDDKKEDDKKEDDKKEDEKKE >CRE28156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:829544:830015:1 gene:WBGene00056261 transcript:CRE28156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28156 MTTTNSKSKNTKKDGAEKKTKTSKTTAKKKSSTLTMSKSQTSTDQKENEKERKRDEKDKISDNKKAKEETVGMEKKKEKKEIVKEIPKEQPKDQPKKEKRAEDDANKPNEPSGMDVIVKEDDKKTKMDDGYEDFGPGAQQS >CRE28155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:826059:827314:1 gene:WBGene00056262 transcript:CRE28155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28155 MLRRIKRFLGFSAHSYFPNNEVAPMADGSMISTASRKSTKSEKKAAKKEKKKSPLAADISAPAIYHVPTPPAEIVTGCKETIACSESETDRQTVITDSLPYRDPSDKVCMESPPNIILPGAQESSSSTRSLIGSDSMKSRMESMILDGESPDMLPNVESLAESVYSYDFSQETESQKTCDTKSRELPVDCDIPNDKPPMEVSPQLSRISTTSSVYIGLQKIDASESQEELLEKSEDVTAMKKFNAELDGLLKKSSPPFQVSTARLPPMLKELPPPANDDSMLSGNEEFNDSKNNSVTRILNESHEALMRSPENHLRSCGY >CRE28468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:824822:825828:-1 gene:WBGene00056263 transcript:CRE28468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28468 MDDTLDYESIALKTNQFPKDVDRGDESGLEWYLRIEKEQSSTAGLQKMKFVWNFDWEELRNQYRVLGWRGTLMIYLWNKDRYIWTGPHEFDVQFFGTEFTIQKELERNMDQCYSTDGGFWFKHSFELEPIFQKRVALIVEGREIKINKKILIKNSTYFAELLNNEKTEIPIPTANYNDMKQLLGMFHTEPHVPRASCFSNVLQLAEEYKLPSVRMFLEFHLLINNDMSLNEKIEIADKYKMDQLFKQCIDSLTSIEDVKQLVENSNFTEGVKSKLFDRMMLFPK >CRE28154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:822889:824656:1 gene:WBGene00056264 transcript:CRE28154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cln-3.2 description:Battenin [Source:UniProtKB/TrEMBL;Acc:E3LML4] MEVASGGGTGPRRNSVAFWLLGLCNNFAYVVMLSAAKDILEKDSKHIEKPCRPEVTTRECQIMSTGSVLLADIIPALLIKVLAPMFIHRVPFGVRHFIVVFLQAASFLIVGNSGSTGFALFGVVLASFGSGLGEISYLALSSNYPSTVVAAWSSGTGGAGLIGASIYALLTDSKLLGISPKHTMFIMLILPVLFSFAYWSILQIPRSIHRAHFLQPNSWITSLETTSSESRRIEEEEEGLLENTEGEEEGENVSRRTEMSKIRKALPLLRFMVPLISVYLAEYYINQGLLELLEFDCSHGLGMSSESQYRWFQVTYQLGVFISRSSSNYITIPTKYLTSLAILQILNAGFFTFTAVYSILPHIILAFFAIFFEGLLGGASYVNTFRAVHREVSIENREFSMGVVSISDTIGIVFAGFLAMPVHNLICSTPL >CRE28153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:821648:822496:1 gene:WBGene00056265 transcript:CRE28153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28153 MHFATNVFGHYVVVERLLPLLLKTDRPDFKNRVIVVSSGLYRNAEAIPQVSKILGQKTYDYNPKQAYAFSKLANCLYTVALSKMLEPHNVDVYCVRPGFVNGTELGRETHWFLRALAAPIIWFIAKTLEQVKKQKKVSFLSIFGFQGCETIVYLAETPADQLKSGAMYYEKKEESYNEMVDVTAIRQVWAILRHLEDTVWKRNTQMTDEQRAHGEMVRDILEESLIV >CRE28467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:819287:821295:-1 gene:WBGene00056266 transcript:CRE28467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28467 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LML2] MGSKDNGSKEVFAQSLYAQSAPVGNAAKKDKEKDTNTGPKSSTTSSNSISRNPSSSPANTPLKAETLRIDDRGKEKEEKTTIDRGRERERQQETTQQATENSLQGKPPSDDKKKKKKKTKGRSASAELPDRDDFPILEKKLREFNFYHGFLPREDLQSTLQNPGDYLLRVSEVVEGETKVNREVILSLIPIVVLGKDEEDKKKCRNVCIKRVQQSNKFFCEITRTFESISDLITFYTKNTGACSAGTFQLKNPILQQPWEFMHSDVTVGEKLGEGAFGKVCSGTLKLKDGTSVEVAIKMTKVSAFLSKMKIKEMMNEARFIRNFNHKNVVRLYGVAHDEQPLYILLELVKGGSLLDHLKHAKAEGNPVSVGEKVRFCSGAAKGIDYLHRNNCIHRDIAARNCLLHDKEVKITDFGLSRTGPSYRIKTSCKVPVKWLAPETLSTLIFSYSTDVYSWGITCYEIFADGGEPYDGVSNATVKSDVMGLKFLPMPSNAPDAIKKYMASFILVDSTRRATMTMVVSEFERFISMSDCGSLETVGTKQKIFKVFKKKHEKQSKGD >CRE28151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:816289:817932:1 gene:WBGene00056267 transcript:CRE28151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-4 description:CRE-DNJ-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LML0] MLRVLRCSPCGAWVCQIRLFNKKLRPKTHYEVLGVESTATLAEIKTAFYSQSKKAHPDNSADEATTARFLELKNAYDVLRRPADRSLYDYQLKGGGGRYPNAGYRYQYPSTAPPQYDFGRDWSTYWSQNPDNSRSAREERDKSARQFMKSIIKWTAFGLILVAMYNGGYVYLLAYNQKQLDKLIDEDEIAKCFLRQKEFRNSNFESSEVAEIGRILKADVDEIWKMKTTRNPDEIREEYRWFRALQDTDHIRSLKEKRMELKEKERNKWRNQDEDG >CRE28150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:812123:815847:1 gene:WBGene00056268 transcript:CRE28150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-erm-1 description:CRE-ERM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LMK9] MVAAGDINVRVTSMDSELEFAIQSSTTGKQLFDQVVKTIGLREIWYFGLQYTDNKGFPTWLKLNKKVMSQDVKKDPTLVFKFRAKFYPEDVAEEIIQDVTMRLFYLQVKDGILSDEIYCPPETSVLLASYAMQAKYGDYVPETHVSGCLTADRLLPQRVLGQFKLNSEEWERRIMTWWADHRATTREQAMLEYLKIAQDLEMYGVNYFEIRNKKGTDLYLGVDALGLNIYDKADRLSPKVGFPWSEIRNISFNDKKFVIKPIDKKAHDFVFYAPRLRINKRILALCMGNHELYMRRRKPDTIEVQQMKQQAREERALKVAEQEKLTREMSAREEAEQRHREAEKRMQQMAEDMERARLELAEAHNTIHSLESQLKQLQLAKQALEQKEYELRELTAQLQSEKAMSDDERRRLRDQVDAREREVYTMREEVERQTTVTRQLQTQIHTQQHTQHYSHHHSNGHAHDETATDDEDNGATELTNDADQNVPQHELERVTAAEKNIQIKNKLDMLTRELDSVKDQNAVTDYDVLHMENKKAGRDKYKTLRQIRGGNTKRRIDQYENM >CRE28149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:803812:806497:1 gene:WBGene00056269 transcript:CRE28149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-8 MIEKVLVVVVVIGLSFDGACAQGSCRLNEYEMTCRGKNSLHELEKGKNYAEVDTLNVHQAGLDLSDDLFPNGINFSRLTLLNATNNEITRIGRRGFDKTRHVQFLYLSNNKIAHAQPDPFQSLEKLELLEMDEALDGNGEDKADMLRNFFKSKNNFIHLSKIELNKNRLDEIFPKTFCGVKRTCSEEICRVFLFQVQGLKRLELSNNRLQSFNFARSCLGELKALMLAGNLIQKIPADIWDFLPSVSSLDVSNNPLNCDCETVRLVRDDDVVFINQAETKCASPPEVEGKSIFELRKDYCSTARNPTGKSSFFQFIVLFVIAVGILFAYKKYRERLSHMSSAPVGYTNLQHEQAVEPEFV >CRE28148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:801806:803215:1 gene:WBGene00056270 transcript:CRE28148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhhc-11 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LMK6] MSNPIRNPKWKELKSGAIPILYALIYIQVIYMTVYEPASDELKEKIRRDSLFFLIHFILWFTLISDFVRKPYTAEIGKHVIVNSFCTICEVQTYRETKHCKRCNFCIDEFDHHCVWLNNCIGGKNYRPFVGLVVCVNLFSIYSCILSVFLFIWWVSKDQNDLAKYIREGADWRMILWVVSLITTIVVYLILVVTTLHLLHFHFKLFQVGQTTYRYMTNRKRGAKVGAISHVSPTHSHTHRQDGDPIEGDEEDVQMDEIRNPPTSPENSEFDEEQRITVTVEPPTPTPNGSTVSSASYSNHGYVETEGR >CRE28464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:790370:796006:-1 gene:WBGene00056271 transcript:CRE28464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccpp-1 description:CRE-CCPP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LMK4] MPADAEKISQTRQTWSHLTVEISNFLAEQPQSRVADTLLQVYNRVWTEEEKQELIEAFGHKKRFNLTKSGVKAVLFAFEGDRTQSEIIFLCRLLDLIFSFFSTENDRKKEKYVIKCDAVATLCRTTRKRIILTADATDESTIDQNLDEILWKLLYSIGLKDSRVSLKVRMGGLITPMCKLFIRKDNLPEAFIPFFIKISRSPRNGQAIGRHEGFMTRLVAKIKVMDASDQTSQVLLLDKHLQLLFFTLKNKRTRTQLLRENICKYLLEVLRRHLASSSNSRPTRLLSSLFGTFDKSLSAAHTEVVIGTIAILRFLSNFKKARDELKNLQVLDICSRELKEFWSDEWKTGPKARIVDSLSALCLRCMSPLPYPLETRRFPVDFPLPTAAPSTPGGHGRVRNSSSINISFDNGRSSDEDGMDEEDEAFVRDDDDDGKEGVASDEDDGKDDDETGGALPKTTRLNQQQLSKYAPFFVENEQGTLQPTFSMMYQTNQESWRTTCEKTRHIMPVHHHLPIEMFNTPTRVRERTAKTTNNMKKMIIEELDKPERATNNQVVYDLDTAAFDGLSAPELPFPTSGVKLDTSKDLQFDSRFESGNLRMVMQVSVAPTHYELFLSPDVNQFRDHYQWFFFQVSNMRKSVKYTFEIVNCLKATSLYSQGMQPVMYSMMESANGWRRAGENVCYFRNLYINEHEEKRNVEEQKKKKYYYSIRFNVTFQNTGDICYIAYHYPYTYSFLNSSLTMLRKRKQEGVYCREDVIGHSLAGNPIKMLTITTPATAAEIAVREVIVLSARVHPGETNASWIMQGILENLLCRQSNEMYRLRETFVFKIIPMINPDGVINGSHRCSLAGIDLNRVWDRPNEALHPEIVATKAVIQYLCDVVNKKPFVYVDIHGHSKKWDYFVYGNNAADSWRADDILDVGPAQIEEEQHLALPRALEVTCPGRFNASECRFNISRAKESSARVNVWRQFGIATSYTLESTFCGFHKGQNSGYQINTSDLKEIGRDLLHSFLEMIKN >CRE28147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:784942:790068:1 gene:WBGene00056272 transcript:CRE28147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-che-14 description:CRE-CHE-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LMK3] MIERVGRLYSRSLYRHPVLFLIVPLLITTVIPFGLLWLFPIRLSQNAEIGFDTKDTVLSGPRLAWARIQPSLMFSNRIAFSNPRPIDTDVQQPSVQPVNKRVRRSWADNLLSAINQVACYDSPIPLMDHLSQIVLEVPNYDAIFDLKFLNKLCQMQSNISKPLSRFDAFTPYRNIWSVANMFACISPNLRVNCTQLDESDLKIVRKTIDNCWKYRTPIFECRNEKCQGQCSTCREVPEECSSQIMHDLFYRLLPKNRDETPFLVNTFLPVFTLTGYITQNIPVDVILYDALELSVIDYTKKSGLELKGLLMDVKRDRLLAAALRDSILALLAAGLVMIVVAVHSQSLLYAFVVILLLALSVVGALGVYSLFTDEFPLLNLVTFVLLIAIGSDDAFLLKSNFPNHLNEETFHSFLTHTSFTMFLTCFSTVVPFFINITSNVIVFRRVYPLFCFGLFAGVTVIFNYFMVVSFLPAFLLIQHRHLDCFTGFKFPYRSVFSHLLYVLLPHVLVQGRYVLMALLSIVAIGGAAITYQGLHLPEYNPLQLFTSDNLHEWYDNNAERNFEFVSAKIALPLTSRLVWGVEPVYSLSTFRANATSPLKSDPFFSLKTARDVHKIARSLEKARQLPFVNHQAKFWPERFLDWSDKYPCARGFLCCNMSNPLFSDSYLDFCLRNSTSFLATSYNDTPIFDNQTFAFVGYTAMLPTTLKYNHRFSKLTKSFEMLEMTKPDGGWWAPEWWLMSTWFDLLSSIVQDCLSSVVGSLIFVAIFAFIQLKFQAIAAVVTIAGVIFTSSAIVTLLGWVLGVLEAVILVLVVGLSFDYTLHYGAALPDLGCAEHRIREATSKGVGPVTLAAFTSFLAGASMLPALTHAFYQVGVFLVVISWTSWTFSTFFYLPMLSLTLPRQSGICPYCEKTNLMHLSPRR >CRE28146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:781757:784500:1 gene:WBGene00056273 transcript:CRE28146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glh-1 description:CRE-GLH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LMK2] MSDGWDDNETTAQGLFNSLFIDYLKFFVIVKPSGGNSGGSGFGGKSAGFGSGNTGGGFGAKSGGTGFGGGSGGGFGGNSGGGFGSNSGGSGFGGKSGGTGFGGGNSGGSGFGGGNSAGTGFGGGNSSGFGGGGGSGFGGGNSGFGGESGGGGYSGERNNNCFNCQQPGHQSRDCPEPRKPREGGAPRNCYNCNQPGHQSRDCPEERKPRENKAGGGGFGGGNAGFGGSGGFGGGNNGFGGGFGGNDDGFGGGGGGFGGETGGFGGGGEERGPMKCFNCRGEGHRSSDCPEPQRGCFNCGEQGHRSNECTNPPKPREGGEGEAPKATYVPVEDNMQDVFDMQKISEGLMFDKFFDADVQVTSCGKSVKRAPCKTFAEAKLSETMQKNVERAGYTKTTPIQQYALPLIRDGNDIMACAQTGSGKTAAFLLPIMSRLMDDNDLNTAGEGGCYPRCIILTPTRELADQIYNEGRKFAYQTMMEIKPVYGGLAVSYNKSQIEKGSTIIVGTVGRIKHFCEEGTIKLDKIRFFVLDEADRMIDGMGFGNDIETIVNYEGMPKKENRQTLMFSATFPDVVQNCASAFLRENYTMITIDKIGAANKCVVQEFELCDRSEKKDKLLTLLGIDIDSYSTEKSGCGCLYQEDDCIRFSTGDGRYLGCYLVKCSSSRNYTLFLQIHGAREQRERSEALRHFRNGTKPVLIATAVAERGLDIKGVDHVINYDMPDNIDDYIHRIGRTGRVGNSGRATSFIQNDSPLIPELLKVLGDAEQIVPDWMLESAGGNYGASSFGGHVPAQQEEEAW >CRE28463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:779678:781347:-1 gene:WBGene00056274 transcript:CRE28463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28463 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LMK1] MFDKDIHTEPWYHGLLPREDIKAMLRKTGDFLIRSTEPKQGEARQYVLSAMQNEELEDAGVKHYVMRVNDKDQIFLETKGFETISSLVNYYVSSKDPIKKMTILKTPIVKQDWEIEHSQVELVKKLGEGAFGEVWKGKITMKNGKVEDCAIKTAKLEALNKEQIKEIMREARLMRNLDHPNVVKFFGVGAGQEPLYVIMELADCGALDTYLQKNPNLQPPKKMEMIYQAACGIGYMHEKKLLHRDIAARNCLYGGGQVKIADFGLSREGIVYQMEMTKKVPIRWLAPETLKTGIYSPKTDVFAFGIMAWEISENGKEPYPDMRVAEVVPQVKSGYRMRFDPVLVDFRFGDYVTKNCWGENPEDRVSMNDVIRFLQTTFSLKPVIQACPIKEQEMKTMKAISTKRKEKKEKKTKKDKNNNTTTKTEDHDKA >CRE28462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:778853:779326:-1 gene:WBGene00056275 transcript:CRE28462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28462 MDKPKTSKRTTPSLRTKKKNTDRQRSTSRPSTQSSASTPSSKQKKDVSQNSKRGNGGGPPKNVGDREPMIDNDKNKKKEEKKKDPKKKSSETEKKPEPSLRETKEGVKKTGADAISNIEVIPDKNPAKMDDGYEDFGPGAA >CRE28145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:777182:778716:1 gene:WBGene00056276 transcript:CRE28145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rad-8 MCICAYWCTARPAFDLTTISQVNTYSWHLLPQVVAESYSGKPKISRMFQKFPLRRFFSTRPSTMRAWVSENGTGVEMREVPIPIINKPGQVILKVKAASVNPIDVEMSQGYGREFLGTWKKIESCDFAASRFPLIPGRDCTAIVDAVGGDVHNLAPGDEVMAVVPVIQPGTHAEFVVTESNLCSKKPANLSFEQAAALPYVASTAFSAFSVARVNQRNAKTQRVLIHGGAGGVGSMAIQLLKAWGCEKIVATCGKDSFELVKKLGAIPVDYSSEEATQQLVEHAPFEVIFDTVDSPLARWSDNVMGVWRNCVHVSIVSPLMREMDNHGVPLGLASTAMKHFERSFQSHLKGRWFSYAFFTPSSDLMAQLSRFAEDGKIVPIVQQVMRFEDLENAYEKVSRLSGRGKTIIKFD >CRE28461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:775048:776703:-1 gene:WBGene00056277 transcript:CRE28461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpt-5 description:CRE-RPT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LMJ8] MSQTPPPKDGKAPDAMDVDDAIDEEVLRMSTEDLKSRIHLLDNEIRIMRSEVQRINHSATTLKERIKENTERIKVNKTLPYLVSNVVELLDLEDNLEEEGANVDLDAQKTKCAVIKTSTRATYFLPVVGLVDPDELKPGDLVGVNKDSYLILEKLPAEYDSRVKAMEVDERPTEQYSDIGGCDKQIQELIEAVVLPMTHKDRFVNLGIHPPKGVLMYGPPGTGKTMMARAVAAQTKSTFLKLAGPQLVQMFIGDGAKLVRDAFALAKEKAPAIIFIDELDAIGTKRFDSEKAGDREVQRTMLELLNQLDGFQPNDDIKVIAATNRIDVLDPALLRSGRLDRKIELPHPNEDARARIMQIHSRKMNVNKDVNFEELARCTDDFNGAQCKAVCVEAGMIALRRDATEILHEDFMDAILEVQAKKKASLNYYA >CRE28460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:767008:772591:-1 gene:WBGene00056278 transcript:CRE28460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28460 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LMJ7] MFSLFPSLKKLRRKYSKDDHKNSKVEKRRERNLKFVHMIVEQITTNYQEETFLPFEIQQHLNRILLEHSEEIGRVAVDVTGETLVIGGTHAQFSYLFAILCNSLYVHENGGYLKRNVKYCKLRSSTLSMRRNTTFRLTFLGDYVDRGTESLRCLNLLFCLRFMFGNQIQLLRGNHETRSINLKYGLNKECTRIYSQQQGNEIFESWNRIFNHLPYIARINMKVICMHGGIPSGFKSLTFKTLRNEVVDGFEVYAGGQLITLFSAVNYGNNESPAATLLFKSNGEIIVHEYDPQLIQDDEREKQDLKFDEDLTVYEELDTQKPMNTSAIAAVPTVAVIGFIAGAYYLSDKISAPGTDLSATQDLFAQKSAVFTRVVNGISINQQLVNGPFDEDQLMKELFPLGSNISLRHFGNSTISKLPDDFKLLQTVIGSECTGDSGCGIHKIMIAEMNKTEEMDKDFHSFEKLLIDPQTQNGTVNFTSQNMTITVPTDSVRGWTTKLQDLKKIMNGKTKNMETFFWKVGELKEVLKNFENTSKELKKLNDFLVDIHDKVTEKAKMMSLIAKLSSVQQFKQELETTQIRYNNLVSQLTSEFMVDIKLKLKELIGPTSQMEKNLTVGFPNGFADMRLVLSDHQNEWLTNLLNFGKDLKQLTLRLKPLKELVTDVEPIYKSWKEIDYESASHSLFEMNSFVDSLIVPSEIVKVLEDVHNCMSNVDLNDKFHVDMDASMKKLNETTTELNVVITPISVFNQPESVKAIGELIAAIRNDETGSYSRIENVKTFNISISILENLHTSLNAIKLEKFEESLDFINTLKSLFVTPFHKWSGKVDFPKLVSCMGKTQFQKKIEMLNPSATRLALMTPENPQVLDIDKLITSIEKPSHLVKTIKIKLPKRYRRRRATDKIFLKNAGMSSKDLGDINLLVQKLISVKKLEQHLQNLINGKKTIFDIIEKVTDSIEKKSLKMLWNDNTVKSLENILKIATKLDERIKTQKKIEMITDFKDPFNIASEVNESKVDTKQMEKALRGKVQDKLLAESLNALKTLDLKFAKYDKTKTEKALSNVQQYFDSLFGISRGVTSTSTQTETDNTYYYIGGVGLFILAAGTCAGIFFCLKRNKKNENHTSNSKSTSSKNSDGKAVNKMERGLASTGKSSLTTGSKSTSVTQSASTVSTASSTNTASKPTHPQTTKNASKTSKRVRTYRKGVEVIPITVQGDRNFQIIKNPDGNFFIEHSSGRLGWSAKTPNPNIEVPEDTKKELPMKKIKDAFKILDETNTASRIPKTINATTTTPTETKKINVTPWTEAVLINSYRKEELQANMQTLDLSNWQSKLKKEVFVIGNSFDNVLPAKIQSIEEPLIDATQESEVSTKVLKTAESVIPVKASGSNINTAEEFESAENADWDEKGREAETQMKELLRKTRLGSVPLKK >CRE28143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:764411:765829:1 gene:WBGene00056279 transcript:CRE28143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28143 MSIFFSSFLLFFPFFHNVGSSKISLDLYSVVVSIHSLMFLFYQSIQAYFTVLYFHNPFIENHWKSSGMFLEKLKCSELTTCSEIKSSFFSDTVIAQTYSVYIFLFLSLITCIFLLFSDDEISRKPKQPELSSVLGMSFEKCESVIYWLSFFSFIPTLIALLADCLLVLNVSYFTKPSHEIVVVFMNYVVMVMYQYGRFLSLLFPYLDGINVSSFYAEVIQLVLSLIFFFNPYRRKNKTIFEYFEYTTLAQSFAIPVLFISLLFNSLVYYLIKHRSSPNAESKSNENGCVHVTKKKRKNRKKR >CRE28459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:760238:761910:-1 gene:WBGene00056280 transcript:CRE28459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28459 MHRYSQYFLAILGFYALFLLVRFYFSDAYTDWIESDQDEIDLKSVTLRGDKLEIFNSWHQCFSENMMGITDSEEFWQSFVGISRKCDSQANVHLLGIVTLRNSDEMKHVLFPKIFNSGPHNLFTIGIGRDIRAEKQFRRKMLKLGNNVTYYGADPIPYINGDLYSQIGTYFPLAIGGKSGISNARVMEKYGYIETNMIHIDIIYFFKEILNITTIDNLWFDAEGEEFGNDFFDIFYENGRFDQNKIDVCQTNIEIHITSDVPHRKQEFMKFLKRIIEEKRFGVYFGDEYGHIRMYMFNYRSQYCLEKF >CRE28458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:752299:759196:-1 gene:WBGene00056281 transcript:CRE28458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28458 MSLQRILQFLVLFSVFFICFKVKTTKEVEADDSDQQTLFLVANKSEIFEDWRTCAKYKLMGFEDPSEEDQNHNLITLGIGQDTSAEERYQKKMQKLGKIVDFFGADPMVELNSEIYSRIGKYFPFAVARHAGFSNASIQKNGEYLDQNVAHVDILYFFKQILDVEKIDNLWIDAEGAEYELFEIFEKNGVLDQNDIVVCQANMEIHISEAEGHLNPNFEKQKIFMDFVKKIITEKKYGIFRASEEFHMRIYLFNFESEYCRNKY >CRE28141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:751566:752159:1 gene:WBGene00056282 transcript:CRE28141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28141 MTEFFSQKQIDEIRECFNFYATSGVLKTASQLRCALRSLGYSPTAAKTQEYYRKQNKKTIEFANFLDICKDEQNSPDPLTEIIKALSGLDRNKTRSMPSRELAAILSQVGERMSPEEIKYLLSKVEVNGMVPHQALIEYISK >CRE28457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:748174:749925:-1 gene:WBGene00056283 transcript:CRE28457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28457 MQRVQQLRPLLPKGHVTYYKDQLLIVKGEKQFLFDSNGKKYLDFFGGIVTVSVGHCHPKVNAALTEQAQKLWHTTSIYHTEPIYEYAEKLIGKFPSKLNSVFFVNSGSEANDLALALARNYTGRFDVISMRNGYHGMTQTVLGATNLGNWKPVFPHGFNIFKSLNADPYRGIFGGSNCRDSPIQVKNRKCGCAPGVCEASDKYIEQFEEMLLHDFSHSSGPAAFLIESIQGVGGTVQYPHGYLKKSYEAVQKRGGLAIADEVQTGFGRLGSHFWGFESQDALPDMVTMAKGIGNGFPLGAVVTTKEIADSFNKSLYFNTYGGNPLASVVGKAVLEVIEEEKLQENCAVVGDYFLKQLAALDEKAIGDVRGKGLMIGVELIDEQGKPLAAAKTAAIFEDTKNHGLLIGKGGIHGNVLRIKPPMCITKEDVDFAVDVIAKSIKQFK >CRE28456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:743074:744700:-1 gene:WBGene00056284 transcript:CRE28456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28456 MSDIPPPPSTPPPQNAPIPPITGTPPAAVAAAAAGNAAAVSALPQAVVPEKDRLPKEGDEIVTETGKKYKLGPVLGDGGYGTVFQSQDDDIKIAVKTEKFSKSQLKIEIVVLKAAMQANCKHFCELIDCGTKLKDFDYMMITLLGKDLHKLRCELPERKFTINTALRVGIQTLKACEELHRIGFVSRDVKPGNFAPGVKANRQSRTIFMYDFGLARRYVDKNNQIIPSRKEVGWRGTTRYGSLNAHKRQDLGRRDDLESWFYGLVEMTRGTLPWRNVVERNHVQRAKEASRLAGRTQFLFETPSQYDKIFTLIDSYTFESAPDYKQINKYLVEAREERQLRDREHWDWEDETISTTMTTTLTSFSDKELHAKADQSK >CRE28455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:733921:742371:-1 gene:WBGene00056285 transcript:CRE28455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28455 MNILEAETFSTCRWYSESRILYEKPSLRRSTSRSRNAVSTPSTPSSSKKMSKAKSPSKSSSSRKPSKSPGRGRPAAANKKTPRVASKSATPRTASRTPKSPTSRAASRTPRSQPASASRSRSRSRARTTTASTPTSSAASSSTPRSTSRSRSTTHTPRSAPRVVKTAEVADDDEAPSPPVLRQRTPRNLTQDPPTSAQRLSRQGVSRVFSTSRSPAKPKSGCMDELKGNCLYVKQKVGGVLNLIYQFFYWLITSPFISLRDTWRANRPKNDYLAASLFFVICLGTTALFLLMFPHFALRMYRSAQKNIVAPFNYYQREFVLKVSDISENVHKWSYAKWKAMTEKWNNKATQTP >CRE28140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:733096:733751:1 gene:WBGene00056286 transcript:CRE28140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28140 MFLEVQETVRFLASFMYGRIPRSRVKAFCAHLSSLLSEAIDEKQTVERFDLIVFADGKSDEAIVQAARRAYVHLTELQECMNNGLIMEITDGRVRALTPFSAQIVFPKTANPMEFEKLRIH >CRE28454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:731483:732331:-1 gene:WBGene00056287 transcript:CRE28454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28454 MWTVGISVLIFYFVSFQQFRKFEQISQQNEKLLQLLSEWSQINSEIKSRIYTNFYQNKVEDADLVDESDLLSDYRLPYFSDSESEFEDEMTQNMYSISPSETSSSGYNTDPDIDLEWELYKIRHAPVGPVPPDQIYLTFTCQKTDLYVKAVVDESHSIVHILTYEKISNEQWKWTETKCSTCIDKVRRVLADGECVVPFAIDMESKENFEFGDVNKRFWSSEIVYETEDETCSVIEMPLK >CRE28453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:729836:730973:-1 gene:WBGene00056288 transcript:CRE28453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28453 MLAFLSEMGCYLCIVACFICVGVVGYVILQLQNMKKIYIQNEKILQLLSDQHTSLPVEKVEEKEVDPEESNIESAANTTMIQIHEQGQQFLESEDESERSSEYQIPDYEDSEDESEYNFKRNSSFSLNNGAGTGSSGYNTEHEIDLDWEYFKIMKAPRGPVPHDQILLCFTCNITGFYLKAVVDKDHTAVWILSYEKNIVEEWEWSETTCLTCIEKVRRVLADGECPTPFAIDLENNGEEELTMEQTTICQKSASEVAKTEHVDVEDIKYLPSDGEQEDVIEKPIATFPLWDDFEYSSLDHLEYDDEPIIFLGNY >CRE28452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:723860:728691:-1 gene:WBGene00056289 transcript:CRE28452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28452 MTCDEWKKFIQVNIYGQTLQGLQQQGIALETMLSDIGCSKVWIVEGKMYNDDSCHQTTNSNEVSIVTPPVLSTTIADVKCPRFSTPAVSQVDLQRKFTLNLPIKFSEINSSYDEDFDCSHYSDDEINENNDIGELMNDIIQKVSESDKETAIEQTIFSSTINEMNVPRCSTPIIPHIDHHRTFTLNIPDTVSAIVLNEDGDIETDQSIDESATNNHVMKELSDFAGTQFEHNYELSPVKKVPTPRAGTKSLMTVTKSKKLILKRKSAASKLASTSVISRKQRVVLKESTSTDRMKNIRKILKKETSVIPTVENRTQSIQKEIPVSNIKRELSNGNTSQLYSKNVDNLFSVKRELSPIYVDVIDFESNSRPEDNETAVYHSLENSFEENRQQKNEYIQNDALVFDNQMYVGDDIMDYGGEEDTMILDHQEEVVTENLEAVDNQDDDEKEHEDENEHFSGDETAENRNACANKRVSFAEPLIQSSNRKKAEMNKVHIVKCHYDGCNKSYTWRMKYGKTRLVDHAFTHVSHLVLKCNLCEQTFQKIRSVRYHHKKSHPETKLEGCGIKRALDTSRDGTDFVQVWDKCYKNNISLCGAGDLNPFARQDKGIKKGNRVRSTRNSMNPELGSNVVEEEDENGEMVNNRCGTYIQVNIYGKTLQQLKKEGITLKSVLVRSRFYIFKMLSFFQNEIGCPQHWIGEVSIFTGEFNKESSLLSTTIANVKLPRCSTRVIPHIEPRTSFTLNMEQKISEIDEDDEMIDVDVEEVLSDLLNEVDVLKQTEKKAALPLLSTTIANSEFPRYSTPIPFEDDLGSNFTLNFSHDVSNIASDAEEELVSDYSLHFQESVKNNDSIVNVTSDSLSGRSKENDDHTLSLTTTKESTKRAPERCSTTPAKIYKREVEEFDAPKREIAALRTNSEPAVCPNFNDSLYQSGPLIIKLQNSEQENGDQPENYGNSESSLDKNTTEDREEAFYSIPRNEETGPLSYNVPVTASSLEIPGVALKMELNSFGFLNNNNDEMLASHDVIQNQNVYHELKPVGHLLSYSPVPLYNSYCDNEVNEGDSYHSIPKEREGEDDDETLQMNSEHTRTQYSPPLWPDKIRTKSILKAAGSNVDSKEKKVVSFKVPSSKIEKRRNSATSHTIVCSFEGCGKQNTGKFNTESFVSNCDFKCQTVGQMRYHYKKTHSDVKMTGFGIMDIQYEDIDIDDVWQKCFGKQIHIVGNISPKRFFRRKNIHKANDNEENGSYEALPTSQSSQ >CRE28450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:713948:715788:-1 gene:WBGene00056290 transcript:CRE28450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-him-8 description:CRE-HIM-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LMI2] MTVDSFPYIDVRLYGRSLQKLKQDGISLKKILEGVGFSGVSIREGRISRGRKKQFTVPSLSTSIANVDVPRSSTPIVSQVDIRKKITWNLQERISEIADDDEEKVDFDSEVDSEEIIEESRKSSEQKKRDTDVALVLDGIMETVIEKEKTVRSTAAILIPADEMTISKFSDPVTFNRNITGPVYCSIAPAVFDSDHGNIPQSEERKNSSSYGLSNMDAHHSFHVDNIDETADLPAEEKTTERNGKHTKTFKAAQKTTRCTHDTQNTAVPITCNFPKCGHVISWRIRSGKINLVDHALKHSKKKFLKCHECKNKAKEIKTIRSMRYHYQTSHKGMKMTGYGVSTLPLKDPDFRKLLHDCFGDQLDAFNEELSEKTDDFTICRSRREVYIFFELNPVKYLNFRKL >CRE28138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:711410:711806:1 gene:WBGene00056291 transcript:CRE28138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28138 MSDPADDSVEAGETSGTVVGESIPTPMMTGMVAGLIFAILMMICHICITKALVGQRLKHLPRARKVKVKKNKKDKKGMKGKKGKKGKKGSGTTTGTTSGSTSGTTAGTTGASTVM >CRE28447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:709095:709663:-1 gene:WBGene00056292 transcript:CRE28447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-58 description:CRE-SDZ-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LMH7] MKIVYLLIAIPIVFASEQSVRVVGKLTCHGHPAQYAELQLVSKFSIGGEAFARNIFTDPAGNFNIAGYINPSSWSKIDARLYVWHKCYEKPYEHSDPCSNWFEIKIPGIYVNDGPFAKKKWDLGEVKLEKPRSGQQLDSCD >CRE28446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:702388:708145:-1 gene:WBGene00056293 transcript:CRE28446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abt-5 description:CRE-ABT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LMH6] MVFKRLLHLLLKDALLVWRSKVWTAFEIIIPLLLIMAPPILMLAMTKNQVDTSQMSGVYQSHQVNLQNLDIEVYCQGGTYSNQKASVTNYLADSKMRWRNGKISAPQKMDRWSYVEAVRSCGNAVYIVESNMSSTIVLPLTGNYWPYYSFLGYNYHMNKIMYGLFERYMEQKYLPGSQGQPVRPLPNFDNELHTLPSTSTVLIGEILYKIFPLFFGICLTMPVIGTTRNLLVEKDTVKPYLSTIGLPIWLFYLEHFIFGVIKTSILITLTSFVWLLIKGFPGFVVIGIFFYTFNCVSFAIFFSTIFPSAKRAVEGMVLIWIGTVLFAMLYEPSPSMTWLVSLNPTHALKHYIGAVVLAYDENGVAIGDLFQSKSIGVQCAAVYIGFMIFNTIWMLIAAIFMEKLFTFLAHVLFKKLWGVLSNRKTKFTSGINSGPNGSRSTILNVQEKLDGRLMAAADIELNGLVKVYPNGEKAVNGLSLRAVRGQVSILLGHNGCGKSTTFGMMTGMHKATEGRVLIGGVDASSNRAEARKLIGYCPQYNPLYEKLTVIEHLRLVNALKGGSGATFNADAQSLLEQIELTDKKNTLAKNLSGGMKRKLCVCMAMIGGSKVILLDEPTAGMDPAARIDVQKMLALVKSDRTILLTTHYMDEAEKLGDWVFVMSHGKMAASGSIHYLKQRYGGGMLLTLVFKTSSDPKKMYTAALQVCKAICPSATVKDERGQMMEISISEGEKSRLPALFRALEAITERNFHSPDIKMMGPNVESQAQKLEIVTVGVSMSSLEQVFIKIGDECDAVINKSGGANKKVERRKLFSGLIAAKKQPPKQGFSRTLMTMTALIQKRAYYMYRNPVQIFLQIILPLLTLWMFAEMFSGLVSSEVSKQMISVESLDLSEFPSSTVVVQMEDNTENRLLDYLYTFPNLNLMEVDYYESVEDVVKKYSNAFQSLGFIIKVKQNGVTSIYFDSRHDRSSRNQAILINLLASCMYLRSNFVTELPHISSQIYWMIDAEGASAANLEKLLYQLPAIALIIAGVVIQSTVYLIEERVCKFAHQQYLTGLSSFTYWGVVFLWDFILYTCIVLYVLIFIFVYGVFSGHVHEIVLLFYCLLFYLAPVVYLTSILINSPTKGSFIIYMFCIITYFGAAICFFAIGQAPNMKDGTKWGTRILSPSIGFYMGVLKVAAINYEHSGLRTVFDNITDTWEFEGILIEIILILLFACLITCILCCATLKSIRRTCFSVTHKKSQQKTRAQYKGIESCAAVKEEEALIPKVDKKDKVIVVDGLIKDFKKFRAVNGLSISVGHEECFGMLGANGAGKTTTFDIITGLTLPTGGNVTIEGQNITKNIHIGYCPQFDAMLQQISCRQTLRVMAKLQGYPNVKEVVEMVLDCVGMKDHGNKLVKNCSGGQKRKISVGIALMSRANCIILDEPTAGIDPRARREIWDIIHEMREQAKCSIVLTSHSMEECEALCTRIGILRKGEMIALGTSQSLKSQYGNTYMMTLVIHHLTDREAVCKKVSELMPNAILKTPESSLTTSLFWEIPKAKSDKWSQKYSEVEALAKKANARDYMLTQSSLEDTFIRLITTE >CRE28137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:701630:702273:1 gene:WBGene00056294 transcript:CRE28137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28137 MSTAIDNPELAEAKKESEKPSKPKKIPKVEKPSTEKKKKKKTKTFPLEFWKTDPPLDVIEAEIDEAIWISFKEKRKEKERKEKLEKEMIERNRIIELKKVLSEQRKEQKLKELMALKVLKQKQKQPKPEETEASRQEKLEKLKACRQRFYEKKRLKKLEEDEKRRKEREKLLKEYECSV >CRE28136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:698118:700928:1 gene:WBGene00056295 transcript:CRE28136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pept-3 description:CRE-PEPT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LMH4] MEEKSLLQKLRSYPPAVFFMLGNEFCERFSFYGMKTILFIYLITEHEFSPSKATFVYHLFTCIAYLTPLIGSIMADSVFGRFKVILYGSSIYVVGHVLLSMGAVPFLSYPIRSSLDFSGLFVIAFATGCIKPCVSAFAADQFTEDQKDLRSQFFSFFYFAINGGSLFAIIITPILRGRVQCFGNQHCFPLAFGVPGVLMLLALILFLMGWSQYRKYPPSKENVGSKVIAVIYTSLRKMVGGASRDKPVTHWLDHAAPEHSQKMIDSVRGLLNVAVIFCPLIFFWALFDQQGSTWVLQARRLDGRVGHFSILPEQIHAINPICVLILVPIFEGWVYPALRKVTKVTPLRKMAVGGLLTAFSFAIAGVLQVRFLLNWNKIRGHIFQLKVNETMEFPPTLGRVYLQRVGNESLITDFRYKNDGKLIGDGMLPKGRTELDAGIYTFNTGLKNESQDIDISIQNKGYVMAVFRLEQGVKVVKFDYKVEKTDNGATRVFVVTAKDDENSLVYAINKKGKILSSCELKSGSYVDVIPGIISDPNVRLYWGPKGNCSGTDCANTVALNAQMGAVHVLHIHPSTTEDDFNLLVRPNSVSILWSLPQYVIITLGEVLLSVTGLEFAYSQAAPNMKSVLTAMWLLTVFAGNLIDMMISGTRLIPHPALEFFFYSILMVIVMGVFILLAMQYTYVEDNDEEITITESEKKDVIALTEIESGTATNDKKE >CRE28135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:689390:692393:1 gene:WBGene00056296 transcript:CRE28135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trak-1 MSPTPSRQSTPLNLPCALNELDCNELMVVLEKKDRDLELAAKIGQSLLEQNKDLQTKNEFLEESVNKNLDTIVQLKHELNQRIELLRVYSHLDDEGVPRSNSDETLRERLKSTKSENERLRQECDLLRQETAMFVAQKSNTFQLEKQLDYANDKVMGLQRMIEQKTSELSQQYEKTGRLMSELADKDKKEKMISMEKDEMGAILIEMIQRHDTMQSELKDIQDQYAELMANFAETESELTKLRSTGNLRMSYDSLYDSLASEMESSEFSPAGRSTQLTVLTGRDSYANDSGIDAGPMSLAAEIEQSEKLPLPSINEPIFSTSAKLAALCDASTSCTDIFRESSLPHDEIATTPSVSGINNIDSETKTSSFPSEEAVSTPIVARKYIPCTSPSKSNLSKLQRLHIGNRPHAHSVDIPSAAVEDTSSYAEPKLGQPGMPGTRDLDISLKVIKAREEVQKEFAAFCQRKGIDQQQFFSSQSRRQSHDTSWTDFSVAYGVRMIQNMKSKPGDQPLALGLRQGVLTRAELLTSSSTSPQHRSISLSLSPGTSDGGLMKIGAIHGVLSRR >CRE28134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:676982:681072:1 gene:WBGene00056297 transcript:CRE28134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-usp-5 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LMH2] MDVESVVRTAVESGVFTKPSADQKVFKDQCAYCFKDPHTKDGLYINLKNYHAFCREHAEIYANNTGNNLYVQFESTKVFVEEKGDGERADGEPTNKMTKLTIDADPKFEFEDKYCVVIHPNYSQQFFNVQGVQEIADAIQTIANSTSAERLALLSSTSNAWDADIKLITKHSNLVQHDNDKRLALSGWHCEVENCGLDENLWINLTDGAIRCGRSQYVADGQKTNGNGHMQDYYAATGYPLVVKLGTISSNLESIDVYSYDEDDAVIDPNLEKHLKHFGLDPSKLEKTAKSTLEMELDMNEKWEWARCTEDGAVLEPVYGPGYTGLINTGSSCYMNSVLQALIQVDSFRTRYSEKALDILLNCPIETIHNDFNAQFAKVIRAMLSGDYSSETDLEHNNIKPLQFKRVAAGNHAEFSTAKQQDVEEYIRFLFEKISQNSKDEVIDPTGSLRFQTWNRFEDIATQKVRYTEQEELILRLPIPEALLKPIPDTENRFTVDMSAAIQAAFDVQFVEVSIFVPKNIFFWFQGYKSPITGEQKGATNTITMKTFPDYLLFQVSKFTYNNLGVQKKLDVEVEVSDELDLVAYRGHGKLEGEELLPVETPSEPAAPEIPANVRAVAGELMVMGFEENSCLRAAFFSNGNAEVATNWLMEHMDEPNINDVFVMPTGTPSARGEVDPNLVASIIDMGFTPYQARYALKQVPTVSEAVDWLFTNMETIPVETAATGSSSDAPQPSITEAANRKSYKDGSEKYKLIGMISHMGSRPDSGHYVAHMLKDGKWVLFNDEKVALSQDPPKKLAYIYLYKRVE >CRE28445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:674592:676046:-1 gene:WBGene00056298 transcript:CRE28445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28445 MSDDEHDEADMSAEETPHGDFDLQVILGNFSLEPSKFDDILKAAKQFGDPHRFLINKFILEPTMSRKKMNYLFTKYVTTVKEKKHPEAFMKIQITSEESKELSRSIVKTMNTANGKIRNDFRPWRFVPSTDEKTPNEYVTMTGCSRNSSIISEASELTLDNTRTSNQINVSTYRKSERALFLAILEEMMCGDGTVKMSWIHSIIQREPHKVPGPKCDMFMVKMTRQKYFSMDDDREIVEISPRTIIELEPWLRAKFSEELKLCELCRRIISRPIYTAECNKCQSTYHFNCFKNATVISNQDSVECSKCPEKITLDEVNDQIVLKKAQGISRAPSRSATASLSRSASAVPDPSASNDAALDDSDAGTANQTTVKEEEDDDLDMSKKPPKTIKVSKKSKGTRKRVIADSDSD >CRE28444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:672867:674149:-1 gene:WBGene00056299 transcript:CRE28444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28444 description:Molybdopterin synthase catalytic subunit [Source:UniProtKB/TrEMBL;Acc:E3LMH0] MATRKCNVFALAGCTNSGKSTLAKEFQRYFGAADTTIINQDEFYKTENEVEKIYHPAAKTLTEQGYYWSFDEKEAINVNQFKERIIEKSKIYKNVIIDGNMITEMDEIVELCGRIVVLTLDIKTCRRRREARTDYVPPDTPGYFDNVAFPAYLRHLENARKRSNPIHLLYKFIQIPSRTDSRITFIDVSEPRCSDRNESIIDFREQIFNDHIKLTDEELKVNVVDQLVSHPSCGAISTFNGVTRDNHAGRDVVHLSYDCHDLMAYKKLRGICAEIRTAFPDVKKIAIFHRLGRVDVGESSVVISTSSPHRKTAIEATGRCIDLLKDHAPIFKYEEYSNGETKGTKGTWKSNVEDSQQNC >CRE28133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:672040:672450:1 gene:WBGene00056300 transcript:CRE28133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28133 MILLPIQFTLISCVSLATTFTVYSVPILKFMYEFTFLTLQISKMMLSVSSVWLWEIATNTEIVDAFAIILSVVISTSVLLDMFSYGNSLKFSLFVIFVNISEIVFTFNSNNIDYEQNIDLN >CRE28443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:668545:669875:-1 gene:WBGene00056301 transcript:CRE28443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28443 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LMG8] MTSVNKKRNPRKNQTPKDVTLETEDGTQADRPKKKVVKKRSAVVRRAAQYGDVNEAMLKDFVKTTLETGVKQLSSEFMNLKIETQPCHKPKTGHETHPEKNRYKDVYCIDDTRVILKWPEDNPNDYIHANWVKINGLNKFICTQGPTEKTIDDFYRLVWQEKAPCVVMLCNIMELGKKKCEQYWPEAVDGSMVLMDGKLTVKITEPAREVEQNILLMKISLTDDKGTVHNFEHWQWKAWPDRGVPEIPMAVFRLLIRLKTASPIIVHCSAGIGRTGSIVGLEIALVKFCAGEKVVLKDIVKEIRNQRHGSVQTDAQYLFMHRVLLALAENRKITSPEMSAFVTEYDKVIATKNG >CRE28442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:665997:667895:-1 gene:WBGene00056302 transcript:CRE28442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28442 MSSRTTNSSRLDESIRSSQKETQNYWGFANFPNQVFRRAVKNGFDFTLMVVGRSGLGKSTFINTLFLAEINNLSEQDLISTEAHPSTVRVEEKLVKLVENSVTLQLTLVDTPGFGDAVNNSKCWEPIVNYVESKFFEQFCEETRIDRQEKIVDKCVHLCLYFIEPSGHGLKAIDIELMKHIHGRVNIVPVIAKADCLTREELRRFKEQIVKDAEAAEIKLYKFPELEDPTADKATADKLRKILPFAIIGSNSLKEQHGRRIRYREYPWGTVEVENMEHNDFLTLRDMIIRTNLIDMIDVTRNVHYENFRFRQMEGLPKNEKNRDPFTHFEEERRQKERDLTDKRAMLEKVFNEKTTARTHRNNERMSALEEAEQQHKLKMEAKRAEIHRLRHEIADLRSGNLSSSQTSLSMYNENNQSQNSTMNSTAKSSPPHNGSSSTSGTMKKRIGGLGIFNRN >CRE28132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:664776:665894:1 gene:WBGene00056303 transcript:CRE28132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28132 MKNKKSVRDRVERSERKKCICVTHHHSLFSTFSSDFTMFEDPEFECCDENLPPLLRNEGVIWLRPHEIAVYSRFLSSSHPKREEVIQRFGGGFFLAAVISLTKDPELSLKVVKNQPIDRGYFEFTFFTEDDTIVVIKIDDRLPTKNGELLYSQAPADTFWCPLLEKAFAKFRGGYQHLSIGNLEDSLRMLTGKEVYLFETEHYTPNQIHSMIKKYLNSTRILCCKMKHSDGNNGEYGRSLAISDAIHGNNGLLISLSDPKVPFDSDLSIEEFKNVIDVVFFLSPKEEEMRKEKDVSILRRIDPFGRNVYTSGLVSNQNSQNNSPCVLM >CRE28131.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:663416:664422:1 gene:WBGene00056304 transcript:CRE28131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oaz-1 MPNETRAIVSAVSPNWQVGAINEKTLALMVPHDQPVLGISKKNFVDLLEFAEDKLEMERVLAIFEKSRINPTEGFPRTLRYVGFRPYAIDEHPASFPADKYFIMSYKV >CRE28131.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:663423:664422:1 gene:WBGene00056304 transcript:CRE28131.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oaz-1 MPNETRAIVSAVSPNWQVGAINEKTLALMVPHDQPVLGISKKNFVDLLEFAEDKLEMERVLAIFEKSRINPTEGFPRTLRYVGFRPYAIDEHPASFPADKYFIMSYKV >CRE28131.3 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:663493:664431:1 gene:WBGene00056304 transcript:CRE28131.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oaz-1 MPNETRAIVSAVSPNWQVGAINEKTLALMVPHDQPVLGISKKNFVDLLEFAEDKLEMERVLAIFEKSRINPTEGFPRTLRYVGFRPYAIDEHPASFPADKYFIMSYKV >CRE28440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:655534:656803:-1 gene:WBGene00056305 transcript:CRE28440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28440 MKIVDCNLFYGFLIPLNCGRVRVRKFYCTTNNNRDAKNPDILNIWFNSYLLEDFSVDSFDLIMELLWKKNMQLSQFCELYLACLVKLKIFCVTFPNCFFCFHILPRVNLFLSLLKTTLISSRFFCETKQMSRTIWLVRHGQRVDNVDKKWKDNNPTKWDDPELTIRGKQQAHEVGKHFANMNIEAIITSPFTRCIETSAQIAAMMENKATKICVEPGFQEPLYMCMTPPGVPSMEKIKEYSTQIDESYKPVFEKLPEEAKGDLGCADRVVKTFQEVAKKFPSGNIIIVSHGTPIANIHAFLKGHWKYVGQCTICKVTDMYGQSFRLDYWSDKKHLSQTHDLREDERNVPKLPSP >CRE28128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:653724:654358:1 gene:WBGene00056306 transcript:CRE28128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28128 MDYHVGPIGVEPPKLCCIPARPLVCVLAILGILRSICVFFETPSFTNGFFLSIFIFLDSILLIGAAKNSEKHLSWSLKVVFVGIGFAALQLLIFPVIAASAVASGSVPHSYMMVESMVKLVLGGNQENFMNGVAAGYVVEIATILLIGVQTLKYVIVNRLWEYAKVTEGIL >CRE28127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:652075:652653:1 gene:WBGene00056307 transcript:CRE28127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28127 MEYHREPAGTQTPQFCCFPARLLVCILSVIGIAQNGVSICFSTFFKFRYQVIIPFNLTWILLNILLLFGAFCNNERALRWSLKVVIACMILTGIYIMIVPVMISSFFASGMEFPIIRNDGNYDNFIIGMVYGYCFELISIFLIGGLFFEIQVDLFLYEFLFSAQILKYILVNRLWEYAKTTVSVAESRYETV >CRE28126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:648659:651240:1 gene:WBGene00056308 transcript:CRE28126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ari-1 description:CRE-ARI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LMF8] MSYSDDEINIDDSDTDQGDIGDECISDDDGIALESHDQNNSEYRENAAPDNEVLNHDSLEAEMKKAIGDVQAVLQVKTGVCRILLHKYKWNKESLLERFYEHPDTTTFLIDAQVIPRHTQTVPPGESECDICCMVSGLSGLACNHRACTPCWRSYLTNKIVDGGQSEIECMAANCKLLIEDEKVMLYIKDPDVIASYRRLIVASYVETNRLLKWCPGVDCGKAVRVGHCEPRLVVCSCGSRFCFSCGNDWHEPVNCRLLKLWMKKCSDDSETSNWINANTKECPKCMITIEKDGGCNHMTCKNTACRFEFCWMCLGPWEPHGSSWYNCNRFDDSVAKTARDAQEVSRANLQRYLFYYNRYMGHQQSLRLEGKLYATVKSKMEQMQTLSMSWIEVQFLRKAVDVLSECRRTLMFTYAFAFYLKRDNNAIIFETNQKDLEMETEQLSGFLERDLDNENLVTLKQKVQDKYRYVEHRRKILLDHCAEGAEQDIWVFNE >CRE28124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:642652:644958:1 gene:WBGene00056309 transcript:CRE28124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28124 MGTILRIVLVSTNILLALFIFQNGFLLKRQEISSKSSCSDAHAQPGQHCWMKQQYNRVILILVDALRYDFLIPPKQNKPTNSDSPEWFYQGQMKHVGNLVSSGKASIGTLLADPPTTTLQRLKALTTGTLPTFIDAGDNFSPDATVNEDSFIYQASQLGKNITLLGDDTWLSLFPNQFSKTAAYDSFDINDLNSVDDKIAPKLEEEIKSSESSSIIIAHFLGVDHCGHKFGPSHPVMGDTLRKMDRIISNSAESMKSDDLLIVIGDHGMTSTGDHGGESDNEIQAGILVYSKKRQIELPRRPIHQIDIVPTISLLMGLPIPFSNLGTVITGMFKRDLQEIAVGMNYEQVKRFAETYATQKNFGELHFHTARDSNTMEDQIDTMSRIQTLLRVAWTQFDDSYINVGLFSLVESVMFLMTNEAMSLEWIIYRTGCALLQAALLTDKTDSDGSARTLLLMTLAVSCLSSIISLAHKALQIRFSFDALVSTRAIVHERAI >CRE28439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:645024:645908:-1 gene:WBGene00056310 transcript:CRE28439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mbd-2 description:CRE-MBD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LMF6] MAKADALFRQRRPGRPLKARPLEKEMKTGFDGNWDLVVRKCPTTFSQHVSEVTTSQLESRVQNDELLKRRSRRKTEMRPFQSMWAKSLSGLQVAIPHEKPDRIADVTKAEYSYESIKAISLLKPAVSAFTPEETTTTVIQQINNGFMTNGTFGQPANKDKLDGSFMALAHHDQPLCERVPFAQLVEEISSQEKRVLDARKRLQEVMKYFG >CRE28123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:641078:642514:1 gene:WBGene00056311 transcript:CRE28123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28123 MAPKTKVPPKIVKKVERSPSPDDSPVRRSGRQRIEMGKYEEGFDRHELELALRASLNEANHQGGKPTTPSSSSSAASAPAPAPPKKDVKPKKKAVKPVPAASAAPAVAAAEPVAEPKRTIPSKKERDAEKKAAAAAAAAAATLTSMKKTPVKRADKSTASTASATTSAETITPKKKAAAAKAKKVVAKPSSTEVAEATSSSAHETSPELDDEVAPTSNEATTSTTATPSVATAPIAKEVKAEQPVKKVVKKKAIAKKSPASTSTSDAVPKKKKKPVVQKLSSKPTKQYLENEKQMRLMACAKTGVL >CRE28122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:633948:640631:1 gene:WBGene00056312 transcript:CRE28122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28122 MRDHQKTEHHHETKTFEDVAFEMLSTVSPVKLPREDIPFDSVRKIILNEVTKRRNKNSKPSTSARANMDELLEDGPSSSSSSSHVGESSSSILDRGTMTDDGETGTPRPIAARLYGDCPRSSSSSTSSAAPCGSSDGTDAKKKPLNYREKRIAEARAGRMKKYRENTEIVEFKCEENGLKEEFLKFYAELLEDDSDPANVYYRIVESLDFYFRAKTWESNCPKLLCEFLCETILKTCQELNESHETSNATDERKSREIQIQVLLTLHVFVVTNEQRWLDESINKMRMIFISVGAEKLRAFVEEPVTDIFLELIRDDLATIYDELCINLPADLVQYNIGLFKMTEADDNFVVKRRNGAANRLEQMLIETSDNLGIGGVEKTTRATSEAPVKRKSLKRKTDEVPKELLSPARPTRAAAAGRPDYKQFFVEDTPDEKYYKKKASKKKELEDEEEEEEEEEEEDDDNDEEIEVTYHSRKKRITIKEEIDEEVKQTPMAKLRAAAASKNGKRCSRRLSELVKLSEERSQIPKKARENLNRILEKAKTPLRSIIRPTRKSVLFGATTTSSSAGMNTRGSNSRASLLTRFSDAGDRRSPGEELTVDTSGGHDINTPSSASESSTPTLRERSMRKRPARFRGDSFTDQGGRNIKVKQEEEDPELDFPETTSSSLSKRTSKTTSASSSAATTPSKWTDRQLKRQLGLTREDLNRYRAAMLTTGERNRNKNVKINWESAQVNRAGRVKGAGASEDGTPIFGIMRRNVRTMICHVLLNDTNPDTSFNWNKVKFDDFEKPRTRQLQSLIQKPEAYMESLKQKYIEKKAMPLKRMRSNAAAAASVTISEESTSTSEPSGKRKCLASSSSTRRTTRIAMSKTVASSSSSFSIRSEKSPDEFDEYGFESEADD >CRE28438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:626820:630617:-1 gene:WBGene00056313 transcript:CRE28438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-haf-9 description:CRE-HAF-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LMF2] MTSSLYTGGQALFICLAFIGLDLLVNIFGLAWNGKYFTFANIAHWFDLENYSFLKNPVDFLAVALIRDSILLGGAVSAWASPSGFSQVAENVKNVVFAAMLLIVAFAPSKLLAFYEDDNIRLAVGDWILMIWCIFASLLLQGIWTSVLTHVTEVAAGTGDSLLFGDAELEERLRQEEAEKAAEQRETFQLLYRLLGYMGRQWKYYGMAFFFLFCYSLSRVFIPFYTGEVVTAVFGDQASYERLHRTVLIMGLLSLASTVFGGLRGGSFTYAHATIDRQIRNDLFRSVVKQEIGFFDMNKTGEICSRLSADCQTMSNTLSLYMNVLTRNLTMLFGSLIFMFTLSWKLSMITLINIPIIFLVNKIFGVWYDMLSEETQNSVAKANDVAEEVLSSIRTVKSFACENYESSRFMTFLNVTLKIATRKVFVVIGLIWSNELLQMGILTIVLWYGGHLVIDNKVESGLLVSFLLYQFQLGENLRELGEVWNGLMQAVGASRKVFEFIDRPPRVENTGTYAPDAMTGKIEFRHVAFSYPIRPDLPIMEDLTFTVEPGEVVALVGPSGGGKSSCIAMLEHFYEPTSGEVLIDGVPVREYDHKYLHTKVALVGQEPVLYARSVSENIGYGLDKYDDDMVQKSAKLANAHTFIMNDTTDGYNTNVGEKGSQMSGGQKQRIAIARALVRQPVVLLLDEATSALDAESEHTVQEAISKNLKGKTVILIAHRLSTVENADKIVVINKGKVEQLGNHKTLMEQEGLYKQLVQRQMMSGEDGLDDEIEEIEPVREGGSGRSTRAGARRIRSPSQSVSQSFLGTSFASSYL >CRE28437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:624311:626291:-1 gene:WBGene00056314 transcript:CRE28437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-5 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LMF1] MSQTRTHEQTLAKEPWYHGLLPREDMKVLLTQKGDFLVRFTDPKVGESRKFVLSVYVGVADDIRHYVIREMENKFAVDLKWFATIPDLLNYHHRTKEPVATGSVESVLIRPIGREPWEKQHSDVTLLKKLGEGAFGEVQLGEIRIGNTVKKAAIKLAKLESLTKEQIKEIMHEARLMRKFKHPNVVTFYGVAAGQEPLMVIMELVSSAENGALDSYLKKNTGALSMSKKNDMVFQAALGLEYLHSLQIIHRDIASRNCLYGGGQVKISDFGMSREGSSYRLNPYKKVPIRWLAPEVPRTGFYTPKTDVFAYGIMCWEVYHDGTEPYPGMKVAEVLPRVQDGYRMPFEGGVAAAVVKYITERICAGIENERVTMSDVVRELPNVDGFEQAPGSNSYFELPNKIRKEDLQTSKQSPLVNILPHPSQPVSAPFAATNQVVNVLQAPPTFLDRPPEKQPEKMMQQKKSLSNSTEEGNKKSKTPIRTRMTSFQNYRTTMQTPNKSQSVSIAQNAKAKKKNKQSSSSSVDGPPPTGFSVLSIFQKKKTNVDKSDAKKVEE >CRE28121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:620617:622899:1 gene:WBGene00056315 transcript:CRE28121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-2 description:CRE-CEH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LMF0] MTLKFSVERLVDSEKESEDADVEEQNNLKKRPFDEDDEEEEMEKSGKNEPLTQTLSYFDVLLPHVQMACSNPFISGIGAGSSGDQNLNGSVWQHPWLELLQSTTASQFGDVTAGLFLQPLRKNKRIRTAFSAHQLVQLEKAFEGNHYVVGNERKQLASKLSLTETQVKVWFQNRRTKHKRVRLEGSDANAPMSNDEDDEEDKKSTTS >CRE28435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:610066:612300:-1 gene:WBGene00056316 transcript:CRE28435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28435 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LME8] MAEKKKEEKDSEVFVLKLNPETILFSSSSLGDETTSATLKLTNPTKEKIAFKIKCTSNEMFKIKVPVGLLKPDEITEIALFHTPGKPIPENLKQYFAVYYVKTDSDVSITFKPLFSHTSLLQKPVRELWKNAKKHDGVKRVFITFEKLPKKDKKEEKKGDKEDKKEKDDKKDDKKEKADKKDDCEKQNTEEKKDTTEEKKEEKKEDEKEKKEEKKDDEEKK >CRE28120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:605508:607795:1 gene:WBGene00056317 transcript:CRE28120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-45 description:CRE-CEH-45 protein [Source:UniProtKB/TrEMBL;Acc:E3LME7] MTSFPFFMTPESINKLVMPNSTSISSSSSSPSTSTSFTIDTLLSNPLAALPQLQAQNFQDPFGGLSIPSGATSQAMPWQFHAASYPFFSMLCSPLMPPFMPPYHHQHYVSRRKRRHRTIFSEEQLHILETTFSSTHYPDASTREELAVQCSLKEERVEVWFKNRRAKERKQKKDDSRTSKHSDESECDESDEDSRKVKRIKRESSIGKETSSPESKASLKSSSSCGDSREVKSENL >CRE28119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:597311:602752:1 gene:WBGene00056319 transcript:CRE28119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28119 MDYDPSQQYRERHNASWDRIRHENASSVRSEPADSSYLFNSFDYTFDNFQDIPQQAVDSYVSEEHQLLNLDYIPIDSSLIENDQNQSILDQNLQNQCLQPIQPLETMQYEAIEEIDTEEEDIDDDEDENSEDDDEEDDEIDRPDIYCMDCNSKIEDTTRHDVDACARATPLKFQCNMCKKDFNFEKNLKVHKAIEHSKSIDFVRGTSCPFCPKDSNQTKFSRFTAFVGHLKIHVKSDFLTCSQCLSEFENEKDRDRHIRRDHSEEVYELSFCPKCQKVIALEDSAAHCLLHISENIAKTRRKNATDVLIAIGKTSLSKLQSKSSTGSTVKSHKKKGPNRARKFQCEDCPKAFVRPSELARHSMIHIRAHAAKLPISEAAPPIPKWKCAICAKEYSHKTGLMEHNKTAHGKVKQTVCQICGLCFTKKSNLTRHMLTIHPLDQDSSDKNKFQCADCPTVFNTRASLTRHKRVCHNKASYIKPNKVVSIYERNFCKTCKREFRDEKMLEKHKRNHLLSEHRWRDLQGGGERKCDFCDKSYVLRASLVWHMQKHYEELTENEAETEQQPFCSLCDLNFINQQELRQHQDEQHSVICGVCHQKFSSRQVYEDHICNRKFARTTNTQILPPNRVLICRQCRPPQRLTTARQIREHRARHLPRKTHLCWTCHKSFRTAQLLSLHAEVHDRRPVQCSHCPQVFHSRVALKQHTRLTHGGDTNYQCVVHVDRSMAMSAQSFAEYGLAADHLNGQPHRAHSVTEWMDTVVPDEDCILQDEQDMRYQPTISNNENQFTSKINMNPTQIRVCGADNEPIRCEVCHHLYNNIEMLCDHWQGSDTDTDHSYRIVTCPICESRIRGASEAANHLRNAHLFARPRILQFIRPSTNNLVPIADSSDSLALAVIPTSSSVTVQPSRSKKGHQCMQCLKMFTRKNDLERHHKIHTGEKDYQCPECPQSFRMKSTLKNHMATHSDNPPQVQCTVCQKSFYEKKTLVVHMRIHTGEQPYKCRFCDLHFRTPAMQRTHEKKCAYGGPQHPILPDPCARTTSSFQPQFTAPQINVNRTITQISTLPQHDLQLSRFTAFNTVNSMSGMATSGDTSIFVITKPITPIQYVVIVQKMRPAEGTMRYAEHFLLFQVDTVSEIKIHQLQESTNLSIVLHNDMRLNLNTVKMLEMVPALRIDDVYKTRVAVVEDTQIMDENDLFSIIAHNKTSGMSSFIRRCEICEVDLHTKRQSDDHFYSEDHETAQLMYPSKNQQPAVSVDRNLGVPNMMAINNAETSEFNCKLCGSRFFDMNSLLNHIGREHDEPVIPPRPIAHTAPIN >CRE28118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:596474:597207:1 gene:WBGene00056320 transcript:CRE28118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aps-3 description:CRE-APS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LME5] MIKAILVINNHGKPRLLKFYQHYSEEMQQQIVRETFQLVSKRDDNVCNFLEGGTLIDGNDYRLIYRHYATLYFIFCVDSSESELGILDLIQVFVETLDRCFENVCELDLIFHVDRVHHILGEIVMGGMVLETNMNEILQRIQEQDKIQKQEAGITAAPARAVSAVKNMNISQQLKDIKLPDLPSLSNLKNAF >CRE28434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:595207:595932:-1 gene:WBGene00056321 transcript:CRE28434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-17 description:CRE-RPL-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LME4] MTKVHYSRAPENTTKSCKARGSDLRVHFKNTHEAAMALRGMPLRRAQAFLNHVKEHKEIVPFRRFHGGIGRAAQTKQWNTTQGRWPVKSADFLLDLLKNAESNAEYKGLDVDHLVIEHINVQRAAKLRRRTYRAHGRINPYMSSPCHIEVILAEKEDVVSKPTDDAPTKVKKESKRKQRRQLARGEF >CRE28433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:594333:595106:-1 gene:WBGene00056322 transcript:CRE28433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28433 MLTVKKAVTGTVSVLGRSVAPLEQMTLIKNSKIDREVKDVLRQCLITAMNFESSSKDSLDKSKTLVRKSGDSCEITSRSAAFTAASAMKLKKWNDVDDMLRLSTHCPPVITSSIRIRSLAEQSKLSEALSELEKVLMFEEEVFSTSNYSVSDEALDSLCQAIKSASQSTDEMKRFRNLQRLVTKYDRRTSQTIEDLLYSPIHVKKSEQETEPIDENFVKSKKFQDFVKQIPYMKDKATELK >CRE28117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:592033:594209:1 gene:WBGene00056323 transcript:CRE28117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28117 MKVGQLESRMPPYLLSLLLLLSTVSHVTGDQLVSTNLNPLPSLYVPVGVGFSPDNTKLNDDFGMFGNHFGGTDKDAFTTCGRKTVKSLNERFTNAHIEVDVICEPSPHRVIIKADGKSHDFGNLNRKLTEECELFTCSSDSYEAGDTILGTMDINVYFVPNISSSNLPPELRYVPGVSVKSGQPENPATNVCKDKKAGYLKGPTGAVLFANEAHCYSTNPGKKYETKDYIQVPRWLQPGESVRNIRPFFFNGERTSAYDRTPYSFRTETNMNGLQFQKCDTTCDSGKVVKMKARDSKYKISIAIDTVVCTSFRICISPEGFASDDVVPKCDPGKIIDVNVKSGIVVWPNKGRSSLIELMSPYHQGTPVVVEFGYGIEVNKKDQAEYYIGNDHREIVSSNSAGFNAADSLQLAFFFPVENCLKEKAGIFSKYVENAVALSSKPLTQGALYDQALTPIDAEQAEIVTTTTTVGPSNLPHTTTLAAPRAIPDAAHLIDAESAFETNEKSSATYLEGKWWTWGIYIGFVIGTLLTLGIGGGLFYVLRRTVFGIWYRGMYKRYGCDVSGTTGGITGVGFGNTVTGDVTVQGTTGGTTVGATGTTTSGTTGGSTATETSTLLDKTSGSKSIAM >CRE28116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:581701:591049:1 gene:WBGene00056324 transcript:CRE28116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dapk-1 description:CRE-DAPK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LME1] MSDDVNNSATSSNVHFDDTPFEDVFDIETELGSGQFAVVRRVKDRKTGERYAAKFIKKRRYATSRRGVTRQNIEREVRVLQKIRGHSNVVELHAVYETASDVIIVLELVSGGELFDHVCAKECLDEVEAAAFIKQILLAVRHLHSLHVVHLDIKPENVMLKQRGESHIKIIDFGLSREIEPGATVKDMVGTPEFVAPEVVNYEPLSPATDMWAVGVVTYILLSGGSPFLGDNRDETFSNITRVRYHFSDRYFKNTSKHAKDFISRLFVRDVDQRATVEECLQHPWIRGPEGNAIDIRKASCITISHIQSFKTRQRWKRCVELVMVLLKASKTSRRIGDGRFDPEDLVASCTLICAEEGNLRALHKLSALHKLLPNAVRRSKSNANSSSEPIGTTAMHCAAKYGHAEVFNYLHMKGGNICARDDNWDTPLHVACRFAQHTVAGYVANEKVDVDSTNKKGETALHCAVESADTRVVRLLLSLRPRLDLPNSVSRIKNILSRNCQYFQVGDTVLHLAADSINPRIVPLLVCLSPPLHLRNIVSHSSFFSSSSRSQNPTETALKEFVESNGLPEKDNRFPSDSEEEDDSEEEVKETEKSCTREFKKLSVVQSSSNTQTDPSSFDNDDNYEDDEDDDEYQSDTDNTIVVMPGSVLSSYLSDPLTNPSASYLFESPRPRAADFVYPGQDRYSRLGSVPAYSSTTAFIQLLNDISEQHPDLCECAREETPLHVAAARGHVDCVQSLLDANSPLDAVEQDGKTALIIALENGSVDIASILITNGCDINHADNHGDTALHVAAKHGLLQAVQTLCHCAVHVDAVNANQKTALHLAAHYGHVDIIRILLLARADVTLRGDDGLTAELVAVAAERLEAHSLLKMVKSQETREEYISQLYPLDSSLRRIKLKLLGHSLSGKTRLVQTLHSSRGISSFLESVSRRISDHYSPSNSMKDDGIHSANGSFVNESNNNSSFELSNSISNKYAPPHSQYTRGIDVQTVNINGCGEFSVWEFGGYEPMHTCYDHFVGNSDCIHLILYRADDATEDQYKQILYWMNFLKGRVTPFEPIGHCGFSSRRSKVIIVGTHATSTLFPQKNQDGEYISSDIEAMLNTVRLRFETHFDMDHRLILLDATNPSCIGMKTLKMELAKSRTNILAKLLKPLAILDTVVSHLNLVRKKHGNFPVITWPDFIQLVRNEINPLTGDAHCRQIVQQLQLIGELVYLRNDISDSDYVVLNPEWFGTHILGQLLSAEFLSKASPNGSYHTSSLAKIFPEIPEQSELMAILEVLQLCAPDARTGAHEFPVFIQTEAPASIWRPDPLKEKEEDTVYGGVRILPMRGMERSLHSTFPRIQVALRRSINVYQFQTAKDTQLYQWSECSKLVSQDREAVIRMVGDAVEIRARGSSKTATPMFYFMEDLITLVEQSAVEVGPGISLERHFISPKHLKEHRENPALFPPEAMMEMQQRESLSVKGTQDEEELFTDVVCFGSCDVARHLTLGIDVGVADLQMASRCELACLLDPPHAMGRDWSILAVKLQLTDQVPDVDSTGQSLSRTDQLLNEWAIHHPEQASVGNLCRILVELGRCDARDALYRTVPLYVFAPLEEQFFLETNDSGVVSSCHSSSEHNPINI >CRE28115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:571502:572275:1 gene:WBGene00056325 transcript:CRE28115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28115 MKSPFSCIFSLGNPDLFCFRDPRKFVEAVLHYDRNRSFLAVHVLLIRSEKEKGRRPEFEEYLHLEMEFRRKCSKSAMRHKSQKRKTVANQDVEKMNEIFKFPRVSETALMDGSLKISCIVSFKNGNQRCFEGSIYVKELCGLRNGTKVFKFIELANIRLYSIDEEDEIPEYDWIISV >CRE28114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:569628:571323:1 gene:WBGene00056326 transcript:CRE28114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28114 METSTWDALNNSIKELSAEEQQAIRNVLEKDLEFQQSEQNRIRSLSTCVAIKKTSLQEKRRASSVAGSESSESGLSSPGNISHGRTSPLPKWNNRVCSICQCTLGYILNSGTKCKKCSTPLCDKCAHSVSSGTSQNPQKYSLCTVCYSERELSAAKNEWVQGSDEAEKTSEKLLNAMKISEKRNSVQIPATPPAINKGKAPYKRNLTLPAIQTNYLSVPDEAPGVVQSAGGNSNFSPKFWGGSPSPRSPRSFSSANSSNMSINSPAPNDSLRALHPREPIGSPAPRPMSSTPLTGVPEDSTIQIPTVERRRSAFEGTRRSGKLRRQSEATVPTFQLSMC >CRE28113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:563546:566764:1 gene:WBGene00056327 transcript:CRE28113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-haf-6 description:CRE-HAF-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LMD8] MAILSKISRISFKSLAQNCKNSYTTLRWMQKPISRSTILKTWRICGFGTGLAAITFRKASCAPKISSKRIDHLRKEDQNASMTMWELWELIRPFFGWFFAAVVCAILSAYINIQIPLCLGDLVNGIVGIIKDETKNLRSHFEQLKPSAMHLMTMYVAQSALTFLYITFLTILGERMATKMRSDLFAKLLHHDMAFFDSHKTGELSARLNVDVQEFKSSFKLCVSQGLRTFAQTIGCIGSLYFLSPTMTMYTVAVVPGIILAGSAIGAGLRQLSRRAQAQSATASAVSDEALTNMRTIRAFAMEKLESRLFDSELDKARSMQEQLGIGIGIFQAGTNLFLNGMILSVLYGGSNLISKGEMTPGALMSFLVSAQTIQRSLSQLSIIFGTAIKGWTAGGRVLEFSRLEPSIPIDTGVCIPYHTLWGDIKFEDVSFSYPTRPGHNVFEHLTLTIPAGQVVALCGPSGEGKSTITHLLERFYEPKSGRVTLDGRDMKELNVEWLRGQVIGLISQEPVLFATSVEENIRYGRPDATDEEVRDAARAAHVDEFVSRFPNGYSTVVGERGAQLSGGQKQRIAIARAILKNPPILILDEATSALDSQSEQLVQEALNNVMKGRTVLVIAHRLSTIRSAQLIYVIKDKKALESGTHEQLMAKKGSLYRKLVEAHNVDS >CRE28432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:559165:563112:-1 gene:WBGene00056328 transcript:CRE28432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smg-2 description:CRE-SMG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LMD7] MDDSDDDYGKSGGETLTFVDTDEMGISATTQDSQFDFDNQFSVPTQSSQATDLLPSTDNTSDLPFLDVEESDSEKSLTEEQQNLPEHACRYCGISDPLCVAKCTVCNKWFCNSNDGTPGGHIVHHMVRSQHKEAYTHKDSPCGDTQLECYRCGSKNVFNLGFIPGKKDQVVVIICRTPCANVAFQNDDNWSPEDWKSVIAEKQLLSWIVNVPSEDQVARARKITATQAVRLEELWRDHPEATIDDLNKPGLDREPDHVQLKYMDAHQYSKIFRPLVAIEAEYDRRMKESASQAVGTVRWEQGLRQSVLAFFHLPQFADGVMKLAKGDELRLKHSQTVDGSEWTKTGSVMKIPDNHSEEVGIEIRGVVERSVMESRIMFTVDVVWNATTFDRQYRALHALLNDPKAVSPYLYHKLLGKPVDEMMLKFELPRRLSAPGLPDLNSSQMQAVKQVLTRPLSLIQGPPGTGKTVVSATIVYHLVQKTDGNVLVCSPSNIAVDHLAEKIHKTGLKVVRLCARSREHTETTVPYLTLQHQMKVMGGPELQKLIQLKEEIGELESKDDSRFLQLKRVKEHELLAAADVICCTCSSAADARLTKIRTRTVLIDESTQATEPEILVSIVRGVRQLVLVGDHCQLGPVVICKKAAMAGLSQSLFERLVLLGIRPFRLQVQYRMHPVLSEFPSNAFYDGSLQNGVTESELELFFVYLRPIFADDRQMKGVDWHWPTPNKPAFFWHCSGAEELSSSGTSFLNRTEAANVEKLVSKLIKGGVQPNQIGVITPYEGQRSFIVNYMHTQGTLNSKLYESVEIASVDAFQGREKDYIIVTCVRSNDILGIGFLNDPRRLNVAITRAKYGIVVVGNAKVLARHELWYELINHYKKKDMLYEGPINALKVLNMTLPKPTLKTQNKIAGNRFGIKRMQYTYNEYKASDPSQPRLPPTYANSQNLLSMSKLARNFNQNVPVPAHMMDPLIYAGRGQKDRRREQRRQQSEAMDFSQDMSQSQSNFGPETSQSQSQYHDGASLSGWSQSQSTAPFSKNRRPQYHGNTQQMSQDMDDMEQKMNELLLSQDC >CRE28431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:557630:558961:-1 gene:WBGene00056329 transcript:CRE28431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28431 MVHSSRRKFNGKKKPHEPRENTWRDDRDAPGNQGYREVLKENEKYWTYYKEQNVFPIEQFDDFRAALQRDLPVSFRFQGCHKDREQLIHEMESRFFGKIMEAGDTAVCVPKSLPWYKEAYQTPMSRTAVRSHPILAQLHNFLVTEAELGNLSRQEAVSMIPPLLLAPTSEHYVLDLCAAPGSKTTQLLEMIHENDENPKGMVIANDVDMKRCYMLIHHTLKRFRTAACAVTCEDAARFPQISDSDGGLIQFDRVLADVICSGDGTLRKNPEIWKKWTPQDGLGLHRMQIAIARKGAQQLKVGGRMVYSTCSMNPIEDEAVVAQLLRDAKGSLKLVDTSKLLPELRRESGVNQWKVFDRDMKLYKSLDDISEEKMKKVIVQSLFPPTEEEAKEMNLHYA >CRE28112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:556204:557547:1 gene:WBGene00056330 transcript:CRE28112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28112 MANENDKKDEEKDPMDNVRFKEGKHFGQWTIEKTLDEGGFGQVYLVKTKDGKRAALKAESNDVEGGSAIKLEQMILKKLNKNGPVPHVPELFASAKRKKYCYMIMTLLGENLKSLKAKQKKERFTRGTWSRVGIQCLYALKYMHDCGFVHRDIKPQNFMLGNEEDKERARIVHVLDFGLARQFAKYSEDTKKWSARRARGTAEFRGTLRYTSPNVCMRMEQGRVDDVWSLLFVLIELNGGLPWQTVQKREEVEAMKITMPDRDVMLNMPTCMGGVIPHLRTLHYYTRPDYHLIFKALWQVMLNEGQTPRSRFDWETKNPDPSIAPSDWENPDGRYFKLDEIGINGPPPTGKDAGESTHADADALKSDKGKSLNTKRKSEMKKI >CRE28427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:538354:545250:-1 gene:WBGene00056331 transcript:CRE28427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-herc-1 MTKCGDIDVTDNLLDELEAHGSSDVDGRLGWTIVEKRPNPTAVSDLIGSHVTRIAAGACHTIAIIKGSPYPFGLNSSGQLGNGKIMTQSTPRKTDDLDHVTGVFAGYHQTFFVRSSGTIELNEIVGPSYPVKYPSKVDRDSFEKILHSGEKLDLMALVENVFSSLSCINNSFLFKDERRYNVSSDRSHGVDLDQVMETFMLFDESPAKKQFLDLVVDSLSIAYSSWNPKLLSVEGLRLFLILPWLPVFTENVTTDTLIRVHAPFVEAMCSLNEQLGSTLESWWSQLSTRHFRRMVTVFKTAVRTLLHAEKGPASCQHYLHILQKLFLINQSYHTIPLETFYIEEISSIINLKLEYYNMVTKQHSFKTDKDYWTYYPFLLNGLAKGELLFVEAGLIQAIHAQNAMLTSGGFEDNPANILHCEISVRRDFIVADTMLKLSSLSDADLRKAFKVKIIGEEADDAGGVRKEFFLIVMRKILQPEYGMFSEDEESHLVWFSGLSAEFCEREQFRQLGKLVGLAVYNSVIVPFPFPLALYKVLVFSIVCLFLLDTPPTLDDLSELSPSEGKGLQALIDYEGDDVEDVFGLNFCITFDVFGEKKQVEMVPGGEDKAVTNANRDEYVRVRISEQTKTILYISLQLFVRHRLELGLNNEIAEQALMFRKGFTDALHSRVLRFFQPRELMELIVGNENYDWNEFRDLVDYKGEYTAAHPAIQAFWKAFFALDEEERKKFLQFLSGSTRLPLAGMKELKAVIQPSSPESLPVAHTCFNLLDLPNISDDVEMLRRLRISIEHTEGFTLV >CRE28111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:551737:553471:1 gene:WBGene00056332 transcript:CRE28111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28111 MLFFSKEKKSKTKGTKKPHKKDKNATIEGNSTEESRETKKRNIRFQIRRHNGSKEAPSTAMSQKNTKEKGKGKGSKQKKNTQDTTIEETQTQNQNPLFKFGETVKNAITFKPATPTEPNPPAPAAHKPKTPTICEEDPVAPKPPPRTVVPPGMQAIHPNNNAAPAKLNQGAPVVISPVPGAEMAANSTVTPNSQLSQTDKWSGEETAKNWLDKADFAKTKSEFESLQCITVDVDTECKKWKANPKLNQSTTDYPALDDHLVTLENVYVHMTQVDVQLPRNVLIGQFPTKGNEEGFWKVVFNKGVTFMEIITDQNLIDFFPLGSGEHVYYGTMFVNNRRVEVIGEDVNRFAIEVLPEGCSNSIICNITVIKNWAVENVHAKQAVVTKEVIEFTNFLSIVSPVEKTFRFHSSIFQSKDDAALVLSQYGTGRAGYFLALSAAVFKMDKMIEPSIFDIVKFLRVQRPKAVESFKQYVSLYTTLFYYIKRKVGKGDGEKKVSTECNEQIWNKTVHLSNLFTAAMIAENSASSGRSTMTVIK >CRE28110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:550996:551364:1 gene:WBGene00056333 transcript:CRE28110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28110 MRFFVLFTSMIAASSAFLFPSAGGGGGGCGCGAPPPPPACAPPPAPACGGGAPPPPAYAAPAPSFAAPAGGAYPVGGR >CRE28109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:548830:549112:1 gene:WBGene00056334 transcript:CRE28109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28109 MRFFVLFTSMIAASSAFLFPSAGGGGGGCGCGAPPPPPACAPPPAPACGGGAPPPPAYAAPAPSYAAPAGGAYPVGGR >CRE28108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:545916:547402:1 gene:WBGene00056335 transcript:CRE28108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28108 MMDKLLVCGVVSAALRGEYLLARTIVRQKQPEWSDNKTRLFAVRVVSFTHALVSALGCIFSLLTDINYIREPYDYHKANAEYVFLFSMGYFIYDLLDMYIHGELESSKEYLIHHSLVITAFSIILLSGRLFGLAMIALLVEVQTVFLHLRTMVRLIYGSKHMPGFVDVLINANMICLFLFRHLPVCFLLFYLLVKDVKVPILLKMFLVFGLSFLEYHNTHLTMAVAKSDGFFGHERQALDEDSCDPLGSVKKEEEKTEKSEKSSVRTAKKID >CRE28426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:536781:538120:-1 gene:WBGene00056337 transcript:CRE28426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28426 MYQFHFFICSKRCSHPLFIYCKFRIISSEINCFSGIVEAMTSKFLVVLKPEIVAHRVLTQVALSELRKNGIEIEEMRQMRIDGTLAKSLYKQHEGKFFYDRLVRHISSGDVIAMRVNGNARKCIGSSRLWPRMEPPVQPIRQKFALSDVRNVAHASDEDVAQNELELFRLL >CRE28107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:531765:536019:1 gene:WBGene00056338 transcript:CRE28107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grh-1 description:CRE-GRH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LMC5] MSQFQLEQTSVIKTAPPGGRQLEFPKNEPLDITSSNIQDLTKMQTLDGYYQAAIPVQSYHRMYETSYATPQLISSQATPNFYYTNTILTNNIQQQPDWGRQMDTSAYSNVAYQRSSTSQQYQQISENERIVFKGGDMPSPVDSGIGQDISLLANTKEEFFTNADGSNMLERSSERTLSHRDSPLIIPKIHNNLGFQYVLEAPISTSVRRDDDRMTYVNKGQFYTVSLDYIPDISKCLKSQTVKSQLMVVFREDKTYEEEIKTWQSWHARQHVSKQRILEVDSKNSSGMIGQIEEIGNNAVQFYWNPSDQNGGVKISIAVQCLSTDFSTQKGVKGLPLHVQIDTYDGENDKTPFHRGYCQIKVFCDKGAERKLRDEDKRAAKRKNQGTGANRKMLHENRRDEKRRAQEFASAGVLSGGRKKSDGEYHEQCERSEFYHMRELDKPAALFIAPEEFDNRYVDSTSLSFDMSEIEPIAKRPRPSERIMLYVRKRDEQIYQPLHVVPSSLAGLALAIANKFGADPEKMSGVYKRCAKGITVKVDDEMLRLYCNEDTFIIDVEHAADGSTAATLIEVAAPNQNAYSNS >CRE28106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:521497:526591:1 gene:WBGene00056340 transcript:CRE28106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28106 MGPKLATVSLVLLTLFTNSLQQSPYSSSTPECPAYYSGSIAGNSCSQEYSICVDGIRQAAKCSEGFVFYETGCIPTEDSPECQLADDTEEEPYEHFDCSSRNDGLYSLGCINQFVNCVAGQAYQMYCPDDLVFNGKTQDCQESCDDVEEDVITTTSAAYKSDDDSEGYDEGSGENEGYYEPQPTPEQPDTVDFDCNGLENKNYANGCSDIFYTCTNNVAFKRYCPQGTVFNPNQQVCDYDCTTTVITTTQQYVSTTNADVPSTTASTTSEYKTTQEDPSVYTSTQNDQNDFTTRTTASYETTPGFDNTVPIASTTTQTAIICQEGQVTTFGMCSSRFDRCQNNSVRSKQCPVNTLFESSLVLCVFDLPQCQPITVPSTPTYNFYGGAPSDTIVSPFDENVRLKPKFNRRPSYNYGPVGRPVYGNQQMENPFFIPRHRPYGGDRQRSYRRRHSGPVVDSPFSTWMRGRAALNDQFKDYRRARSGKIQYGEARKVEGSKRFILDDEFDGPKAKFVESSIQQIFPEDRHSKKTLGPEEDPDGYKDDKTFDAKDLFGATRRKRSAYYGSQQSGYGQHTAQISSRQAQVNKDCQQYNTPTFLTFGDCFDQFIYCSGNGINRMAACPIGETFNKALRACSETCGVSTPVVTVTVGTTQTSDDSVSSSSSYDGISYATTVPSSYEAYTTDTPSRDLEYTTAPWDEQSDSTSQSWIDQQSTTAAPIGSRCSSVPSGLFSIGCSNKYIQCSNGAAIVRSCGGNLFFDQEKQGCDYKDQVPECDRQEITTYSPIVTQPADDETTYSHGEPPKDIQTTTISSVGDQCAYVSSGLYSIGCSQKYIMCSNGAAITRSCDGNLYFNKAKRACSSKEQVLECSIATVSSDDQPTDLYGSPSDDTPATTLNPVGDRCAYVPSGLFAIGCSQRYIQCSNGVATVRSCSASLYFDQGSESCTFRNQVPECQSNDLSTTDSSRDETTTSGYGYTDEYSYQQSSTAVSEEQSSTTAGYDSTNNSKDQPRTYAPSVVPYDPSAPEKEMDEPEDACAGLSDGSHGQGCSSSFIICSYGRLVNSVTCPLGQGYDPSVQYCRTFSQIPPQACDQEKTTTDAGLAQPLPYATLEGVLSTTQPTTTTIGNDEADVSYTTDNSEGYTTTASYEEESSDVTTYGESTTPTEDTTTEFASDSYTRADDSEEEEDGYEQKCTLGSRASTGFCVQAYLECTATGFAEKSCRVGKLFDTHANRCVPRIACGKEAIRDAIKDVIATTNAPTKKFDGRCAHIEGDGVFALGTCSSNYVLCSYGSAKLQHCAGNQVFSNAKSECVSRDSTSECNVSLNPPVKSYYNNHDQSAYCDGKADGLYGNKRDCSAILQCFGGELFEHPSCPSNLAFNELTGKCDYPQKVSGCENHGRTDGVCTEHGSFIADVNNCSVFYRCVWGRKVVMRCPSGTVFNPALSVCDWPSAVPSCGGSSTDNHSSYGASSYNDDNSGY >CRE28425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:519262:520756:-1 gene:WBGene00056341 transcript:CRE28425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28425 MDAVIDEDVGDQLFLVNPATPTKIKHGAFQKLARESSNLWNSSTAAGDGDVFDKIVFQDDDLYDSSQDSFRYGRVTTGPSYNLVTGKMPENIFSKVKSKDDENDVKSEADDSEDFSEIKQLEIHTFIELYESQMPKYFEYRTSFPEIRGIWTLLFDQNHYQDMSTFELQAAICAALNSRHYMMICVGVDSYNTITGVEMSAINRVTFRMALTRAVAGEFQPPLIKVLRKIAPKQLTGVTPMKRDVSELTPSVDVLFIPVTGEGKFGGDEIISNRFLIVVRVKELSKRFYQLSSGRIYKEEDGRVVEMSNLNDAFHTMINEQSISDIRQRRGSMFMLEPEPFIIDSSIVQEDVQVRKEKEASEKMEKFTEKETLVESIVSRLDFEHIGWLLFGSALSFCLYKNAVKLLVK >CRE28424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:517700:518735:-1 gene:WBGene00056342 transcript:CRE28424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28424 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LMC2] MVDKGLLNQRYYHGLLPREDIKEMLHKPGEYLIRTSEPNKGEKRHFILSAVGNGQTEPNHFVIRESEDHKVFVDQRGFESIIDLVTHYVSTKEPLYAQKREIKVVIKHAVNRQKWELMHEDVVLTKKLGEGAFGEVWKGKLLKVLDSSGQPVPIAVKTAKLESMNKEQIKEIMREARLMRNLDHINVVKFYGVAAGAEPLYVIMELADGGALDSALSKQKFPMVKKYELILQAACGLAYIHDMNLLHRDVAARNCLYGGGQVLGIYVGWNRLKNRISG >CRE28105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:510414:513057:1 gene:WBGene00056343 transcript:CRE28105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pud-4 MVTPSMEPPQLTRRWAKVTLENKTGDVFKMQVLHEYTGEQTNDSDWHVFAPDEKKTMFEKVYYNTGIFTTGVDNWKVHGTKMVLYEGSDGKGIKVIGKVWIDWIPYRSWHGLFAQWKKHTLRAEDDGQETIIRVYPSEVQFISPSGRCAPVSPRFGAWGIFRGGMRVYDADFEHHTKHACFGQESNQEPFDSHRQHYTELSKNINFSYSTTTPHRTTTLHFFPLPMFYVTSSYIYCFCN >CRE28422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:509299:509823:-1 gene:WBGene00056344 transcript:CRE28422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pud-3 MSTFQMTAPSAQAPQLTRRWSKVVVENRTGSHFKLQVLHEYTGEQTNDSGYITFKPDDKITVFEKVYYNTGIFTTGVDNWKVHGTRLIEVSPENKFAEAIAGKFFIDGLPYATGHGLFAQWKKHTLRAEDDGQETVIKVFQSEVQFISPSGRSTTTFNTLGENIIPLAANQQKQ >CRE28104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:508255:508764:1 gene:WBGene00056345 transcript:CRE28104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pudl-2 MTAPSAQAPQLTRRWSKVVVENRTGSYFKLQVLHEYTGEQTNDSGYIDFKPGDSKTIFEKVYFNTGLFTTGVDNWKVHGIRLIEVTPDSKWTKMIVGKYFIDGLPYKSGHGLLAQWKKHTLRAADDGQETVIKVFPTEVQFISPSGRSTTVFGTVGENIIPFSANPQQK >CRE28420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:504332:506153:-1 gene:WBGene00056346 transcript:CRE28420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28420 MDVDFQTIHCKEFDQKCLLVKLSDGSSKTFSFNVKTMAFEPMCCSDCAPTQMDSDLTPRHTEWCPHTRNTVVFAYNHVLKMELKYRFDCESGSFHEIECKECHLNPAKRTDPKQIVLVATSPKSKRAIVLTMSKKERVQKMQYDEKEKKYVDMEPTPIKSLTLRSVVVQEKETEDVTQFLEDLDLNGKKDEEEAQVMESDLIAMYKEKSRVLPNEIILVAKNRHTGEFGTYVFDRYIHQFLHVNLPDIKIDSERRQIPLQQCIVLTMSSKTQEGINLQHKPGQEGVQKYMYNRQLGIFEAMKETFVIQKATDIDKWIKKVFEECPCYTRKVHGIVDFLRNVKPYMIDQTGVQQKKPEVQLTGLPYSFNLTNPYEPSETATKEEAIPSGITSTSGVSVETDFSEDTDNSEDSSASSSSDTETDDSDSFEIISSEELTQSKE >CRE28419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:496167:502096:-1 gene:WBGene00056347 transcript:CRE28419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28419 MAPPTPFNSKYDQDIKKHIIEVRDRTTGQYKKYIYNDAFKQFEAAPEPAAVFERDLEPLYQSECSRHSNIIFCRNKKAGGKIFKYKAGDERGPWQKVQCLCCHFEHKPENPDWVELIKKSMNLDKPVDDDKYSYLWFQPVFSKFCQNTGKITVFASIYPHQDRLLAWNFDLEKLNFVPAECQQECCQMITGFDRRISPRAFDFGSQGKYFARSHHDQTGRPLICLAISWYNSEHGQMEIFLDSDGDIGRYEWNDKCQCFDLVETLDVRTLNHNDCEEVKIMKPEIWYDDGLRLLYPKECPTLRDTIFFSWHVKDGKTRKYVFNPICLQFNVVKCQCCVFDASLIIDKEENTHVAIYTCNTTSTKLMIRSNKDGCINKELFTYAGEQRTLQKSPIRIDSHLMPHEFGIRRVIENNVPHMIQILISKKDEAWVIRRFRLGSDGKTATGTVVLMDGSVKPFYYDQKLMEYAIFEPDCADLAALKKTNPNASPHVNCDRYALDWDLTPRSLAYCTHFNNHFITAYNHFTNSTHTYVYNGSTGHLHENSGCETCQKERMYSIGPNQVIFGAASPQSKRAIILSTNSEGRLMKIGENSHSKVYCGMVPSRVLTEKDVQFLGEQNASKTEELIRSGRIPEKKLHEETVARLETRVMEAEKKIKDTETQNNMLSEEKTLLEKQIMELEQQIKQLNEGKKEMEKEEVEEKEELPVEEEKVTIVQKHQPLSTDHDLSPMYRNFCKHTGHFLITAFNRITETTSHYVFNPDTLLFHHQDYCSLCPSYENGVKVNSFVIIMPIQSMRCKFPYLIMTDETRRLVKIGFDSISMSFVLISPAVIQNQVESITEITNSICYSHALEIPNTPGPHDTDLCPKFRIFCTHISEFLIFAIDCFSGTEGFYLFNSATGHMEEHDDCPSCRTRSPVYIIEKMRSIMAISSPYSRWPIMLTTDKDGMFVPIGYDTSSQKFEKMHPLAIKLLKNKEEKTEEKSEVNFVTDTVQMNPVENEDDDDECSDEEEEYSDEEEEYSDEEEEYSDEEESGEDEEDEEEEVDEDLLVGAQIINEIRHMQIAPNESEHDDEDCSDEEYYCSDEECSDCYEECSDEECSDEECSDEECSDEECSDEECSDEECSDEECSDEECSDEECSDEEDECCSDEECCCDEEDECAEEAEDEDSEALKKEATNADKAEDNGNFVLVTEEDIERDVPEFISNLYRFGIVDQKIQRIIDNSADMLEKYKKLKSFIDQMYDLSSKKTVEATEGDDVPNSSQNPTEQHEIRENNDVVEVAADDNVSADMTHQEETATTSNDIKCVELIENDREDAESTATALENDQEDVESTTTALDHSDVEEDVEVIDEDQMSTATATDGEQDDVESTVTALDISDISDSAEFVNEHDIDDASSTATAIEGDQDDVESTATALDVSDISDSAEFINGHDIDDASSTATAIEGEQDDVESVTTALDHSDLEDDIEIIGEAPEEDPQETSSLELDITQDNEDTQSTTTALEISDSESDVSLVDAEPDVSDIEDREDTESVHTALEPDVATEDDIEMDNVSMLSSTSTAREPSIANDDESSIGSDISYLDTDFSVNGDEPESEMVKSNYEDCNDQLGEAEPEGEERPVAQDANTCIIS >CRE28418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:491280:494701:-1 gene:WBGene00056348 transcript:CRE28418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28418 MPVQPEYATQYDKNLDRNVVLVRTEMTDKYKKFVFDRKFDGFVEAPEVVLIHDLVPQYQTECERHSKIFFCKNLVKNQEIHKYAYSFSEKQFQRVQCSCCEYDKSKIGTYFRHNENQESKGNFRLLSHMMNATLPSNQVIFPKELVDEENMNFFWIRPFHSDICLETNEIVIFCLIYPENKVLQAYSFDPKTQKFTKSCCQCKKCNTIDHTFNSFDHGSQGIGYRRSHRDRNGLPLVCLALTWKNSYEGLMNIFCDEQGYIGRYEYNYDQKCFDLLELLDIRVHSHIQETEVKKRPDLLFDDCLRVLYHSHCDQLNRTFFFGYHQMDTTMQKWTFNEYSHQFEIFKCSDCKFEHSKVGKPTAESVFFPTPSLLTGKMIGLRLMKDRSVEKTVQVNDEYSVKLEKAPIRNLLHFDTEKLVEHYASRKVVSQELPIQIIESDETEKWITTRHSSAVTVFLTGMDGKVKLHRIENNACDVKEWKYLAVNEQGNVRKEDDKRIGRSISDSFVIPTETDLSEPVVNTSTIVEGYTYCPHFKQILLYAFYDTHPIQLLLTSYLIQVKCNSCESKRSGPHVLKVSNNMLFPCEEGFAHRVVYAKNRTMIQKIEYDSVTKTWDKVHEYSIRLLKGIEEEWARKDIELNNDSFKRDCCCNRPSITSVFNHFHAEQKRGQEIQKRKQMETEIDKELKLAVKEKEEDVVSGVCTPQLQITDSNSVQKINKCEVSEEGKVITVSESESESDSESDSESDSESDSESDSESDSESDSESDSESDSSDSESVDLEDEQTPKLDSLAPCLVKKEVTNFKKPIVTTADLTPLHSEFCIKLHKVFFFAFNNVTRGYGKYAPTSSKTLEEVESPIEYKPKKESEFNQKRTVVFEGGAEYLVDSNGRLLRVQYDKVKKILMRVEPEVVKMLYEMDDVETGTFKTLYFLRFSLKNFEFSEQYQETEDFAGPTFVPEESERIHKFYSNANVLSTSIFMKSSESVFGEDDDLDSEHSSTNSYYSDVGGVGNNVITRSHSSVYEGAFERSSENMNSVDDEKDEEKYTTISLDSSGEEEEDEDKEMDQSVEENDYSRVGVIDETEEETVDAANVPPTCTIC >CRE28417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:485140:487862:-1 gene:WBGene00056349 transcript:CRE28417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28417 MNPLDPNKHAFPSGTGFKQPIIPVVNTTTGEEFLCQRNLKSLKFEVLKPIPTIRTVNLQPKFSRVCKISLEVMVFATNSKTKKLHRYVFENNDFKRADECSCDTCCYVDPFDIMISPSGRAFGDEKRFVDCYGEALINIGITWTKCRQTGSMDLFVHANGSIGIYKKTKLGMQLFEMNMDVKMLPATNPTGKEVMDSSFKLLDKQMCPHLSVVTFMVSRIEGSTEQLVFNKTTDQFEMIRCEKCMQDRQLKKGKTVDDGLCMEVWATNNCEKYTVIYRGEQGKLTWKVFTVRTQSWEYCSKSVMDCRAMSMRDLLLRYHYERNNRAPSMNSMRQVDNVNRQLAFIEDTTMGRIFWMMDSLNAVKPFELMYYPNSAEQTLIEIDPLTLHRKRQELYHALNPDYKNSTDYSSVVRRFTELNCDHCAQLASNALRSLNPGYSDAGAPHGLPTQGGAYQPYWNGTYAHQYMTVPVSTQYSLSYYMDPIAQSVPRQYSNNNTQAPVYQSMSYMNGNATTAYPYYCSYPRGTNPSLGSVAHSYLANPMDPSCIRYPYQYTNRLGQHGIPTPNVPYHRYPKPRINPPVKRTEQPVAMASDKEQTPRIPTPEGIRGTGYTQPVEALSSLEELQRQLAALVPPTNYQHYPFRTEDPREKLENELKNLEEMFRDNVTLCASSHGESSHAAVYAPRTKKTVRMDMDRSPMEKNQETEQPNLLVEQKKVEKKEDVPEEDSSDDDLEDEDYSSEDDDSDDMNDEEQLKTLLDSLQNRVNLDLD >CRE28415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:481471:482743:-1 gene:WBGene00056350 transcript:CRE28415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frk-1 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LMB1] MGTVEKSSNNDASVTDDIRGAEYYHGMVPRQDAEGFLKREGDFLVRKTEQTPGKVVLALSVRVTDELCRHFMLNMDPATNKFYFEFTHQESTIPDLINWHMSSKTPISAASGAKIRRPMERSPWLINHDSIVANKKLGEGAFGDVFIAELDQGGKQEVAVKTMRAEATREARLRFMKEARLMRKYQHKHVVKLIGVAIHEHPLMIVMEYCPNGSLLSHLKKNKVSAADKLRFTTEAADGIAYLERSKCIHRDIAARNCLLSQKNELKISDFGMSDNKDEIKDEALEKVPVKWLAPETLQEKVFTHKTDIWTYGVLVWEIYADGAEPYPGLTKIQTRAKLVVSDYRMKMPEGTPPLVSEIVTGTCWQKNPEKRSTMDAIHKKLRDFFEAKK >CRE28103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:479634:481126:1 gene:WBGene00056351 transcript:CRE28103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28103 MNPPQTARFPIFNIPEGAFRTLNSHWCPSKKVHSIALPNINGFRVYYHLENGTGKMIQLDCVECQPIIYEQHLLPFQSIFCKELNNQLIFVKNYVTLQIEQYVFCPATGGFIQVDLPNYTPDMTKISASNVIFNLNHPRFGKFSIQKDVNGVIRKLVHNENARMYVPIQPLCVRTLSIQKMEKVVCGEIKPDVIDESYRYYISKCSHFQTICFGVAYNGNSYKFTHDEKNGSFTPFQCKRCINETTETGLSALYSVYHEHSKQYVIFARNGMNYQVEQFIYNDITTGFEQRDYKDLIYNNNFDHLKPHEFLCININETTKDVTVIKKKPFGLEKVRCDGEKNTFAVLPEFPVKLLRPFMIIDRPTEMITYPNSIQNTTKDILQEVVPDTLEDFQTLSINQKKKKKSKLYEVAYIRTSF >CRE28102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:478528:479000:1 gene:WBGene00056352 transcript:CRE28102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28102 MQIRFDVPRQRIQFTIQLVYEMKLFLFCTLLFTVIPSVTSVNFLENLCLKCNTTVEMVLPANIDTFYTLSDLAIKNLCSKFSDNYEDTCYSVINVPLRIQYNILWTLISPFRELFCIPLCIFN >CRE28101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:477080:478351:1 gene:WBGene00056353 transcript:CRE28101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28101 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LMA8] MQKKPQALITEAMPMPDDVEQPRAQAGAVLGMLKGKKAPEAGKKKGAGTEGSRRIKKDKKKEEGDATNKTNMTPAPPQAHPVVQEWVLRALDTGVDKLREEFRFLAKYTRNDMTQKDFNANQSPDFNITKNRYQDVPCQDQCIVKLDPPSPSTYIHANFVACPNSEKRFICCQGPMEHTVEEFWWMIVQNKVEEIVMLCKTIETGKLKCAQYWPASLNEKKEFKTGLVVENLGSKPMQRDNEIQVTSLTVSYDGKTVPVRHLHWTDWPDRGVPPCKLTGLELLSSVRGSKFPIVVHCSAGIGRTGTIVAIEYILEKIQENKQCPPMPDLVKGIRDQRAYSIQNDLQYLYIHRVMLNYFLEKYKDKYASLLTPENVAKYEKFVKDYNAVVGQ >CRE28414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:474380:476375:-1 gene:WBGene00056354 transcript:CRE28414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28414 description:Meiotic spindle formation protein mei-1 [Source:UniProtKB/TrEMBL;Acc:E3LMA7] MSSVNKIESECYPSIGNLPLPSNLFSLCAVFHSVHYVFSYHLSSSVSSLRILLLFLLFNIIIFAEMVGDSPTVTGYLERAQVAKTMAEDGRWAEAANVLKQLMADVKTCKIPASNREDTESRTAFLKALEVNLKLVQQNAREEEDLHDAMTRQSGSPEPPADPDVWSKPSPPLPTSKFATKKTGTVSRHPREVSKSTSSMSSSHVQNSVDSKPACPSQGILPPNSAGESFDASSYDSYIVQAVRGTMATQTENTMVLDDIIGMHDVKQVLHEAVTLPLLVPEFFRGLRSPWKAMVLAGPPGTGKTLIARAIASESSSTFFTVSSTDLSSKWRGDSEKIVRLLFELARFYAPSIIFIDEIDTLGGQRGNSGEHEASRRVKSEFLVQMDGAQHKFDERRVFVLAATNIPWELDEALRRRFEKRIFIPLPDLDARKKLIETSMEATLKSNEINYDELAARTEGFSGADMVSLCRTAAINVLRRYDTKSLRGEELSAAMESLKTEPVRNCDFEAALRAVSSSVDPDTMVKCKEWCDSFGAM >CRE28413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:472209:473749:-1 gene:WBGene00056355 transcript:CRE28413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28413 MSPYSSQSTFIPRFCSSLGKDIVFVRTKSGTYSKFTFDDSKNCFLSVICNDCHVVPTEYDLLPLYAAWSNRLERYVIFVKNLLNGQVEQYVYEMDYEGFQQVFQPELVFDSNRSPSSNEFFTVGGTEKDGVTIIKKDSKGSFRKEQLNWGTKQFEVIPPVPVRLLQTKKLEKEETMPVTIQIPIEKVREILVSAEKEKQDSLMLSRKRKTESHGAPNADLKKGIKGVKPEDLETPKVPSSAVVRESDIHVVGNKARLSKKDIVEIRRLAALMGNPTEPDSSDDADYSDSDDDVIKEDEQGFEIAESSC >CRE28412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:470530:471803:-1 gene:WBGene00056356 transcript:CRE28412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28412 MLRLIIFSSLLFLINIPCPDLSMLDWFLLVVVLSLMGFFFYLERNLGLMISLQEYRRQSYLKHTVSLSTREMRRRSKWANKRYYGFHCDSKKQSNHFNYRLQFFCNRSREQIDTPLSANIISLTNHGFEFDETRRGWRHIIVSDSNIHDFSDAYVSIEKVTERVNGAYFNWNGVAYPAGCALCLMDLGEGNELTDNDRDVFLEFARDEQFSNLLKKMERRLKPLQLQESDLMILYATRSQILDDFVIFAENLKNGSIETFIYNKERYGLQRVRTDLEYDESKNLEDGKRVLLFMTYDETRGFVQALTVYRTENGSIEKKILNVEEKRFEIIPEEPILCDEEIEIFQEETENGFEVITN >CRE28100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:468702:470373:1 gene:WBGene00056357 transcript:CRE28100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28100 MDEKEKEKAKTSKIKSKCRKSKKKKSSTTSKSNSAVSPHRDIEKEEKKEEKKEDVVKKVDQRKDSEKDEEKRETKKSVRKRTEWKEEKEPESESDHDADLSLKRSHVVVPTRKGKPQKVSIKHNDVDEKVMERLLKKLKENKAEPPRPQVALDEKSKIFMDRVEKKSYPVKYATKNKSTLLVDDDSEFFKPTVPQKVSSATVIPTEDSYEHVPKLADVMKMPGENVYQTTMMGEVPFWAAYLEPDENDMVRTNGYIDLRQLCFQQDVEPPISVGTDHVHAYQKKELQLKTNKSTKLVLDEFQPFCLLTARDEKFFHPHLVFSNTVRSLINVAARIGKECSVIGKSQMKSDDDEDGEKEESTQPDDLNLTISLKQPKSFTYDRSRPILSAREEKENQLARARTPKKIESKSSTIKIEVEQKQRLRSS >CRE28411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:467535:468532:-1 gene:WBGene00056358 transcript:CRE28411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28411 MTTRESTRSTDEGPLPERAFYGLAVSLPIDNLYVKNKIQDHPNHGIFSSILKRKRKFAMTDEAKKMCQQVEAYKSCADRLHLSLMFMLVENPVTSKQLHERVAIDLSCSTAGSYLRFYEAINRKGRKLGTKSNSKEIESVEPAAKTLLAMNVEQEKRCRKQLENLKALSKFIGEDYWEYARLRKVYWEAMEQYDEAVTNQNKERSEQAEQATASAQTKRNDCRMKMMDFIVKSINDQRGKHAEAVLKFRDEAILYHKAMSDLIPDLKVEADAKPESKSSIKPPK >CRE28410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:465854:466978:-1 gene:WBGene00056359 transcript:CRE28410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28410 MKALGFLVCVILVVFLLYNTIRHFLINQKSKLIGLIRRYPLLPFPQDGFKEFEATEKLKTLVPQAKIQVCRNYTNRQECWNGKKQAIHKMNEIMRWIVKWNKKQFDGRVHGDNDSEKVEDLVPNDARLISIKMEGFKHGEMCHEFEPIDGTIEGSYFTYQMFGDNRIQVTNYVEDNISYVAGFCIYIDSPWTSGNYFRLKFIEDFFNTVKLPIRVRTRNTARVIVKNENQVNVQHSDQKKKKEKGHEIRVGVPEHETVYMFETENRLCNSSSPVPSEVSLPEEGGPSSEMTIKWCPKLRRNIMSKIEEDVVIHEEWDPKLERMKNKECQLCTDVLHHEEPPAYSSLA >CRE28099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:463178:465161:1 gene:WBGene00056360 transcript:CRE28099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28099 MIIEGVEIDLIKWFIIFFVVSSILTCLCISGRVIDSQTDISQMGADCCICPRGFIKRPPKRIVLLEPTTRIQQSGFTIYCVDRPMPATNLPYHFDRVEVEQCLNTLFGSRSIFLPETTVAVSIPARGFCSMSEFHMFSKLDITKESPSGYSYEMISSSVQASSTDSISFFGCSSHILAGVTIYLGIGGELMESAYRINCNLILMKMIHNVHRYHRWIPFSRTAVWLKSFVKDCWRPAAHSTNYFRPSPHLRNPDPDSIGYRIRQSFSSRVHSTFSLLTFTITIFQAGTAEEVQLQRRVENMFVARPFSSPITCQPTPVTVHMGLRRPSSMRSPRIPADINLNITQITRERPPPLRVSTDFTIEMPRDTPSPVEDAIAQYKDLPPDYATLEAEAMNFSDNSQPPPYWKIF >CRE28098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:461199:461765:1 gene:WBGene00056361 transcript:CRE28098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28098 MTFSSSSILILVQIFIGITLISKCCALPPKGCTSCLMVPIEESEKAGISSTNKTRHTETGCFVQTVQCASKENDSDTYIQFNKGRKGLFAAVQQTIQLFCNEEGKWEFRHSRLTLTVNSLTCLST >CRE28097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:457676:460123:1 gene:WBGene00056362 transcript:CRE28097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28097 MTPKSKIEEAGNQKPETLDQIQHPEPRPDNHNDTDDDDPSDDPLPEKCDCCRSLREHATPVVGYVNISKSDVIYDRTFHLYKLESLKEKSSAQEVQSSSTSSKTSEETSYPAPTPATVRRQLDRFEESRIWDLIGLTSKMYSKLSTELKRVSPKRIVFKKCAYRLHNTRHFQVPLTKRGGTTKEEVLKQKYEDDRKLLKKNQAFDCPWTECPLSFQDPQDMRIHYMFDHESIKLFCCGKRYENYDSYLEHYCTTMNGDGHPTWMARECWGCGVRYVDANTFYLHAERCDAQINPFPCPDPLCGIRFEVLTDVVDHFYIWHDVSFFQIIFLEITSFHQIKNDIFQKAGAIVFNDVVYPTRDSIQDDLQLLDDGQLVVNYPLRSTYTCQLCHLQFATDKYYHEHISSHVQNPQLWLAPTILYCSVCNTKNCRFQRECLIRLLPDVIETARNIKVEDCQTNPILMIWRLYLSKKSIETLEMVLVKLIAEHKRLEKEEQFLDQTGHPPCDDKRLAYVLNSMYFLYTVPYKSDQEVMQERLLAWSAKEAKEAEELEKEGKVQEGEVKEDEEEDEVDGEDGENEEDCENEEEKEEEPTVQVH >CRE28409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:455629:456721:-1 gene:WBGene00056363 transcript:CRE28409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bath-25 description:CRE-BATH-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LM98] MSKLLARKFVLRHKFFEFSEMVENEKRYGPSEIHFDIPWRIKIQRSDSDFAAFFCCQKTEKTENIKVSFQMKLVSILGAEKSRKTSFRIQDLKEGNDGYGWDFMDWKDVENQFMSNGSISVECHVELEEIRKESYRNFDESVREVSDCVLIVDNQKFYVSKLFLSFQSNYFRTLFLGTFLESTKSEVTLKDVDAESFQFFLEVIHGESSITDDNIENLLHLADMYDTPTATRRCEEFLLLFPTKIILRKKIRLAVQYRLGNLKAKCLKEVRTIKDIPDILPVPLKELDIGTAFSMLIRV >CRE28096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:453545:455455:1 gene:WBGene00056364 transcript:CRE28096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpg-2 description:CRE-CPG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LM97] MKTITALGFLALATVASGQFLHDCTNVLDGLYALGGCETQFLTCSGGIARIMDCPADLIYNEPLLICDWRHNVVGCEGSGESSGEGSGENSGEGSGENSGEGSGENSGENSGEGSGEASGEGSGSGDSEGSGNETLDNICESLEDGAYSSGGCTTYYFFCTDNVARFLSCPTPLFYDAATQKCVWKAQVEECKEDVDITDGSGENSGETSGEGSGEASGENSGENSGEGSGEFEPTCDGKVDGIYPNGVCVTNFLTCSGGIARVMNCPASLVFNPSILVCDWPRDVAECSGLPVPKPVCEEDGYFSFGQCSSSFTACTNQRAIVMFCPAGLKFSEANQRCDYDDLVSECQDNSGEGKKNQKRSGESSGESSGEASGEQSGEGSGEASGEQSGEGSGEQTGEQTECVGLENGLHAIGCSPRVLSCQNGHVDIFECPSSLVFNEQTSICDYPQTSLKCLIEDTLLIDETSISAFDCSTDGLFSDGLCSSKYHQCTSGQLINFTCAESNAVFSAANAECVASSTLLQCQ >CRE28408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:449732:452498:-1 gene:WBGene00056365 transcript:CRE28408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28408 MKKFEIFPRSRLVISDTPTRHAQVAEQLMKDGRVGDRELLIALFASLLVLVTSDSDKIGFQDFTEDNKNTVDRSALATCYTLGGKGIERVSHNNPEKGYKCLVTLQTLSTDKIQAKDVCESRIPYYIIGSKEGPSTSCTFRMFLEDSIVENIILFSEINVGCDTSYWQINRKCYKVSNSRLTWKEAQEYCKKNGFSGLQPKVAEYYSTALSNYLSDMQGISSAWVHVPELKDYFVNGEGNAAVYVQDGAFKYDTRKNSIMMDHLNATHQVLCEYTAPMTKAEMFYISEVYSEIYPINVYADGAIIPSADFSTYAFHLNLSFSKQFDYRIEQKELVNNIEQFTTTGFNERCLAIGRIINVESFPMTAIEVEFNAVKDYLTDERFYLTNAYKNEVTQLEMTKIILRHTASVSINPIDSLQWLQCEHQYSVHYVCALILFVFLMCVPDSFNWKFGDCPAGPTWSEQPVRHVRKDGRAFCHYISNGKVATYDEARRYCEADGLGASLSGFDDEEEFSKIKNKVKPKYPSTSYTENGGGYLPIHYFDMGDKQQRIDNHYWLGGISPCETDCALPGNKRQVASWEEGVGINTHFLNTFSHEGHPWKDDGQRKYVSFRSDKVAFHVHPLNDPYTYMFFICGKTAPLERAEKREGTIKG >CRE28095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:440689:449244:1 gene:WBGene00056366 transcript:CRE28095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lrk-1 description:CRE-LRK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LM95] MEIDTVGAPAYQLAIDVNEVDGECRTAMYLAVAEGHLEVVQAMTEFKCLSIDGKQRCPFQLDVYCTRGRTPFMLAAFNQNLPLMNLLLDAGADINLPLAVLDTEYSVEEGRCIGSGALVEAVRSDGLHVVHFLLDRGALDTDNKALRLAAQGKNEKLIRIFLVRLVFADPEYKINKKNIDVGQIQVGQSLLPSSLCPSKAAQLNWNSANLENLQSDWFVAAALHVNPRLRTTRLSLAAITRVDLSDNRLTTFPSILFQMPSLRSLNIADNSIRTIEIPTYYISSTSLEILNLRNNQLECLTIQFLSSLPQLQQLDVSKNELSQLPEYIWLCPSLKELNASHNRLSTLPMVARASRGERPRLQSSNNNSNTQSPSHETNPIVVDDPPNVTTNPLRRQNVWQASINLSKVDDDSLFPDFPVTSSNTLTTINLSFNKFHTFPFCLACTCPRLLILNMSNNSMTSLPPMTCVPSHLRTLDLSYNKIQESFVEATPLHIVCHAVPPTTANGSMLPKRRNSPARQHRSRSKSAVRSQRSLSVSRHHTVIDSQKEEECVHKRHDSLEWLKTLQLAGNRLRTITVSTAAKVLLPALNVLDLSDNKLLQASPDIAKLSLLSMLNLSGNIAIKELPPDYGMLSRLWSLSLKGCSLKEPLESMVNVENCKTVEIVAYLKTILEESKTYHHLRVMILGSDNVGKSTIWEALSKEAVQKRQPIQSETGVIRQAEWKFEAKRSKGDKNLGPVGFSVIDFGGQREYHSTHQYFLSKRSLNLVLWKITDGDEALAQLDTWLINIHARAPNSTVILVGTNLDQVASNSSKFGPGFVDIMEQKVRSRYMVADADKSGLPRVVDVILITSTTRNDVKALLNTIYRTAWEVRIGKERAMEQQIPSSYVALMKVTKEIAAEFRKEGQPAVMTVEAYKERVRKRMMSKYGRPFRDDIEFYGACTFLHDCCELVRFEDATLRDLIFVDPLWLAEFLTSIVILRSPNLPAGLLSTDAINPLTRSFKSGALAMLKTQLLDLLHKFELALATQPRQLLIPSLLPDEYRLRADFLTSAVKIRMKMAQWNVRCPSPAGSPTKSPLRRTSPTDLSLRESEDIMLQFTYDDDQLLRRIYALAYIPSGFWSRLVTRIMGDKNVCAAIESIFMTTSADRSKIADIATKHAKAEWVVWQTGIELHVKGHSLFTLKQFLPLAEIRDIDYSSLDMRAKDEQKRWRTWNKPSHRPIVEMVVNSLSISTASQHGRKLSMKTDVEGRSRLLALISDLLDTLLEDWYPALGTRFVHSSEGDLLVSRYVLCPHCIKDAERSASRNHVAVSHRRSQDDGEILINSNSHMKGSRTTGDISRIHGGVVHCFVIEECMLAGREYNWVECPSHGGLHMRELAPDTVFADIENALTIHVDQLKRSRMLGRGAFGFVFRATIRQPNGELCEVAQKMLEPVDPGPGGRPSALAAYKAAADKWKRDSMEFACRAYCTSRQELSLLSRMKHPNVIGLVGVCTFPLSLVVELAPLGALNQLLASHRKAGTKLLLGVIKESAVQVARALEYLHSAHIIYRDLKSENVLGWRFPAPFSSQTDVLLKLGDYGISRSVLPSGGAKGFGGTEGFMAPEIVRFNGEEEYTQKVDCFSFGMFLYELLTLKFPFEGEEHVKERMLDGARPVLLPHELLLPTPMLDLLVHCWSAHPESRPSSSQLVGFCAAPEFTHLLDVCELGEALPPSQLMALSVTDEIDDPDDFEAQIWLSGREMLVMGCTQYGFVDQKSIELPHRSKYVSKVRDSVWSCDECGQVTVYGISLHETGHLQLPSLNGTLIRAPELISNDVLVLISEKQIVLLRLSETNSVCHLGTFDSPYDIRTATFLDNGSTRQIWAGHSEGRISIHHLAANDTFSFSSSLYLPDDKSIVRQLVGSKDAQKVWIALEKSSKIQMVEVEKRQVTGSLDIRKVMPGSETIHTIDMEMASQNYVTCLGLLERNDGDQLYIGSSKGLLVISHAATLQPLSACRPFEGDITSICILEEPSREEESIRGKTTTLSTASSESGLGWVRERVSETVDRFRSSPATVEAQGAALLVCIGRQFRSLSHRFVSEQKLADVYSIAVWRTEEWTL >CRE28407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:438716:440463:-1 gene:WBGene00056367 transcript:CRE28407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mop-25.3 description:CRE-MOP-25.3 protein [Source:UniProtKB/TrEMBL;Acc:E3LM94] MGSILRRLTQRGSENPMKTDSDAAIKAVKLLSKSLETYVNHKQPMMFNEIEQRMEEIWISIFDVEKGTAPQKKYQLAEEILFRSDLLRLLLVVFHDVNIDSKRKIRDLYLFLHTWTSNDSVESSFPRVKSKPKFAPSFRNLLYNCRFEMFTIVSKGYDQPNSIGFYNDIIRVFVQDEDCLVSLLKDDGRDEHNGQQRSEGCVWNVLDRLTEHIDFRGFHTIAGFFETIEIIFGNGYRKPVKYFIYNNLTRFTQIFHKLISISNFFIQSKALKFIHELFDSPHHFEIRRQWMANPALIKNVAFALQSPIKTVRTEAAILLNMFVQNPNNSPDVAKFIITNRNILITYCWENAPIHYYKGLKCEEEDARFAEIAYKLLITSFERPLNQQEANDYEEMKHIQQKIYSEQTIQTFPQEMIPCSIRIPPSSHVYKTTVLHSPENLRSPMRFGKPRRQ >CRE28094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:437120:438662:1 gene:WBGene00056368 transcript:CRE28094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28094 MDLSSGGGPSSSTDEAASQLDNSDAMQLVRQAVLFENVELLADLFKVNPWVWNRVDRHGRTPLMLAAHNGKLDSLRTILMLNPKSLNLVNDRGKTALHMAAESGETAIVLELVEIGSDPMKSDNEGHCALELAQMAGHNEVAAKLIDAIQKESEDLNDAHIMLISACITGNSDVVNEILRKYMDKKENRQIIFNGRNEEDETALLIACTNGHIEIVRYLLHFEEHLLQSNTTKDTVIHAAVSSQNVEVLQLCLEVSGHFHLQMFNKKRNEIKSQK >CRE28406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:432043:432667:-1 gene:WBGene00056371 transcript:CRE28406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28406 MLSRRERRDSETSTDSWSLVEEDRLDDDFSLNSTDNEGAELETAEPIVEEPITSDEDEDTASEKSLDSDSEDSEDSDVSVDSESEEEESDIEQEEDDIVEEGEIAIQEESEVEESEDDDVVDSEDSDLSDEEEIVDEVLAEIDDMETEEAIRKCKEQDYWLDEEVQGIKIAIDLNFTVFLSLKSKKSVQSAPS >CRE28405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:429657:430869:-1 gene:WBGene00056372 transcript:CRE28405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-62 description:CRE-SRT-62 protein [Source:UniProtKB/TrEMBL;Acc:E3LM91] MMSLPDESEISLSGRTAQTDWIIGIAIMIVSLSCAVAYYLILSTIYKDKELFRMASYKFMFFLGVFDVCQCFPHFVTGIFTVNQSVFHPLLAKAMGVIATPCYVTFTLLTIFLSFNRFIQLSSSNLEMFFFGGKRWMIWIVIACSIWILFVSALSSPWATIRYIPDWYSWGYDTDLPFSKYVQKVEMCIEIGGIFVSAFFYLLIIHKLLKTKKQYLISKSYNAEIKVLIQATVITVYCTVLNVLWHNYTWILPPHLWSYTALNFMWICNSAVHPIIYFIVNKWVYRNKFSQFLYYFQSDSTQNWNKAIWNCSRSCFSIRTETENGKSDTYRSYPCLC >CRE28404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:425537:426821:-1 gene:WBGene00056373 transcript:CRE28404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-61 description:CRE-SRT-61 protein [Source:UniProtKB/TrEMBL;Acc:E3LM90] MSLVGSTASSVTLSSLFLSSTDPYLSLEVEEEVSESGRTVQLDWIVGIAMMALSLACAIAYYVILSTIYKDKELSRMPSYRFMFFLGVFDIAQCFPHFVTGIFTVKQTVFYPGLAKAMGVIATPCYVAYAVLTIFLSFNRLIQLSSPTLDKYFFGGNRWMIWIGMAACFWIAFMIALASPWATIRYIPDWYSWDYDYELSGSKYVQKTEMFIEVGGIFVSAFFYSLIIYRLVKTKKRFLISKSYNAEIKVLIQATVITVYCTVLNVLWHNYSWMLPLNLWSYTALNFMWILNSGVYPIIYFIVNKYALNLTMPQKSFIFRALRDRIGVKRSTTVMGAVSVFQHKTKMERTGTNTGNTIFVVA >CRE28093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:423300:424940:1 gene:WBGene00056374 transcript:CRE28093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28093 MSQPEDSSRQPPYPENQIESNDHSEALDDSSSDTTSEEIQHSPEGCLNWRVMPPDDLLDLTMCSNDLKQKVRTLVLGITRITFNDGQLAICVSNRDFDNDETRIDLEIVQQESLPSKLTRITSYLRSRIWRKFVLRKINGMDYEVEKVNHNDYGIDLTFDGFNYRVVNFRKEFIIYTHDTTTAHENVLRHVFFVFANPTPLFYLDLRGIQSENFDVPTKLVGLYDQCRQCTCLAIGVRKNEFVEQAHKTLEMMTELKNLNIDISLTETEFFSPRMCQIDHLNVVYHKNAISEKNMLSLNCETIKLWSVTITATALNLFIKKWLKSTKKRFRYLEIPIIYLDPDDSDQNEIMKDLNVKKFDDLQRAVFYKRYDWSYELNCTGQCRYINCRNGLDITRSDGALATIVSSTSFFYFFVWHERFPEQPKDHVPFCDY >CRE28091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:417369:418816:1 gene:WBGene00056375 transcript:CRE28091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28091 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LM87] MKSGSYSNESGSSQNKINKRLRKHTRRLTHLSYSSERVINNEQYYHGLLPRADVRLLLKENGDFVVRITEPKPGEQRSYVLSVLHDKLLEENAAVSGKVALIPLSVYFPQVKHLVIHSANQKFWIDNTFSFESPQALISYYLKPAVQNEETAVVRLLRPISRQPWELEHEWITIKQKLGQGAFGEVSMGIFKRKGMIKGVQVAVKQAKLEKMGKEQIKEFMCEARHLKTMSHPNIVKFYGVAVLEEPLYMVMELASNGALDSYLKKNPNLPVETRNEMILQAAWGLEYLHSRPVLHRDIAARNCLYGDQKVKISDFGLTRTGSTYQMDMNKKVPIRWLAMETLITGSYTQKADVWAYGIMCWEIYNSAIEPYPGMSPAEVHQKVREGYRMQIPVNVHPDIQKTIQSCWLENAPDRPTMSDIATQLQNITQTPRPNFSAI >CRE28088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:411655:412113:1 gene:WBGene00056376 transcript:CRE28088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28088 MNYVSRSTTNMGNLFETTPISTINEIEQSTLNSTQPNDPIDYGETSTRNQSYGEDQANRHRDQVEEDSISINGDEPGRMNQDYIYTSHKERGNNENVNELFVDNNEVRSIYEGHDIIPAETSNNNQEDCNIQSDDYSYDYSIQSGVEFLIIS >CRE28087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:409739:410650:1 gene:WBGene00056377 transcript:CRE28087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28087 MSSWRSKVPTVTQVQHFLKGIVHEQEVDYSRFETRLDGSDVITFRENDKAFRLFGTNVHVKVGSYIACAIGFAVTIAFCVSYSLFHSRGQGRNPFIDHLEIIDLIFAFLVGLPCHVLLFYGLQKSKKIFFSPFLVFYMTNFILNCIFTVLTMGAFAMDVHRRVFGNIRFDLGWTVFQICFTAAQGLAIYVVMRGRKYVAAKSFWMNKYNQRTGPDDIIDA >CRE28086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:405229:407743:1 gene:WBGene00056378 transcript:CRE28086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-usp-3 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LM82] MYFQMLCSHITAEQCIERVPKAMFQKKQEMEDNNLFLEGNTNRGKVSKAQEKFKTFCDECDNCNKSFMCLFCGRILCGRNDSGHAIEHCENLGHPVVIDCITFELYCYSCDDEVSLDFEPSLYGVLKSLKLLFDREDVIEGGEGPTITAQSSPGITNVSTTFATKLRVAPEKKGSKKKRGKKGKVSPMFDTPFQGAVIIDCTNPPPTSMKTNGSGVSAASSASEITCRPRGLRNIGNTCFMNAVLQALASIYDFREYIMSLPSLEDYVEDEKLSKNGNCFLTDEYRKLLISMSAKNYRDPTAPNEFREAFVSACPRFRGFRQHDSHEFLRYLLDQLNTEMRKCRHLPDMPDDKVTPISKHFEGILQSSVICQTCRNCSNKMDEFMDLSLDVPRLSKARIRLSDCLDLFFQKELLEKGEKPECSKCKSKQTCSKQMFIKKLPDVLCLHIKRFRDNGGKIDTLIEFPMAGLSVDDFLTEDSDEPPCVYDLQSIIVHIGYGCSSGHYIAFGRRGQKWYQFDDTVVKPVDTSLVSKQKAYVLMYTKSTRKP >CRE28403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:403678:404689:-1 gene:WBGene00056379 transcript:CRE28403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-6 description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:E3LM81] MRLNFAYPATGLQKSFEVDEEKKLRVFFEKRMSQEVAIDSLGDEWKGYVVRIGGGNDKQGFPMKQGVLTNGRVRLLLKKGQSCYRERKSGERKRKSVRGCIVDANMSALSLVIVKKGDAEIEGLTDSVLPRKLGPKRASKIRKLFNLTKHDDVTKYVITHEKTFPDGVVKTIAPKIQRLITPARIARKKYLLRQKRNQRAKVREEAQAYHKLLAKYSKEEHDAKIARRRSSASHHSETEVKKTGKK >CRE28085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:396803:402549:1 gene:WBGene00056380 transcript:CRE28085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uba-2 description:CRE-UBA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LM80] MPSWKEKHGKIQTTKLLVVGAGGIGCELLKNLAATGFQNVHVIDLDTIDISNLNRQFLFRKEHVSSSKAEIATKVVKQFCPSINLGFDHASIFEEEFNVEFFKKFDMVLNALDNKKARNHVNRMCHAANKPLIESGSSGYFGQVQVIMRGKTECYECQEKPASQKTFPGCTIRNTPSEHIHCTVWAKHVFNQLFGEVDIDDDVSPDMEAEDTENPNETGNSQDDEAAKEPAPIGTRKWAESVNFDAAKVFDKLFFQDIQYLVKMDHLWKQRKPPTPLSFAVATTTGESLSFADAQNKDTSIWSIATCANVFAGCVRELLKEIKLNPDVTLSFDKDHPIIMAFVAACANVRAHLFSIHTKTMFEIKAMAGNIIPAIASTNAIVAGMIVTEAVKMIDGNADVKSSFIRNQPNPRGKKLSLNRLFQIFLEGAPYPPNPKCYVCSETREVFIYVNPAEMTVGALRDKVLMQELNMLQPDVMDTNTANVVLSSDGDTDSLLPKKLSEISIEDGAILTCDDFMQDMVLKLFIRRANHLRGDNFEIARSDKEKDEEEARKKEQEKHRLEEAENKEKLETDHKSEEEERRKRKVDEKESSEEPDVKRTKVEEKTGGEENENKILAME >CRE28084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:392564:395786:1 gene:WBGene00056381 transcript:CRE28084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-toe-4 description:CRE-TOE-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LM79] MATLGTVLARYKRPADRSTVSVPQTNSCEKSNLDMNSSAPVLVDSSNQSITEQIECNVIRRPVPITPGSFCINPQIQTHPYDRPPPRAPPAVRLQMIPRHSPSENPVIASLMNTVAAARDRKRLREEAMYPKRNVGQQTEGDEVIEQDGYELDNGISSTKRVKREENANQSRRDVSFETIGTNTSPAPIRLTPVSSESSSSANPSTTQPHRSSLHRRRERETPAARQARRERRERQQQEETIRIQLENLQQIDGLVPGSDHSCNQRCPHFQHLVQISNALYHQSQQSGPPPTGPNAVSPTIAPGAYLRGGHYVNPFSFNYPVPAFAPQPLVPVLMGVYPVAPTAPVIHAPVAVRPPPPPPGQAAAIHNLYSFRSHNINMPGYHPELYDALRPSSLNYLFPSMDRFGLDRHHMFAGLDIDVPVGASKIEIDNFTQPTLYVKKEGEEEDDTCTVCLSNFEDGESIRKLPCNHVFHPECIYKWLDINKKCPMCREDIDRIRILQQQ >CRE28402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:390673:391934:-1 gene:WBGene00056382 transcript:CRE28402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-25 description:CRE-VPS-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LM78] MATTSAFKWPWQYDFPPFFTIQKSLSTKDKQLEAWARLVIDYAQHNKIYSLDIAEATTSELFNNQKLNRRLSTDGVNTVLQYLEQKKLIEFTDNGRTRFHIFWRRPDVWANMIYQWAVENAFLNTPLTLYEITHGDDTTNESFHNLEREILMKALACLEEQRRAQLMNIGGDNEGVKFL >CRE28401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:387550:390463:-1 gene:WBGene00056383 transcript:CRE28401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28401 MTVEPSFMLYEERIREGDTVIVYVTYGQMVPTVVKRGQTLMMKYGALRHEFIIGKKWGQRLSATAGYVYILRPTSDLWTRSLPRRTQILYTADCAQILSLLDAKPGSVICESGTGSGSLSHAIALTVAPSGHLYTHDIDETRTSKVQEEFQTHGLSSVTTAVVRNVCTSGFHVENSADGVFLDVPAPWEAIPFAAKSISRVRGGRLVSFSPCLEQVQRACLAMASAGFVSIETIELVPEQKKIVTQHRQSLEEFEEIGDAYPKERKRNADGELVENTERVSTAIVYPYSQPTHTGYLTHATLLPVLE >CRE28083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:386676:387304:1 gene:WBGene00056384 transcript:CRE28083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfd-3 description:CRE-PFD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LM76] MSDALSARGIPKSDLIEDVESWLTKEKLSIEEAEVVLREKYGKYKYVESSMTAQKQRMSEKIPEFENSLSIIDTLIAKRAANESFETTFLLSDDVYTKATVQKPETVSIWLGANVMVEYDLESAKKLLDKNRGSVQKVVDELTSELAYIKDQITTTEVNMSHIVNYGVNKRRLASAK >CRE28400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:383403:383828:-1 gene:WBGene00056385 transcript:CRE28400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28400 MRRINLIITTVFAIFLIFHTTEARFERVGFVSDPVKLLLQDSYSSLKNRMFSQKLAGWKKPTSFRQIRKRKYTAFPPTFYSSFDIGAIPFNSRRSVLLPYNDLLFSGRK >CRE28082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:382068:383259:1 gene:WBGene00056386 transcript:CRE28082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28082 MIRGTHIATVILFVAGLQAEELAETREYACTRQSSRSSKSECMLRFGELSAINDNGCFMHFDSEKKESVELCPLQCQRFNEATILKSSDSLICSPGVAVGVERRQSDWFMWRSGACKSSDVIFQIECSTSQMKEEEQLVEVVEEVQQMEEELPTLKLETDNGIGEDMDRMTSSIEDITSGTEKTEESTTIRAESSLPETGEQEDDLPEKVDTLLGFLFPSYRKKALSQ >CRE28399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:370338:376579:-1 gene:WBGene00056387 transcript:CRE28399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28399 MTRNGTMSLQHDEMDTTSPPSGSGTSAASPPEYATAATLRSTPAQMSAAITVDLVVAAQREANFLRMIDRKAPLLYEPDVVNHALRRYETFWLPMQAAHPDLNVIPPLDVHWVWHTHMLSPIHYQEDCEKLVGKVIDHKLLSSDEIQKRYDSSVRAWDAYCSPEPYDFLASQTPPTAYKTKCNYDIAGAVQRQRNFNYQVSLPHYTSAKFLSDAVKRYIQFLLLKQTYADQFLTPCYDFDIIWHTHQVHPSSYLRDCTAIFGSLLKHDDTVNDRTKGSKLLKGEALTKKLWTTHFDEPFWRRGCMFRGHNAPAFLGFESQDLACISYGDIHVPSISLKDIPVQREQLRLKLCYGNKKVTTFNADLSQKQVTKSGFSLVWQPTESSTCASIVKFPFERRAAKDLIVELEIFDKMFLQRKDLIKLIGKATLDQLLPPSNSKSAHNVAELQLKTSKDSEFTAKVNVTTSVNLNRELQLLVGDFVERQLSADSHLAYLSQCASLNRGSLNANSTVHIASHSMVDTRDGTKYTVQVIHSAPLLLSMILVYGREQRLLSMAHLIGADSLPSRDQLDNNLVPFLPHLSNAEERAFVILNKEGDYAIIKGKWSGFCRKQSATKGQKAKPGNPGRLHVDAFNLLKNTVQKLEVPSADGSTLFLIGDAQARLPGKRIECRSTNTAEQIASIFCVSTLFVLCNPDKVRSRNDSTSVGHQAHKWPLTLACGYGRQLPTNRLLLSSDQDGLGGCEIAPLLMCGVGCDGAACGACGACGACGACGGCGGCGGCGGCGGCG >CRE28079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:365882:369406:1 gene:WBGene00056388 transcript:CRE28079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28079 MDDIAVPIASTSGGADDSVIAFQYPWALKVCQRPIEDESILLNVSRPFSTSYKHVQFTWALRLTDEYVLSNNAAVDSNRHVLLHLYYKEGPCPEVAVEEVRAQILDPATNETLFAGLALTPTEFSRGSGWPIHVERERRAEFTDFLHKSVASNLLIVVEIRMKESLFEPLNYLPDVETAFRSQRIEKSVHKFVDDVMNGKIRIPDLEHVDEKQADKFSVHRLIFIFGVDTVSREISENDQHSEICEQLVRNTFAHIYFERVLSREIKYFDDFISLVEGLTFAHLPALRKEVERFICSEVIQIQADMDPSFAKKLLLVSEKYNLEVLKMVINGFLVDQIIANSNPPKELVNITHELEQMAHEIRENENIDNLVGTVVTDLQELTRRVRRVSLSHSPSQSSHSSSPLATPTNGSGSPSVPAPFDSPTSRFKRVSLA >CRE28398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:349205:350687:-1 gene:WBGene00056389 transcript:CRE28398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28398 MIRLLVQFILLSGSVCHGRLINSEVVKDVSVYLCSHISTYSHANMNFFSYPVQFCVTLRSDKGIREEIRDLQSTTSLQSRVSISWRQLATANSCRLTFKGYQTEYRISPDLGGYETCQTSISRHLFKLSQGMNMGIENQKRIEFNSTNQECPIVNVPTAYPEYVACKFTSNEWYQIEWKSTIRYETFRNGEYGTYWANDTQNFIVKMSEEMRRNGSEHVWLKRLLKLESRLDGCDATKLLIRFADDWTYSANSISLSYRIFEGSTKIHSDHIGTFGVEGWKEMIIPLKRIPGDHEICAQLLNPAVSRHYVCSKIVDALDCPFLPVSSSPKYSFSFLIFTSCSIFLFLHRF >CRE28397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:341456:345472:-1 gene:WBGene00056390 transcript:CRE28397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-17 description:CRE-LIN-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LM68] MMNSLGILLFIPLATSSIFDQAAKGKCIPIDIDLCKDLPYNYTYFPNTILHNDQHTLQTHTEHFKPLMKTKCHPHIHFFICSVFAPMCPIGMPQAVTSCKSVCEQVKADCFSILDEFGIGWPEPLNCAQFPDPPELCMKPTEDEISGGFSAPRVPSKVSSSSSSKPTGCPSDLVDVDPHDPKSHCAFSCQSNVMFSTDNKRMVRSWSIWFAAANAGVAFFSFLTFAIDRKRFRFPERCVFYLSLCIFLSSLPYLSPLIIDAPIRSCHALGNGRSYLSIGTFDNSYCLASFLLNYFFSTAAALWWLMFSFTLYLSGGRKWVPEGIEACSSYVHFVAWGLSSLATIIVLIFNKVDASELTALCSVGNLNSIALLWFVIVPRIICIVIGTCFIVGGFASMCRERISFRTRGTDTSKLEKLMAKMGFFCALFILPNVIELVCQCYKFMILTQWTRMTIECKQQLGACHRPTPPQAEIYMAAVLSNLTTGLSCLVWVLSAKTVNSWKNFIFCGMCSSAPVKNPIEPSTRPLLEPPTAPPPQPPVYMQMTTNPQNAWRPSKVV >CRE28077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:337946:340141:1 gene:WBGene00056391 transcript:CRE28077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28077 MKRKTSHFIFISGRISILPLSVGNQQPSLQKSKVSSNYHILVAQNFLSNIDVDGSHQDTNLRIFQAKGLPKLSSEKKFSNGATVLNNESVISLGTSTSLGERLSSSNLPFEYTESDQHGIYLYNCRETPQKKTSIFKRIAKIMSSDDVIYSMTSTNSRTPILEEGMNREDIGLVEFRSESPSCSSNQFSFLKRLKSTITHADRFYISTAASGHPFAVYSYLSPNNDYVDPLAPIFANTTVNKKKFRSKSIFGSSDRVRHQTSTEELRPFVFRDPDDQVILGQTRRKRNPSPFFTLFDKPPAQISRKDRRESFRNGDISEDLFAESIEVPQTVNREPSYERGTSVEDKYDLDVSRSDEPSEIVEEYDPTIFSELGIGKRTVIRHEGFIASTLHCESAERSKQVMNEDFEIKYPLIHLTLSKMKSLKREMALLGKSNEVDVHTVATAFVYFEKIIGKLQGLISKVNRKCVAGASLLIALKMNDYKKTTIKNYIDEAEEQLREQKSDLLSYELPICSVLQFKLQPSIEEIQPHVDTLQFEM >CRE28396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:336742:337023:-1 gene:WBGene00056392 transcript:CRE28396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snr-7 description:CRE-SNR-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LM65] MSKTHPPELKKYMDKEMDLKLNGNRQVSGILRGFDPFMNMVIDEAVEYPKSGTPINLGMTVIRGNSVVIMEPKERIS >CRE28395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:334262:335014:-1 gene:WBGene00056393 transcript:CRE28395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-yop-1 description:Receptor expression-enhancing protein [Source:UniProtKB/TrEMBL;Acc:E3LM64] MPVPPQAQKVLDDIDKQLHEPSTVTNVLATVESKTGVKRLHLVLGVVALQALYLVFGHSAQLVCNIMGFVYPAYMSIKAIESSNKEDDTQWLTYWVVFAILSVLEFFSVQIVAVFPVYWLFKSLFLLYLYLPTFLGATKLYHRFGKKAFQFVKPIAARHSGSIDAKIGNFADRVNSAAGKLANEVRDHIE >CRE28394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:332631:333934:-1 gene:WBGene00056394 transcript:CRE28394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28394 description:Phosphatidate cytidylyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3LM63] MDEYQELISVLPLQTIDYAFAYGSGAIQQKDENKAEKMVDFVVVTKDAQEFHRENLAKNPQHYSLLRLLGPKCLEKIQCNFAARVYYNTHVNVGKRKIKYGIISYENAKQDLLDWRWIYISGRLHKPVLDVIKPKDDMCDLVTENRRSALHSALLLLPESFTLKQLFHKIVGLSYTGDFRMIVGEDRNKIEKIVEGNYEELMRVYEPLMNDDARLSVMTPAKVIQDGSTTAIYHRLNLLPSEVLNRIQRNMNKAQKRQRDAEEVIFSLAHRHDVAATVETAIGGIIRPTSISQTAKNAFSAGITRSVIYSIAKMSKFLKSK >CRE28076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:328454:332362:1 gene:WBGene00056395 transcript:CRE28076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-11 MRLPLIILLFLCKEILACQSGCKCPTRTTAVCKGSSLRSIPILLDPRTTVLDLSNNRISRLSADELSLYPNLEQLILRNNSITHLSSDVFSTLPHLRLLDLSSNSLLSLPNEVFSKLKNLKTLIISSNDVQLGPECFSGLSQLHTLSLADNRLSFLPPSVLKPLSGLRNLDLSANKLLSMPASVLNNLGGLETLRLRQNLLSSLETGMFIAQKELKHLDVSENLIGDIEEGALYGLEKMETLNLTNNQLVRLPGNTWSLPSLKCLDLSSNLFVSLETASFDGLPSLQYLNISHSRNLKTIQMATFVQLSSLHWLSIASSALTYIHPSAFNQIPPLSYLDLSNNEIRYLAPGMLQWQNIRNLHLANNDWQCSCDLRVSNLKPRDDARCSGPENLAGAPLNELNSCSILGGLLIPFLLVIFILLLALVILALACKRPSKSSSSSKNRAFYNDQLIAALNSHKEYTFDCHSPYTMSSEDSRDSAYESPTSALMPRRPPPSCPPPPRLLTLPRAGPTHVPPPIVPTLLRNSNDPYLIPKSQVPITRL >CRE28075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:318661:320875:1 gene:WBGene00056396 transcript:CRE28075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plk-2 description:Serine/threonine-protein kinase PLK [Source:UniProtKB/TrEMBL;Acc:E3LM61] MQRAQPAPVKSKSQKKEKAPPEVPDIIVDPERNIHYEKGKFLGKGGFAHCYEIRNQISGEVLAGKVVPKHLLVKQYQRDKMAQEVQIHRNLCHPNVVKLYHFFEDRMNVYITLELCPRRSLMELHKRRKSVTEPEARYFTHQVVEGVLYLHDLKIVHRDLKLGNLFLNDELQVKIGDFGLATTVENDERKRTLCGTPNYIAPEVLNKMGHSFEVDIWAIGCILFILLIGHPPFESKTLEETYSRIKHNNYTIPTETSSSASQLIRQLLDPVPGRRPTAKTVYRDKFFKAGFMPTRLPVSCLTMVPHFNDNALNEENVSPGGSESDSQQVGKPGQSNIPTHRISGNTERERAHRMAVEATIRIPSDCYLSQLLAQVNDLLVRVNADMDEAEAALETYQSPEALPVFWISKWVDYSDKYGIGYQLCDNSVGVLFNDNSRIMLDSAGNQLTYIEKNNREHYFNMQNQKPNLLKKKITLLQYFRSYMNDHLLKAGERVTQRAGDDLARLPTLRVWFRTKSAIVLHLSNGTVQINFFNDHIKMMMCPLMQAVTFIDQNKRMLTYKFSNLEANGCPEKFLHRIKYAKTMIERLMTDSKKEVPRADAGVMIDAVNPQRQTAVTVSHLPSTSSNVRLTAASEVPSIGLSSISSRR >CRE28073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:310560:316450:1 gene:WBGene00056397 transcript:CRE28073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sop-3 description:CRE-SOP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LM59] MRKRCEEKEVREIKNGIDRNACKDAFRALEHVLIRDAADSSFSAINSSKYGFYSPRTDLLPGRLYYVAEPMLVRLAEKEGKSHADNSHLDLLPFIEISFVKNDKQSKLPVFDQSGEWTSFNDANVCICVKFNHGFLFSQHTIRKLAPLVPKAPLVRNYVNYYRYTSGRSSTKSNLKLLTQFPDSNDVQQFYDIDSSKLTKEDDAVVVEMFLSDMRDLPKFIETLRSEWKHGSMWESILAMCESKQEPVQKNVLAVNFQLALRRNEFNLQFDSGCGIMTMKISEKHPGQYAIQTSSHLTGDSLSEEVDKMLAEKLNSTWSIPITLTFAVSPLKCHLKNMLSPLNCANSSGFDSDKLQRCWLGSSKTKQSNKQCAVKKNEKVMIVELGPVIEPEKLVYTRENDEELRGSLLSSFGEVDPYYEQTSTIGKTSIQQRPKQEPSQLASMDMMSAELDMDKGRQSIENAMYMRPQDVQRMSFLESARVHMKQSIGAAHAVGLASHQPHHSPGGPMRHHSYTMGFDSPAPYDPNIPASLQFPDPSTHGKGKQRKPRAKKQQNEDGMAPAGRGKGRKGRGAGAVGAGGGRKSSGVAANENPFQMDPMRPPLQRSFSDFPGQMNPQQMQYQQMQHMQQMHHYQQNQQFQQNQQYQHNQQQQYRIHMQQQQQQQHQQMLQQQQQHQMQSPQHSGMNTPKSQQAPSYTDEESDEDYDPPRLPKPQVSAASRSSLPPPQQLGNPMVGYPGMPLQSPNHLPLTPSPLSAPPKPFSPEQQHFGMKMRENAYWKELDRLDIKPDLEALKRETAMKLAAVGAPESASASSLPGPTLTDTSIASTDSQNPAPPINKPPTSAPTPKKKLGLEAAISKIRGQQEQALQKQQQQQFQQQDSVESTNSEQPTPQPLDQHPGVSQQLAPNQMNRARNMNGIFDDEAEENNSNNPDVKMPLSALRKALAPPMTDSSTPGSSSSFVMPNLKKEPVEEEPEREKEKLIVKIPKFLKLAEDRKEERREKDRDRDRDGDRDRERSEKDDKVQKEKDKREREKEKRRQRDRDRAEQKKAEKESIVGKEKDQSSSKKRKLDKKEEKDRREPEKKKGKNDGKEFNKTTTTTTTSMIPTLSLKTFRIPKKDTAYDDKKEVKEDASASGPSTSSESSIRKEVPAAPVTRKESTSVVSSVPIQRKESFTSQSGPPPDNHREPPSKKKPVPPMNGPPAYPGPSNISTMPSNIRGSNINSGGRKPPMAPPPPMMRGPPSDQIYRERTGSMRGFPPSSHYHGSGGGGGSKQVASYAQGLPPGMGPPAVKPHGNNYQASQWVRPPTHRDSHSYHGMPSLGPPQLQREPPPPPPQMIPLPREQPPVLREHPKEHKVRSAEDGPDSPEEGTLRIDDE >CRE28393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:309951:310424:-1 gene:WBGene00056398 transcript:CRE28393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28393 MFLRLFEAILGLGLFGQALFEIGTNSVWWAYVPVYLVPAVLAIFQMPRNATWRTLSSLSIVIGGLYTFFLLWTFASIESTPAIQLEEAKNIPPIAFGAFLISFIRLSQDKISQPVHYIRSSIILAVAIISFYGFITYFPFKL >CRE28071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:307908:308812:1 gene:WBGene00056399 transcript:CRE28071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28071 MDIFNSNFQMIKHLITFSICYFSMVSAAPSPRMCASFAKFAERWNPLPETLLSWSKNNCDKIQPRPRDMSCEDVVQFVADCNFSGGGPGPGKLADTTNRQVADTFYQRTYENFPRVLPKYIYYNENTARDYGQFGNWYYPNYYPPTYLGFFDGRPASMAQLENDYKFARSGHYPYNRADNIVNIGLQQTYLPTCLHDLFYCVRASTGQRPQAYLNYKEYRRDRDMAAEQMSQRDQDIKTLRRHRTRTHDDDK >CRE28392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:305733:306974:-1 gene:WBGene00056400 transcript:CRE28392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28392 MSDEGGNGMGARFNNLTEGLNPEKIDYAKLVNNIWNYLRSYTSDSPRPQFSPDVLVKLFQNSNEVLKRDDMVVKVKGPAIIFGPLYGEGDSLITLISLSKKLPPEVTYVFLGCYLGHGFAQLECLFFLLAYKMLFPGKIILLKGHHEESISMEMLKVKDWIYGRGIVEEVHIENVLKEMKETCSSMSAAALINSKILCMPGGPGSLMREKGLKHLISIKKGSHSIGEKKLLMEASWSVLLVNESQKDMHGMPFFTGQQATDFCKKHRLKCIVRGRQMVDAGFLSKPKEVLTLISAVAYLDNFRNYAAVLHIEKGKGRVIRYKMEEGEQLSLELVKPGMGRNAVV >CRE28070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:303731:305303:1 gene:WBGene00056401 transcript:CRE28070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tofu-4 MAPRTRSSAKNLLEATSCSNSEKDIKNKTGRNSIVDHDSSKNCRSKRLRTQLHETVEGDIQPVDGTSLDKKLVDSQTTDDEIVLSDSDDISSSSAEDSDDDYEKEISKKCSAKSEDSDCSTDREQDDERRVKRIQQKIDREEKKKRLVKYEKKHLNGVISDDDEIECAFESSNIPKQRKRRLYRRRMELTTDIDCNDLQINHKAARKMFKEEGSDDDGFSDNDNSEEDEADMVSGNLLAFQKRNCKERVSQIIQLSVAPFSEKLACYNSLKAVHGSSRSSIQNYHPGFLIKQDTKLPEELLWEQPLTHLLYLPTKFDTHKKCNDFLDKAYETKKKETESKVLEGIRSMINKKAAENQLKLEYNPIRIEEANEIQILNQDPNNFYATDKPCFEDQGYILEDDYPDCSMDGF >CRE28391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:300733:303296:-1 gene:WBGene00056402 transcript:CRE28391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-htp-3 description:CRE-HTP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LM53] MLFEKNSLEAAEATLHGSLQLLSNCVYLVNSTILRERKLLPAEMFREFRVYGDVTGYIVEDETRNGVKIATKLRATDKAILAKLIHKLAIVVEEQDTSTPVETFIWSFAYHSSTSAVAEIGYENQKSTFVVDYNDIDGTCQQFCKIFSELQRVLKKLDSLPRGLVPIVKVAFRGDAHSIEGFHQVDEFVNPEEINRECSIGSVAFPFDNKFQLSYASKFTSPLRSNQQVPAAHQNSAILDAMDSTSDPMIEVPRRTMNYEDYDVYMDHVAPEEEHMESDVINASTNCNVNVGSNIEEHAVLQSEFHGSADADTVHQNAHERTRSPSPKILVRKQSQKIISKKSNSTRKTGNESREIHKKQLLKSTNMLVTEENISDSSETAPQKKRSARRLALLVTDEDKNLAKSAKPNGESNDTVPMEVDDVPAEKHNDSEFKKKYERGKSLVENVQAIDEDPVSSGKKDDENTDSRKGFVKRYGYTASFKDKIQSGKRGDDDLDYMDDDEDEDQKKDDEEENQKKVDDKEDQKKDDKEKEQEKNYEEEDQEKGDEEENQEKDYAEEDQEKDDKEVDDQEKDKDLEEHAPVPVFVSVKKYGRVSSVLGVPEKNTESRRVSTRRAGRVSSILDIFDQPNASEQKEGSISSRNQDGADVIMKGVDAIGIVGALGADISLEEVTNSANRYGRVSSVLANDEKQPPNKSNEGHQSKKYGHSTSLRSRI >CRE28069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:299156:300604:1 gene:WBGene00056403 transcript:CRE28069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mage-1 MSSESDDEATAISREEGVLSYELYFWIVRSICEKGVVKISDLRKLYQTAVNKDRSVQYRPLQDVLKLVNENLLHWQGWKAVLQDDRLTYVDDNGPKTFNEVLANETERTLGVLKNALMYVFMATKPSSAHPGVTHDELMTYMETSMSIHADHKLTQEHREILKKQISPNVRADFIRKGYLAFSKAVNENDEEVIRYEWGPTAYQNVDPIELVLLFQKLTGMDSAQLAEQTERARELKKNQMEAIQKGAVLQTRGKQH >CRE28390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:294382:297075:-1 gene:WBGene00056404 transcript:CRE28390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cars-1 description:CRE-CARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LM51] MMDPNLRPVAVKRAQKQWEQPKNLSPPPQLTVYNSLTRQKEIFVPNEGKRVRWYICGPTVYDSSHMGHARSYLSLDILRRVFRDYFGYDVEFIMNITDVDDKIIKRARQSHLLKSYFNESQAPSVIKVCEDVLAALEHFDKKFVNEVDPDKKKMLEVMIKKVQDQSNALETAMKAQDSIAIEEAKGRLLNESRDVLSEWLDHNHGKDVRDHSVFDDLAKTYEKEFLADMARLNVLPVDVLTRVSEYVPEVITYVKKIINNGYAYAAEDGSVYFDTKAFEQNPKHFYAKLVPEAYGDDSEQLLKNMREGEGELSMSDERLKSKRNPTDFALWKSSKDGEPFWSSEWGNGRPGWHIECSVMSSAICGSKLDIHAGGFDLKFPHHDNEIAQVEAHYDDPHWVNYFLHCGTLRIQGMKMSKSLKNFITIRKALEDYTPRQLRLLFLMHNWADVLDYSSSTMERALQFEKITNEFFLLVKDYLRRHYKPDRSEGYQKYQARELKLMDEFGKLKSEVHGALCDSVDTRTVIEKFRELIGLGNAYIVEKEKDGHVPNCLLLRNIAAYITNLLKIFGAIPQSSQEIGFVSEDECNGEGATTSFNKEDIVMPYLDALAQFREKVRNIAKEHKVNGILEECDVLRDKTLTELGVRLEDRNGQTVVKLVDRATLLREQEQKEAEKKRKEKEKADKDQKNREKADKEAASKRIKPEELFKQGEHTGKFSKFDQRGVPTHLANGEEVEIHVSQKLFECNFQISKSQLKKLEKVFEAQKKKYQQ >CRE28068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:293291:294065:1 gene:WBGene00056405 transcript:CRE28068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28068 MNNDNSMEAELTHSSFPCNKSNLMTTSSHSDDYEPREKRPRMSKPCRKQPTHQMRLPIILPRLPPKVSTSQNTSVRLISTPPTIVPSSSNPRPLISLLDRTEFRDRSRVAMITQQPSKPKKCYYTELNEIRREFGIPETFDTNKPNTMSGVALAEKLQLLQRMRGENKFLLESIQKKQNAYEERRVSIEQVRRVTQDTIDNCHLLKETLQTVEEDNLRLRSQLR >CRE28067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:291907:293142:1 gene:WBGene00056406 transcript:CRE28067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28067 MVAHRKSDFKSKWAMVVTVNDLNEKKREDLKDFAGWFIETLRLEGAFIGHYFNYETAPITIVETEQNSFESCTNAYQKIHKEHPQVVLVVHILPQTQSHEYEWMKVLASRYGFIRQGILYENCVNRFQNVDTDQNSVFRNMCQWIYRSGTAIIRNEGNSCGILHGKDAKPTFEKVLFNSEDIKEAVFKVLHKEEEPRGAELENIVKVSGYPEMLNAFGVAQLLSPYRVNGITLTGPQSAVVTLENKFQVYQAVQEFHGKKLDRNHKLEVISNVVPSPAVQVQSPSLKYSKKHIENLMGKLKVST >CRE28066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:290082:291487:1 gene:WBGene00056407 transcript:CRE28066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-47 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LM48] MAHSLFIGQTTFWNQSEISNSKMAQESIGKRSIRREFDHAGGDNLQYQKEEYFENEESHLNVEMIERESDIQGQEHRYLGGLIGNKELIILSSLGVCMYLINGEYAQSVCTALTSVPPAVFSYRVLTNQQTTKQGYHTILFYWTIYGLIALIDQFIGSAQGYNLCKGGLLGVIFLHAVRSNSAAIPPSLNFMNQVSADIITSIFTRYDSDGSLVKTGPRTPTMTQFSDDSLRYIFSESEVSGNGKIEMSTAHSFMPSLEMQSTQRMSTASEYMKKSMSSEMRTMKIEEESSCQSSANDKHQINFQSMSALTMTQGNTPDIVTIPADHITFTGNNREEIVQVTNVSPLHIMFALKTNANTYLIAAPTSGILFSGQSMKIRVGVTENYFDDCSDPGVSIDKVGSFWLFN >CRE28064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:285291:288254:1 gene:WBGene00056408 transcript:CRE28064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctn-1 description:CRE-CTN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LM46] MATSSMSSLTSSYHTTALPSSNSVVPPFDARNLEIKTKSIEQTLIPLVTQITTLVNYKESYLTSGKPKSERAMRAALKIGSAVEAAIERFVCVGETIADENADIQPEMYDACTEARLAGASMANLSCACTDDPNSVVDKAVLVRASRQLLSSVTRVLLLADRVLVKQVLRAEDKIAFSLSRLESTRSFNDFVKVFAVFGGEMVELAHRSGDRQHDLKSEKRKAQMSIARTSLERLTMLLLTSSKTYLRHPDCDSALQCRDGVFYQMKLSLELIAICVCDGILTTEKNRYIQDEPDMPIDIALQLTANAAIKQLTEMLEMIRMTVRVGAGVRERIVSALDALCQLTQDFTDSAYTPHHQREQILDFLEECRFEMTNLIQPDENEQLAASGLEVTVERLNRRLKDLSKQLQIVAMEQISEVLRANEDQVLLSSMKACAVSGDIDGVEKYMHKFREHADHMQEVCRLLHHISITDALHVHTGHVERNMRALAPLTILAGRTLCVHPSSRIARENLEVFCDTWGQAVNDLSRVAKESDVAANGRVAAEKQAYMSLPRPGVSSVNSQSSSVCRRKKHAGNSSDSSNELLPNGGRRPKQIGIAPTRVVSQTGDEESSDEERADKQEIEAFQYRISLIIDDLQSDVEGR >CRE28388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:270829:272516:-1 gene:WBGene00056409 transcript:CRE28388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-72 description:CRE-PQN-72 protein [Source:UniProtKB/TrEMBL;Acc:E3LM44] MPFGSVQRTPSSSIRRPSNRLSVRPPLPPPTTHQTLTTTTPIPSTSSSSPSTFPPIPTTTQPVSLPSSTHVATIHSVGGSLRRPIRVQQLQLNLRRKPQMTRGQFRRPQSNSKFPLTRHQPTHRVTKPDRVTRPIVSTTNEPITTTTEEEISLTVAGTTPEGIQRQSSNLKQVDDIEAAILQAVIESSSPEPPPSTTRRQRIHRIRPGHRHRPAPPPRVDAIEEAVLRAVLEATPSAPIPEEVTSTTEGTTTTTAKIEEPIETTTLKTESTSLFPAFASRRHGHRRPTTVIPPTPEMTTESTVIITEPTTEPTTTTTIPEETTTSAEESTTSVTEGTTTEQVTDITIALPEDDFEDINAKISTEDHQLHQIEDNVISALSTQTSTASSSPTPPSPLPSTLPSSPSPPSPPAPPTEFNLQQAGPPGSMPPSDDIVDELNDSSDFLQVAQRLHLLRRLLQ >CRE28063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:268647:270341:1 gene:WBGene00056410 transcript:CRE28063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nol-5 description:CRE-NOL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LM43] MLVLFEVAAGYAVFKLSNEKKLKNVDNIWEEFNTAEKAQENLQLVSFKKFKTTAGAVEATSEITEGKLSKTLKKLLKSSVDETEKLAVGDAKLGNLIKEKLSLNCVHDSSISELMRGVRAHIEDLLAEHKEEMNAMNLAVAHSLARYKVKFNPEKIDTMIVQAVSLLDDLDKELNNYVMRTREWYGWHFPELGKTIQDHQAYAKVTFNFFPRSIVKTVGMRQNCINTDLSSILPEELEEKVKEDAEISMGTDISEIDLIHIKGLCEQVIELSAYRAQLFDYLKNRMTALAPNLTVLLGELVGARLISHAGSLVSLAKAPASTIQILGAEKALFRALKTKKDTPKYGLIYHAQLITQAPPKVKGKVSMARKLAAKCSLATRIDALSDETATNEIGIECRAALENVLRTESERGPSKKQSFPSHRHDKYEFKSETYEYDAAADAPTSRKHKRFEDNEDNTSAVKKMKIEEVEEDGEPEKKKKKKDKKVKKEDSDEE >CRE28062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:265892:267883:1 gene:WBGene00056411 transcript:CRE28062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tyr-5 description:CRE-TYR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LM42] MHRIIILGLLFLETTTAYVTVTKYTIGNKTYYEKFEQPWFRHMHYDHDPVEASEKEESAFGKHYVPEDDVFIAPDWTAHEAKYMGCLNRTCVCGYFKGSLCYWNFIISLFLFLGNVKDEECYLPSGKILKRAVRRELRSLKDEDRIMIENAWNAMKKSGLYNKIGRVHKYSGVHSGPAFTLWHREFLKRLELALRDHMKNPDFGLPFWDSTLDSLLPEPKDSIIFSELFLGEVDDKGFVKNGPYHNWETMEGRAQIFRNFGEDDSGEMLNDARVDWLINNPDINMILGATMPLTTCPMNHTLDARMLEFSHDYVHFFVNGDMSKSYSSSNDVCFVYHHGMIDWIFETWRQNMQTREERETQYPKSDERCFPFWHNGDNSMPLLQVLNSSCFNSYIISEIMSQPHKNKDALSNGYTDFMYEYYPRSTCSKDKPDCHSPYLFCYIPPNDEHPPSCVSKVRRNGVCKGFEDWPICYWGKCVDGKCDEKAKPKKELEKFKKFEKKLVPFIM >CRE28061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:261818:264384:1 gene:WBGene00056412 transcript:CRE28061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28061 MFTPMCQCLSSGNLSNYVERASEVLPKVQRQDFCEVVVDEYVTASNFADYLEAFEGGMYALRLVMEFQTVELPDVGEVTVPIALSPPLQSVLFDISSRLADASISHLLTKKIRKRLDDHVSTRMAELIGNRVEELKDAWHLPTRSTLQVLFDCQLLSVMFPSENMRSVCTAIESHLDPFDVSLLSPLLANNVKLVYTRTQVLFSCLVADTFSNKEVQLPQSFSKIQDLAVHIEQPSRIPMVPRLDRTMSGDKKNGGSRLKNNKYLANPSQQGSSKSTPSLSAFYDRISSSWFGGANN >CRE28387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:256188:258410:-1 gene:WBGene00056413 transcript:CRE28387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28387 MNSLEYLIANTHTLSDASIMDALAALTSSPNLGNHEEEYDMEVNSDDEMKIDLSALINPSVFNALQLPIGTGRFPNPSPPRPNSGTNTPIRKTPGSRPDRGKFTILDGTEVAGPEEVLNVDFNSDILQKIFCDPKLGIQFLARYGLIPNTRVCRVQDCPKDQLMSLIKHANGFVWRCRSCRKRREKRIITKISVYEGTFLFYSRMPLNKFFIFMLIWCENPGLSITEYNRLMGENRLVEETIYNTIGFMRDIIQNWCDTIIASNVPIGGPHRIVEVVETLSTEQLSNKTRNRRTRHYTTRTVFVSLADDKIKSVDFPLHNVHDLERALLECVQPGSIVVMRDSYMERFGQQEEISNALFNHYKVKSICDVWPDFNEQERSKQFIKTEMNQVPNVNQEPYAYEYFFRRCFSDKCFNHLLRVIRLLYQK >CRE28060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:253747:255827:1 gene:WBGene00056414 transcript:CRE28060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cogc-1 description:CRE-COGC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LM39] MDVDRLMRDLTVDQLENIQQNLEKEMEGKRENLREMVGRRYRDVLEASSEVRHVCSLAEKLASDIANTRISYQSSHIRSGSRDEQKAGEHLYAINYLVSSIGSDGGEPLDDVVAFCMVEHLLKQLISNHSSAMIHKNARALTNRIVATRSELELQNSSTLVDISRSDWATNQLAAIALLQGKDIGQLLELYLEKRFEYIIRLIEDSATILNIVDEIKNTLSVVEELFVHGELIHAIHSVCNGQYRCELIREMCADQAYSFERTINEDLDRVWRYMREKLSGRGAGTLPSQLVGEKCAAWIEKTCAVTHKLVAEVCEYFDNLDQVIDLLQAITVSLKQDWPRIGSSRSVYDKLLQTAVVDKAKILLIQMIESIELSAKKRFESTSDGPPTAIFDERTYRPDSQSHIGISTQLYKCVKTLWESLEQMNEKCTQFESICAPMADTVTPSAMKQTMAANVLQLLLRLCDLHSDKQNGAARFLARARLALALVHSDSSLISTLLDKDSQRITSLNQRLRSIIEKNLE >CRE28059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:252536:253494:1 gene:WBGene00056415 transcript:CRE28059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-txl-1 MPVINVKDDQDFKNQLSLAGPKPIIVDFTAVWCGPCKMIAPAFEALSNQYLGAIFLKVDVDVCEETTANHGVTSMPTFMVFLNGNKMETMKGANKEGLEQMVKKFADNSASGSLVDGQSDLTTLVDKKQMESLNGCDDTPLDRFLEGNCNLVSDCDEQLIVSLPFNQPVKVHSILIKGVSDRSPKKVKVFINLPKTIDFDNAAGLEPTQLLEFDDTATKGEGQIIPLKYVKFQNVQNIQFFIEDNIGGGDVNFEFSRSVLLLSFQVTELVKLTVFGTALSAMNMNEFKRVAGKAGDAH >CRE28386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:249644:252114:-1 gene:WBGene00056416 transcript:CRE28386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdt-1 description:CRE-CDT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LM37] MSSRVTRSRSRTETPQTVVTDFFKTTRKPRIRGEKKIIEENEPCQVSAPAKPMGISQVEEETNESIEVDQACVAPAPEEKPSRSRARTPSRNAELSTEDVTGTPPKKSRESVIRVGAPCLPSETPDIILDSAATGKSALDELMEVSGPLKKRTGIRTVADLQARLAAKGAARAVHAQNSKNKAKMVEEHAEMLKSPKKKLMETVSPVKSKAARTLFSPKKSLPDYVVPGFNVTKSAEKIREEQDDAYEQEGNRMTAQFLKVSKLTEDVKESVRQRIELPSTYEHLADSFKRVDQIAGIFLGQNRTCLASELFKSVKSTSGREFSSYHLSQILHVYPEAFHVEMREQRKAFGQGGKYELEIRPNLIDDLRGYILEKTPVEDNDELPLVCPSKLLSPKKSPRKKVQPVARQPDIDGKIRLDAARQRDRAHIFRHKLTTIVMKHHEEFLESQGFLSVSGIKRMHPLFRLDVHCPKLPQVKLPEPPHQKSSVHMGMKEALEKQIDLEKVSLPASVQRLISDLKSPIKTSTGPSAQVPLSPKKFSEMQAEQKSKGTMTLLERIRAKEAIKKAADALVDKDLEKRRQRLTLLKERYVRIVCNHYTSKRAQTMELDAIAKFVQFSSSTPSTIPDIIDHLKLMCEVAPMYVAEAIFMNKKYLRFSDNNIEAINEILVEELGKTQEKIDAQRNNQIAQMSHTPRPKAARSLKFH >CRE28385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:248044:249450:-1 gene:WBGene00056417 transcript:CRE28385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rom-5 description:CRE-ROM-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LM36] MYGVRLFGYLSSRSFTKCSNLLSRFTRDGLRDRLRHQEIKELAKNREVQSVAKQAFGPDARSVRPLSDLWKALQFTIATGATTITIASIVEIWRRRKEEEELLPRNVFEAVYLLWKGKSLKPFMTRYFTNSYASKSLCSPMLLSAFSHSSIIHLGLNMYVMSTFAPHIIEKFMGPEQFWSFYITAAVVSSFVSLLDKAVMRSGIRALGASGAILAVLTYTCMQIPDARLSLIFVPNFDFSAKHAVYGIIAFDLLGLLLRFRLFDHAAHLGGSLFGVGYALFLQEALWDKYGMWIEQLLFSDDRD >CRE28058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:247411:247845:1 gene:WBGene00056418 transcript:CRE28058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28058 MPVVSVENNEQLLSEYQGAGDTPVVFYFFSECNLLCDDVNPLFNQVADEYPQVLVLSVNYTCQSVVEGTDFTIESTPTVIVGINGIESCEDKLVESQITEESLRALFQKYSN >CRE28057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:244184:247183:1 gene:WBGene00056419 transcript:CRE28057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sulp-6 description:CRE-SULP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LM34] MSLFFDLEFRFSDCDFYSLLPYGTNVIQNYTKSRQSDVPDQTKFDHTYRFNRAYLSHFGKIAFHIKNIGKWKRKEWCRFAKGLMPITMWLPHYSWKSSLLFDFLEYPSTVPLDYCSFIPGLAYGMLVGVPPSYGLITGIIGPFVYALFGTSKHASPGSFAIVSLMVGAVVESFGHSVSIDEVDSIPNITKEFCCRENKPKVSDSDAIAIATSVTLLAGLFQILFGLMNAGLLAVWLSDQLVQGLISGAAVHVLTSQLKSMTGVTNVPPTSEPFQHMQFYVCFFSQIKNIEIPAVIISIVSVSLLLISAYIIDPWLCKKIPVKFPMELILVIGMTLTVHFTRNTSYHFNVKTVGEVTSGIPAPFVPPMNNLFGMMGSAISIAIISFVIHISLCKLISKKLQYVVSSNQEWFALGLMHSTSSFFGCFAGGSSLGRTMMQVKCGTKSQLSTIVSSFVLIIFVMGAAGTIEHLPKPVLASIVVVAMKDLYIQIFTCSTLRDKNFVDYLIFATTFTSVIVLNVNFGLIIGVVFELLTVVLRSQWFIFIWNSKNYSEIYSRADSALLGRIRGTNHFRRLGLYETTYDIPGIKVFRFDSPLYFANSELFVGRIHEACGLNPLIVRGEIAETDKKRKAKEKKKEKADAENQHSKENETSESSPTLLLQSLLVSELEVSAHQAKVLDEKQPQLDPDPAVNFETTQLTHIIIDCSAILYVDLMGKDVLIDVYNDYKTIDISVLFTNTQERVRQLFETTNFFDEVPRNRVYVSVADAVDQAELEQRRKNDANIVLMKAAPKPTVAPPIAKSVGQKSVPLGDNTLVKTQSSASLVTGVEFSVRDNTAKEGTIQKKPRVPSKSNIHWDDLDKTQTDSSKR >CRE28056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:243232:243817:1 gene:WBGene00056420 transcript:CRE28056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28056 MVVYNCFNDEDFLRKSEHGIGKRAIYYFYTENCPSCLRIKPLFDDLCKKYEKTSLVYTYSCYNDDQLTGDAFAVNETPTFVVMDNGEEISRHVGGEAEKVQEIFEKYAI >CRE28055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:240924:241801:1 gene:WBGene00056421 transcript:CRE28055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trx-4 description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:E3LM32] MTLPINSDEDFKTTFEEKKGRPVILFFTATWCGPCQQIKPLVEEEAAKHKDRLSILKIDVDECEEACEKYSISSMPTFLLIVDGVTKDTFNGANNEKFSNMVAKALE >CRE28054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:236305:239221:1 gene:WBGene00056422 transcript:CRE28054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28054 MAQVESEVTAGNVRSLVNRLSRDMSNRNPFSVHQSVEKRAVPPVSSQPQQQLYIATYAYSSKQEDELSFVVGDIIELISEVEDGWSKGKLKTTGAVGMFPTNFVTLKAAPTVPSTSSSSTVASSNAARPKTGTGDVVMRVEPKAIDRTPSTVSSILLDQSPALKISENKFNSTVSSATPASVSTESPSSETAKTKEMARVKFVYNPQHDDELALKDIDMLLNITNKNCGDAGWFEGELNGKKGLFPDNFVELVQVPLGTESGMRYASISRATNLTLIGHKPPPVNPPQPAVPTKPAKIVSLSAPPRFLVHFPLTQSSSLIHVLIVFQTESTPPSSTTAVTSQAPVGSISTASSTNMPSVNPSGGLKNNNFAALREKMSKNLNVVAPEKVASIQQKPTEQRDRSSTVESSTGEPSVDHSSGDQVTELQHITKNRARPPKNRPMSMVMNRNRSSDESPTGRLSSPTSAATSYPMSASMFVPAAKEVSIPSNSSASSSPFKTSSIAPVTLVPPSSASKPALRPVTSNSLPETNGIIAMSLISFRKVLISDSSFIRKLASPDSGKSPDLSSNPDYVSRAEYDALLDRFRALESRVIALEKHRF >CRE28384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:230378:230791:-1 gene:WBGene00056423 transcript:CRE28384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28384 MNAEDVVDQVERLRREKNMYLDFLRLNYRILDRYEQIHEIFLSSLNSATSEAMRLHEQQKLDEINTVIASLRNMILSAEKKNECKLFSD >CRE28052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:226894:230179:1 gene:WBGene00056424 transcript:CRE28052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28052 MTDSHGLQSPEVVVEKYTISKKKSSIGFEIGNNVTVQLSVSSDVDNGRERDSSNVHTKVIMDHKGEIQYLRGGILSSNGPIVGFRSIHESVGESLNLINLQTKQRHRFKDFPHRTIDLAFAHHHKFLAVLNADLSLYVFEVSDSCEPCVYPIPLFWFHQTNFRKKYISINNWPSSPSQDDQPRLSWCPYIQGDDDDDEASHMIAVYSGKQLYIVNIGILKDAGLGDDIDFDDARKEEGGLFSHCFNNQAENKDVRISSVCISPDSTAVAVAKTEGPVQFFTFNEESNTLNDAHCFEPRGFAKSGLIDDLIFLDDLTPNRKSVPFWRFCVVVSNNGHKVALYECQSWKCLGRIRFETTRAINKFISIPDPTANFIHLLDVDGMKVYTVEIMNSFRSNEQPRFIGITQTSFCHPIFSISPISSTTFDISSEDSEDDIFNNDSNDDATDDESDASESGEIKMKHVKTKRYFVAMGKRSMLELQLTLKKHIPSPLVNFAESSEKETSPSVYVDTEQEPLNSLTLQGLLMQKTTSNSGLNLDVVNQKLDDVIAALGRIEAERKENNEVMVQQIISALDENIRMREGYMLNRIEQLCENGRLDTIAALRSGIHNLNEQIVSSVRSSGVETAEYISQRVGSSARNALTDCILPSFERSCEVLFERLNEHFRCGINEYLTSTSQLIQGTAMATMQIAAQQQQQQQQKNEMDRVALLRMIDTDPEGALEAALNKANESLLEFVCSKINPEKILIPGNTKLSQRCLLALVSQFTVSLNRDRDLKFRWIELVIPEIDMSDPEIILVVTPVAQKLLMSLQELIENSPDEQVRRYVRLLYQMTRSSLLQPATPSQRTSTSVLSKFLSDHSQQ >CRE28051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:223674:226003:1 gene:WBGene00056425 transcript:CRE28051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ace-2 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LM27] MRAPVIRRHLTYHVFCQFLLLAVFVRNIETRSIVRGDHVVHTPLGTIRGVGQTFDGAKVSAFLGVPYAKPPIGSRRFEMAEMIDRWSGELEARTLAKTCYLTIDSAFPQFPGAEMWNPPGAISEDCLNMNIWVPEDHDGSVMVWIYGGGFFSGTPSLDLYSGSVFAAKEHTIVVNVNYRLGPFGFLYFGDDSPIQGNMGLMDQQLALRWVHENIGAFGGDRSRVTLFGESAGSASATAHLFAPNSHKYFRNVIAKSGSIINSWASATPPTMLDLSLRLAKKVNCTSTDMNVIAKCLRSVPAHLVQAEADNISGDIGPPMTFAYVPVSSDANFFQGDIFQKLANKQFKKDVNIIFGSVKDEGTYWLPYYMSLPKYGFAFNHTISAEDPHNRALITREHYEESMKAFMPYFAGSKLVLNAFMNSYEHVSTSNVPEERYRDGVARFLGDLFFTCSLIDFADLISDNIFGNVYMYYFTYRSSANPWPRWMGVMHGYEIEYAFGQPYWRPHLYDQSQLEDEKRLSSIIMQIWANFANTGSMYLMYSNSRRIDSFWPQYNKIERKAIELGESTLHGKHRIISDVHGGFCRMIDEAKAFVKQKNANDCRTARKSDTSSVQTSSSISSSLYLFLIFTLSILFSCISL >CRE28383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:212605:217663:-1 gene:WBGene00056426 transcript:CRE28383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28383 MGSARRIALTLLFFSSISLVWSQDCSSARLTATDVLETVQIYSNEYALDDDHVSYEIASSPPSNSYDQVSDSIRIMVNNQSSCPAACTITLNRYTDSFQGAESTVLFFDTDHSFYLPSHYIKDNKTTLVCVANNGSCGATVPIYRYYKISNGGVFHAYSIDQDVVYDGYNQEFLPICYAWTVPTATVASRPTCGTLTFDIPPEMMSDLNIYDNKQDGIERDHYYTTLHSTDSSLVSYNLTGVLGKVLTSSKSTACSCLVKLQQQFDNQTGYFHRLDHKLIVTGQESNRPYEEYVDTGETIYCSKRLGDCGATLPLWKHFQFYDVDTVYTTDSSPLPMSYLYPQTPLCYIWPANYSPNASNIVYGTAAPAPPVPLTTSLPGISESTITPTTPTGTTGSTRFLDSSGSTSLSTIIPMGQTSQSTLNTSPTASTPTTPVSMLISSSGTTVSSSLLNSSTETTLSTSSVFTINTIPTMQTSGIQPTVTASSMSTATTSQSTNPSTLSVWGTTGSTASVPTVTTSDTTGTTGMTTPTSGTPMTTGTTRLSDSGGVTPGLIIPMNPSITLPTTPDYPMTPGEEVTEVNVDTEPPLEEEFTRPTPETFTLSNFWFLTTTTQSTIVSSGTPATNPASPAATLPVGTVPSIMSTVPILPVTATSLPSSPATLPTASPLFPASPVTANNVPNPTGTIPPIGSESVDTTSSTPALPITTTPPPPVMVTGPLVLIIPTMPPQSSEAPTTALPTTLPTAPSSTLATTTPTPPSQVSTIGTTHSLDGSPATPTPQHMAQAAPTYSIVGGALDSFTNPPIIAALISSSVASTPSPTQSQPTQPVLNLIPSTATIVSTTQDPGIIWKAGDWISNTWHSVFGGNDQVVVSTSSASPTEDVTTPRPIGGLVGSLINSSGGNATNSTNPVSSFLNSTGNFIDQHLNSNSSQAFVNNTINWIDDKLDSTGEFLSNAWNSLQSNNNTSGSFVNNTQTWIGGALNSTGGFLSNAWDSLSGNNNSTGIISNNTQSWISGAVNSTGNFISNSWDSLSSNNNTQVFVNNTQTWIGGALNSTGGFLTNAWNSLSFDNQTSGNVVNTTKTWIGGAVDNTGEFLSNAWESLSDNSILDKTKTGIGGAVNSTGSFLSNAWNEIKGGSGNSLNTSQVNETLNGASQVTQNVTSSADEKKDDLTVKNDTALASAPHSNPVGSVASVILPIVNNSTSDPANGKVEWNGGLVSGPITG >CRE28050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:211614:212488:1 gene:WBGene00056427 transcript:CRE28050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28050 MRYLILLALTITTAFSASISKSVYSEPHRVDKKDSIEHEISEALKDINASKHLIRMTMHVNDTDDEIPASFFRDVENVFSGMFDAIQNTHVPFFNHETVSPINETANQTTQDLLTRTRDDLKEDIQILKSRITHIRSDFNAWMHSNEHQEFRSMVIGGLSAVVVLVAYFLIRKCCRRRSRLNHLAAFANDGFSGNGDTDSLLPTKNNKRGRHYPSNSEEDI >CRE28382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:208721:210964:-1 gene:WBGene00056428 transcript:CRE28382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28382 MANRSAVLPTEEHSQRDTPSHHHEVSKSYYNRQENIFQPSIRTTAQYAGSTKKHVPVIDIESFPKENPKLHVPTQDARFSSDTQPSSRFSNNSQKKNERAQKNSFNLTSKKQSTYSDHIPVFHRSPNKDLASNKRASSKGNSKNSTKCIPSSQISSKSKLPPKPHAASGKIALTINDVGGSSSRNTKGHNIGENRLIKNQSLLPEPSYSDSETDTLNNNATEFQSDIDIKETATVDIQDFVETVDTVTQETETHPATDQLPIDSEIKTPAHSESPFVTTDDIETSSNCMDSPACVEQKTVHVTANDKDPNENTMSSIASTTQEADVSNASTYGNEFRGNPTNSIAPVTGKTMCKQQSVRYSIEAEILCNANQSAILKAPKAVNTKPVNTRASSKNRKSVPKQTEYDPKVRVFVDVASIFFIKEYLSKLKEAEDVVKRSKSEFEAKKKFTMFLPEAFNNSSFSESTLEVQFFVDVDLNTIIIREAFQQLYGRFNVRNVRVHYNNKGERLGSGTMVVVEDYTEGVKNWVPDRDWRALRMMPLRTRDMIRHSEAIVKIYLEFQSHILWTEEKIEVFIPTGYSYLWFAKRFKEKLQDEFHATDFKLVYDVNGQCMKVAIILLTYANAETLNKVLRCNEVFDPKLRIRMLEVVDKPIDFASRE >CRE28049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:203324:207231:1 gene:WBGene00056429 transcript:CRE28049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msh-6 description:CRE-MSH-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LM22] MSKRQSSLMSFFTKTPKSDKPDEKGETKESKKDEDKKVLKRTNSQTVSSPVKIIKNTPKRARVVVSSSSEGEDDDKDEDFEMNEDEAANESTDGSEVDENASDDCEVTYESTPQTTPKNTPKRAKKMTKRLVDTPKSVKSSGLSNVRKVIPDIDEVSMVAVKEKMNKIMEGGGEKRKNVEKGGSKKKQEEEPAERFDHESLDWLKPDKIRDGCKRPMSDPEYDPKTLWVPPEFHQKQTPGHRQWWTIKSQHFDTIILFKVGKFYETYHMDAVEVVRALNIAFMRGSYAHAGFPEHAASKFADQLMNHGYKVARVEQTETPQMLEERNQKTKAKDKVVRREVCRVTSNGTRTYGVLDGVDLGSAASTLDPTAKHLLAIKEFHNAETGKSSYGICMIDTTTAHIRIGQFDDDDYRSKLRTLLANVIVVQTLVERNTISASTKSIINGILFSVPIEHLLAKKQFMTSDDVVRLVSNDDYFGSDVSGWPDVLKSMLDESSVLPKPSSDWNLALSAFGAIVWYLRDSLIDVDMLSMRNVTFYDSKTSKTEKQSSKDKKIDWTGKNLILDGTALENLNIVPNGRDSHLTSLYYVINKCSTPFGRRLLRSWLLQPTCDPKKLRLRQEAVKWMTSSDATSFVNVATATLKKIPDLDRLLQKIHTIGLKYRAEKHPDSRAIFFDTIKTNQKKIGELLSAIDGFKLCNQLRKEYLKVQKDGEGCELLDELLGNEQQMEEVDENIYFFEKMFDRTTAQKDGKIVPNEGCDEEYDQATARVKEALGELNAYKDTIAKKYSCAIKFVDSGKVKYLLEMPENAKVSSSFELKSRRKGFIRYSTPDSEELVAALDTAEKEKSKLGDDATRRVFEQFGHKNPVWLETVRLVSNFDVLTSLATFSNSCPFDMCMPEFDFDSTKPYLVVEKGVHPCLALQSRNEVTQTTSFIANSTMMGEKEAAVMLLTGPNMGGKSTLMRQTAVLAILAHIVIVFQGCKVPAFSMRLTPIDRIFTRIGANDRIMCGESTFFIELKETDIMLKNATKHSLLLVDELGRGTSTFDGTAIASAVLQKIADDIICRTFFSTHYHSICDSFTNHENVRLAHMKCVVDKENNEDPTMEDVTFLYELESGICPKSYGFYAAKLAGIDHQVVRNAYAESNKFASNLIIDPKIRHLVECARNESFDVSKLREMIEAI >CRE28380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:197968:200086:-1 gene:WBGene00056430 transcript:CRE28380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28380 MKVEYDSQEFDRTLQIEVHILTKLKQSKDVLNIIDCGKRKHYTYMVTTLCGKDLMALKLKIQRGFNDTTAMRVALFTLYGLKQLHEVGFVHRDVKPGNIMTAANRGHDSRFLILIDFGMARSFVITGDDGRKKLRPMRRKIPLRGTVRYCSMNVHERTEQGRSDDLIAMIYTIIFLTIGLPWAQIKNENEIMSMKKSTKDATLFEFQTIRFQLMTAINRLKINFLDPYEWEDEEIEKMAKVEQEEKEEKEKEKQREKEMEKKKEKEKENEKEKEKEEEKKVDKEKEYEMGKEKQKENDLKRGQMETAKSAKSTDGVFGEADEEKKLKVKTSDEQQKTSNVDICNKLHSAKDLASSHSDANENSQMGSVTKTGDRKHFFSKFFVTVTSSAVPGHVNDMETAIDYDQSEYRTKKALPSKLNNDSSACIHRVFSEEELQFVVYPAIAPNNFTEVIIPF >CRE28379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:192587:197147:-1 gene:WBGene00056431 transcript:CRE28379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sep-1 description:CRE-SEP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LM18] MRIIGKSSTKRELDDLEILIKDVNSIITSFVEQTVELQQHVADCFLSEFDIHGSIDLRAITKLARITSRYASSESFQNLGKYQRITQKLFNAWQSLRKDALECSSKYKASIAVIPAKLCFFFFYNGELCKAVVCLLDYIELVPEDVLTMEAALRWLMFLGETELAEKKIKQWKMEKSSEDLFEATKIAISYLKKSDNRVDMLKKMIDLRDKIRAENVRSFPKYELASYVYWLCSTFSNVPVGKTLNGCEFPDRMSQLQEASSKAEAIIRNRVPGLAAYQFDNSVSTSIWPFLEEKHHGSSTDYIHLGSTVAWHFEMRREWSLVNVSTAQTRDSMSSMILNWRIALKSASFFRILQMTNLLAYYTTIIEEVGTEKNAKLMRISVVNLLSANPVLVRCSTPKESRGPSRAQTPLPGEKSGYVPHISETMVAPLEDLMEDLDLFDEQPFHSVTRTCPCNVCLHYPLSCTFAAEYMMSYCIHSNFNQTSLKHFNEEFIRIRERGMSSQTMMQRNTQVRPRPNIIQNEVFGMCVCQWLVRKIDSKQYVDQESLEIFNNALKIVRYLNIRTTDLMLAVQQLGRQLEFPQDCDYSWMQPVIKKPRVKAGIENAIDLFRAVSPFGRRQKCDIQVDDRPFDSARYEKIRTEMKLEMNLYGHILYREWRCRLFPYIGRTSTDPWEAGYAWAESTLIGSRNAIQCRLEKCRKGMVTISGKERFEACVRTMPDDMTLVQIAMADDKKIYMIKLHADREPIIMPLAHYSQAVELMDKFTFMLEEDERIAKYPGEMTPEEFWKRRKVVDARMKLFLEEVQNNFLNVAANLLMPSGRLGENAAKIATKIFQSSKGGLLLGETKEMVYLSGAMDFKSWKTLVLRFCGMRKIDETFVSYLPTFHKRSIEEMKRDQEKNTSTSNVKKKYTYLVICPHLSQFCWERLPIFDDFPYVGRQVSIHSLFSQLEAMRNQEKQIPLQIDVQNAYYVLDPENNLGETKRRMLDYINNFNWKGIVGEAPSSSDITTALSQCDAFFYFGHGSGSSVMPRSVIKQSTCNAISMLMGCGSVRTIPQALGFDGKSALHDYAMAKCPLIVGCLWTVTDGEIDRFLIRMVDDCFEKTRTATGIDRLRQLSEAMHEARSKAKLRYLTGAAVVMYGLPVVSKPDVEKENNLHTPNRNRSVSGRMTPSRQKSPLGNSGNAPKTPAQNNSLSVRKGLLISKEISTPEHITSNRRASRKDGQLNLAGNSLNAVQAATPLRAGHALKTPGKTSKVDVKASSDRDLKTPKKTKTFPADFDESDELPKRSTRQTKPTTQTVSEVLSSTVEARTTRSSARTPSRSRRF >CRE28046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:190729:192480:1 gene:WBGene00056432 transcript:CRE28046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-neto-1 MLSLHAIHFADIGFLYPPNVDCVRVIHSRPQHDVIVKFHHVFQIESTYDKIEAGEECPNDFIEFRDGRYGFSPLIARFCGDRMPKREIRAVSGIHLKYYPIETYHFRFSLDSFPIRCNVRISRIFSRICYSSEFYTSGFVSYSLFIECLLEYLNGLDGFIRTKDLMKNLPQNASGTLDCVWRLAVPSNFRIAFYVKEFALKAPNQCAQNFVEVYSGDTSDKPLRRFCGLSANDVFSPSNEMFVRFYLSDVRSLNNTSISALFSSYTRSEKSIPKTQIQLVLLVKNCTQEGLFACGDENCVPKSLACNGRPNCPYGRDERVCSVGQDTIFNFLASGFAPLVSIILIVLIVVSLICFYTIRKNHCALPPL >CRE28045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:186270:188840:1 gene:WBGene00056433 transcript:CRE28045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28045 MIPGDADHLSYPNDSAHNRFRIGARSKAVSNPSREHTNTLNPTKEMASPVPPTQQEAGLKIAAVEVPSNESLERRTRDGKWTLRQLRQTDAMVPLQSGTNQFDSQRGKTGFGMPRNTQTKVDFADHDKQWLIEEQKQYSDAIVRLQSGTNQFESQKGKTGFGMPRNTQTKVEFAEHGARWAVADNSAHDSIVRLQSGTNQYESQKGMTGFGTPRDVKGKHLKRIWELEFPEEAADFQQQPVNAQPQN >CRE28044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:182982:184782:1 gene:WBGene00056434 transcript:CRE28044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdk-2 description:CRE-CDK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LM15] MPTDLAPEQDLSGRFRSLRRIGEGTYGVVFKAIHVRDNVKCALKMIRTDRDEEGIPSTCLREISCIKDLQHDNIVTLFDIIYANSKLYMVFEFIDRDLKNLMEMLEPTGRMLPKEYVKSFMWQLLSALSYCHLRRIVHRDLKPQNILVSDSGVVKIADFGLARNFSFPSRNYTHEVVTLWYRPPEILLGSQRYSTSLDMWSLGCIFAEIASTKPLFPGECEISQLFKIFEIVGTPNNKNWPGVADLPHYKAVFPQWSFDLNKLEEMSCLTGHGLDVLQEILRYPPERRLTAKGALSHRYFLQNEFTQNRPTVTELIKQIRMHSRASSSSSRQEKSTF >CRE28043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:179828:181824:1 gene:WBGene00056435 transcript:CRE28043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28043 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LM14] MKPRKKSNSKDATKNKKKQDNSSKVKKKGTPEKNKSMRSTKNRKAKNVKMQKSRRERKSSGNLENANLAINIFDQDTFSFRIETCVHPDPSIHTSLQIQAFESFAASILSSGVEGLIKEYTETLLPFVPVGYFERKIFDQNTELKKNRYKDVVCNDKTRVILNDGRPGDYIHANYPKGSKPLYILTQGPLKDSQMDMWRMVIQEGVAAICMLCELVEHGRPKCEPYFPDAEGQSITFGEITVTCTEYTLPDVHTQLKALTVVDKKTGKTHKLNHYKIVTWPDKTIPLSNLSVLRTHRILRKMNGPVVVHCSAGVGRTGTFAAIEVGVQCLLNGKTFRPVDLVKAVRHCRLHSVQMDTQYLMLVEAILDTGVAFKYIEDSKLLDAIETYKKQTADYVEAHPPPIDMVKTPVKKEKETEETPAPPQIQPQTPAPTNTIYPLLSNPIGPPPVNPPSPSPAPASPMAPNQAVPTPDPPVQPPATQQVISPQKALPAPLSSPAPAGFPGAQPTGQSVHMCYIGQQQPTNSNPGNNSQYL >CRE28378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:162657:175151:-1 gene:WBGene00056436 transcript:CRE28378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28378 MSIYQKRWSSVGSGASPSSSITRNTPTATNVLSSGVEPSTVGTSWNSVRWNKPSTSYRASLASRFENNPTSNSSYTPQRSSRFLSSAAKNAADVASIGPSVSARASRFDTTSMANKEKYRSEARELINKWSSRERNSNISNTYRSPAPRSTFRSTDSLTTTTASTPTYSNTAYRTSTSLTPTRPTITPSATASRYSTERASLLSPSPTPILHTTPKAERPWRQRMAESSRIRSTLGDDVSEAYTARRARHASSRRSSLSQDELSSYETTRVPSYSALVQQPTSYRSRQSSVESSVFSPSTRFTNASTYVPYSYRNRSDDPPTTRSSILDRSTSRSKSPTRDSLPSRTPSQAPKNSSIRESSKERDAEKQKKKSAKERTARRNSRQNSQQDSSSDEEINRLMRSRSGSQVRRKPKKKSQMETEKLIVVEQKDTLMNRSMQSSLYETGAEDSALIQSLTSQINESLTALAVAAESEGFQSCAASPLAPSISRSSSKNGVVKNQIIKSPSLIEVLGLPRSDSKTSLNKLNDQIDMDEETHDEQLTNDIDDFEVAFPFLLFSFLTLVFLSLRYPLGFRFNKFLNLSQVSESSRIDDESQYSAVAHFKPNKVKRLAPVPGLWKSGAENEEFISRNKRFAKSVSPSVENCMRLENQHGEYEKIIALKRLEKASKSIKVPEKKKPVVLKTKVDPKPDPVKSPEKKAVTAPKVAENPKEAVKPATPATPTKTTPLKSKEIAPTPGKPVTVPQTPTPAISKTPVTATKVPEKAKESPQTAVKPKENVAPVSVEPDAKAVETPKTIQVKPVSEKVSKTAANVEPEKVKSPTVAENNSKVAVENPSVKPVPVVEKPKEIPKETPKEAAKEMPKPGIEKKKIVSYYLPNNQLNHCFQLLKKKKIIPIEDEKPDEVPPKPKVLSEQKTEISKVFSKKSWGSQKTDKVMKMKNNMKGISIRCKSSGNVTTDLLENYRRKPQAERATVLVDTPVLDVNYSTVKISLREKRKKVEKPVEVVTAPPPPPVIPIESENMSRSVSVASRASDTRASIILDEMRGTEAAVEWTRDLDIDDELDHYNGILVLPDKSILEQYISRKRGKIDRYRGLRPSSMCSYASDSCASPCPSTVSELCLPSSVMVHEPRRRAQYSAEPLEHCTTPVPLNSTPRGFTTKKEPAPVIKPVPFEAPKTLFEKMIQDQANRNRTAAVSETRDPSPRRGSQEEGMSAISQQFAAAAAAGNGHGSVRYAAHIPVRSGEVSGRMSSGSVDSQQSSGTLDVASKNIDHVIDQARHRHHQHRSKFKEAIDYLDQIFEDLKKECDPDDKNNNDENNKPSIENQSVILQKPSAAFVAVKKKPTTTSVATSSSATSAGKTASASDSKRSGSTKQHSAVTAVKPTVARQKSSETGSNSSFSTVKATTNKSLPQAPSPVEVVIPVRKISQGASSNNQPEPTVAETIVLAKKTDKMDFTRRWLQDDLQSLAHLPPANIAPNASYYQDFDEHSLGSCSAEVAAFNTVKEKKNGKSASRKISDSSDMIRPRPFRPQPVYPMVDGFNGPSAFEPFSSHTLPRVASNDQIPSEMKRSGSQDPYNTLRSMRSEGDGIESSRPSPSAFQQVSPFNRGSSMRSSLRSLPDHSPVRQRVPLKNNYDVAKDPVLSIDQLVAELELNTENTFSSSDKRRSFPTSFGRPQTHQAQQHHVPADYEKPNRYRAEMRHVPTRGRAQNFATSEPAPKASVAVSKAPVYSQPIRQQQQQQQQQPKSLDEVTSMLNRAVSQFGSDQRQAHQHPSVYKQLSQQSFGSVHSNNAFETINQEKINPSRVEAMHNMFERGTAPTSWKMQQPKESYDDRNQKMSPLHEVTYATLNSYSPTPQNPTNGNGMARNTSQNHISYHDYTPQLPTTQPPQRPPGSANSSQGGYYSSNSSGIGSNYPQNQQNQYNNPRRSLIIDQQSISSRMPSVENDDDDGFYDNIGIYNDDRRYSRGSELENSASFRQLPPASNSSSGHKHNRIGSFLRKIGGVSSRPPGSAASLMSLNKISNETIIKPGGLMKSNSLSNEPWKKVVLGGASMPREANNNHKAGLGARLKNSLFGSRKRLDG >CRE20782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:384579:386352:-1 gene:WBGene00056437 transcript:CRE20782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20782 MMGPECIGDVADMLTMCNRMRVDTNYTRDVVVTGFDTPFEDFDENPSAVVVDELMKIPIENVKIFPHKIPVAYEAVTEKLPELRKNCPDDVLHLATHSVRNTIYFQQKAFSHGYCREDINGYVPEGNKIEDEDEEEEQKKLKPFVDFDYLVEEVTEKCGLDGERYGGLKVEKSDDPGRFVGGYLYYLSIREGPVNTLYINVPPFEGECTKEAVANVIREVIRFLTRNDF >CRE20781a pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:375570:380839:-1 gene:WBGene00056438 transcript:CRE20781a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-41 description:CRE-LIN-41 protein [Source:UniProtKB/TrEMBL;Acc:E3MFJ2] MAMTTTTNSAMTSMETEGGAIQQQQQSSGGEGPRRHHHQTDIIDADANDSGNELSMGGSSSEGDSMSHHRGEHSPNQHHQDSLLGQTATSSIQGFQQFTGSLFDTPPSMLSSPQQQQHQFHHGSFSPSGFGLGIQNDTFRCAVCSKIGRNGILQFVCAHKTCQTCYQMTSSQFDSHLRPSCTLCGAGSATAANFPPQMFLSPTLTTPPHQGGLLLDTVGSSSAKSSTPQQPARAFSFSLSGLPGSPSPIMMPRMSTPASMMRPPMGFPDIDMNGTTSTWSPMQVPPPLTSLKSLPSLGAQSPMMSQNVFDSLAVNDDTPVFSPLSPTNTSSHMPPSLMASSDVPRHSATIAPPRTSICSTPRLPAGSTSSTMTQVPSPYQSQQAFPISLPLAPSPVQQQPLQNQLAPIQCQGCESHVSFAFCMQCQEALCAHCVQAHQRVRATKQHAFVELSQLMATLMNRAAAQAQQQQAQLVQSGVPTRQALGSAGSGDVFFAGHATEVVNESTPTTTTTGGSGGSSPRSSSVCGQHESPIVGFCEHCPQTNSSLCAICVAQHPGQHRVQPIGDIRMAVGELTNESQLLQWQCEKTGETIKRLIEGVVTNATTAVNEIRVAFDTHVSALEERRKELLKRVETVKSLKLSVLISQAEHLKSKQADLHKAIQNATKSMDSSTETDESVLRQVFDQLTACQTCSSTTEPNNNMLNVLMLACQVNEDDRLKFTAPQDGILLNKARQFGNIESGPCAKNSSLAGDTFKKAIRERQTMIYVQLRDACGDPLSSSIAATQPSSQPLLPHQTPHLEQAMPTSDVQAFVISPNGSPIEVGMTPRENGVVAFSYFPAIEGTYTLNILVKGTPISGCPTTMEVRRGRNYDEISAKGPILTFGKEGSGDGELCRPWGICVDQRGRVIVADRSNNRVQIFDKDGNFLSKFGTTGNRPGQFDRPAGITTNTSNHIVVADKDNHRIQVFDENGMFLLKFGDRGRAVGYFNYPWGVATNSHNAIAVSDTRNHRVQIFTPQGQFVRKCGFDSAYFFKNLDSPRGLCYLPDGQLLITDFNNHRLAVLSPRNMSEMKVYGSEGDADGMFVRPQGVVIDPEGHILVCDSRNNRIQVFASDDMRFIGSFGLGPVTNTTGFQMPQELPAPYSSLAGPFGAPAFSSTPTPLTPSPRQLLDRPTDLAVGPDGRIYVVDFGNNCIRVF >CRE20781b pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:375570:380839:-1 gene:WBGene00056438 transcript:CRE20781b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-41 description:CRE-LIN-41 protein [Source:UniProtKB/TrEMBL;Acc:E3MFJ2] MAMTTTTNSAMTSMETEGGAIQQQQQSSGGEGPRRHHHQTDIIDADANDSGNELSMGGSSSEGDSMSHHRGEHSPNQHHQDSLLGQTATSSIQGFQQFTGSLFDTPPSMLSSPQQQQHQFHHGSFSPSGFGLGIQNDTFRCAVCSKIGRNGILQFVCAHKTCQTCYQMTSSQFDSHLRPSCTLCGAGSATAANFPPQMFLSPTLTTPPHQGGLLLDTVGSSSAKSSTPQQPARAFSFSLSGLPGSPSPIMMPRMSTPASMMRPPMGFPDIDMNGTTSTWSPMQVPPPLTSLKSLPSLGAQSPMMSQNVFDSLAVNDDTPVFSPLSPTNTSSHMPPSLMASSDVPRHSATIAPPRTSICSTPRLPAGSTSSTMTQVPSPYQSQQAFPISLPLAPSPVQQQPLQNQLAPIQCQGCESHVSFAFCMQCQEALCAHCVQAHQRVRATKQHAFVELSQLMATLMNRAAAQAQQQQAQLVQSGVPTRQALGSAGSGDGHATEVVNESTPTTTTTGGSGGSSPRSSSVCGQHESPIVGFCEHCPQTNSSLCAICVAQHPGQHRVQPIGDIRMAVGELTNESQLLQWQCEKTGETIKRLIEGVVTNATTAVNEIRVAFDTHVSALEERRKELLKRVETVKSLKLSVLISQAEHLKSKQADLHKAIQNATKSMDSSTETDESVLRQVFDQLTACQTCSSTTEPNNNMLNVLMLACQVNEDDRLKFTAPQDGILLNKARQFGNIESGPCAKNSSLAGDTFKKAIRERQTMIYVQLRDACGDPLSSSIAATQPSSQPLLPHQTPHLEQAMPTSDVQAFVISPNGSPIEVGMTPRENGVVAFSYFPAIEGTYTLNILVKGTPISGCPTTMEVRRGRNYDEISAKGPILTFGKEGSGDGELCRPWGICVDQRGRVIVADRSNNRVQIFDKDGNFLSKFGTTGNRPGQFDRPAGITTNTSNHIVVADKDNHRIQVFDENGMFLLKFGDRGRAVGYFNYPWGVATNSHNAIAVSDTRNHRVQIFTPQGQFVRKCGFDSAYFFKNLDSPRGLCYLPDGQLLITDFNNHRLAVLSPRNMSEMKVYGSEGDADGMFVRPQGVVIDPEGHILVCDSRNNRIQVFASDDMRFIGSFGLGPVTNTTGFQMPQELPAPYSSLAGPFGAPAFSSTPTPLTPSPRQLLDRPTDLAVGPDGRIYVVDFGNNCIRVF >CRE28042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:157282:161004:1 gene:WBGene00056439 transcript:CRE28042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28042 MKNKIMFGTKEESSEAPWAVPIEVYREEGVMHCSGTLVSSRHVITARHCFVRDADDKSFTYVYNEKNIDLSNCRGDDYIVPPNRGEADIHFATRCRDSEACEKIKLNTDLVTRKPSLVVLPGICGLDLKRDEYQDDIAIIELDEDVLFSEKIHPICIDHENYGRHSSNVTIYGFGHDRMLLTLLSFSYFKISASDKRVSTGILRSESSHGDSGGGVVLEKGDKLILIAVLSRGEPCNVERKNQADIHASVHEYLKPICEYTGICKISYWQKFFEMESSTAKDVDIEMPSCQICQEEYGDENHPVVTECGHTMCDKCIQNLKNQQGPTTINCPTCRRECNADAPKNFKLEETISLLKKLRMKPENSVDCSKCSKNDNESEMFVCRDCVNDPFQYDVLGSMNFQVELNIKSLAVCGTCAIRDHVSLRHEVISYFPLVSAQHFQSQLTSVGYLKEELEHQFYQANDTFGNFGATFHSFSQELEKMTEMIRKSRSSEVQQEFTNRINEEVRKFSEHMKSVSKAIAENQEKYKITFEKLVKKNREDEEEKCSELPERKFETACSECATKNYLDRLLCCKTCSEIVNQVIQDVLDSSDLDVVNTDTLPICSNCVINGHFGEDHKTVKFSVFQNKFDTLKRLRVVETQKDRLHEKFSALRDIYQHSIKEIVNYDDKIKKMWDITSESRGDPNHAHFVDGFQISLDQAERAVTKFQSNAGTKKKRIEDKKGMGDGRTKTEISE >CRE28377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:152018:156564:-1 gene:WBGene00056440 transcript:CRE28377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spg-7 MWRLCRKSPRQLRNSVDSCFNKLNARQFSISSFQSAKDDKSVLKQQEVLHLLAKDQRFEERLFNQVQQTVRYFAQKPNDLKKFFKKDANNNSTEKKETSSNKDSSGGKKGEDNNPFNQFPGGWQQIAVSAGILIALYLFMDYQSYREISWKEFYSDFLEPGLVERLEVVDKRWVRIVSSSGKYAGQTCYFNIGSVDSFERSLGAAQHHLQYDADRQIPVLYKSEFNFKREIPNLISVAFPLLFGYYIYRMLKGGGAAGGAGRAGGGGLGGMFGGFGQSTARLINKEDIKVKFSDVAGCEEAKIEIMEFVNFLKNPQQYKDLGAKIPKGAILTGPPGTGKTLLAKATAGEANVPFITVSGSEFLEMFVGVGPARVRDMFSMARKNSPCILFIDEIDAVGRKRGGKGGMGGHSEQENTLNQLLVEMDGFTTDESSVIVIAATNRVDILDSALLRPGRFDRQIYVPVPDIKGRASIFRVHLGPLRTSLDKTVLSRKLAAHTPGFSGADISNVCNEAALIAARDANDEISNKHFEQAIERVVAGMEKKTQVLQKEEKKTVAYHEAGHAIAGWFLEFADPLLKVSIIPRGKGLGYAQYLPKEQYLYSKEQLLDRMCMTLGGRVAEEIFFGRITTGAQDDLQKVTQMAYSQVVKYGMSEKVGPLSFETPAPGEMAFDKPYSEATAQLIDQEVRDLVMNALNRTRNLLLEKSKDIERVALRLLEKEILNREDMIELVGKRPFHEKNTYEEMVSGTGGLDENVELPKGLENWNKEGDKKENNEKKKDE >CRE28376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:151057:151912:-1 gene:WBGene00056441 transcript:CRE28376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28376 MSGRGRGGGGSSNTMRSVANALGIARYDMAKMMSQQKIEPPALYPKVQRNPVPLEMTTDMLYVSRLKVELDQRMRDSQFFIEAEKEDEIKRYLDKYSIIKREKLDIDISQMPSELVWRRSSRKSSKESRAKKRKLATDDSIIAEKLNKLEEKEGKNKEEDDEDKGEDDNESGSEKSAAEEEENPLSDDDNQEEDNDYISNYFDNGEGYGDGGSDDNMDGDEY >CRE28375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:149295:150635:-1 gene:WBGene00056442 transcript:CRE28375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crn-1 description:Flap endonuclease 1 [Source:UniProtKB/TrEMBL;Acc:E3LM09] MGIKGLSQVIADNAPSAIKISEIKAFFGRKVAIDASMCLYQFLIAVRQDGAQLQSEDGETTSHLMGMFYRTIRMIDNGIKPVYVFDGKPPDMKSGELEKRSERRAEAEKALTEAKEKGDAKEAEKFERRLVKVTKQQNEDVKQLLGLMGIPVVEAPCEAEAQCAHLVKAGKVFGTATEDMDALTFGSCVLLRHLLAPESKKIPIKEFHLARILEEMKLTEEEFIDLCILLGCDYCGTIRGVGPKRAVELINQYKNIETILENIDLTKYPPPEDWPYKRARELFLQPDVTKGEEIELTWKEPDVEGIVRFMCGDKNFSEERIRSALTRMQKSRNAGTQGRIDSFFTVSSQVKCVTAAKRKAQEEAEKMKKGGKKGGPPKKRAK >CRE28041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:141816:149043:1 gene:WBGene00056444 transcript:CRE28041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fer-1 description:CRE-FER-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LM08] MAIKDKLKNVKQKLTPRSSSSEGERSSKNKDDEENSDNDSKSSNNTSKTSKSSKRSSESSKKSGVTDISEDEGSDIELLPDDGKNFGKRKLQDTDKPSTWNILIRVIEAKDVSTGSARVRTIFDGQSKMTRTVTHAIPKWRQNILYTQKNVSLDKLASKILTLKLQRPTTLGETSIGEFSCYLSEVIHSPDRSVVAKWVALGFPGMENEESDDFACENCGFLKVTLSVYRLDESPPQLIDDDGKEQIWSGAHLSDYTLKVRYFSWELTVIYFQIRLFSVEQLIRKMINEKKLKKRQKFYVTVECGGHKTETTHETACLDDESKEDKDNNSVYSVKFQQEIYVPIQWPTVISELIFSLYSKRGRSKTCIGKATIPMKLIYEPGETGFLPTFGPSYVNVFDCERVTRFSLFSKKNRGAQQDGSRFIARLFLSIDCVEFMGESAAHRMHLDHSPIMEAESISNETGSYNAFCSMSALNMINPQFASDPIYVMMSIGSHGSISSEEKTCSSSTLPAEPNWDGCKYFSMPWGNLRPVTEVHGSFESIDYRIEVSNVLMKATNMLDKMIWEIQRIGNGAIDHVASTGIDTLDNLKEMIKSTTVYLNRVSNLARNDLDRSWLSSRKEKIVKLKEHFEKEGLEVDYSDGEVDAKLLRTLLQIRSLTVELAEDVQISIPPVVIKMMSYGKLIGFAKIPISEIFQSNEYAESGEWCGRTRPINIQWPTLLDQRNRKKEFVAVLHAKMWFGPKNRLNEWKNHVEPAEVRRFVEVYEMQSKGLTQKWKDNDTDLYDGNGEKIDGAPSLDEDRHPIGPWIVMNTREMFVPELGYKTIYDKLFEVQKKTDGKWKLFQYTDIHGKELNPEALEKASIGLRKSSWIADKLRNNGDEKGYVYSTSGALFGDQVNNDRKEMEFHKFRMRCVKRPRKIEDYDKKLDDLEFCRSQMEDTNWEYTSVKKEGPYHDGEYGADKYRRRRYIREVEQNDSPDEDPRFRLYEYQAQTAKWQLRCYIMWANDLLPVVKNSSRAFVRVSFVHQTKQTLLVDNSQNPLWSETIMFKSILIAGGTHDIMRNPPVISVEVVGECTNNEEANLGKFETTPTVICSNRDHRGTPRWFPLRFSKGRTRGAVLACFELYQEEEKDLIPLEPGKKHNAKERNEIPLEFRPQFDKYHIQFLCWGVRNLKKHKLLSVRRPFVELAIGDQEFTLDPLKDVRRNPNFPEPMIVFAEVNLPSALELSPPFIINLFDSRSFNRKPLVGCCLISDLHKYVSYIIPKTKSDQAEKWEELEDLIAGEHEKIAKMVKIPSLTKDIMVPLDWWSRYYASMSQFHRSPGYPESGMEYLRVFRLPLEEMNGYNHFTDFLDTFPFVKSMKGDFDDPEEKEKAGELKCRLLISKIKKDKPPAAINPVVDFVGPTECVVRVYIIEANGLISNARKGRIDSYVKLRCGKQKVNLKKNYRAECCDPIFGERIDMMVTIPLEKDLTITVMDKRRILSDQEIGSTTIDLENRLLTKWRATCGLSGQYTVHGEHQWRDQMTPLEILKSYCYKMMLSTPKIETRKSDEGEECGITIEQITFWFSDVMHVTEKYVFKEYNLFIRLVFFSEEVAMLNAQREKAGKEQGDGKNETDRTSESWDDVDMEMEKEKESWEKRRSKERKMKKDSLQKAETADGELRKKAKRAIRGTQLETIALFILRQINLVPEHVESRPLFSDKGGKTKNGELRMFVDIFPKEYGSIPAPFNVAPRKPVSYQLRVAVMDVRGAIPVKRSFAEPVSDLYVKAFINGMPKGHKTDTHFRVLDGTGEFNWRFLLNFDYNPWEKKVVAYTKTRYFRKPVEELVDPILVVELWDKNKFRKDRLLGDIELDLLAFIGTQYFYFEYVGIEFQRELVLRRMLVCIQKRCCTSRGCLCRCCIFCYETKCLCGRRKIKKKPFPKPVLFVEPEGYDETVNIFEARNLYGWWPMLTDEYPHDEPQNAKKKNDDVGKDPKWIMGLIEMDMMLLTKQESDQEPAGKKRSEPNHSPFLEKPNRKTWANSWLVSRIKPCIKYFWHYYGLQILLWILIIALLALTIFALIQTWPIILIEIFKGIF >CRE28040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:140626:141105:1 gene:WBGene00056445 transcript:CRE28040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28040 MTEPIDTILQVLHTSFHVVIPVLISAFAFSQCGKKPAAAPAGGAAVPKAGGESKSSKEGDKPVGGEGPKAPADKDAVAGTHDPNYQTLAGVDGNVFQEKGKPAAGGATPTPKPGGPGMAATHDPNYQTLAGIGNDCFDKKK >CRE28039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:133972:140114:1 gene:WBGene00056447 transcript:CRE28039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccep-290 MSQVAVINYPQLEEFLQKDGSTEEEVKAWYDKLADYEIEGSESLPEIELLYNGAKYLMSFGFTAAEELRDVAEQEAVTMAEKEDQWEEQKTALNLEIETLRDRITSKAEIGDSTEAFRAQIDSLKEENRQLQQSNRDRDREMADQRDRFENLASRVDTLTRERDALSDHKAQLEDTIRELNRRLSAKTEDTGGDWESKKLKLRNEQVLTISRQMQAVVTQNEELREDIDRVSDALEEATRIIEQSAVRYTEMTNKLEVSQLRIEELYNQNRRLTQILPEELVEHVTQCISAAENMLSAKPIDKINFLMEVADLLAPKFLNENDLKAMEDATGLTEAEEQKEKLERSEERIRLLQEELSAVLEESSKMRTIIREDRTGEKEQELEQLKRELVDATTLARNLFGEAMSEVPGQDPTMTLQMRILQLEHSIEQLNEKNEKQKKVIDEMQFTIEQKDENNGDVLGELNRLKDAKFGSAREEISRLETQMKFRDEQIGKLQQHCTLLQIELGRYAEGSYKPIVQPTTPRKIKRPELLLISNKKEEKPEEIIESPKKSSPRVVEDTDESQKQTVPIPLTPSKPQSPPTSSYSSGDYSDVAQQAVLISNLYYELMQLLEVFYKESSYKDEKLVEMHRSWKSTQKSYQEMKAQLEMAYEEIKKLKRENLMIEEKTLEELKSTESVELQRLVESINIGGNEMERRLGESTRMLVTERIERMRYTRQCTILKTKVERLEETTRRSREMLREKELISQRIKARLKYENDTMTIEIGKLQTRLLQSVPTDEYDKLMRKYKRLVKETTGVETNNEDIPRQEMVLFGNIPADAEVEARENMLKVLIEILKMIDVVSDQSDFWNQEAAMLQAENEELKKFIEDVENESDLKSVLGAVERRLLNTIRELRENEREYLREKKKQRGNEIELGRDSERNRKERIALFNVINILQRENKLLRDQSMGTVSLQQLEMLRSNIYEARLKEAEISQKVENIDKLKEESETEILRIRALRTANEVLSTFDGNEMQPRNNNEIAERQMQMAYFNASQQSAKAKQLERMVHLKEQKMAALNDEMRELRKWNLELITTLENMKDFRGGRRESHQKPETVFKPRESILIDQLNVDESLDKESDYEMDIKSETSLSDTSSDRIVVRTIVQDNVDAFENRIKEIKSSAQLAVQGYKEQLELKEIAIERYKKLLREKIDEGVQVIEKVEIVHQEVEVPDKETEEKLRKSEIKVRELETEIRKIRSTIKKLERKNDENVEEDERIEEMKKFLDEGVQTEWEISLPPPSVSSHLNNTNDEEIEEIPKSAKSEKVRRLEEEMQLLQELVAKSDSKDGENMRQKSEIRDLKARVQRLTKTNKELLTTCEQIKEDALAELSTFRRNNETSDEKRMTDLRVELERLRNTTRILRIANEELKNELNKLKQNAERKDNKNEPDEWERRKRQDETINSLREKLKRKETAEKEYLEKLKKREMVIETMRNDQGLRSLEIEKLQKRMKLNDPAAIKQQTNKEWKEKLEILETSIAKKNEELSVLNRNILRMKSESEAMKSRHIKEIENIQLENVERIRKEVARTREEVKKSMTIIRPLMNSIEIQTDSIQMDSKSTGGSSGRSHRSELNQVNLTSSSSSSSSSTSSSSSKTHSKTPKSTSRTPIEVVSERKSSRKSFDKAISYEQSLDHFESTTVLKSDRSDYYQLRESLQMVYFFIHNTFQLLLHFRLEKD >CRE28374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:130182:132118:-1 gene:WBGene00056448 transcript:CRE28374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28374 MRFPSAFSSSTHHLLESSPIDDDPGPSESIALQTRVSQPIAEKLVAEISDDSDEEEEELQFRSSTETNSNQAYREMVLRIALIAMLYYPLSIGLTFYQKWFIKNYKLPLLVVSGHYILKYLFAIIIRFIYECMRGPRMRVSLRDQMRWLAPIGICASMDIGLSNWALEYVTVSLYTMAKSSSILFIVAFSLLLRLERWRNSLGFETGLIAAGLFLFTWKSSQLDLTGLLLVELAAACTGIRWTVSQLVMQRDDSAVRNPLDMVAHVQPWMMIPIIPMIWLFEGSEINWNSVFSFQGHYDPWLVLGLISSGGLLAFCMEMSEYLLLVNTSGITLNIFGIVKEVATLLLAHLINKDKLTELNICGLVLCLSGMLLHGMNKRRQRTHRPLPSAPPSSRGEDSRKLLSSEELEA >CRE28038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:128231:129311:1 gene:WBGene00056450 transcript:CRE28038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28038 MSEGQLVQRRKKAEEPVNEKQDEASGDSAQSSDQEDNDKGTRLTLMEQILLLGLKDREGYTSFWNDCISSGLRGCVLVELVLRGRIQLEGGGMRRKNLLNRKVTVKSSEPTGDVILDEALRHMKETNPPETVTSWIEYLSGETWNPLKLRYQLRNVRERLAKNLVEKGVLTTDKQNFLLFEITTHPLSDGNQKTKLIKEVQESVLGKWTNDVHRMDKKMLSLIVLAHASDVLENAFAPLSDQDYEVKETRICNLTENCLQVAMKRVRSLLDLDYDQQAEKKGNDVMWAVFEAFSK >CRE28373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:122859:126089:-1 gene:WBGene00056451 transcript:CRE28373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pcaf-1 description:CRE-PCAF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LM03] MSYGVCRPIQTRTLSLVPIAYCLSDSFISVHNKRTKMKQREVLDERRRQLTYFTSCSAGTCQCRGFRPVFNNEEETVERRATRAERENEYAPMTRDLETLGSDEIIECRTCGHSITFHAEPIKELTEEEVDKYTDRISDLQTCCSELSEIDASHESLQTLYITMQMLLKSLRTLKPIEVPFIGKPNFEPDSLSPYILTKRFIASRPVDNSEKQRLAEIGALFLTEMNHWRLESFENLAENLTETLEQGRYRMMYARMDYYVNMPSKYLSFKQYQTVDIFGEKFTTTFCNYWINALNSEDYSPSGISESDLEENITDLMSFATQLKNWIENEEVTSYASSSHRRKDSSSFSSDEFELKYVKRKKPTDHSESSSPRESSVSSMDDREAPRRRKKDQASSQPGTSNASKKSPETEESMAVLRSLVRAIKLDGDLDAMEPKTKTEFEALNTEVSRGLYALQEEERGLIEFRVIGNDLDPFQCHEQLAQLVELQNLFGAQLPKMPKDYVTRLIFDSRHQNMVILKRDMGVIGGICFRPFPSRGFVEIVFCAITAMEQVKGYGTHLMNHCKDYMIKNKIYHMLTFADEFAIGYFTKQGFSDKLEINQTVHKGWIKEYEGATLMGCHLHPQISYTKFPDFSKGIQALHCGYKLENGAECRGRVFGGLEHIFRESSPPLLELRRVPGTDSLKMNKKTCYQLDERDDYLDNKISSILKKLSSDKNAWPFATPVDAHDVPEYYDYIKHPIDLKTMQEKFKKKYYVHVRLLFSLIHKCLFFQQHLFIADLTRMFQNCYSFNGVDTIYYKLAYKLNELALKLSKSSFPESTFYPELPDRRPT >CRE28037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:120302:122593:1 gene:WBGene00056452 transcript:CRE28037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28037 MTTSMLLFFQMILRVILTVILLCIATCHSLEKGDGFQVFRVLPTTNQQLLQMIRLFETADTDRADFWHAPSVVNGTVDIMVAPEHTGQFQKYLEKHGYTYQIAIQDLRTLLIEKEGNISTHSTDDAFFMKRLHDDVGFHSRLRMGEYYSYSVLSTWLQRIAENMPDIAKLIKVGTTIEGREILGLKFGKDTPDKKVVVIDAGIHAREWAAIHTASYFINLVIFDQSSLLENKINFQIVNSREGDPQIQNYLDNIVLYIIPVLNPDGYEYTRTDKTNPRARMWRKSRSPKACAFDGVRNSCCMGVDLNRNFDFRFSEIGASRYPCSEIYHGPSAFSEPESKAYSQFLASLKGRLEAYITLHSYSQLWIYSYSHRKFTYAPDIDETRRVAAKAVQELGRMYGTKYRHGTGPEIIYAFSGGSTDWAKETLKIKYSYTIELRPGYEEWNGFVLDKNQLIPTAKETWAGVTVVLDEVTNQWKSSKNRESELSRIRQEKCSDRLSGCAYWLQSSPNICRISQSTMIRDCAKTCDLCHMIAV >CRE28372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:114743:118186:-1 gene:WBGene00056453 transcript:CRE28372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28372 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LM01] MGNKHSHPEKKNKKEGNNNREKSAVYLDNEEAIFKPNFGLDQRPIMPPSVPPVAVPTKPPPARSTPSQPISDNRPPIPPRRDSNLAPPPVPSHSGSGFSRHSSATSTKSVPNGPCTPRPVSKSNSHSGSVQCMHGDPDDKLLVVESRFIAQTPGEMSVEEGDLLYLLKQSSSEWWYVKSKSRQFKGFVPKSHVVYAEVREPWFAGNISSILAEQRVMQPGLPIGTFLIRENIKTGTFVLTVRSSNSDSPRAYVIHRRPNNDGFDIRDRHDQVVITFGSLLQLVNHFSCDKVPGEVQICTKLTRAAPPLAEPTEYVTMRKWQVNRNEVKRVQEIGRGQFGEVYLAKWRGVDVAVKSLKPNHTEQLANTQFLEEAKTLTKLSHQNVICLLAVCATDKPFLIITEYMKNGSLLSWLQSLAKKLPPIPLMSEETGMKNVLVGASISAQVASGMDYLSANQIVHRDLAARNVLVGDVSSNGVPSVKVADFGLARKIDTGDQNYVMKTDNLLPWKWMAPECFDEQIFNTKTDVWSYGILLWEIGTLGKTPYRGWDSEGTLEHLAHGYVLPKPELVPDYVYEDAFKVCVHLNPHKRPTFDRLFKYFDDINFESLSMMRSISRH >CRE28036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:111434:114653:1 gene:WBGene00056454 transcript:CRE28036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnp-6 description:CRE-RNP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LM00] MFFLIVFSSFRPYIMEESAGDIENRPITTFKPVISVPMPVLPQDGEVEIYSYRNAFTLTFFQVFVGPGGKKDAQKIGLGLSKLSSKRKDDIQMARKYAMDISIKQILLRQQKQQQENQQKQQMYAQALSIMSRIYVGSISFEIREDMLRKAFDPFGPIKSINMSWDPATGVSCDRCLNISISSFQHHKTFAFVEYEIPEAALLAQESMNGQMLGGRNLKVNSMMFQEMRLPQNMPQAQPIIDMVQKDAKKYFRVYVASVHPDLSESELGGVFEAFGQIIKCQLARTPTGRGHRGFGYIEFNNVNSQNEAIAGMNMFDLGGQYLRVGKCVTPPDALSYLQPAAVSAIPASVSVACAAITAKVMAAEAAAAGSSPKPSSETGSRAASPAPPLIQSPATPTSSLPSDIETKAVLRSPNKVEVTNFVHLISNPYNIQIENFSDDVPLLPTSSVDAVKDEPMEIDEDDEKPEVKSKPFYSLAVPAPGLALPNIVSTPGLVAPLGIGVVVPTPSFITPKEESNVPVENEDTLVGNNAATGRVKLSTSQRKKMKRDKLNQMTFEEKMSQVLSQQKAVQNQRMEDPVTFGALDDNVAWKDPSNEEQTTEDGKMLAIMGPGRGGDNVASMALALMDGGSSLMLVNSAKAKEAAAALNLEPKKKKKVKEGKKIQPKLNSAQALAAAAKAGEMSDALKNEVMNSEDASLASQEGLEIRGNDARHLLMTKLMRTNRSSVIVLRNMVTPDDIDEYLEEEIREECGKYGSVQDVVIANFASSGLVKIFVKYADSLQVDRAKAALDGRFFGGNTVKAEAYDQILFDHADYTG >CRE28371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:102017:104649:-1 gene:WBGene00056455 transcript:CRE28371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rde-10 MQTRSAGFNRKESDIYGTVSFTLFLFCRESGRVQNGQPMNAGRANNGNRISVARHQNGKKNLYMEFLHDRGRRLFRQKHKEEFNDKLSDHKAKMTELYDAFRALDLQKKSELEALVHAHNSNPQLVKVSQKNKPYLFHEFIVQKCKEELSKRVREQRHNDPHNSHNIIRQHREKLEQERSQTTIANPRKLVEIFFEEDLNCFCDVQRKSAKSTLESNLCLYQGREGNPIVTKTFQFLVISVFPITFTYKTSLNQVCCYPAEISVTVFDLVDGIVENSSKLVQFDNEWCFGENPTAEDDYTLEFHSSMIGVDKYGHQQGEYPHDVFLWLQQILTKNACAKILCDRNQFNYVYYGIKNLAAYTGTNARETFNKIAEALISIQDYASIILDTPMRTSPQRWSDDDIKHQFFLNCLIPNSDKNLFCEFHDRAPVPQKYYCAKAHNARLIHHFFQIMKANKLHRFDFRPPVHEPCIVDQRDPNIPKVLSQPVIKRSDFRNFFNHTSGNSEPNHYLDQTYDPNGDDDSGDDDDDEDSHDLYYGNGQLLSGVFNSSLHRSEERNPIPRGPVYDNPPGPINDNPREPVYGNSRSPNYDNPRGPVYGNPRGPINDNPREPIYGNPRGPIYDNPREPIYDNSRSPNYDNPRGPVYGNPRGPMYDNPREPIYGNPRGPIYDNARQPRQPEAPYHNYEGPHLVRENPRHDEQDQPIMKFFFGIPEPDEYEIPVCKQIDEQEQDKCLRVAKKVGKKIYTE >CRE28035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:100438:101484:1 gene:WBGene00056456 transcript:CRE28035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28035 MPVAIITGASSGIGKGTALLFAKNKYQLSLTGRNLDALKEVAALCVSEGGVDPNDVLITAVELSSDEAPKTIVDATVHKFGRIDTLVNSAGILRSGPVLESGIEVFDELMNVNVRSLVRLTRAVLPYIISNKGTVVNVSSINGPCPFAGVTYYCMTKSAVDQFTKCLALEMASNGVRVNAVCPGVIVTNIHRTSGQDEATYAAFLEKSKTTHALGRPGTTTEVAEAILFLASDKSSFTTGQLLKVDGGRGIMHPR >CRE28370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:98418:98802:-1 gene:WBGene00056457 transcript:CRE28370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28370 MFKIIFLASIFFTTVFGGCPSISTIYLPRRVNCPLCDFVSSTQAVSGSGNQYSIKCPPKSDVWYYNEQGNEFVTPMSKVGTKGTIKCEGSKWRISVPGQSQTLSAFACGSS >CRE28033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:84333:98146:1 gene:WBGene00056458 transcript:CRE28033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28033 MSEPFDFRIDIDESQINPKEVPFWLTVASVILFLAWGTFVVLFFSRISAIFFTFVIDKYLRLSKNGIHFRIGGISISGLHAGKIMFRNVIYDNGDMTMKVSDGHVLFKYWRSVEHRHLNLSTKRASRLHLVLHGLHVNIYNNLTKYTEIARIRRFDWFFENTNMNDAKRPQNKPPDTFSSPPSSVWENMWNLLGVVHVEVSAGCVLVGNKYLPYALWTRFENLNSKTSVSESANDRALLTFDGETENVAISLVKNEQFQFTAKDKEPPRTTGNDGCPILRSASLEFTYKQDLLGYVTDDEPQSITSKLPLWSSEWRFGNNTVLSYGPWTEQQRFLIYSFFYPPDYQNVSPTVMPTRGQKRIHIKHDVKVILTKETCMDIWFMRGEQLESIRTRCGAQSSLDMSILWITTDKGFYWNMNAEFLNFEATTSLVFTKLLTCQKFNVNGSFVYPLTWNGEQSWTLDYTFTKAVAWFVWDHKRLFTDLINDWIGDEPSDISKFVPFRVHNHMRVVDSFEVILLLNESNWVDTSDMNAENVEVAIVGEKLSFDCELPFVDFLPQIQSMKYDLKGEKGVAMRAKFPPDSATEPMRAALLRLARCNSYAPPSNHGTHSLDKDTWFELWRTELVKMDFDHRYRPLVVKSNIPSDIPFSVLSDYLPPPANHPWDLEPDFLGVNILIEGSDVKFTGLLVKLLFELKNNYFGWYDSITSLDDETNKISDPVKLKASFDKTNANDMKPIEYFRTMDVDVTVRVCNIRAEMLVYSPAVDEGAEPEKVPVVFVEEVAVEVKKTKTEALIQVGVSPACAYLDPSSTGAGPGCITLSGFQFRGHAMYSSKDVAWNMGLSGTLQERSISLHTYAKLHVTSSIKSCLQAIVLHQIIESLLIFVISPDDATRVPDRMQFCQHGQLITACSIAGKKTNELLGPCQTEEEMKYRQIRVSVDSVNLTFVEEKTILQVVADPVRVTVCNAHEGRFTEHVCVRVPGISIRQAVRIREKPEVVWIEGANAAIEGISLDIEVRFSSLKMLSTIFFQLPVSKKASPTIGKDRLHFAKLHDADTKRLHFLWEDHSVWGCACFGNTCFFGDTDEIGLSFMETMKKKKFFVPGIERNAEKQPQVLQSVILKNQSMLSEQEHMFYKRPNRRDTTINIRKQSTDDTESFHSAKSQQAPGLRILQSIDMTSSYAKFVDNVKVEMPSSVTVPKFGEPGAILEWCQAHPATKITHDVATKGVNELRFPTKTQKSNNAFSVVDKKEPVEQEVEYGTTREVVGKRRLAISGVAATSFDVFVTPIGIEGIERFITAASHTIPAINPCILAHICYRECVLRKHRQPLTESLFVDDENDSDHLSEVDITVDLPRVTIGLFQCGVKKNVFKTNSTDHITANMGLFLIDRAFIQSKLPRDTIGNAFSADNSNLASTLYQLKGSAITVQLLQLTNRDAPDFGSSGTSSTPNNWDQCAISRRMSNLEPRVMLDFNISDTSIILERRPVIVLVPGKSTTTNTPINSPASAPTPTPTPVNKTSMLMLTPAVGAETIPVKRKQRIHCEHYLKAEIGSVTTSLVMARPQELTAGDEFPIYEALAPVMVSWLSVLDNFQRTVDKTIHIYECWKNVAMVKIVRLAYDAPEKKVDVKIGKNRMETTRVLAAHQSSCPSCLLLKTLFRWFAYAEKAPDAIKHRLEIRPEFEVEETRKIALMSVLSHWQLCVSKQLKLASLEDAHKFKVARSETALSALVRSERRRGKKISVKKIPNKRETRVVMETKTEQPKAILGKMSPAPFINKFRKQAGDEENSIKYLDDLEMQELGTGVKSYEEDDDEDNLQFDDDFDMEPKIDHKVDLYTWMRNAQRESTLRRRKLAGGNEAIPVDLNASGDVNNMDIQQKAYYYNIYRWAQLQWTSLDSIDRDYWHLEYSIDLKEVDIRMMAKSIKSSTDHSKQFITPAQQKVMQLRGARVDGGVIWKMERDERKKIPLHGQYNVNYNATVENIRFLIGMATVSLAKELSLVFRVAMEAKNELKLNATAESTPRNEVKVFPPANTGYDLSAEWDEKVLDMIRTYEKNKQRSATTGMEKVKVMVNGKTVVSSVVLESVLNDLYVSVTISQIDLTHSKNPMPDVPVALQTSVTFSTANEEKKNATLTKKSVSSTFKLDDLTVSITKMKLTLSEADSTNKKSDILRCTLNSSFFNIHTKIRTLGSAKEANRSSNSLVANSIATTATLRLGALDGNMPMAAYSLHDVVMRHGKELEQQLNRLAAQPASTPLSNSTPFPIVDQPLLTKVTDPKHIASAEPSVGNLKELKPFATTPVSPAVAYSTGMKINGHMARKVPVAEITFSIELTSIEMNIQLLPSLQAKYRINRATSNGITGVQANWSILLDEHFFEFCVTGQGGKTETFRLLLPSVRSDGIYQAEQGNSSHKPSTDKKLIYREGGSLQMSVVLGSVNHTFTTELLNQLMFAEHSFRTELTALINRIRSSSYVSSSTRSPMSSQSSNDRANSTANLKLLLPAQNLAPVHVEKSPLLFSIKIRTKNASNREKEKNEKKEKERKEKDPKTPKSGNLGGTDQSSSSVLEKSTPWLQLTAATPTQTAVRLTIDSLEGELTNKWVVKEEGSKERIYGNAVIHFNAKLGQKIDGSSTSDLQEFATFMTQVRVENKERNMFNSSYSYHVSLNRPIFLVKAAAIDKAILLWLNYKNTYDYWRNEREKVVQEKTTKKLSNATMFSPTQIAEDADMNLSLAINNGMYLCMPLYSHDVTEGMPALVLSLQKSNLSVLVKKELTCKASFNGFKCSFIDDFDEQALTQSFLDAAHSDQSNCIFFPEGTYQLCSKAEASNGPAKWVLSVFAEMQGVEIDLDTRIGKLAKLLVNTFSMIGKDDDDEMSLWGDQRELDSDEEKVEGASELKKLKAGEKVPWMENKMHEHSRAVFELASRGVSTKLIEAEKHKLRQYELIRFKAFRRNMVEKFRRGTNASRQHTETPPPPNRAGSNSRRNSSAKPTVLTTLKEDATQEITPSDSETVNFNLDVKVNIESGTCTVRTGKKEGSMQLGLPGIREPLKRLNLGAKDIKAMFEPQIITTTTFSIPSVEIKAYHVSDPSSRTANQFSHGGNEKISKPSARDADKLHRDLNNKSRFGNTHISGEKPPALSSKRGCFYIFVGLASMPNETVVTPHLATYLEQVLEPLPPSAVFQSQNNTREASVVDDGKGEASNEVHNIVAMDTAAFPIDFVFYLDVQSSSIRFDGKQPTSRSQTQADCVLTLPRLTLELTSKRTKDNIDNYVGGIHISGQFKGFMLKIFNPLEPELDTSRALQLSLDLLSFVISRNKNSSTELDNRVRFVFSSQISKASFEYNFRRLGELIQFPKPWYRAAIARRVFFGDQAAPRPRDDASDITGTTRSRFPTDPRSLHPPASTASTGSGSNIPHQKKPWTALVLAAIQWNEFEVTAHMSNTMGKTTWKATKGLLWGDAKLNSLNERDVSISFVLGSSELCARDGAISGIVKLNNLKISADHSLSADVKRKPRNKAKIRLDWITANIEWMSRRVLIAKWSGPCVRVEDYYKGVQENDQMVLCNLGMEVKSAWDDLQVVITKTTVDDIAAIVNRLISFFDEQLKNSRILLGNFNATTNSKKQPLIPAETRKPTTHFWEKVLDYMSEMQINEQLMGLMEREGAKVGGHMELKAKGISLVLMKGDMNSDTWAVFHLRDACIEFDPEARMNFLDNTAQQKIGILLKQTFCLKLGSRDGNQSENRANVCRVQTRFNNSSHLQKTDEILEFFIGDVMKTIGSGQTEKKKKNEVGPVQSPNSENENTAKSPTSMSSFGRFRSPASSKTKQSGTATNHNVMELFQFPGLEATMTSHQLNGVDDGDKYETVFQEPMEVSTTFVCDFFSEVAIETNFNAQVSFLPELLKSYIKESHNSSSSSHSTHSSPAVSSSKESITSETSKDLRTFICKEWKVEPKVRFIDRIKWTPPVVDEILKKLQIFDHRNTIPKVIQRAVLDPLDATLASSVIATLQIVDNKKTIQKFKKSRTDSIAPTPKRRDSRRSSSEEVSVQIDIPDIMAKIYNASSSSSNSKSN >CRE28369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:82431:83290:-1 gene:WBGene00056459 transcript:CRE28369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28369 MRRMVECNISKCTKILLIVQLFLIIVEGESKIMDPKFKISIITAQTNASPTVDPIKVTGKQKFAFDREKVVNFAITYRFNTLIVGGVFNMILSLINLILGLVNFYFLFGRYDSRLRQVAAKLKIAVEEMTSRDLHPKVKMCLSRSIPPNNPMLGEIMKLFKEPSKLFDNANGLLSDQKETRLRKMNVKKEKEENTAKSPAPKSQQPSSAISNPRSVPTNTKKEK >CRE28368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:80811:81543:-1 gene:WBGene00056460 transcript:CRE28368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28368 MVSRLECAICFHYFDDFERLPKVIDECGHTFCYACLENWLNNEDTCPMCRTPINLTAEIPTNLELLSVFEKKKRNPKCSCHESRMYYCPTCLVQNYVDWDERRESGEHTFCFGCARNHFHEMEHKVRNLETDHDDLIEIESGECDTKEGAEEEKTKISSDKCRKYSIAVAVVAVGILSIAFTVSLCLLFNIISF >CRE28032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:79409:80217:1 gene:WBGene00056461 transcript:CRE28032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mis-12 description:CRE-MIS-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LLZ2] MESDLSGISNENQISWFGFSAISFSDCVFNAYVDTWKEVCNEEFKHSLKNMTDRDRLVLLTFPFTDKTVEKSFKMMKRYCVTEIFKIPTTVTLPECKKMLLASTKDTKNQSKAEKEFAAKLERIRLLRIKISQKQNEIESINEATEVLHIMEKGQEHLIKQSKVVPKSPNRLMSPV >CRE28367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:76561:78240:-1 gene:WBGene00056462 transcript:CRE28367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-22 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3LLZ1] MGFSAVDKLIRPFLQLHEWDNGAEKIVHTTTIQILIFFGFLVTSNMMFGQPITCLVLPETPDSSTNYFHDFCFYQDKLRISPMQPSIRRSSNKGTMNVNYITREEVAVTYYQWTPFIIFLQVAMCLTPALIWKFFGLHFFYGDDFASIIRSLASKKKDDKMDSNDSDYKVDARDTLRWLELKKRERWGMHTTMLIYVAMKWMTFASLLLQFYMMANIYASGELLWGVHVSNICKKHSKNLKQLQISYELLNGAYKNLYTGVFPQIVGCKTHRTQTGAVVNEFTMRCILPQNFVNAKVFLFLYWWYVLAMLVSIISAVQFTLMLLLPKYQRYATKSLLPTLEFFLEDAQRAQSTVIHGHSDPLDHFVDYMGNDGYLLLQCASVPLSVVKIRFFLNSLYKIVLPKKLEEEIKVICESNRRQFDGQIDPYRKKDDLKKNKHMIMADGDFSKPLLSNSSPSCSPSSSHHDRYTPAPGKNLKSYE >CRE28366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:74412:76294:-1 gene:WBGene00056463 transcript:CRE28366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-21 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3LLZ0] MTKKAVESFTNPLKHIPGLESGTEQLLHSTTISILAFLCVLLASKPLFGSPIVCQVPKDWPSSSVDYFTDMCYYGNRESIHITQVIDRGYNKGTMTVNAISGTSDFYMWVPFLPILHLMLCLIPVFFWKFIGLGSFSGVDIFACLELYQVGEQEEKEGPLVLMEGWRNTKQASQVHEWIKIKKDSWYGPSETMSLYVLSKWLRVFIFVFQLWMTAYLFGEGNLFWGYYSISNIYRGISMNPLHGVFTLISGCKVFRMHMGANGKNSPDNVNYVNAWCVLSSNFINAKAFLFLYWWFFFVSIISICSAVFYTIILFVPWYRKYLLKSMIRSEEYFIEVCGAPHPELNLGKTAPLDYIMNDLGNDGYLVVQMIYDVDRYSCYRFTEHIWQYVIVNNSKETPVNQSEKPEKELRPSVSDTDMDGAGDRYRGGKDHLKKKNSTSKSDVLEPRDSNSCTKVSLSRESQPSVLVADSDEEPLIDPSLFNVLSS >CRE28031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:72786:73984:1 gene:WBGene00056464 transcript:CRE28031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28031 MADSVASIGNRYIDNNDTDMHFLRTWAVRRCQHTDWDVCERIRLCELKEARDRAAQMEKTMRWWSSCTADWRNRWSAVRDERNRAREEAETLRHNYDLLLEEKRSLAEQLYHVDSESDEPKPEILKTEIDGTKRNAVVQTIHSIPCDPPSILITSFSPAQVEAVLREPSVDESVETVKSDINEQLKAENEFLKDQATELQKYRDKIETDGKTIENLRLRIQSMERELLSAKNSKRASSS >CRE28030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:71078:72340:1 gene:WBGene00056465 transcript:CRE28030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28030 MSSPLGVFGALPTDLLTSLCRHLPMSDVVSLSQLDNEMEKHVKRFIYREIKALRVEINENESTIHFTFKDKRITQLSMRGFIWGEVIESAKCVESLEMIISKGVSTSDLFNALKRAKFSLRSIKIRIPKGGDKLPEKTRDLYSRSLALVQKHSASLRYLEITGSDGQHVFLTMNKAGSSSQMTYNQAERPLPSEAIEHDKNHTFAYSVFHAFLNAHSIKDMTLEIGSKFDAHLALQKAFQLALPYSRRAILERLTIDFGSSLKDIKEQEMKEILLRHVNADHVTIPNLQHFHCYLPHDEIELSQDFLTSLDEPIKKNRKCPTSQPYKSTISPRAVRCQ >CRE28365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:66758:67053:-1 gene:WBGene00056466 transcript:CRE28365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28365 MATWEHELREMFSLHDKDMSGFISKDDVICMLLGAEKDDKKDPVFKTNLKFLIQVIKEADKDGDSKITFDEFKEYINKVTS >CRE28029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:64701:66613:1 gene:WBGene00056467 transcript:CRE28029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tfbm-1 MNSRLPPLPALRDFIHMYRLRAKKILSQNYLMDMNITRKIAKHAKVHENDWVIEIGPGPGGITRAILEAGASRLDVVEIDNRFIPPLQHLAEAADSRMFIHHQDALRTEIGDIWKAETQRPKSVDWNDSSLPPMHVIGNLPFNIASPLIIKYLRDMSYQRGVWQYGRVPLTLTFQLEVAKRLCSPIACDTRSRISIMAQYVTEPKMVFQISGSCFVPRPQVDVGVVRFVPRKTPLVKTSFEVLEKLCRQVFHYRQKYVEKGLKTLYPPEMEDEMADELLKKCRVDPTTTSIRLGMEQFADLAEGYNEQCIRHPGLFLYDYTNKHHNLESLSKEPNALPPPVPVSYTPSESEDSKWSLRNFKIT >CRE28028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:61850:64293:1 gene:WBGene00056468 transcript:CRE28028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-guk-1 MHATSRAGWFWPSVAYQSFIRKMPCRPIVLSGPSGGGKSTILTRAMKEYPKSFAFSVSHTTRQPRAGEEHGKHYFFTEREKMQEMVKNGEFLEHATFSGNLYGTSKRTVLEIENSGKICVLDIELQGVRNIKNSHLDARYILIRAPSIKLLEERLRARGTETEETLKNRLKHAEEDLVEIERDPTLFDKVIVNDDLERAYKEFVDLLREDLEKTSK >CRE28027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:58742:61446:1 gene:WBGene00056469 transcript:CRE28027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28027 MKQKHGSRSTIVPGRKPITQIAALHDAGVTEDMSYCNEKSVLDESSNMNDTHDNDIVDERSLIQQGIPDRDINKILQRRKLEKLLKAQGRLERQMLGAKTFKELMEKHEYSERESGDENNTAENISITNKPVFAIPALPKHFSEKSMMGSPIAGSKGSGKAGLSCSTPKSVNDISMRSLRILDISHVVNTDQLYYDKVTVHNKNVLIPIVENTEQSSVARTKMGETFTVRDDQCDNKKHGQVSANEASDSPIGSIKLDRNCISKELIDTTITENIVEGGKQRRRSNKVGVQERERRHADLNSSLMNSMIEEVPSPGAKYFKNPRKKVRPIAEVPPKVFNMLSVESDKEKTIEMLSIVEEVSIEAESNGPSFVDPLSVNGSHISPIPEVNELMNTANVTPKSSCPYIPILGNLMETVRVTQENDVSSVITPKLNYLKPTISSLRKNINAPECDDILFGTRRERCTPGKNATTAKRGVQDAPTIDKTTVTVERITVKNDQRNIASNEDLSIGVPVETNRPSFNLELEMGEMSVRSSPKISNANLDSVEPADFDPTEDRERVENQPGPFNQKSSRNRVALLSDSIATANTPVYDYNPARCRFMNYQNVEEPSRSDVDGNILGKRNPGKNRKNDGLQLKKREIIEPDRNNGNRRSTRTRVKPLRFFLGERAVYVNSPNGGKRLTGVTTVIIKDKRLCKYRTGDLKLATEREQRAKAFKKKSAAGKRKRLLRDQQAGRRMDESSYDIHTDDEQ >CRE28364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:56220:57619:-1 gene:WBGene00056470 transcript:CRE28364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgk-1 description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:E3LLY3] MSSLNKLAIDQLNLAGKRVLIRVDFNVPLKDGKITNNQRIAAAVPTIEHALKNGAKSVVLMSHLGRPDGRRQDKYTLKPVAEELKSLLKKDVLFLDDCVGSEVEAACADPAPGTVILLENLRYHLEEEGKGVDAAGAKVKADASAVKTFRESLTKLGDVYVNDAFGTAHRAHSSMVGVEHSQRASGFLLKNELSYFSKALDNPARPFLAILGGAKVADKIQLIKNLLDKVDEMIIGGGMAYTFLKVAQGVKIGNSLYDEEGAKIVNELLEAAKAKGVQIHLPVDFVIADKFAEDASSKVVSSQEGVPDGHMGLDVGPESSKIFGSAIQRAKTIVWNGPAGVFEFDKFANGTKSLMDEVVKATGSGAITIIGGGDTATAAKKYNTEDKVSHVSTGGGASLELLEGKVLPGVDALSPAQ >CRE28363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:55117:56286:-1 gene:WBGene00056471 transcript:CRE28363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-coq-4 description:Ubiquinone biosynthesis protein coq-4, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3LLY2] MSAPKLYASHVPLAPLSRLFLGIGSAVTAITDPRRGDMVAAMGETTAIGPVLENIRKRMDSDIVGKRLLEEKPRISNETIDRKWLADLPEGTLGKHYSNFLDRLNTSPDARPAVKYINDLDHLYVMQRYRETHDFTHIALEQKTNMLGEVTVKYFEGIQYGLPMCVSGGIFGGARLLRKHRQELIDKYLPWVVEQASNARFFMAFDWENHFEKQLSEVQKELNVVPLKL >CRE28362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:53111:54786:-1 gene:WBGene00056472 transcript:CRE28362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uba-5 MKKQQDELTGFAENIDDLANRLKNALNKLEERKAESPDAPGNQLGNSQQEKQPTPYREKIGKLSGEVVDSNPYSRLMALQRMGIVQDYEKIREKTVAVVGVGGVGSVVAEMLTRCGIGKLILFDYDKVEIANMNRLFYQPNQAGLSKVEAARDTLIHVNPDVEIEVHNFNITTVDNFDTFVQRIRQGSLTNSRVDLVLSCVDNFEARMAVNMACNEENQIWMESGVSENAVSGHIQYIEPGKTACFACVPPLVVASNIDERTLKRDGVCAASLPTTMAVVAGFLVMNTLKYLLNFGEVSHYVGYNALADFFPRESIKPNPFCDDNHCLIRQKEYEARKASETIDLEIQEPEEEPVVHEENEWGIELVDESEPVLSSESPNNSGVAEGLKFAYEPAKKDNVSGDLSPAQAATHDLMQSIKDKLVQEAQKK >CRE28026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:52165:53000:1 gene:WBGene00056473 transcript:CRE28026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28026 MSSFRQFVWDPVLLISQMTCLQTFFYASQMSLMLFSSFFGHEPLISSVFSIQMQRSMALIQLIAAVGVSFALSYLVQRAKQCLDFACTVHFFHLICTTIYNRTLPTHFTWWILQVISTTVCTVLGEYLCMRIESQEIKLEGGPSRYDL >CRE28361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:49042:52014:-1 gene:WBGene00056474 transcript:CRE28361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28361 MTGKENSNVSTSKFNEAPTKSEKSFNVDSMKGILSEDVPFEEDIIRNPTSVNCWQRYIDHKRQNKSPAKQVFLIYERALAIFERSYKLWYHYLKYRESTIVNKCPTENAWRSLCDTYERCLMRLHKVMPRIWICYCEVMIKRGLITETRRVFDRALRSLPVTQHMRIWPMYIDFLTSHDLPDTTIRVYRRYLKMNPKVREDYIEYLIERDQIDEAAKELTVLVNQDQNVSEKGRTSHQLWTQLCDLISKNPVKIFSLNVDAIIRQGIYRYTDQVGFLWCSLADYYIRSAEFERARDVYEEAIAKVSTVRDFAQVYDAYAAFEEREVSIMMEEVEQSGDPEEEVDLEWMFQRYQSLMERKNELMNSVLLRQNPHNVGEWLNRVNIYEGNYNKQVETYKEAVKSVNPKIQVGKIRDLWIGFAKLYEDNGDLDAARRTFETAVVSQFGGVSELANVWCAYAEMEMKYKRPKAALDLMRRACAVPRPGDYENMQSVQARVHRSPILWAMYADYEECCGTVESCRKVYDKMIELRVASPQMIMNYAMFLEENEYFELAFQAYEKGIALFKWPSVFDIWNTYLVKFIKRYGGKKLERARDLFEQCLENCPPTHAKCKFSVITRCRMNKYFLFPDIFLLYAKLEEEHGLARHALSIYNRATSGVDRSDMHLMYNIYIKKVQEMYGIAQCRPIFERAISELPEDKSRAMSLRYAQLETTVGEIDRARAIYAHAAEISDPKVHVKFWDTWKTFEVAHGNEATVRDMLRVRRSVEASYNVNVTLTSVQMRVDAERKAQETTSGVVNPMDSLDQQAHQPVDGGTVTQVSINKGNISFVRGASKTVQQDTTENPDEIDLDDDEEEDGDEEGGDMDISTKTVPAQIFGSLKLADESDS >CRE28360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:43865:48833:-1 gene:WBGene00056475 transcript:CRE28360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28360 MSGDDEDMYAIALGEHYNPSSATSTISSFASILASSTDRTSESNVSSNKVDHSSLFRQLLIGSKSQIEKLKTENEELKSNLKNAIDSGGVVICPNCTHHFKDRQCPMRPRAMKKMRARNMVELEFNNPDDLQTWLFLNQLETNNDGMPTVMNVKKTSQGAEFSLKSVGSLLPDLLEKKKEDKKFQDSEKAKRKKHLETRKSRSLERIEQEKSSDQRRDRKAAFEKINEKEGSSLNCNKTNNQERKKDNPRRMDGKHEHDDSRKSRSTITVAVRKERLEDETKKESENQHKDKKIELTKNTDIHQKSELSKRKLSPTKEDVRERKVSRQTIADRIQFFDKNMEIPSKSLKTLDSLIEKHEVNETTTSSMEKSLSQKTTSFRKPIVWNNSKVPENEFAEPMVEPKKAFEFKVKVIDKKKKMPPNADNDTRKTTACTIFEPTSKYKFVPTSKKNIPKKNADEQVGSSLSTKSCKTDKIKSPTLNNNRLLETSRVTDWKLYSVKKTQAVLEHASKHNFERKNNPVSWSGKTIIINVEVQKINENTAPISESVIVRMESTEGKNSDSTYDEKSDQQRIADSMKRFGFSNEGIAVILKRQAENLKVSALKNLQHGSIQDQSDSASHISSIPSQSATSDRPARNDKNLTLVSENSKSNSSPIAKTIDLNVPIDHNVENYEDDGDVISEFFPHLANVKTHQALETRNAESSGRPISTTSFGSMVDREEDELTRLVREDSIVPPNGDCLMNLDDVDYEETITDGTEEVEEEEDNQRQKEDSIENSDWKDFCLEDLDEDLDDTERDRKISEMEHSDDDEREADEEMEWLETVEHEDEQDDSESVDDSPEHAESDIVTIETVGKEEQPMHQRSESKVLEMKSDFRLSDEEDLISPMTSTPRREEECPEVHLDVSEPPDDDKDDINQPAKDDSFLDELDWNYGTDVEEEEQNEVDETEETKVETAAVTVAARKEKRNTIRQKETIATIVLQYSPKSTGNPTRSTLERNDSMLHEFWSVFERTPDDEVRQKRMCHRIKSIFKNINSVHTLIIFAEPAETENHSEVVLEKGHLEEGEISENEDVHAENFIEEKKKPIRERIQYIDEDRQRREAGHDDRRRSRNRDEDKERSRRSSSRRRSSKENRYRNYKQESRSDKYRSLLQRRNT >CRE28025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:41933:43467:1 gene:WBGene00056477 transcript:CRE28025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28025 MRLINCSSLFSFFSAVIVVFGSDETDVELKPAFNPCKRINPCGATISTYPLLEPDAEESSGFGSGAAPPVYDEILTHEIYDLNATPELHNSTLCSCPEDSTCDFESDSNVVKVDYHVTLRFCNLETISTVCKRNDVNVRVIGAAQASGDSVQEVSNAVMFCNCPNGFSRQPAESWSGQDISLNYKCL >CRE28359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:36352:39921:-1 gene:WBGene00056478 transcript:CRE28359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acd-5 MRRVRNHSLLYNDGPMGRFVQQESPASDDRSTRNKRESVHFQSDSFDDEISNSPSSSCSTVGDLPNIKPSASKGSFLSELKPFSKRASQLIVDVPVAHLRKIKNTEGVSSITRESEHFSNTTTLHGPKRIYNGKKWSFVFWVFIMIASMIMLLTQVTSLINMFLSKPTVSQVSFLLSEGGMQFPRVTVCSFNPIKRSYVETLNSTKDLSDDLLDYLMMFNSDAMTLYGRAGGPSLHSGDNIYKQYVASHPNFTADGFFMDAGFSCGDMFKMCSFGGRRFDCCKYATPIFSDLGKCFTLNVQSSDKPWMKMQTEPGIAAGLQIILDSHLEEQFDSETDGVTPVFSSAFENGFRFYVHPSEEIPFLASEGIAVSPDSVVYSALSSSKYILLSSSAWGNCSDNWPPGYDYNFPYTAAMCSTLCKAQYFQKLCGCSPSIYNHLNSFNDCTPYETYRCMDTKMKKVVNQTTFNIEMPTCEECRVECKSQVYHSFNSYGKGLSRGALMWLSKQGDWRIQHMKANFQVVNVFFRDMSYTEYIQKRGMSLTELLSDIGGNMGMFMGMSVFTIIELSLFLSKIGWIAFSRKRRDYMYSKKKNEEMHEKELEDVVTGFKLFRTRKSGNDMSHLREKIKGLSMHRVTSEQLNVCKLAWENEPDIERRLASVTRQNSALKQHKDHKESTILPFDLKEIKDQISRGRAASMFRSRRSRSETAPPVFHEA >CRE28024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:35076:36233:1 gene:WBGene00056480 transcript:CRE28024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-51 description:CRE-COL-51 protein [Source:UniProtKB/TrEMBL;Acc:E3LLX5] MSSSASFYALTGLTGISAIIVAGVVFSYLSILGDINQFESDFSNDMSVFKVKANDAWSQMMASRRIESPTEKIAFEGLFRVKRQYASGGGGGYSGGGGGGGSGGGGGGYATSGGGGGGGGGGGGCQCAAQASGCPAGPPGPPGEAGADGEPGQAGQDGQPGSAGQADSGSAGKQCITCPAGPPGPPGPYGNAGPAGAPGMPGPDGEAGGASPPGPPGPPGAPGNDGQPGAPGQDGQPGAPGTSIVNSPGGPGPAGPPGPPGPPGQDGGGSAPQPGPPGPPGPPGNDGQPGGPGQPGGPGPDGGPGTDAAYCPCPPRTQGGGGGEFPAGGGGGGYSSGGGAGGASAAGGAGGYSGGGGGAGGGGGGSGGGYAGGAGGGSYNRRFHQ >CRE28358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:32936:34488:-1 gene:WBGene00056481 transcript:CRE28358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-50 description:CRE-COL-50 protein [Source:UniProtKB/TrEMBL;Acc:E3LLX4] MSSGTFYALSAGTAVAAVLVTASIVSMVSIIGDLQSFETEFVDDMSAFKHKANDAWSQMMVARRVESPTERLAFEGLFGRVKRQYAGGSAAAAAPAGGEAYAAGAGGGQCQCAAQASGCPAGPPGPPGEAGADGEPGEAGQDGAAGEAGASDTYAGAAGNCITCPAGPPGPPGPDGNAGPAGPDGEAGPDGEGAGYAEAGPPGPPGPPGPDGQPGAPGPDGQPGAAGTTSTNQPGPPGPPGPPGPAGPNGEDAYAQPSPAGPPGPAGPPGKDGEAGPDGAAGAPGTDGAPGPDAAYCPCPPRTSTYSEGGGGGDAGGYQGGADAGVAEAAPAAAPEAAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAAAPAGTYGGAAAAPPPPAEPAPAPAPAPAAAPPPAPAPAPAAAAPAGGYGGAAGGGAAASAAAPQYRRKKVRRVRIARS >CRE28023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:29932:30285:1 gene:WBGene00056482 transcript:CRE28023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28023 MYLNGEILENEKNRGTNRKEIDQLVARRRHCVQKLEEKRRKTLEKQKKCQKFMSTHHPNHPTTTRQKKKQKLTKRDGEFEENVERERKNEERRRYVRENKEKEQGQEERGSFFFFFL >CRE28357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:26315:28968:-1 gene:WBGene00056483 transcript:CRE28357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccb-1 description:CRE-CCB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LLX2] MAFRRRGSGDSNFSHHDELELEAGNRETLRRDAERQAALQLERAKYKPVAFAVCTNVEYDGSVDDDSPVHGCAVSFKIKDFLHVKEKYNNDWWIGRLVKEGHDLGFIPSPVKLESLRQQTAKSGKFKQSTSATNLGALDNMMPRSGSRGSTPPTPDDDEYNAKRMTNIVTTPPTKEKKKLIFKKVQQENVPPYDVVPSMRPVVLVGPSLKGYEVTDMMQKAVFDYLKHRFEGRIIITRVTADISLAKRSLLNNPNKRAMMERANSRTSNSLAEIQTEIERIFELARSMQLVVLDCDTINHPSQLAKTSLAPIHVYIKISSPKVSYSYKKSVLQRLIKSRGKSQSRNMNVQMVAAEKLAQCQAELFDVLLDENQLEDACEHLADFMEAYWRATHPPVRSPPRIKRNPMENRGPSTLFTPAQMMQGFQGGTGQMPPQLQPQQSIASMSQMGGPGQMGSMNPPYLAPPMQGGRPGMPSISVQQATGSYGGMQQQSQQQPIQQAPPPAPQRQTSYDRHGFDEYDDMDMSTRQYERGTYRY >CRE28022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:21610:25893:1 gene:WBGene00056484 transcript:CRE28022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28022 MVSIIFNGGIGNQLFEVFSLLGLAQKLNRTAIFNADDYVLHSNLGLLNDQIPLVASRVISIPLEISETTRHIYSPSCCNYQFSSILACDQTKFIVIDGGYFQSFKYFSSIDSSIKKWLKPSEREMISLKKLIRRKDELRFKTCVHVRRGDFLSDGQHAGTDKTFTIRAIDHLYTLHSGLVLIFSNDPEWVRNEIVEHLDYQNDVKIMETSKDNAIKDLYFSQVHCDSVLITAPSSTFGWWIGYLSKNQSSVYYRDIHETEDTVKYQLVEEDFFPPTWKKIGISRNGLIISK >CRE28356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:15374:17909:-1 gene:WBGene00056489 transcript:CRE28356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jmjc-1 description:CRE-JMJC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LLW9] MGKKKSSNGLISNPSPAKSPVKRNDIWSTIESGEAKSASVSHYKEPKNEPKFVHPAKLAKPKKIFDGLDIPERILSHGKIEEKSGGKKRKNRESSPKTENKKQKVDVKPKELAVVKKQNKHSTATLSEITKSTYFVEEPDNDNEVTLISNGRETKFKKTVVVKTDQKELESDDEVEDEEYEDEELEDEEEFEDEEIEDEDETDIDESEMILDPNDVERYINFESVEDEEDVEDEEEVDDEEEMEDEEMEDGEEEVSDEESVVSEMDADSDDEGFIAGKDREAHIISKDKAARSSNTQLVDFDKFPFTNEDSVVTASRAFGFMISPCDVQTFFDKFYQSNVLVVHRKQPTYFGNLFSTARLCELLEKNHLEYGTNINIAQYKNGVRTTLNGKGRVYPQIVKQHLNNLCSVQLVNPQTFDDRIWYICEVLQEQFGCFVGANTYLTPAGSSGFAPHWDEIDAFLLQVEGRKYWRVWAPESSDEELPLESSDNFTEEEMKGRVPVFEGWIEKGDVIYIPRGYIHQARTDSKVHSLHVTISTGRQWSFANLLEKLVPEAIGALIQDQHKLRRGLPIGLFDMGGVVDLDYAQEDHFTEKFKIVVDRQMSKLRNFVADHTFESSVDSMAKEFMKQALPPLLTESEKKLSVIGASIDLLGDDLVDFTAKTKVKLIRKHTQRLLMESEDSCFISHRMNNSRLFEGRPESTVDYPITGIDVYRVLSNAYPEWRTLDDIFSSRDTRHQSRKEKLAAIQILFQIGVLLVKN >CRE28020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:13295:14488:1 gene:WBGene00056490 transcript:CRE28020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cah-6 description:CRE-CAH-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LLW8] MAFLSQIQGGFAQLKQKAEAAAPSIAGSLNQLKEAPKFMESAFKTGLNKVKLDSLGKVLNVPSLGRSQSPIDIVPVITAFGEHLQNAHFEVTYESTGEFKAVNDGNSVWLLREGNSSELAISFLPEEQYHLDAVNFHWATEPMNGSEHTIGGVGYAGEMHLIHRNTRFATMADALKQPNGVIAIAVFLNESHDDNATFSPFINLLPQIIYKGSECKLCSFDFQSFFPIAEKTKEFWMYEGSETTDPFRETVNWIVIRAALPISSHQLDKLREVRAGRYDEEFSDKVPMKPLRQIQAVSSRTIQSSFRSVAGAPDLGFRQ >CRE28019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:7638:10305:1 gene:WBGene00056491 transcript:CRE28019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28019 MQQLHFIFFHFLLLIRASRAVDVFCGQLEIFNEQRFGQGAITVSSQTTTDMKQCLDVCCSIPNCDGVTFEGITSDFDDANCLLVSCEPTCQFNGPSTLNSSGVLSVLIHRIRNETTTIPTATTNKSYVPEFRAVLSPFWFLAIAIGVAAICFGLNVTLCVVYCFYCRRKKRSQKAHISTVKGGPTLHAYNPQI >CRE28355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:4968:6671:-1 gene:WBGene00056492 transcript:CRE28355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28355 MALVPKDFMTDVSIRCPKFTLEACCPICMEVFDTTSHTPKVLECGHSFCMSCIKRTVESIQVSHTGNGDVDMAFCCSLCRRSMSIPSTGVSGFPTNHQLIDAIAPQDSRVMTCTSCRLNGSETTFHICRECTITNHNFDIKAIIGDDEPPVHPDDFTICSTCVLKNHNNPCHNVVAYVPIRVSLLNLVKMNYMNFQIHYQFKRNMRSVDVLKAQMAEKSADARLILTTMLERVGRNDTEVDKMVALMEKAKSSERLDLIFKKYTHEMSSNIKLLDVLIKDGGKLKELVTEKTKFLEKGNEEISSMHCFAEPSNLKEVLNFSDVIPSQIPTDLPDIVDTFQTDTELPSEEANLPRRVASQRSLLLNEQIPTIEVIQSDINEETFWNIVLSTSEVIWFNVGRLWQTMSHGTSTALQRNNYNQESVQINIYEGITLMITGAIVAVLAFAVYSFWF >CRE28018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:837:4337:1 gene:WBGene00056493 transcript:CRE28018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-larp-5 description:CRE-LARP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LLW5] MYGTQPQGPTSSSAFVSSNHFYPVMSVPPPMTQDELKQQLRSQLEYYFSRENLISDRFLKIQMDADQFVPIHVVAGFPKVRRLTNDVDLIVEALRDSTVVEMDENCEKVRPITKRTTIIIREIPEEYREEVVKLLEDGPPFTELKYGLNDSWYVTYDNELDTQVAYVSVQHRKNEVTQRPVCVSSHKNNIRPSRIFTFQARIKAGGPPTVAPSIEVSNGEKNRLATLSNGENGMFQLRELGHTLAHYGFVPIASYRPGEPILSPFEYKTPTFSISSNSLSYHPPGPTAPPPLLQSPQQQFFYPSSLSTQRNFDEVSTASSTSTRTTASNYNNRTGSRNGVGGGSQTNQFYESRSSFSNSNEFRGRGGSGGRFQGNQSESNGRQTNGRGNWRGGQRNAVVTNGYNHQNNRQNGQQNSWRNEQNPQKSTWKPDQNGQQQNWRKGQNGQQSNWRSEQNGHSSNQWWLNNDRENQNHRFDSNNRYNNRPKPHVSSSSSSSVSSKHFSLDAVETPNNSELSTPPPTSKHSQQYSVPTPSDLPAPPVWPAPNFDRRRKSSEASSTTITTNTTTNTLTPSTPVTSIDQFPTFSDVQETTSSEQLKTVNIENGTNHSNTKVETKSFVTEKEKVRSPPIVPVPVPPTPIAAPSFAFEETAFPSLPKKVEPVKPPQKPTFSSVAAGRRNLVKQMVPEKKTTYAEKLKQREALLRK >CRE08638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2292:3628:1 gene:WBGene00056494 transcript:CRE08638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08638 MSCHVCGAPEAEPHFGGISCRACAAFFRRYFHSKKAVISCTCKIRFQNSHPCRECRIQKCLDAGMTPEKVQHKREKHPQKSQKPQKEVEILEMTSISSSSSSASHSPPSLPLSPIPFQIIPRDNSNISHVVSKWVDVQGRRSQLYGRRLDEVTYYEMCLATKIDVEIMWSVIEVIFPQLHELSTWDRDALLRNFHPKWSILVSSIDFDKNRAVYEGICTAEDYYMMVIKFWNSSMYENCEMEPKEILRIFEPFLRYYAFVLALPICEKKFNAVEYMAIALMIFFDGAHTNISPECAELCYSIKNIVLRELRGYYIDRNVEEMRFIETIDVLQLMQKGESKFQEELLVCEMNNVHIHDDYRLMIREHNY >CRE08639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:3915:5277:1 gene:WBGene00056495 transcript:CRE08639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08639 MSTSCRVCGAADSEPHFGGITCRACAAFFRRYFHSKKSGISCTCETRFQNSHPCRECRIQKCLDAGMNPEKVQHKREKHLQKAPKQQNDMEVIEVTSSSSSGSQSPSSHSFILIPFQITSRDKSNISRVVSRWADVQGKRSMLYGKRLDEVTYYETCLATKNDVEIMLSVIEMIFPQLIELSTWDKNSLLRNFYPKWALLVAAIDFERNRAVFEGYCTTIVDYYGMVIKFYSQSMYDNCQIEPNEILRIFEPYHRYYAFDLALPICGKDFNAVEYMAVALMAFFDGAHTNISSECADLCHNVKNIVLRELRGYYADRNVEEMRFIETIDVLQLMQKGESKFQEELLVCEMNNVHIHDDYRLMIREHNY >CRE08640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:5450:6854:1 gene:WBGene00056496 transcript:CRE08640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-50 description:CRE-NHR-50 protein [Source:UniProtKB/TrEMBL;Acc:E3LIZ5] MSCHICGASETEPHFGGVSCSACAAFFRRYFHSKKSGASCTCQVRFRNSHPCRECRILKCLEAGMNPEKVQQKREKHPPKKRVLQEEVSIVASTSNNPSISSSPPSLPMSPLPHQIIPRDSSSIALTALSWQKVQNKRSLMFNKTLDQTNYYELSCIMREDCSMVWKIVEDLFPSATWNLRKLDKEALLRNFLPKWSVLTAAIDMEANVQRYSKFNNLEDCKRMIVDFYTDSMPSRYRMKPENILETFGPILAYYGQHVILPIYAKNLDRPEYMALALLILFDGAYTNISVECSEMCRNIRNLIYRELKGYQMDKNYDEMQFIDTLDTLSMVEKGERKFHEELLICDMHHVHLHDDYRLLMNELNG >CRE08643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:11204:12537:1 gene:WBGene00056497 transcript:CRE08643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08643 MTCLVCGSSQSEPHFGGNSCRACAAFFRRYFNSKKFIIKCSCKSKTINSHPCRSCRVAKCFAVGMTPQKLCGQRDPNGSSNRRIASPNENVQLALTPYIPPKDTTNITSTISNFSVFEKKRIHMFSSLGLQNVYAITSLTKNDTSLTEDLVTDVFPDLGKLSSNDKRSLINGFVLKLWQIEPALDHVANRVEYRKMEEKDIQNIIFPFFNGSFMKGSELSEDDIWRIFGPHWIYFFEKVIEPIVSLSLDRIELMAVIWILFFDHGIIYLSLKSLLSSIFFLSAYIDISSKSIDLCWNIRKVIHRELKNYLVEKIGDEEAAEMRFMDILEIPMIVERGEQKFLDEFIICELNRIRVHDDFREIVKKQRI >CRE09074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:14877:16221:-1 gene:WBGene00056498 transcript:CRE09074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09074 MCLICGSEESEPHFGGTSCRACAAFFRRYFKSKKENINCTCKQRQSRSHPCRKCRIEKCFSTGMTPDKLQGNRDRNSIDVLPMTPSGSSSESSPPSLLSTQIVPRTTTNLDFAIPNWQRYEKMREKYIGGIMEWMNIYELTKMTETNYELTWNMVSIMFPSTSKLEEKDKSALLRNFIPKLWQIAPIFDCIKNYDYYEKLNDTQYEKMIVSFYKGSFVEGKELSNKEIMRVFEPFWDSFYNKTAPPIIGLNLEKEELMAIIWLLFFDNCKIFFNLTNLKFFEKICFISGYTNISLECLEMCRNIKKVILRELKNFQNEKNYDEMRFFDTVETLEIIDRGEKKFMGEMMICEMHNVRLHDEFKAILMENKY >CRE09075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:17417:18794:-1 gene:WBGene00056499 transcript:CRE09075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09075 MCLICGAPEGEPHFGGICCRACAAFFRRYFHSKKVSKKCTCKERTIKSHPCRKCRVEKCLKIGMTPEKIQGGRDRNTMDHLQMIPSGSCSPSSPPSLLTARIISRATSNLEFAYSNWKQFNRMRREVGGITYDMNIYDLTSLTKIDIELTWNMVISMFPSTRKLEESDKAALLRNFHMKFWMIVPIFDCIENPEFYENTKEEDHKNMMVEFYDGSFEKGKELTKKEIVRIFEPYWGSFYTKTVPPIVGLNLEKEELMAVLWLLFFDNGFINISSECLEMCRNIRKMILRELKNYQTDRNYDEMRFFDTVETLDIIEKGEQKFMEELLVCEMHNVRIHDDFKAILKENKY >CRE09076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:19871:20583:-1 gene:WBGene00056500 transcript:CRE09076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09076 MMHDAEKNKAFQSIFHYVGLSDDLKIKMFRDAKINEIIRKALNECMLICKEREERKERTMTVEYREMMFRFLQKSNPGMFKFAKNGAIELSEEYQKKKDELGKTETLVANESTESNDDVDQSSDDENNDNKVTGVQSYLVSRMTRQNSIIFTLGFLFPILVSLILYLFVNDSLFLMGY >CRE08645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:20863:22441:1 gene:WBGene00056501 transcript:CRE08645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08645 MCSICRPKVHLTGKALVEHINKVQSSWVAEYTEISESEKKSKVMDSRYANPSLDEDDSYVLRNQRILPSIPTTFDARTNWPKCNSIKMVRDQSNCGSCWAFGAAEVISDRICIHSNGKEQPVISAEDILTCCGKSCGNGCQGGQGLEAMKFWTTYGAVTGGDYKGDGCKPYSFAPCSNCVESKTTPSCQSKCQSTYTVTNYKGDKHYGKNEGKVTERHKHLECTSAYRLDTSSNAVPIIQNEIYQNGPVEVAYTVYDDFYHYKSGVYHHVTGKDTGGHAVKIIGWGTEKGVDYWLVTNSWGTSFGDKGFFKIRRGTNECGIESNVVAGMAKVGNGSGENSFGFVAGLMMLIVYYFV >CRE09077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:22666:23445:-1 gene:WBGene00056502 transcript:CRE09077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09077 MKFSERCMQLIYVGVCKVLKRRGIIKQDNTACADLLELLGSASVANDILDELLLGTPHDTTDPSEMKLRKFVVTQMVKRYKKEWNMKPKEAEELHKQLMGTDNLTAMLSEIPDVEKLDSEAHEIAEKKKERNKRSRENKKKKKLMQQATVPGLKENDTVEKDSEDNSRPDSSETTASVEHLDTKLTRDTSVEKPKPEGFNEKAPTSVAII >CRE09080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:26123:27901:-1 gene:WBGene00056503 transcript:CRE09080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09080 MAVEWSALPPEVKQEAYKKLDFISRNAMSLTCHCEMDIIKSMELYVPRVRFGIKEDKCLVVIHTGIGQFYRLEFVKKEGGTLVYRTQNSYDPKEATIKFLPSEDPHKTGAFLLRQFFNRNPHSLIETFEWDLEYFNEKDSCGISWETINILNHFRACKFRIKKLVMDDTTQLDMRKVMSLICDWEILERIESLRLEVDPECLTPRKFFDRTDLFSRTVPFHDSAIWMSDRRGETPGFLAQIAQISTKDCVTTARFAEMDDLCLFDAQNFPATKVNDKVTGKRQLHRNINVVFRYCKSECGFWCHAVKKEYEKLFIDYFKNEKCGLRWLCKKCSDPFEYWYYQNIGRRVLDEPEWLGIVEKPDDEDEAEFLKLKCETMRQEFENNDKKQKKENVQKHVIQKAWGFRTSNSDKNLDEIITEFSQTKISSSSDSASSSALKHDKEEELDSKALRRAEKNKKRSQRNREKLKNKKQIKKAAKKRDSDSTESETSGKLQSEKSEK >CRE09081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:28908:32224:-1 gene:WBGene00056504 transcript:CRE09081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09081 MSNEVPIKSQLDLLQEADSIVRNSSSNSYVPRARFSFKDLDRCLVVIYTGVQRFLRMEFVRGCGGVVVHRSENSYNQEDTVSAKVNDVSPIRFASAILKSVIIDQSILIGALEIEFSDPDPQEQMKIFKEMTKVLGSKDKRPGTVFRAKKFITNSSTHSQIESYFHQFIIDFSVLTEVAMEGIFFETNYITPRKVFDTTEICGEMTLSETQMFMSGPCKEDTNMLMQKAWKVDGVATCRLLDKQDVRLWEVEKTKSTKLNRKVELKRVEAPNLNLVLRWNKSECGLWIHMVKMDREENYLEYFKNETCGLRWFCKKCSDPFDYWFYRNLPRRVYHEPQWTDIGYRPTNKKEMSGFLRTVRTLREKIREELMKEPTDNDEMKTVDSGDQKSKKLRVLLQLGPGDFGACCWASATEDGKIMIHETDPQKIRVFKAYGQFRDIFVYEVEEKLKKSKNRSKVNNNGILTVPDIMTAAIRRAEERRNFAQGKGKNARSPPTPAYRKQSPEPKKTVPAAPKKKTNTKKTPVPLKSEDPIVEITPKPKNLISVEKNHAEKESDPIPTASEISAQAEKEAFERMLPNLIQKKCIPNSSEINWKQVYFYLFVLAPIYVPLICYVGSPLFDAIILFFKQLVIRQMLVFGSIENGMYSYSSVTDDGKILIHSPTEKIKKELGKYPQLRDAIIFDDEGIKKEEKKKTAKAGDRGNHKFPPMKPTPFVHDILAYATMKYRAERGIPIIVDVQNKKPMIVPSYPIFTMAVQNGKKTVRTNSRWSCFCVFIVVPVLVAVIFYFLYPLCYRVVKRYLN >CRE09082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:32372:35462:-1 gene:WBGene00056505 transcript:CRE09082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09082 MLKSSGLPKKFVPRIRLAVKEDKCLIVVYIDVDDFERFEIIQTSSGTANIYQMRNTYDRRNVKPQHFSIPSDEDSFSSILFGTSAILKHYINDPTIIGTFELEFSERDEMKQEKIVEDIERHLKLIDGPDSKLLIKKLVTNSVVHENVAAYALALLFQFDGGIGDTNAEKLVIHSKAAFPIQAFDNVFELTNNGDMYRKWRYCNSMITCYGSEGVSLLYKLAEETECFATLRFSQKYGSCVFDDQKFPIRRLNEKVELKRFVNKERNQVTRMNKSACGVWFHCLSLENEKKYEEYFKKETCGLRWFCKECSDPFEYWFYHNLPRRVYHETEWCDVVDRPESKEKLLKYEKELEVVKAKVEIEEKEKQKELEEEKQRIWEEQVSFFVTSHVLILLVFQNLPRNCWTRLPLELKHEVIKKLDLMSRHAMRTVSRRDRVAVDSSHFHVPRVRLSIKEDKCMLMVYTGIETFLRLELRRGKGGVAIYKLENSYNMKEAGIKQIPKTALLPLSISILKSLFLYKSVLLGTMEIEFFGKDELIHIELTSQILKRLWKEIGEKRSFRVKRLVFSPNMSREIQGFFVGLFGDNTVREEARVDEILIGADHLVPEVLVTKVCIQDEMNLRNTRTAHNMLKWVRDDVLGRMKWFENAYDVGFTSMRFSKPYKFPAGGFEVVSNEMLNEHVKMSRCVNKEENLVLRMNQSSCGFWMNMVKAENEAEYLEYFKTETCGLDTLCKKCCDPFDYWFYRTLPRRLYYEPEWCDVVLCQTEEVRPSVEYLAGCAGKLIKDIVAEEKLFIPDEKNQVKSWGFRKMVENVERSSESEESGESSKVEEVEQEVEVEEKSSETICELRVEASSEFSKNVYHFIDVILFSIMFFYMLYSFVH >CRE09083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:35783:37325:-1 gene:WBGene00056506 transcript:CRE09083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09083 MEWIDLPDDSKREVFKRLDLMSRYAMRCVSHADREVVDSTDLYVPRVRFSQGHDNKALIVVYTGIDEFLRMEFSEMKEARGTVIHRLQNSYDLNEASVKVLPYDEKTSVEVAGSILKTLFVHKSILIGTMEFEFSEGSEMIQIITFGLLTRSLDGNPLYPVNVFRVKKMATNWYMKSEVINAIREVLLSKEDLVESDNDILKIYPNMAPPVMAFDRTFEIDDRQKIFRQTRTFMGVNMQTLLNYARTTDGMTTGRFIKDEQRAIWDLDPTPITRFSDQIQMKRFMNESYGLVVRYYKSDCGVWMHIMPPHFEKKFATFLKNETCGLRYLCKKCADPFEYWFYQNLPRRLCNEPEWLNIIYRPYARFPNELKKYNKIVEESLKVAAEDEKRRKKAAKNKRRKEKQLAGVETPEVEVIKSWGFKKTAAKNN >CRE09084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:37761:39359:-1 gene:WBGene00056507 transcript:CRE09084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09084 MMEWSDLPDESKREVIKRLDFMSRHAMRNVSRSDMEVENTTELYAPRVRLAIKDDKCLVVVYTGIDKFLRMEISKGENGCVIHKMENSYDLKKAIIKVSTESVPTVLACSILRNLFNYESTLIGTFELEFDTCNFLNQINQLERILSVIGSKAKTGSNQFNVKRFHANWMTSLQIIDACHLSLFNCMVLEEVEREGIVWETESLTPRMTMETSKRYGEQIIRLNDIYMAERRADCRFKMVEKAMATESGYTTCRWSKIQDVFLWDHQKINSERLNKDVEMKRVVVPQIDIVTRWNKSKCGVWVHIMKIYNEKKYVNFFKNETCGLRYLCEKCSDPFDYWFYQNLPRRIHHEPEWSDVGILSQDANKDTKDIEMIENAMKLKKKFQDEEKKSKRKQKKQKKSKTLTKKDDELNSWGFEIQKKIEMDQLLKSIAQMSVHENSGEIIV >CRE08646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:40581:53311:1 gene:WBGene00056509 transcript:CRE08646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08646 MDANDFPRLFYTSMPSSSTSTHRSDRFSACFSPLILREDFRRHSTSSSFPTSSSNESVETKKNSEEEQVEIVSVKSELSEKIEETRVSDYDYSLNTFYTAHEVTSMISRNTHDKTLTPDDQNRTFNTNQQNSSDDVADSRSLNSSFGFDGHVAFVDEPTENSPQPQQPPHHFQLPTLLVTSTPSTVFDQNDDDIFFPPQSPYHPPSRQYSSSSEPMMSGLTFQLQSGIHKKSVAVEANEIALRDLRHEAFQFVKEIYPEKKCGSLEDYILLYKHDLRSINILQLITTSSDVTDGTLVEVVIGCSLDRHSGIRLARSV >CRE08647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:55671:72674:1 gene:WBGene00056510 transcript:CRE08647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dkf-2 description:CRE-DKF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LJ13] MTDQQTPSTNTTTSSSSSNSLLSNTSSSLKMMKKQQRAKSCATPNSGRKSPRLEVKAMTISSSPTAQRFIFQQQASFQIVEDFKDLKLLEEKEKQRKKEKAPDVGRRTLIVIGACPQNERIVVHPHTLFVHSYKVPTFCDFCGELLFGLVKQGLKCFGCGLNYHKRCASKIPNNCNGSKQRRPSAIPLSPSNSNILNLNERRQSRRDSCLEALDAARPSSTLGGAATPNIFITSDDCGDPVGGNFLQMPRKDRSCSWSGRPLWMEIAEATRVKVPHTFQVHSYKLPTVCQHCKKLLKGLLRQGMQCRDCKYNCHKKCSEHVAKDCSGNTKASQFFLGTADDGVSEGHDDDLSLRSGSGGHKKAQNTPSAPLQGSEGTGSPGAVVSFASALSNVPDDDVISSESANIPLMRVVMSKKQTKRKNNKLLKEGWIVHYTDQQNMRKKHYWRLDTKGITMYQDENSTRYYKEIPLNEILGVMTSPPEKVSEYLFEIRTGVCIYFIFPSFIYTFVLFSLIVLIVKVVD >CRE08648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:73150:75805:1 gene:WBGene00056511 transcript:CRE08648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08648 MILNILRLPHADEPTTSQSVNDYPLVHFSPRRHQKFRTIISVGDSDVIKDSSMTDEELYNIIHASPIARKPSTVSSTDSGYLGSSGASSSCVRSREGSTVSSTITVDRTRRGGSTTSSEGNSEADSEKSYSSFSSIASTASKYLGRAADCLVLMTKRNGWSGGDREEEEEEEEDDDEIFRAGSPADEKGSGTLDAQSWTTAIQSALMPVTPQSSVVGGKRVDKLKVPTEGETGHLGAKIQTEQEFSQLYQIFAEEVLGSGQFGTVYGGIHRRNGQHVAVKLIDKLKFPPNKEDLLRAEVQILEQVDHPGVVHFMQMLETTDRIFVVMEKLKGDMLEMILSSEKGRLSERTTQFLVAQILEALRYLHHQNIVHCDLKPENILLNSNSDFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLAPEVLRNKGFNRSLDMWSVGVIVYVSLSGTFPFNEDEDINDQIQNAEFMYPPSPWKEISENAIEFINGLLQVKMSKRYTVAKAQSQIWMQNYTLWSDLRVLEKAVGQRFVTHESDDVRWHAYEKEHNVTPVYV >CRE08650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:78455:82216:1 gene:WBGene00056512 transcript:CRE08650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08650 MPRQLNEEEEAQIFKFFAEESENATSPFELNSFCSKFRKKYETDITHNDLKVIFCKLRLKIHEKNEYDMDLRVRMMFALRVPVNSEFLVVLRKNAEVEVNRPGRIISYKKYDGGLELAMTSKSKVSTKKSKIKNPPTMLNVQIGNLREKHQLESPIVNESITASDESDGTDGEMENIALKDSFVDPAFSWFSDGPSSSNRSKRNIHKRVRYSPIKTPERKQKKKKSTTEKSTSKTADFRRENVEPNSHTARYSIDDEKVMRILNHYAPARNRSDVREAEDTRRHRIHTSTSPFDRSTYLNADGSGPSSSDAPMGTGLRFSSTMTENYFAKPDDFSSGPIVLAERTQKSPTGKYSSPWNIPPLIEMVESEDERELLTTQEEFLLYKNSRREKYEQSGGEYHYIRDNKFQDSEGSSYFTTSLIQNHTQHFPKPEINSTQEEAENIQNEDEDEELIDIETVSEEINSERREEEQCGGNVLFQHDGAVNSNEKSTEFEEYRNNMDFKVQREDSGIGGCHESADRKDDSPAEFPFYQNFAESKFLSTSEVSDKSIDEQETVDKDWDVLIKDSEERDHEMNLENAQKHNDSIPVDPNIPIESSERNGNQHYSGEESPDVVLISNKVNQELVEAFGETIHRDYEMDAERSREGEQEHDMEITQKEVEDVSTIEANVSIRSSDQIGSEMIQFIIVTETFTENGLDSKEKSTEFASNELGNEIQESSEIVKEAIGTGCNMESEGLREELNLERTQKEVESVAMGDTEPNLRETINASQLEMDLVSNNQDLNNVLDVSINNKCAQKRTHDLTNPLIYSDVSGHSTSTQRCQSVQIPVMRNNEIQEKQKSVSPSFRFAPKRPREELPEERSSILASFRIQNSMKSNETAIHKSETRITPKSSVSSVEKASTKPTMVIPPEKIHRSSIPNSKVSIKENIYTEHTLISTAPDNYEKNKRAPIGTEENPEDVRSSSSEIDSIDSTANKKPKSEFLSPKRETQKEEDSSKPVEWFIYSKALLHQLRAIIITLDSPLLVDLRKAVEDNFNTIKDKKIPIQGIISVLQTLLYVTVNSAKSEENSNSSLSLKSFLTVLRHTLNNFEISDLGAFGEEIKQEINKIGKDDKTVSIEKIRPAFETILLLTKI >CRE09085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:82543:84736:-1 gene:WBGene00056513 transcript:CRE09085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09085 MNSGSDNEEELCFELMKYVACRSSRRESYASPAQLLRRFIEKYRCPLSETALRQKLTKHLPKLHEREDVDEETKVRILFALQAPVHPSFLKELEKHAIVRTRDVCKRRIVQYKKTDGSIELSDKNRQKYLLQMRNILSYCARISKKVSPNRMPAIEMLRFYEEEYEIVELRENETFEDLVRLLNEEIYDYVHKDKETRIRMLYVMKAEIGEELLEELQRDGIVELDSEQRIKRYETKDGNLKLEVVHRSTEEDERKEEVEEREDANEDTFDSSDRVVTNSDDSESWQRKRKLRNSSSKTNATDQISDESHRVEDDYKPNVLQIPISSSQNANGNSTERQDLLTPKPEEPSDDSDHSGEKEIGGLSTSKGIRKRTDPGDLNPSSSKRIKLENSNNMEQSDNHTIKTENHQSSPMHDNIEYEQQWLEDVDALMPEPINDLMQFKDEVEEYPLENFQAVPLIKPEIQYEIPEEPLDDSTSLKDFLHLLLGVVGTLDTNCSYKSVKNINERLLKLGSRDISVPINKVLVAMGSCLQIVNTTAKTCVPDSVETMSLGEFILLLQASIPFIDHPKFDSFQEKLNQIMHEGQDKKIPITSICLAITMTVSTVAPMCQL >CRE09086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:85225:87436:-1 gene:WBGene00056514 transcript:CRE09086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09086 MSAGQAENIESDEETTNLLYNFLIEKAKTAESPLKIKELCKEFLTETKSALTVNSLSTKIFEYRVKIHELESMDEETKIRMIFALSASVDSGFLLKIKESGDVQTDHARRITEYKKKGGGFELRGIHLCPHRLSQEREKKMLEFLAKKSQTTDYVLTDSTFITEFQNYSKTSVSLSILDSRYRAIKRHLHSSTHYADSTKVKMMFISGAVVSEKYLKKLEENAEVKLDSKNRITKYVANDKSLKLKGEHSLSAKRRSEMTHRINDTVDDAHESNEDDKERVKKDELTRSGRVSRKRVSSYGVLFDQNDLERKPLTSYIDVTNIAESISRKRPKTSEKSSEKTIKTEDTVNDDSNCNLGSDNVSISMNVELIKNEEVSSPSPINHQDISKDSGTESVDSKPDVQSRTLDCTGVKTIKTENNQDKRDVFTEQSQDWVSLQEFLKLLYSPVVTLDSVKLYIVQQKMKEKIKELRHQDEEVPIEVIRSSLESCLYIFTKSAELSATPEDTAPKLKDLVLILLMVTCYYDRSLYELQRKLRTLTNDPENQTKTVAMEKVLSAFETMLNIVCH >CRE09088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:91207:94848:-1 gene:WBGene00056515 transcript:CRE09088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09088 MSTTDIHRELMEFIIRKAENIKSPFKISQLINGFKREYKRMDSADSLRHKIETLRSKIPELEGIETEMKVKMLFGLHAPVDPDFLEKLKVNADSLKLDEFQRIIEYTDTKRGLELKGRHQALKARDETTDKNLLKFLVEKSKNVDAPLVGNSFLREFKSLTRNSSSLKSLKFSFDLLKKKLFRSSNFDKNTRVKLLFISSAKIPGCFLKELQKDATVVVDEKGRITKYEGNDGSLILKGDQSNLSKMKLSLAERWNSIRQKIECGKNKDSDTDSDNNHGYEREMSQLIKFVIERAKSVNMPMNIRSMCEDFKRLYGSSNKLSCLYMRVRNFGEKICRMNEFDISVKVRTMFALSTRVSDEFLNELRKDAVVQVDSLKRIIKYQANDGTLSLEGEHSISAKRKLASVARRNKIAARAENDEVTDEEAETERKKMKATKRKTPETKNGKRSRVDLLKNVSEFDEDESETSPDESDESYEPEETIFSRSNEEFGRDQNVSSSTNDYRAQYVGNLNTTLGSTVGNEEDSDEEILDNDEGNVILKTKAGKILTHKEYDRGAEFDYEDLIQITEQDNLKMCRNQDVSSKYSLQNKDVPTVSPAMTDGDQEYVKRGLKSERFSKKRSLNAGTSSKLEMEEDGNMTNASPSSAYSTDKKNMIITEELYIVSPDGEDLVEETTESTDSVFRKTQQDPTSSITVHPINKSDYRVPFNQKPSKELSNYASSSSSEVVKKLIQPKLYMKTERLDKINDNFQQKPTTEKKCEKGFQNTVRAGKLRKSSNIRLDDRQVSTSSFVNSRKKSICVESESIGNPTKTHNQIPTEPPLKVCKVETTSCDLEVIAIVNAGHEGIEGSVESLEGFLKLLRAHVSMLNSRSLDDFEIKIDYLTTTLGDKPISIDNIRVSLETCFLSLAQKGALKSPPGEETKSLRNLMVSLKTITCYFRHSSLNSFKEKLENFINGLFVKDKVQFTHILETCCFFQRITIDEVRSALERALDFVTL >CRE09089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:96706:99329:-1 gene:WBGene00056516 transcript:CRE09089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09089 MSGKNASFELENYEETKEWINFIIEKAGKAVAPLNLTQLCETFRKETKSEQSLNFFYNKCYRFRSKIHQLKDVDTDTKVRMIFSLNASIDADFYNELKKDAEVVLDDGRRIVKYRKIGGGLSLLRKTPMQERETERKDEKILSFLAEKSNVVNTTISSISFLKDFKEKTKSPLALSSLETRYRCSKNKIYWSPHYDQATKLKMMFISGAKVPECFLKQLREDGVMVEIDEMNRITNFKLNEELGRDQNVSSSTNDYRAQYVGNVKSTLGSTVGNDEGSDEEMIDDNGRNAILETKAGKISTNNEYDRGAEFDYEDLIQTDGDQKYVKRGLLPKSERFSKKRSLNAGTSSNPEMEDETDMTIDSSHSETVYAIDKKNMIITEELYIVSPDGEDLVEETTESTDSVSRKKQQNPTSSITVHPIIKSDYRVPFNQKPSKELSNSASSSSSEVVKMLIQPKLSMKTERLDKINDNFLQGPRTEKKCEKGFQNTVRAGKLTKSSNIRLDDRQVSTSSFVNSRKKSICVESESTGNPTKTHNQIPTEPPLKVCKVETTSCDLEVIAIVNAGHDGIEGSVESLEGFLKLLRAHVSMLNSRSLDDFEIKIDYLATTLGDKPISIDNIRVSLETCFLSLAQKGALKSPPGEETKSLRNLMVSLKTITCYFRHSSLNSFKEKLENFINGLFVKDKVQFTHILETCCFFQRITIDEVRSALERALDFVTL >CRE08651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:104150:106423:1 gene:WBGene00056517 transcript:CRE08651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08651 MRGSRNLLTQRMASSRASGASGGVKFVGIAVGAAAAGAAGVAGYASYDSNFRKTLENSIPGSQNLLNYAIGVQEPPAPRLKDLRPLQFSADPKVPPKPFEPKAVEDSKENAKDSSPGEVKFVETVTKIEKRIKKADNPYIGGKSSTNPEQKNENLTDSLKKHLVNAEKATKNATGAKLETIRAIEHHIQTIREAIEAGKEGDWDAVTVAHLKAKRLAEQDLEWEKKARNAVAELVTEANLGGQGETTQLNPLVPISKATATKLTNELDEMISNVKHVDSERIFVHDYSDRVAESRKKFQLELKAVHPNLNYEEGMKLKKTDLQTILAHAHLRIDQLSQKLIDNKVLNEEKRVQSIVSKKKNDLLEKFRLEANSKLAAIPEVDKKKLDEELAHATAEIQKKYDEKLKEVVRTQKQLYDIEHAKDVDDAVQKERNVHSSAVGKALAQLEGIERALAGHIQMDIQNRKSKQLWLATQNLKETVIFGNRAKCCMEGRRAPLGDQMKTLLSCGGNSDEFVKTVDSSMAKASKVRGEYTEEDLNTRFNKVCRIGRRVAYVNEGGALAHLYSWLKSSLTIELKPKQGTTESLIPAAETNFTLLTRAEQLWKSGKKGDAIRVLQMTDGATRRVAADFIEDARRQQEALLLSRLLLAHAALTSIRSTY >CRE09091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:108541:110322:-1 gene:WBGene00056518 transcript:CRE09091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pus-1 description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:E3LJ74] MTKTIEEPVADKLNHVQSGGVHKEPKKPRVKQAKYAMLLGYQGKKYYGMQLQKDLPTIESKLLEAMEKAGWITAAQRDKPFDFFFQRAARTDRAVSAARQMCGMQLPRDDDKYQTDGAGVLNNLLPDDIRVFGMRRTINFFHPQKQCDYRTYSYTCPSFVFAKPTELTNSAFRLPKETLAEVNDILSIYKGTHNFFNYTAKRAYNDMSSNRYIVSFECKEPFLFRDEFRKEDVEFVQIVVKGQSFVLHQIRKMVGMVITVVRELHLKSAIQRSFEGQRMDIPMAPGLGLLLERTHYDCYDKKNAKDHQPLTDWGEIIEKEVERTKFELITKDMLETELVSQGMMKWLADLVHHDFTSNPEAEEPEKKTFVTMAAACASNAAKEQEAASSETSEAAKEQESTEPKIVPAPVEDLEVESEGLKIVEPEDDKQPVAASASQ >CRE08653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:110641:113618:1 gene:WBGene00056519 transcript:CRE08653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctps-1 description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:E3LJ75] MSFSEMPAEKRVKYILVTGGVISGVGKGIISSSLGVLLKNNGYKVTAIKIDPYLNIDAGTFSPYEHGEVFVLDDGGEVDLDLGNYERFLDIRLTRDNNITTGKMFKHVMEKERRGDYCGKTVQMIPHLTDAIVDWVERVAKIPVDGTNEQPDVCIIELGGTIGDIEGMTYLSAFERFQRPTLKNHLMNVHVSLILHPNATGEPKTKPMQNSVRHLRAAGLVPDLLICRSTEKLDPHLREKISRFGMMDLEQVVGVHDVSNIYKVPLLLQDQGVLEAIKHRLCLTEVCEDLKKDLKFNMTHWSHLSDLCDAFQQVVNIALVGKYVKIADAYASVNKALSHAAIHAKRKLKITYVDSELLENKPGFEEQSAAAWNMVKAADGIIVPGGFDIRGVEGMIKAAEYCRKNNVPYLGVCLGMQVAAVEFARNVLGIKGANSTEFNSELHEDQQIVIDMPEHNVEENGLGGTMRLGRRSTVFLTDDCILHRLYGAHTVEERHRHRYEVNPRVVPQMARKGFLFVGMGVDEQNTDIWNIKKRTDSSAELMQMADQAEIDLLHKIDDLCQRGGDGVTRPAVRMEMFEMKDHPYFVGAQFHPEYLSHPLTPSPPFFGLLLAATGQLTSYFSGSRSPALQVVAEEGSLLDGLQKSSSSTSGDLVGEMLDKLKINGNATPGTQRLASFH >CRE08654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:114014:118212:1 gene:WBGene00056520 transcript:CRE08654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cand-1 description:CRE-CAND-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LJ76] MVILFVIYRSFFSRSYRLVFQMSGYIIGQLVDKMSNPDKDFRFMACNDLMKDLSLNTITLEDDSTAKVMRALIRLLSDPSGEVQNLAIKCIGMLAQPSKIKSHHLEYLVEELTPHVFSKIEQARDIHALTLKAMILNLAPTATNNATSTVIKRMLPKFIDSLPSCAPDDAARIDVLDLIGEVLLRFGDAVPEMHKSCLKVMVDHLYSSRSAIRKKAIIGIGHLASVINAQLYDELVTELLTELAKASTSQTSQTRTLVVALSTIARASGSKFSKHTPQVLPHLIRFLSANDESESENDDLREASLQGLEVFLYRSPQEVAAFEKDVIEQLTRALSYDPNYEYGDEDEDEQMEDDEDDEDEDYSDDEDVTWKVRRAAAKAFEAMVSSHRESILFLSQTIGPIIIGRFKEREETVRTEILSIYIALLNQIAILIPDLQKGIVSTDENSIVTDDIVVIGDTKFSTNYLSKSQLSLIQSLAEQKDLLLRSITKSMKKYPKTGPKCIELLSALIRAYPPVLENSLDEIIPGVAGILTDKNATAQGKMIVLGFISKALSLNEPAKFQRLLNPITTVMTRSISDNFYKVSAEGLAVSCKYIEVLKELTSAGGNEEAKKLLDVIEKKFLANDTDQEVREKAISSVSMLLSSFKDQLKNETPAILDKMTERIGREMTCLGALRASSQMVVAGVVFSKEQTKTILEKVVEYIKKTSRSLRMTCLNFVEKLMKHTPPSAIPEEELTQVLGELPGLINDSDLQITNQSFSCLTYAFLNFPTCVSVHMKPILEAIIKLLQSCLIQGAALQSLLHLFTAIVKTDFPQKPTFESLLDSVTSPVYDNVPLSRQAQLAIASCAAVITESTQNIEKSRSLAKKIAQQLHVSQVSDSIRLFAMITLGELGRRVPETYGDDFAVKPEELAIKAFNHLNEDLKAAAAQTLGALAVGNLNVYLPFILSQIRTEPKKQYLLLHALKEVIVWESTVEESSKSTALFRSAIGDIWGMLLSNAAGTEDGTRSVVSECLGRLCSFDPDVLLPKLKEHMKSEDPAIRSAVVSSIKFMINDEKRTVDISLQKHIGEFLIAIRDEDLKVRRVALVVLNSAAHNKPALIRDLLPDFLPSIYEETRLRKELIKEVEMGPFKHLVDEGLDLRKCAFECMFTLLESCVDKIDITKFLTVMECGLSDQNHDIKLLNYLTLQRVANLAPGQVLQRIDRICEPLKTQLNVRPRGNAVKQEIEKLEELKKAVIRVAYMLKTRLPDVERNPQYLDLYNTIKHNKELETLAEDVLKESQRAVVYDTPMDTA >CRE08655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:121048:123806:1 gene:WBGene00056521 transcript:CRE08655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08655 MSTFSRQDQLPNLPVPNLVETLEKYIDSASALLTTDEKKRVEKEAHEFQHSELGAQLQQALENRSKNHKNWLEDWWYNVYTEIRDPLAPYVSFGALNTVYNCVDGGQISRAADVLHHWLNVWDKIRHGKWPVTSSRGVTWDMDQFHHLFSSNRTPQKPRDTMDRYFKTKEEGDTPNHVIICCNGCFWKLNILNEDDDSIKTPDELYNMLKFVKDNSSDESSGCVSKLTSTHRDVWTANRDELLRVSGSNMKHLKDVETSILFMSLVPKNAGNDHQKLITNALQDESWFVWQDKSVSITVYEDAQVMVQGDHSNIDAIVLLQVGDYAASRVRKQLWHPAKTGSDAHFEFPERLVFELNEALRHAISQADVDFYKSKQLYRARPVHFYGYGNDLCRTAKVYSDTVVQLALQLAFLKTHGCFAPIYETASTRKYFHGRTETVRGCTQQFVRFAKAFTEEKKVCLSGCPDVFTLKHFQTEKEDLKKLFDSAINAHNQLMADCMDGRGFDRHLLGLKQTLTIMNKGCGPKRAIPAFLTDETWKRTGGDGNFLLSTSFIGYMENEQPGAMGYVAAMRPDGYGCFYRIGKNRISLSVSDWASSRSNIDAFTGNILWALDALSPFLTPAQKL >CRE09092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:130339:131441:-1 gene:WBGene00056523 transcript:CRE09092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tni-3 description:CRE-TNI-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LJ79] MLIEDENIRYGGAQADDAGDDAARKAQERELKKAEVRKRMEEAAKKGSKKKGFLTPERKKKLRKLLMLKAAEDLKQQQMMKEQERQKTLQQRIIPLPDVESVNDQGKLLQIYEDMFARVCALEEEKFDINFSVSQTEAEINQLTIQVNDLRGKFVKPALKKVSKYDNKFKSSGEGKEKSNFRSNLKVVKKETDLDEIMAKKKGQTGDGKPEWSKKENKKEEEAAPAEESAAPAAAEAAPVEEAPPAEEPEAEEEEEEEEEEE >CRE08657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:133556:134457:1 gene:WBGene00056524 transcript:CRE08657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phy-3 description:CRE-PHY-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LJ80] MPSFPDSICLTYLHNFLPVDLEIVSWEPALVIYRNLLTPRQTSDFMNLIEKRDLIAQKTSDYGNQKETTHRRANGSFIEHGEAEITAEVHNKVQKRIPALNFTSAELFSALSYLPGGHYSVHYDYLTYRSEKEHDWWMKNMRNRIGTLIFVLKTAEKGGGTVFPSIGTTIRINPGDAFFWFNTQADESQEMRVNHGGCPIYEGRKVITTLWVRAKNQPILPMASTDSSIHASWLIPSFSSTFRPEMKTECPLQ >CRE09093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:134601:136073:-1 gene:WBGene00056525 transcript:CRE09093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-40 description:CRE-CLEC-40 protein [Source:UniProtKB/TrEMBL;Acc:E3LJ81] MNAKTKILERLSQVFSRETSERAGTSTPSVSTISDEFEGPEQTIVDMYDAPPKTEFEPIERQRHFGILHYTVNNRFRKMMLIGVINVILIVSFFLFIFFFVIQPKTGGGGDGFQSSTLHRGAVTTSSPPALMCTNDFVLINEKCLKLNVTAYSKPTAETICNEVGATLLSINSLKENQEVVDYLKTQNVSSVWMRLVCNNNDKSSCHWNTGDNVTYSNFTKGNPTSDAKCVSLLLSGDTTGQWKSEYCNQQLSFVCELPTTSPDNCPYNYNHHCYLRFDQSLSFSDAQSTCQKHCANLPSVHSSSENLYLTSIYGFQNQNLFLGGFASSQNCIYWIDGSPTDYINLNSFHSNFACVISHMGDGGEWETVDCSQPISSFICKRPTGTKCS >CRE08659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:138296:139723:1 gene:WBGene00056526 transcript:CRE08659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08659 MVVKFDDATTLEENDNGALQTHFENSVAFVMDHWKPLLIGGISVFVLLVSVFLITFFATSLLLRVHPKRRRKRVQNLKLRQKLVYFLFLNSVNFSFIHSENSTTITSVTPPDSYTTVDPRNQSCTNHFTLINGKCWRMFNLTHSRTSADGICFLHNGATLVSIKSANENRALVDFVKNEHLDTVWTGLLCNNGTNITSCIWDIQAGTAARYSNFEAGYPDVTYGGCVYFTASGKAIGQWGSAQCSQSMAFVCELPATVLGEKIDLDSFLVLFPDETCIFNYNGNCYTRFDNYWNFSGAQRECESRCSNLVSIHSANEMRFIQTIYSDVQSAFIRIGGIAMSQDFLIWTDGSPGDFDNIQYFQPGSCIIMATGTSKSGYWYTHPCDQGYVYLCKRPAGVHC >CRE08662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:143316:144010:1 gene:WBGene00056527 transcript:CRE08662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08662 MADARATTCYLNGLSLRTINEVEKILRSLPREGDPCEIQCSQPSTGGSVGRSTADVIVSKSLKIHEDPKPLVLSPPTKQEIPKKSKGKQTISEYSCVSLKSEEMKKMEVIASSVSGTGSKIQCKVLTDQIQVNPIMSCNGVVLSLDVEVTIPDRQEKKKMKLEIECPDFMPARIKIGNKWKTIV >CRE09094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:144196:146667:-1 gene:WBGene00056528 transcript:CRE09094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fog-1 description:CRE-FOG-1 protein; FOG-1 [Source:UniProtKB/TrEMBL;Acc:Q6E3E0] MIPTHHYDKGKRDWTISQSVHHPHHYPSNQESQSSFPVYSQPKHHCPIHSVSPFGSLNRFDFLSSFSDEKNAFSSELYDDERSRFSTESSSQVDNARLLFENFVLSGYGADFDGLLSPPATISSTQTTLFGRNSAPPYETRYPPSPSSSVFSDRPRGLFDTNFNFNQFPNREYSSANGHYRKCEPMYTAEDVHSVVKNRRTGNEPRLVSNKVFVGGISHSTNRKNINTFFGQFGTVFVDWPVKHKNNGRGESVLSSYSYLFLVYSDEQSVINLMNACKNAGNDFFVSVPGCEELIQIRPWFIKNAFYIVPKAENTRCIDVHRTVFVGGLPRIVTAEEIAMLFEEFGKVLLVTIDIDQDYAYPKGAARVVFERDTAFNRALEKKFLKFENIDSSKTIVEIKPYVVEDVGCDQCGGLWFNPFIDVYDQLSSSIKDKKQQEDLLSKIDACHQKSEIPTEHNVCHEKENEENDVVAGAQKFLAMTKSFGLDKQKTVTIDGVEYPIGPALWSRFLPPPSFEVENDSVQMSTDQKVRSFLRMKRANVYSNKSSYCQDRPCRQYYCPSCSNKLHSGPNQHNLTPAGRPERRPRKDKDMYLVTPR >CRE08663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:147159:148266:1 gene:WBGene00056529 transcript:CRE08663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08663 MHVNFAHYYIPKLCGLLAFIFNPIFIYLLISDKKLQLGNYKLLLISFSFSNMLCSSYDALVPLVREFDCGFLNRLKLQCVHVYRYAFVVFVSEGPFVRFSPLGHLALSVRCGFITVTYAILHAHFVYRYLALHKSNLIQKWFMPYGLVGTFVYCISHTMLWACVCEHFLYGDFERTSYIHDSFQDLYHENSHDSNMVIALYREGSDNVVIGSWIGVSILTASTIYSMGLYFVLGHKIMKKLKAHVNLSEKTLRLQRQLFNALTVQTVIPICVSLIPCLSVWFGPIFLLDFRWIYLSSAIAVSIFPCIDPIAIIYFLPGLRRRVQIRYSIPSTTQRAS >CRE09095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:148693:153270:-1 gene:WBGene00056530 transcript:CRE09095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09095 MEGLNLNSFQGGDSWDSTSKLIKEELLDDDYFKIPVPKVEPVFEQCEPTSSCSPPQKRRKYEQKLSWRQIIQSSVSNSDDSETQKRSKNEDQVQCRLCQQLNHSRQMKTFVSEMEKLLLMLAHLLNKTYSLEEAQSFILNVTNHHFICTVHFYESIDSIYSALDGRIVNGLFECSTEALEEFMITVNSLCHWMTPLKLCDVLYQFKLKNKWIPNTLPINTIYRQRHASSIVITENHEECCSFCHQSKKPEELKYVSLKTEKLVFMIASIIRNECTSLQGARFIYEKSEKAVCRDHFTEVILDIFAVPLEKVMRIVRSLGSKITPQEFKIALKYFILKNDGSSKESNSITQITKNDSIKLEVDDSIEETKFSHTEDFMKLSENRVNRSNTPVERLPARSVSNGLPFQALIQQKHPVVRCSICSQIEESPNLTVISQNDKLILMVKSILCNENTVEQAKQFLYDKNDHLICIRHLSETVRAIYKSLHISNYEKMVSSSLDILMKIVESFRVILTLEEFLKVFKEFVSVNTITCTSNDLFNTANNLDSSASAPKYFPGRKKRTQCAICFQWIEWQFTKCLHLEDTKFVVIVACILSGRCSPEQAKTFVSNKDYRSVCISHFSEVFDEIQKCIGFGDMKDILHCGDGPKRRIMTAVNSVAPMIKFTSFCEIFLSFLNGNKKLIIAVFDSANCSERKENIEPTSSQVSAVKEEETSVEIPNADPHQVFSDFRMSASEEPHRDFYRPCKVCSQWNHEDKMRLSLLQIEKVVIMLGAVLTNTWTLESAAHFVKVGRGNRPTCISHFSESMNAIFSVLEVESLDDVATCSPESMKTLMNVMKFIIQPNKLFKTMNFDSIQMNIKVRTVEVLLYETNGEQYQFVLNQSPVGDLFADIHVQKIMYKRQKYVMDEKCPNKYLLATENACLLIRKILLHENTKIKEFQIRFLKPAAVAKNNTLETHIRDYNEGVYPFFKFMVDNVFMYDRGPGKPSKENFRLNVEHLILRHNGKQENLMLWIPFLKEKVLKVIEIYNIMNEIPSEFTTVCATPQWLAAEEAYGWTQKPIQLPLEGYWNLKKFHFHFYVPTPKDLAHLVLHFTCHGNLIEGRITIRGHENVFKGLWKSEDEKAQKEGEELPAILTYKWNCSDGNVLRATVGVDLVVFVKGPLAQVFPHYVSQPAK >CRE08664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:153546:155883:1 gene:WBGene00056531 transcript:CRE08664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08664 MKTLAELEKEKELFKKFLAEETQNVRVPIPITTMCIQFKQTISSSASVNCLRKRLDKWRRYIHEWDGYDTDTKAKMMFGLSASVHPDFLIELKKEADVELDSLHRIIAYKKKDGSLILQGKHAYQKRRTEQQDKTMMEFLAEKAKTVDFPMADSRFVKEFQIVTGCTEKIHSLELRYPHVKNAIYESEDYDIITKIKMMFISSTNLLDNVLEEIRKDAYLEVDEQKRITKYVANDGCLELEGDHSMSAKCQASKNAYIQEIRQTTQGTSIEEINPVDDKDSSDSTVARTSKKINQIEINDTIKFLVEKTKNATSPLNMTELTKELLKQRGTAARSTVSLYAMDKTKSSFFRIQKNRLKIAEIEELDIDAKVRAIFALSVPVTDNFLEEWVNHFLCSLRIFIFRLREIADVEIDDQNRISKYEAFDGSLSLKGDHSWLLKTKFGLQKRRESASKNEEVEKDDSVQMENQNHSECNDLNLPTSSESYKRVEMPEESEPVEKKRKILKEDDSRDSEPVSYAIPRGDPFISLKAFFNRLRGVVSALGSPALNPIKKRIEESIDILGVDNKHIPTGVILDSLESCLAVVTGNVKWDVKPKEESTSFRGFLIVLKASVSYFNNPMLFGFHQEILAILEHLCMEDKVSPRKQIPAENVRTGLEVMIDVVLSWFSKNLD >CRE08665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:156330:161523:1 gene:WBGene00056532 transcript:CRE08665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08665 MPPGETPEEHAELVRFLIENTQNVTAPISIAQLIRIFERQNGRRYSEKCLTKRIQRIRDKIHELDNCDKDAKVKIIFALSGSVSPEFLIELRSYAEVEVDNEQRITKYREINGNLELSGKHKVYPGCYEIKMMEWLAQKSKTLVTPINIETLAKEYKASAKCLPNVIYLEERFRIIRNKIHCFPYYDLASKVRMIFVTSAQIDRNFLNKLRETAKVEVDDQNRITKYTANDGTLTLEGDHSYKPSISEGYSEKFHRMNLVRDINYSLETKSEESHWRYQKLPGTYQKRNSDSDYLETREGSIEKSIKTNRKSEIVITDIDEHKENRQEAGFDGLEQNEIAVENQIESDCEIPNETEDQSIVESVSFLPSFSKQTNIDEDEDGFIEVPMEEGFNHFDSDDDEDSEMKENIQKPATSSLKDFLQHLLSYLVELNSPIMSHVVKNMEGLVRVLGEHDKEVRINVLLDFLESCLSMVMNNAGWNLSEHEESTSLKEFLVSIRTIVCSISHSSLYDFYQKIREFMRELNIQDKKMPSQFDNTKLNFFIVTKGTRADSPINMIDMCEEFNEKSTSLLSVAVLQNAVDLVCKKIQQDIEYDIHTKIKVLFAFKAPVEASFLDELKQHFDVNLDRSNRIVEYKEKEEVIVNRSHDRNSTNLQPEEIYEKMMTFLAELTKTVHSPITVLNFVTKFKEFIGGDCATTKILNERIRKNADVNVDKLRRMTSYKMHGESLVQGNKEVCDFFKFVADKTQTEVITEWSARDYCKEFLGRNCTEKHINYLMRQNKKLGQEILAMSDLDTITKIKMVFALRVNVNFSFLKKLREDADVVLDKKKAIFSYSAHDGSLILEYTGFKRSKTQRNAVGNVIERVQESCSVNGENTGNSSHFSNCRNIDGIGPSTNNRKRTNTLTRSSTEQSTNEQNLAPKVSKGVNNSSNQLTTSSRVPETNQNSESISISIKQEFGSTQHQIEVPINQIVNQRHVVMKEELMDSDPDVIVVGAPSSTVAEFITFIGAFVQTMETGGLSNILLRIKNIKDSAEKSSKKLPIERLLNSVRSFLEIVKTSVDIESPPNQEMVCLGGICDLLRGALCCAQQRSVQKYQEELLTYCKDHNLKDKMVSIESVRTGLNMTLNIVDQ >CRE09096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:162391:163861:-1 gene:WBGene00056533 transcript:CRE09096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09096 MEIEEQKPKDFLDKDIKQEPEDFNDETLSDSVDETYEYKSEMNQYNSYEETTEIKHSEREEDEEDDPYEALLTDNPVHRNYEQLNVVQKYFLHNHNSIPRFSIRADIDEIGPIRKRGRPRKYEIPDHDPREISVHRSSMGEAGSESQNSGETRISPVQERRPRRDRCSVCTCTRSRNKMKCVTSAEERLMLFIGSVLHGDLTVEKAKLHLYSCDRKYICRSHFINTAESICKGLGIENCDNVYSCSPELMKKVLATANEFYPIDSSRFKIMVANFANQNNYIVENGNTSSDDDSMNNLNFNQRIQRPRKPRLHSARCSICTKYEDRRKMKQLSSYKEKVILMTVWLLREVFTIQQVKGFVTGPKIHYVCNHHFIDVISRIFDILGIKTYGEIARCSSENIEKIMVTVNSIDSDMRPAQFIRAFQRFVHLNMKRKEW >CRE08666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:165036:167239:1 gene:WBGene00056534 transcript:CRE08666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08666 MDGSNDSNDENKRPDVKLSASKSPFRIGARLFGNSISNCADENLEDTCFESDSPNLSRADEEEIDEHLEQTKIGIPFGTTTHNLSVVEESDERTAEDDETDGNITEDDNVFVQDEETSSPTRQLSEIKQVIDDSTATTPVLLASQIDKAHDYIHTRSVESELSQIVQPEPQIDYSLHEFELIEMKVEYDEMIKMFQNDIEEGKAREQALKQSIENKLQYIHQLESNVQKLADLNQSNVSELSVESERRNSELTILQSNLVELSAKLDIAQAELSESEKAHQETIQNLKASLESSNISLVVLQEQLDDAVSKCNRRETELISQAQQHQEQLEKLQLVISENQNTAEQPETEELRARIEEMQASINFAQNAIETANYAQATLRNELQESEKKLEAAEEALSVKENTIIILESRIEAISREFEGRLEEADQLKAAAMRMETMTASLSDIEQQVRELTAELEEFKRRVIEAEKTAQNDVTSIRDEKKEQSIALDEAKTRIVMLEKKLKMSEKEVGRLGKLCDDFDDEEQDYRDEIVKLKAEIKQLKEIKPQMGLLEEARISAKPQDNDDVFEDAQDSFQSEADHQSVFLRFDAADEMVHPDDKPLERPESEISPNPN >CRE09097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:167425:168119:-1 gene:WBGene00056535 transcript:CRE09097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09097 MADARATTCYLNGLSLRTINEVEKILRSLPREGDPCEIQCSQPSTGGSVGRSTADVIVSKSLKIHEDPKPLVLSPPTKQEIPKKSKGKQTISEYSCVSLKSEEMKKMEVIASSVSGTGSKIQCKVLTDQIQVNPIMSCNGVVLSLDVEVTIPDRQEKKKMRLEIECPDFMPARIKIGNKWKSIV >CRE09098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:168529:171071:-1 gene:WBGene00056536 transcript:CRE09098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-haf-3 description:CRE-HAF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LJ95] MSLLSHLSRLCCSRCSSIPSSSTRLFCYAPQVGRTTGFLRSTVIKSKQAFRNVSVVETKRESYKLKNASWKDLKAILSLAGPYKWRIMLGISFLGISSTIFLLTPRVLGKLIDEWDETKQDKMDQTDKSLILARYFKENPVALVGVLMLGALAIAARIYCMHTAGQLVINDLRKTVFNSVLRQDMAFFDKNKVGEIVSRLSTDALIVGYSVSMNLSDGARALLTCLGSGGLMVYTSLAMCKVIVVVVPVIVGTFAVFGKLQRKYTLMMQEAVAGANQVATERLSSVRTVRMLVAEKKELAAYSDKINDIWLISKKEGFAKGCMFGSFQFTGYLALSSILFYGSNLISQGLLTYGNCPILVFITLNTFSGELSSFCLYAVLSAGSLSAMSGFYLELMKGLGASNRLFELKDREPKIPLEGGIQKGNVQEAIRFEHVAFGYADRDPLFHNISFDVPAGKITAVVGSSGSGKSTIASLLLRLYDPTSGRILVDGVDLKDLDPSYWRRHIGTVGQEPVLFSTTIRDNIIYGSTEPDKVSEAEIVSAADQSNADEFIMRFPQKYDTKVGEHGSTLSGGQKQRIAIARALVNKPNILIMDEATSALDASSEYLVRIALNKLLANSKQTVMIIAHRLSTIKHADQIVVVDKGSVAECGSYEELIAIKDGIFRKLVEKQTFGFKDQDSY >CRE08668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:177368:178010:1 gene:WBGene00056537 transcript:CRE08668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08668 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LJA0] MAPNQVLPFPDAGPSTEETMANKEGEPKFKLSLNMNKVEFPFTPIGKPSYVSLRLHNPLPHRITYKVRCTSAEVFRVQPPVAFVNPNGCSTITIWNANTSDKEKMEKRHYFAFYHKAASPSARLAPPLWKSGLKDAEGVRRIPVVFLPAPGTAPATGTTPSAAPAQ >CRE08669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:178711:179807:1 gene:WBGene00056538 transcript:CRE08669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08669 METTTSIYEQANYFFPDSDDSTKLFLRDVLKMFEFFSHPTIRIQFYIAILGLIVTFFHLIILTRKTMITTSVISIMIGVGTCDLMAMIATIIYSWIIYNEDDSDPCVRPLPLTQMYVYWVFINLRELVRRSSTWLGVVMALIRYINLKYWTNTKYRHFSLPLYGFRSFFWCILGSIPFTVIYFFRYDIIFEKMWNPPKKCGISNGEIPNLKVYTQIPSALFTDFGGLFGKIYMFLNGIATKILPCILLPLLTFILIIEIKRAGKTRITANFMKRKKSDKTTGLVIFMTFSFFIVELPIGIVVVLQVAYTELGYL >CRE09102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:180126:182876:-1 gene:WBGene00056539 transcript:CRE09102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-30 description:CRE-STR-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LJA2] MSSLHIYHMASHFSTSGFVATVVLNSTLIFLTIFHLRQIHKTYKTLIVIFAALCLIFSFIEMLVHPFYHSYNGGLINFNMVRWEGWSEDWITVLVASYSGVYVSIVSFFTVLFLFRYWTMMSSPRLEIFKGWRILILVSWSVIFGIIGGGVVLYFGWIDSYSRDYMRDVMLEHYDLDVNHVSGYTVIAYDQNGNLRWDAFGFSFGIIFLMEIQFAIVGYCGFHMHFKMNDMLKNVSEPHRRLQKQFFKSLVLQITSPTLTFYIPAVAILTVPFLNLEWSLPTGLIVCSFSIYPPIDSLILMLIVSDYRNAIKGLFKHPSNMFGTKTTVTVASHGVVFATRAMVKNAWVS >CRE08670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:183632:184888:1 gene:WBGene00056540 transcript:CRE08670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-31 description:CRE-STR-31 protein [Source:UniProtKB/TrEMBL;Acc:E3LJA3] MSSLPVYHVAHVFSTCGFISTVVLNLSFIFLTVFHTRQIDNTYKELLIVFSVLCLIFSFIEFLVHPFFHSYNGGMINFNMAKWSNEVATYLIASYSGVYVSIVSFFTVLFVFIYWTMMSNPLVSFFKGWKLIILLSWSIFSGIIGGAIVLYLGRIDDYSRDYMRNVMLEHYNLDVNQISGYAVVAYDGNGNLRWISLIFIIASIAILGVQFLIVGVCGLQMHFKMNEMLKNVSETHRRLQKQFFKSLVLQITSPTLTFYIPAVAILTVPFLNLEWSLPTGLIVCSFSIYPPIDSLILMLIVSDYRKAIRSIFKNPSSMLRGKSTTQEAFSQRTF >CRE08672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:187376:188612:1 gene:WBGene00056541 transcript:CRE08672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08672 MTGAHKFLLIPIGLLFAAVIIKPSGSALYRKNVVFNKVDEEVRSEIERLFRNTLKRLADFEMDLPAKIVMQRLENEEGNTFNDPFLVGNSHEVKASHYVSDPVSWWCSGLSSRQTQQYFEHKNRRIDVTFDCNRPMVFPPLRTFIRYLLIAMFANAVFIVLKFSSRLLKNRFSHINIEITAVRNEKPLSFDDYQFDDDLSAFMENVEKWDASRLESCHD >CRE09104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:192927:195596:-1 gene:WBGene00056542 transcript:CRE09104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09104 MTLQNSLTVNVVRGKTVHDIALPRIQSQQGKRFEYWVATDTLCDEDRSLEQLGQPVYLSIASSRPSEFKLRVKPAANFNLEKSITTEASPSEPRYYMLLKNLLYKFPEDIEKVDVRANSDSDICGRLIVRKATCPLFDGSGLLEQSDVYFFQTFTKFAGFSIRKSDIGPEVHIAFTVNPDDSACGIAGKGPIDSENAGRDKDAQISVSPVNEQTFIFMIPLFVYAGSMILVLLLTFLKYRFLDRQKNNEPNDFEENCSGVDIIVDLSFLFDKPNRIVSHKEYQKGRLVKEAKYFNFLFFQIFGSILPALTTLFQNRQQTSNKMDFDTCYLNYKCSSEMFHLNSFNSVASVSGLAVIGILNLIIVFRRKLFCYQVPKILTTHGIQERDAPKVVCLLSFVAMGMLGIITSNCPDKSTLHLYVFNGRLFKESGEIIVFISFYSLHWVLLISLVHQRPSGLQGHQWLSNPVCGPENILSDENGVYRPLSSKLVYITAAMIYSVLCPLYTLLDSNAYISHSAIILGKGQVGIYFFYYIAQKIRFERRSFSSSFQFIGIVLITIFVFFEVIANATLAYQLNTYSVLVTPAKSRELNQECLLPGIDWNDLRNYNRAFSWFMFIVLMDYIDSNVKKIPKKNIFVF >CRE09106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:201127:202516:-1 gene:WBGene00056543 transcript:CRE09106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09106 MLNTTCQYEEPFYILPLNWISSFLSIPVYGIAFIVLLMKCPKHFDEYRKYIVIHIISGLLSDFHIRVIWKVSVFLPWPSLCSNGFAVEYALIMFYIFVILLFFTGATVLNLFLQRMSAITKHVENVNFQKFIYFLRYLFYASSGVAIILVVSIYPEFRNQKETKTIIEQKFGTLPGYMWCDNCFFMQFESRLFSLFFILGYFIIICVVTAALLAAFETLRALNSNSLSLSPKTTIIVHVSLLFIPLLAFCLANFIVINNEYLAPFLTLMIEDHGSVSTFTLLITNKLLRKATRKLFLMPENLMMKAEQRVFKRSKNTSGIHVVTTN >CRE09108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:204542:206414:-1 gene:WBGene00056544 transcript:CRE09108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09108 MAIFFTAYRILLLVFISIFCLNYFWNQDKFHSINHTQVTNQTNKCYVPEWNQLETSSITNTFWNSMSRLLWMALDLSKENNQNYTSVVLIGAYVYPEYISITLNSQYMVKQRLYCRYFDCKKQEISGSSWQGIVFPESVIHCPRRIGAEFVSVSKYKTEDFPNPMRLKFRNFEKPIHEFAICVAPLYGQEPKWIQIVEFIEHHKMEGATLFYFHIGNISDYDRKVLDEYENNGDIEVKVLQEKYERPFYAWQLIEIQDCHMRAKYHSKWTAFIDIDERISTQNGRISDFLNSEDNRKVAEIQMPILNIAKYEDAPMWYQNEGQVRREMISNKYDKTPGPSWNASKAIIRPEKIGIMSIHYAIALEHGYVSLRSDSSKIVLRHYRSTQHRENLNDWDKEHTLFGSPLPQEFSSELTKKVFEKTKSIYEKVPVNCSTIPEDMWKSRQFPDPCQRMLLTW >CRE09109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:207710:209404:-1 gene:WBGene00056545 transcript:CRE09109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-116 description:CRE-NHR-116 protein [Source:UniProtKB/TrEMBL;Acc:E3LJB2] MIQSGCSTSYEFVILPEILPSETETNPTLKESTSSELPKESIKESPTKNRTSRPSECLICGNTANGYHYDVASCNGCKTFFRRVCLSEKAFVCKSNGDCFDLTKRKTPLKCRACRHQKCIAVGMNPLAMEVDEKEASSSNFKKLVKRTKVKEEEPDEDDDCQVIEVVNSPNRQNAKQVIKIVNSLENKLQKTIDMLVYLESKVEKFRRCSYNPSWVELDGLEYLLQTESRIAYADRYEPMKGWPLPQLPKPPKPQGPPKPNESSDRKQWFFYNMVTTVEYAKTFMFFHKLDSRDKLILTRHVTLACMNLHVSFASISKKFEIPVQPDGTSSHFRDESHYNAVTMSVAPLIRCEIQTVEYLLLKAICLCNPAVLDLSSHAQEIISVEREKYAEALFAHCLRNRPNGPDHFAQLIQIIDVLERQQRMQKDLHLLHIIPQLANLPKDYIIRVIEDVMDCGMFF >CRE16092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:683585:687246:-1 gene:WBGene00056546 transcript:CRE16092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16092 MVTEGKNIDRPLKRGSRPSPEIDRKRSKSVSFSANMEDSAVFALDSALFDPSILLDLKSKNPDSPSELLSVIKCMASALTEIHKLNLSLLSQNKVLNEKIVKLENIMDNLVEKVQSPSTINKVDEKSYASILAKSIQAPATQVALIKAAKLANSLEERKHSVIIKNSKLLNDPNTDMATCSALTEACNVASASSVFRLAQKTGPPLLKLSWSSAADANKVLATFEKRKAEVTFCKTASIRPDLSKPELIKYRDAWKKAIELNNQEKQRIYTVRNLEVRAKGKPCSLAYANCFAISNKISYLDYLASFYSFDVIALTETKLNDTFPDAILSLDNMFTVFRKDRTRHGGGVALLISKSVRCSLITIPEALSAVEIIAVDIFINGKSTRIISCYHANHSSDIGPIIEALEFLLSTHKQTIITGDFNMPHIDWSSMTASDSKCNEFLSFVLRNGLCQHVHSPTRLNPDHILDLLLTNTPSVREVVVGELFSDHKLIRATLNLSLKKQPNHKTLLNFRKADYDSINFVLSNMNWNSIFRELSVEEMYNKLLEITTNLINTYVPTVTRNVLLKKYPAEVRRLQKLKLYIWRTEGNTERYKAISALLKKSLTDYDSSELEKKLTSGSSNTFFRFMKYHMKPFHEVGIIKNNGEIICDDIAKAELFADRFSEVFTHDDGNVPFFKPRSNSIVDGYEFEPYIVEAVLAKLKSRHNRTPDQIPAIFLKRVDTAIAFPLSLIYNKSLSTGNIPHIWKKAIVVPLHKKGLRSDCNNYRPIALTSSVCKTMETILRRVLVQHLNVNGLLNGNQYGFRANRSCESQLIHYQGSLLQDLENHKANFAIYIDFCKAFDKVPHNKLLTKLEGYGVQGNLLRWLSTFLTNRIQVISLNGSYSKPMDVISGVPQGSVLGPLLFLLYINDISDNVESNILMFADDLKLFSPHANLLQNDLAIISDWCSQWQMTVAPNKCEVIAFRHSTRNLKSKSSPDFHISGLKLPFVRHIRDLGIFFSDDLSFTHHVNIILRRSQFRVNMLFNILKNSTMEVFIRCYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTFIPSVKSLRRHPFYLRCNIKNSNKSSSQFLSNRTLNCWNSLPVSSFPVKSSSRSFKTNLKHVDLSKYLTLSPLNY >CRE08674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:216333:219807:1 gene:WBGene00056547 transcript:CRE08674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08674 MDDSAVFTLDNGLFDPSILLDLKSKNPDSPSELLVVIKCMASALTEIHKQNLSLLSQNKVLNDKIVKLENIMDNLVEKVQSPSTINKVDEKLYASILAKSIQTPAIQVALIKAAQLANSLEERKHSVIIKNSKLLNDPNTDMDTCSALTEACNVTSASSVFRLAQKTGPPLLKLSWSSAADANKVLATFEKRKAEVTFCKNASIRPDLSKPELIKYRNAWKKAIELNNQEKQRIYTVRNLEVRAKGKPCSLAYANCFAISNKISYLNYLASFYSFDVIALTETKLNDTFPDAILSLDNMFTVFRKDRTRHGGGVALLISKSVRCSLITIPEALSAVEIIAVDVFINGKSTRIISCYHANHSSDIGPIIEALEFLLSTHKQTIITGDFNMPHIDWSSMTASDSKCNEFLSFVLRNGLCQHVHSPTRLNPDHILDLLLTNTPSVREVVVGELFSDHKLIRATLNLSLKKLPNHKTLLNFRKADYDSINFVLSNTNWNSIFRELSVEEMYSQLLEIATNLINTYVPTVTRNFLLKKYPAEVRRLQKLKLYIWRNEGNTERYKAISALLEKSLTDYDTSELEKKLTTGSSKTFFRFMKDHMKPFHEVGIIKNNGEIICDDITKAELFADRFSEVFTHDDGNVPFFKPRSNSIIDGYEFEPYIVEAVLAKLKPRHNRTPDQIPAIFLKRVATAIAFPLTLIYNKSLSTGNIPHIWKKAIVVPLHKKGLRSDCNNYRPIALTSSVCKTMETILRRVLVQHLNVNGLLNGSQYGFRAYRSCESQLIHYQGSLLQDLKRHKANFAIYIDFSKAFDKVPHNKLLTKLEGYGVQGNLLRWLSTFLTNRNQVISLNGIYSKPMDVISGVPQGSVLGPLLFLLYINDISDNVESNILMFADDLKLFSPHSNLLQNDLATISDWCSQWQMTVAPNKCEVIAFRLSTRNLKSKTSPDFHISGLKLPFVRHIRDLGIFFSDDLSFTHHVNIILRRSQFRVNMLFNILKNSTMEVFIRCYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLLPVLDQPYFKLLEFSTRFFFPG >CRE09110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:221138:222142:-1 gene:WBGene00056548 transcript:CRE09110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-283 description:CRE-NHR-283 protein [Source:UniProtKB/TrEMBL;Acc:E3LJB5] MYSTDSMCSICNVRVISGYSYGVSFMEERIQESKFIFQALCCGACKMFFRRVLFVKNIENCRRNGNCTRKCRYCRFKKCIKAGMTYTPTENLFDLNNCLFAGDPTVAELCRIQGPLTFYPRPINYQMNLAEWVFITGITSLDYLKKFIHVNMLNESDRAILLKFTFFDFSIFTDSMRAQNRNQEYISFPDGTDVIKAEVVGVTDNFINGIRCRLAARINELKVTKEEFLLLALVFFCNPALPNLSDSGRGILNSYQKIYSSALLQYCLLTYQQRGPSRFTDLLSVYQIIAKTRMDILYLFILNTMHRPCTGLKKIYVFR >CRE09111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:223298:224387:-1 gene:WBGene00056549 transcript:CRE09111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09111 MMFSTELALQECVICKKTENLGFNYGVLTCGACKMFFHRVIFTKKVESYCKYNQNCTSKCRHCRFQRCIQNGMLYTPIENLLNANNQDMLSALIFNLSCLDSNRRDKLFSYHLIGDPTIYELAKAGSLKLEERPADYRMDVMEWGYISGIISVDYMYKLPFIKSLEVDDRAVIFRYCYFYLSLLSDSLRAFNSKKAFICFPDGTEVVKLNSPGVTKYFENKIRCRLAGRVNELNITNEEISLLSVILICNPALPNLSSSAKILLASYQKIYTTALLQYCLLTYQKTGPSRFADLLSLFQVVIKTRQDISHHFVICQLNGPPACLKKLFWS >CRE09112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:224697:226211:-1 gene:WBGene00056550 transcript:CRE09112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09112 MIFWAPFLRFVQQTSAIVAFFTNALLIWLIVNKSPKAMFSYKHIMVFTSVFEIFYAMIDLLIGPLITKSTYWIAETDSTKTWLPLSIVYPLLLAWGGSFGIALASFIVHFMFRYFTLVGNKKLVSGKSFMLYIWLSIPIISGTMYAVLTDIFLQENELMDTLISTEIESLRYVHKNEMLYYGFSLYATLPNVEIQEINWKHVNGISFIGTCITFSFFAMTFFAVKGYSAIKKFNDSNTNSSSLSKALQSQLFNSLVIQTAIPVILIHLPSTMILICSFMNTTEEVYGHVFTVAVSLFPVIDPLPSMIIIQPYRRVIKSEKGCLLSVRNLDIVSACFRPGPSTQNSSIMRVNPKSPNITSRVVTF >CRE08675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:226956:228306:1 gene:WBGene00056551 transcript:CRE08675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08675 MTDFHFLIFYSLIHILTYYLPTTKMNFEICNISKLVITVFSCFINILLMIVVIRKSPKSLGSYKYLMVFMSISELCYALIDFLLKPEVILNGSFWTVGTNSTRTILPLWLAYPLVLLWGASYGIAMACFGIHFIFRYFMVTGNRQWVSGSLMLMIWFSVPFICGFVYAFTIHVFLRFDNVLEVFERANIESLKHFSIDELVYYGFNLYRTPLGSEVQEVNWNNMNGLILMSSIVTLSLITMIYFAVKAHKAIRELASSCENVSSLAQSLQRQLFYSLVIQTVIPMILIHVPTTLIVVSSLLGVGKQLYGDVIIVTIGLFPAIDPLPSLIIIKPYREAIKSKVHSSQCLITHSFFPDFLLFKKPSSTIRPDDTKFSNVISRAVFT >CRE09113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:228975:230911:-1 gene:WBGene00056552 transcript:CRE09113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09113 MSSGISSFGFSCELNDETVKIYTIEHGIVELKNTGDLELGVWYDIWENSLEARDEYENKRCEVWEEDGEVFAKVLAIGPNNFFLPPEIHKKYKYAVWNPFLKYLDDGDNLFKDKVRGDDVVEIVVKYAPWKNGNFKIVELIEEAPFEGSSYCRLTPWTLEFMGLTMKEAAFPRPNNPCVKKDRVPPSDDVQMGLCIKASYRNVAFRQETGGSTEYCSYLFNPVLGLTRWMPKETASVQHENPEANKLSLGQVEDDPLKVEHRIGKWYTYSLNANKKGNRYSAVHKTTAKNVTEFQNPPKVTRVVDGEVEIETSFLFDYDMFETSENRQNKTEQRFPGLSKDAHFWDHNLGRVEIYPNISMEIIQAVENHREGLDPTESELLMNEAIVVSVTAVVLRNFMRNFENYPNNGIFVAKTLDTICYLNGGKVIYQR >CRE09114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:231682:233530:-1 gene:WBGene00056553 transcript:CRE09114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09114 MTTEIISFGFSCELNDETVKIYTIEHGIVELKNTGDLELGVWYDLSEKSLEQRNKYENKQCDVWEEDGEVFARVLAIGPNSFFLDKDISQKYKYAVWNPFLKFLDDGDNLFKDKIRGDDVVEIVVTYAPWKNGNFKIVELIEEAPFEGSSYCRLTPWTLEQMARNMTEALLPKPNSICIDQFRRIQPMDVQVGVCIKAEAVNVAFPKTVKPALGVKPMCSYLFTPTLGLVRWCIREMKTTELTSSKAAVYNVNSDMFEVGKRLGKWFSFKLVEAKKYRSDESIRARALIRTTAGNVNEVQVVPKETRVVNGEVEIEASFLFDPKMFESEENSLIEDWNLRHQGLRTDTHFWDTNLGRVEVYPTESETIIRAIESHRLSLGPREAEKLEKEAIVVSVTSVVHVNFIRNFEKYPNHGIFVARRVNTICYLNGGNIIYQ >CRE08676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:234287:235365:1 gene:WBGene00056554 transcript:CRE08676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08676 MCTWRGSYFETDEFFSLSLHILSVIQVPLDVLGTYIIVFKTPSNMKKVQFSMLVIHLTCFLSDVTMNVLVVPYTFFSAAVGYPMGVLTWFGVPTMFQVYSGFTSVMLLGPALVLFFEDRYNHLVRLDSDTRSRFIKRCIHFGSYYFLTFICMIPLFFEIPSLQNAKKLTYNEFPCLPQNVFEKPGVFMLTSNTGPAMACLFSFFFISACQAFYFTFRVMFHLSKQKGLSRKTYCMQKKFFKSLCVQVFVPILVLAPPALCLIISGVFRHVDMLFTNISIIWLTTHGIFSTITMLCVHKAYREATVDILLRRKRPTISSLSRNSVNMF >CRE09115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:235835:237914:-1 gene:WBGene00056555 transcript:CRE09115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09115 MILVVDVLNVFNKCRTSSILLFVVACLLLNNQVHAQSTAAPPYNVLKWDLQSPIGSIQGTYQMEYTSIDDHPPTLATLWELGTGDFDITVWPPKYCAAVHVLFLPASSKGVGKFHVTEEIKTAPYIKFYPPIGRIDVSLDGTEVSSHFNQRSSVRFKHESSSKYVLEVAAEYVDKIRKFNDASSNMKSVNFDLMNKVALVLTNSRYCFAHITRDGRNTEFYQLFSPENYKDKNKLPQVLTTYLHPTGISSLFMPVVNPKDEKALETAKTVDLLPVLKPTDPVDLSKSRLKDKCNGYVTTSKNKLKKAIGLITGESWLIRENNNKIVDRYESVTVSIGPTCSWIRLWITEEAKQMDQYEKEMKLSETIDIFFEQQFFVGPDSDEARPLAGSSSNINKLKFRRHKPNPDQKKYIVQMSYGRDSGPSLPYIEYFQMPSFHEQSMRFNLIKAPNCEANIISVGCRKKLTDYFKLLQASEVISQTANPGTVNLLSVGDCKRFVLKNVNKVDVADE >CRE08677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:238654:239349:1 gene:WBGene00056556 transcript:CRE08677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08677 MADARATTCYLNGLSLRTINEVEKILRSLPREGDPCEIQCSQPSIGVSVGRSTADVIVSKSLKIHEDPKPLVLSPPTKQEVPKKSKGKQTISEYSCVSLKSEEMKKREVIASSVSGTGSKIQCKVLTDQIQVNPIMSCNGVVLSLDVEVTIPDRQEKKKMRLEIECPDFMPARIKIGNKWKSIV >CRE08678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:239649:241128:1 gene:WBGene00056557 transcript:CRE08678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08678 MIFFLLSLLANSIVSITLSQVRCPDGFTILNDSKCVKIIENSLLLNNALESCRTYTDGTLVSIHNAIDNRALINLAVAQQTVRPIWIGLTCLTTSCVWLDQSQTDYTNFVSGRYYCLLGSTLIKNSGNPQPDVGINVYMLTSGNSAGKWVSADGSLVSLNYICEVPAIIVPDLCSNPFNDYCYTFNQDVRNEINARIYCQKDCGDLVSIHSEKENRHVLSLFNFTSPPPQVRIGAGTDGIGKYWVDGTVFDYSNFGYFNVDIGKCSTMQIPYGVLDAGQWLSNNCDDELPFVCKRLNGITSCPPSPTPTYNPNMCYGSHFFTGNGTIYSPNYPESYYGQFYPCTFIFTVPSGNIAQVMFTLLNIDDQSKISLYSGIEGTEPIIELTGQFFSPPPFNSSTNVMKMIFDNGERVYNADTKWIANYGYNLSL >CRE08679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:241469:241815:1 gene:WBGene00056558 transcript:CRE08679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08679 MESCRNLTFGSLVTIDNAIDNRALINLATAQNTTRPIWIGLECYGFPCYWLDQGGTNYSNFASGMLFLSMLEYVENSGNPQPDVGGDVYMLTSGRSIGK >CRE08680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:241906:242346:1 gene:WBGene00056559 transcript:CRE08680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08680 MFSTRFFSDFCSNPFGDYCYELDDVSFYNESGARSSCQLSCGDLVSIHSEEENLHVLSLTNSSSSSRVRIGARTDGTVKYWVDETAFDFSNFEYFNADIGKCSTMQISAATISSGQWSSASCEDTLPYICKTKQGVYSCPSSVPRT >CRE08681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:242511:243247:1 gene:WBGene00056560 transcript:CRE08681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08681 MPIRIVKNKTPKVVLFYTAFCPFSNFHPTEFSAKYQEKTLSFNCSEQYLMYRKAIIAEDPESADNILKMAKPLLMKRAGRKLKMSEEMLKEWSSKSGDVMFDGCLQKFSQNENLRLTLFRTHGMFLAEASARDKLWGIGLGVNDKRAEDRKQWKGANKLGKILEKVRDELWENEEFRRDRELIEKEDEEKRCESLEKS >CRE08685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:246396:247422:1 gene:WBGene00056561 transcript:CRE08685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08685 MLIPFTSNIENDEIMKITYHNETLNNGLGPDLSKFRNFHSPIENKIARASRFPGLNSILPTWARLLSFTTIGLIVVLSTGLSCYCCYRFFRKSTTNQAVPPPRERRGRIQEQDRPIVYRNRSPRRDSVENNLIDNNSEQAIELEYIPSNHAEEDDNLLEAQGDPDVPDEAEPADDEDQDQAGPARN >CRE09116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:247666:249190:-1 gene:WBGene00056562 transcript:CRE09116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09116 MAGIVRKLFKNKKTKKVENDSDDDYSELYFGPTTSSSTPKPKKPYQFTADAPLNINTPKLRHDETIDSDLSFEQPTHKRRRVNQTTSQRDIFASEDSIYEYTQYQLTKWNQQEERHQERRKRKRVERHQEQENYVHSGIADFKLGVPTVEDVEKLRKERDQYKKEMEKYKGKCEDLEKIIVQLQRRQPAAPVFQPFSNYNFFGVGSNFPSVFQPFQPTSLLQQQQLPQQNAGPVFNFGASSTSQSVPDPFSNTASSSDESVLNGMGPVGPMAPRYPDPIDNSLLFQDDDTSLSDLSNSSKSDISEDLK >CRE08686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:251609:253216:1 gene:WBGene00056563 transcript:CRE08686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08686 MNLLFGNSTDFWVPSYVLNDNSYITWTNFPLFLLFFLSIIISVFFVINAMNVIHKIRKLHDNATWIVLSILSAWFEGLIGMLMICPYKFGFCTLGDPSRVFYGFESDEYLPINLSWESAPLLIGSILIWHYLGLLNGGIICFVIERSLATLLSRDYESTPRQWLSISLHILHHLIAIVMCIFFCFHILPLKILIFFNASGFAFQVPYVFFLRHYNTSKRSKMRLAENITNHSLAAKFQTEENVRSMHVSGDLYENRQFYSIFQIATRLSIVVGVFDVLFLIVIILATCQVPGAQLFFQVTELVLFLNPIFLIPGIMSSVEEWRNKFMEFSPINRLRIDPNHNPYIVPQNPRETAEIHFSQLQNYWI >CRE08687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:253774:255248:1 gene:WBGene00056564 transcript:CRE08687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-36 description:CRE-SRE-36 protein [Source:UniProtKB/TrEMBL;Acc:E3LJD4] MNILLWNSTDFWVPSYVFNDDSYLSWWNLPYFLLVFMAMFTCFWLCFYMTKVVCEVKKFHGNATYLLACMYASWFECFIGTILILPYKYGVIHLAEPGRIIEGFENTENYLRLNELNWKCYPILIASFLEWHYVGIVNSGFSCFLLERTLATVLFNDYESTSRRKLSIFLIVFHQSYAMFIAVMMFFHVFPLKELLGMNAFGLVFLIPCLLFLRYYNFRARTNMRLGKNITKNSLAAKFQTEENLRSIILAFRIFVIMIFFDIAFLVIIFAAMLKVPGAKYYFQAAEHIVFFGPIFLVPAVVSTEKNWRKRFLYYVPVNKIRIRPEKLDTTNYQVSTIAEETTAIHFMQLQNLWV >CRE09117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:255514:258034:-1 gene:WBGene00056565 transcript:CRE09117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09117 MDVEDIFQHLKESGCIRELVAELREQRESDRRAARRAVRKERQEIKRRIRSQKKKWEMVQEELQIARKRKMRSQRKTRKLYRRKMKKAKDRHWLKFRELKYKFVFCTRVIMRPPILCVSPGINRISCATTDIHLTCLFQPMNLFPLLSGYTVGVLSYLIDEPTTISITSLFVLAIILLESLSLCFIRKHQSLAVIDQKHVLPNWFLAFLYSIAVVAPIVAGACFPIFQMSKAEEWKFLMDVWNCSATYPEYVESYKTLPNFVIYLRSPGIIVYFGYLLAGGLLIAVLFIVFIVDIFLIMNVLKTKMSKTSLRKHEDAIRSLQVQFVTSVICIIPPGFVVFIVILELGTAQLLTEIAIAWYGLHSAMNSISMMFLFPLYRMFLKELFKNITNALIFDKEYVEKRTFTVPIVVELPERLSIFKSLPNFSLTTKFSEMNYLLLFLITGAVSSLLILNN >CRE09118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:258380:259463:-1 gene:WBGene00056566 transcript:CRE09118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-65 description:CRE-SRI-65 protein [Source:UniProtKB/TrEMBL;Acc:E3LJD6] MSEIDFSTPHWLITFYHCIGCISLVFNIFGAYIIIFHCKTLDSFRYFLLAFQICCTATEFHVTILMQPVPLFPMLAGFCMGLINKFLKNGTFVSTLILMILIISHINILFLCFYKKHQSLANISSKHIAPIFFTGFLFSQAASAPFIGGFWFYSMSMSNEEQWSYLAKNYPSQIENFRNLTDFLIISKNIKSVIFMIYLLLGGFIIVSLSIFFIIDIFYIMSDVKTKMARSTLKKHEDAVRSLQVQLTTSVICIIPPSLIVFVVLFEFEKSQLFAEMSIAWYGAHTLSNMLGMYIFFPPYTKFFKKVLKK >CRE08688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:261398:263010:1 gene:WBGene00056567 transcript:CRE08688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08688 MNSSDSSFISSPLICQVCGQDARGNHYGATTCRACAAFFRRVESSRYVKPCTKRNRCDFFKNGFFTCKYCRLQKCFSVGMSSESELLEREKSKDMFYSDFQFDRDGYNKAKEVVKLGAKIPPTMDVFCGRSNFIVFCAPQTSLSSPKYSKNFIDLQFLLDQAWTVLRQVIPQTETTSHLKSQGSESPLLAKNTLGKLAIGLRKIQDENPLPDPTTVEKYGKDETFAQWEYDILKLTRWLTYFDDFQMLPQSLQIKMIQGIWSVWRRLERLASIALCVRRKINEETIRKMKNDTLLCNWNQMKIDMSWCSKYSVDELKFFMEIHTEIRLDELTRAMIELEPTEVELSFMLGQLCFQYVGKRFQGEILHIGDKFQEMLANDLHDYYVNELKRPNYVTRLASMMKINNQIQRNIYKNREKTDLAILFDVFNLEFSHPDMFMDL >CRE08690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:266419:268967:1 gene:WBGene00056568 transcript:CRE08690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-84 description:CRE-NHR-84 protein [Source:UniProtKB/TrEMBL;Acc:E3LJD9] MSTDTFSSDSSTSSPSTSDPPSPPLIAKCRVCGMPSRGNHFGVLSCRACAAFFRRSFVQKKEYKCRQRNVGKCDVSGNDRYQCRACRLKKCKELGMTPENVQMDRNSESFDESMSSDGSFSLALEPVQHSTLYQSLKNPKILIDVTPTINKLKTVLASSMPPLINGEYFKMNTLERMKFALWNYRSERKFGDLKFEKQIIVEIPNKKWECDLIRIANWLLHSEHFRLLGLEEKMAIFKKIWMRWRKFEKWLISVDTFGHKVYKENVIVCSNYNAANLNKVQIDYSNITDRSNEEMNQLFGKQLWRMQREVAKPLAELSPSTIEMTYMLCQLVWNSLDIELDNYSADVGEKFLTEISENLHEYYVQQNIQNYAWRLHKLMKIVNNVKEIEREREEMMKLAELFDVFKIKLSDKDLFIC >CRE08691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:269446:270980:1 gene:WBGene00056569 transcript:CRE08691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08691 MSSTPSTSDTSSETSTSPASNAICKVCGLSAHGLHFGVLACRACAAFFRRTVVMERQKKYKCRGGEDRCAVSSTDRYQCRLCRFNKCVELGMTPENVQFNRDSIPTSRKRKDDEPIVPAHELKSLSYPESSLMGKPRTIMDISALAAKIKEILNEKTGGVDAVTKKMNTLEVAEYGLKKWRNLQRSEEKLENLTKLPVRQMFAIFEKQMVVVSEWLIQQPHFRLLGEIERWLYFKAMWNMWRRFERFEMSVKMFGTRTVEQRKFAISNEQMITVGFHIDFAEITDIPNESVQEMFKNSMQKFFDQVAKPLLELRPSSVEMAYMLTTMSWQVAGKQVQGKVVEIGEQVCDELANNLHSYYLKEEMRSNYAGRLVRLMSVVNAVIKIHQERRKTMELARIFEIFKVEFSEPDIFDC >CRE08692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:271546:272693:1 gene:WBGene00056570 transcript:CRE08692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08692 MGLEFFCVGDSCILMFITAAFLLTILLSSIFLLYPFYFMAFRANRERDETTSSTVFYILKHFYHVVNFMEVCFIVDIILIFVFFFSYGETASYIVIPVGIILFLTLHITLTVTMMENIMLSALAIYRFSLYFFPRSESILTTSLNIFRKTIAFLYVIIFIVNLTQRIIKLFIMYQDHSKSEHIDYSGVSDIETFDMVNNIVYMGLTVFQLLSAFLYLPMLFSVRKMGNLQSAKTSQPEKYIMYQTFFLVFFRMLYIPFILYLVASRNEISGDILFFGMCVIDMFVTHFIIQASYLSANKKHVESLFNSCGCRKNKISDNYTSTMESTIVAATN >CRE08693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:273619:276132:1 gene:WBGene00056571 transcript:CRE08693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08693 MPVEDLALHKLCQGNLCSYLVWLAIFLLSILLISIFILYPFYVISFRRNRERDEKVNNSIFYILKHFHNVVNFMEICFIVNILCFFYCLSTFENFTTLSIIACTIIFISFIIVITVTMMENILLSVLAIYRFLLYFFPATEQFLSSNQKTLSMVVTLSYVILFIVNFVSRMIKIIRIANIFRITGEINYVGTEEMDSFDIISQRAYFALMLILFLSASLYIPMMISIRKLRNLESVKKSQPEKYILYQTLFLVWFRLLYIPVIYLLFASLDQINETLFFVGIILTDMCITHFVIQASYLSCNKKHVESLLNMCLSVQKNIRFFELFVSYEINKIAKAAKPNTSHISRTANPCKDIDCASLGYLVYAFSLILIVSILIFPFYVVPFRRNRLKKESPESTLSYIFQHFYQAVKLMQFLFILNGIGIWYSKSAPLSDFLVILHAIIFISLIILTTITLMQNVILSVASFYKILTLFIPETKKSLSVDVKTLSTYITLSNVIIFLLNFTSKFMKMVSIFSTITASNEATYTDNENKFDELTQRVYFGSFALFFLSTLLYIPVVISWKKLTKLQSVRSNYSEKCILYHTISLLWFRLVSVHRLDTPVEMFFIQLIFPMIYHIFAFKDEFSETRMFLGIVITDSCITHFVIQSSYLACRKNTLVEPNQPGDQYVAN >CRE08695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:277259:280946:1 gene:WBGene00056572 transcript:CRE08695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08695 METFSEKVSDRKRSSHESPGLASKRTKSCSEPLMAVDESIISCSIIDVNNIAYPVKSSRILINNPVELYSEDIYSIIMNLSNTVKQLETRVETLVSHNDLLSKKVGFLDLNTSNFQPTPVNVKSSVTYSQIVSKTKANSGKSIKHKSLPRDKPINVTLPPNEVFKQDRRASFIIKNAELLGNPENDLDGINKLSIACGVPEVSSVFRIIPKSGPPILKVLTKSPADATKILSKFSIQCSPSKSIQNGPCSVPPMLERSDKFEQRAKVLSIYSSQSEGGKNKLSTRPVTLGMGNSRAFLKCGEIRIGYANCNSISNKLNTLNFLCYFHNFDVFCMSETKLDGTFTDGLLSLDQQYSVIRKDRNKHGGGVAILISKHLKCVPITIPDKYMSAEVCAVNIFTNGTTTRVITAYHPNHQRNKSILLEVLEYLLGANKHTVLVGDFNMPCIDWRILNASDKPCTEFINFVVRNGLSQHVKTPTRFGPDNILDLCLCNTDIIQEVSVLEPFSDHCLIQIKLSCTKNSRKIVKETLHYKKGDYNTINCILSRINWPRLFSKLTINEMYSFFTSYLKELLSNFVPTIRIDDSKKFYPPSIKKLQKEKLKIWRKEGNSPRFKSISASIKVQILVDHKKKFEDKLVSGNQKNFFKLINSKLKDSNYVGPIKDGNATLCDEYDKVECFSSTFSDVFVLDDGTVPSFAPRTDNFIEEVSYEPYMVEAVLSKLQPKCNTSPDGIPSIVLKELCTSIALPLSLIFNRSLQAGTLPDIWKTAMVIPVFKKGARSNPGNYRPISLTCSTCKVLEKLVRRSILEHLSINKLLSNAQYGFRSRMNTELQLLNYVGLLISNLEQKKPVNAVYIDFRKVFDTVAIPKLKVKLKAYGIQGKLLNWINSFLSGRSQKVLLNGLLSNYTSVDSGVPQGSVLGPILFILYINDIGDKLESNPLLYADDLKLISPDAVTIQNDMKMLSEWCNTWQMNVAPKKCELITFFKSRKKNVKSNPLLNISLNGLRLPKCEIIRDLGVIFSSDLSFDSHINSVIVESILFEVILKCYKVFIRPIIEYGSTIYSPTLKCLIKKLESVQKSFIYRCSKKFNFEYISYFKTLETYELESLEYRRLLNDLVYLYKIIVSKEFYSPNLLFTLFPNLKSLRRHPFHIRSLLSNNSKFGAQYLPNRLLSCWNSLPVNVFPVKTSSRCFRNNVKLLDFSNYLTLNMSTY >CRE09119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:281365:282933:-1 gene:WBGene00056573 transcript:CRE09119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09119 MTKSIEPTSSKSSNCLVCGQETNGKRHYGAAVCRACAAFFRRARCSRLKKVCKKKNTCSYSKYGYFPCKSCRLQKCLAIGMSSESFQFNRDGYQNEGVIQKITPTMDTFCGRPNFIIFQSSKPSSNSQTSKSFLDLQFLIDKATLLFQQGCETPIRAKTNLEKMSIGLRKIQKSIQLPDPQKIEKFGREEALCQMEYYIVSVTKWLTHFDDFQALSQRLQLKILEGIWSIWWKLERLACFVRIVRNMITEEKLRGMKQDQLIYAWDQRKLDMSWLCNKYTVEELKFFMDIPTEIRLDVLTRSMFELQPSDMELTFMLCQLCFHHVGKRFQGKILQISEKFQEKLSNDLHDYYVNDQKNPYYMKRLASMMKINNQLQLDACRNQTKLDLATVFDIFCIEVSHPELFVEA >CRE08697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:284071:285636:1 gene:WBGene00056574 transcript:CRE08697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08697 MIPIQIDRKSFLTCLLYEFSREKPAWETYGNFCEVMGADAIKYKEFEVWYYRFSHGNYDLDYDISQEPKTHEISQLPLTIIEKIVENSDFKEQLILRKVSRDLREIVDGQKISCESIQVVWQTDHVKCRFDNQTVLYSKKTNIKCNDENVITLSPRLPMQTRSEKDMVAWDSTCVIRVVDNDYMRIAFTDWTFPLKNPKLKLKSFIFECCGPDKRTGNSKKAALTRKYFDQFEAFLESLNHKISVEKLEIISNNPELYQIMSVLPYLKPGVLKSIRVPGYDLNKEDVDLTDIIQINFDYMYRRAQSLLAAIEKIIECDQWKQAEELHLKHNWDYIPDQHLAHFKKFHITDVFITSDKMIHLRKIFSESENFESCSITSTNGYDFSINLDAIGQSIKTGGMHVLIYHYRIPNSNKFLEFKAYHHIESINIVKKNL >CRE08698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:286350:289518:1 gene:WBGene00056575 transcript:CRE08698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08698 MSTTNIHDELVEFIIRKAEDIQSPFKISQLIRDFKQEYKRMDSVGSLRDKIATLRSKIPELEDIEKDMKIKLLFGLHAQVDPDFLEKLKPNAEFLKLDEFQRIIEYTDKKRGLRLKGKHQALKSRNETTETKLLKFLVEKAKTVDAPLVGNSFLREFKSLTRNSSSLKSLKRTYARLRKKLFRCSDFDKNTHIKMLFISSARVPGCFLKELQKDATVAVDEKGRITKYEANDGSLILEGDHSLSSKVKLSLSERWNSIRRKVECDKNEDNSMDSDNNLGYGKKMINFIKFVIEKAKSVNAPLNISNMCEDFKKLSGSSNNLDCLYKRVRSFGKKIPGMNDFDTPSKVRMLFALSTRVPDEFLNELRKDADVQVDRWNRIIKYQAKDGTLSLGGDHTLSAKQKLGWVTRKKKIAARAEHDEVSESEEEREAERKKMKATKRKRAEKENGKRSRVDLLRNVSESDEDESDESDESDESEDSDDSDMGEIEESHKRRKKETRSADYDAHLGPVTRNKTKQSMISIQKNKTRTTTEDCSNSDMDIADEVNAGEFEMEQDVSRVERIAEDFEYDYPRDFDSSEGLPEEVITETDMLTDRNADGSGPSTSRKRVVRTSIRHSSEGDDCKPDVNSARTENKENIVESSVNGEKDEDGRGNKDAISRKTILESLHTLLLILELSDLENVQKKVEQMLEEAKNNNNTLQVHGVLIALDTFLYMSMKEAAMKCPPGTESISLKEFLTVLRIVVIFMKSKSLLKFPQKLKVMISELSIQDKKIPVQSIAAALETALNIISS >CRE08699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:289970:291814:1 gene:WBGene00056576 transcript:CRE08699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08699 MTEFLLKNSDAVAAVIIYQEKQSDSLENALIRVSNVLNIRYNRPSFDYLKHCLKINFQETCIQNNKKDIHYCILYEFVQNKPWGQSFKTIREVLGEGIVEYEEFKRLFKCFERGMFEMEENKLSVDACLSNVSKEVMEKIVQHLDIKDRLNFQKVSKVIRRKLNNSHLTCESISLNFANFSTELRIENLPPIIYKQGKNQCHVEFKNNVKTLIGQHHFYRGIMDAGTIFENKTLELESLKISFSSEIRSDGRAEMENVLVRCNKLKEYFGTFKRLVHAKSFDFQLKVPLEKDEKSDTILSILPYLKPGFLEKIRIEIKSDCSEMMRKVVELDQWKLAIELDMCDTPFKLSLQNLIHFKRFSVFMPNISESHVTWLARILPENDTFEYCSIRYPDNQLNLDLINLYITGRHSREESNSLLETNAVYEMQLGKKSIDFFRKF >CRE09120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:291955:296023:-1 gene:WBGene00056577 transcript:CRE09120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09120 MNLWNSESVYSNLSRCQVCSKKASGKRFGAIVCRACAAFFRRSDLFKRTMSCKRNGKCEFFKNGFFVCKYCRLQKCIAVGMNLENMHLNSDCSTVDEETTRLESAIPPTIDIFCGRSNLIIFRMPKNSSQGYSKNVIDVQLLIDKISNMIQQGPATPVCANNRLSKLAIALHPVLKSRHSVNPPDSLKYGREESLAQLEHNVVLVANWLTYFDDFQMLPPNLQLQMVQGIWSIWWRLKQLAYSSSEIKKNFDKETIRKMKTGTLIRRYDQNRIDMSWLSNYSVEELKFFIDLSNEVRLDEMTRAMIELEPTEVELSFMFCQLCFHTVGKRFQGEILQISEKFQETLANDLHEYYVKDQKNPYYMKRLASMMKINNQIQRLTVLSAYSSILVDRFESQKLKTLILSEKYYVAVAGNLYYHKWVDLHFVTNNIELKHLFLSFCVSLGLVIFYDRITKQTSRIHFYVALMIDCEMYVEMSSCESACSSPISCQVCGQECNGKFHYGAMVCRACAAFFRSVLSLKSRIKLCFIGLISRRSVEFKTSKSCHRIKKCDFLKKGYFTCKYCRLQKCLKVGMSYERFQFDRDVNKVNKIVVLGGRIPPSIDTFCGRSNLIIFRGPQTSASSSSCPSPDSPKNFINCQFLIDKAIKILQQGPESPIFTRNRLEKLAVVLNPVLEFQPAIEPPDMGNFGKEESLAQLEHVVILVTKWLTHFDEFQMLPAKLQIQMLQGIWSVWWRLGRLAYTSMKIRRSFDIDTIKRMETNTLVHSYDQERLDMSWLSKYTVDELKFFMDIKNEVRLDNQTRAMIDLDPSDIELSFMLGQLCFQYVGKRFQGEILQVADRFQEMLANDLHDYYVNELRVPQYVTRLASMMKINNQIQMDIYNNIKKTELANIFDIFCVEVSHPEMFVDF >CRE08701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:297571:299000:1 gene:WBGene00056578 transcript:CRE08701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08701 MNTSNLETSPINNFAFIPSDKIHLCEISILPCAFFLIFTAPIVTIFLISTTCMYPIFIHVSRKNADKDRAASTCHITCHFHDVTKFLQKTFWISVLLLILVLAIYNNVSKKISGSIIMLIPLLYLFILTVTMIEHILLSVLAIQRCLLFFFEWSVDYITLSDKGWSRLIKFLYIYMFLFNSILRVVKAFMIPADSTFSLPFIEFMNFYNSLDMLYIPSILLLSAALAQLNEDLIFSAIIWIDILTTHFIIQTSYLISNRSNMTAALAWCGFSRYSDVAPYTVQERTTNF >CRE08702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:299510:300481:1 gene:WBGene00056579 transcript:CRE08702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08702 MIALISIVLAFLAPQASAVIGGDLNCTSYNGTAFVWTPAATACPNVISDSSCAVLYPAPVVADGYPAPNMDQQRPLACYTTATATPAAIVMDMKKAAMDNCPRTCGLCCQTSAYNCANVQFPRLNCATITQSQCLSPTWRTIIAVDCPSACGFCNQGGCVDAIMDCANDVRICTATGLETFVATYCQRTCGKCASSTTTRTITTGTCSSYIADSSTACTAWAANGFCTNTFYTLAQRRSYCATSCRLC >CRE08703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:301005:301680:1 gene:WBGene00056580 transcript:CRE08703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-38 description:CRE-GST-38 protein [Source:UniProtKB/TrEMBL;Acc:E3LJF3] MVSYKLTYFDGRGAGEICRQIFAFAEKKYEDNRLSDEEWAKFKATGKAPYNQLPLLEVDGKPLAQSHAMARYLAREFGINGKTHWEEAQVNSLADQFKDYYSEARPYLAVKLGYTEGDADELYKTVYLPAFKKHYGFLSNALKASGKGFMVGDSLTWIDLLVAQHSADLLAREGEGLFKDVPEMKTHSQDIQAIPQIKKWIEKRPVSDW >CRE08704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:302779:303620:1 gene:WBGene00056582 transcript:CRE08704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08704 MIALLSVILAFLAPQASAVIGGDLNCTSYNGTAFVWTPAATACSNVISDSSCAILYPAPVVADGYPSPGRDQQRPLACYTTATATPAGIVQDMKKAAMDNCPRTCGLCCQTNSYNCPNVAFPRLNCNTITQSQCLSLTWRPIIAVDCPSACGFCNQGGCVDAIMDCANDVRICTATGLETFVATYCQRTCGKCASSTTTRTITTGTCSSYIADSSTNCRAWSLNGFCTNTFYTAAQRRSFCATTCRIC >CRE08707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:312934:314674:1 gene:WBGene00056583 transcript:CRE08707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08707 MIILILLVTVFVFLLFNLFRRSRRLPPGPTPLPLIGNIHQLVYQSWRGKGIVAALDYFRKKYGNAYTIWLGPMPTVNITDYEMSHEVFIKHGKKCYDKQLAPILEHISGGRGLLFANGENWAEMRRFTLLTFRRMGVGSGLMEKRIMDELNGRCSELDSEILKKGKAIVSAEFINLTVGSVINSFLIGKRFDEDTKHEFLKLKELFDASGETFNVFDLNVPVWFLKWFFPKRFRMTWDSSENIINHVSKEAVERLRKLNSGEYEIDSEEPNDYVDCYLAKMKEEMAKGADGHPAYTMESLKLVLHDLWLAGQGTTASTLYVGLTKLVNHPNILLNIRKELQEVTQKSRDLTLQDRPNTPYLNAVIAEIQRHSSILNMNFWRINHETIKFQGYELEPGCMLTAQLGALHVNDEIYEDPEKFDPERFLKDEKSIQQLIPFGIGKRSCIGEQIAKSELYLVFGNILLRYDAKAHGATPTNGDIFPYSAAKFPDASGKFEFFKL >CRE09121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:309340:310183:-1 gene:WBGene00056587 transcript:CRE09121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09121 MIAIISIVLAFLAPQASAVIGGDLNCTTYNGTAFVWTTAATACPNVISDSSCAILYPAPVVADGYPSPGRDQQRPLACYTTASATPAGIVMDMKKAAMDNCPRACGLCCQTSAYNCANVAYPRLNCATITQSQCLSSVWRSIIATDCPSACGFCNSGGCVDAVIDCANDRSICNTVGLQDFVNTYCQQTCQRCASSTTVTSSISTGTCTTYNADSSTACTAWATNGFCTNTFYTLAQRKAYCARTCRIC >CRE09122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:311001:312258:-1 gene:WBGene00056588 transcript:CRE09122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09122 MTKKGTIKSCHVCGDTTHIIRFGAVSCRACAEFFRREVISKSKIFYKCSRQCQLNKKIRKTCRSCRYQKCLQVGMLATVVCSRKPVIQTDWSPSFNFLGGLRESYEKLESAREKTFGSKSSGIAVYCSYKEFDNTFAIDIKLISNFFLPYFRERRRIGADQENILAENFIVPFILMEYTFRSMGERNGGTIENDKFTTGTPSYILPNGKRFDSLHLDELYPDRESKDEAGQRYQKMHEQYWNSSDRMLKGPLDRVQLDLSEMLLLSALIYWDFGITNQSEECIETCQQMRKIVIEELADYEKSKLPHGDHSFRIMEIVGILQCIHKASYVIKECGLVVKIYGLNGKDCPLYEISDG >CRE09123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:315510:317255:-1 gene:WBGene00056589 transcript:CRE09123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09123 MIAAILLTIVFIFLVFNLFRRTGRLPPGPTPLPLIGNIHQLAYQVWRRKGIVAALNYYRKKYGNVYTIWLGPMPTVSITNYDMAHDVFIKNGKKCYDRQLAPILEHMTGGLGLLAANGENWAEMRRFTLLAFRRMGVGSGLIEKRIMNELNGRFKHLQGSNFIVVLILRCSELDAEIAKNGKVIVPVDFFDLTVGSVINSVLVGKRFDENTKDEFLTIKKLFDASTETFNLFDLNVPVWLLKWFFPSRFQLTWDARHNILNHVSKEAFERLKQLDSGKHDIDSKEPNDLVDCFLLKMREEREKGPDGHTGYTMEALKFVLHDLWLAGQGTTATTLYVGFMKLVNHPDVMLRIREELIRITQNSRDLTLQDRPKTPFLNATIAEIQRFTSVLNVNFWRINHETIKFKGYEIESGCMLTAQVGALHVNEELFENPEKFDPERFMRNEKLLQQTIPFGIGKRSCVGEQLARSELYLVFGNLLLRYDVKAHGATPTNEDVFPYSSAKLPDTTGKFEFAKL >CRE09125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:319041:320798:-1 gene:WBGene00056590 transcript:CRE09125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09125 MIFLILIAVTIIILFNQFRKVKHLPPGPFPLPLIGNIHQLAYQAWRHKGIVAALSYFRRKYGNVYTLWLGPVVTVSITDYEISHEAFVKNGRKCIDRQLSPILKKVSDGHGIQFNNGEEWAELRRFTLLAFRKLGVGNGLEGIVMEELNGRCSELNSEISLNGKAIVTVELFDLTVGSVINTLLVGKRFDENTKSDFLIIKRLFDASAETFNLFDLSVPVWFLKTFLPRRYKMTWESRQNFLSHVSREAEERLERMKTGNYEIDKNDPRDFVDVFLLKMQEEKGKGESGNPSYSIESLKILLYDLWLAGQGTTSTTLYAGFVKLVQHPEVILKIREELAKVTQNARDLSLQDRRNTPYLNATIAEIQRHASILNVNFWRLNHETIDLQGYQIEPGTWISAQLGALHVNEELFENPKKFDPERFLRNEKLLQQIIPFGIGKRSCVGEQIARTELYLVIGNILLRYDVKAHGPCDLEEDEFPFSSAKLPDISGKFEFVKL >CRE08709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:322941:324029:1 gene:WBGene00056591 transcript:CRE08709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-34 description:CRE-SRW-34 protein [Source:UniProtKB/TrEMBL;Acc:E3LJG4] MNSDNNFAALWFPELNGKSRKFWNTIRIFLEELSGSLLFINIAVSVIGIILNVFHLIVLTRKTMKSLTINVFLIGIGACDVIRMICKVWLLFPATQFLFIDPNISFGCLNPLSYIAMVTMNFSLSTEKTTRQLSVWYGVTIAILRALALRYPLNPRIGCLINAKYGLRVLIVISIFFFPFWMLSYAQISVKKLRLWKPYRHCRNYPKNYSQVEYIYETVEIFGQSYHWLYKFVTVFEGVLSRLIPCVLLSVSTVVLLIEMQKTRKISNTAIRESNHRSTHLVMFITVTFLISNGPLGITYLLEFVVYDRIGFA >CRE09126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:326845:327365:-1 gene:WBGene00056592 transcript:CRE09126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09126 MAIVGFEGIIFKFLPTILLPIVTCLLVYELTHGKQGECPKSKECSKRSTKLVTVVTISFFIATAPLGIMYLMEFHTFHSDGMTWVTSCMMLVFEHLVSCFTVLSIINGTVHFLICFFMSTQYRSTVRKLLGLKETRIHLHESAQKDSRKAKVVSASRSTRSVISD >CRE09128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:328719:330041:-1 gene:WBGene00056593 transcript:CRE09128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09128 MTTDILSLNFPGLSKDAAMFWYRVDSFVRYGISRIPVSPNLGFRPLSHYLDYFNYVISIIGIVCNVFHLIVLTRKPMRALTINIFLISIGICDLGRMLSILTIFTPQYYLHFQQKVVPGTCISPMYFLTMVLIEIGTATFKLTQEVSVWLGVTMAILRALVIKYPLNQRIHSYIDSQYGIRLLFLVTFPILPFWYFHFWQLTIHEIGPWTPPANCTGYSTNYSQILYMFYVTDATSIFGETIQKIILLTEGILFRLIPSIILPVATIILIYEIKKAKKISLSKREESNDKSTKLVSFFTISFLIATAPIGMLYLVEFFVYDIVGLMNLIDLFSDVFSVLSSINGTIHFLICFFMSSQYRSTARNLFGLEKIFRSSPHLMTQSGTSAKCLKSSKA >CRE08710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:330836:331863:1 gene:WBGene00056594 transcript:CRE08710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-274 description:CRE-SRH-274 protein [Source:UniProtKB/TrEMBL;Acc:E3LJG8] MSNSTCSYNFFASTSFLTTFEHILTVFSTPVHIFGVYCILYKTPYSMKTVKYSLLNLHLWTILLDYALSLFIIPFIMWPTMGGIPLGVFQYIGVSTAVQFYFMLTLIALVSVSILSMFEYRFSVLFIKSRDIWATARKPWLLLHYVAAIVYMVPPFFDPGDQRDILEEAYRRAPCIPLFIKDAPVFILSLNIVYSPISVGTFVLDICIEILFFFFYIYWKILKQLKGRSMSQRTFNLQKVLLVALFIQVMIPLNLFIFPIIYTAYATTSGYYNQGFNNLAIAIGSTHGICSTVTMLLIHTPYRSVLFGSRVRTNMETVSTKLSIVMV >CRE08712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:335878:337795:1 gene:WBGene00056595 transcript:CRE08712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08712 MWAVELNNFISLLCLTTPEAVMVFCIFLLALTTSISISKQFPIPEKQPSNFVHSQKRSASATNLTSFPNYMQWFMSRGKIEFYLLSYGFLVILAALCYYFWRKWNNRTVLRQWKRAPSNNYTKMKPMSSKNKRKLHKHELLSRLLNNSLEFDPKFEIDTKNLTIGEELGGGNFGIVHKAKLYCPNLKTKTNSFTVAVKRAKYSQDQKMIFDELKVMCAIGRHPNVLTLLGGVTINPSDTMIISEFADNGTLLEFLRKFQNGDAFNDQLLYSDQQTGHKKKTDCYTTSIEINDSMEFLSTVDLVSFAYQIANGMEYLAAIPCVHRDLALRNVFLLGNKVIRIGDFGLTRKHENKGYYRIASPDIGLPFLWMAPESFENLKFTEKTDIWSFGVCMYELFTLGKTPYEDVKNMSVLDYLKSGGRLSEPEYCHPKIYEFMKSCWVTDPLFRPNFKMCTEFFKEHLSNINIQAYNHLEEKLESECQYQDELINWIRTTET >CRE09129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:337926:339157:-1 gene:WBGene00056596 transcript:CRE09129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09129 METESGVSLAVAVKTAKDDNKEKYEEKKELLLKEILVMCAIAKQKHANVLAIIGAVTVDPAAVSIVMEIAHTDLQTYLEHHKGFTNRFVPVDRNDATSDEENRKTNTYRKEEYVVNNDLNTLDTFELVLFAYQIANGMKHLASIPCVHRDLKLRNVLITKNKTIRIGDFGLARKHKDRNYYTETKGIIPIHAAPETKENYKFTEKSDVWSFGLCLYDLFTLGTKKLSELSESAEDFSTKHKRLTEPQHCRSDLFEFIELCWEFNPVHRPNFITAVYIFKCELGRFSDNTFNEIEAKLKLELEEQKKLEDWVPKKKLYD >CRE08713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:340108:349724:1 gene:WBGene00056597 transcript:CRE08713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08713 MSSLEEVREIKDRIVDLQKQINSNLHSSKHTTLHAVPSKDRADTNNETVEDYLGKYMVTLRSEEAKFKDQYKTYKEELYKGLSELYQAPIGTTFAEQAIKYAEEIEKGDTTVREGISEIEKRLQNRHETANEVADEENVQKYGEYRYKIREYEPAPQWRKEQQPIQVNHQKTKKHMLSSRDMEIPIFYGDIAEWPAFFMMFDPMVAKNEDFSDVMKHNILRQHLKGAAYDIVRPFKTDGTEFKTALNRLIKMYNAENKQYDHFWQKLTSLPKARETANSLRALHNEMLAITNALKPFGDIESQNFQSVIRSKIPKATLLEILKAKPSNTTDLLKTLDDIIEIEELAYRSNLQPEKEEKSVFALRQSNANPRQTQRKCKYCQRNNHATNECKTVASLDDRREFIKNNQLCFNCMNSGHRSQDCRTPACRKCNTRHNMSICPKNQNLTRNNHTSSQNSNFRNSNSNYRNGNSFKTQNSQSNSYQKNYRNSNQGFQNGNGNRQQQNFTNSNQRPQWKQGQGTRPQGQSNQQKGQWNQPQGQTQQRNQGQSAPNRNQNSNKVSGYQVGSHHTSLMIANAPIYVNESIETIPVLLDSGADQSFILEDYANRANMKIVEKNVEIDLSVFGKDKSTLVSNKVEFDIIIDRDDITSVRVVALTVPKLTDLFNPIELTKEDREYLEEGNYKTINITKPEAPVALIGCDTFWNLMADEAKEKLPSGRFMIATHLGPLICGKYEKPVTLTHALMTRVNEDSFPETSLEEYFQLGNIGITEETSEPTNQDLIENFEKTVKINNDTKRMIVALPWKHGQREKLANNKEVAFCRLKQQYSSTSQKEAWNNLIENFKIMENNSIIEEIDNDPNIGFYIPYQLVFNNNSNTTKVRTVFDASSKKRGEISLNNALHQGPSLIPDLQGILLRIRIGKYVLSGDIEKAFHAVEVNPEDRDALRFLWLKDPNMPPSNDNIRHMRFTNLPFGVNCSPYLLSMAILYGIQHSNAPKELIEAIENMCYVDNMFMTTDEIEKLPELYTQTKHYFKQIGMNIREFSVNCPENFINPEDRTTNQENIKILGYNYYLESDIMQVRKPSFEYNVNKIPRMNKRKIVSEIATIFDPLQYFAPLYLEGKLIISSIADHNIKWTDIVNEETVLKMIEYRKKIDNSSLKFRRHIDYQKEEPVGIAIFTDASETTYGACVYLKTKKEGEEGQFDTHLLIAKQRIAPKIKTLTIPRLELLGILIGVRLLKYVLNQMKIAVNAIEIFSDSSIALAQIKNHSTPKGEKQPVFVENRTREIWTTLQDIKSKNSTIEISLSHVPTDQNPADHITRGSNSEIELKETNWFNGPSWLANKNHPEYPSTKPDNKLIVPVITESYVTLVTKTEPNLKEKENEIINLEKFNNFDKSKRIAAYILRFLKNRIYNKLKPENQTLLGLNIPEIEANKSIMSSQLMLEELHISEKLLIRNNQKLFKILPNEKENKFVTKPFGKIKSDKIVYQHFRAYNLPLKPVIHTKSELARIIIRKIHKDTLHAGPATTLGAILEKYAGNRWKIAVKKELKKCSLCRKNNNHSFRDAPPGKIPERRTTECRPFQHVGVDFMGPIKTQRRNSTEIEKSHIALFTCATTRLIHLELVRDLTTDEFLLALSRFMSRRGYPDTITSDNAATFKLTAEILDKCSTKDNDFLVELAIAKLENRKSQEENKKISTLDKEMSSKGIKWYFNTALSPWQGGFFERLVGLTKKSLKHALGDEEFRTKDLETIMIQCESLVNRRPITYIDEDNEDWKVLRPIDIVTPGLCFPYFDEDKLRDEYSEYSSLYRQVSQHVKRFWQVFHRDYLQQNKNFQTISQQNRAFSNLVKPIIGEVVLLKEENTNRKTWKTGIITELIKGRDGEIRSVRVRTTTKKKSRNIQSNKAGKTIEVTRPLQLVIPLEIRPTTDKVKPELPELVKQKEDRPEQSIASFLTRNINKTIVEEPNENVTEKQVKIKTKLVNNCRMSIKKNSPMSFWKYPSMILALCLLATASATPAEDPFAKVPGIVPHQTMPPQPNSTTETTPLTSTTTTKQKKTTTETPTTTVSTTVSTTVSTTQSTTTIQMTTTSKQQPPITTVTTTTTEKITKPITTQPKTTVARETTPTTKPPSTTTMPFDQNLRGDFHPALSNVVDRQFEKPEGQKRSAENVTKPTPSQNAKQLPSTTTTKATPSTTSDFHINFHQPHDSKSRIECTKKGVNLINEESITNETHVVCTEEHCRSYLILKEKITELWLTPESTIHKHKVTWKKPIGDKFVIIEKECPPADFCWKLDKYYNCIFCTRFVVNSQCHPKLSITIAIILIIVPLKIAFTLCQLKKLSLFFRALISFIWRIISGITRFVINSIRYIISCCTTQPTPQELEMVPLRRENRRAMVIRSLREGISPFRNTQPRLTTRTRSLDRPRKRTFEITTEVENGQEVMRIQKTESRTPSPPSLGIVTLAIMFTLIVSVSADACDETFPITHDQVTCNENGICHIDKTEDIFFTPQTKTVCLQVVSKNNVIAKFKLTVAYNFRKCQKGPILYTKNVTVHADSSKRCHGMGECIDRKCLDIGTNSKLIEFPEGNKYPGNTYCTTSCGGLFCKCLLPTPGCLFYRTYAVPTTDDRFEIYSCESWSNAINFQAKLNVDNREVEQVFLIKEGEDYKMNFKYGKQNQSIRLQIKLLEVSEESGLAVLGKKFIQSKEKVALASITNEIFPLECSDTGQCSYRETCNCHTAEAEAVCNCKVPDLYKIVEDRDHNLPIITERYHLSTTPDNTPTIKMKHNKLHVQIVMDQIYDTNVIESKIDCTIPKQTPFTGCYNCLRGAVQNVTCKSDSPTHAKLSCDNSEFVDILTCDKKGIVNEVHRKFNQSSPVGVCTVVCGNQNNSYKIEGSLVFVAHTSLIEYLNQVLNSEKSITEIHPFHIPDFYVALNAIYRGAVSIVTSILMNYFNPFNYRIRLTNTQTDISLLTDFTSLTTSNFQAIMAKRPHSDLSQEINEEAKRMAVTWQLKSITDRANRDLHRGPKPPAKCRFCQEGHNTADCRSIKQKDKVKIALKKKICQICLTHAGHHPAACRALKNQSILCLRKACENRYKIHHKSICDNATPSTTPDASPRHEADIGEEELAIGTSQGFLKASS >CRE09130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:350232:351515:-1 gene:WBGene00056598 transcript:CRE09130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09130 MIFSYFFPLFILFSISIEGSVVVPKWLLQEYCGPCRYCVGLDGYPEPYFCVLTPTEIPCYIRIEFFPSIAEDCKVETTTESSSTPTSTTEHETVSVYSSSTISVTDSAKFYTPTVFDVTVTPLGMTGFFVNFHSSNTMNNSESLRHFLNRECPRWDLRNNIPLVNDRLASFGNLSVSFLHRPSRDPILGKIVIERFNAMDAYFWYRRCKKWMSIEDYFLVHYGYDVRYPKGYVCRLLPDEYEEADSEVTSDNLFPLEVLLINH >CRE09131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:353064:354248:-1 gene:WBGene00056599 transcript:CRE09131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09131 MYEMNNMSINGDQAYIDYKFDPFTVPVLAASIPLIYLLPTMYIVVKIVKKFFQNLWGQKDSTINFHVFSVIVLHLTLVGFKSVPSLQPYSFQAVLYLLTDYTTIRIPATGLITSWCASQQPNHGLKILFLLSVYFNYTAMLFPALLSVLRLIPIYYPFKVDEICARIVRRSTPLILLYPFLFCFPLIPALGDCRQLLGTYQFGGIYFYWTGAWLNIKQAESLILNSVFWCSFCTIANVILYKKLKKMRTKRESVKLQRSELSLTLITFSMFPAYFTNFAFLFGFLISAESAAYLIALRPYGSDVEFVCVAWIFYLTHPIFRKKRVFPVDGTRTQVTF >CRE08714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:354618:356239:1 gene:WBGene00056600 transcript:CRE08714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08714 MTLFIRVPQKLILSTGVFWALRTIFLTGYSSQFERQTTDCTSLLIISNEVFICINGESSIFSSYLFFLSVFSHYRSVSSIASPTINMSTNQSSSKSVPLLTSSERQVKKTFSRLYLTISSSSPTRNSGKTKKYILQFLIICVVAAPIAYLLTSLFVSSIDTLSNEQNQKRVVGYYTVWEEKKLTVDQLKKLTHIIFMFADVQEDGSVKIHDSHAVKRIWDMKEIISMARKQGMKVMIAVGGHSTSIRFSPTLEDPVKKKNLMESIANLFEEYDIDGIEIFWMWPTKEDKVKHLKLVREVRQQLTSIKESKGKTEDYVLSVITSRYSHFRENIYYNEIMKHVDFVNVLTHDWRYMREYVGPFAPLYGGRKDSIDDAMKYMTCLTKQPSKLNLGIPLFGIFWINTTFPIDDIKKQLWIPKTGEKIAYEVRWRDRSSSRWKNVQASWHNISRTPYIWKPEEKLFSCFENERSLKEKVDYARINNLGGVVIYAIDQDEDNKLMSAITSVRLESGDEVNDIKYRCE >CRE09132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:356529:357835:-1 gene:WBGene00056601 transcript:CRE09132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09132 MFSSLNQHCSHFGYSVKDEIPYLLSSNHYPPATTSPISQSRIRRDISSDYYEYTTTISPIESLVEYSHDHVPLLVCLAVFYVTMDFVWLITAIPYNRIFTKNRKHWKKMTDYQIIKHANYILSGHFTCFQFLLAVMIVVGVFYAQDVHNTSFLLFSTVSISLILAVFAYVVFVFGQIYQILIAISVAEDMLCRREETRSEYEIGVKQTERKLWIRYLWKMFLIRDFICTTIVVFFDLGHSYSRGMYFHYTQMYLLVSLSIYFHVSFLLQTIHNTIYAFVPLVTIGYILNNLLNRHHYSRKALNPLQTQIKYQLFSITTVQLILLSLSILLSHFECLPVFVNMHALSENFETTMAPMGTITLPPYMPHLIGLILPLVIQVTSLIEENSKTGEIPVRPISPEIYSI >CRE08715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:360320:361678:1 gene:WBGene00056602 transcript:CRE08715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08715 MSNLSHSYPEADLLTLHDEQIYLKEWMQSSRLLFYSVICISLFTLPVLLLSLCYISVKPTHNVHFLPYLYSILIANFVLLATLFTSVITKNTDMVYDTIPGFLVCKLTAFLVNSSSCFIHWSWVAMFAERCFHIYSPLRYRINSSFRTKAVIVSILIFSMCIQLWIPIFITEKRLSGQLDNIYCGEDTSYSSQTQLILSFECTASFFLPLILTIFADISIFTWKSSWGSISFNLVPREELRGKTSEHMKIVSLNSVRNSKKRRSNAIRRCLISATITLFLNLPNYSLQLIDEFFNLRESSSIDVRRVFLRIDAFVYILYLIQFPITPIHIFTLSRARTRRASR >CRE09133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:361741:362786:-1 gene:WBGene00056603 transcript:CRE09133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09133 MDHYHFALKFIFLFGVVMTVYHFHLSVVSFAESNRDDDEDEELGVFGVIKEVVFIISYYANQFILHIFTRVHCLLISIVSLQRFTIYFFPVTEPYVNLNKKNMGFLIKLIYIVSSIFVLHFMFADFLQIVPDSIQEGNMKVSRYIYFYFSLDALYFISSILYLPIMISIRKHSHLASVMENQPQKYVLYQCLICLLFRTVHYPLMLYLYYILDYRIEPLIEFALEMDFLNTPIIVQLAYLFSNKRNAQTLFSKKLLKIMFCLNLNQVDPEPRIEVYSTAVGNPNNANNI >CRE08716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:363675:363947:1 gene:WBGene00056604 transcript:CRE08716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08716 MRMSSAIAEIVSEFSGFQHIHTSKSASSMTSTPPVSRKTSTSSESPRHSLNITVGAAPLNQIIRNDSSNSLNDFNCLQRKNSSASYLVIE >CRE09134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:365455:365652:-1 gene:WBGene00056605 transcript:CRE09134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09134 MSAGGYSSGYMGSNASFSGYAREDYASGGSDGGASNNQNQGSGGNTNPGKQVFKARTDQSCYLGS >CRE08719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:367805:368077:1 gene:WBGene00056606 transcript:CRE08719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08719 MRMSSAIAEIVSEFSGFQHIHTSQSSSSMTSTLPVSRKTSTSSESPRHSLNITVGVSPLNQIVRNDSSNSLNDFNCLKRKNSSASYLVIE >CRE08720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:368934:370351:1 gene:WBGene00056607 transcript:CRE08720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-67 description:CRE-SRW-67 protein [Source:UniProtKB/TrEMBL;Acc:E3LJI4] MSVNFTHEDFDGLGYSNSTITTLIGIVSFINSISVNVIKYSFILACLGVVVNVFHVIILTRKTMRSNSINVIMIGIAACDLFNMSFVVYENLLELTHPDIECWAPSTYLSQMIELWASAIKDDLRRLTAWLGVLMAVIRLLIVKNSLNPKFKCLSYPKFSLIVMLVAFIMSTFWSLFYWARLTVIEIEPWKPAEHCVGFPANFTETQYTVAVNNVFMNDALLMIQVFLITDGILKIIPTIMFPILTFLLVRELNHVEVSRRKISVAQGRDESSRNDHTTNLVILMTVTFMTAEGPLGVVYVVQALVTNSNGFMQMTSDLMNIFGLFVALNATTHCLICLAVSSQYRKTVKDLFTCQSCTGRKPSTVSLFITITSLDFFYSDYRNREG >CRE08721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:370599:371689:1 gene:WBGene00056608 transcript:CRE08721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-4 description:CRE-SRXA-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LJI5] MTWILTTSQIIFNILTLLCIAFDIVLFACIIKHRFFSNSNNRTPFVYITVMSWGGIIGKIFDIFMVDSWPIAQMIDPVDGYETYRKIIGKQITLIATFSYLTSIFINWFMTCHRVLILLSPAKAPAWFTDKKLFMYCSTIMALVLINLLIPYYSPCYVNFNALSSLHETACAPSKHMVKPKLNSLNQIVLQLTKIQNLYLIWVPISAVIINSTMISYIKLSRRLFKKQSTLSAATIKRENSMIRQACFIASYLSIFEIGYLFMRFYPETFGNFSQEVQSITYHVRLLASCTLNFFVYFVETKSTRSLILKFVGWKKKNTNRVSTVSFTQARKNQ >CRE09135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:372450:373721:-1 gene:WBGene00056609 transcript:CRE09135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09135 MSFESFIQLLSVIVADYKYILAVIAIIINMIHLLVLTRKSMFWNSVNVLMIGIAICDVLNLSFFVYDKLMSMRAKQMDCESISMYQIELYDVTVYTAREILRRLSSWLDVLLALVRLLIITNSLNSKFDKLSKCWFGVLVILFSFLISTTISMLYWAQVSYYEVTPWVPKNECSDNSSPSITPTTTASSSTKSYISIQILYVIDGALRIFPSLLLPTLTYLLIKELKNAANSRRRLSFTKTKDEQSETDHTTKMVILMAISCMTTEVPLGIVYVAQGIETSSMFQSLAANLNTILGIFMALNASSHCFICLSVSSQYRKSMREVFPCWNCILRRNVIAVKTLKNNGSSID >CRE09136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:374428:378647:-1 gene:WBGene00056610 transcript:CRE09136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09136 MDQFSYEYEFVGFSKTNTEILVWFRSSLNSFLPISRNIELIFAAIGVLVNIFHLAILTRPSMRTNCINVIIIGIGVADLFVMGSICSDNILEALEGNECYNFYNHLLTLINFWRKILREVFRRTSAWLGVFMALIRYLVVKNATNSVSDKMMKPVYGFLTVLVCMMISSLISGIYYYRASILKIAFWIPPELCLGYASDYTEPIYAFIIDRSFFFEPIFGSQSFKLADGLLKIAIAVILPVLTVLLLRELGVARRKKSTLTRSEQNSKKSDQTTKMIILMTVASIISEAPLGISSILQVFSGRSLGLLMLSADLIENLGMFVAMNSLTHCFISFVIYTQYRNTVKNSFRCKIKTIKPNKLIPAALLPILSFLLVRELQVARKNRRKISGRNEQHKPDNTTRMVILMTIASVIAEGPIGLAYLLQGVSGDSEGLLLLGGDLISVFEMFVAMNSLSHCLISLIVSTPYQNTVKDVFKCLQKTKKIGNNVCFWFPPISEYFFAGHCDPKDKCDNCGIYEYAEDLFKYKDFKGFGNFTNKFLVTFGNALNATSDTTYKLKLIFAVIGFVVNIFHLMILTRKPMRTSCINVIMVGMGIADFINMTYYLYVELYGIVQNNECINSLRYAYIFLYLCQMATYDMFRRDYAWLVVMMALIRFLVIKNAMSAAYQKLAEPLFGLKTVIASTFLSVLISVYGYWHQYIDELSPWVPPTECQGYPANYSEPKYYPQIDLEYEENPTFGLKPFLYFDSCMKLIPTVALPILTFLLIRELQVARRNRRRLSSKNEK >CRE09137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:379231:382181:-1 gene:WBGene00056611 transcript:CRE09137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09137 MSDGYTYPEFEGFSNSTIEILITIGDFVYSVTEVSQELQIYFVLIGILVNIFHLLVLTRASMRTNSVNVIMTGIAVCDFFNCISLIYTYYPSFTFVDNCWNSHNYTFEYLGAWNMTINDVLRRLCAWLGIALASIRYLVIKYYYNPRFQKLTKPWFGLKTVLFLLIFSSCISSLYYSHMFVVKAWDWKPDKSCAGYSVNYSEPSYVIQQDASFYTDYFLNFENFMIFDGAIKVIIIPAVMFPILTFLLVRELRAAKKRQLKMRGPLKKIKNPNPDHITKMVTIMTVASMLAEGPMGVAIIFQGLVIDQFGLLFVLLNRLSADLIIFCNMIVALNSSTHFFISIMISSQYRTTVKEILRFLSSSEVSIYRIYNTKIIAYLTFIMEYYTLSDFDFLQNSNSTKVIFVKIGNFIHSVLVTSENIALFFSCIGFFINIFHLIILTRPSMRANCINVIMIGIAVCDFYNSLSVIFNAVLRVMQINQWYLLCFPFSKLINNIFSWNYYDYTVQVFVMLDMAINDTLRRLYSWLTVLMALIRCLVIKFLMNRTLQKLSNPSFGLKSTLIFTVVSIVISSIYYTNLHLRDPSFIPPWKPSETCTGYPENYTEKSYVSILDDSFFKRPWINVETCIFVDGIVPPLTLPIFTFLLIRELQIAKKNRQKVAGNSKPDHTTEMVTLMTIATMIADVPTGLNLILNGLSTDWPGFLKLSSELTIILTVFVSLNTSTHCLISLVVSGPYRKTARQDQS >CRE09138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:382777:384200:-1 gene:WBGene00056612 transcript:CRE09138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09138 MSENSTCEMYQDIDDPIMCSIGVGIFQFSEYVNTNKYILAIFGVVINSFHLFILTRKSMRSNCINLLMIAVSICDIYNMMYIVHVKINYISAYDQCKPPESYFQVFLNHIMNILNGLLRRLSSWLGILMAAIRYFAIKYPMSPTIDVISRPLFAGKSTFLTLLISALVSFLYWVHFSVQPVFLWKPVEELVHLICLEIILNLCRCGFIDNYTVAIYGAELSEFIQEYPSILGICQSADGLSQVIPAVTLPVLTFLLIKQLDITEKNRSNFLKSQKNNENSKTDTTKMIMMMTIASTLAEGPIGVLPIVEIFACPFLMVISANLMVIFGAVVALNTSTHCFVCCAISSQYRKTVTQVFLWENHSRTQKQVSCIGTSMRITPLLV >CRE08722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:386413:388292:1 gene:WBGene00056613 transcript:CRE08722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08722 MTFSIYHGPPILPWASDSATGLLFFYGPPILPWASDFFTGLRFCHGPPILPWASDSAMGLRFFYGPPILEQSRKLFLSSYQSENQAVFSWKLEFFRSLSMVEDVNYANNCLPNQPSRFLFAYSNDLTGDQVMDSWNAFASRSNLFFDSYEISRFDRFEEGALITSDINSMTDGVLHNLPNATESFPDASYGSDILNIMEWFLSDPEACGSTMFIIMKRLPNTQDISQMASLLQQRHSHITVIISENPSGGLYQDTMYKLASQTNGICFFEEDEMFGEASYWLPSIWPLFLVYSVNAKVSGTESISLPSFNAPFNGNYYFGMTLQDHGPLDTFRMLDLKWYNSGSLFSGGCQETLEHHINWGNDTYIGKGSYQLDAVNYEMTLGFEFSDNKEQILQIRFYSYDSIDYWLPYDN >CRE09139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:388626:389995:-1 gene:WBGene00056614 transcript:CRE09139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09139 MPEKSELHSISEFSQLLGMLSDQNENEKTRKVIKEKERRDYNNQLLVVLKNLVQGPQDSNLNVHQVLIKSHQTVTQLHKQASSDPQTAGFLQGLAKAETIVIRFIESLHFEKKSCQEHINVIKQVFASKSGGEKLETGEGSSSSSPKMRSDQTDRKEIKKNREQYRRDRHTEGYNALEDFITKQNLLPPNRGKLHKIDILQTIIKYIKETRVVVSNQSAQHQLPRTVGFLEGLKKGKDISIQFFQSNANLFGFLLSLNAFFEFNVNPQPLFGFPTGPAASPFPVLPAAVPIDVPAAKPTEAPAPVAANSVLAQLLQLMLSNSSKGIIPPLPMTPTIPHPTPASPTGSMVTSESSASDNSSPSSNNSSGSSSPELTDSQSPKKVFRPWLPSKS >CRE09140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:392293:393713:-1 gene:WBGene00056615 transcript:CRE09140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09140 MYQQYTYEDFEGFSQSKAETFAKFANKILFISVSITEFQCVVACVGVIVNLFHLLVLTRKSMSMNSINMIMIGIALCDMFNMSFNVYDTAQILVQTSDKCRPPAPYTVKLIGFWASACEDHSRRLSALFGVMMALIRWLIIKNALNPKYEKFSKSLFAFGAMLVAFLLSSIMTLLFWSRYEVAQVGLWRPPIECTGFPIDYSVPVYKSSVDNIFMTDTSVALQVFSVVDGLIKLIPTAMFPVLTFLLVKELKKAASSRRKVSTVKNEEENSKSEQATRLVILMAITYILSEGPLGIIYVIQGFVMEPPGLVYVFTFFFDEYHFRNFREMTFDLLDIFDVFVSINSISHCFICLGVSSQYRKSAKSLFFCVKCLRRKTKPLPIATKVSVSSAGRVRKTTVDPTAD >CRE08723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:397372:398540:1 gene:WBGene00056616 transcript:CRE08723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08723 MNEELDENLILSIKNYAENVYVVHFATSIVGAFFNVPHLFILSMKSMRESSTNSLMIGIAISDLIALFENIFERIYLYWVLDNPCVNFASYRWVLSLWIGDFVRESGERASFWLGVFLALTRLVIMKFPGSYSKISKPILGYFLVILVLSISSFHAARFYSNYVIAPQTYEWTPGRICTGFPTDYSETAYSQKFENLTERKDTIRSYEFVNGVSQVLVSVIYPVLALFLIYEVRKSAKFAAASLSKKSLDDRNRAGRMILVMTVFYVIASAPGGASDFIELFVIVNSLSVLEILVAYGSIMTSALFCLNATSHSIINFTMSSKYRATVKSVLEYGKKDVRVVTV >CRE08724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:398748:400397:1 gene:WBGene00056617 transcript:CRE08724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08724 MWYDINRFHILAFFTWQFANYFAGQNIFGIYSNHVPKWKCGDSELTKDCNVYLSCPKNNLTFDEPAFSSAAIEFDWICGSSAFYQSFFSQVQFVGHLIGTLLFGTLSDRFGRKPIGIVVISNGIWSTFASGLAPNVPLLFVFRFFVGLSIGGMLVVLCAWIMEVILPRQRMVVRGFFNWGWTRIALTAICYFTREWRLASFTTSVSLIPALLLVVFVIPESPVWLHSKGYKERMIESEIRISNIAGIPYTPVEHKTVQPKCLIDTLKTKGVFKKLLVLWSMWFSVAMCSGAIDLNSGTLAGDLYLNQVLFGILLVPSKMLLLFVDTYFTDFKRRTLHQGSLIGVLICVSMLTVFTVSKYQVSAVLITYLIGTAFIEYTWDACYLCAIELMETPTRASATGSCSLVARFGMILAPVLTHANMWWPYSVNATVFVLVASNLIISYFFLVSFFSNSRRKNTFSQPESKGVNLDNVCDEVIPMDDVNKEANGNKTASH >CRE08725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:400661:405101:1 gene:WBGene00056618 transcript:CRE08725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08725 MNEELDENLINFINNIAENVFLVQLVVSVVGLIMTVPHLIVLLHPSMRTSSSNSLMIGIALCDLTVLSGSVYERVQSDWIFAADNPCANNLNYWYQLSILVNDVFREACERASFWIGVFLALTRLLIMKLSGNTEFLSKPKTGCLLVLFILVLSSALTAYYYSIFKLRQWGGQWEPDHECLGFPENYSENLYFRKITDQYTFDAIQNIYFFVNGISGILISLLYPSLGLLLFLEIRKSASYASAALSKRASLERHRTSQMILAMTIFYVIASAPGGASEIVQLFVTITSNSILNTLVAYGSIFISTLFCINATSHGLINFTMSSKYRDAAKMIFCLQKGSGRTSSIHNRRTHSSEHLLHQFLTAHKTLRTRYGKHSIPFFNLRIRCQMHFWKEMNRKLNEKLINFINDIADNVFIIQFVVSSVGLFVTIPHLFILFNSSMRTSSTNSLMIGIAFCDLAVLSSNVYERVQSYWLMPADDPCVNYNSYWYQMSLLVSDILRETCERASFWIGIFLALTRLLIMKLPGNTPVLSKPILGHFLFLLLLSLSSTHTAYLYSGYRITPWGTLWEPEEICTGFPENYTEQIYIRDFIDNQNLFKVTTKYVFINGVSRILVSLLYPFLAIFLIFEIRQSAKFALAALSERAAEERHRTGRMILVMTVFYVIASLPGGASDFVTLFVEIQSYSILETLVGYGSIFISALFCFNATSHGFINFTMSSKYRKTVREEFYCRKGELRGSSVSTPYWYVIVSASIGISNFSSSFGPSNLNRFWQ >CRE08726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:405584:407003:1 gene:WBGene00056619 transcript:CRE08726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08726 MEVNYELFFTAYSENSKKYLVVFAKYSTIFMDFLVYFNCGLSCVGLFLNVFHMFILTRKSMRTSSVNILLVGIAVCDIVNLMYNIYHDLPLLLKRYMSLDCIPTKGYYLGWFNKFIDSFQAMSRIVSPWLGVVMALTRLLTVCFPLNPKFDKFTEPLFAFISIVLFLFISLITAGRGIFNLSIGVTGTWTPKESCGYPTNYTEPEYGLVLSEWALVDVTSFLAYLNATFKNLPAVILPFLTIVLISGIKKAQTRRKKLHAGNEDSSKDNTTQLVTIMTVFLVISESTVAMTVLLQALVIGFSTDVFQSFGVLYIITNFYTIFNLLITINSTVHCIICMRLSSQYKQCVMKCFPCIQSKRNEQRIVSTGGKELIQTVFSGLCQSAFCFCPSITYN >CRE09142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:411070:411987:-1 gene:WBGene00056620 transcript:CRE09142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09142 MNQALDKDVYNFLSNIFNYVFNVQYVLAILAFIFNVPHFIISIQKSMRVSSTNILIIGIAICDLTASSAIIWERTFDWMVRRNSCKNGSSYSNQLAYWIITAMNENAVWISFWLAIYLVLIRLLILKSCIDLTFLSKSSVGYLLFLATLLFSFLFFMNLNLRRQLVEINGFLWRPGPECIGFPEGYSEKKYYLQVNDGGHFFAYIPMYTFFVATSQTVVSIIYPFLALLLYVEIRISAVRITDINHSESLDRRNSYQSNDYELYGVLYNLKCTS >CRE09144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:416553:418916:-1 gene:WBGene00056621 transcript:CRE09144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09144 MKAVVAKIEDFDSYIYNQFQKPELKDSPDRETFFRDVTNTLLGSGADSSQKKLAAQIAEMESVLMGYGQTLSSFQPSNQPRDPQVSDPRDDMKNYINEPHLSQETINLVDEMPCSDESPASSRTKTSSQSRDLSMMFSDSAFPNRTKELQQSLITENRGLQEENAKHLRAQYEESQKRLALETKGLQCREYLQAEFTRVQAKEDKWISGLKQHEQRKAQESASQRPDVAITTISPFEFSVVRPNTAPAVESFEPSADNRVAPSLAQLLALTTFPQTENVQETPFFRSENLINYPNVEPKTAETPNATNRLDMNDRMNAFLSISQNQRIGARIHERDESVRSRATSRRTQARRSARSESSYVRRYERDESSESEDESNHPVPFRTATIRRNRSLSPEPTPRKNGLKIETRLKFLQKFDGTNDLDLFQTLFTKFVLNDNELSPEEKRAVLMNHITGPATICVSHAKDSKTAIAVTFISLNKVYGKANSKHNLLKKFESLPFHQTDPETMRQDAVSLTNVLQQLKDRGLPADDHMTMWAIACKLPEKMQKSLAKYTVKIGEALTHDLILDRISRDIETMALEQTYVSQRNSEANELSESYVTTNLSNVNSSKHRTPAQFNQNNQRERERKLVYDPAQFPFEYVDPVTKSKLEGYYTPGSKGVNLKIISRTFPLSEKEPRPCNVCQGDHNEIRCTLKSHEFREMCKRKGLCPICARKHDITVCVYPYRCGYCNGLHHLGGCPQKEFYRDKRNYPKGAQPVATLYRANKTNQLKERHYGRHRVKSTELCVQS >CRE09147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:431124:433144:-1 gene:WBGene00056622 transcript:CRE09147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-237 description:CRE-NHR-237 protein [Source:UniProtKB/TrEMBL;Acc:E3LJK3] MESPPSLQICGVCGETADAVHFGAHSCRACAAFFRRKVAAGKPIQVSRCAGNCRLENQLLRRLCASCRYDKCIKIGMKTSAVLSRIIVKSEPSSSEFSPWMGENLLDQMKVAYERLENARSEVFNLETRVPKTANYKELNEMCNIDISLIKQHYSLFFQSITPTDDDQRGFLGNHFIVPYALLDGAFRSQDGDLDFFLMPNGDYVDIRNLDNFYQNPEEKDGSIAESVTVLMKPYWMLNQRVLRKNVKEVKLDLSEYLFVSALIFWDFGIPNQSDECIKVCKTMRSRIFEELTDYEKSIIKTDDHSLRVGEIVIVLQAVQKALGIMHECNDISMVYNLYGRECPLFKSTELNEFK >CRE09149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:435248:436090:-1 gene:WBGene00056623 transcript:CRE09149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09149 MSLTFVIVSVLALASGANAVISGNYNCTTYNGTAFVYTPASVACSNVISDASCQVLYAAPNTLYPASGNDAERAYACYTTATATPAATVNDMVTAAISTCPKTCGFCCQTSAYSCSNVAYPRLDCNTITAVQCASSVWRTIIAADCPAACGFCNDGGCVDAVVGCANDISICNTVGMETFVATYCQRTCGKCASSTTTASSASSSTCTSYNADSSSSCTAWAKNGFCTNTFYTTAVRKSYCATTCKLC >CRE09150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:437386:438228:-1 gene:WBGene00056624 transcript:CRE09150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09150 MSLTFVIVSVLALASGANAVISGNYNCTTYNGTAFVYTPAAVACSNVISDASCQVLYAAPNTLYPASGNDAERAYACYTTATATPAATVNDMVTAAISTCPKTCGFCCQTSAYSCSNVAYPRLDCNTITAAQCASSVWRTIIATDCPAACGFCNDGGCVDAVVGCANDISICNTVGMETFVATYCQRTCGKCASSTTAASSASSSTCTSYTADSSTACTSWAANGFCTNTFYTAAVRKSYCATTCKLC >CRE08727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:438935:442972:1 gene:WBGene00056625 transcript:CRE08727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-17 MSIAIFLSALFVPTILGDIELTDLQKLEEAFECDPTCWFREEIITYETIGRWPVNCTEVCGIMGFTDTADVPVFDLQYYFQNLKVLKGKIYIIGTQYASVEFLSTLEEIYCGPLKSLSFAGNPNLETFELRNLKNVSCEFSIYDNKRLDVSEFCEKKEGLALMAIDNNFKNCKGCIAGSFYTNQMSKFINCTSIVFGMKFYSFHTVHENPPMDFSEVKDIQNITGGLDLYETDLQNLSFFKSLENIKGSISVFNCLNLTRFAIPSLKSLTTNEPNFLISIENVHEYFCFTTSEMKLFLESNVMFKSLKAMYCEKQTGETACLFESLKNLEANCKEILGDVRINPGDEIYTDKLKNISFIFGSLMIQNTSLADLNFLGSLQYVSSLHNSTIPFQLISNKNLRDANLPNLKTLFEFIHFMIYLLFTLFFVYNQYINCVKELTDYEKIAKAYECDSNCWFREDEVNSTTIIKWPQNCSDVCGKMQFSQTADIPVFELQNYFRNLKVFKGAISFGNTKYASIEFLAPLEEVYCDVLNIFEFRLNANLEMLNFENLTKITCSFYAYNNSRLDATEFCDRFGDLNVMFIYDNFKSCKGCIVQNLYNTELYKYQGCTSIINAMYFYAFWTNYDPPVDMSPLNSIQNISGCLNFYDTDLKNFSFFESLENLRSNQYFDYDINIYQCFNLTRFDMPALKTISTTRTNFIMNVFGVHDDFCFTTSEMHLFLENNVLFDNLHGKYCYNETEESVCIFENISTLESNCTDILGPVLINSGEESYKEKLRNVRNIYGLLIIQGTDLIDLNFLENLEYIAVLNDSTVPLQIISNKQLLSVTLPNLKRVFSKGRYQVNFVDNGSEILNSTACLDLMENLFDTNVIFDGRECEAIDRVRDEENGSVINGFNVWIILLILYVTFI >CRE08728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:444556:445909:1 gene:WBGene00056626 transcript:CRE08728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08728 MARKGATTRRLLKVFILLFIVLAINNLIYYYHFVGGSSSKEETKGIAVLVVITAESKEEQYKIAMDSVKCYSKIHDYHFILVRDSDYIACNHKDKFFRRHCIAAQILPKYDAVMFLDADIGVVNPKRKIEEFLTDGIDVVLYYRFYNWEIAAGSYIVKNTPYALEFLKEWADYESKLPKSFHGTDNGAIHLLLAEKIFTSSDVELEMCRKVYNISKWYDDLFTYEACIKNMFGSGTDFGKIRIMKKGTGWTRDCWLTSCQWHPETDFMLHGWKSGQLKETPVNKTRSVIFGCNGVPLFYYRPNQMSRIQWYNPFLGPMDLMRCNPENTTWSYNLNLLGSKFEITNSLRKYEEQIAMMQAKSYARIVNLLEPNKNTTEKPK >CRE09152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:447526:448838:-1 gene:WBGene00056627 transcript:CRE09152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-2 description:CRE-SRW-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LJL0] MNFTSSFSDNSTILTTTVSYSNPQSSTAVTKDIVKYVELPDWFNDAFNLFNFILAILQFIAFGINLIHMTILTRKELRSNTIYRLMIGICVCDLISQVLSFISFSPFWIRSIKKGEECYVTVTYQDAIINKYVVGVLDDTQRSATWLGLLMALYRVLAVMFPMSPSIQRVSKRAYVPVIVVVVLLLNGMVSSAAMWNHEIYRQLKDFSCDGTQNLLPPDEPRYLTTVPREKTALHNKITLIYGIIKALPSLIEPVLAVLLIIELRRASQRRKTIKKSDGDRNDNTTKLILFVTVSSFLLEVPNGFSHFSFSFFLNSPLIRTIAYLIMCFAEIFPVVNSSTHLFVCFFMSSQYRETAKSMLGRSNQKIIFVEECKTHTITKAASKSL >CRE09153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:450232:452230:-1 gene:WBGene00056628 transcript:CRE09153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09153 MVFGFELLTTRQKFSIRRISIIYETRKILLCLGFCCLSDPIEIEYQQNESDTIVVCKRKKFRNQAKDQDFVTVFHEDLKAIMACENAEMRELHLGFQESDDQEQSQKEQVLFPIGQRIFEYLSNELVSRNDKVKIRNASLEITNSNQLMSVLPYLDSETLKNVELALRRNDDAVDIENVVKSDAFNGKTGLEMYVALNIITRKGLETLREAFTSTPTFKTVSICYEQIDQEGLHSFLQNPNPLLQLDGQEILKITHCQQSNRIWFSRILNESPNQNRKEKTPQNLLSEMFENPVILKTILKYVGCVDMQALRKVSSKIRREIISIRQDPRIQRINISAHGNELILVTYDDSPQISYEPSEDECYVASNLVPGNFREIFMNDFKTMLQNQEMIVKVVHLNFSNDLLCLELFRDHLRSRNQLLKVERLELEILSQFEITSILPFIDSSTLKSMELRCSGPTRFDILIAIDDVTELDQWTSLQSFVANSLVIYTPIREIYIDNLENADILLDTIRMDDLLYLKEVKLIMLLPKTSLFFQKFLHSNNLIRFKIGFKHFSISDYGNQQWPGFDQHHPNAWLCPTPAPDQVLSVFYSPSKFVIFSRVDQSSIPENGVLELGA >CRE09154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:454472:456633:-1 gene:WBGene00056629 transcript:CRE09154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09154 MHADFTRVLSNNSKKHIKGISIEYKSLEVILLIWFFDFPLEYLTLFFQNGDGSPFIWSEGKKAKIIWKDNEYHRVFLELLRTIITNVKTPLEYFNVQFSCSNDFVNFETILKDSENGNLQIYYIHLQTNNMQELMTVLPYVEPVHLKRVQLDIKDHTIDMESIFKSEQWKKSNGLQLTVSMNMVSSSQLRSVKWALVKCTNPKEIHIHYDHIDENSLDDLFGRPFRNNRDKTVRAVRIPGIEHSFLETKISNSPDVIRFIWRKFDNEVIREYGLEHLAAIIPRSERILSAFENPLILKNVIEYLGCSDIQRMRKLSKNIRNCVDLIKPDPRINKLAVRVEAINTIELEISLRNEKSISIFYWQNGNNCSVNRNVLKRENFRSIFIKDFESSLKGQRRELEEFCVDFSYRCQENKSEMDDREKLEMDTSSLVHLIEEYLKSRNSNLQVKKLTLIGLNHHHILRILPYIDPDFLEKIEFTDSSRSEKSIDIEELSMLDQWKQANELDISRIIVSTPISKLEVFNFSKVEIMVQTITAEDVLYLKRKFLQPSSLLKLKITLESPITENTMTDLLGRPYSNKFQRSVWHFRMRDNEEALHIMHYMSRCIIFTRIDMSTVPDDALLEY >CRE09155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:458179:459517:-1 gene:WBGene00056630 transcript:CRE09155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09155 MRNLKSPLVLFVFAVVIYGILVSIHYTTSLFYQNGRRNFVRHQNTNLNVNQKCICKSEKTGKVYDFCYTDPQNYSSVGKKFDCVHVDTLERLEVLNYREPLPTASELIKNEEEIVFVSTSSDDHYQHSSKSYESVRKYYPNHKYILYGLHMSSEYIKRLPMDTNFEFRQFNTAQYPEYVSQWLQYRFKPLIMAEVSKEFPNVFWIDAHISMKQPNVVKTFFEEVATNRSSDDFSSITSFLDTGHSNFAVLFPELLEYFPSNSFPLLKMSEQVAAGIIHIPRTEHTLQIFKWYVLCALEEKCMNPPGAQIYCNFGPNRYETFANCFRFDQSVLNLLLLNQFQNPHKYFIKLNNYLG >CRE09156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:460289:461628:-1 gene:WBGene00056631 transcript:CRE09156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09156 MRNLKSPLVLFVFAVVFSGILVSIHYTTVEFYQNGRRNLVRHQNTNLNVNQKCICKSEKSGKVYDFCYTDPQNYSSVGKKFDCVHVDTLERLEVLNYREPLPTASELIKNEEEIVFVSTSSDDHYQHSSKSYESVRKYYPNHKYILYGLHMSSEYIKRLPMDTNFEFRQFNTAQYPEYVSQWLQYRFKPLIMAEVSKEFPNVFWIDAHISMKQPNVVKTFFEEVATNRSSDDFSSITSFLDTGHSNFAVLFPELLEYFPSNSLPLLKMSEQVAAGIIHIPRTEHTLQIFKWYVLCALEEKCMNPPGAQIYCKFGPNRYDYFANCFRFDQSVLNLLLLNQFQNPHKYFIKLNNYLG >CRE09157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:463758:465171:-1 gene:WBGene00056632 transcript:CRE09157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09157 MRSIGSAFRSFTAKNSKSAVFVFVFAVLFSGFLISIHYTTSQFYQNGRRSFARHRYTNNIINQTCNCKSEKTGKVYDFCYTDPKNYSSVGKKFDCVHVDTLERLEVLNYREPLPTASELIKNEEEIVFVSTSSDDHYQHSSKSYESIRKYYPNHKYILYGLHMSPDYIERLPKDTNFEFRQFNTAQYPEYVSQWLQYRFKPIIMAEVSKEFPNVWWIDAHVEMKQPNVIKRFFEEVATNRSSDDFSSITSFQNTGHSNFAVLFPELLEYFPSNSLPLLKTSEQVAAGLIHIPRTEQTLQIFKWYVLCALDEECMNPPGAQIYCKFGPNRYDYFANCFRFDQSVLNVLLLNQFQDPHKYLSELGEMFGRM >CRE08729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:467703:469046:1 gene:WBGene00056633 transcript:CRE08729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08729 MTLRERLERSRPSRAGSREQKSREQKTAKKKKKGERKKMNPDDTIKNGPFTWKVVTLLGSGGFGDVYKVYDEKNKSKQYALKTESEDGKKTMLRLKVEVRIMMAITAARKANHGNGNKHFVEFVDRGKSDDLSCKFVVMSLVGPSLDDCRRKYGVCLNDRSTPYNIAIQSLEDKQTNEQIKSIFQAVRDLHALGYLHRDIKPANFAVGFGPTEPTVFMLDFGIGRSFLDPTTKQHRAPRKSVKFLGTLRYASRACMKGIDQGRKDDVECWIYMVFDIFDPEDGIVWKCVSGRDKITRVKDDFFAGKIKEAYKGVPLNMKTIVSYVESLRFQSAPDYPFMINFLYTMSNNAGFPINNINTGAWVGNLKNKKGSGRSSGSRSDKLSGSSDGD >CRE09158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:469230:471006:-1 gene:WBGene00056634 transcript:CRE09158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09158 MNAAAQVGFFVLYTGSQVALKVYETRAMREFRRQQEELRRQYEEDMMEMLRTWQTEHNTAMEEAQQQSDERHRTAINDRDARLAEMQKQIDKMQTDNDETMKKLKRKYDEKIEERRWEFAEKTEQNALAHNQHLEHLNEQQKIEKADAERALETCKIEHAEEVRLLNEETEKFKTERKVIGNRLMKEMEKQIEDFHRKNETLNRENEKLSILAAEERRSHQETENELQSRMISDSISTVTKSLTLAANEDYCKRYQEVLKPIDDITSAISEAEAYYKKAKYKTSLIKPGSISVFEQDMKAGIQKWKYKMDEFCQYSISASNVDPEILRSLMKITGDIDKMISNFDASEMEIMSNAYNDGDLETMESQIKLLKGFKDNLINSKSEYLQIPLKIMEDTSALPPQMSQMKLEEKVGSELEKPE >CRE08730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:472401:473692:1 gene:WBGene00056635 transcript:CRE08730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08730 MLKIILVAFLAFLVQYSFKVLLTLDVHKRTYNHRPGPCRKIEGVVNGSEDITISYEKNLAFITSGLVFLHSESSKTENNGEMFVYDLSQRTYKAERIPVKNLEDSEFLPHGISHWVLKDGTVRLFVVVHSKDFKHSIVILDYDEKNRVLNHVRTIRDDKFVRPNDVLATGENSFFVSNDGGCHSNIGNILEIATGFHKGSLVHYDGKNSHYLLENTATNGIILSNDKKTLYVSFIYEETIGVFDWNVGKQEIREVSKIETLTACDNFHVDEDDNLWSACHPVLKDAAKHLGNHKNKDLHSPTQVLRFKFSEDRKFAEIVEVFSDEGRFTSAGAVATSFDNGKQMLIGTVFRDVTHCDINISLDF >CRE08731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:479709:481858:1 gene:WBGene00056636 transcript:CRE08731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08731 MAEEEAQVAVDVAEPVEVETKFQFLQSRQRLNSDSEYHSTHDKNSLADIPDVLDRSLTEEEPDRECHLMKKPESRVSSRQMRRLKTEITHLPFDTVMKILAYYHYNIDIAIAAAKQMSTPQLLPKPTQALFKANIPYEREQNPTKKQPYKEFYMAKKFRKVADNKTLVDFYYNEKNKIDGNWRLERDDRVPMTSEERVEMQERMASCGIYIRRRDPTATNRKTRGKKAAATRKANQLLRMDNGDFRDEDGADSDTPSDRAASEKSEMETPTEPAPMPQITLRVKPYVSPPTITVSTSPDASHLVASSGASMRSPVDMASESSISTPPSTSAPSSSEQPRPRLTFRFQFADPIFNRSANSISPVTSSSSSSSTTSDVASTSGSKVKKVYRKKTIEELRGGEQKTYPDRERKPKKRLYE >CRE08732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:483213:488282:1 gene:WBGene00056637 transcript:CRE08732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08732 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LJM0] MRPPRGPLIRIIFLPSLSLLQPNKSVLDYKQSSEQKEETELSKSSSNTERFIMKLLIAFAAIITIVSAGDSNDFYDVDGPLAKQDVLKFTNGYFHSDDPNSWTAYVRRVAARLRRAANDDFMKTSVERMEMISSLTNTIFLQQGLIDGSINSSDLISELLHFGSVTPAKIHEIDETKMNEALTKLKELPSKLKTTTAITALETCFDNVNTVLKEVEGIDDVTIWQNEDAYKQDIDTLATGNHTGFSLISGLKDTLGEWKTYHLNAMKQMNKVDFTTTDQTWIIKLGDASTAIINAEKSLSANIPKLYEFENAMSASQSVRYLDQLVKAVKKINDIKFAKPSNGFTELQTNLNVINTVILSIQEVQSHLDDIINLFASRSSPEGIKSSVLTVGLPQGFNDISRMLTQFNDPWFLEVVRDKRMKESFKSIKTLESGVTVLDKTLGYRAESEQKLDKIKSVVRITNTLVRSFSSYSLNSSKEINKCIMNPSTSSDSSNIEKLVELATNIDEELNNLQNQLKKFQEKISGKVFSENLQNLAKLCDTGRGDTVESWKTAYTSLSNFKELNDLDKFVGEVLENANDIKDSKLKSLATSSQPLFASLKTYLSEITEHTDLLNCLIKINDTRPTINVIHESKNLRDSQTHIATVENAADVVTKLVGTKKDLNTLETTIKSIKGSKSVESDLLNLPDAEKHSQVLGTSTQGVVRMKKAIDTKSTADVIKRNADIVKSEVSQAKGLSTEDNGNLTRLESLGNSWDEMIAQLNTWKNGIKKLNSSTLADYADIFLEAKKVNGIDDLKKISSSLSHLIDLVPGSSNKKQLEDIKKAVDELDEIGLQFAGYQKSFDESKKSLAALDLFFADFDKKISVTVAPPITPQQQQPQVSLSTTVESVEPVRHDLIFQKNIWQVILITIAGFFSSLMAAPLVTVLMIRLRSCLLRRKFKKRGFRIRRRRRGRKVCGQKTVIVTWEQAVRHMREFKDKLSYWGQANPCTRGLNESDDDYNLRSNLFRRWSEHTFRQISFDYKENIQAGDVNTLSDFVMKHKDEWRKAVELNKNTNVILPTSKPGEGGVRFKTSFIHASRVRLEPNRQLILTQGPQIESKGKKSTMEKFWYLVKSEKARAVVMLCDFIEGDERKCDVYLPLKVGEVVQHGVYSIKCTRVVVNGSVTNRHLVLSQGKKNHGLSHFQYLGWPDKGVPKDCKELLNFWKIVRNMNTNTIIHCSAGIGRSGTLAYIEKLYQAIYNSPKAAHQSLLTALRGERARSVQSESQFAFAIYSVFKLMFMKLERKKTKKAPNTMNKSVDKTKKSVEGTNKKSVDRKKKKVEKIPQEKPKEIAEVKEFLKSLEDDWKVILKVCAGGKELAKKEKNLKIEGDLVEALRKKDAKEKSEAARKEKEINGGKGEKVKVDKKATGDKNVQKKGDKGKQVVKAKSKEMGQKDVKEKEQEKKDVKGKSKEQAKKAGK >CRE08734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:492110:493273:1 gene:WBGene00056638 transcript:CRE08734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08734 MFYSESILVTPELSGQVGCVQRDPKVRRNFRRYELISKNKTDLYFFEGSFFIFLIVLASSVILRTLISLFTFIVCLSTSSYSSIYNLTIKLSLYTDNISNNFSIVLIFFMSLNRCLFFVKQSWSNLIFEKKRILIPIIGSVGIAVICASGSIVTSGIHRVSFGSLGFMDIGEPGGLRKILHRVFYIFPMCSATCYLVLFYHLHQRRKDVLTKNRSIKHSNQGEHNVFVQLLITVIFYGVLSVVSEVLEFINLLDYNDLQFTLIPLYNIFNYLPEISLPFMLVLNNWKPKRRVAVFVTPTISTQSKVSERIPAK >CRE08735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:493580:494742:1 gene:WBGene00056639 transcript:CRE08735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08735 MFFTEVNHFRSTENPGQLNCNPRDISRDECVRSINYLSYLSVPIICQNLVSFLNFWTQVVAIWMVYSRRRFQKGPFFVLLIVLASSVIFRNIMSIIIIYFVSRVPMFSDAYKRSLLISLYLDYISNYFSMVLIFVMSLNRCLIFVKLQWSNMIFDGNRIVYPIVVSLVLSVIGATGTIATSGITREYNQLIGFLDFGQPKGLKTIINRIFILFPFGSVICYLTLFYYLRQKKKELLSNPAPNNKNPKNRGEQKVFIQLLITIVFYGIMAIISEITSLWTEAETQVKLIAVLNVFNYLPEMSLPFLLIVNNIRVNRRVSIFVTRSKSNSQIVIPLKAQTK >CRE09159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:494997:495698:-1 gene:WBGene00056640 transcript:CRE09159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09159 MLKTLAVLVVLLSSVTCFFLSEKDICDVEKARWNQCFKGFINKTTELNEVVKEILGSSSTVAPSHYENNRKTFQALLQCFGDIHCKGMRKLIKFELDTFDFYMEMDDGTAEQCVKEADQAVPLRNCIHPKDYKFPAGYDFNKEILSCTKEVLENTECSAEDKKNVMRGTLAVKDMYDIFSFHLKSEDLVNEFDLKFDRTKYL >CRE08736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:497622:498910:1 gene:WBGene00056641 transcript:CRE08736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08736 MWFNLVFLTVIFWVSGFTTAQSFPVATTKIFNFANPDDSERLFYTKLSEISVFEDYGYLAPFPGYCGSDQIELIEFYSPVLEVFRYDTSNNIYNYLSDYKNKFRPVRVLGYAYYSTPSVWLSGNAPFIKQGLQSPFYVRYQLHSSLNTLLQKAISVRFEMVRVLTKNGKTSFAVSPQLVQTMVSEGWTLTDEIRPGPLSSQNEVEKIRELCGEMERCQETFDNTTGLYRPINPDKQYLGGKTVVEDCGYFLSNAVACFQQATEMFSYIPSYDGNQVIYGNYNEGTGNNSVGYASPHIFSLLKL >CRE09162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:500449:501541:-1 gene:WBGene00056642 transcript:CRE09162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09162 MSENGPTWQDLPDLFKRKLVGYLDFKSRRQLRACSQNEKVIVDSCPLSIEKLACFFASSKDSLYLTANTKMATKRSFNGTENTTLDLIQTFINLFQHPKSTVKELTIEFSDEDKSERLITEIENVEWNFKMNANKLIWYSCKNNLAAVQFVEYLTPVTLKTIRFEYRSKNLEMMSKLVETDQWKQAPNLETSLILPAGIDIEKFSHARRLKIKVRESEFDVEKIQNVISVGFLDFEKQFQIYLIFQRFMKNDYPPGSYFSILTYFCRNDLESEEDETKLREPIVVHDRNIAVRTQTYFNMPNSNNFLLIRRSDIGVEGLVRDNNNPIEYFIL >CRE08737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:501906:502504:1 gene:WBGene00056643 transcript:CRE08737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08737 MNSSVLILIATIFLIRVNADIQLGCKSDPLPEANGMCPDGYTKITAGGCCPDGDVYIIPVTTTTTTTLAPTTTTTTSSGTCQDKLNPKTGVSDCPGMKAYCNNPAYVNVMKDQCPMTCGYCTGPSSCVDKINPQTGVSDCPGMKAYCNNPIYHDVMKDQCPKTCGYC >CRE09163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:502666:504120:-1 gene:WBGene00056644 transcript:CRE09163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-132 description:CRE-NHR-132 protein [Source:UniProtKB/TrEMBL;Acc:E3LH90] MVNTVPDCEICGFESQGFHFGVVACRACSAFFRRTAVCPKWSLKKCQNPKKCKKGKGGYQCKPCRLKRCYDVGMDTKKFQFDRDGLIQVSKSSKLSKTFEMFVGRPEYVLFCTPGTSAQISNPKTLIDVSYLVEKASKVLLDGPAKPLIARDQLHKLAIGFSFLENTSTEMKKFTLARKEDVMKIWEFYFLTVAKWLTYFDEFQKLDHETKMQLLLSVWHVWGRLDKLLATAVNRRRGICETKNLLTLSNGVLIDVNKQEVDVGWMTNYREEQVLTFIDGVRARELLTEIDPLVKLEPSDVESAYMLAQLCFHYAGKRHSGEIEEICDHFQDVLAENLHNYYVNEKKMDRYSGRLAKLMKVNSAVQKNIWENRSKIELSKTFDMLSIESSHPEMFYDTGF >CRE09164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:504493:505951:-1 gene:WBGene00056645 transcript:CRE09164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-58 description:CRE-NHR-58 protein [Source:UniProtKB/TrEMBL;Acc:E3LH91] MISLKFCKVCDRRSQGIHFGVSSCRACAAFFRRRAGSNLIEKTCMRSRCGVDCFLCKPCRLKRCYEVGMDEKKFQFDRDALHSTESSTIMPRSFDKFVGRPQFIHFCDPDAPTTSKFIDLSFLVEKGEKVLRNGPAWGPHSNKGPLQKLANFHNVFEKMPKNMATNFKFSPGDCSDCWEYYFLTTASWLTNFDEFQKLNHQLKMKILFAIWHMWGRMDKLASTALARRRSQLTAKSEIAQSNGLFLDVDKLELDVSWLCNYKLEEVEFFIDGFRNWDLMHTVQMFIDLDPTPIELNYMLAQMSFYYAGNRFQGEILEIMERFQQVLSDDLHNYYVNDRKMNNYSGRLSRLLKINNAVQESVRKRRPKTEIAKTLNIFTAEFSHPEMFFDTGF >CRE08738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:506576:507975:1 gene:WBGene00056646 transcript:CRE08738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08738 MLGKQWSSLLQLIQQVSAVISILINCFLIPLILKKSPKQLGAYKWLMIYISVFEILYSILDVIVAPEHFSHGPTFLVIVGTKDKLFGPDGLTVLNSIYWGCFGASMAIFDVHFVYRWLAVSENPLLQTFSGWTIWIWFSVPLWYGLTWVFTGYFLSASTESKSEFIRDSIKEIFQLEFDEYIYLGPYLYQRMEDGSLHVHLPSLLGLGIITITIVSSIIIVVVFGYLCYNRISRLVATTSASAKFQKLQKQLFYALVIQTLIPFVLMHMPGAIMVAFVFLNIDLGIYSAVLSMTIAIYPAIDPIPTLVIVENYRKTTLKMFGCYKRTMPSSIITITGESSKI >CRE09165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:511902:512865:-1 gene:WBGene00056647 transcript:CRE09165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09165 MDMLSVTTITFGLRVLQGLGFSGSLVFSPILLLLAANNQKSARKTFNFSDRQMTRLVENSQRGFETEHDMNLINSSYTATWRYPYMKHHQHSMKFHAEHGKTKYLNYVSANTTLSERLVSNDLGFRVAQDDVFTILKIPLFGRYLRFVIFLPNPDHTLEESIKKLSASRILNLFNELTVFNVHFKIPYFQISSVIDTSNLLGLPTSKFHKFRFNWKKPSEDYLREENDIFNESFIKRQPDRTPFYFTANRPFYYAIFNNTLPLIMGIFTGTNSS >CRE09166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:513195:514163:-1 gene:WBGene00056648 transcript:CRE09166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09166 MDSLSVTTMTFGLRLLQELGVSCSLVFSPIIMLLAGNNHKNMRRKFHFADRQMTRLLESSKNGFMINQELNFINTSYTATWRYLYMNHHHHTMKFHTENQKLKHVNYVSANTKMSEELVSNGLGFRTAQDDVFTILKIPLFGRALRFVIFLPNPEFTLDKSIKVTPSYLLTHLSDLLSFQKLSSSRVQNLFNSLTVYNVHYKIPYFQMSSTIDPSKLLGLQTSKFHKFRFNWKRPSETYLYEENDGFNESFIKRPPDRTPFYFTANRPFFYAVFNDTLPIIMGVFTGTNCS >CRE09167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:514491:515052:-1 gene:WBGene00056649 transcript:CRE09167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-284 description:CRE-NHR-284 protein [Source:UniProtKB/TrEMBL;Acc:E3LH96] MVVVGRNVLINLEEFDYDHTWLTKYPPEQVEFFTGVKSMELYEAVDYLIDLEPTQVELTYMLAQISFQYAGQRFQGEILKASERFQQILSNDLHDYYVNELEKPRYSERLAKMMKVNNMIQKHVREIRPRADLARTFDIFSVEFSHPEVFHDTGF >CRE09168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:515183:515999:-1 gene:WBGene00056650 transcript:CRE09168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-156 description:CRE-NHR-156 protein [Source:UniProtKB/TrEMBL;Acc:E3LH97] MSKCMVTPNFTLCKVCAQPANGNHFGIQSCRACAAFFRRAAHSKWGSQPCRSHNCERKLIPCKPCRLKRCQEQGMSTSNFQFNRDILKRILPRSVEMFVGKPESVIFCDSSESVSSEKTFINVQKLIDNTSAILKNGMETPVSGRNQLQKLANGFGGFTSQVSVKFLKTMSKDETADCWEYYITTTARWLTFFDEFRLLPDELQVSVLR >CRE08740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:516801:518159:1 gene:WBGene00056651 transcript:CRE08740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08740 MSKLLPIWENLPAHFKQNLVDKLDFRSRQNLSACSKSERDLVDSCPISIHSLYIEQEDEEGFHLKISFSKNGTVLKFNCNNHDRGVSALYRLLSHRKTKTIFFDIYCEPEFSTTFDDFLSKFLEKRFAESSKLHVQHFSWNSGIPAEDTILRLLEQLNPNFLYWIRLNFVDIWSEEEKSDVVKKIVETEQWNRVGKIHLYDYKVDAYFKKFLHVNSLKICVEKLTEAMLLEVIEVIRTRDPPPGSQFVISASEEIKLDTLTSVFTDFHNVHRRLKEYQTPKIATLLTNESRCLVIIKWEKAIEATVCRREFLNEDFLKNHGVCVTLDMI >CRE08742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:519971:522503:1 gene:WBGene00056652 transcript:CRE08742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08742 MEGTQQNAIVSTTEQQDTNRYYSLEDMVKMPDELFNWVVWMHDQLEQTSNCCSNSKNRSTQEDINPIVKRHAFSHIKFRGKLYYDWIPVTKKVRESRPEARTWNVFPETKSAICQIENVFLNVFLEKAKQVEEIIEIPEPDDNDTISRITISWKHKEFIETEELKMVLLIEWGEMNSCMKEFDFSFKGAQEILDVLVKPTYIMELLIDCSCSYQLFEWIEKEVTKRYETGQISTRVKHLHWKCDSSPGSFILLFKIFNPQYLRGLRMEKYEFVKEEITKLVGMKQWQNLVEVQLESFFPGDVERLLESDVIKAYTLVDETGIGNIIEGFRNKTRQRADNPFFDLKLLCYKKKSWEWRKYLKKKGIPFNINSPTYFTRDLINSEEDLLVFKVEKKSVSGKNNIT >CRE09169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:522705:524605:-1 gene:WBGene00056653 transcript:CRE09169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09169 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LHA1] MLLLLIFSILFTPVSPLNILVYSPAYAGSHSNFLGKLADTLTERGHNVTYLMPVVMVGKRDECIGVKLTKDIVIVEAGEETLSQQKGDSTNDGNMKAYWEATMNSSNARAIGGWFNIAMKSACRHLHSQRDVFKQMKAKSFDVAILEPISVCGLGYVKALGIEKTILATSFTFFDFVLPHIGEPLDYSSVPGAFGASGEVMSMAERYENWLVTKEMNLGQEDMFEGEMKAYREFSGKDLPDWRELLPSASLFFVNSNPFLDFPRQVIQKTIPIGGITINLEWIKAQKLSADWVEILEKRPHSMLISFGSMIKSSHMPEQWRNGLLNAIKSMPNVTFIWKYESDDISFAEGVENLHFSKWVPQTALLNDPRLTAFVSHGGVGSTLELAYSGKPTIMIPIFADQIRNANMLARHHGIIHLNKRSMENFEVTRKAFHDILFDDSYKVNARKLAELLADQPYSPKDNVIKYTEFVGKHGPFPAMDPYGRHMNTFQKNFVDIYALFALFYVLCTALILAILRSIYLKFGSSKSSTKLE >CRE08743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:525128:526711:1 gene:WBGene00056654 transcript:CRE08743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08743 MLHVDRVDSLLAEKVHISASGLNPFQCYKFQLRLNYKHGTLQSYCVIQSDKDGKINLVKDKPIRGTYHEADPMGLFLSVQFTDELSFGHLVMNENAEPFFYTLRLISESEEILDEVNMKKHWTHPLVTQIAVSQDGLYGTIFKPPGPGPFPCIIDIPGINGRISKRHSAVFSSEGFLVYTFAAFDYKDLPKKLQDVDIELYSRHIKFVQSLPYCSNKIALYGLSLSGTIANYLSTKHSELSAVVSVNGPEAFYRPMAEFKENGKAMNCEEFDGNLSEKINGVIKQKRAFLDAFSRLKPETSIKWEQISKKIQFRLVGSMDDWIICGVTNCLHLRDNLLKTGHKVEIDLVAAGHTMLVPYCPHQALAYNKFHKICLGSGGETTLNNKSGEQVWNNHLKFFKRHLGTPVKMPDYKREAVIELPRKVISKL >CRE08744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:526868:528442:1 gene:WBGene00056655 transcript:CRE08744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08744 MLHVDKVDTLLAETVKISASKLTPFQGYKFHLRLNHEGKLLRSYCVIKSDKDGTIDLSRDAPVRGTYHEVDSMGLFRTVELTDDVTLGTYVSNPMATPFFYKLQLISESEEIIDEVNMKKRWTHPSVIQVDIVQDGMYGVVFKPPGPGPFPCIIDVQGVSGRLTKGHSSVLSAEGFMVCTFATFRYEDLPEKLQDVDIEVFSKHFRYVQSLPYCSDKIALFGLSLGGTIVNYLASKHPELTAVVSINGPESFYSPTGVFKENGKPMHCEEIDESLPVAINGVHKQAPMFLETFSKLKPETSLKWNEISRNIAFRLVTSIDDWMLCGVTHSIRNRENLFKTGHNVEIELVPGGHYIHVPYFPYHYLYAYSSEMFLGFGGDRNPHTKSQVKVWNNHLKFLKKHLGTPAKLPNYDRETYVEFPGEINSKL >CRE09170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:528480:529870:-1 gene:WBGene00056656 transcript:CRE09170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-108 description:CRE-SRW-108 protein [Source:UniProtKB/TrEMBL;Acc:E3LHA4] MAESIYQSEMAEIIKLIESFADPLNTFNLFLSFISIFINILHLIILTRSSIKPSSTNTIMIGIAIVDVFVLSLTVIKHISLVDIENNECVTSNYLIKVYFDMMAWALQDYFRRCSTWLGLMMASIRTMIVRNMLGAQNSSLTKQKFGWFTILIVVMLASPLSVFYSFRFRVVENRFDNLPISCEEFQDIHRPPRFSMITTDFFTTNEKVVLRAYLMVDAIISKFIPCIFFPVLTILLIQALTKAKKSQSKSKMLNRTDHTTILVIFMTVAFFIAEAPLGIIYMINAFYHANDGLIIASVDVIIVFACLLTINSIFHFFFCICLSRQYRSTVYFTFRLDRLVSSNHLNNGETRNMSSIAAPSIRLVSVH >CRE08745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:530901:532690:1 gene:WBGene00056657 transcript:CRE08745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lipl-3 description:Lipase [Source:UniProtKB/TrEMBL;Acc:E3LHA5] MCSSFCALSVLLVTLFVQNVVVESKSDPELHMTTPQIIERWGYPAMIYSVTTDDGYILELHRIPHGKTNVTWPNGKQPVVFMQHGLLCASTDWTMNLPEQSAAFIFADAGFDVWLGNMRGNTYSMKHKDLKPSHSDFWEWSWDEMATYDLPAMINKVLEVTGEESLYYMGHSQGTLTMFSHLSKDDGSFAKKIKKFFALAPVGSVKNIKGFLSFFAHYFSLEFDGWFDIFGAGEFLPNNWAMKLAAKDICGGLKIESDLCDNVCFLIAGPESDQWNSTRVPVYASHDPAGTATQNIVHWIQMVHHGGVPAYDWGTKENKKKYGQANPPEYDFTAIKGTPIYLYWSDADWLADKIDVTDYLLTRLNPAIIAQNNYFTDYNHFDFVFGLRAVNDIYHPIVDICTKDYTGK >CRE09173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:536483:537974:-1 gene:WBGene00056658 transcript:CRE09173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09173 MTNLSIPCKICGQDAHGIHFGVRSCRACAAFFRRYAHSKWVIAKCSRKSVNRDSCFCRPCRLQKCLKLGMETKKFQYERDALSLISKNSTIVNLTPSLTSFIGCPEFLLFCEPSPSNVRTFIDVQHLLSEASRLLNIGCESPVFANNQLKKMSFGANVLKLDLEDVKFFARFGKVEFVDIIEYYFLAVVKWIIRFDEFRKLDRSVQMTLLYSIWHVWMKFHKCSATAIFRKVNQNAKSYQKILRNVCMDKDKARMDTAWLSDYPHEYVGVYMRSQNVHEADVIEQLEKLEPSDVELTYMFAQTCFQYAGNRFQGEIMKITDQFQQVLSDDLHDYYIEDQKNPRYFRRLAELMKVNNTIQKSIYAGRPQRELNRVFSVLKIGFSHPEMFADSMFDSRATN >CRE09174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:538325:539796:-1 gene:WBGene00056659 transcript:CRE09174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09174 MDIVSTSTSQPICRICNKKANGNHFGVISCRACAIFFRRTTGSKWSKMKCLGGSCDHKKNYCKPCRLQKCQDVGMETTKFQYNRDHITSTAQFQLPPTSIERYVGRPGFFLFCDTDASSTKVRVDVRFLLEEALRILNSGSESPVYAENQLKKFSLGFKFIQLKIDKLKKMKLADQQETFDKWEHYFITVTKWMMYFDEFQKLSRHLQMTLLQSIWHIWQRLHIYVSTYTYRKVFPFNGSSRLVIRDMYMDTDSACIDSKWMSDYPVEHVMKYLSVQSFQTFDFIGALDEINPTEVELTFLFAQLCFEYAGKRYQGDILKVTDSFQKILANDLHHYYVDDMNRPRYSLRLTKLLKINNAIQRAIWESRPKMELGKVFNVLKIQFSHPEMFEDSGYY >CRE09175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:540130:541657:-1 gene:WBGene00056660 transcript:CRE09175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-228 description:CRE-NHR-228 protein [Source:UniProtKB/TrEMBL;Acc:E3LHB0] MDVVSTSTSPFACKICNQKAHGNHFGVISCRACAAFFRRTASSKWSKMGCRGGSCDQDTYSCKPCRLQKCRDAGMDTTKFQYSRDNIPTVGQFQLPPPSIETYVGRPEFLLFCDTDAPNTKVLIDVRYLLEEAGRILNNGNESPIWADTQLKKLAHAYKFIRVDFENMKMIQHADQKNIMEMWEYYFITVTKWLMYFDEFQKLNRHLQMTLLQSIWHVFQKLHKYVSTMAYRKANPYAKPSHVIIKDLFMDINNVSMDSSWMSDYPHEHVMRYLMVQTCHDFDIIGTLLEIDPTEVELTYLFAQLCFEYAGKRYQGDILKVTESFQEILANDLHHYYVEELNRPRYFLRLAKLLKVNSAIQKAIWESRPKMELGRVFNVLKIDYSHPEMFEDSGFY >CRE09176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:541955:543072:-1 gene:WBGene00056661 transcript:CRE09176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09176 MELWPILPDTFKTSVVKKLDYKSRCRLRKCSKTERSLVDSCPVFIKHVNFEPRVGNVIYFSIEELGVPCEILHDKACNTQEVLQDFLLLFKNAKSKVIQLSIAHYNFQRQPDAVNDFIASLLNEIGSQYPKSFKLKIQKLEFHWGEMKDTSLLSLLKIFDPKVFNALHLRNFPIRTLVLIEELLETEQWKNLKDIQIQEKLALPLDIFHPKDILSVTFHSLRADDAWKCIQNFQFKPRPFQSFFRIFTTTDLSLTEIFSHFNVPAKNEPIEKSYYLNDYFKHTQRFQLTWTQECILVVKIAKRQIKGTICRKTFLVDDFDEMDDL >CRE09177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:543484:545785:-1 gene:WBGene00056662 transcript:CRE09177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbxa-137 description:CRE-FBXA-137 protein [Source:UniProtKB/TrEMBL;Acc:E3LHB2] MSPERILAENDLILKEKITNNRLSLQLCSKEDQDVVLKSRFDLKTLAVRGHYDENANFELSRFDITSSVTAPNWRTYSNKKKLMRSSAINEFMRIFRTGSHVQELHLTRGRTMNNFLESLLNQLREEQIVNKKKLKIRVKEICWSGSIYKEYTNFLNFLRYVSPSHLEKITFETHEIPMAVVEELVQTEQFKSLKKVIIFPTINVSLDSFLNLDSMNLTYPTLCPKDGIMLVEKYLKDPLPIDSCFNINSEQKLPESFKERLFDLMGLPNTVDARKSISRNRDFHIMKFDVNADTVFLLKIDEFSIFGVIVSKNFINGWRKDLAVFVCLRIDGYDFYGS >CRE08746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:548654:549755:1 gene:WBGene00056664 transcript:CRE08746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08746 MGILRIVAEDYFHGFKDSIFGLMFIRRILAEDARGIQVEPPERRERTVLQMIREQPGIFRITHIYAMNIGFVVVWQLLVFILSFLFGLFGRAELGEAIGYLMIAPIFVIMKIVLMLWFSDISGACMRALNQPPPHQEPTIRMFGETVTSLVHQNIFFVQAMLSQYFPIPLIKPFIFFVHMSLLNSMYCFDYFYESYNLSFIRRANYYETRWPYFLGFGTPLTIASSMCSSMFANGVIYALLFPLFIISSYKVNWARRYDEKIPRIRFCRISYFLTQRVAELTKWWYTPTPILQPRLVQQQ >CRE09178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:551840:553161:-1 gene:WBGene00056665 transcript:CRE09178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09178 MARFDGKVALVTGSSNGIGRATAILLAQEGAKVTITGRNSERLEETRQEILKSGIPAERVLAVVADLATEEGQNELINSTIQRRIGIDQPVSDYDKVMQINMRSVVTLTQKAKEHLIKAKGEIVNVSSIAAGPQSQPDMMYYGMSKAALNQFTRSTAVSLIQHGVRVNAVSPGGVTTGVGEAMGLPAGSFEKLAAFWESHKECLPSGKFGEPVDIANVIAFLADRKLSSYIIGQSIVADGGTTLVMGMQAYDLMSILTAP >CRE08747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:554481:554976:1 gene:WBGene00056666 transcript:CRE08747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08747 MKRKSAVAWDKTAIFLAENYKLQVAFYIEGVGDWSTFTTIQPILDLDATDVEMNYMLAQISFSYAAKELEGELSEIAEKFLQLVADDLHNYYTREMGVSRYSDRVLKMMKVNNMMTKALLERKEKMTIAKTFDIFHNKFSEPEMFEFIF >CRE08749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:555236:556652:1 gene:WBGene00056667 transcript:CRE08749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08749 MNCHDSEYFQSPDQVEKDIPNCKVCGQPSHGIHFGAATCRACAAFFRRTALGPKFVLKCRSGNGKCKVTSNGRVCCKMCRLERCMKIGMDIKNFQLERDPLRCTQKITPSLAMFLGRPQFLIHCDPETASSSSKATFIDLSELLRHTTHILETGVKSKRQQNRLQKLSDAVDINRFTNNLEEDFKLVNHIGLNEAVSMFEHDLLAVTNWLIHFEEFQDLSLDVRLTFIKSFWHLWNRLEKVGRTAMFMKNRPESAWNEVPVLLADNCVLDVRKVKLDISWLSKYSVEQVGFYIEGVGDMSLFTPLQPILDLAPTEVELNYMLAEISFSHAAKQLGGRYSEIAENLLNLLADDLHRYYMEEKGLTRYSDRIAKMMKVNNTIMRVLFERKEKLEIAKTFDIFHVEYSEPEMFRYLS >CRE08751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:558642:560064:1 gene:WBGene00056668 transcript:CRE08751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-182 description:CRE-NHR-182 protein [Source:UniProtKB/TrEMBL;Acc:E3LHB8] MTVSDCEICGQAAHGNHFGVLSCRACAAFFRRATLNPKKKLNLNCIHGQNLEIHKNGFFSCKKCRMKRCLDKGMDASKFQLDRDMISMAVVPTKTPMIIPQTLATFLGRPSFLIYCNAQDPSSPGPAKNLVDCNYLLDRATEILKNGSWKPFSPEMSSLEKIAVGFENMRILKSSELKYLSHMGKDHTLLFWEQEMLNTAEWLTNFSEFNSLPIKVQVIIMMEVVKSIWQTYGRFEKLAKTAEYRRKKLFTNENLFVVGDEACLDSENTEVDVSWFTNYSYEQVSYFMDCFHNEMFRQIIKEFETLNPTTTELNFMLLQVCLHQAGKKLQGDVAKVTDYLQDVIANQLHDYYLNVRNMPNYSARLTKMMKVNNLMRQDLRRQSEKSKLAMTFDVFSLTFSHPEMMC >CRE09179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:560247:561871:-1 gene:WBGene00056669 transcript:CRE09179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09179 MIMLMLFTALCLYLFHEMYWKRRHLPPGPFPLPMIGNMLSMMREKPGYECFRRWTKQYGDVYTFWMGTTPYVVISSYDRLKSTFIRDGDTYKDKYIQSFNEKFRGGAYGIVDTNGHLWNTHRRFALATFRDMGLGKDVMQQKVLIEVQEVFRKFDEHLGVEQDIQIVFNNAIANVINQVVFGYRFDDGREHEFNTMKDLIEYFEKSFSTFKVFVQVFAPALGKWLPGQSADDLFDEFKKNFHNFFNTQIENHRKKIDFDSEESLDYAEAYLKEQRKRETEGDSELFSDRQLVNTCMDIWFAGFSTTNTTTSWIISYIMNTPGLQKRMQEELDKVIGGDRLVTTADKNDLSFMNAVINESQRCANILPINLLHMTAKDTVIDGYQIKKGTGVIAQISTVMLDEKTFPDPYTFNPGRFIDENGKLKKVEELVPFSIGKRQCLGEGLARMELFLFISNFFNRYQVSLSSEGPPSIDKSDKVGVFPKKINAILTKRH >CRE09181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:564564:566196:-1 gene:WBGene00056670 transcript:CRE09181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09181 MLLLLLFTGLCIYLFYELYWKRRHLPPGPIPLPIIGNVLPMLREKPGYECFRRWTKTYGDCCTFWMGKTPYVMISSLDLMKETFIRDGDTYKDKFPQPINVKFRGGSFGIVDTNGHLWNTHRRFALSTFRDFGLGKGLMQEKILIEVEEVFRKLDEHLGVEQDIPKVLNNAVANVINQIVFGYRFADDQEEEFNKLRDLMEYQEKAFNTFKVYVQVFAPKLGEFLPGKSIDELMDEWKKNFYTFFNTQIENHKQKIDFDSEENLDYAEAYLKEQRKREAEGDFDLFSNNQLMHTCLDLWFAGFSTTNATANWSICYIMNTPRVQEKIQEELDRVIGGDRLVTTADKNDLPYMNAVINESQRCANILPVNVLHMTTKDTVINGFPIKKGTGVVAQISTVMLDEKVFPDPYTFNPDRFIDENGKLKKVEELIPFSVGKRQCLGEGLARMELFLFISNFFNRYQVIYCSKITNSTTVPIFQISPSSEGPPSIDKTGKLSLFPRKFNAILTKRH >CRE09182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:567580:569072:-1 gene:WBGene00056671 transcript:CRE09182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09182 MPAPLFLSGPCEICGQQTSGRHFGVMSCRACAAFFRRAASWSKRRIECPKGNCTIFEDGKFQCKRCRLKRCFDVGMDAGKFQSNRDLISCSNKFIKRVAFIIGPPQSLSTFLGRPTFILCCEPDKASHIKTVIDVTYLVDIANTMFLKEPSQNIRPFQFHNSLEKLSITLDDMRLKQPDNKIKQLTRVGKDESLFIWEQSFLRVVEWFANFTEFNELEDYIKLEIVKAAWICWTRLEKLTETAEYQRKKLFTSEQFMCGNDSCLDFGNYEVDLEWCTNYSLEQLTFYMMPQVELNARQCIQDLIELSPSSIEINYMLLQVSLYHAGRKCQGKVLEASERLLQSQADNLHDYYKNKMKLANYSGRLAKMMKINRMIEADMRERAEKNEIARLFNILKIDFSHPDMFEST >CRE09183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:569379:570819:-1 gene:WBGene00056672 transcript:CRE09183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-56 description:CRE-NHR-56 protein [Source:UniProtKB/TrEMBL;Acc:E3LHC3] MIQVPRQVSICQICGQLANGNHFGVISCRACAAFFRRANLETDTYCVLGNCQIHKNGRFYCKKCRLRKCLEMGMDVNKFQHDRDLISTSTSSPESSDSSGPIMKVKKRKTQGIPLSLASFLGRPAFILSCEPERACRVKTVIDVTFLINQAMDILKNGDTKRYFGRDSLENMSLKLQELKKTKTNLKLLKVLGLKETMFFWEQSFLSTATWLTHFEEFQQLPLNVKMQILKVSWILWARLDKLSRTADERRRKTFGDSVYMIGEDVFLDVKDFEVDVSWCTNYSKEQLVYYLDCHHDEYFNKIVDLLIDLNPRDIELNYMLIEICLHYAGKKHQGDVLKFTDKLMEVISDNLHNYYQSERISNYSSRLVKMMKIDNLIRRNLFERLERQEVAKVFNIMSVHFSHPDMFSDSGF >CRE08752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:571482:573110:1 gene:WBGene00056673 transcript:CRE08752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08752 MIFILVLLSTVLSIYLFHLLYWKRRNLPPGPTPLPKFGNWLSMVFPMPGYECFRNWTKKYGDVYTFWLESEPYVIIGSYERMKETFIRDGDTYVNKKLNEAIAKFRDGMFGVVDTNGQFWSTHRRFALSNFRDLGFGKDLMQQKIFFEVQEIFKKFDEKVGEEQNIPKVFNKGVANVINQLIFGYRFDDEKEEEFQKLQDLLEYQQKAFTSWKIIVACVVPFLSRFMPKPTVDELIEQYKAAFYGFFNSQIEEHRQKIDFDSEENQDYAEAYLKEQKKREAEGDLETFSTQQLSNMCMDLWLAGLGTTTLTLSWSIAYVLNTPGVQEKMHEELDRVIGGDRLVSMADKNDLPFMNAVINECQRCANIVPINLFHETTKDTVIAGYPIKKGTGVIAQISTVMLDETVFPEPYSFNPDRFIDENGKLKKIEELVPFSVGKRQCLGEGLARMELFLFISNFFNRYTVNIISPSTAGPPPIDKTKELGVTDRKFDGILTKRN >CRE08753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:573824:575416:1 gene:WBGene00056674 transcript:CRE08753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08753 MPPALHLTGPCEICFQPAHGRHFGVMSCRACAAFFRRAARSKSKSQGLVCERGNCTVSDTGIFYCKICRLKKCYEVGMDSSKFQNDRDLLSSSSHYAKHVKLAAPQSLSNFLGRPEMILFCEPENASTSKVIIDLSFLIDKAVKVFQMPTESFPSPCHFENSLEKMAYALEDMHITRNETKVEFQTKVGKFELFSFWEDSFIKTVQWFSKFPEFSELDMEVKLDILKSSWLIWIRLDKQAQTANLQRKQLLGADVYMTGEGRCMNLKNFDVDLSFATNYSMDQIRSLINVDVDTTWKPSVDALIKLDPTNVELNFMLIQLCLNHAQKKFSGKTREAIEKLLQIQADNLHNYYVKTMKTPYYSGRLTKMMKIIQFIEADVRRQRERFYLAKVFDIFTLDFSHPEMVEMF >CRE08754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:575580:576972:1 gene:WBGene00056675 transcript:CRE08754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-184 description:CRE-NHR-184 protein [Source:UniProtKB/TrEMBL;Acc:E3LHC6] MPPALHLSGPCEVCGQPAHGRHFGVFSCRACAAFFRRAARKSAKSQDIICPKGNCIIFDHGEYRCKMCRLKQCLEVGMDCSKFHNNHDLISNVINYSKRSVPQSLSNFLGRPEMILSCEPDKMSRVKTIIDMTWLIEKAVKVFQKEPTTLIPYQVNSSLERMSLALANQKKEETNQKLEVWKVFGKKEILYTFEQMFLGATQWLAELLEFRELDLTTKTEILKSCWMLWNRLNFLAESAEYHRNKVLGGTMFMVTEGACINVQQLNADVSWCTNYSMEQVGALLMPDATKRWIEPVNELIELNPTDVELNFMLLQLCLHDAGKKFQGKILEATDEIIRIHADNLHEYYTKTLKMYNYSGRLTRLLRINKDIETDVRHQREKQRIAELFNVLSLQYSHPEMFEFT >CRE08755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:577237:580446:1 gene:WBGene00056676 transcript:CRE08755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08755 MPAPLYLSGPCEICSQPAHGRHFGVMSCRACAAFFRRAATSKTLRVQDKVCKTGKCTIFEDGKYRCKSCRLQKCLAVGMDVNKFQSDRDLLSNTSNYTKRSKVSPPQSLANFLGRPEFILCCEPEKVSHVKTIVDVSDLVYKAMQILQEDIHLIPFKFDNSLEKLTFAMDDMKLKRGNEKFEVVKTLGKAESLMFFETNFLNAAQWFTGFPEFTELDISVKIEILKSTWLVWLRLEKLAETSAFQRRKILGSDLFMCSEGACLNIEEVEMDLSWCSNYSSEQLRTFMMCDFEQYWRDSVETLIELEPTNIELNFMLIQLCLNEAGKKFQGKILETTDKLLQIQADNLHDYYTKKLKMSNYSSRLSRLMKVNKAIEADVRERKERSYISRVFNLFSIEYSHPEMFETTNRECRRRRGPVGRQKRGTLKDFGCHWKLKIRDQDDKRMSLTCVIFENDR >CRE08756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:580979:582915:1 gene:WBGene00056677 transcript:CRE08756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08756 MTSQEPPPHLASLDLVIRKFIPKELITKQPMEWQCDRDEQMANFTTLMDAGFTALGMFESAEDVWKHVAHYINFPNSAKYFNRELTFQDSPRPIIHKSLKKDDCIYRHDLPKIFEFRERKPFGVDKKLTDMAEAILKNCWKQLIGESHHEMIKCPQKPNSEALSAECSPFQLPFDKSISREEFDEFMNEWKTQSKKKINVMIDFIVQSMALYHKRFPELDTSYEDQANVISWVGFVMEKTAEFAKSGDIVLPPLHSPASKPKALIRLFSLGKNQFVMAHELLDTIKKHDMDVRKLEKEVLDMPELSTFSYNEVLQKVGKSACEKTLDFVEMKIESLMFVRTPIPTPKEGFCVLAVDALYELLMNMIVAKKVFQDIVDNDWLTIEKFLMAMMLHFDTSRYFMDSKVRDAIMTLWESVYRKTTKRTPVNIEWNSTKLDLEKLKETINKLKIGFISESLKVDASDTIFLRHAKSNRFKRSDLYIGVAHFQLNGLVMKLLKLYEFIHSQEACFSFGVQCIRCVEKKNGDEMPEETGNEKKQKSKGKTNRK >CRE08757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:584565:585935:1 gene:WBGene00056678 transcript:CRE08757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08757 MENISVLSAVAPVPHFSEGLLFQKDRELRENVQVCDPVTCVIFENDRYRCKYCRLQKCYDVGMDSAKFQTNRDLISNSNIYKKKLKVSLPQSLSNFLGRPEFILCCEPDKVSNVKTVIDVSDLVFKATRILREDDLLYPFKFDNSLERLTFVMENMKTRKGNKKLQLLKNIGKPESLMFFEKNFISAAQWFAGFPEFTELDMNVQLSRIDILKSSWLLWLRLGKLSETVEYQKKKMLGTEVLMCSEGACVNIDKVNIDLSWCTNYSVEQLRSFMMSGFDEYWKKSIDSLIQLEPTNVELNFMLIQLCLNEAGKKFQGKILEATDKLILIQANNLHDYYTKKLKMSNYSSRLAKMMKVNKGMEADVRERKEMSRIARVFDMFSIEYSHPEMFETAHIS >CRE08762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:591855:593308:1 gene:WBGene00056679 transcript:CRE08762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08762 MSDIPEPCKVCAQPSQGRNFGVWSCRACAAFFRRAAPRKTREDTFVGNNENCTIFENGRYTCKNCRLKKCYDVGMDIGKFQNNRDPLSSCSLYQKRVKPMSLSNFLGRPEFILCLEPDRASCIKSVIDVSYLIEKAEIIFREGSTHSVIPRRFENCLEQMSAALEDIKRIKGKEKIQIVKYIGKTENFMFWEMTFTSAAKWLSQIPEFSELEMTVKLKILKTVWMLWARLQKLSETAEYQRNQELKDDVYMWTDNTCMDFKEVEVDLKWCTNYTTEQMNFYLAPELDESWKKCIESLIELQPTNVEINFMLIQLCLNDAGIRHQGKVLEATDRLLKIQADNLHYYYARTLQLPHYSNRLTKLLKVNKLIEKSVRLRREKNKIANLFDVFSVEFSHPEMFELT >CRE09185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:593980:594754:-1 gene:WBGene00056680 transcript:CRE09185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09185 MFSLYRLRSLGMRHLEIGQNSMEMCSRFVIAFQHYNHRIYIPGSKPFIIISSYSKLKDTFIRDGETYMNKVQLNFQEFIRDGNYGVAETNGEVWSTHRRFALTTLRDFGLGKDLMQEKILIEVEDIFQKFHKNIDREQEINPVLNNAVANMINQLIFGYRFEKENLGELKKLSELMEYQEKFFTRFRTNFQIFVPQLAWFLPGKTLEEGSRRNEKPMETEKCSAPNNYQICAWIFGLADSLQLISH >CRE08763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:595398:596911:1 gene:WBGene00056681 transcript:CRE08763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08763 MILLLFFTILLSWLFYELYWKRRGYLDGPMPLPIVGNMMPILKTKPGYEAFRQWTKEFGDVFTFWLGQFLTFLSGSKPYLVVTSYKRLKETFILDGDVYADKVFKRRPSPLSRFMFNSLLRLLEGGYLENQWKSCKFQRVRTYKIFMFTYIYRLAEFTVDFYKFFDKQIEEHRSKIDFDSEESLDYAEAYLKEQYKKEAEGDHELFSSKQLSNMCFDLWLAGLSTTHTTMTWIIAYIMNNPDVQKKMHKELDEVIGSDRLISTSDKNNLPYFNAVLNESQRCANIVPLNQIHCVTKDTVINGMTVKKGTGIIPQISTVLLDEKAFPDPYTFNPDRFIDENGKLKKVDELVPFSVGKRQCLGEGLARMELFLFISNFFNRYQVKNLNYFK >CRE08764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:597571:599566:1 gene:WBGene00056682 transcript:CRE08764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-32B1 description:CRE-CYP-32B1 protein [Source:UniProtKB/TrEMBL;Acc:E3LHD8] MLTAVLLLLLTCFILALFRKRDDIIQFFHVRKVCLEEFKKLKGPPAVPIFGTTWFFKSDPVEMVRLAQSWFVEYTLSPDSNGLMKFWMGPVPVVAISRGEVAKTIFDSSTNITKSSQYDKLKEWIGDGLLISTGNKWRSRRKMLTQTFHFAVLKEYQKVFGAQGKVLVDVLQLRANNVYPFDIMPYIKRCTLDIICETAMGSSISSQLGSNDKYVESVRRLSELVWNFEKAPLYWLKPIWYLSENGLEFDRHVKLTTDFTREVIEKRKEELKHQTSEDPSKKLAFLDYLLKSQAEHPDILTDEGIREEVDTFMFEGHDTTSSGITFAIWFLGQYPEYQQRVQDEMDEIFGDDFERHPNSEDIQRMIFLEQCIKETLRVTPPVPFISRKLEEDVVIPHATKGSVLLPAGMNIIINIITIMKDARYFERPYEFYPEHFSPERVNAREAFAFIPFSAGPRNCIGQKFALLEEKVILSWIFRNFTVTSMTKYPDELPIPELILKPQFGTQVLLKNRRKL >CRE09186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:599693:601927:-1 gene:WBGene00056683 transcript:CRE09186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-13 description:CRE-NHR-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LHD9] MSVNRCEVCESHSNISCNHFGARTCKACAAFFRRTVSMKLDYTCIEQPDSCRVHYDSRLICRFCRLKKCYEVGMKPLLVKSKNERKQYIRISKGLVRKKSAFEEHTIENSNENITPEYDEDNIENEATPGPSSETSETLALEIQETVNKFLNLETSMCDRRRLLYAETPISIVLEGGREWPYDNATLKMFDYKQSQGMSKHDFVMIMDYARGMPGFDELNYADSVFCYRLVCAVDFVTNSAYYTYKRGIHHNELVLNDGTFIPMTPTPLTGYEENASLLFQNQDDLMKFRTLMPLLLHQWKVCVPFAQLAPSYEEFCLIKAICVWHVSYYRLSEEGRQVALSQRERLIRALHYACSLDSDDVGERFGNMIMSLNYIMEQIRNLNCSFVMISFFGILNVDSLMIDVTSFW >CRE08765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:602957:604288:1 gene:WBGene00056685 transcript:CRE08765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08765 MSGYCLSFSKVHGAQGNVWCTRPYKKEISPQKSQFGSFLFLLSCELVMALSFKFAYPLTQFGFFSTLITNTIFIYLTIFYIKKIPDTYKSMVLVFSSTGIMFSAWEIIARPFAHSYNKGLVYFSLNDWLDAFPEFLQFAIILYASFYLVILAIIAVQFVFRYFTLCRPHLARKFGGAGVPVWIIYSLVSGAIYGGSLYLFCQPDNYSDSYMREILSDSYNLKIAEVTRFLIIPYAEDGSVRWNNLSFLLVGVMILSLQYVIIVYCGVRMHTILQKELSQQSLVNRKLQKQFFRALVVQTIVPTLLFVLPIAPFLIGPLVVPFLGIEMNFQTGWMYVILCLYPPLDTIAFMLIVSEYKKYFSDVFKPILPKRIKISSEISQSTGPAFTK >CRE09187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:604452:605769:-1 gene:WBGene00056686 transcript:CRE09187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09187 MVVSLFFSYYWKPSIISFLTIYIFRSIQLPKLLIVVFKVTRFDFLCMLDDWVYHYLPIIFCFLAFFVNPVFIYLIITENHTKFGNYRFLLLSFALFNLTYSLVNVIIPVVSCQDLHSHLQEVQDIHSYRYCSFLILKHGWFAQRSEFHFHLMAGRCSLVSASYAILLIHFFYRYLAINNSKMIRERFPLYMAGSAVVFVVYFEMWHGICYFFGSANMEVREYIREEFQETYGLDSMDFNMLGALPYEASDETTNRSWVAIVLWSCVSTLSIVMFWVLAGMTNRRLNKFRVNVSHKTSRFQVELLRALVVQTVIPIFISFAPCMLSWYGPMFGIQLARGYNYFEVSALGVFAFVDPVAIFLCLPIFRRRIFCVCYDKSTSPTTICNSRRTI >CRE09188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:605944:611846:-1 gene:WBGene00056689 transcript:CRE09188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abt-4 description:CRE-ABT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LHE2] MGALSQLRLLLWKNWLQQLRSPWFALFELVVPLILIGASFGLLIGFSGQFETTYKERKYAPWPVSGSAYDLIMPTNPNDPVKSAIVEPYFLFNSQLTCQFLNTYSTGANKYRMDIILAYSPTNAATTDKIMTIIQDRYTQENLLAPVSKYIKKLYNITDVPTLDTNMTIKGFGTEGEMVSWMQGQFQSECDNPLLAGIVFDDSISKDLLNDNIRDFKYTIRLSNTHRRSRNAFGDNSYPWDTTQAFAVQFVSGPINPDDNDGGSPGYWQEGFMTVQRAIHVAITELTTGETAQLSPLSDSYQVSRFPFPGYSTKIIEIGAFFMPVIVIFSFMTSVIYIVRSVVVEKEDRLKEYMRVMGLSQFINWIAHFLINYAKLTFAVIVLTVLLHFLLTFKELFLIIFKIFRSDMTLMFVFLMVYAFDVVYFAFLISSFMNSATSATLISVVFWMLLYFWYAFFSSIDQTNPYALGFRLINCLNPDIALNYGLQLLAAYETQGSGLKWSEMFNPPSPDNNLTFGHALAALVIDGIIMIILTWYIEAVVPGGDGVPQKPWFFVLPSYWFPYSGSKEVTSSDQYEQVQYEDYVKLEKEPTDLTPTINVVNLTKTYGTSFFKKLFDCKFGKTGEKKAVSNLNLKMYPGQCTVLLGHNGAGKSTTFSMLTGVASPTAGSAYINNYDIRTSLPQIRRETGLCPQYNTLFGFMTVMEHLEFFAKLKERTWDPEEAREILARLRIDFKADFMAGALSGGQKRKLSLAIALIGGSEVVMLDEPTSGMDPGARHETWTLIQREKERRTILLTTHFMEEADLLGDRIAIMAHGKLECCGSPMFLKQQYGDGYHLTIVYSSTGTPDVTRTTDIIREYIPEATVFSYIGQEATYLLSAKHRPIFPRLFRELENHQTECGITSFGVSITTMEEVFLKVGHLAEERYNYEHGIEDESSELIEKDDPMLQNLKAPVRLTGVALQMQHAKAMFYKRGIFFFRKWTQFLPQLVFPVAYLMLMVWTSQIIPSVKEQNPQTISLAAFSEKDKPGHVVSDSGNYVDMSGLSQNLSRMVQSTVAELGVNQTVVDVSSDIEKYIMDQTNALGSRTFGLRYALGFISSSIDLTSVGLPAIQTMKTYFNNFGLYTPALAITFTDSMLLSLKQNKQYSFTAVNHPLPPSTQDTLKNTNRSDGAAFLIAYGLIVSFAVCVAGYSQFLITERKKKSKHMQLLSGIRPWMFWFTAFIWDAAWFVVRIICFDAIFYIFNITAYTHDFGIILILTLSFLLYGWTAIPFTYWFQFFFESAPKGFMMVTMYHILTGMIGSIAVPIIQQTSSLDAGYLWSIIFAWLFPTYNVSQIATVTFQNENVRIACQKLDCSIAMFKAVKACCGTASERLYVDNVLLVGNRKGILVYVIFLAVQGFLYWIMVFMRENDQFSKLFAMIRCKKADTTIWDITEGDKEEQRDVEDSDVIAEKSVVQRLANSNQTALVSNNLVKWYGNFNAVKGVNFHVNSKDCFGLLGVNGAGKTSTFQMLTGENSISSGDAYVNGWSVKNNWREAGANVGYCPQYDAVIKEMSGEETLYMFARIRGIPEKEIPVKVKAVIHAIGIGMYAKRQIKTYSGGNKRRLSLGIAIVGLPDVLLLDEPTSGVDPKARRIIWNILNRLRDLGTALVLTSHSMDECEALCTELAIMVYGKFRCYGSCQHIKSRYGSGYTLLVRLKNRLDADKTKAVIKQTFHGCTLKEEHILQLNFDIPREGDSWSRLFEKLETVSTSLNWDDYSLSQTTLEQVFIEFSRDAGQGGPYDDIPSLTGSADSRTKQNGYANRAMTVDSDSESAMYF >CRE08766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:615634:619033:1 gene:WBGene00056690 transcript:CRE08766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08766 MQSSIIVFLALVSITAACPGLFGMMGGGGGGCGCSAPPPPSPCGCGGRKKRSLPEKPIFFGIAAGDDDNMCNNPELKKIILENMQASVIESSKAVNGALESKQLNRFIVVCSENPFVFTVRADTVYCGARKNDHNCHAFAM >CRE09189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:619189:620979:-1 gene:WBGene00056691 transcript:CRE09189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-34A4 description:CRE-CYP-34A4 protein [Source:UniProtKB/TrEMBL;Acc:E3LHE4] MLLILLFFTILTFLVIQQWSKRRRLPTGPTPIPVIGNLHHLFYLYWKCGGAVEAYRHLEQQYGKVFTVWIGPLPTVYISDYDLAHETHIKKSNVFGKRFAVGALNYIREGRGIVASNGEFWQEHRRFALTTLRNFGLGRNLMEEKIMEEYRYRFSQTANGNNNKGSIETNSSMFFDLLIGSIINQLLISERFEQGDPEFEKLKESLSVGLEKFGVLDVFLPDWIMNAWWMKWRMDDILGPFSWIHRLSQRNVERRMKLIESGEHVIDGDGTDFMDAYINKYEKDKREGVNSTFTLENLAIDMYDLWIAGQETTSTTLSWACACLLNHPEVVKKAREELVHLTGGHRAVSLTDKTSTPYLNAVINEVQRIASILNVNIFRQTSEDTVVNGQPIASGTALTTHLSLIHTDEKLFKDHTKFQPERFLENEGLEKKLIPFGIGKRACLGESLARAELYLVLGNMMLDYDLEAVGEVPQIKTTSPFGIMKRPPVYSLRFVPVHHA >CRE09190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:621650:623564:-1 gene:WBGene00056692 transcript:CRE09190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-34A5 description:CRE-CYP-34A5 protein [Source:UniProtKB/TrEMBL;Acc:E3LHE5] MFFILIFISILTYFSINLWRGRQRNPKGPLPLPFIGNLHQLAYYCWKTGGIVSGYNEIKRHYGNVFTLWFGLIPIVYIADYGIAYETHVKRANIFGHRYTVGGMDYIREGRGIVGSNGDFWLEHRRFALQTLRNFGVGRNIMEEKIMDEYRYRIKDFSKTHGKNGNIEVHAATFFDILVGSVINQMLVSERFEQGNKDFEKLKMNLGKSLEEMSVLDSFTPLWLLKSKLWRWRTKTTLAPFDFVLELVQSGIRKRMSAIESGEHVIVEEGDDFVDAFLTKISKDQKEGIDSTFTLDTLAIDLYDLWLAGQETTSTTLTWACVCLLNHPEVVKKLRRELVGVTGGNRSVSLTDRSQTPFLNATINEVQRISSILNVNIFRHMQEDSFIDGQPIATGTVITTQLAMLHTDENVYKNPAEFNPERFLENNNLEKKLIPFGIGKRSCPGESLAKAELYLILGNLIMEYDLEPVGAKPEIKTPTPFSLLKRPPVYDIRFVPVQQ >CRE09192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:627650:629618:-1 gene:WBGene00056693 transcript:CRE09192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09192 MLFILIITAILAVLTVNLWRARQRLPKGPTPLPLIGNLHQLVYKCWKTGGTVAGFNEFRKEFGKVFTVWMGPIPTVHIADFDVAHETHIKRANTFGVRYSNGGMNYIREGRGIIASNGDFWQEHRRFALTTLRNFGLGRNIMEEKIMEEYRYRFQDYKKTNFKNGGIEVHASSCFDLLVGSIINTLLVSERFEQDNEEFEELKVNLARSLEKVSIIDAFTPLWLLKSDMWKWRTKTIFAPFDFVYGLVKRGIQRRVAAIESGKHVASEEGDDYVDAFLIRMEKDKREGIDSTFTHETLAIDLYDLWLAGQETTSTTLTWACACLLNHPEVVEELRRELIGVTGGTRGISLTDKPNTPYLNATINEIQRIASILNTNLFRILEEDTVIDGQPVSAGTVVTAQMSLLHTNEAVFKNHEEFNPGRFLENNNLEKTLMAFGIGKRSCLGESLARAELYLITGNLVLDFNLEPSGPMPAIKTHTPFGLMKRPPNYHIRFVPVS >CRE08767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:633814:635230:1 gene:WBGene00056695 transcript:CRE08767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08767 MNSTLELPQYLELAGSALSLFFNVFLFYIITKFSRPEYGSYRILMLVMTSLYVVYSAIEAIVLPSYFVFEYNYFLFTTNFIEYQVISQVLIVTFCAVFGCMQVVLAAQFIYRFLSVAGYNYLREKYFKGRRHTVWVFSTVIFFINWFVVAWLIFGNRGDHPRELAIAVEDRFGRNISDMAFTLVTYAQIDSIGKLMLKYIDISMILYLIFCASIPMTISLFCGIKTWLKIQFTISLAAKSQHLQRQERQLFFALLTQFSIPFLGNTVPMLILFLCPAFHVSTEPYTNYICMLVPFYPVFDAMATTLIIKDYYRGVLKLLGFSPHSQNSSMSNGGQIPPPNSLYMKGSSAISMEIGSIQMNRLDSQL >CRE09195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:638495:641126:-1 gene:WBGene00056697 transcript:CRE09195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-64 description:CRE-SRX-64 protein [Source:UniProtKB/TrEMBL;Acc:E3LHF2] MESINQTAYALLPISLIGSILNWSIFYAVHKLQNFNHAFGYLSANQAIADAMHSTTFLLYFCPMVLLDSAEMKEWSHHCGFILLFCYELSVMIHLAISLNRFTAVWAPYEYQTIFSNMNTKIMIAAIWIFTGTVAFLFYEKSCHFYYEEKIHFLTFTSSEFCGYIGWYGDFLKNASIVAIVVSIDMLTVFKVRKMSKKVVANISDQSQHRMSCREMRFLKQTVTQGSVFMLELLTYFFVPQYFEDKWIVFFATSFAWVAVHAVDGSIKSISLYSCVSSCTSKIPFEGKDPNVIGYLCAVDRCIHDFFQETEYDRFELMWRKLPDSLNWCATNAQMSVTKFTSKKEVMMFKVKNMMEKKKMEIGERCTAVVISFDNDDSLRDLDLEKILKTCTVHHVEFSSARIQGLANVLKSFNSAVIDLLIVGPSKASSYLLFQQFLGDYYDYLPTLCQINFAHSLPRAREENGFLESMQRLRSEKKFLLMGASKDRTDMHLNLFFGNMDKKYCRERYFRYLSYF >CRE09196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:644588:645626:-1 gene:WBGene00056698 transcript:CRE09196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09196 MADSNQVLYVLMPVSFIGSVLNWISFYSIHKLASFNHSFGYLSANQALADALHSTVFLLYFCPMVLLDQTYMKQYSHLCGFLLLFCYELSVLTHSAISLNRYISVWSPLFYESFFSVSKTKSVIFVLWLYNGIATLVLYEKYCHFYFDDSIGFLTFNNSELCSDIGWYGDFLKNSFIVAVVLCFDIITLIGVRRVSKKLKSGMTDATIKKFSRRDRRFLKQTLIQGSVFMLELLTYFFIPQYFTNRWIVFFGTSFAWVAVHVADGLVFISIIFSLKNFCRLIVIICNPEIRNFLLADKTSLRRGTIVQVSSVVVHPQ >CRE09199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:649525:650560:-1 gene:WBGene00056699 transcript:CRE09199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09199 MDGVTNTTFALLPMSILGFFTNWFVFQSLLRLKSFRHSFGYLSASQSLADAIHSSIFLFFFCPMILLNQPTMKKYVNHLGFGILTCYELSLYTHFAISINRVFAVWIPRSYSTAFSVQNTIVIIGIIWTVIVFLNATFYEYLCEILYDEQSFSLVFGKTETCGLVGLYGDLFKNLIAIIILIIFDILTLVGVRKTRASISIGTATQHKISNREKRFLKQIIFQSIIFVLELSSYFFIPQLTKKPLVFFYSTTFAYVTVHVLDGLCMIIIYPDIRALLFCRKHTIQSSSLNKT >CRE09201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:652517:653640:-1 gene:WBGene00056700 transcript:CRE09201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09201 MESGNQTALALIPITLIGAILNWSILYANRKLSFFNNSFGYLSANQAFVDALHSTIFLLYFCPMVLLDQPVIKHYSFIMGHVLLFCYESSVLTHFLISINRFCAAWNPLSYDSWFSIKRTKKMILLLWILETIIASVFYQKIHFIQFTATEFCAVVAWYEDFIKNAAIIAIIVCLDVSTVLRVHHLTKKVRSMETLIQQMCFLKIRSRSVQDQNKFTARDIRFLKQTVFQGSVFLSELLTYFFIPQYFENQWIIFFGTSFSWVAIHATDGMVVIICNSEVRKFLLGEKAVHQQAATETGIITI >CRE08770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:655566:656297:1 gene:WBGene00056701 transcript:CRE08770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08770 MAVPQLYYFTIRGFGEYIRLLFLDNGIKFEDIRYQYGGKEWEDVKKTMIFGQMPALKYDGKEIAQTGAIMRHLARVHNLNGSNEEEATFLDMFFEGVRDVRMKYVRYIYYDEGTRDDIVNKTLPESLANLEKLFKIHSGDFIIGNKVNYADYILFEELDVYHTLDAHILDKFPALKAFWERMWKRPNLKSYLEKRAADKVWINAIEKGMN >CRE08773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:658389:658883:1 gene:WBGene00056702 transcript:CRE08773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08773 MRHLARVHNLNGSNEEEATFLDMFFEGVRDSRMKYVRFIYFDEETRENLVQKTLPEHLANFEKLFKIHSGDFIIGNKTNYADYILFEELDVYHHLDSKILDKFPSLKAFWERMWKRPNLKSYLEKRDADRVFINAIEKGLD >CRE08775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:661079:662220:1 gene:WBGene00056703 transcript:CRE08775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08775 MYLSAAIINTVAIGFPVISGVMYSRLLYSIFYSKTIKKAPDLYLFYCKFVLDLLISIVSYLKLTTYAVAMTSLVNFLMVNHWLTFLVIWPISFLSSLRAILVFFIALDRTCATYVPILFFKYRKLIPLYLIIGIVLSYSLVDSSVAFIFCRIDLNTPTDCVNGLCVLGACYQNYWLEFQQVFYALIIALTLMLCLKLFVWNKLKKQNINQDLRRANRLALIDSAILIVFDWLPPIAHTVFPDFFDYVGAINTVSQTFGFMVEAYLVTINLRKKYRITNTTTSGKFMNRSTLGDTSDYN >CRE08776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:663729:664564:1 gene:WBGene00056704 transcript:CRE08776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08776 MIIMFLSTTDAVQFFIDHRNFAFYIVYPVYLISSMRALLVLFIEMDRTFSAYFPITFFNYRKFIPTIFIIILLFVYALFDVSAMFLFCGDSIDVPPGCISIMCALSMCYRNYWLGYEQANRLALIDTFIIIVFDLIPPIIVSHVPNFYRYVGPVNAFFKTMGFVVEGYLVSINLRKRFQKSKTTIFVGKIDTSSSK >CRE08779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:669125:670287:1 gene:WBGene00056705 transcript:CRE08779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08779 MISLLDQSKILAGIFGAPFFIFTSFFILFAYVLLWFRKRQTLTKAISIFEVPLIMSCSSVGFSLLHYSGRMLLKPVSDNSEDEKFIYIFNLIFYISTYLLTLANNLLLPLLFVYSIFFFIKNTEFRLVMFIIAISYTNLKFVIYLKLLPNFIQFNLISIMDSSKCLIVNPIDLSMLLLTLMFIFFRLHFSTDKLSFEDSVVIFEVVLLTTLKIFGMMSDIISIGLLQRYPQHIVQFSGINTETMFMKNYEFTQMIIPYLFIFCGLIIRLEDLRKKATVNLTEAIDNGTCRWIKKRRPHNTVNNSVGTGIRAPVDLTVTAAPEDVPDLSNPKV >CRE08780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:671668:672817:1 gene:WBGene00056708 transcript:CRE08780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-21 description:CRE-SRI-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LHH1] MTIKVSFETPYWLINFYHTITILSIAINSLGIYFIRFQSGKIDNFKYFLLWFAICNLLSDFVMFFLTQPIPLLPIWAGYIHGPFWSVFRIGTHVSSVIGSIILGQQAAALTMCFVRKYQAISKLDCQNEISNNWLICVACLTQSLVGFWVTLYYFAGIDRATALNYIKLNYPNLLEKFIELEDFQLYLKNEITSWFILAAGVMTVVFTAIIIFCTARMFGLLRNLENQVSPVHLKKHKTAVSSLIAQLFTSPVAFLPPVASGFLLSLECEHIQVISWILLAMTSCHGTINCSVMILTCPPYRTFVKNKMMSSFGKKRTELS >CRE08782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:678050:678765:1 gene:WBGene00056710 transcript:CRE08782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08782 MKFTIICLALIGTASAGFSAEGQAAIVKAHNDLRSAIAKGDYVAKGTHQPAASDMLKMSWDDTIAQSAQAFAENCPDDHAKSEYGENLYWGWTSEEVGNLDPYGVKASKSWEKEFQDYGWLTRTLDQATYDSGIGHATQMVWSKTNLIGCGAKMCGVDPKNGMNKVTVVCQYKTKGNMIDDKIYAEGETCSACPSSSSCETGSGLCA >CRE08783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:679737:681554:1 gene:WBGene00056711 transcript:CRE08783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08783 MQSFILILLALVSIAAACPGLFGMMGGGGGGCGCRPPPPPSPCGCGGRKKRSLPEKPPFYLLPPAFMIEPPGFSVIEFRNFPPPPPSPCGCGGRKKRSLPDKPAAPEFFGIAASDKDELCNNSDLKKIILENMQSSPTDSSKAINGALEVKKLSRFTVVCSENPFVFTIRADTAYCGSKKNGHTCNVFSM >CRE08785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:684730:686197:1 gene:WBGene00056713 transcript:CRE08785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08785 MVEFETLVNPPQEVFDQIVKYTSETEDWASQTGDYKLWLSSYDQFWLVTVVEKGLKYTTSGNYIYHLGTTNFVASVSLARWDGDDGPLFSIGMFYCVPKYRGTGLGKPLFQYVMDIVGDNNATLTGVVKMSPKYASDFGFDKYPEHWHLFSSAKCAEMVIPDKVSENYTTKLWSDVDYVELTAYDRTICVRNRKKIMSAWFNSVDTFSRVVLDKSGKIVGYATVRLVLKNRLSPAPFYADNLEAAEVLLKDLLIMIPDWQQYASFGFLYPECNKDPFEVLNKFSKRREAISTSRFIRSQFTRELISTPDNKVYSLSDIAHQFV >CRE09206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:686352:687193:-1 gene:WBGene00056714 transcript:CRE09206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09206 MYSSKVIFAIVSSIALASAVPNSLGLGDILGGNGGVLGGITGGEGGLGRVLGGLLGGENGGLTEIVNNLLGGNEDALGGLMSILGGTPGGLGLPIIGNLTELPQFLVDFLQGLPEPVLAQVTDILSNASLSIDEITQQLQQALSGQNEVSHYQIHASSHSRFQNLLASLLATVTNLVSELLSRVSEVVANLGSVFDQLTQILNNQDQTLLQQNEAIENLRKQSPIELEAIFLIASRVAKTLQGRNGGVVPELPVPLPETPQVPV >CRE08786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:687832:688670:1 gene:WBGene00056715 transcript:CRE08786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08786 MCSSQVIFAIVASIALASAVPNTIGLEDILGGNGGVLGGITSGEGGLGGVLGGLLGGENGGLTEIVNNLLGGNEDALGGLLSILGGTPGGLGLPIIGNLTELPQFLVDFLQGLPEPVLAQVTDILSNASLSIDEITQQLQQALSGQNEVSHYQIHASYLRFQNLLASLLATVTNLVSELLSRVSEVVANLGSVFDQLTQILNNQDQTLLQQNEAIENLRKQSPIELEAIFLIASRVAKTLQGGNGGVVPELPVPLPETPQVPV >CRE09207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:690023:690877:-1 gene:WBGene00056716 transcript:CRE09207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09207 MYSSKAIFVVVVSIALVSGAPNGLLGGLGLGDKIGGGEGGLGGIIGGEGGIGGILGGITGGENGGIGGIVNGLLGGNQDVLDNLLSGLGLPLTGNLTELPTFLTKFLEDLPTPLLEKITGILSDDTLNINEITSQLTDLLSGQNQDVLATLLSTVTNFVSELLSGVSEVVANFGSVFDQLTQILNNQDQTLLQQNEAIENLRKQFPIELEAIFINASRVADTLQGGNGPVVPELPVPLPETPQVPV >CRE08787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:691526:692382:1 gene:WBGene00056717 transcript:CRE08787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08787 MYSSKAIFVVVVSIALVSGAPNGLLGGLGLGDTIGGGEGGLGGIIGGEGGIGGILGGITGGENGGIGGMVNGLLGGNQDVLDNLLSVLGGSPGGLGLPLTGNLTELPTFLTKFLEDLPTPLLEKITGILSDDTLNINEITSQLTDLLSGQNQDVLATLLSTVTNLISELLSGVSEVVANLGSVFDQLTQILNNQDQTLLQQNEAIENLRKQFPIELEAIFLIASRVAKTLQGGNGGVVPELPVPLPETPQVPV >CRE08788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:692882:693414:1 gene:WBGene00056718 transcript:CRE08788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08788 MQSSLLILLALVSITAACPGLFGMMGGGGGGCGCRPPPPPSPCGCGGRKKRSLPDKPTTMEFFGIAASDDDVMCNTPELKKIILENIQASAPDSSKAINGALEAKELIRFTVVCSENPFVFTIRADTAYCGAKKNGHTCNIFSM >CRE08789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:694327:695476:1 gene:WBGene00056719 transcript:CRE08789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08789 MNALIKYGSVQANPLYNCSSRTPQEWTTREGVPRHAYGATECYKIMIFLSLVDIISILVGGIITGWLGYQGAVFCTYPELIYYCGMIAESMWCCSCLTALILVTNRLFDLQLPRISKFLFDGNRTFFVIFLSVLYASYFMFFCTPVLYTSNFHTFILDPMIFEGKGLEYSNVSLMYNNFLVLFSTCFLYIVFCFVLGSKLKNVSSGSEARNASIQIFFQSAMICGVNLMASMVYVSMSFVKTPFWLIVVGHICWQLGHAAPAFVYLTFNKTIKNGVLRKFGIKKGRITNSTKKITKSSVHFSSTHTVITA >CRE09208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:695719:696237:-1 gene:WBGene00056720 transcript:CRE09208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-27 description:CRE-GRL-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LHI6] MQSSILILLALVSITAACPGLFGMGGGGGCGCGAPPPPSPCGCGGRKKRSLPDKPTAPEFFGIAAGDKDVMCNTPELKKIILENMHSTSVDSSKAINTALESRQLNRFVVVCSENPFVFTIRADTAYCGATKNGHNCHAFAM >CRE08791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:698854:699554:1 gene:WBGene00056721 transcript:CRE08791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08791 MMRARQAEKLQIANMNFIEYDSRLEDVLIEEVERFNGCPNSIIFHKGGLEFFFDTMGNYSMHYKLLTEPGLTRVASYSTDCGRDEKVFNYVVDYCSTPIIHGPPGSQCPEENGNGKLCRKPSLPAHARQISNEYDPINSRRLSKYQRKGIVADLSKWYLEEEKKKQIARAKFLAVKEVYQKLSKEARKIAKKVVEEVKRKELERKEKERELAKQAE >CRE08792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:700470:701367:1 gene:WBGene00056722 transcript:CRE08792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08792 MKLILLGLALLQIAPELNAQRGGVTTEFYEDLNYRRGKKAGELEIANMNFIHYDEDLEDVLIEEVEKYEGCPDVMSVRKRGLEFYLNSGHNIEMQKELVTKPGPTRVASFSSECEGKKVFNFVLDYSSSPAIHGTPASQCPKGSTPGERDGPMSNLCFIPFKSVDETGPNIHHSQESKYARKSMINRMDIVKSVVDPYDGLPPCPPSPKVDLSLSELLDWVKNKYQRKTAIGPEEYYREPYRGCRPNEPRNPWIVN >CRE08793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:701700:708254:1 gene:WBGene00056723 transcript:CRE08793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08793 description:Alpha-1,4 glucan phosphorylase [Source:UniProtKB/TrEMBL;Acc:E3LHJ0] MSLSSGFGFFSWSNPPPPQPFSGDSTSSTPKTPKTFSMITNDHDRRKQISVRGIAQVENVTNIKKAFNRHLHFSIIKDRNVATDRDYYFALANTVRDHLVSRWIRTQQHYYDKDPKRVYYLSLEFYMGRTLSNTMMNLGIQATVDEALYQLGLDIEELQEIEEDAGLGNGGLGRLAACFLDSMATLGIPAYGYGLRYEYGIFKQLIRDGWQIEEPDDWLRFGNPWEKARPEYMLPVNFYGKVVKEEGKSKWIDTQVVFAMPYDTPVPGYKNNIVNTLRLWSAKAENHFHLKFFNDGDYVQAVMDRNLSENITRVLYPNDNMFLGKELRLKQQYFLVAATLQDIIRRFKSSIYGNREAVRVNFDTFPDKVAIQLNDTHPSIGIPELIRLFVDVEGLTWDQAWDICIKTYAYTNHTLLPEALERWPVSLMQNLLPRHLEIIYEINQKFMNTISDRFPGDFERMRRMSIVEEADQFGEKRINMAHLCIVASHAINGVAALHSDLLKSSTFRDFYEFYPDRFQNKTNGITPRRWLLLSNPSLADLIVEKIGESWITNLDELQKLKEYANDAGFLDSIRRVKLENKQQVAQYLSDEYNVQINAASLFDVHVKRIHEYKRQLLNILHVIALYNRIKENPNIDMVKRTVLYGGKAAPGYHMAKQIIRLITAVAEQVNNDSIVGDRLKIIFLENYRVSMAEKIIPAADLSEQISTAGTEASGTGNMKFMLNGALTIGTLDGANVEMAEEMGDDNIFIFGMNVDEVEALQKRGYSSQEFINKSPMLKQIVDQIENGMFTPEDPTQLRDLSNMLRHHDRFMVCADFEAFIESQDKVADTFRDQEKWSRMALYNIASTGKFSTDRTITEYAREIWGIDQFESSLPAPYENEKAE >CRE09209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:708560:709286:-1 gene:WBGene00056724 transcript:CRE09209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-11.1 description:CRE-RPL-11.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LHJ1] MTDVEKQTEIREKKGRNVMRELKIQKLCLNICVGESGDRLTRAAKVLEQLTGQTPVFSKARYTVRTFGIRRNEKIAVHCTVRGPKAEEILEKGLKVKEYELYKENFSDTGNFGFGVQEHIDLGIKYDPGIGIYGMDFYVVLNRNGVRVSKRRRAPGRIGPSHRVDKEETIKWFQQKYDGIILPPKPKAKKNMYRRR >CRE08794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:709813:711328:1 gene:WBGene00056725 transcript:CRE08794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08794 MTVNNEGWSSFKTNKWLFTTPSSSYGRPRTPVSNSRVSESGENGAAPESPTKRANRPRTLSDRGNEILDNHEAVSNLYAWNWSEVREIYPEKGIINPSIYCYAISCVQLLSHVPAIVRILQDHRCQDPICLCCNWKRFFLQYQGHAGTINWFRDAFRKDKKFNQGRQEDAHDALLAILGKLDKIAVQSPRLKDRKVADDLFGYSIRNEVQCRVCKHKHVYYENNTVMTVRMLRKDPSGRSHSIKELMQHLFTAXXXXSRFGCERSRVQSPVTLNANFRIADQSYLVLYRRAEHLTNIGGDKSMI >CRE09210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:711336:712489:-1 gene:WBGene00056726 transcript:CRE09210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09210 MKVNGTFAEKVANFSIIHSKLFPEMYYFENRTYDFCTSSFFLYTADFQRLFLHFFGFIAIPVHLYGGYCIIFQTPPSMRSVKWSLFNLHVLSCFWDLGLSFLTTPFIFFPALAGYPLGVLKDLGVKNEHQLYLMITSGAYMLIAILIVFENRLLILIGSNKFWRIFRIPWYILHFLVATFFFFPNYLMIPDQEYAKALFRRIAPCIPLYVNADLVFVAVIETRFLLRAAGILIFGGFLEIWSLAYITDRKLSKQINGTMSLRTVQLHRNFQKAFIIQLIIPILIIILPIIYVGISCFVFYHNQALNNITVIIVSSHGFFSTIVMICIHAPYREFTLLLFTVLVRVRNEHSSVGPFRSNIIT >CRE09211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:713094:714076:-1 gene:WBGene00056727 transcript:CRE09211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09211 MAGLYRNTIEAMLYTPATAPKKKSFEDEVLTGSRKVSKLLNKFETGQLDITVEEVSEIHRESENSEEQWDLDETIFDEDEACEDVPPVPKPRTTFITSTPKKKEASSLPETPILGRNGRQTFCDGYTDLRTKFDRMDLEYRTPEVTRQKTSSVRSTCSSTASSASSASSVSSTRSGVTNVRSTTTVSHQFAKEIRDEVHEKFLKYFKWSNEMLRTIAIHVSELRLDVLSRIPIDEQDENVICMKKIYNMCMKGNHNKELYALCSALFDLLDDRIQMKKLSIHEEYVVFKYNRKS >CRE09212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:714575:716413:-1 gene:WBGene00056728 transcript:CRE09212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09212 MRFELTRGNPNGLAPYHPQSNGQAERFVDTLKRALGKLKGEETDDTALNIFLQNYRSTPCDASPNHVTPAENFIGRRIKTFLNQLLPSSAPVSSDYNHKMEDQFNRQHGSRTKEFAPHDKVYVKSYRNLSATTWTSGVIICRLGKTLYSVRVNDNTTWKRHANQLRRRESPPAAKTIPMDLIEGKNFEEPKLSQDVHTQQLSPPRATPATTPIQSPAVVPRRSNRPSRPPAQVSALL >CRE08795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:717032:717707:1 gene:WBGene00056729 transcript:CRE08795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08795 MPTRVFMDITADGAPLGKLVFQLNTEKCPKTCENFVKLCTGECGFGYKNCVFFRVVPTFCACSGDFETQNARRDGGKSTFETKYFEDENFEILHDKKGILGMDNYGWENTNSSRFYVTFRETPWMNNFHVAFGELVEGFDVLDSIEKLGILEGNGPQQGRTKEKIVISDCGLYEK >CRE09213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:719484:720054:-1 gene:WBGene00056730 transcript:CRE09213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09213 MSADFSGALPNSSYVPKTALKNPNKFQQRTTGKWKRSIEESHPGANPIKRASTQNSGEVEGQSSGDNATSGTLHDPAQARKDYLESKASGVELPSEESAKLDPKFAFGESTLIIHMCTKCRTTSRILDCIDMGNGDKGLVINLCTICRALFNTQRRIKFFQHELACIKRRQIQ >CRE08796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:721308:726483:1 gene:WBGene00056731 transcript:CRE08796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08796 MSDSFDEFPLGATNCTHAEAMALCHNPADCSFDDFPQNATKLSRVDALALCTPQNASTPLPIDDFGPPSKRMKMTRPSDIGTPLMTSTPIKNSESTLRKLPNQYGAGRPWKESDNPFTYISPNHFPTPKELRFFTKSVRMSKENKLTRKNAAFYALDTIRIKFNALDDIKNPALLHKHVAKCVDIFIRKQIQAAGGDLETTPYWLQSNTDNSSRMLKVALIEFLLVKTPQAMLVIFNSLFLLDYYLLIAPLSTEFLKALDSANASASFIIYCVISS >CRE08797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:727478:732205:1 gene:WBGene00056732 transcript:CRE08797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08797 MMMIAFCNFNNVVMKLIEEMFDRITGSEKPYFKAFYKRIYAYLKLYLSSMANCLVVEIAFCRVMALYTTNSVKWNGRKYSLVISSVLWITVGLLCSAIIPMYSVTKYNPEEYSFTISNSYLEDECITFRSTLLFFGIISVFVPCILNFIFFLMIIYKLKSLEESRRKTMRSTKSSNIDNSSRMLKAILIMFLIVNMPQVMILVFHSIYMLDYYLFYSGFHVYFLIFFTVLDFFTNTFFIIVLSQKELRNSGINLAMIMIAFCNSSNVIVKLINAIFERVDGYEKTYVEAVYNRIENYVEVYLTAISDFLVVEMAFCRVMALYTRDCDKWRGRKYTLVISTVLWIFVGITSGLIIPMTVVKKSEYSERYLMTISDSYIENKCIIFRSTVLFFGVGFGVSGGCTLASYVMFVLKHEYIKQGEVGYVPEEGYGGGNNSVVALKYIQWLEKKNPGLKLKYKLRGGEHCIDANGRKYYLDAYNPETREIYEIYGCLYHGCSKCHPIGEKFSPVNKNRRMGSLLAETLRREGELRAEGYTVHTKWECEIRKEMANDPEMHKFFKLCRYTHRLIPREALYGGRTQAFRSITQATTTSLLNYLDFTSLYPYLNAGGTAYPFVLNFQNLKNLYRGLVYCDVLPEPNAEIGFLPQKISQKLMFVLCRTCGESQNISTPCTHTKVSERYLTGVWCTDELNYAISKGYKVLRYHEIWHWDRWVAGGFFADYIKPLLKMKHESSGWPRPDMTDDEKDAYIKKIWDMDGVQLDPTKIKVNKAMRSLAKLFLNSAWGKFAQNPDKVETKLIRLADAVGMTKFLNDPKYEPVNMIPFGTKKYFLSRRPKKEALLPGGFTNLAIAAQTTSAARLRLTQAMEKAGIENMIYCDTDSVIYKENVGENKLESMRGEQLGFLTDEIPAGRKLKEVVVMAPKMYALRMEDQQGTSSYSVKAKGVSLTSKNSEAISFNTMKETMKDFISEGISEPLVAKMMTFKRGDNALDGLWTCVTDKRVNPKMDKGHYDIHGVVTPFGQLPTNTLLIDDYPFYDQ >CRE09216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:737230:739192:-1 gene:WBGene00056733 transcript:CRE09216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09216 MTEESDNLLLFKLFVPNELLHVFDEKKQFSADFKRTKAAIYGGSYEEFMKDLYLFTFNVDSRVKPGSKDKEFEDIPITFKRGETTFAYKRDLCQFLTWRRCGKKQLEMSDHIIRTVFELFWKSMESRLKESFELCEFSMEDITSISNLMKENENNVERKYQIKDQTLGEVFIHLKNMIPVPHDEALMANLERTLSEDVRRCAVKKRIKYYQNILNYASSSIKVMQKFHADRPLLFLPRPPLHPEWHSVYLRVFEENGSKFMLVQEVLQMIKAERWDVKELEEKLRRIIRVEFPIKKGYSTVNYETVYSKLQHLENIEFVPITPRRTKTRALLIPAFSGMYCMLTSDLLIEILRFVISVRSIFQKMTNERWRVFKEVFWKCVCDDDHFKICKKVPQFTKVEEVYVIRDHLGKFFCIFYPEWFANAVEIRPVDGNFSLEDLKSELKHLRVTNFFTNIEEHAEVAYNLVVKNKKKEKLRTCDMYDAVEHCQMIALLKLLPNRHRWLHNQHACYRLPVECPWCPKPDQ >CRE08798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:741742:743175:1 gene:WBGene00056734 transcript:CRE08798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08798 MKFLSLPTLIQREIFLNFSIPKLLILSFCSKRLKYLIQSIQKYRLKKIHTINYSFGLKDTFFVLGYGYSTSILTMQLTFKPLSEKLIIPMENLNFLAQKCSSYFSLNKSSKWCQETMYFYDKNQKEVIAQGIHYYLYRLFGPSVNYQVVSDFYELPPRLENINRSDVILKYLKEEKHELEAYFNATQNQEYVHLKGDLSSRLIPNSVVYGTKQMKINCCGSYGDEVLFRFRGESLFLKDTMFRNSTIITFLNEWKSNRGFKNIKYLSIVCCDQKYLDTAVIEKNVEIKQLSQSEKMLVLTWKERTMVCMSQDDLSVDRSIMSRSYLIRDFDGEGASIEIYPTHFVFAVWSATENPHATEHLKTSG >CRE08799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:743751:744794:1 gene:WBGene00056735 transcript:CRE08799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08799 MKFSHWVNIARFVAKLGFVTTSFSSTLFLILTIFYVKEKVGSYRYLLLLLPISGFFFVSFEYLIDPVRSHHDTDTQTDIFQFFHSYKEGFTLFRMSSFENLSLSINTFILACYVALYGSTISVLALQFTYRYWAIFRPRYIRMFFDGPRFIFPVLYTTLGGFSWWFASFFFSRLDDYSSKYLEKDVFESYGVILSEQPALVLVAYDGEGNLRIKNSLGLLIMALFLGVQYAIIIYLAIVMALKMQLKLSCLSKRVQLMHRQFYHALVLQITAPTVTLFFPVFILYFVPYVSIEISFPTGTCLSAFALYPAMDICIMIYIASYYNKALKGLRL >CRE09218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:748374:750609:-1 gene:WBGene00056736 transcript:CRE09218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09218 MHVNSLLFLLSIFGTCAFGKNILIINPIFGFSHVKFMTQIADVIADHGHNVTLFQPFHIPLNNIDGLVKNKNIEILNYYPDHYDELLNTETRTFPMFWDSHFMNNPVLQSFMMPRMLLKELQRTDEQILLDTNLHETLRNKKFDVAIAETFEISGFYLAHFLDLPCIPVMSAVRLQIYNELFGQPSGTGYIPQIGSKRAPEAGFLDRLNDVYRKFFDKIGMENMAQLQNNNFEKTLGRPLPNWKHLISKSPVYITNSNPYLDFAVPTTATIVHAGGITIDLKKLKNVGPLPEEYEQILQERESTVLISFGSVIRSFQMPENFKAGIIQMFESLPDVTFIWKYEKDDVEFQKRLPKNVHLKKWVPQPALLADERVKVFVTHGGLGSTMEVAYTGKPALMIPIFGDQPQNAYMLERHGGAIAYDKFDLQDGDKLTKIMKDMVTNPKYNENAHALLEVLSNQPIDPKMNLMKHLEFAIKFPKLRSQVPAISHVGIIAHYYLDVVAFLTFVAVVLAYCTFKLLRKIPVKLAAKKTKSD >CRE09220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:754663:755759:-1 gene:WBGene00056739 transcript:CRE09220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09220 MNMSYCTESNYLDTSEFQSSFLHFFGFIAIPVHILGAYCILFKTPPAMNSVKWVLLNFHLWSCFLDFALSWLTTPYVIFPALAGYPLGVLKTLGIGVGEQIFFIMATVGVLLVAMVLIFETRLLVLFGFQHWWRRIRIPWFILDHIVAIVYFIPLYNRIPDQSILKDIIILRVKCVPVYVDLDSMYLFSNVKVVPTTVVSLIMAFYMFQVLIFTFLTAWFLNKQMKRSISENTIKMQKKFLIALVLQMVIPALILVMPAGYLVFSAWCNFHFQYFNNICLIIISSHGFSTTIAMLLIHTPYRKFLLGGSRFRTRFRSEKNNSVRDMMTTGVTRI >CRE08800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:757608:758148:1 gene:WBGene00056740 transcript:CRE08800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08800 MFIKLSLLCFLAYVSCQEGNGTNQNDIGWTQAVAVSGKLTCNGKAASNIKLKLYENEIIIDHLMAEGHSDSNGEFKVSGSKSEITTIDPKLNVYHKCNYNGLCYKKFTIKIPKDYVNKGKEAERTYDVGTLNLANKYPGESTDCIN >CRE09222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:758303:759947:-1 gene:WBGene00056741 transcript:CRE09222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-55 description:CRE-NHR-55 protein [Source:UniProtKB/TrEMBL;Acc:E3LHL1] MNSPGSSSYSSFSSSPDSSIVSLRNCQVCGQLAHGKHFGAVTCRACAAFFRRCGTANNFKPCRRGNSCEFLKNGWFNCKPCRLQKCWDVGMTSDNFQFDRDTFCPKKARAALETFHNQVPESMGTFLGRSNLILFCAPQFDQENDKKCFIDVQYLVDEASEVLRKGSEIPLRAPNSLEKLALGLHAVRGYPRRGMKIITKIGKDETLALWQHDMLKVAKWLTYFDEFGQLPHNLQVDMLNGMWKVWSRLENLAVTAMGRRQKLCNEREIMAFVEKEQVKCNLLKVEIDLTWCSRYTVDELKFFGDPETDDRTEDLIQAMMELNPSDVELSYMMCQLCLHYVGKRYQGVMLEIAEGFQESLSNNLHDYYVNRVQMPQYSMRLANMMKINNHLQRDFYRGRVKGELAKVFDVFYIEFSHPEAFLDM >CRE09223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:760945:762491:-1 gene:WBGene00056743 transcript:CRE09223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-99 description:CRE-NHR-99 protein [Source:UniProtKB/TrEMBL;Acc:E3LHL2] MLISNSLSSTSSDSSIASPFSCQVCGKLGAGKHLGAYTCRACATFFRRYSGTKKLKPCKKKNDCDFMRNGYFNCKKCRLQRCFNIGMKSEFRKSIVVIDSAKMFISTDTNPVQPVVKFTTSRSPSPLLIIPPTMDTFLGRSNLIIFCAPKESSDTNYKQFIDVRFLVDSAANVLTSGLETPVFASNSLEKLALGLGNIHNSPAKFQTKFIEKIGKDEFLALWQDDMLKIAKWLTYFDEFQQLPLQLQIQILKGIWRVWSRLDRLVTTMIGRKRDICQDNMLMLDLEDNPVVFNLKEVKIDLSWQSRYNVEELRLFGYRYLDEKTEDLIQEMINLELTDVEISFMMCQLCFYYVGKRFQGVILDVVERFQEILSNDLHEYYTNNSRAQKYSVRITSMMRINNQIQKGIYERRAKADLMRIFDVFYVEYSDPEMFVDA >CRE09225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:766528:768346:-1 gene:WBGene00056744 transcript:CRE09225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09225 MPRFRILILASPPEMFNVYTCLALLRLLPFKPFIIPRHHSFFSLYFSSPYLFVFCLTKVFPKHFLISPAMNDPSSSHSSPPDLSIVPVKNCQICGKEGHGKHFGAITCRACAAFFRRFCLKNNFKACKKNNKCSAPTNGWFNCKSCRLRRCKDIGMTSHNFQYDRDSFQHQNSKPNKIQKTIPPTMNTFLGRPNLIIYTAPHPDSFDEQSKYMIDMHFLIDKATEILKKGSETPIYAPNNLEKLALGLQQVRGTSGKKAKILQKLGKEEVFALWEDDMLKVAKWLTYFDEFQRLSSKLQMDMLKGVWHVFGRLEKLATTAIARREKVCGENMLMAYVKEDLVFCDYKNIEIDLSWCSRYTFEQLKFFDSIDHEKQLDILVQAILDLQPTDVELSYMLCQLCFHQVGKKYQGELLEVTDRFQDILSNHLHDYYVNRLNQSKYSMRISNMMKINNAIEQCIYRDKVKSELMKVFDVFHVKCSHPDLFSNA >CRE09227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:769728:771222:-1 gene:WBGene00056745 transcript:CRE09227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09227 MNTPSSSNSSQPDLPIASISNCQVCGADSHGNHFGAVTCRACAAFFRRFGIKNNLKPCKNDNKCKTPSHGWFTCKSCRLKRCKDLGMTIRNFQFDRDPYRTTSEKKTLELLKNNPPTMDKFFGRYNLIVCHAKEPNDGFKYYVDLQYLLDKAKIVLEKGSETPIHAPNSLEKLALGLQQTCWPETKQKKVVTKFGRDEMFALWESEILKVAKWLTYFDDFQKIPSMIQLEIVKGVWNVWSRLERAATNAIARRDKVCNDEEMIAYVGKELLFADMRNIHIDLSWCTNYTPEQMKFFESHDYKTESDHLIRLMQDLQPSDVEISYMLCQLCFHQIGKKHPGIILQLTEQFQETLSNHLHDYYVNRLNSPKYADRIMNMMKVNNTIQQYIYRDKVKSELKKVFDVYFVKYSHPDLIMNS >CRE09228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:772225:773352:-1 gene:WBGene00056746 transcript:CRE09228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09228 MFENLERKIPSSMSTFTGRPNLIIYCAPKDEKSDKKLIDVQFLIEKASDILLNGSECPLFASNSLGKLTLGLQNLRKKPDLSKTRYITKVGQEETFQLYQYDVMKVAKWLTYFDEFQKLRHSLKVRFCSSFILLLTVLQMDMLKGFWIIWSRLEKLATVAAARREGICKENQVMLEIEDDQIMVDTNKLEIDLSWCSRYTFEQLKFFGEPEPNRINYMAATMAHLKPTDVELTFMLCQLCLHHVGKRYQGEILEVSERLQESLSNDLHDYYANQMNMRKYSDRLASMMKVNNLVQQGILQRRAKVEFMKIFDVFYVEYSDPEMFVDY >CRE09229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:777916:779117:-1 gene:WBGene00056748 transcript:CRE09229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09229 MEEWIQMLYDDREMCSKPGPIGYSVSLTTAHIIAAPIYAVAFYTLYAEKSPNFKIYKRYLAVHAICNIIFEFHLSVVLRPVIYLPYPIVRFTGIFMLRYINGSLTFFIFVLFIFGICWSIVELFHYRFKLIVGSSLTSEWIKKTARIAAVTRWTLAVLTLVTVFTIPLCVIGMFDQTMHKMRFSQVRGFQNPQTEHRKLQIINQYPEILCMSALTLPKTADAGLKPIHLFNLSAFFFIAVGFFLSGFMGLTSYLALQRMVAQTRASMKTVAMHKAFLISLFSQVCVHGVMLGFPVFIYIIAAIFNFDGNEVAYVAIVMASLHGTMSTLAMILLNRPLYELFMTKIWRVFTPNMVFIRDQSSFISTGVSR >CRE08801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:779920:781123:1 gene:WBGene00056749 transcript:CRE08801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08801 MTTGFPLLRLPYLVLMPVLEQMEIIERVALSVLSKRARMFVKLLKMKSMRIYLVLKYDTIEMQVYFDNSKQFQLEMYIGGYLELRYRNDVFLCNTLGLPPMDYVIWIMDVMHCKSIEQFTITKISDCDIFPLLVNLPKVDEVVVNGGLSHFFSVEDRLLKVLRIVLPVSSAVTISYHFRNRKYLREILKGNFDAVIVRILERRLSYRDMRSSLNDLRITNAKALVLQDLIPNKKDLNRFFKLWMKKSCNPRLEYLQVRISGKDNKDIFLEGLNAVQVSLETKRIFPVLGNVKQLSFNEKVTAEFDITRADGRTATIKFGKVGGTDYIYFYVWPGSTNDTSLPESLFMCMLSFLSDFYFSYIEEFDGCKVFIFCILCFLVFLFFS >CRE08803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:783604:785747:1 gene:WBGene00056750 transcript:CRE08803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08803 MTTGFPLLRLPYLVLMPVLEQMEFMERIALSILSKRTRMFLKLLKMKCMHINLIFKYDTIEMQVFFDTWKELKLEMFMGGYVELRYRNDVFLCNTLGLPPMDYVLWIMDVLHCKSIKEFKIERISQCDILPLLVNLPKVDEVVVSDNLSDVPVEKRLLKVLNIVTTSQSTALSTFVKKYQNELSKLKNATLPIPKQDIGQIVEVIDLLDSKIQTLEATTIKLSEQIEKIGDEEDANVKNYEEKLPLLIQLNQDAINLRDSYHAVLKRIRSENVEPVDNKQNIKEFSQRRPSMEMERQVSNLPPVKLPVFSGKRWEFQKFWSLYEEIIHKAEISNILKFTHLLNHLQGGAKELLDQFQITPENYDIAVKLLKNKYADTETTILELNEKVRKDCAKDSSTREQRLLFERLMVAIKQLERLQEPVDNRMMKELIMEKFNDKIRRATFKKKIASSEDWTISKMFTDIEENITLEEDLELLMKGKNEPKEKADNPKKDRNQSNKSDRSEKQKKTRLSLPLS >CRE08805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:789167:790450:1 gene:WBGene00056751 transcript:CRE08805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08805 MFIFPRGHSRRVVVPSRGLSSYRATIYSWFIVILSPICRVSRSPSTVPDSDIFSLIPHSYFFLLYLEMTTGFPLFRRPDYFDSLDLELRADNSFKTFYLNDLWVTNAKMFELHDVTIKLKDLNRFFKLWMKRMCNPRLEHFQVRIPDKASKDIILEGLNAVQVSLETKRAFPVLRKVEQLTEERITAEFDITRADGRTATVRFGKGYRSDYISFYIWPGSTYDTSLLESLVMSMVPYFPDFYDIEDFNSLNNSYGSTDGETKEAYKHIMSKLETKVQR >CRE09230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:791024:791403:-1 gene:WBGene00056752 transcript:CRE09230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09230 MAAAAVEKIKSEMSNAGLSSGAIDGILKIAATYKPKEGEKPDMAQAMVTLGKLFAELETFIKTQPESDQTIYHNIIEKKKSELAALIKK >CRE08806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:792450:794147:1 gene:WBGene00056753 transcript:CRE08806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08806 MNSSHSIWNENPVVYLTIKQFYSASATVIYPFAHYCVLTKSPKNFGLLKWVIYLHCFWITVEWLSNAFLIDIIDFQPSVMIRVDGYLNKIFDAVFLYKVYIFIEAISSTSALILFTSRLLMIVNMYRSFISCRRITCEAFIYLIVMTFGLWSIPTTIWQIPDQQSAKMKIMESDQFYPDCLWSPTSIAVSGTDSESEDIVSIITLVNWVSIGISILVSAKVAFLMLAKRMVNESEATKKMHKKFNQRTIFQALLYLSFACIPFSVLYITILFNVRIPGLTYFIDFFSENHPTACAVSLFLYYDPYQNYLLETVRWKKRVHPDKQSTMMGDKSTFVILRN >CRE08807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:794375:796090:1 gene:WBGene00056754 transcript:CRE08807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08807 MFPAQSPKFELLCAAMLGAGQLFMNTAFDAEAFILESVIHSVHERDPERIDQYAGYYGQSVIYGSFMISCLFTPSLLNIWTPKTLLVISSFCFAAFPFGFLFINSYYHYFSTVVLGIGKAFFNLGCTTYLTSHSTRKSIESNVSLQWAIGCGSLIVGSMILGTMTWFSGDARKEILMNVTEVVLKSDTREFSDLEIRLLSLVLIVVSILAVIIVCFLPSKDVENCIESSVKNGSFKKDLKLTFTTSISLKMLQIVPLCMLCGFNASFLMSIIPTSMHFNKNNAKMLYIPAIYSLGAGTGEVLMGFVIAESSRRIKGFGLKPTMVIGTVTLSIYCVLIHASTPFEAPMKPTSEEPMLFYQSYPLIYLIALICGISDCCFNGVRSVICALVMPSRRAQSFSVSRMYQAAACVIIFFFSPIIPLYVYTCGLPVLAVFSTFVFFKIVDSTDRMERKLTSQTWLEQDLKSTLEKKVDQKL >CRE08808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:796447:797812:1 gene:WBGene00056755 transcript:CRE08808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08808 MTYINLRNSGFLEFSHKLPRNMSTVVYSKSIWNENPIFYFIFKRAYFVMITPLYSLAHYCVLRRSPKNFESLKWFLYFHVFCTTIEWFCAWFLIDIYDFEPSIVLRIDGVLRYFVDSVLLFRVFSVVEDVSSISALFLFCNRLIIIVNMARRQKSVLRQFSELLLYSFAFVFCLWSLPFTLSAVPENQQLAKKIIIETFQTEQYYPDCPMEPNAVVVSSPVTESENFAAEIEIASWVVIGLAIIVSAKISYSFLERRTKNNMSEVTKKMNKKFSQRTVFQALIYVTFAAVPYTILYLSILFRIRIYELAYLIDFFSENHPTACIVSIFLFYDPYQQFLLKILKFRFAKKNASKLSSEFSGQDRTTITKI >CRE09231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:797864:800095:-1 gene:WBGene00056756 transcript:CRE09231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09231 MNQRRFELLCAALLGFGQLCIMTGFDSESFILESVIHSIHEREPERISSYAGYYGQAVIYAFYMVGCLFSPSILAVSTPKINLVISAIFFTAFPLGFLFTNSYYYYLSSALLGIGFALFYQGQGGYLTSHSTRDTIESNVSLSWSVGCCCMILGSCIMAVITKLSSDQTDMVLEALNTTSEPHKMERRFSEMEISLLFTAFTGISVIGIVTFFLMPSKDVEDCIESSTEKKESFMSAFKLTCSTMVSSKMVQLIPLFSLCGLNTSFWLSIFPTAMSFTTHNSNLIYLAAVYSFAVGAGEVLMGILISFLSKRIKNFGQKPTMTIGTVCVLIYCALIHLSTVTEAPMRPTHKEPILFHHSYLLALIIGLICGIGDCCINSVRSVICALAMPKRRSQAFSVSKFFQALASCILFFLSPITPLYIYTIGLPVLSIIAAVLFFSIAKRTQKMERKMTEGTRNAAEIGKKLNL >CRE09233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:803248:805047:-1 gene:WBGene00056758 transcript:CRE09233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09233 MDSPKSSISSETTTRRFELLCIMMLTFGQLCIMTGYDSQSFILESVIHSIHEKEPERISPYAGYYGQAVCYLAYVTACLFSPSFLYATSAKTTLLISSICFTSFPLGFLFTNSYYYYFSSALNGIGFAFYYTGNGGYITSHSTRQTIESNVSLSWSLGCCCMIVGSIIMAIITSVTQEAAPEILDVLNATMGASVSGHGIERRFGDSEIYLLFSVFAAISSLAIFTFMLLPSQDVSNCIEPSEKLVSFKNGMKLMADTLTSSKMFKLAPTFLLTGIHTSFWLSIFPTTLTFNMHNSKLIYLPAIYGFGIGVGETIMGLIISTLSKRIKDFGLKPTMLIGCVLTTIFCFVAFLSTPFNATVTPSHEDPLLFQPNRVTVFLVAMIGGMSDCCLCSVRSVICALAMPKRRAQAFSVSKIYQSLGSCVIFFISPFLNLYHYVFGIPILCLLAGIFFFRQAGQTQVMERKLTQ >CRE08809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:806240:806953:1 gene:WBGene00056759 transcript:CRE08809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08809 MKSSCLLIFLCSLLPGILGAAIREKRQSNQKQFVDELNNVRKQLAVAGNISNMWKLEWSDELVEKLHSLNVDNCMGMAPAYNYRFFYDGGNRDAMEYENGWVKFFASNANNKEVLKKALDELTPRTAFFLEKIHPAQSKVGCIPHNCKFDIDMADRYPAYTYHLKYKYICLIGPYGQFSRDDGTGKPGTRCGPFGQNEDGLCVESV >CRE09234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:807019:808468:-1 gene:WBGene00056760 transcript:CRE09234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lipl-5 description:Lipase [Source:UniProtKB/TrEMBL;Acc:E3LHN2] MWRLAVFLAAILVRDVVGKGDPELKMTTPQIIERWGYPAMIYTVTTDDGYILEMHRIPFGKTNVTWPNGKRPVVFMQHGLLCASSDWVMNLPDQSAGFLFADAGFDVWLGNMRGNTYSMKHKDLKPSHSAFWDWSWDEMATYDLNAMINHVLEVTGQESVYYMGHSQGTLTMFSHLSKDDGSFAKKIKKFFALAPIGSVKHIKGFLAFFANYFSLEFDGWFDIFGAGEFLPNNWAMKLAAKDICGGLQIESDLCDNVLFLIAGPESDQWNQTRVPVYATHDPAGTSTQNIVHWMQMVHHGGVPAYDWGTKTNKKKYGQANPPEYDYTAIKGTDIYLYWSDADWLADKIDVTDYLLTRLDPAVITQNNHLPDYNHLDFTWGLRAPNDIYHPAIDICTKDYLGN >CRE09235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:809251:811001:-1 gene:WBGene00056761 transcript:CRE09235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09235 MSDGGAAAFPTATTGSWLTRMSPRAFELLCVVMLGFGHLCIMTGCDSQAFILESVIHSIHEREPDRINSHAGYYGQATCYLAFVITCLVSPSFLYATSAKTTLFIASVCFTSFPLGFLYTNQYYYFFSAALNGVGFALYYTGNGGYLTSHSTRKTIESNVSISWAIGSSCMIVGAGIIALITYFTAGAGGAGAAAVSMDLVNTTVNVTSQHFERRFSNTEIYLLFSVFAAISFIGNVTFLLMPSSDIDNCIESSKKIVAFRDGIRLMYRAFRSPKMIILIPTFVLTGVHTSFWVSIYPTTLTFNSHLASMIYLPAIYSLGVGLGETIMGMLISFCSKRIKNFGMRPTMFIGCFLTCVYCGLIVITTPPTAPMAPTSEKPWLFQPTRTLVFVIALIGGMSDCCLCSVRSVICALAMPNRRDQAFSVSKFYQSIGTCVIFFISPFLNIYFYTIGIPILCVIASFCFFFETRRIKQMEKSLTNMELDQAQQRKKSSKYETLDEEF >CRE08810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:812536:818504:1 gene:WBGene00056762 transcript:CRE08810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mltn-8 description:CRE-MLTN-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LHN4] MRRFKVIRWFFGFSVLTCVGGKLRLESSSNDTATSYNFPWSENVPKLEFTTSKPRNLSNFVKEEVRKGRIPKQLIPFVNLTAVEELKKEHNDTLDFIIRDEDVADIPDDDDVEHRKVNTLTHDGNKTIITVSDEARDDLYKHWMDQSLSGLMGAVVTNVINKRKMTRAEKKQHYTCIHASKNVTAHAKCVVTVLDQLKKRNEKLKMYSNRSVRFNSHRKRSRVDNDAFKTALNHYDEYVKSGGEEFRVKRGSGFTLFDEMENKRQAAIRNNVQTKKSYTIRENKSLSPLALIARKLTELVRAGKNKKEPPKRWQDVIQDIKEESTRIKGKKRNKERMKRKFSKFVNTMRQTGLNPDKAMQSIGMEDLFADEPILSEKEQDAKDAREMMATMSPDDKILNEPIKLIRQAIKIGMMAAGKKEDANSLDDKKIALLSPQFMSILPDEVANDTVSLLSPSILSLHGEGSQTDREISLTKALKLMEDTGQEEWMNFVLEASGVTETVDRLRKAEKEEEDKERMRDFTDKDGKPLYFSKENATQIYGEYEAGKLDLLDGFYKSLSAEQMQSMNKTGYTVMDDKQLEAIYGPNSPFNNSEALEKFRGIPPESMPDRIEENIRLIANEEMKFEVSRKKDLVLMPVLLTSFVGVPEIASQSIILSPLLLAPLIFSPSIYGNVILSPWVFVPVLVSPRILGAVVLSPIVFSPVILSPLCLVPVILSPGIGLPFVLSPFVLTPFILSPVALTPIILSPFALSPFIGVPNLLTPIILSPFVLSPLILSPPYVSAFVLNPYALSPAVMSNGALFTAVLSPSWLSTL >CRE08813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:824094:824995:1 gene:WBGene00056763 transcript:CRE08813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08813 MPRRSNRVAEVSTAETASTRVTRSATRVPRLANEKNAELEKIIKEVDQTKRQLAAEKKKNETLAEVQSREVADVNSRIADARMRRDREVAQNRADLDRMTADHDNDAKALKQINVRVKALTDEADRNAVLKAEKKAIADLRKKNVGISKQLISREETKNGAPLPWRTCEICLQVYTQTGSRTPRILTCGHTLCLSCCRQVSEYAGQYLRCPFDRAITQVNGTQGENLSKNNLVLNM >CRE09237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:832192:835620:-1 gene:WBGene00056764 transcript:CRE09237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mltn-7 description:CRE-MLTN-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LHP0] MVFSWFNGIPVAVFTLFLVDFGVEGSIISRSEATTPSPSLDSSQSTLIPNFPWLENVAKIEFTTSKPKKPNALREGLVEQVRSGKIPKELFPFVNLTKIDEMKKDHNETLDFIIRDEDVADIPDDDDVEHRKVNTLTHDGNKTIITISDEARDDLYKHWMDQSLAGLMGAVVTNVINKRKMTKSEKKEHYVCINESKNVTAHAKCVVRVYEQLHKRNEKLKMYSTLTTRVNSHRKKNRADNDAFKTTLGHYEKYVKSGAEEFRVKRASGFSIFDEMENKRQAAIRGNIQTKKSYTIRENKSLSPLAMIARKLTELVRAGKNKQEPPKRWQQVIQEIKDESTRIKGKKKNKDRMKRKFSKFVSTMKEAGINPRKALNTMGMEDLFEDDPILSEKEMDLKDRKEMMDRMSPDDKIMHEPIKLIREAIKIGMSLAGKNASEIGDKKVALLSPQFMSILPDEVANDTVSLFSPSILALHGEGTQLERQLSLTKALKLMEDTGQEEWMNFVLEASGVTETVDKMRQVEHKEEQEEMRRDYIDKDGKPLYFTKENVTEIYGEYEATKLDIIQGIYKTMSPEQMDAMNTTGYSIMDDKQLEMLYGSGSPYNDTEVLDKFRGIPKESMPSRIEENIRMIAREEMKFELSRKKDIVLSPLVLTSLVNDPVTASQSIILSPVLLAPLIFSPSIYGNVILSPWVFVPVLVSPRILGAVVLSPVVFSPAILTPLCMVPIILSPGVGLPFILSPFILSPFILSPVVMAPLVLSPFALSPFIGIPNALTPLILSPFVLSPLVLSPPFVSAFVLNPYALSPAVMSNGAVFTAVLSPSWLSTL >CRE09238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:835938:837726:-1 gene:WBGene00056765 transcript:CRE09238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09238 MKPENADKKFRTFPSKSNFGRPPPMPQMTDGNKFQQMATFHKQQEKSGDFYANRKIHFSGTPRMQYREGGKFYKPPRDETEKSGKFGNKKVGKKFEKKGAPEEKTDVKKGKKTKKVKKMKGDPVKGTSTEYDQLTNSDEDDDDVADVSDMIAKDSDEEDEKDAEIPLINTARKSTTKSVASKRFTALGDYEDVDEPEDEDEDDAPPPKKQKKSNGDSDDSDDEDAPEEVEEDEEEEDDEDERLKFREEISQMPLGKVREMKEKLGIKLFNKTYFGTSEVDKKRQEEKKKLKSEAVNGEKSGGQHRPKEMSSKRPVSTFRNIYGDHEKGTKKKRWDPRFDARAGDFKEVCFENNYQFLDDIRSGEMQDLRNEYSTARAEGDEKKAARLKNTIQKMETSEKTRAEKRRQAETRKELHDDNIDRMLRGEAPIFRTKAQVRRIDAEKKYEELKKDNKLDKYLQRKAKKESAKQKKARPFEGYGFQN >CRE09239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:838150:841803:-1 gene:WBGene00056766 transcript:CRE09239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-9 description:CRE-ATG-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LHP2] MFSSQSKRSYQQIDDDYDDEVLRNSTCTSRFMQGWGSSTRSILFGGGGTNEEQRGLMSGSTSQHSYHDSPVEAPPEVHYEQFTATQHHGPPTMASSSQMHSRRWDHVLNLDEFFTHIYEYHQNGGYLCIVLQKAFSLLQFVFVMSFTTFFTQCVNYEFLFANTNVTSHGTVNQGKRHFGDAVVDNCAGHLSIWMIFAILAAVVYWVTRVVKHAQYIMKMTEIQQFYVQELKIQDDQLPNLTWHAIVKRICEAQKKLRLSIHQDNITSIYIYHRILRYKNYMTGMINKRILHPVFEVPFLGPIAYLPNNLKHEIERILFTSSTSAWTNGPNLRDEYKHHEHLEMAAKKMKEDCKFYGFLSLILMPLLLPFQMMESFFSLTELIKRRPDGLGMRRYSNYGRYLVRHFNELDHELSARLNRSHIYAAAYMDQFFSPVLEIVAKNITFAAAAIVGILTILSAWDEDVLQVEHVITVITVCGIIILICRGMIPDENLVWQPEILMTHVTSELHYLPSTWKGKAHTAGVRHEFDQLFQMKWMFFVLELTSPIFTPFVLLFWMRPRCTQLANFFHDYTERVDGLGDVCSFAVMDVGKHGDPKWNHIKELKAIDEDSEEQPGPAVVTSHNRARDGKTELSVLHFKTTNPEWQPPKASERFLRKFRNRLGQEASMLAPLGSMHLGQHLERQDSQQPTRNILLESVHSIIPTTSGGVSASQIAPGRHPLIGEGLHRIDGPLENAFQGITGKQSGGVLASLYQEQPRAAESLNNSLRASGVDIDGAGAEMRINALFLRGLHDESVIQSSSRHYGNATSSFNMHPTAMQSVFAMPDGFGQLPEMESSLINVETPTYRRESTQRSVAEEPVDVHEVPQPLADLSDLPGTSSAPLIDHPQRSTRRAPPDTHHQQINEVDEEDEDEDNTPPLSFST >CRE09240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:843736:847175:-1 gene:WBGene00056767 transcript:CRE09240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09240 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3LHP3] MTTDPMDRIMTTMTSGKSRSGTSSSSTRTPKRDHRSPTNDEPSSKRSAISFTTPSSTTRIGRDSFAVMDSIPVQLNMFTLNLSNMSPKIERLHVDTIIIGSNGTDINLNDGLVAVSGDVNSHNRRLSQHKLMRKFYEKKSHIFGGKSYHSLAYDCAATLYIPSGIYIGADEEEALLSKDDFSPEDWIDVSRICRRKDDKFLVRIKPAGFVETRGVTSLETANRMELTRCVEIVTSQKLNSSDFYQFGNSTFPLRAQVKSSSDATYEIRPGFAKVARVVEGREGVNEMFMTIDTKLSPFYKSSSVLKFVAGKYAESRGGGGGFGGGGRGRGGFRGRGGGRGGYDSGRDSSRDSRGSYGGGRRDSRDSYDRRSDSRDSRHGGRDYRDSSRGDRRDSYDSRRNSGGDSNGVDYNQSDVVEVEKALKNGGSLLNYIEQALKGIFAEAIHLKNSSKQIRITGLLKSSAEDAIFTKKDGDRESEISVADYFFQEYNIKLKFPHLPLVISKRLRHESFYPMEVLRIIPGQRIKAHKMTPTIQMEMTGNKSSMPKDHVNLVQEILWNSLKLQKNAYMDAFGIEMESTKPVHLKAKLLPPAMIKFKNQAFMPEMGLPAFRNPGGFIDPARLHRVAIVVFDRAIEMRQAEDFCDRLYDYCRSNGIEVESKSKDWSIREMNSEDNVSIKEVMERWLKKGVDIVVGICKEKKPDVHDVLKYYEESIGMQTIQLCSQTVNKMMQGQGGRTTIDNVMRKFNLKCGGTNFFVEIPTTVRGRAVCSNSETLSKKLLDKVQFIGFEISHGSSRTLYDRSRNQMDGEPSIVGVSYSLTESTQLGGFSYMQTQREYKIQKLEEAIPTCVKAYKKHSRHLPSRIVIYRVGAGEGDFKRVKQEVDEIRSSFGKIEDGYDPQLVVIVAQKTSHARIFPASIEGFKASEQNVPSGTCIDNVVTSFGYDEFILSSQSPLIGTVRPCKYTILVNDPEWSKNELIHLTYFRAFGHQVSYQPPSVPDVLYAAENLAKRGKNNYKVHQRYVSVQDIERRIIDENPDLISEEMRDQLASAIVDDMSNSMNQMTISRRNFWA >CRE08815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:856028:858060:1 gene:WBGene00056768 transcript:CRE08815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmd-8 MSSSSSSSSSLSNASSLNPPTGGNSPNISVSPDGGAQRFFSQGKRIPKDVKRHCGMCKQHGVIVETRGHTCEYKNCSCEHCELVRKRREIMSTQIRLRREQDKKFQRTTDINEANVFPTFTGKEPEEKPSIENMNMCYFCQKCKNHNVLVWKKNHKKECQYKDCDCEQCNLIDSRRALDRHIKKRKMNMKENGVGATAPKSPKKDHESSASNSTSSTSLSSSGCNSDESTSSDCSLSGLLSEKLKMAPQVQVKFDFSTGGFIAPSATVATSPSGSPFETPSPMPLISLPHSPAPIALPQVSLPSTTIPLPFLTVPSLISTTAPSFFASPLMYGAASNIFTNPFLMPMTPIDMQMLFQNIRNLREMTAAMTMTDTD >CRE08816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:858641:860422:1 gene:WBGene00056769 transcript:CRE08816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08816 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LHP5] MITNLFFLLFLSTVYSYNILVYSPSFAGSHTNFMARIADTLTDAGHNVTFLVPIVDETKKDQLSVKTTKDVVIVEQDEKMRSQVLPVDDDMGQYWETDITSENIDTAFTVFTDAVHLACNNFLRNKQVLEEMKSRNFDVGIYEPLSVCGLGFMHAIGIRKTITATSCVFYDAVVDAIGEPVDFSYVPGQMSASGDEMSMTEKVENYRMSTALQKLNIFIWDRETRIYNKYLGPQIPDWRDLMADSSLHFVNSVPYVDYPRLVTQKTVPIGGISVDIQSIKSSVLPKDWSDVLDKKNYNMLISFGSLVKSKDMPKNWRKSLVEAIKSEPNVTFIWKYESDEVEWAEGVENIHFSKWVPQTALLNDHRLTAFLTHGGLGSTNELAHLGKPALMIPIFADQIRNANMLARQGGAKVFRKHDLGNPTEIKKAINSIVFNQKYKENAEKLADLLMNQPTNPKEQVVKYVEFVARFGPFPEMNPRVRHHGFIENNLLDIYSVMYFPYFVVFVLVLTISMLGYSKVSVRKVKRD >CRE09241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:860693:862455:-1 gene:WBGene00056770 transcript:CRE09241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09241 MILILSFTIIFAILVFRQRLKVRRLPPGPTPLPVIGNIPQLVYQSWRHKGIVPAFDYFRKKYGNVFTLWLGPFPHISIADYETNHEVFVKNGNHYKNKVLPPIFEHFCDGFGLLFANGEIWAEMRRFTMLTFRNMGVGRNIMEERLLNEIDKRCAELNNTEVDGKIIVKHNEFFELITGSFINSILVGQRFEDHNMEEFYHMRKLINGIIEVFTIFDTTTAVWILKYFFPQRYAKSKNGWNGISDYVGQAAEKRLEDIKSGKYILDEENPKDFIDAFFIKMEKENRNGGHPAYTMKSLKYTLLDLWTAGHDTTAATLTSGFNQLVNNPEVKEKCREELMKITNNGSRPLSLNDRSQTLYLNATIAEIQRHASILNVNSWKTCDGPTTVNGYQLDSGDIITAQVSALHVNDDIFKDADKFNPDRFLENQKLFHQLIPFGIGKRSCAGENLARTNLYLMFGNLLLRYDVQPHKKLPSTTEQFPFTSSKVPDHSSKLEFVRI >CRE08817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:863819:865831:1 gene:WBGene00056771 transcript:CRE08817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08817 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LHP7] MGGFLSHLKPTNNEEVLNATCGPIRGKIYKHGERIVDGYLGIPYAKPPVGELRFKKPIAAEKWTNPLDCHEFGPGCPPAGNCHYVHDEHVVFDEEKCLSLNVFAPRWTSDEFVGSKHPKGLPVLVYIYGGGFEIGYSSGIHDYSLTGTIPLRDVVVVTINYRVGPLGFMTTGDDVARGNYGLWDQTLALQWVQNHISSFGGDCDNVTISGNSAGAMSVDLLSLSPHSNKLFHRFYAMSGSAHSTFGFRSKEDQAHVCKVFAKYHGYTGNDSQSLFQWYHSQSVELFKETAEIPRDFSGYLYSVPNFDGDFFPKPMEELRKEAPKIDAMITITEYEGLGTLIGNPTCPDPNDNLNNLKLSIADAYRPEVTENHIQVQKKLLDAYTNNVDLTDEAALARKLVEFLGDYVFNIAILDTAKSCATNGNNTYLASFDYFNTETEVDTTHGTLPFNAATHGTDLPYVFGDGGMTRFTPTEEEFKVMEIMGTYVANFVKYGNPNGKNNSDQWEKYSLQKPNRFFKINFPKSEMADNFQNGRLEVFEEIKKFDIRFQNLY >CRE08818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:868654:869807:1 gene:WBGene00056772 transcript:CRE08818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08818 MTENTDPLTQYFKNVYPYQCIPDSRFLSSKQGLLNTSRVIALLFLPIQLFTAYCILKKTPENMKSVKGSINNLNFWCMVSSIIFAFLACPYMFYPYSIGFPIGLLADWGVPTIINFYVGFIVNIVVIMSITMLFENRSSLIARNIFRIRTTTHRFIWIFLNILWFMAIILPPAFHLPDQMEAKMLVLQTCPCPTKEFFTEKLLSVAKEGFWNAYLNASGLVVFLGLTIQAVFFTCCCIFYLFISTSSHVSPQTRRLQIRAFYGVVIQTLIPILLLLVPTTIFMSSRRNDGNYDQMQNNLKIITVCFQNGATSLSILLVHHPYRSFVKSIFCKKMNKSSPTVHVVSDSFINT >CRE09242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:870135:872535:-1 gene:WBGene00056773 transcript:CRE09242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09242 MSLYEESDGILETHVTWKDVESDLQKKLGTKATFGGNKTAVNISDMKGFMSRIALVEPDWQNVEEGKELPQKFALKISSQLALIPFSKMMNYEGGEGFGAEKLAKLANLTRECHNREVEIYEQLIKFNCPDIPYTKVYSLKPFDNSDDLKAYMILEFIPNIHSFEMFQPIPIDDLHPLVRGIATFSALAQSLSPEETKLAIDRDYLEIMFKDFFNETEQAKKFETIRKLFEEDHPENSEKLIEAFKHYIKLIPRYTKISEILGFKLVLNHGDLWQSNMIYSKNKDGKLELKAMIDWQVASIMPPGLDLSRLLVGCLSAKDRRERGQELLKCYHETFTRVLGKKLFSIRELQDSYNLYTPMMGLMLVPDIYMFIDSAKLSEEEKVEARKEARPNIVAIMEDVIEIHENNLVNYPEFMRI >CRE09245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:880285:881612:-1 gene:WBGene00056774 transcript:CRE09245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09245 MGTSSKIAFCHQFLSTLVVSSVFRSKFPHSVLLGNLGLVSANGETWAEMRRFALLAFRTMGVGRDLMEERILNELNSRCAEIDADAVNGKTIVHTAEFFDITVGNVINSVLVGKRFDDQNKKEFLKLKGLLDSTAELFTIFDLTVPVWILQKVCPVRYARMIEVQEEIINYVSREAQERYEQFQKGEYTLNHEDPQDFVEAYLVKIEEEKEKGGSSMYTLECLKHVIGDLWLAGQDTTATTLVSGFNQLVNHPEIMKKCREELMKLTGNGSRPLSLKDRAESHYLNAVLAEIQRHASILNVNFWRINHEPTTVKGYTVDSGSVITAQLGALHVNNDIFKNPEKFYPERFIENEKLLNQVIPFGIGKRSCVGENIAKSELYLMIGNLLLRYDIRPHGSLPSTEDQLPYSAGKLPDKTVKLEFVKL >CRE09247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:882744:884569:-1 gene:WBGene00056775 transcript:CRE09247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09247 MLFILLISALLAWLVVRQYQKVSRLPPGPVSLPLIGNLPQIVFYLWSTGSVVSTLDLLRKRYGNIFTLWVGPIPHVSIADYDTAHEVFVKNASKYADKFHAPLFREVKKEQGVLATNGEHWQEMRRFALQTFRNMGVGKDVMEEKIMGELNARCADIDKAAVDGVTVSHAADFFDLTVGSIINSILVGKRFDENNKQEFLTIKNALDRAFELFTPFDLTVPSWVLKTFFPRRYENIMKVNDECKNFAAKEADLRYAELKAGKYLIDENNIHDFTDAFLLKIQQDGENSDFNIESLKTMIIDLWITGQETTTTTLISGFNQLLLHPEVMEKARKEVLKITKNGSRPLSLSDRSSTPYLNATIGEIQRHASILNINFWRINHEPTYMGGHPVDSGAFVTAQLSALHVNDTIFKNAETFDPERFIRDEKLLQKVIPFGLGKRSCLGESLARSELYLIFGNLLLRYNFKPHGKLSTTEVMPYSFAKRPFKLEMEFLKI >CRE08819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:885131:886651:1 gene:WBGene00056776 transcript:CRE08819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08819 MSLYAASNGILETHVTWEDIEADLQEKLCTRATFGDNKKAVNISEMKVRHFSKKNEKKEKIQGFLSRIALIEADWQGVEKGKTLPQKFVLKVIFKKKFINKKFKCFQMPSVLPTFEVTKMMNLEDGQIGEKKLKNLAIAIKECHNAEVAALKILTKLKHPDIPFTKVSIFYGGITFLKTRSRLWNFFPKKARMKSFQVYSLKPFDEENQLKGYLITEYISNAYNMCIHTSIPADDLIPAIRGLATFSALCELLPAEETQFALGRKGLELHFEEFFEGIDPCKKYEKLRDLFDEDHAEKATKVFLHYHKLLPKYTNIGETLGFKMVLNHGDLWQGNMLCSKSEDGHLKLEALIDWQTVTRVSPGLDLAKVLWGCLPAEDRRERGNELIGFYRDTFTKVYGKELFSFDELLDCYKFYVPVVAVVIVPDMMMFENFDETPEEKKEESPKEREKLIAMIEDFLVIHEDNMKRFPDFTIN >CRE08820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:887334:888810:1 gene:WBGene00056777 transcript:CRE08820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08820 MSLYKAANGILETHVTWEDVEADLQEKLCTKATFGDNKKAVNISEMKGFMSKIALIEPDWQGVEKGRDLPPKFALKKFIFSQIPSQLAMIELTKMMHFGDGNGYEEEKLKNFSVSTRQCHNTEIDVYNILIKLNHPDIPFTKVYSMKSFDDMNQLKGYLITEFVSNIHSVQMCDSIPADDLIPVIRGVATFSALCESLPAEETKFAMREECFELLFREFFDENVLAKKYANIRTIFSENHKETAEKVVNVFQLYEGLLPKYTRISEILDLKMVLNHGDLWQSNMIFSKTENGKLKLEALIDWQTVSRVSPGMDLSMLLLVCLSEKVSQKLLNLRNVSIIQDRRGREQEMLKYYYNTFTNVYGTELFSFNELLNSYYLYSPVMALMFVPDIFTFIDNAKISEEEKVEARKKGMAKIIAIMEDILDIHEYNLKHFPDFFNA >CRE09248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:888987:890709:-1 gene:WBGene00056778 transcript:CRE09248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09248 MFFVLLISTLLTWLVVRQYYKASRLPPGPISLPFIGNLPQIIYYLYTTGGVVNTLDLFRKRYGNVFTLWVGPIPHVNIADYETSHEVFVKNANKYAEKFHAPMFRELRKDIGILTTNGDHWQEMRRFALFTFRNMGVGKDMMEMRIMEELNARCADIDKAAVNGVTTTQAAEFFDLTVGSIINSILVGKRFDEHNKHEFLKIKETMDASFETFSPFDMTVPVWFLRRFFPHRFEQMLNAQETSKEFASKEAMKRIEDIKSGKYVIDENNLQDYTDAFLLKIQKDGENANFNVDCLKTMILDLWITGQETTTTTLISGFNQLLLHPKVMEKARNEIFKITENASRPLSLADRVSTPYLNAMIGEIQRHASILNVSFWKYNNEPVYMGGHFVDSGALVCAQLSALHVNETVFENPQEFDPDRYIRDEKLLQKVIPFGVGKRSCLGEALARSELYLIFGNLLLRYKFQPHGELSTKEQMPYSAGKRPFKLEMQFLKI >CRE08821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:891682:892763:1 gene:WBGene00056779 transcript:CRE08821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08821 MFEDIYFWNKHGRPDAEKDQYVNSYCHQKLRELKTQSVLLKTYHLDSGEGECSKKIQEEMDRAVFSQDIAPYVRYSVNKRKRWETEKNVDTLGELQTMYDDMDVMILERRQFRSPPYICQIFFHNYFFERFPIYRVHQLKNNMYECTSVNSVRGQKIQHFSPENRSPTKAKKIETLPKIASRPKVVYHFITPPKNYEIFLQKRFHPGNINLKWWWPLEKVDLNLKKQVEEDLYEAITYDRLMDLEWDDVHEWDEDDYEEKDNESAKKCYNLEDHIVDKWVVVKRKRSHRGSTRS >CRE08825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:897348:898442:1 gene:WBGene00056781 transcript:CRE08825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08825 MLSCSSDNNYWASPEFLSFALHTMTCISLPLHILGFYCILYQTPNQMSSVKILLLNLHVCSFTLDILITFFAVPYAIFPAMAGYGLGIIDSPGLFLYLIVTVITATSTSVVLIFENIFFVLFAEDSWWKHVRKYVLTFSYIMVPIYFLPAQFYIPEQEKARKVSLECQPKLPAHRELLVLSTDFIVPAYSIIIAEGVPAVQLNILFGLNFYYLIWAKPASNLSQNTIKLQRKLTFALFIQSYAMVLLFSVPINVVVFVIKTWYHSQAINNLVFVGLSVHGTISTLIMVFIHKPYRSFVMGPVNRLFYKTPIITVRSNDSATWFSSANGSAN >CRE08826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:899001:900082:1 gene:WBGene00056782 transcript:CRE08826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-250 description:CRE-SRH-250 protein [Source:UniProtKB/TrEMBL;Acc:E3LHR3] MKLCVTDYNYLASPDFNTLSLHLVTCISLPLHSVGFYCILYKTPPRMNSMKWLLINLHFWCFLFDIILSIFAIPYPLFPAMAGYGLGIIDSPGLLFYLIVTVITATSTSVYAILENRFYVMFAENSRWKYVRKWVLAGSYTMVPIYFLPSQFFIPEQEEARIKVWESLECQPEIPDHRELFVLSVDMIIPGYSIMIAETVPSVQVATLFVLSVYNLVFSKSTVNLSERTVKLQRQLALAIFIQVGDVTNKTRKSFLFQCFFILVLMAIPINVVVYSVKFEYQSQVINNLMFFCFSLHGTASTLIMMFIHRPYRDFILTPFRKLQHDASTFWVSSHRFSGGSVLERI >CRE08827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:901216:902071:1 gene:WBGene00056783 transcript:CRE08827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08827 MIALISVLLALLAPQANAAISGELNCTTYNGTAFVYTPAAVACSNAISDASCAVLYPATDAAIGWPGAGNDNERPLACYTTATATPAAVVPDMVSAALKNCAKTCGLCCKTDAYNCPNVQFPRLNCATITQSQCMSPTWRTIIAVDCPSSCGFCNQGGCVDAILDCANDLSICTDSRTQDFVNANCQRTCNRCASSTASSGTGTGTCSSYIADSSTACAAWSGNGFCTNTFYTVAQRRSYCATTCRLC >CRE09249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:902266:903698:-1 gene:WBGene00056784 transcript:CRE09249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09249 MMAKNLLTVFLVLFAVVGQLSSELNKLSYSENEERVNKCGIEGDDNDNFLGNPWMSEMEKDYGVRDISLGLLISPRHAIFSSFLVFDMANPFTAKDRFAEECKNNTPAPVTENDEPFKLDFEGTGSPISSIYFFGDCSIKWGFLIYEIKKVSKIPPICLGGIDDDPLDVLLNPENSTIEADVFLDSLYGFGITAKRIPGYVYKCNKTDSDVCWSPIDERGKDVLLKYQEDATFPFQSSLPLIKTGKRPSLIGFIRGIDINYASVETFQNYEMDLCSIIGSVPTTPTTTTTTTTTRIITTTPIPPSRTPPPTTTLYEVAMQEDEDFKIPYDRKAIKKGSEEQSPRYSFLFTLIIFVLSA >CRE08828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:907828:908746:1 gene:WBGene00056785 transcript:CRE08828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08828 MIALISVLLAFLAPQANAAISGELNCTTYNGTAFVYTPAAVACSNAISDASCAVLYPATDAAIGWPGAGNDNERPLACYTTATATPAAVVPDMVSAALKNCPKTCGLCCKTEAYDCPNVQFPRLNCASITQSQCMSQTWRTIIAVDCPSACGFCNQGGCVDAVVDCANDRSICNAVGMQDFVNTYCQRTCNRCASSTAASTGTGTGTCSTYIADSSTACAAWSANGFCTNTFYTAAQRRSYCATTCRLC >CRE08829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:911099:911946:1 gene:WBGene00056786 transcript:CRE08829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-293 description:CRE-TAG-293 protein [Source:UniProtKB/TrEMBL;Acc:E3LHR8] MFSLLASFILAFSAPETVFAEIGGDLNCTQYNGTMFVWTPSAVVCSNAISDASCAVLYPTEDELGYPAAGNSASRPIACFTTAAETPAPVDPDMKKAAMTNCAKTCGFCCQTDDYNCPNVQCKNFSETFFLKSSFSVPRLNCDTITQSQCMSPTWRTIIAVDCPSACGFCNQGGCVDAVVDCANDRSICQAVGMQDFVNENCQRTCNRCGSSTGSPGVPGGGSCTSYQADSSTSCAAWSGNGFCTNTFYTEAQRKQFCASTCRIC >CRE08830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:912623:913552:1 gene:WBGene00056787 transcript:CRE08830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08830 MSPDSPNSSFDWISDSDDDQSFHSESIAAPEVSALQAPRAPVDRTEKTWDVGIAICCAFVFVISFEFASALMNSGSNGVKTNLTGMAPIDYDFNAIQFKMEFEKRKSEQFSDVGARHAEMARAEKIVDSKSWTRLDDIPEVLLDKFTPHSYRFKGVQEFLEKTGLNGTAIEEAIYFHKLTLVLNKERGCYNWRWHPLNAHVFARFMVGAEARFHESWRLKHGYLYYHFQMDTEKETLGVKLMYSFPIEDLVNV >CRE08831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:914787:915856:1 gene:WBGene00056788 transcript:CRE08831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08831 MNFTFYLDTPAFQSLALHLMLALEIPVHMFGAFCILFRTPGSMRSVKWGMLNLHIWSMGLDLGVSLLTIPYILYPALAGFTLGVLNDFDVPLPYQAYLLAVLIGLLGVSIVTILENRYSVMFVQDHWWRHFRIPFLIFNYIFALVYFLPAYYYIPEQTSALKEVFEMLPELPQDIYNAHVFVLATDFRYVVLPVFVMSSLLVMESGCFIILIYNNMKERTKQLSLSRNTIRMQRKFLRALNIQTCIPLLILMLPMGYLVASRIFNIYFQSANNLCFIIIAVHGLSSTLIMLYIHAPYRDVCLRIFCSKLTKYSRRWSTTVISTAM >CRE09251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:916717:917249:-1 gene:WBGene00056789 transcript:CRE09251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09251 MTSQWFRQNIFASDLEKKEEALKKIQEIIKRKMKDDLNRKVEEIPEVSPDWSPELQEKIGAMYKSLKPHKDVYPYTPMEEDLAIPTGGLAKCRCSKECDKVLPQPEYHVISETEEDKRWIAELNYPLTTIPVKTLEGPDIEVRVRFDKKTQERTEFANFAK >CRE08832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:918129:918680:1 gene:WBGene00056790 transcript:CRE08832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08832 MPLVVPLKDAAEAAIEKLRNEMNPKIEKPELIKQMGDTAIKDSAEVEKENDEDKPATPNMSDELKKMLDEMVRDSPSNLKVPKYNFQYKSYTPHEDVFPPTPKKGDIVIPLGCLAKCICSPDCTKELPVPAYRILGDSEEDERWCTDVITEQEIYEVQIAGMIMTARAQERQKTHKTAQKKVD >CRE08834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:919832:921160:1 gene:WBGene00056791 transcript:CRE08834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-135 description:CRE-SRH-135 protein [Source:UniProtKB/TrEMBL;Acc:E3LHS4] MNEVVLLLIFQKDPHQTFKTQSFNYTFLLHNQGSELNANLYSVNVSLKFTCSVYCFLFFYNLNTILMIPCENNYYASPEFLKLTFHVITCFALPIHTFGFYCVLCKTPSHMSSVRIYLLNLHCWCVALDLVISFFGIPYLIYPAIAGYGLGFIESPGLFFYLGVTFIAGWFLFRNWNKIMFIPGTSTSMFVLFENRYFTIFGQTSYWKYFRKYVVVASYIFVPLYFLPPQLFIPEQTKAREVALASLPCIPEFPSDDRQLFVQATELTLIAVSIVIGEGVPTIQCGTFFILNCFYLVFAKKPSRLSKKTVHMQHNLVIALIIQSSITIVLFLVPVNSVILFVFFQHQNQFHNNFIVFALAIHGISSTIIMILAHKPYRDFAMYPLSCFIKKPKTLSVMPSVPSFRSTLEF >CRE09252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:923387:924590:-1 gene:WBGene00056792 transcript:CRE09252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09252 MFPISFLYTSKFLTNACYFLTTIGVPIHLFGAYLILCKTPKRMGSVKWYMLNVHFWSSLLDFSLTFLVIPFMLFPFAAGWPFGIFAWLEINPAIQTTVIVTEIGLTILSILVLFENRYTFLSSNNKVWARTRSYTIRLLHVVAVTYFIPFNFLIPNQEIAISAITQTLPTLRTFYSGSIFVLTQDATLVVVVTAFKVIFEFFFIGLLVVLTFLDTIRQNKASTLSQFTMTLQRKFFVSITIQTVIPFCIIMMPLLYCVFSVAENHFNQAMNNLCFIIISSHGIISTTAMTLIHKPYRDVLFPCHKHTKVRRMTLSGLHILHE >CRE08835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:926847:927977:1 gene:WBGene00056793 transcript:CRE08835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08835 MIDCSRHYLGSPDFLKISFHVVTVIAAPIHTFGFYCILQKTPKHMKTVKWLLFNLHCWCVLLDITVSLFGIPYILFPAPAGYQLGIIDAPRLIFYLGVTFVTGETNYYCVSTSIFVIFENRYFLLFGQNTRWRFVRKYILIGSYCLVPLYFVPSQLSIPEQENVEKFVWQSLSCIPEIPKYDRDLFLVADNIVLLTASLAIACGVPFIECATFFFLNAYHLVLARKPGKLSNKTIQMQYKLLLALFAQSSVTVIFFLIPVISIIVIIFTGYQSQVFNNIIILALAIHGIESTLIMVVAHKPYRKFMFLPFYGKRKKTATTVVSIIPSLRLVGDA >CRE08838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:932555:933626:1 gene:WBGene00056794 transcript:CRE08838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-210 description:CRE-SRH-210 protein [Source:UniProtKB/TrEMBL;Acc:E3LHS9] MVDCQDGHSFLETIKFVSIVFHCLTVIEVPVHMYTAWVILFKTPESMNSVKWHMFNVHFWSASLDISVSFLTAPYLLFPHIAGYGAGFLMYLGVDPFVQTTFVVILIGCTVISIAVLFENRYTILASSNRFWYRVRKSIIGVFYIVAWTYFIPFQSLIPNQAVAAPIIFEKLPSLHCFYTGPVFVIALDVTIMVWATAAKMFFEFLFIGALGVLTFISITSQNKSVSLSRKTLALQKRFFMSIIIQTAIPTTIIAIPLLCCAISLLQGHYSQAMNNVCFLIISNHGLVTTFAILLIHKPYRDAIFPCLRRERKITTDIISTVLPTVD >CRE09253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:934042:935170:-1 gene:WBGene00056795 transcript:CRE09253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09253 MFSVSLLFLCLVNYAFVESNDQKVKQCTQQDLSTMHQTCSPLVDELMFQIEQFVPDKIELDAARNLTRLCSDVMSCFGKTNCLEAQRNKETYTQKCQKLDFKNYGMHKCMPYFYKMAYNQENSCASKYDFFTNDLKTKRTAFTSGKQCLLEIVSVKCSKKTMAYLNDYYDNFVNILTTPPNNTRCTSAYDGLTSIQCMPILKKTSEIFTETQDFSGLNGLSAVKLCESARDCMKNSCVYSLKTVQNMDSACINFRKATFQQCFYSILTSTEDYSKYKCVKDIIAKNKTAKFTEDKGCMKSVMTGECSNVSAEGFDAEWDNRSNFGQPV >CRE08839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:936027:937288:1 gene:WBGene00056796 transcript:CRE08839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08839 MICTESYSYLNTAQFIYTVYHCLTIIEVTVHFYVGYLIIFMSPKSMSSVKCYMFNVHFWSALLDLSFSFLTSPYILFPYVAGYGSGFLMWLGVSPLVQVSIVIIEIGMTVMSILVLFENRFTILGSSSKVWNRFRKIFIVVLYVFALFYIIPFSLLVPDQEMAVPMILEKLPSLRCFYTGPVIVFTLDATLIGWTTAIKLTIEFLFILIMCIMTYLKIKNQNKQITLSRKTLSLQKKFFISLITQTAIPVAVIILPLACCAYSVVSDYYSQAMNNVCFLIISNHGLVTTFAILFIHKPYREATFPCLETKWRYKTDVVISIVLPTID >CRE09254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:937349:938086:-1 gene:WBGene00056797 transcript:CRE09254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09254 MFWLLIPVITGAAWSIMGMLLCGPNQETTELASETIIESFGEPIEHFEYLGGSMYDVSKNGTITLQYRFLSAAGFMSATVSLSFAIIIFCAVKCYSIIKNMIYTSTTMSSKSRSLQSQLFYALVTQIIIPTVVLDVPITIFFALNIANTGIEGNSGYLNFIVTFYPVIDPLPNFFIIGPYRRAITKCFSKKTHPEELASASHITDTQVPMANLA >CRE08840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:939167:940318:1 gene:WBGene00056798 transcript:CRE08840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08840 MDDILIYGGVENIPMYNCSARTPEQWSYETGVSQPIIGSLQIAYGVVVNLLYIPIIIIMLDKDNFKLSCFKIMFQLAITDFLVIFINSIFTGILGIQGAVYCTYPTLIYITGSVAMSLWCSSCCTSLILVCNRLLVMSKPHLESAFFENKKTFLILSCSIIYGIYFLFTTPHVYNSKHHAWFFDPMIFPERSKEYDNLPHAINNFSIVVLTCILYIPFYLIVKDNLKGTMTESRLHSTVSYIIKKLNKKKRAVLIFFQKIQVFIQSILICFSSQVAATIYVIMNLTEVSAGLILFGHFLWQLVHGAPVFIYIILNDMIRRRFFKMLRCNKNNSTAVGILIINQSNIVPQ >CRE09256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:940406:942982:-1 gene:WBGene00056799 transcript:CRE09256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09256 MSLFHLVLNSFELPDAYVCPEEMKHEYFPRHTLGTYFLISGIILILLYIPCFVVMIKSKSRNPAFRIMIILAIFDMINLSVNSLSTGILDLIGASFCQYPRLIFCIGAIGDGTWMAGCAACILLAMDRCVEINSKFFLAFLFYKRPFHVVLLVVGLYWMYSVWFAKPLCFSAQFSSWFFDPKVGKDPTLYHNVAHTINNLVVSAATTSLYIYMCVFLIYKKGKSANSMWMSRNKNQVIIQAVIICSFHAAAAYIYVYMQFFYSPPFLYLLGQLTWQWSNGCFCVVYLTINRSIRSSVINMVSNIVPNNTVVGYSSEPNAISKEIVRLGGSSMSTLYSLCFLAMLTRDLIRHPAFKLMVCLAIFDIPSVFINSMTTGYMGFHGIYFCDYPRLIIFFGANGFGLWLGCSLSCISLAVCRISELNSNIKIRWIFKSPSIYFLMIACVLVAFYGVFWTKPLVFRPEYMSWFFDPGTGLDPHFYYNLNQPVNNIILTIITLTLYTYLVSILIREGRQIGSNLFSKTQRLVVLQAAIICFFHSIGSMIYVYMQFFYSPEWLIVAAQMCWQICTGSVSIIYLSLNQAIRSSVRQMICPKKFQQTNRVSYISDPNQNSNI >CRE08841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:943789:944997:1 gene:WBGene00056800 transcript:CRE08841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-11 description:CRE-SRT-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LHT6] MSALQMSMYHVFTNSFTLWPDAYECPKNLTTVKTARPVLGSFFLFFGVLFISLYIPCFLAIVKKKSRAPVYQIMFALAIFDILSLSVNSVGTGIFDILGISFCHYPLIIFCLGATAGGSWMAGCLACVMLAIERCVEINPKFPLEILFRKRVFPIVRIVMIGYTLYAILFVKGLTFSLDFSCWFFDPLIGKDPLLYHSYPHTYNNFAVGISTTVLYIYISYRLIFKFGYSTSMWLYKTKRQILFQAITLCIFHTAAAFIYEYMQFIEVTPVIIIVSQFVWAFSNGAVCIAYLCFNRTIRNMVLKMIIPKKIRSRLGLYIGFDEHLAVEEAAATASVSAMVNAAGGLIKFDNFTFD >CRE09257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:948681:955479:-1 gene:WBGene00056801 transcript:CRE09257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09257 MTYNIDFSEPHWLIASYHSVGVISFFLNSLGIYLLAFQCKKLGNFRFYLVTYQSICFLTDIHLTLLMQPVPLFPFLSAYATGLLADWFGVSLHYNALIAYSIIGLQFEFLLMSFIQKHQAIGKILKTHILPKFSFLIFYIFCLITPLLVTLGINDIHDQKEKQLRYIAMFHPEYLQNFQQLSHFDFYIKNSTYVFMAAVVIIFLSLICIMLALIIMDIFRLMRALKLRISPGTFEKHKDAIRSLVVQITTTIFCVSPTLLIVGSIVLELRNAQFLGSICLVLFSAHSSINIISLFLFFPPFRKYASEYIPLNNNTEIITSDRQIFKNMDRDVEIDSFDDDCVIIEKPAPKTPEYIQLDSDDDIPEANSKDHPDQTSSISTSNLSKMESKMNSRRNGDPLYYVDPFPSEEEETPNMCNFPTSYLQRRFREHEELVKRLTEKNRLKHARLDAMEKLQAAEAANKEYEMSSSTSSQLYESWSPSYSQSPDQTQQQKEVNEHSTVRSFSPREDAMASKRIEKSRHEAPVLESQEQLHHRQQSSGSASFYGIKTNRDDVFDIREESSKVKTLQQFLASNKSRLPIGSRQSNAEITEDEQCDEEIRIVFDRNLHLRENGKDTAFGKSPQPTLHIQQHAVRESEISEGHKKYRDRHASEVVYRRDSVKSTDSHSTRYRHDSRRRDVEYQRHSQDSESSRTGRRGERRLQEDHSRYSSHNNRDRGSGFRRTSEENHRLDGNRRARSPPIESTSQRPSVSELCRQFEGIRAGKRGIEEDDENSTQPLPKRCLTELTEEQRELLIANGIPDLREIEAGTASYIKSDISVLSAKEIEELARLRAITEHARSQRGTHPIPLNCTGYVDKYLDEITRRSGCRKMRIGRTKYSNRYRYVHIRGEAETIENARRELDEMCLEKLEDEYEYNVKHHRTNSYVYYCHYIPEKCIAKMRTQQEYREACRRAALNGVQLSHQMHPSTEEDNPPMEMRGLACAIRRAKEDLNEHIQEILDQKTVFVPIPTDNYVIGALIGTKGSVIRDIEMETKALVFINKEMGVAEIEGGEKSIEKAKKRIERIIEEQQKLKKITREMEISTDFIRDVIGRQGLVIQRIRSISGAGIFIPRVSMTERVIVTITGTEEQIERAQMEIGLILDQINHNYRGRSPSSSQHSPDSHRSNRNIKRERIDVEQEQSYHRQHRHRHRY >CRE08843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:956177:957384:1 gene:WBGene00056802 transcript:CRE08843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08843 MSSSSSKMTLFYMLTNSFELWPDAYECSENTKYPETRWPRFGIYLISSGSILIVLYSLCFIAIFKVKKLSPAYQLMLILSVFDITSLSVGSVITGFLTYHGIFFCQYPRFFYISGCIAMFTWLTNCVTCIILAIERCAEVNPRFFLYFLFERRVFKLVLLFISVYGINSLMFSKPVIFSPEYSTFVFDPMIGKDPTLYTNSWLTVNNLMIAVSSTTLYFYLCYYLIFKFGYSTSMWLYKSKRQVFISDFFGIFRKFTKFQIVMQGVIMCLFHSAAACVYEYMAYFSSPLYLIVTGQTLWQLASGCLSVVYLTLNRTIKNSVVKMVIPKAIRKKFGLHIGVEELLEIERAADNGGVSSLNAAGFIVKFDNFV >CRE08844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:958593:959892:1 gene:WBGene00056803 transcript:CRE08844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08844 MSAFNMSMYYVFTHSFAIWPDAYECPDTVQTKMMTRPALGLYFLVSGVVFLLLYILCFVAIIKKKSCAPSFQLMLVLSIFDIQAICVNSIATGTFNILGISYCQSPLLIFCLGAMGVCSWMGGCAASVLLAMERCAEVKPRFFLEFLFRGDVFPLVICLLISYGIYSFGFVKPVLFTVEHSCWFFDPQLGKNPELYNNLSVTINNISIVLLSTSLYFYLCYHLIFRFGYSTSMWLYKTKRQIILQGVTLCVFHAIDAVLYEYMKFFYSSPLLIIISQFVWQWSSGCMCIAYLTLNRTIRNSVVKMVLPKSIRLRYGLYVGFDEHLEQTKVANTNAAGTVVKFGNFCN >CRE08845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:962186:963401:1 gene:WBGene00056804 transcript:CRE08845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-13 description:CRE-SRT-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LHU1] MLDYEMSLYYVLSNNFELSEMYKCPSNMTDTITPRPIIGSYFLISGISLILIYLPCFIVMLRSKCRAPSYQLMMLLGVFDLISLLVNSVTTGVLGIMGASFCHYPLFVFCAGAIGLGSWMGGCVVCILLAVDRCVEINSNFPLAIIFQRHVFRLVMLIICSYWVYASFFTKPLLFTAHYSSWFFDPNIGRDVGFWNRTEFSCNFIHFQADLYHNIPHTINNLLVSASSTPLYIYLCYHLIFKFGYSTSMWLYRSKQQIIIQAVILCSFHAAAAYIYVYMQFFPSPPWLILIGQLAWQWSNGCVCIAYWTLNRTIRNSAIRMMLSKATRQKYGLHLGIDEQIVAERQEGIQSVPNAVPAAANSAKVAPFMAEW >CRE08846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:963849:965300:1 gene:WBGene00056805 transcript:CRE08846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08846 MSLYQVLSNNFEMSELYTCPTNMSKILEPRPIIGSYFLVTGVLLILIYLPCFIVIIRSKCRTPSYKLMLLLGVFDIMSLLIDSVATGILAIMGASFCNYPLFVFILGALGMGSWIGCCAVCILLAVDRCVEINAHFPLAFLFHRHVFKLVILSICALWVYAVFFTNPVLFTAHYVSWFFDPNLGKEPSYYHNMLHTTNNLMVSASTPLYIYLCYHLIYKFGYSTSMWLYRSKQQIVIQAIIFCFFHAVSAYIYVYMQFFHSPPWLILLGQLTWQWSNGCVCMAYWTLNRTIRNSAILMMLSKETRQKYGLHMGIDEQIAAERQEGTESVPNVSPGAANSAKVAPFMAEW >CRE08847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:966153:967529:1 gene:WBGene00056806 transcript:CRE08847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-12 description:CRE-SRT-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LHU3] MSDLQMSMAYMVTHSFTLYPEMYDCPVNMTNVKTERPVLGTYFLVSGLFLILLYTPCFIVMVRSKCRVPAFRVMLILAVFDLLSLSVNSVITGVLDILGTSFCHFPIFIFCAGAIGKGSWMGGCVACILLAVDRCVEVNSKFLLGFLFRKKVFRFVLILMILYWAYSCGFTKPLLFTAEYSSWFFDPKIGKEAYLYHSIDHTINNLVVSLATTSLYIYLCYHLIYKIKYSTSMWLYKSKRQIIMQAVILCTFHAVAAYIYVYMQFFHSPPWLIIIGQLAWQWSNGCFCVAYLTLNQAIRNAVVRMLVPKKLRERFDLHIGIDEHLMNQEQQTTAINTVSVCVKMDSFV >CRE09258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:968076:968876:-1 gene:WBGene00056807 transcript:CRE09258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-13 description:CRE-NLP-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LHU4] MHRSVQIFCILAAITMAYSQGSRDENPSAKRNDFSRDIMSFGKRSAGDTADMYDRRIMAFGKRQPNFDRDIMSFGKRSAPSDFSRDIMSFGKRSSMYDRDIMSFGKRAATDYDRPIMAFGKRAEDYERQIMSFGRK >CRE09259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:978722:979923:-1 gene:WBGene00056808 transcript:CRE09259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-9 description:CRE-NLP-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LHU5] MDRFFTKLVALLLILLQIGSIFATPIPEAQAAPEDVEDDRRELAKRGGARAFYGFYNSGNSKRDQIAGLPYYLYEKRGGGRAFNHNANLFRYEKRGGGRAFAGSWSPYLERFYDYKRSSYPIYFSDNSYY >CRE09260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:987162:990402:-1 gene:WBGene00056809 transcript:CRE09260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09260 MNVPPLLGLCVRRVISYVFEDTLPPTSCQLNPDLSNRLFEEYCNNFDAKVTRKMMKDICSMLNVTKIDFSYGGPKRKELIILQNMNLVSLALGSLDHLGPNKTDSHEPLKLDAMLKFCLNKTTLQQLSHLDLSSTKRKYLDGWVENISKLLPSLISFSVRDRQLSPLEFGPICSNFPNLRSLDISDTGLTSLKGISNLSNIEILAIGGLGSLSWQNMIEIFELKKIRVLNLSSRKYLARTSMFERFLLCDKVLPELRSIDLTGTFVGFNMLDDLLKTHSTIQEIGMLRTPCDNLPEAFHDVHLLSCQNLTVSTQSLKHYASVKNNSSVCFVLHLARSVMEERLETENDITIRKWFRTICETIEKFPVSANVHKLVLKCLQQISRKERITIFSLAERHELVNILFAICDVRIDYKECKIEAKMIEGVWDILKNKHFLSTTHLNIQRIYENALEYCLIEKAGIIQNVCMKIMKYTFEIMKPEDQKEMFGNLDICRDLVESLNFFYRTKQFKRYQFVLKFIIKMVEYHPDNFVKVGGVSIFVRHLIRYSQVESLTMLRVLASTGNSEFIRELSTPENVRGFVRYLLKCKPKLNYFTNVNSLSEKTFLVCCILSVIVYSIDERRFNSLYWKNIVKLLKEVLTTLAEEPGYPCVHLEEVFETYFEKRTMEVRQGPIQAIQCHREEKGACFYC >CRE08848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:996978:999683:1 gene:WBGene00056810 transcript:CRE08848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08848 MSDADMNRKFIEMMELMQKQMAEQARKHEETIAVLTKAVAEKSHIDGSLGTSSGLTVSQSQLMNDVGGRISVFQFDLETEKTFSKWYARYGTAFTEEGKGLDDKNRVALLVSKLSEEVYEQYSRRICPKLHHEVNFKDTVDILKEMFDIKKSLFSHRFACINIARDGDSPVEYTNKVNSLCELAMLKDIDPDGWKVFFWLRGLDPTQDTKARAYFLKYVEKKTELGEKVNINELCTEWQKLLSQTSAVSEMEKSDTAVRAVYAKKPSNQDQSRDKNTSEYDYSEGGKCWNCGKRGHKKPDCPKPLTTCFDCQKKGHMSSFCRARNDSSSRKTQHVAIVGGASSEDAEVNSVRQYVSVDVNSESVEFQLDTGSDITLIGREDWSRIGKPDLERCRSKVKSASGNELKILGRALVEFRLKGSVGTGYVYVREHGNLLGLDWIGRSKEMSYHMGMMVDKLTHSNTDAIHGGLNGKFPEVFKKGLKRCVKKKAVLKVKENATPVSRSKRSGVQGASRVVEKVVSSCTISQGGKIPRKIPVQPWKAPERVWQRVHIDYAGPENGQYYLVAVDAKSKWAEVKIVKSISAVSTVRTLKDMFSQHGYPETLVSDNGTQFVSKEFATMCQEAGIEHVRSPAFHPQSNGQAERFVDTLKRGLKKLKGEGSVNNEILSQFLLHYRSTPSNALGGLTPAEVHLGRRLRTRLSLMIPQLNKTVDSEQVAMKEQFDKHHGVKVRSYRKGDSVFVKVFEKNTWSWKPGKVNQRQGRVVYVIGLNDGRERVVHANQMKMRLEESTQEQSKEHEWATTMFDVFELPTVWSARKSTDEARRDNATSTPVMDSPQRVHQGQGTSSSPAQQVQSRASTQQSSSQSTTTAVQGQRLAPSPVQPRRTTRVRKNVVKYDPSDHS >CRE09263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1000636:1001913:-1 gene:WBGene00056811 transcript:CRE09263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09263 MNVPRLLDLCVRRVIYYIFADTLPPTSCQLNPDLSNRLFEEYCINYDAKVTRKMMKDVCSMLNVTKMDLSNGGIRRKELIILQNMNLVSLALGSLTSLGPNKTESHEPIKLDAMLKFCLNKTTLQQLRHLDLSSTDINYLDGWVESISKILPSLISFSVSGRQLSPLDFRAICTCFPNLRSLDISDTGLTSLEGISKLTNIEILAIGGMRDLTSSGIIEIFELKKIRVLSLSSKNDYYFRNLKCTSMFERFLLCHKVLPELRSIDLTGTFVRLHLLESLLKTHPTIEQIGMLTLREFWGGLKKTRVYQEMQNCITVRNIPILAVFNNGIRKFASGVMNFLHDKECAMNQR >CRE09264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1003369:1004856:-1 gene:WBGene00056812 transcript:CRE09264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09264 MGFPMIQVFMIAARQVSKPIADAVLRYGKDHPVFRNKLLIPIGRGLVRFTSRMRMKRLGLGEPITHAPVSEAAALEQASDFVQQLVLFSYSVGVFASYYFYTKLTTPDSLKIEEYQEFKEQQERAIKELRLQIESLEQRLAAQQKRNFFSQLGFSKDSDEEPPKSKPSECKEKPASEPAKPESKQSESLKQKFQRISSLPIDAAASLILDDEEYLVVRRGSSVGIELSVNFAARGERLRYRIPSTKLRESVAQKALKEAACHVIGPKTTRFSYVN >CRE09265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1005107:1006579:-1 gene:WBGene00056813 transcript:CRE09265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpac-40 MVKKSTTKTTEPDFPSNEILMQEEVVLNTYDECTDYIEYDDTKEFSIPEYCDNIHVAMVNESEDKMTLEFDITHIEAPIANALRRVLIAEVPTMALEKIYLYQNTSVIQDEVLCHRLGLLPLCVDPRGFQFPTEKVVGINEKGVDCDEEPAGDATRNLIFKINVSCTKNRNALPNSTDPKTLYHHSSVYSGSFAWVPIGDQKSNYTDSTTPRMVTDDILVAKLRPGQEIEASCHAVKGIGRDHAKFSPVATASYRLLPTIRLNAEITGEAAERLKSVFSEGVIEIEKKGSKRVAVVKDARKDTCSRNVFRHEDLAKVVQLGKNKQHFIFSVESTGALKSSELVVEACKVMETKCRSLRKQIQALIK >CRE09266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1006913:1008146:-1 gene:WBGene00056814 transcript:CRE09266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09266 MMDLISQEIFTLHGMLIASIFIMSWGIGLLMTLSHMTPWVPRRRAEFLAPEVEYRASPVKEFTKLLERDLNAPKRYTPSTCYLSVIIPAMNEAERIGVMLDDCCDYLEARAEKDEKFTYEIIVVDDGSTDNTPDVVKQISLTRKNLRCMKLKANRGKGGAVRIGVHNCGGKLILFADADGATKFEDFELLEKEMLRAAGGEPLDESFPAVVVGSSFARTSSTDFQLFRYSRAHLAEASVAERSFFRTILMHGFHTLVYLFAVRTIRDTQCGFKLFTRSIAARVFPVLHIERWAFDVELIFLCEKWSVPVSEVCVRWKEIDGSKITPFWSWLQMGRDLILIWFRYTVNLKKIPKLIQNKIGLWTDEPPK >CRE08850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1014483:1016619:1 gene:WBGene00056815 transcript:CRE08850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08850 MLRNSEEGVYNILKPPPLQMSNLNDDDDEWGAFDQARPSTDRSDDPSASSNSNRSQEAHQLAHQQSLEEWGAFETSENAKDAAGDDDDEWQAEFSSAAPPPLPVPDVPLENLKILEEMLDDESFWDDGYAEGDRDGEENSTDAIDIPSLFDSETLDEMSKEFEDVEKQKYSKLWLSLRVIEEAISLKFDWKQSEVRKNHFKSLKINPVSFATFILRDFNLFSIYQIAVKKETRATAAAAFDTSNLLLPTPILQSTSSDSNHDSTNPKTSSSSPSVDSPSVPAVDFDWDTSGLTNPMNRANQSSAIIDVDFLGTNGVASAYTNPLQQDFEHFGLNGVKTTEASNGSKILEQLMSGMNGTSDHYRSTELLSLDARKLLERLPDIGYLRATSLKLPLGTNLPH >CRE09267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1011961:1013609:-1 gene:WBGene00056816 transcript:CRE09267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-114 description:CRE-NHR-114 protein [Source:UniProtKB/TrEMBL;Acc:E3LHV5] MTPQSSPSSSRDHVCLVCQDFASGYHYGVPSCVGCKTFFRRTIMKKQKYICQFEGNCPVDKSIRCACRYCRFEKCLSVGMDRNALQQSRDPIGYTKRTRRPKKEMKSTSSDGSSDEGANSTPPSVSPLQLSPPPISPTPCSVTPLPVKSTRRCILQTLADREKSANDLRLSDYLPLRSLHEALCSKALLTDPMFMSQWGHPSERHQIFDLRFVTQQDYHYWHERDWFLLTEYAKTFDVFEALDYQDKAELVRHAAITVPVLVQVWNSPDYGPDTIVFPDGSYFDKTPEPTRPAGLNRKKFQMLDLVLKPFRDLQLDAVEFAAFKAVTFLNPDADITLPARKLINNERVRITKQLYAYMATKDDMDTAIERFARLVLMGTSMSKMACESKEAVWIADFFENIGFSSFARQLFFGDEMEERIVHKL >CRE09268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1016723:1021036:-1 gene:WBGene00056818 transcript:CRE09268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09268 MLPSSTNDLQPLDSDELEPHVVDFLSGRELHSARKQDREYRQTHDRKYQRYVTKRAELRNATSASKKYREEAYNKQSNCDAQFINNLHTFKTCDAGSGYRAEQQHYAMDFIAENPCGRNELKSTLKKLKNKSINEWMNREDNPQKVLRRAFSCINLSVESLTFRENKEQEEYVKNRRTEVNYSADISNVDVGYLAEDFYSLDTARLLREISLTMKFLIPRVNSNNVPLGDIKISKVLFSTDDHYAYIIPEKFSVQKALEKFSYEFKKWHENESYKCREVCCKSIPFRAHINVVLYLEEFISENTQYRRARHICEVPFNPPWRSHHLYLEIDTGMLRVVEYDENVVKCLSQRWACLETPIMEVRFSGITVSLTDLQVSKHIDSIRRTGRAKIVSIDRVNRAKNRFANFNDHVVKFWSTFDTAVLQTYKEHPKPSLETLFCPEKDCVGLCNTDVYLEAPTPVSDEPLAVEKLNKLRSEFSASLAAYILPNTDSHQNERIPESLCRMKFLSEFTGTGGCAVITNDKAVFWTDNQHFKIAGRELDKTYWTVKNHEDKSTETIVDWLRNELPAGSLVGFDPKLVTFSNYLKMSGQLKSSRIELLPIPGNLIDNFWDTRPYREGDVVKVMSLDSCGKSPTFKMSLLRKELESMKCSATIVCELDDVMWLLNLRGNDIPFSPLTYSYLFVSLDEAHLFIDLEKLDQDAKSHLTRSSIRFHSYKKVHSFLSEWMDRQKKDGKSQLILFTPDTNQWIGSIFGEESSIIELSIVKKVKAKKNPMELAGMRACNIRHSVQMIMFLHWFELQTLKVEVENTENTKTTYTEEEMAMKLEEILKDHKMYIEQSLPTVFSSGEHCSVPLHKPDPYHTVSNLYQVLVQSGVHYTDGTACATRTIWESYPTEEFANSYTLVLKGHIKIANSQFPAHSTIGSRLDILARQVLWDAGMDYNHETGHSVGHCLNIRDTQGDSSDQSKEGRMEAGQVVTLEPAFYEPEKYGVRIGSCYETVLTQSSRSSGNPFLCFQPLTFIPFQTSILVKQILTPEEILWINRYHYRVFSEIGKILLEEEQFEVHEWLRKACEPI >CRE08851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1021375:1022953:1 gene:WBGene00056819 transcript:CRE08851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08851 MHTSMRNEGDPSIERLAPVSIKGSQKINKVFHELIDSWKQYTSMEDGDRIAQLLQNYSVEKQLEHVGGMSKEEILDVFRAHLRKLTSVQEENEHLTRRNRDISEKLHRIEHRVGKAQANVFDKVQEATMEILKDFHAREIELARKVEVFEAEKTSLTAKNTKLEGKVEEFSQKNSTLQAKNQRLIEINNENEKRIGGLTQKNQTQDDEIFHLREQLEKANELAREKTEEALQEAIEAQRVKTLIIKEREAVNLQAARHQEILMAEMDKYKADLEEDLSVRYLRHKKEVEALNAKYDSAMAHSEQTIHDLKLTNRQLEAKISKFERNFAMMEAQIKGNMKRSLAMNYQEMLNIISSGTSRLPSPPRENPFLELEKENSSRKHRLAVSKTNPRRSNFQCLDFWPTSSESSATGKAKNVVEILIFSEFWKTSIDIF >CRE09269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1023897:1024373:-1 gene:WBGene00056820 transcript:CRE09269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09269 MKGIELKPLVKSDHQILEEHSNESWTPEYLYSQEFDALFQQLFNQYLHGEFRKLEDNSDFEAFRRMFRELKKKRNELEDRFFPERVDQRAQERARITEEQEMEGCRECYEKLRELSRKKRDGGGEREDGFSWKNLFFGCFRRVQKVDEMKRKAELFKY >CRE08852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1030886:1033194:1 gene:WBGene00056821 transcript:CRE08852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08852 MGSCESNQCFIEKKPTEIPGSMKITKGCLRRTSRIHHGCEYDHFSDHILCVCQGHYCNDRIVMNTTRQKYTRSVTCRECSEKQPDCGETCEGHWCHEDMSTGASGCGYGPPALPFYYRGPELFYYRSKVCITLSRLDRVQIFYLKKQNSRGAGKPRRHCVCSTNMCNTAYNYQYNIKDYQYNIKEKETSLRSRSLSLSATDYTLPLHSCYNCETNTNDATSMSHTTNCRSNRCMGHYCTYAAHRHTTKTSMGRSNMVHAVAELQGCMNVSDKSHIQLGCSKKWTSDEYEEIHCACRGNLCNSDSLTAISTGSLLRIFHVAIPVFLYFLL >CRE09270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1034103:1035972:-1 gene:WBGene00056822 transcript:CRE09270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09270 MDGFYRLFCGCCGLGAEPEPPYSQLRHDSVTPVRDPPLQQNNRQGVTNGHNNHQQQPQPPQDLFQQHGFVNPVHDLSLDATDGPNYGDQHQYSDSASPKSGVLDEEIVEDENREREQLDNIVESTQHSIIAVGQTDVDGVIMMDTQHREKAYKLKVQKMALAQPSVHTPTPQMYEFEIEPTQTPVQVPLRYDELSATIDPSPIERMPFSCPDFNQLRLARPMGAKNHQFLVEHPDMKTAGRSPGITHSMKTKVTEVLDEIHQGVAAVEVEPRDLIVSMDF >CRE09271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1036964:1039453:-1 gene:WBGene00056823 transcript:CRE09271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-29 description:CRE-LGC-29 protein [Source:UniProtKB/TrEMBL;Acc:E3LHW2] MTSEQMENYKRFLANQEKLFDKLFKTYDPGMNAVYTLYHLPETNTTIHPPRFEIEIQLSMLKLVEVVEPEEKATFLFDYQSNWYDPRLSWDPEEFGGINHIYVPRSRVWIPETTIVDCSEVKVFDSEYTRYVWLHSNGSIGMYTASVTSVVCQMDIYKFPMDTHTCSVNILFNTYLMTEYLITGKTGTLPRPVHQLVSKFPMDKHACSVNILFNTYQLTEYVIIGKTSTMPRPVNQLGNGEWQMNSIQMSIEPVVDNMTYLTRFEATFTRNPGFYVVLVMIPAYFINVLSIVALFMDINNRSEKFTVAMTNIMSMSFILVILAEDLPKTKNLPILAIYTVTSLAIMLCSLTAVVLLPKLKLYYANRQLSRGEKIPKNDEVPRTCWGRIMFYFKVEYAFMAVFQIANFVNFIIIFT >CRE09272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1039755:1041473:-1 gene:WBGene00056824 transcript:CRE09272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09272 MSRFNLFVLICTLMSGFVMSQEMTKDEMATYNKFLADQKRLWKDLFTDYDSTLSAIYTLHKSQWIDQNTTTHPPITQLTLIMAMLKLVDVTEVEEKVTFIFDYSAEWTDHRLKWNPLEYGGISHIYVPQKQIWLPEITIADAHEVKFFESDDAPRTAWINFNGTVGFYTSTVVSVICQLDVFKFPMDEHECGVSVLFHTYFADEYGIKGEMEKIEKPLSQLGNGEWKVSHIGVAVQPAGNADYAATQRFIARFQRNPGFYVALVMVPAFFINFLSIIALFLNIENVGEKITVGLTNIMGMTFILVILAEDLPKTARIPLLAIYVIVGLVIVMSSIVVVLIIPVVRKYQKKKKNNSKQKFGESSKFERLYNWIRIEFVLMFIFQAANFINFIILFL >CRE09273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1041736:1043408:-1 gene:WBGene00056825 transcript:CRE09273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09273 MNMLKYYWLPVLACCLMCTWVRSQEMTKEEMATYDKFLADQKRLWDDLFKGYDPTISAIYTLHKSQWINQNKTIHPPITELKLTMAMLKLVDVVEVEEKITFLFDYIAEYTDYRLKWNPLEYGGISHIYVPQRAIWLPEITIADAHEVKFSESDDAPRTAWINYNGTAGFYTSTVSSVICQLDVFKFPMDQHECGVSVLFHTYFADEYEIKGVMEPLAKPLSQLGNGEWKVTYIGVAEEDISDSANIGSTQKFIARFQRNPGFYVALVMIPAFFINFLSIIALFINIENVGEKLTVGLTNIMAMTFILVILAADLPKTARIPLLAIYVIVGLIIVMASIAVVLILPFVRKYQKKNKSIQEEQKLDNNSWFGKFWKWIRIEYVFMFIFQVANFVNFIILFM >CRE09274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1043671:1045310:-1 gene:WBGene00056826 transcript:CRE09274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09274 MSKFYLLVLIWNSMSNLVTSQDMTKAEMATYNKFLSDQKRLWDDLFKNYDATVSAIYTLHKSQWINQNTTIHPPITELTLTMGMLKLVDVVEVEEKIVFLFDYIAQWTDHRLKWNPLEYGGISHMYVPQKQIWLPEITIADAHEVKFFESDDAPRTAWVHYNGSVGFYTATVSSVICQLDVFKFPLDEHECGVSVLFHTYFPNEYVIKSGMEQIGKPMSELGNGEWKVTYVGVSELKSNDPNLGSVQRFVARFQRNPGFYVALVMIPAFFINLLSIIALFLNIEDIGEKITVGLTNIMAMTFILVILAAEMPKTAKLPLLGTYSIFWKLKNNFEISAIYVIVGLVIVMTSIVVALILPGIRKYQEKKRKSEGEGKTGKETRIQKLYNWLRIEYILMFIFQVANLVNFIILFL >CRE09275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1045570:1047436:-1 gene:WBGene00056827 transcript:CRE09275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-57 description:CRE-NHR-57 protein [Source:UniProtKB/TrEMBL;Acc:E3LHW6] MIVSRERKFCSVCHQLGDGYHFGAIACKACAAFFRRTTSMNLASKFLCRKNNDCIIKMSSRDSCKSCRYAKCLHVGMNPEVVQTIQNSAKSSPSPGIESLPSCSSSPASCNSPILSLDLGDYHEMTPILCGVMESYQKLYKQRYSLHAPKMKPRATTYGEFCTIYVNDVYLQYEFLENSFSQFKEMGSFEKKHVFKYFFVSFLILEMGYRSYLEGTEVFVLANGDFIDTMNLDQFYYDPEHNERCKSTDAMKMYRPYFDQMKRNVFHPLCHQKVSLIEFLALVTLCTWNDSLDGQPDCYYPVCRPVRQKVIAELMSFYEKDSPDVDPAFRLSGLLMLLPALERSVELFLQSMEVKRLFRCFPFHDKIYQIVNGQ >CRE09277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1053860:1055214:-1 gene:WBGene00056828 transcript:CRE09277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09277 MLKLLALLVIVSAVSAAGNSMDSFNFEFFKKLNFSACKTGNIVNRLVNSKPYYWPSTWNETQSTPHLAKEQSCSWIVTIPKGYYAKLSISGKTTDKDSRFQIIDAVGNFIQTTHEGMEPYYFPPTKFTLAVSNEAVASFAFKIEWFPLPRTTRINFIGEEADLINATNHLYCESYGGNGGITLLPLPINRTHYYSLRSTLVFQGHDTDNGIYISNLFLLYQTKKLYINEYDIVVANLEASNKQDLVLIQEAQNIKNVTYVELIPEPHSNVTVTVNSHKTQEALLIAYQVNQTLVDVQMDNSATVTLYYGSPGGFSFDKTYNKTALLSALPLQFDNFGGVTELFVTAKATFTFEYL >CRE09278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1055758:1056611:-1 gene:WBGene00056829 transcript:CRE09278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phat-4 description:CRE-PHAT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LHW9] MYFLLSLFFVPFTSAVIGGDLNCTSYNGTAFVYTPAAVACSNAISDSSCAVLYAAPDTLYPAAGNDAERAYACYTTATATPAAVVADMKAAALANCAKTCGFCCQTDAYNCPNVQFPRLNCATITKSQCDSVTWRTIIAADCPSACGFCAQGGCVDAVTNCANDVSICRTVGMESFVSQYCQRTCGLCPSTTAASGTVTSTASSGTCTSYIADSTTSCSAWAANGFCTNTFYTLAQRRSRCATTCRLC >CRE09279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1056993:1058125:-1 gene:WBGene00056832 transcript:CRE09279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09279 MLFIFSFLLVPFTANAAISGDLNCTTYNGTSFVYTPAAVACSNTISDAACEVLYVAPDTTAGYPSAGTDAERPLACYTTASATPAAVVQDMKTAALDTCAKTCGLCCQTDAYNCPNVAYPRLDCATITTAQCNSVIWRSIIATDCPSACGFCNEGGCVDSVVDCATDISICTTVGMQDFVNTYCQRTCGRCPSSTTASSSTTASSSSSTCTSYNADSSSHCANWATNGFCTNTFYTSAQRKAYCASTCKIC >CRE08853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1058493:1059339:1 gene:WBGene00056833 transcript:CRE08853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08853 MLFIFSFLLVPFTANAAITGDLNCTTYNGTSFVYTPAAVACSNLISDAACEVLYADPDTTAGYPSAGTDAERPLACYTTASATPASIVQDMKTAALETCPKTCGLCCQTDAYSCPNVAYPRLDCNTITTAQCNSIIWRSIIATDCPSACGFCNEGGCVDAVVDCATDISICTTVGMQDFVNTYCQRTCGRCPSSTTASSSTTASSSSSTCTSYNADSSSHCANWAANGYCTNTFYTSAQRKAYCASTCKIC >CRE08856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1063835:1064690:1 gene:WBGene00056839 transcript:CRE08856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08856 MIALISLFIASFLAPEVSAVIGTDFNCTSYNGTSFVYTPAAVACSNVISDSSCAVIYAAANTLYPAAGNDAQRSYACYTTATATPAALVTDMKAAAIANCPKTCGYCCQTDAYNCRNADFPRLNCATVTLSQCNSPAWRTIIAQDCPASCGFCLTGGCVDAVTNCGNDLSICRTVGMESFVNTYCQKTCNRCPSSTTFASSGTVTTTVTSTCTSYIADSSTNCAAWSRNGFCTNTFYTLAQRRARCATTCRLC >CRE08857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1065587:1066449:1 gene:WBGene00056840 transcript:CRE08857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08857 MMALISIFIASFLASEVSAVIGTDFNCTSYNGTAFVYTPAATACSNVISDSSCAVLYPAANTLYPMPGNDAQRAYACYTTATATPAALVTDMKAAAVANCPKTCGYCCQTDAYNCANVQFPRLNCATITKSQCDSVTWRTIIAADCPSACGFCGQGGCVDAVTNCANDISICRTVGMESFVNTYCQKTCGLCPSTTASSSVTTTATPGGTCTSYIADSSTSCSAWASNGFCTNTFYTYAQRRSRCATTCRLC >CRE08858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1067688:1068534:1 gene:WBGene00056841 transcript:CRE08858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08858 MALVSILIASFLAPEVSAVIGTDFNCTSYNGTAFVYTPAATACSNVISDSSCAVLYPAANTLYPAPGNDAQRAYACYTTATATPAALVTDMKAAAVANCPKQCGYCCQTDAYNCPNVQFPRLNCATITRSQCDSPAWRSIIAQDCPSSCGFCLTGGCVDAVTNCANDISICRTTGMESFVSTYCQKTCGLCPSTTASSSVTTTATSGGTCTSYIADSSTSCSAWATNGFCTNTFYTYAQRRSRCATTCRLC >CRE08859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1069073:1069944:1 gene:WBGene00056842 transcript:CRE08859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08859 MSIKDAKIVSETSSHSTMSFTIISLLTVFLLSGVQSAIVVDFNCSTYLDGAWVYTPAAVNCEDTLSTAACQALYPETEDEAGWPTAGAENARPYPCYATGTEAASPMVPDMKTASIASCPKTCGYCCQTEAFNCPNVQFPRLNCNTITRTQCNSVTWRTIIAADCPSACGFCNQGGCVDAVTNCGNDLSICNTVGMQDFVNNYCQRTCGRCPSTTAASGSVSSTTASSGSGTCTSFAADSSTSCTAWAANGFCTNGFYSEAQRRARCATTCRLC >CRE08860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1078727:1079569:1 gene:WBGene00056843 transcript:CRE08860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08860 MIAFVSILLALLAPETTAIISGDLNCTTYNGTFFVYTPAATQCSNTISDASCAVLYPVALAADGYPMPNNNAERPRPCYTSAAATPAAIVQDMKTAALANCPKTCGLCCQTSAYNCANVQFPRLNCATITASQCMSQVWRTIIAADCPSACGFCNDGGCVDAIMNCANDISICTDSRTQAFVNENCQKTCQRCPSSTTVSSISGGACSSYIADSSSSCTAWAANGFCTNTFYTAIQRRAYCATSCRLC >CRE08861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1081043:1081772:1 gene:WBGene00056844 transcript:CRE08861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08861 MLLLLFCTFQLFLTFTNAQITHDLNCSTYSPSSGYIYTWRAVACSNVLSDYLCEFYYPSVNGYPEEGGNASRPIQCYTSGISSAPADGDAKTVAIAYCPKTCGYCCETPKFNCQNKNRKKAITPLSSNYTIPERLPCHTITKLQCESPNWRDILADDCPSTCGLCENNADLCYDSNPDCANAPEVCTNPRMEDFVNKYCRKTCNRCFNQNTSTTSKSSTGK >CRE08862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1082409:1083110:1 gene:WBGene00056845 transcript:CRE08862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08862 MLVLFSVLLSLLAPATNAIISGDFNCTVYNGTSFVWTPSAVACQNVLSDIFCAVAYPTRSYPAYPTENGNEERPLLCYTLGTATPSPVNNDAKSAAIAHCPKTCGLCCQTTAYSCKNLQFPRISCATVTRAMCQSVTWRQILADDCPAVCGFCDLNGCIDAVVGCDNDISICNAIGMQEFVNKNCRRTCNRCSIPTPNPCSGR >CRE09281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1083226:1085254:-1 gene:WBGene00056846 transcript:CRE09281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09281 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LHY2] MGGHLSHLEREEHPEKLDASCGPIRGNIYKHDDKIVDGYLGIPYAKPTVGELRFKKPVPAGVWTETKDCTKYGPRCPQSGAFPEMIKFEKPDIPDEANCLTLNVFAPRWKSQEFGNKRPVMVYVHGGGFELSASREYCDYSVSGTLPLKDVIMVTINYRVGILGFFTTGDDECRGNFGLWDQTLALKWVQRHISCFGGDTDNVTVFGQSAGGVSVDMLSLSPHSRGELYLFHKVVPMSGNALCEFACRTPKNEAKACIEYLRHIGYTGSNDSKEILNWLKNKPIKEMDKLNGYQLPITGYFIYQPNLDGDFLPKPLDELRKEAPKKSVMVGVAEHEGLFFSLTGDPRPADVILKEMIWASYKEDTGKNFEETRKRVYEFYTKGVEHGDEKKMKERLVDFLGDTIFNGGAIATAQSSLKYGNNVWFYVFDYVNPSGFGGLEEMMPFVGPTHCTDLRYVLGEGLYSEFKPDEADWKMIDKMTTMYTNFAKYGNPNGKSPVEWEPYSLETPERHYRIGYPRGEMRDEYHKGRWAFVKEIRDSNKILEEVVYGR >CRE08864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1087335:1088503:1 gene:WBGene00056847 transcript:CRE08864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08864 MNYFNTSDFLSSFYNIIIFIEIPIHLFGAYCILFKTPPVMRSIKWSMLNLLVWSVLLDLTYSISLRPFMLWPAVAVYPMGLLSYLEIPTNFQFYVLYSVFYCLGVSIVSILENRYYLLFKQNTYWRRVRIPFLLMNYLTGPIFFSLFFITPMNQELALKTVQNVLAKMYFHNNVILQKFSNIPPLFQFNSIFVIDSQVGYLFKSVLVLGATVLVEKFLFSYLLIANLQRSARTLRLSRTTIAVQRKFLRAILIQIIIPILILVSPMFYIGPAILLQIYIQALNNFCVITFSLHGFVNTFVMLCIHEPYWRFIVKVSHWNCLFSRHSTAPTNTNYLSSAADFGAGRSRYGQLQKF >CRE08865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1092426:1094747:1 gene:WBGene00056848 transcript:CRE08865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08865 MRQDIQSLRGFAIIFVFLYHLYPSVFVNGFLGVDIFFVISGYLMAKNLTKFKLKKLSDFSGFYYRRFKRILPLYFLVVFISVVLVHLFLGDFWWRINWRYSMASLFLVTNQVLIHDSADYFKHFLADEKSPNVFLHLWSLGVEMQFYLIVPFIFFALQILKSDILKLIAVFLTSIIGGIAFSLINAHFAFNFMVLRLWQFSAGFCALFWSRVFVKKLPDKVENPKVGAIIEKEDVVTTILSILFLCIFPSGIDKQVLRPLVTFATGALIVAESRDNKLLKSDMLIYMGNISYIVYLVHWPILSIFAIKTSFQCDLLVTLLTLLSSVFLHHLYEKQYLKLSWKYIFPLVFFLIAANAGLQYSVREHTGFWERSYPPELQTIIDNNKGLQDYWVRVPAKNDKCVTTDMKHPDQASRGYEYCEFPRGKGNISIMNIGNSYVKNLNGHIRSQFNYNFSDYRFLSIIAGFGIYSDPFSEYTVELFKEQVKKYKPDVLFITARFSDYIKIPIQTNDTIVQQINENIKYYEKFSKKVYILGSLPLYKLNFINHFLQYAIQKPEDLEQLHLNQKLADEERKYAVERLKMIKCERCKIYDLSPAFLEKGKYLSFDRNTTLTYVDNSIHLTGPGLELCDPIFSKLAQEILNSF >CRE09282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1097694:1099070:-1 gene:WBGene00056849 transcript:CRE09282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09282 MCSFENMDLSDDSCYSTSSSASSPSSLTSSEECAVCGNDVVNSSRYGAPGCLGCIVFFRRAIVRNAVYKCLRDGNCNITNGKLRCSVLFINEQMFSEYRCACRYCRLQKCFTVGMRPEAIQRRDLVGPRSPIDIKANIRNLIDVPGSTEITLDETKDVLLENLVHLQREQSSKAIQHFADHNILCDSESSSRRAESHDVNIMLKLCINQASEWGRQLKPFKRLSIDLKQSILAEYGFAFLLVDQGFKTAKEAKDGFWLLQNNTFMHPNYFLGLSENHEMEDTTNKKAEYHEKFVSELLNSVARPFRELEIDEIECAALKTVLLLTRESFRFPISCNINHVSASFSIRAVYAGQEGNVASVHTQCMEELMEHSLNKFPDRGEERFGEIILLMSSIRCGIKEIYNQTRVSDVYNFTEFDNVVKDILLS >CRE08866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1102325:1103498:1 gene:WBGene00056850 transcript:CRE08866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08866 MPPFVQLASTSISLVLNSFLCWLIVEKSPKTLGSYKQLMIYTSVFEMYYALLDSLADVTVFSHEFAVVVFREYVPEGVITRQFSMMLVIAYCVTFGMSMAILASHFVYRYSVTDSKFHQRYVSGKKYFLLFISPFFYAFWWTCALLYGYLPDSDSDEYLKFRFLETFDLTTDRITYVCPKFYKRGNHGELLFNEPAWVAVTNCLMMVTSSIFCALFLGTKCYLNITKQLAVTSETSKFSANLQKQLFNALVIQTVIPVVIMYIPILVFFLCPMINVNMGYSSKLISDMVALYPVIDPLPNMLIIKSYRKAIIGIYLMSYSGLRHDKKVFRFIQMSWEWQEQPSN >CRE09283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1104981:1105371:-1 gene:WBGene00056851 transcript:CRE09283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09283 MYLFIHTIQHFSFIFSKFTNFLLLYLIWKTARNLLGQFRYLMSTFAVYSIVYNYVNIITHPLVLIEKQMYVVFVGLGNLNEYESILCMFGASFGLCISLLCTEFIFRYIIICQ >CRE09284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1113131:1115934:-1 gene:WBGene00056852 transcript:CRE09284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-181 description:CRE-NHR-181 protein [Source:UniProtKB/TrEMBL;Acc:E3LHZ1] MPLSSTLFLISALSMMLTSSYLDPRPSSSSSSVSTSSMSSSPSTSSEQCAVCGDSVNGKRYGAPACLGCIVFFRRAVINKSQYKCWKKGNCVITFASRCVCRCCRLRKCFHVGMKPEAIQRRDLLGPRKPRTILTGDNMSQSIEVAMNFSPSPQSSCESENGDLTSTTFDIDSLIHLQRDQRAQHEAYSIHQIDAIGCVQMKTYGKYHNRARAHDINFVLKLGLENANEWGNQFEPYRSLSQKDKNLVLSEFGFGFLLIDQGYKTAQRADDGFWLLQNDTFMHPDYFFALSEEDAKRENAQQKAEFHYAFVNELVKCVSEPFKKLQIDEFECAILKTVLLLTRKFPFSSIYFSLSIQVIIIVIFPASFPGKVAYKDIEYLHNKCMSELMEHSAQKSPDGGPERFGEIILLISSIRCGVKAIYNQTRVSDIFHLMTFDPCVRNIFLS >CRE08869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1126990:1128255:1 gene:WBGene00056853 transcript:CRE08869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-24 description:CRE-SRI-24 protein [Source:UniProtKB/TrEMBL;Acc:E3LHZ2] MTIPNCSGETSYFSSSDFMALAFHVMTAIEIPVHAFVFYVIVYKTPARMVNVKWYMFNVHFWSAMLDVSFTFLVTPYFIFPATAGYSLGVFIWIGFDPVYQVTVLVIEIGSELTKLFFEKNYSVPFSVTIISILVLFENRYTALASSREVFWKRFRKGSFVILYIVAWTYFIPFIFQVPDPNLAVPIVLNELPTIRCFYTGPIFVFTLDSTVVARVTMLKLIVEFSYLGILVYMTFQSLIKEAKNAALSKNTLALQRKFFISIVIQTVIPFAILILPISYCGYSMSTAYYNQTFNNLAFIVISSHGLISTVAILLIHEPYRTSLFGKCLRNRENRVSAPNSNTTQQSARRSARRS >CRE08870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1128551:1129696:1 gene:WBGene00056854 transcript:CRE08870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-270 description:CRE-SRH-270 protein [Source:UniProtKB/TrEMBL;Acc:E3LHZ3] MSESCTEKYSFINTAEFFEFSFHCLTFLEVPIHMFVGYLILFRTPKRMGTVKWFMFNVHFWSALLDVTLSLLVIPYMLFPAAAGYALGLFTKIGMDLALQTTVVVIEIGMTVLSILVLFENRYTFLAGSSKLWIRARRIFIGFCYVVACTYFIPFNFMVPDQSLAGPSVIQIFQRLRTLRCFYSGPLFVLTQDATVVAWTTAIKLLAEFTFIIALVILTFLNILKKNKVASLSKNTMALQKKFFVSITIQTAIPIAVIIIPLIYCAYSLAYEFYSQAMNNLCFLVISSHGLVSTIAMLLIHEPYRNVLFRWGKAKRQSRTMSISIVRSFNDRNSTMH >CRE08871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1130568:1131669:1 gene:WBGene00056855 transcript:CRE08871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08871 MNITCIPNVNYFDSPQFLSTALHVITILSTPLYIFGIFIILTKTPIQMSSVKWYLVNVHTWIILFDYFLGFLTIPFVLIPLFVGHPLGILRHFGLSTLDQTIVGFAIFGCKFKSKKLIYKFSSVMITSIIVLFENRFHQVCMFRTKHYWTMCRKKWICVHYITVIVMLIPFKYLCPDQRPALQRTFQKLPCLPDYIYQAPLLVLAEDFAYHLGAIIFYLVICGIEVFSIMIYIGYNIMKQVKALTMSPKTYQMQKHFFIALIIQLAIPIFMLILPAVSALIVIIIDYYNQAFTNFYVIIFTCHGSVSTFVMLIAHRPYRDAIKIMFRKRGVESVEVSRRGLYARRSGMFMSNG >CRE08872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1132164:1133281:1 gene:WBGene00056856 transcript:CRE08872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08872 MDINCIPETNYFDTPEFLSLALHTNTVLSTPLYVFGIYIILTKTPTQMSSVKWYLVNMHVWIILFDYSIGLLTMPLLLIPCFAGYPLGILRHFGVSTLNQIVLVFLFFGFMATSIVVVFENRFHKVCTFRVQYYWNMCRNKWICAHYILIIILVIPFTFLTPNQNLAVQNIFQTFPCLARYIYDAPVFVLAENYVYHLGVCIVYLVICGIEVFSILIYIGWNFLQQLKSKTISQRTYQMQKNFFIALLIQLAIPLLMLIAPVVSFLISIVTYHYNQAITNFDIIIITMHGSVSTFVMIVAHSPYREAIRIMFRKRTVESADVSRKGVYHSNRSGMFPSTG >CRE09285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1134153:1136381:-1 gene:WBGene00056857 transcript:CRE09285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09285 MRQDIQSLRVLAIIFVFLYHLYPSVFVNGFLGVDIFFVISGYLMAKNLTKFKLKKLSDFTGFYYRRFKRILPLYFLVVFISVVLVHLFLGDFWWRINWRYSIASLFLVTNQVLIHDSADYFKHFLADEKSPNVFLHLWSLGVEMQFYLIVPFIFFALQIVKSDILKLIAVFLTSIIGGIAFSLINAHFAFYFMALRLWQFSAGFCALFWSRVFVKKLPEKVENPKVRAIIEKEDVVTPILSILFLCIFPSGIDKQVLRTLVTFATGALIVAESRDNKVGQLLTLLSSVFLHHLYEKQYLKLSWKYIFPLVFSLIAANAGLQYSIRENSGFWKISYPPELQTIIDNNKGLQDYWVRVPAKDKCVTTDMKHPDQASRGYEYCEFPRGKGNISIMNIGNSYVKNLNEHMRSQFNYNYSDYKFLSIVGGFVIYADPYAEEKLEMFKEQVKKHKPDVLFITARFSEYFKIPIQPNDTIVQQINKNIKYYEKFAKKIYILGYLPLYKLNFINHFLQYAIQKPEDLEQLHLNQKLADEERKYAVERLKMLKCERCKIYDLSPVFLEKGKYLSFDRNTTLTYVDNSIHLTGPGLELCDPIFSKLAREIMNSF >CRE08873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1139809:1141589:1 gene:WBGene00056858 transcript:CRE08873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08873 MVILKTFKSSLCIVSVFLFVFFIVLNYNLLSESYSNSFKNMLQLGASERNVDDLFPIKFYHIAFVDYRTNTPRLRIFSINGCLRNSKYLNVDIYQKGMRTPTRMKVYGRPLEGHCPSAYWLATPCFFSAHTFETHLTVTGGLTKVVIELGLRQVELSVQEIHNPVQIGITMCVQPVYYYTQWQNIVLYIEAWRAQGATRFIVFYHSSTKDTRKVLDYYQKLGIIELRPWPSFGNLPNDIAEKYPNIDNSAYIFAYFLALNICILEVKTTIGAVIDFDEIAVPLNGTTLDYATKEMTGTNVGALIFENNYVSMNPSIYTSDFSGVSSPTFYSKIGPQKVRHSKFKRFISYLLFQFIFNASVIELCQVHHVESFLDKSKITKKSDGALLHLRFNVNSLKANTISKPFRFFPNNASHHIENMHETVWNKVKSIFGKTPPPVSLKSLNTFNICEKRSENQGMCHGATCKSDMDAVHEWVYDRTEGVFLSGEY >CRE08874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1142447:1144197:1 gene:WBGene00056859 transcript:CRE08874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08874 MNLLKTFKSLLVVISALQLFFLLIRFNSFLESNYSINLRNVLQIRTDPPRLRIFSLNGCLGNNKFLNVDLYYKDKRSPTRMKIYGITLEENCPADWAPTKLCFYSPHTFVSNLLVTEGLTKVVIELGLRKVDLLIREIHKPVQHGLTMCVQPVYYYTQWQNIVLYIEAWRAQGATRFIVFYHSSTKDTRKVLDYYQKLNVIELRSWPSFGNLHKDIVDKKPNIDNNTFLFSYFLALNICVLDIRTTFGTVADFDEVIVPINGTMLDYATKEMTGTDVGALLFESNYVAMNPSIYTSDFSGVSSPSFYRKGLTKFVFNVSVIDLCEIQTIFGSSPPPVPMESLNVFVECGQRMFKQGMCHGAICKPDMDAVHEWVYDKTEGVFLAGEY >CRE09286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1144945:1146515:-1 gene:WBGene00056860 transcript:CRE09286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09286 MNVNLRERKSDTLTRCSVCTSLREIMRSGDSEDLRNKSKKDLEAHYSYISQQRIIMETLSKQSRDPDSDVLVILSDSMSNKYSKLPILLSRPKNISDAIRVPMSLTTFQFALQNGTHMFTNFDYVLHQTLYKHDGSFTFSLLLDALTKAKELPSTLVLIMDSARPNKCFTMFGCLGLLLSKVTTLQRVFVLFPQVGHTHLSVDSHFGTVSSHLKETDVYDPKGEDNNKKLLKQYCSELSETLKMIPSVFDVVSDPTIFDFSRLNEEVNKTPGLCSTNQIVISRAADGNDSDGFNIYISSAPSISSSILFETSSKEKATKLFKVTISCLNTFIIAIQDTFDPLKFFPTFQIRSTDQVRKAVDNLLTVGGSMFSPLNVDNFDQSMSQYGKNVRKMQLRHKSIISAFGQIVTIINRKARKTQRPLHSTTDNEHMTIVNHLKRRGYGVGHLPKQPKFD >CRE08875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1156135:1158641:1 gene:WBGene00056862 transcript:CRE08875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08875 MTFKKSLSGNSVLISCRENVTIPTSWKPSSLIVLLSEEFCEASYEKIYVGGKCLRMKSTQLEDGFRSESFFMENLMETPDYENGLNFDESPELGESYNSSYHRDTSHNFLSSSDDESEMRNVDEGIRKVAPITTSALDQKLMRERELEQYKTKLDNLLQNQIIPADERVVDSIKLVCTKMFPEDDEVIQNMSSDLNSSICLKDSDPIVVVSFGEKYNVVSGNRRATAYQKQGVAKVRVSKILEKEAPGYRLNQFFFPSKKKEATLFESVDNFKLLFQYLDISQEQFTTWKGFETYAVFGSHFGCTNKISEIFRMFRHEELVNAIMKHSDSDFHLSAITIRNLTRKFVQNPASVIQLVNRLKGDEEESVIKKEKTLTTTTKQDKTTVAVLTSIETPTSVVTDQKEVIHRFLSLNDDALGLLFGLRDEERGSSTHTLLVPDEFSLKDEFGCHHNHLSLTVYLKKDGKMISKNEVGEELAFMGVSYKTILTTADVQLVLSSQKDAYIEINETLLKVVSKLIKSSGFRSFVKSDAEKEILESYLFI >CRE08876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1159671:1161439:1 gene:WBGene00056863 transcript:CRE08876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08876 MSSVKWYLVNMHIWIILFDYSIGLLTMPLLLIPCFAGYPLGILRHLGVSTLDQIVLVFLIFGSTATAIVVVIFSFSSATLLEYVQKEVDLGPLYSRNNHTFPCLPRYIYDAPVFVLAENYVYHLGVCIVYLVICGIEVFSILIYIGWKFLQQLRSKTISQRTYQMQKNFFIALLIHGAIPFLMLIAPVGSFLISILTYHYNQAVTNFDIIIITMHGSVSTFVMIVAHSPYREAIRIMFRKRTVVSVDISRKVVFHSNRIGTFPSTG >CRE08877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1162040:1163108:1 gene:WBGene00056864 transcript:CRE08877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08877 MNLSCFPLVSYFDSPNFLSLGLYVTTTVGTPIHLFGLYCILRKTPEMMKNVKWYLVNLHFSIIFFDYSFGLLTVPFVLIPSLSGYPLGLLRHVGVTTRDQTLLIFALFVYVLISIAAIFENRFYTVYRKLGNTYWTFWRRPWLASHYITALLILIPIRFLVPEQETVKCRVFASLPCLPRYIYEAPVFILAEDYTYHMIVSVTYLAVLCLEVLTFVALLVMITLKQLKTHAISQKTYRMQRNLFRALVIQVAIPFVTLLLPLIYAFIAIELKYYNQAMTNIAIIIGSMHGFVSTIVMLFVHHPYREAFLDIFIRKNGQQDEAEIRRSRYLKNNSIAILKY >CRE09288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1163966:1167486:-1 gene:WBGene00056865 transcript:CRE09288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09288 MASLPNAYLPIGWFPEYQTFSYHFDYVTVIIILSFICFIPSVFATVQMILFYLKSSTQNGSNVIHPYVFKSFLCMQSCNIIATALDYIVNRISFTTLVTSYIATMKSEIIIRYLIAALYAFNYMSQLYTVLFCFIRVLVLFHPRTHSDVSVCSVANYIKSNTFQICSVIFKVCNAVGIQLDIPFQYGAITLTTTFASTNRLQTIGDAVFSSIVTFCIITTALLMIIKMKTLKLVDQNSKLKEKAETTLKITMCIILLPSMMTQIFAVRFRNSIYSKLLNRLQMTCFWASSYVSYILLVRMFLVDFRVNIVSCYFYWNHPCFKQTLTPKPVGVKSLSTIFDMTSLPNAYLPIQYFPEYQTVSYHFDRVTVIVILSFICFIPSVFATVKMLLFYLKTGTRNGSKELHTYVFKSFLCMQFCNIIATSLDFFVYRIPFTTLMTSYFATIKSEIIIRYLVAAHYAFDYISQLYTVLFCFIRVLVLFYPLNHSEICSVIFKLWSLVTCLFSSAVFFPNILSKAIGFQLDFPFQYGAIAFTTTFAYTNRLQTVGDVVFSAIVTFGIVTMTLLMIIKMKTLKIVDQNSKIKAKAETTLKITMCIILIPSMTTEILTLDIPFQYGAIAFTTTFPYTNRLQNIGDAVFSTIVTFCIVTMTLVMIIKMKTLKLVDQNSKIKAKAETTLKITMCIILLPSTMSQIFAMACFYATRYASYIILVRPILLDCRVNIVSCYFYWTHPYFKQRKMLNSVNVKSLSTN >CRE08878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1170455:1171756:1 gene:WBGene00056866 transcript:CRE08878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08878 MYINWPHYYIPKFNGLCSFLVNPIFIFIIFADKRLQLGNYRCLLLYFAIFNMICSACDILVPMRICNNVTAFSNKFLAKYFLPYGMIASIVYCLFHMVYWIVACFYCIGADRERKLYMRETIKEVYGLDSLDINMIIALYRFQLQEGSYDAVQKSLIGVVSITFLSVDSVIIYFILGLLIMKRLGSNTLIMSKKTKKLQAQLMKALIVQSVIPTVVSFAPCIFSWYQPVFGIELGRSVYHSAAIAVSAFPFLDPLAILFFVPTFRQRFKEEISRILLLRNLTKRCNPTAETVSSRVP >CRE08879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1172184:1173310:1 gene:WBGene00056867 transcript:CRE08879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-129 description:CRE-SRH-129 protein [Source:UniProtKB/TrEMBL;Acc:E3LI07] MISSCTPDTSYFASPEFLLFSLHGVTAVSVPIHLFGMYCILFRTPEQMKSVKFYFVNLHIWIVMYDYSVCLLTVPMLLLPTLAGYTLGLLTNMGVPTILQTISIFLFLEYVLLSILALFENRFHIICDFNWKPYWTSIRRVWLGAHYLIGLVILIPFAFLTPDQNIAKTLIFQQLPCLPDYIYNAPIFVTSDSYTYHITVLVTFLIFGVSEAILIILLLITNTIQRLRAKKMSQKLFEIQKKFFIALVIQMMVPLIFLLIPLSYACCVIYYNYYNQAITNIGVAMESIHGIVSTIVMIFIHRPYRDAFFSMFSKRPRSTSSKSSQRFNVSNNRVVPLRVSMNKV >CRE09290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1174139:1175016:-1 gene:WBGene00056868 transcript:CRE09290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09290 MYINWPHYYIPKFNGLCSFLVNPIFIFIIFADKRLQLGNYRCLLLYFAIFNMICSACDILVPMCVHDHRYAFSVFTSDGFFEKVGKHDKFSFQICFQYSETNQFMLAFRCSFVSATYAILHAHFVYRFMRICNNVTAFSNKFLAKYFLPYGMIASIVYCLFHMVYWIVALAILLFVPTFRQRFKEEISRILLLRNLAKRSNPVAETVSSRVP >CRE08880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1175774:1176917:1 gene:WBGene00056869 transcript:CRE08880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08880 MTLQCHHVDSYYSTPEFLERAGFIYIILFKTPKAMNSVKWYFVNLHIWIMIYDNFLGVFTIPFVILPTMSGFTLGLLRYIGVSELMMNVMILLACANTLISILAIFENRFHIICNFSWKTKWEPCRKCWLGAHYTYAVLIFIPFWFFVPDQFEAKKHIIEFLKENDKTNNVLQKIPCLPDSIQNRDIFIIAENYTYHLSSLVSFLLMGILECAVFILCLIWNVFQQVRSKKISRRTFELQIKFFIALIIQMGVPAFMLVIPLTYVWVSILYNYYDQVYSNSVIIIETFHGLFSTLVMIFIHYPYRQAFISIFFKCLIKPKQDRLRSAISVAPISVTATRSSWRSDKI >CRE08881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1177194:1178328:1 gene:WBGene00056870 transcript:CRE08881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08881 MSQNTNLTCHNVGSYYSSPEFLANTVHIVTAISNPTHILGLYIILTKTPNSMKSVKWYFLNSHIWVIIYDNFLGVLVIPFVLIPTWSGYTLGLLRHIGFSDLTMNALILWVCTNTLLSNLAIFENRFHIVCNYSWMHKWTPWRNYWLAAHFSYAALVFVPFWFLIPDQVEAVKNVFKKISCIPDSVRSEPIFVVTEDFTYQLISLVSFLIIGTSEFVVFIICLVRNILQQLRSKKISKKTYDLQIKFFTALMIQTMVPTVLLLLPLNFTWMAILNNYYDQASTNFVLLFETLHGFCATVVMILIHHPYRQAFLNMFFKCLMKIEEERPRSVISVGPTLIINDKV >CRE08882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1178809:1180125:1 gene:WBGene00056871 transcript:CRE08882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08882 MISNTSEFTCHHVDSYYSTPEFLSLAVHMVTAVSIPTHILGLYIILTKTPSYMNSVKWYFLNLHIWIIIYDNFLGVFTIPYVILPTWSGYTLGLLRHLGVSDITMNVLIVLACTNTLLSILAIFEHRFHIVCTYSWKSKWERYRHFWLGAHYVYAVLVFIPFWFLVPEQVEAKKHVFEIFQKIPCLPDSIRSGPIFVVTEDFTYHLTSLVVFLLIGMTEFFGFILCLVWNILQRVRSKRMSQKTYEVHVKFFIALLIQTGIPTVMLLIPLTYAWVSILHNYYDQSFTNGVIIFETLHGLCSTLVMIFIHAPYRQALFAMVFRCYMRSDEYRPQSSSSVVPTQNNPNVPDKPQP >CRE09291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1180849:1183086:-1 gene:WBGene00056872 transcript:CRE09291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09291 MSNTVPTWREAEIIQLVDFVAREAQNREGGFNAWKVCEKYQKETRSKRKLEEIIEKCRQSICNRPMYLDDYDFDTRVKVYFSMKIPVNNSLMKLMRENGEVELDKVNVIEMYRGCNRGIKLGFADIKAREPESEEKMLEFLANFSRYTSQPVTNLCLAQEYKRITGCRHLIPTLVERFRLVIKPAIQTSKQYDLLTRIRMLSVSKTPLGDGFLAKIRRNAFVQVDYKNRIEVYIANDDSLQLYCLSKYREKVAIPVVNRETSGRGDGKRVEERTLVRKEARFSPIKAINRRGESSDSPLFPKPVNKQKRIHMSSDESDSDVICDSSRNKRAVTLEAEPNYVPPKKMEKAAEVPQPVIPDKEIKQEENVVRDFATYLNRLLAKNNQNALLNPDQLVVLNREIKQEETDTSDRSCPSVTNGTMTPSAVGSPRKDYYGDNQHSFVNHGSTSTRDFLKLLRGLVLTMDSPMLESIGLLIQRTIDECGDEKVLISDVVLALKTIFRMVIKKSSNNEPEETSLSATKLLTLLQSIVFSLDAPVTLELRDSVRAASEVGAAYKVSVKTVQLALESSIELVTSQHSRL >CRE08883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1184087:1185208:1 gene:WBGene00056873 transcript:CRE08883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-240 description:CRE-SRH-240 protein [Source:UniProtKB/TrEMBL;Acc:E3LI13] MTNSCIPVTGYFHSSNFLSFALHANTTISTPMHLFGFYCIIRKTPDQMKSAKWYLLNLHIWIVAFDYSFSILTAPFLLIPRFVGFPLGVLRHFGVPIIVQVVMVCVFLSNMLVSIAVLFESRFYSICNFPGKQYWARYRRIWMLLFYLAGCLSFISFTFLVPDQEVAKKNMSMRLPCLPDYIYQADNFVLTENITYHLSIFVIFFITFGVKTLSFVLGLFWNAVRQLKDRTMSQKTFHLHKTFVIALVIQGSVPLFTFAFPVVYAFIAVLLDYYNQAFINIAVAVLSMHGFLSSLVMICVHYPYRKSFLDLLRVNSKKISKRWFRYQRNAVAVIENS >CRE08884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1190120:1193470:1 gene:WBGene00056874 transcript:CRE08884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08884 MSKKSSRKKSRRGNAGLSPDSSGSKAAGSSGGTEYAGSTTCVVLPVPPITAQTLNKTLSEHSLYGSDDDMELKRKVSSRDGNSDGSGVQPMDVEEQHETSDTDSFVEGIEQMEVMDELAGDEPPALEALDGDAEEPTEGAIEGENSFAEIPPGDAQSLDEVMTGPIHKFARCFDNEGKKEEWPKDPSRKAVAKETLASMQAFRRMTWEAKDEPYAPPEDIDESKEKTQFGVYPMSTPYGCYQAVESYGSGVILTPCHREIVADDRPDLHALFMDDTALDTRSNRRLDLTDIHLSDVFFVEKIGVKKYAQPEDFIVDLAEAVDWSKHKFWQGKRVSRLQRITLRSEIAVLMPKIGTKKQQVFLVNNLPEAATAKTKIVGHHAKQDLYLAVDVTVPACQPGSWSRGYQPSFSDEEAHLRTIDYLLDVPHMLPVITKVDSLDPRRKGIMAVAPNFFGPGVAPTDTEFQRLQTVARLGIFTNEAMRTALYDGMRYRGEVTEVLHQNREEFVIQLQLPKNHGFPQKKWKQGVKVSIRFEEESIGATVIAATVEKDKALVRVRPLRSGVTPQGWSGSVGLQVFVKHQVEDPQRGAVRMLGIKTIPKYADYLPGMKMLAALHMGPSVPEIPDFSNLKRFTISNLELTQEQSSVIHLLSWKGFTACTLSCGPGSGKTTTIIGALVQHHYSSPEDFAVFVANSNSAVVQGAETLKRLDAEDSIHAVRLISSGNCSTIDEHQLSDIDYPRIWQRIIRQKVMQEDKSARRPQDFVISGAQWLWRHHLLSKNDLHNEHLRRALHVPVVGMPEQPRHSLMEVFFRVYKPKVVFGTIDSVRQIFKREKFLENWTHHVKTVMVDESSQVGRHSIINLAYAFPSGRFLLVGDEHQLPPYGEHGYPEELYKLHNGPIFKDAVSLKLLPNLRLSTVYRCPKQSVDLLANLYYEGQLKPYKDAVDTCPILKDLGLPSGHPTLIINTKTMDTRVGTSWCNMKEAEYAAKIAKRFIASSHHPRRTIAVLGYFLPQVHETAARMPNGVFVSTVDASQGREFDVVVLMTTRGEDFKFSGFLCSPERFTVAVSRHKQALIVLCNTDRMKNVENWKALCDDVKPQSTVDADRIAFLRDLPK >CRE09292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1194131:1195290:-1 gene:WBGene00056875 transcript:CRE09292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09292 MALKFTNFPYLVQKNILKNMELSEIFMTSLCSKKAKNCVILARIKVSKVWHEMRGNKLSIRIEQKGQRSRTIIKVSEVPEEQMRHAFRVRIGEDFDGLAKIRVRVSKRNENKQHYRIEVYHIGETLKNSLNDHFKALFQYRESCALLMDVSKISERMPNFGIVNEIFLVGKAIVELEDLERILSQWPNLDTLSIFPTIKGDFTDTSKILVAQNVFMKNIGAFGMNILKNFTGRNINLQRVDVVEAELREILIKWMNNEAYSKQRKTFILNY >CRE09293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1195881:1197915:-1 gene:WBGene00056876 transcript:CRE09293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09293 MDLNKRLVRFLEAKATVVISPISLRELAEMYNDHMTDVRIPVEEIVDQFEIILSEIHLIKRIRPTKKVAIMFMTQHPVTDLLKSEYFTKNLKRWGKWRLDEEKRIIKYSALLANNGPNRKERSQKIKERSQRITWSLPQRMVPTAKNGPKILGDTSCRIEWSLPQRMVPTAKNGPKILGDTSFRIEWSQPQRMKLVKNLTNPIXXXXGWDHSILKVGSPKILGPSFAVGTILCGWDHVIWKFGSPKILGPFFAVGTILCGWDRFLRLGPFFANRAKYSSHTLPVLCFNRKINSIEDGSVHWEFDEIEHMLNFIHRNASNPSATFARTFRRYHSLVKSVKGRTVGDVRAKIIQCLNYEIELGVECIDYIASIVIRFRIPPEKNLLEAISAVATYQLDALNYLAFYKRGQCTFGKEDKSRLEATRHPGNEIRISELLQPLRDAVSNERVLFIYPFLLDEIDKRLLEISNTKEHIEIMSVRKTMKRVIGTIAPFLANNEALNMDSPVFLCKILRIVLAVANKLSDPVFEGIKKELREMQKKNDGSFQRISYDRAFEAIKELLYMRNI >CRE09295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1202906:1208058:-1 gene:WBGene00056877 transcript:CRE09295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09295 MNNDSNISSTNPMLEAFLSRMLEISQVAVVFKSIKNEILAFFESLSLSLPERPRLIDFENRVIEELEKEIDVRQRFTGIDVLKMIHVFRLEMSDSCYEKILCLGKLSLSEEKKIISFSSKDRSFRIKEPTPEIIIEFMTTICDTLHEFKTFVELANGFQQWRKRKFWGPVDHHSFVNLFTKLTERISEAVPFYHCLNLQKKIDNKEIIDGPYLMKLRTYFINVDLADWPHEDIVISFSAPSNCFDAFREKLLEIRIIKEEIFETEEVESSNSKRQRESLEDEPLMAKKAKIDNQSQSNSPHQQQNLDTLDASLDQCGSSIDADVPGPSTSENIISEENISNNILMTVVPYFCHFDDHDYLQYKVDFIQEQQYLESVSPEQQIESRSTLDEHPSKPYFCYLDDHDYFPSSAGFPREQQNVKSVSPEQQVESTSTLDEHPSRVSNPVDEIGEGCTLEMINDHPNGSNIDFLEETEILQAGQSSPHMNEDREPLSSTQEQPIVSVALSILPKSLPISTIQGQTLRDAPLRPVHQSVVMTTQKQGEICKKEQLNKFLELINQMVAAFQKNTNSIEYIVSDACNKLEGLKLTTRPWISLLKRASSLLLNGRQHKFVDLDKRILIHFAQYEPLHESIIAELISTERMDDFELDRQERITYYRSGSWWLGEKSNVHQSFKFFWKNTTPLQRELLILLADLSTRRSSIMSRKEIIDEFRKNNADIKDYGISQELMKLLPNLRLALQYSVKTRVQMIFLTQSELNGNFKSMLDKYGKLDWDENKRIVKFVTSEDTLKTHNWSLSLHRDSENSSSNVDENNTDPKFTSSTRRQPNVSSSGQLRNTITPNSFDGSRSTTRQRQWTLPLHTANKFQRRTLPDAASVPVQEQNVFANRQAPTLTVAEKPSNQDIPLPIKLMTPVDLKINVLRGLEYSVVSRKAILKIVHSAIRQQQRILMPSELDGFKKFEETVEELRRNTLPRERISSRALTESLLEALEMAQGHAENKKNSDHGSIHIWKFLGFLKSVARLIPSVAIPTLLDKHVNVDKFTVIPIQKALEAFRLSVLFDQ >CRE09296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1209207:1215720:-1 gene:WBGene00056878 transcript:CRE09296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09296 MNNDSNISFTNPMLEAFLSRMLVISQAAVVFKSIKNEILAFFESLSLSLPERPRLIDFENRVIEELEKEIDVRQRFTGIDVLKMIHVFRLEMSDSCYEKILCLGKLSLSEEKKIISFSSNDRSFRIKEPTPEIIIEFMTTICDTLHQFKTFVDLANRFQQWRKRKFWGPVDHHSFVNLFTKLTERISEAVPFYHCLNLQKKIDNKEIIDGPYLMKLRTYFINVDLADWPHEDIVISFSAPSNCFDAFREKLLEIRIIKEEIFETEEVGSSNPKGQSEALEDEAPMTKKAKIDNQSQSNSPHQQQNLDTLDASLDQCGSSIDADVTGPSTSGNIISEENISNDTLMAVVPYFCHFDDHDYLQYKVDFIQEQQYLESVSPEQQIESRSTLDEHPSGPYFCYLDDHDYFPSSAGFPLEQQDLEPVSPEQQIESTSTLDEHPSGASNPADEIGEANPFEMGNGHPMANGSIGFLEETGNIISDEELKPSSSNQKQADEALRPVHQSEVMTTQKQGESCNKEQLNKFLELIDQMVAALQKNNDTIDIVVSDEYSKLTTKPFISFLTRVFNLLRNGRQHKFVDLDKRILIYFAQYQPLHESIIAELISTERIDDFELDWQGRITYYQSGEWRLGEKSNVHQSFKFFWKDTTPLQRELLILLADLSSRRSSIMSLEEVIDEFQKINADISDNIIFQELINLLPKLHLALQYSVKTRVQMIFLTQSNLNKQFKAELDKYGKLDCDECRKIVKFVTSDDTLKNHNWSLSLHRDSEISSSNMDEYNTTTTRQRQWTFPLLTDATSLPVQERGERNAFPYNQVIDSLHTSFSKSASNEKPMKTNSQFSNEETDDLMDYVLGEIEKHEDSFRMATTCKTYRLMKKSERGESALIKKVQKEIRGRLQCPDYSVEERIFINWCLKWPLHNTIVKELILNKEIDNFEIDEKGLLVYYESPEEGGLSLGIKSKNPSVHHQFWINATEIQKKLLNILSSKRALISTDEIIEEYQEQNAPLDKEQILDELNQLLPNLHLARQYDLKTRAKMVFWTQSKLHEMFEKELRQHGKLTLDNTRRVVKYVTSRYTKKIYKWSLSFQRETPE >CRE09297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1217192:1219775:-1 gene:WBGene00056879 transcript:CRE09297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09297 MAGRQRSQMDSSGNFNINHLYKFIYDKCSSASTFLCLKTICEAYNKPPRKVLPDGEILYNQALYDLINHDRIGYFHGKSVIKVIYILRIPAKPRFLDQMRIDFHIETDINNCIISCTDKKGKLTLGWTDNVSFVFISPVITLFLQTIPVVSYETQHDLLHFMAEHSLTISQPVADEELARQYAEKRKTSLPISELAKQMNIVKPAILKEQTYIFHTRILMAFMTQYPVDQALKKKMHIHGTGDMDGNIIITYTSNDGRIKLEKPATSDRSSGEPEVRPALQHFGVMKAKRKRMKKKLSDTEEQESSSQDGGEFQETQEESPSTSHFLTHQASQYEEDHPGGKRLKTGRKRKSKQQDSSISSQPIKKTASISSTAINDMPVINQLHTNLTPASTAITPQYPGISNQNAIVEREIKLEEEEMTSYSGFQEETEMIPGINCFGQDIDEPQREEMLRRFQERWFFVPGVAPDSEIPTEHTLNHTFNPAMPSTSQQYYDSLKLPGKVSTSEFPEVPTQNLGTIVSLPSFINPRATGNANAPLGSFWSSETYNMLSTMPEPGVDKIARIESTTPPGPTISDDGASTNDSNKTDSPPTLNIFSPLPTRKSSVEEERSSPAKGCITRQFLKELHGAFLSLSTPRCSEIVRIITEKMYKTRGIEVLTRVEEIELAIHSSIKTIGKNRQLAEESETFIDIAALFTALIKLIKELNLESLSSLEQRLVELSQEYSQKKYSVSIETVKHLVWNILDTCVP >CRE08885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1222607:1223715:1 gene:WBGene00056880 transcript:CRE08885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-277 description:CRE-SRH-277 protein [Source:UniProtKB/TrEMBL;Acc:E3LI22] MNLSCEPNVGLFESLDFYSFCLHLTTLISTPIHIFGMYCLLWKTPIQMSSVKWYLVTMHVWIMYFDYTWGLLVVPFFLFPAVAMYPLGVLSYIGIPAVLQVILFILAMTYINISTTSIFENRFYTLCMFSWKHNWTVCRRPWLAFNYIIVTIAMIPLGLSVPDQISSRKRVFETLPCQPRYIYEAPVFVLANDYTIHIVFVLAFVFFITIQIFIFLVFLVWNTVQQVKSKTMSSKTFEMQKKFFLTFVIQMEVPLFLFLTPLIYVLISATRNYYNQSATNIAVITASTNGIVSTLVMVFIHRSYREVVFALFRRSRIAEVSQIRVATPRSAITIVTT >CRE09300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1226243:1230608:-1 gene:WBGene00056882 transcript:CRE09300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09300 MNSVLSSADVGVFASPNFLSISLHVVSGLSIPIHLFGMYAILLKTPDNMRALKWYLASLHIWTVLFDYAMSVFAIPVLLFPEFAGYPLGLFKVLNADYYAYLVVIEFVFIGYVLVSIIAIFENQFYIVCSFTGKTRWASLRRSWLVFHYFFATVVCISFGGFVPEQTQARKNVFQKLPNLPSYVYEIPIFVFTENGTYHLTMFLILIPSICLEIGIFVISTVRTTSKLLKTSKVSRKTYSMQRVFFIALVIQTGLPLITLVVPFAYSWVSVIWRYYNQGLMNIAVIVTTVHGVSSTLVMLLVHRPYRNALISAFSIRSTSKKQGSSRRNTLKISVKMSSAYNVNHSFPIGLLDSPRFLSTTLHIISAVSIPIHIFGMYVIIFQTPETMKSVKWYLASLHISTVLFDYSISIMILPVIMFPEFAGYPLGLFRLVNEDYYVYPVVLTIFFMGCVLVSIIAIFEKQFYTLCSFSEKAKWGSFRRPWLIGHGILTTGSCISFGCFVPEQTSARKIVFQKLPRLPDYIYEIPIFVFTDDGSYHLTVFLILIPFTCFEISIFVISIIRTISKLLNTSKMSKKSYRLQKIFFIALVIQTGLPLITLIVPFVYSWISILWGYYNQGLMNIAVIVTTIHGLSSTLVMLLVHRPYRDRLLAVFCFERDLQKSGRKTPLIVAVHGYLTKKVICYFESPDSLSFTLHIISAVSIPIHCFGMYLIMFRTPEIMKTMKWYLLSLHVSTVLFDYSISLAIIPVIFFPEFAGYPLGWVRLLDVKYYVFAVVIGLFFLASVLVSIIALFENQFDTLCSFPMWSRWRRKWLIGHCFLAVSVSISLGCFVPEQSKAKQDLFQFFQKLPHLPEYIYVYPVFVFTADGAFHLIIFIILIPFICFEVSVFVSSIVRTMSNQLHTKRMSRKTYQLHRKFFIALVIQIGLPLVTLVIPFVYSWISILWKYYNQGLMNIAVIVTTIHGLFSTVVMVFVHRPYRDTLFSVMCFGNVFPKSTFRRNTLVVATMHGLLISF >CRE09302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1233789:1234896:-1 gene:WBGene00056883 transcript:CRE09302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09302 MSFPCIPEAGFFDSPEFLSFALHFTTSISTPIHILGLYFLLFKTPDYMKTVRWYMVNLHTWIILFDYSVGILTVPIVLLPSFAGYSFGVLTKFKVPNLYQALLVLNCLGYVQISIMAIFENRFHTICDYIGKEYWTWLRYPWLATHYFGVFGVLLSFGYMTPDQSFAIETVLKACSSAIQFCNNPFLEAFQRLPCLLRDLYEAPILVIAEDYTYHVIVCMSFIAFYCTEGFIFTGSIIYHSIKQLKTKRMSRRTFQMQKNTFIALIIQMKVPLIMIIVPSLYGWISLLTNYHNQALMNIAVTIGSFHGAFSTVVMIFVHRPYREAITSIFVKQSGRVNVTEFQQRKDIFVVINY >CRE09303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1235147:1236262:-1 gene:WBGene00056884 transcript:CRE09303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09303 MTLEINVSCIPNTGYLDTPKFLSFALHLTTTISTPVHILGIYFLLFKTPVQMSSVKWQIVNLHSWIILFDYSVGVLTVPVILLPMFAGFPNGVLTYFKVPAVYQAVIVLTFLGYVIVSTVAVFENRFYIICNNWVYKNYTNSRQLWLLLHYIAVALVLALFCYLAPYQMTAVMDVYRNLPCLSENMYHSDVFVLADNHLFHLIIIVIFIMLTCFEILLFVGCCIRDIFVRIKSTKMSQRTVQMQKTFFLAFLTQMTVPMFMLTFPFFYAWMAVIHSYHNQAMTNIAIIISSLHGFVSTIVMLLVHRPYREELLRLVFRKSIGKVSHQSNFIENRHVVL >CRE09304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1236999:1238123:-1 gene:WBGene00056885 transcript:CRE09304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-185 description:CRE-SRH-185 protein [Source:UniProtKB/TrEMBL;Acc:E3LI28] MSSTSCEQQTFFGSIHFLSRFLHTVAMIGTPFHIYSLYCILMRSKNSLKVMKWDLLTLHLVNISFHYFISALASPYVMLPAMAGSPFGIMKHFLIPCNVQIYMSTGCLALVVISSPVFYERWYYELFRKKSKTWGRVRKWWIAFHYFGVIGIVGFAASEAPDQAESIDLIFKHLPCLPDYFHTSPIFVLSDKFSTIVIAFTVLVLIVASEDIFFTMLTLLFTSEELHSGKLSTQTFQSQTRFLCKLHLQVLIPLPTLILPLTYAILSIIGDYYNQVFNNLFVISASFHGVVSSLVLIILHSEYRRPLFCFRRNILHVEEIQKDSSSSNAAVVFHRRHSIVVIN >CRE09305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1238417:1239632:-1 gene:WBGene00056886 transcript:CRE09305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irg-2 description:CRE-IRG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LI29] MSEDIYFWTKNGRPDPNKDHDRNSFCHYMLSNVKTQGVIIKTYHLKERSLKDVNFLEDCFSHQDFTPFVKYSLNKKRRWETNGNSHCLANLQENHPDMKVMLSERVEHRCSPYFCYAPFPYYFDYFKVKQLHELNDSTYEVKSTKSVFGQPYKNFLLENRLPGKPETKAVVASSKPIVAYHLITTPKNFEQLKNKDFHPGRHDKKGHRTLAHWRRIKTMEDNISSLKYDQFEEIEERMDMTDDDSFWELDEKPKKL >CRE08886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1241829:1243044:1 gene:WBGene00056887 transcript:CRE08886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-22 description:CRE-SRT-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LI31] MDFIFGDFEMSLTYFILNGFQVNPDYYACPDDVSLRPVGVRQKFWGAYFFLSGLAILILYIICFIAIATSDLMKTPAYKSMFVLAIYDISSTFMHSIATGVLGFYGVAYCDYPRLNLVFGSIALGSWLGCCITSMTLAIIRICDVCPTLKVRKLFEGRRIYNFIFLFFVYGMYAAFFSKPVTFSSEYMSWFFDPGVGNDPSFYVNLTHTFNNGLMAVGTVLLYGYLAYFTLKKPGASGGQKLSKYQINILLQAFFFCIFHFIGSILYVYMQFVSAPEYLIIRSQLGWQFGTGSVCVVYLTLNRSIRKSISKHLCPKCLKKTNQVSVIASLDSRAYELSHVQIN >CRE09307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1246193:1247860:-1 gene:WBGene00056888 transcript:CRE09307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-33A1 description:CRE-CYP-33A1 protein [Source:UniProtKB/TrEMBL;Acc:E3LI33] MILFLLFTFTVLYFFNEFYWKRRSLPPGPFPIPVIGNTIELFSYPPPGTAAYAAWTKKFGTIYTMWMGTDPAIVITGYKELKETFINDADSYSDKMIFEKFNTSLRGGDYGVIDTNGNTWKEHRKFALHTLKDFGMGKEAMEASIQLEVDSIEEELNKMEGKEVNIQEQYDMAIGNVINQFLFGVRFNDPLKFNELKQLLDLFFEVQGSFRVYFAYTVSWLPQWMVELLTPDVGRVRDGVFQFFQQQIEEHRNEIDFEVEESKDYVETYMKEQKRREAEGDFTSFSDDQLRNMCFDMWVAGMHTTTNTMGFLTAYAINNMEAQRKMQKELSEVVGDRMVTMKDKLNLPYTNAFINEAQRASNLLPMNLPHATTREVTLAGCTIPKGMTVIHQISSILSDPEIFLEPEKFLPERFIDESGNLKKVEELVPFSVGKRVCLGEGLARMELFLFTANLFNRFEFCEGANGLPSLDRTYAFIAKAMDYTCQVKRRYVN >CRE23106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:23267:26052:1 gene:WBGene00056889 transcript:CRE23106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23106 MGDGMDDDLERSMASGDDKVSMGAVQMSLAERRKRTVGFANFLATVGQVEEEVQQMLKTMTVVSSRQVDVVQGPRYAGVCAIPDKSAETVAKAFVENWLLKEGRVPKAILTDQGLEFANATFEKVAKMSNIKLIRTKGYNSRMNGAVERFNRTIQTVLKKITVIPAEWDEKLPYAVFAYNSCRHDATGESPHYLMYGRDARIPMKADAEELVGRYQVDTDEYKFRHAEQMNSAQEETRAHIKREQEDAKRYFDKKHGVHKIKYPVVGDRVLIKSPSEKIGTKNAKLRNEWQGMYRVLKTTENSAEVIPIVGGKETIWVPWEQVRKVPIEVPEMSVKAKTRRGKRGVRESGESGVHEIGNIEISVENMNSFRNFVINGCDCKFGPCHVKLDEQSCRTLEEAANLLVMRNKQIRGADGHMMMHSAMVRKEVGEPEKVEALKMFAKECSMVAKAIMNSTIQEKEWKAAAEEVKKEVEERLKPKKTVIREPEILIGPRMGIKGKGLLEMREANADGWVDKYDFEQVQTAVFLLTLTTDEEKNKRTGDVIDKLAREVKELVVCPFRMDCTFAEVPLVTETWKRTLMTSANAIWIEPMKSVGAKQMPMITTAPERFKTAKELADFLEAVMPSGGIVEMLRKDLEKEPPSKRSRPSHQ >CRE09309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1258067:1259259:-1 gene:WBGene00056890 transcript:CRE09309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09309 MGSIFILFLFQAIVTYADPKVQIDDTVKDCTPKELVVAQGKCSKYVNDLIVLTEEGDTKSRNVTDACKSVTVMLQPGSSCFGGIQCAEAEKSKRTYEQKCDKLEFTNNDVASCMSMFYNTVYSKKENCTEDFDYFSRDMRIKRESYTAGKSCFFKTINKTCNATSVAYLEKNYEKLLDVLTIPSDGDACSSLHDELNARQCEPQMREFMINGMIQAISMSFGMKERSNTTKTCSETKECMSQYCYFSKNTTQQLEQLCDELSKKPTTFNMCYMGIRMSTLDTKEPANYECQEKYGRKPMVEPVNKALEKQYLKFMDDKECMRTIMKEECDQSVLDVFDEEWDKLQKEKNSRTSTRSPF >CRE09311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1262770:1264003:-1 gene:WBGene00056891 transcript:CRE09311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09311 MISSSQNYLSWITVTHFVPQIGFVSSIFFGLILLFLNYHGAQKMFGSYKYVITIFTILGMLFATVEIIVYPNTHNYKAGMLFFSFTESFGLSGSKTKNFPLVGYTFVHSATMSLLSVQFIYRYCLVFDVNKLQCFKGWKSVIWYIYCSFFGFQYAVFFLYWAHDEFSPEYFREEVLLRYNANISSFPAISCVAYDPVDGSVRWWNVIGIINVCSVMIVQYGVMIYCGWSMHTKMADKIKHFSKNLRKLHEQLFKTLVLQITAPTLTLFLPASLIMLLPILNLDISIPSGVLLCSFTLYPALDSIIVMFVVSEYKTTAKKVFKVIGKTIVEFNQSRNEPTAITSAP >CRE09314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1271357:1272590:-1 gene:WBGene00056892 transcript:CRE09314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09314 MIFSSQNYVSLISVTNFVPQIGFVSSIFFGLILLILNYHGAQKMFGSYKFVISAFTMLGMLFATAEIIVYPNAHNYKAGMLFFSFTESFGLSGSKTKNLPLVGYTFIHSATMSLLSVQFIYRYWAVFDVNKLQCFKGWKSVIWYIYCSFFGFQYAVFFLYWAHDEFSPEYFREEVLLRYNANISSFPAISCVAYDPVDGSVRWWNVIGIINVCSVMIVQYGVMIYCGWSMHTKMADKIKHFSKNLRKLHEQLFKTLVLQITAPTLTLFLPASLIMLLPILNLDISIPSGVMLCSFTLYPAMDSITVMYVVSEYRITAKKIFKVIRKIIIEINQSRTEPTAPTSAS >CRE09315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1276737:1277975:-1 gene:WBGene00056893 transcript:CRE09315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09315 MFLALVCLFHVVSIQASDNILSDYVFSKDCDPKESVLSLGMCTRYINQLRLAIDETPSVNTSIDKMNSVLNTCHSVVVGDFLRNTNYYMNFQKCYNGSECADVKKNAVTFQEKCLFLEFEYFGVTDCMSDFYKEVYEPNNKCTEDFDWFSKDMEVRKDAYTSGKSCFMSFVNNNCSAKTNEYIDSDYNHFVKIMTVDSTPNTCESLHDEMNGKQCSPLITKYFEQVEKYKSAKALNQSVKVNLTPVCLKAKKCWNEQCIYRADTTNTMEKLCEAAADLSKPTTFDECFVFVKTSTPAAEYECTQQSKPKRRINPGPDLILSPYVLLPHFLNDKDCVKTMMEGECDQSALKSFEEDWKRTWNEKDAIRDKLDIIVNTP >CRE09316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1278529:1279814:-1 gene:WBGene00056894 transcript:CRE09316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09316 MSAVKYLLILINLLFLSGIPVAVQKTENVTLKNCTTEQVLAVQQKCDLLAKEVDGYLEDYDGEISTDEVMKNMTELYEKVTNCYSQFGCKEAVEYNAKLEREASDRKVFNTGIKDCMLEFYGAIYEGSYNCTAGFDWFSKDSAVKRDGFIKGRSCLIEIATNECSNKTLNYLNSDYESFVDLMTVKPSGPPCEGLHYELNDLRCNQPIERAMMQGFRMISKLMPMEDEKKEDYKPIIDFFEKDTTNLTLICVVLKDCLDNSCSLPKGLGDFAGNLCNEVKKAENLNEAFFSCMKHLDDRINGTKYPCTQKYEFVSFFSIQGQKDYFWKDKECARSVMKGECPEGALVDFDAQWEWATNIAMKNEESKNNVSVSLFN >CRE09320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1283579:1286041:-1 gene:WBGene00056895 transcript:CRE09320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09320 MFKSTEFLLLLLASFASSAPSQNSTSGDVTTCLKAFYRAAYNGDYNCTDSFDFFMVVAKNLFVSNVHRFQVIPELQQLGFTLAKSCFLEIAKEECSVSQYNLLSTKYQQFLDVLTTQPAAGTSCSNFYFKYNSLKCQPLIEDLAQKAFVISDHHLKLNDTKILDTIDLCAKVEMCLVPECYHTEKAKKGIHDSCKEIGMRNTEFTACLLKIQKLQPDFSEYSCLDDLDFSSPSEQVVIELFTTKKDCSYKIMKEFCGEKAVQDFNYYASLTVRVNVKASQVNNVFTFTLTTYRIMYILLHLIFTFLLLLGLAFTAPSQNSTNADVTSCLTEFYRMAYTGEYNCTKSLDLFSDNQETKIASFKKAKSCFLEVAKEECPISQYNFLSTKYDSFLDSLSATPPAGASCSDLYYKYNSAKCTPLYEEVGKKMMPLATLDVKLNDTRVLELLRLCDKTMECLSLECYYPEEKVKYLHDRCEEMALKNTEFAACLIKIDKLSPDFSEYPCLDGLDFNSQNEETRIEILLKKKCAKTIMEDICGERAIDNFDYNTALTVRILVMNTQLRKVVFDNV >CRE09321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1287569:1289225:-1 gene:WBGene00056896 transcript:CRE09321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09321 MQKLTIFLLLALLGMAYSQWGGNGGGWGNNNGGGNWGNGGGRGGNNGGWGGNNGGGRGGNNGGWGGNNGGGRGGNNGGWGNNNNGGNRWTENPNGPNRGGNGGGRGGNQGGRGDGRSHGERITDNVLGSIFG >CRE08889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1289846:1290572:1 gene:WBGene00056897 transcript:CRE08889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08889 MQLLLLCFALFGASYAQFSAAAQADILKAHNSLRSAFAKGTFSAQGVPQLSAANMVKMKWSSRMAASSQAYANSCPDGNSGTDDIGENLFQTWEQVKPTDLNQYGKKAALAWQDEFNQFGISSNLLDQDALDSGIGDATQMIWATTGFVGCGVKNCGPDQDRFDQAFKIVVVCQYMEKGNVVDENIYEVGDTCSACGDFTACEESSGLCV >CRE08890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1290928:1292460:1 gene:WBGene00056898 transcript:CRE08890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-folt-3 description:CRE-FOLT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LI50] MDQWKVMFLICLYGAVKEFRPTEPYMYEYQHNVLNMTEHTLNSKVYPIWTYSYLVALIPSFLLTDVLLYKPILVIEALSYFACWVIFVFGRSVWSMQLLELFYGWATATEIAYFSYIYVMVPKSEYKSATAFTRAALLVGRFLAYALAQLLIGLSWADYMTLNIITLVVMTLAVFLAAVLPQVAWRKAYQKKLEDNSSSTDLESLVNDANYKDYLKLFFMELHQDLYTIYRNPLILKWSIWSALSSCIFYQVSLNPSMNQCDTDIFQIVNYTQTLWGTLPESQNKYNGITEALVPLMGIPADLITRLLNVNWNRWGDILLSVGSLFQAGLLFWMSQSHQIIVMYICYILYRVIYQLSTTIAQSTLALSLDSRLFGLLFGINTFMALVLQSILTAIVIDAEKLAIRAQVCDFPKVILKPQIHFSVCCIFRVSSFCCCFVRHNICSLGKPKSFEIQIEISFLKQTL >CRE09322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1295635:1296673:-1 gene:WBGene00056899 transcript:CRE09322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09322 MTTPFVFFLVLLVRQHHTAAITKMSQVYGTVTDENISDAPINENCEATCYLDTDCMLAFFDADSHCLLISYNATEKLEVVLTSKSDGLQTNPPSDSCPSFDEFQPVFNMGDNSIPWQKDGDTWTFWKCVGDWKLFKRTDPNITVCMQAYPFGTPTSRNDSDLFCGNMGFKVTGVANVEEMSWILSRMNVLISNFIKYQGFFVDGIRPCTDNVCGKYIFDWSDGYTNGTALLYSSYTELSEGGENCLTVTKNGQGLVRLNDASCDPAGTGIGVVCGYKMLL >CRE08891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1292698:1295565:1 gene:WBGene00056900 transcript:CRE08891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08891 MGFSYVDTSLGKPEEPGSVLCLNPNPRECEAHNLIKEPNMSLLTPLIFLQFAVSIINLAIQLASIELIVFRKNSKKGPFFIILFILSLSVSFRILFSISTISISALIRDPNSTAKNFLLQSSLYVDYWSNFFSLSITFFMSLNRCLCFVAKDLNSKIFDGLHIILPIIFSVLVSIFAAVTCIITSEIKRNFMERMGFVDVGPDKGFRVLINRTFFLFPIGSIICYIILYYHLHQRTRLVLTKSNQSRGEQKVFAQLLITTVLYVVRNKISTCQSDNFQTLHLTYEVITLVNWQDLSIQLAFISVLGIFNCLPEMSLPLLLICTNLHIKKKMLSWVSSSKNLGTGSTRIVTAKPSLTANTET >CRE08892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1297131:1298226:1 gene:WBGene00056901 transcript:CRE08892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08892 MLLYPFTFRLKPNNQETAPPDFTQNHWGKGEESQVASEIMITVHNIMSSVNLFIQLGAIVLLLHKRSTQKGPFFIIILIFSLSVSFRVIFHSIALAVVPRYGKDTVISMFLLNSSLYVDLCSNLLSVTITFFLSFNRCLCFVSKQWNFRIFEGSRVYRSIILCFMISILGSVGIVWTSEVTREYYLQIGFLDVGREDGFRVCINRFFNCFPVGSVICYIILFFYLHRENKTAINKSFFMKRGENKVFAQLLITSFLYIIVNSFYEIVIFIDNGFAGFIALLQLLAVFNYLPEMSLSFLFIVDTIHADRIMRNCMAPRKEVQRITVIQSTSV >CRE08893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1298588:1301912:1 gene:WBGene00056902 transcript:CRE08893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08893 MSFSFFLPGYMSQTTNLEKPHCPRVRCYDEDYLEELAAYNNARLSGDGKLTAAFILNYVVSLLNLLIQLFCIQYILKRKYFKKSTFFSILIILSITISFRVLFYILAITTTAVITNSISVFLTKSSLYIDYCSNFFSLAITFLMSLNRCFCFVSKNWNSKIFDGKNVIVPIVLSAAVSVSGAVTCILTSNIIRVLYPGLGYIDMGSDTGFKVLINRIFFVFPISSVICYVVLFYVLRKQNRQVLTKSTNRNKGEQKVFVQLLITTVLYGTMSILYEVLNLINWSDTALQLTFISIFSVLNYLPEISLPLLLVCNSVQIRKKVSSWIAPKGERTIVTEHGITTTTSP >CRE09323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1302130:1302786:-1 gene:WBGene00056903 transcript:CRE09323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09323 MSTIQMTIEEYIELQEKIASLTRTVGNQEYQIKKQLKYIVDMESLREVIEKEAQEKIKKIQEEADWKIEMMELEFQEQMERMKKACKIEDAPVFLTYNDSRTGWDSDDSGRDTPGPEPTNDFEGIPFAQIFSDMSNKLIAADEVTSLLKKKIEDQEEIIRAMKGIKPIVPNRPSSMQVRALESVKEEVKATNEEAEYDTEVENLKARMMDIKFMEEYL >CRE09324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1305533:1306845:-1 gene:WBGene00056904 transcript:CRE09324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09324 MSGVDVLAQITFPNTENTNRSIFPYLFFFRKLRISIKMSKGLPTAPVKTSTDATITALQEKLSQMEKEYKKLNEQRNAQRDTLIQAFLKKDNELKEMNNKLQEQLKEKVQRIDEENELIKQLKKDYDEKILAQKESFIQKLSEQHQELSDKLVSRKKLKKDYDEKILAQKESFIQKLSEQHQELSDKLVSRKKLKEEYNEKILAEKKYSQKLNQKLKALKLEHQEEIREYQEEIECTERRIEKEYEEKFERFQKYYENALSRASEKPLNKETVDLGSTNDGLDDDDEYFSCCEDFEEDEATTTTGSPAPNSCSNNEDLAEELVQTKKALADLELAMKSHTDWLSSYLDNNSVMISQTFFGNEISRDIEEKIEELEQQIKKSENDRDMADFMAEIQRIEHQREIDNLELRLRDAYTLRDMI >CRE09325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1308059:1309799:-1 gene:WBGene00056905 transcript:CRE09325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09325 MEVSHQIALESEIAALTNQLIEKTTEIEELEKTQGNLLLKLEDYEQTVRNLLEIRDETQKKYDKETTELKETIRIKKEENEGLQRKYKETEGCSSFQTLQILSLEKQLWSATSEYIKLEKELFKKLGPEVKPKKKEQKDQSTEYFVPMTDAPSSSSSDKFAEFYKTLICDQPADKKEKGQLEEMKERLVEVQLKHERVVYAMDARIRLLIEENEKNQAIWDNKMEEYEELIDVHDMLLKECFNRML >CRE08895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1315781:1316314:1 gene:WBGene00056906 transcript:CRE08895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08895 MRFREKQIFQLLLYLSLLHLSISDVVFTVEESNVTTPTRHLHKLRHSRGSTPFLAATSSVINPRESNRLESFSLNCPHVVDSSNKNVHIEVVWTLNETVWLKIENGHQRVVNGESTFNKRRVSG >CRE09327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1318092:1318903:-1 gene:WBGene00056907 transcript:CRE09327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09327 MRFLKGIRWISTVNKHPTSFQRPPVVTESSQVFDPDVWQSSEFFDDSEFLTSSDVAPAPESSASPSDPESPLENTEEESQKAKKKPEDVEENRADGHAPIKSALSILMQSLQKTSSMTTSLSDIDVTLFNFHSTKFAERLLNRNVSSVVMVESSHVFSKNAKVFLKLIIFQKNNKIVKKIIEIMRYGWWLAPL >CRE09329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1323929:1328193:-1 gene:WBGene00056908 transcript:CRE09329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09329 MNITNFSVYAHKPCFLLEWPNARILLDTPFDFTPFFSFMPHVYQCPRIKNAHIVKKFGIPYLKELGGRFYVEGPPEIFHVSTDTINMETIDAILVSNYESFTGLPFYTENTGFSGKIYVTEIAFQYGKLLMEELLEFMERIEARPEDKKWKKEEVCGKFSNPPFQNPAEWRPFYTTEDMHRCLTKVITLSFNQTIDIFRIKITPVVSGHTYGSAYWTFKTENENIAYLTASNPNATDVKLMEIAPLRSVDYILVTSLSRLIDTTVQAMGVGLTRTITEVLKNHGSVILPMCPIGPIFELVEAVSDVISATPGISMDTPIYFISPVAKSAIAMASISAEWMSESRQNAVYLPEEPYSHNQLIRSGRLKIYDSLYGNFSKEFRTPCIIFASHASLRVGDAAHMVEILGSDPRNAVIVTVFDIF >CRE08896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1320977:1323707:1 gene:WBGene00056909 transcript:CRE08896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ets-6 MNLNLTDAPSNLDKSTKMCELLSKFMISQGIPFENINSNSFRELLEHFEPDSVIPTEQSLRASLKRANEQVIDCGKGNSISVTIDITNGVEDQEEEYLVFSIHHFQDFYNRKATIFFEKLEIAQFNWTSLWSRIQDAFKSYTNFNTINVVCSSPELSEIFKSSENARNEYICFYNYISKFCGDVLEIEKVNNSIGILEKFLEIVKSVPEVYDCYLESQQMKNWDPKLPTLPADRKSWQSMLFFMTICLEMDPTFRMLSDKWNIENYITTEEFNDLLCAQRVLTECNKCTQELSDSKSTISQVIPAISKIHLFLSSDKDSEVSNSDLGMEIKKLFAETFSTLTTEEDVSERYNSATLLDPRYAFRNDIHPTATWTSIARKLESEMAEEISTYRRICRDERPTDNENPFSWWALRQDRMPLLAEKARGFLSCPAVTIDSGFFFGAGGKFSHICKAYSKSNNIDWMKYAGLEQQFRGRGTEFEYKGEGFTKPILAFRTGSDDEPPFKKSKQSDIPIFKKPPGEHNTVYDYLSTRVKWKPRNYKPREVLSNAALRNVFAVKEKSRETSEEDLLLKGEVKPEPFDIFGGMEMTEDSWNAMDPDERTEEIDPCLLHFPDYNDSPSTSEQAMANVGYKKQCNRRCILCSRLRNHLAAKNVTIIGEKLLMGIAAINTGRVSQNHAKMVYSRRKKTFFCREHYGETVKELMKVLNCKHVDDISTCSMAPIQPICDVVTEGNVDLSDNNFRAFFIHFVQKQIIEKQGLLYKNGQTTNGIELSGLMDIL >CRE08897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1328434:1330988:1 gene:WBGene00056910 transcript:CRE08897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08897 MSSKYAKKRKQLDDDTSEAGRSRRDSNSPPRSKRSAKQKDSEDNGEPAESMSIEETNKLRASLGLAPLEANDNKERDANDGTNEKIYNEDGFEFRHRKADNMAEKKKEKEIKEKLEIAKQKRDVYSKVLKAKKLADSDDEDSAAGFVERMRRKDEEAKKAAERAAAFDQLDEELGVSSTIEKAKKQKRPKTKAPSDTAGMVIGHGREAFVDGDQILVLQDKGILDDGDEVLVNPNLMDDERHVRNVELRKRKDPHRNFDEDVDEMGNLKNFGVLAKYDETLEGEQKKQFRLDEHGGVDIEEEKREMEAFRRMKMAGKRLESLETKKYELASEFYTQDEMTQFRKVKKGKKEKNIRKRKVLKASDLVPVEKAGAGRSDFGRRRRDSDEEMDDGEKTKKEAEVRTEDGEIDDAPESSKVDSEDGKWKKAMRGNGVDLQRLQKLRAKQMEDEDEDSDDDLAFTGGVDLTGVVIDDDAEDELHSILAKTRKVKQIDGKQMEEDVGKRVQDMLSTHGVKMEYDEDEEDVKPLKDGQIFIDSTTEYCRHVGEITTLGLGETEMLMCQKLKKSDPDDRNAKKADRLNAQNRGPTVPFAEKTDYKPDVNISYVDRKGRDMDAKDAYRELSYKFHGRNPGKKQLEKRANRKDKEERMLKTNSYDTPLGTLSKQLKKQKQLSTPYLVLSGSSDHSSLKKE >CRE09330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1331080:1332341:-1 gene:WBGene00056911 transcript:CRE09330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srm-4 description:CRE-SRM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LI66] MTTMTTSSAPPENGNNSDQIGPPSEIAPIIAKYHFYNDLIAGVLSIVLNFLLVFVVTKRIASASKVFKKVLSLSKLFEILFSTSYIMTAPVRFETRWRFATFPLQVFTSIAIKPTFSGLMIVNTGWQFNFLCSLLFLSAALVLLTQQIFLAPWSYYIRYSQVCRKDGVKCTDIFTIIFVNLIFQVLTSVCLCYASIPTDIDISSLSETAKRFTGTDVAFLLLSYTKQITTTKEKINQIVSIISGFGYLASLLLSVFIMIFCTVKINMKVRESKNTSNNLLALQKKMNRVLLTQFFCPLIFIQVPFYYSVLGPIAGLSQGLLTDFLPLLFAWDPVINTLAIFILNSEIRNALIGKQRASATSDARRSIVNEN >CRE09331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1333495:1334224:-1 gene:WBGene00056912 transcript:CRE09331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pepm-1 description:CRE-PEPM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LI67] MSEYLWTCRPTKILLQLDPDLPNGTYKGRVKIDYEFQDETIEIKIHASRDFQWTSVRLATFYEFNEGCPVYASVDSEDYVHDTDSEILTIPLGSILNPENTANGFYIDLEWTGPIGPPGSSEGLFAVDETTMEVNLKNGNGHKVFPCYEGGIAAKMWLTVITDERTRVETNMDDAGHEEFEGRVKNNFNKEEELTIEDIYFRITPIIDME >CRE08898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1336398:1339197:1 gene:WBGene00056913 transcript:CRE08898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08898 MSVPSLLDLTTHRVITCIRAETFPPTSCQLDPDLSNRLFDEHNKIFHQPVTRLVAKDLCSLLNVTKIDISETILARNELIIMKNMNLVSLVMGDLTELGPNNTESQNRIKLDAMLRNCLNETTLQQLRHLDLSSTGVYYFRGWLESVRLFICIYFTIKPFQISKMFPSLISFSVASEQLSLTEFRTICTKFRNLRVLDISDTGLRSLDGISNLPNIEILAIGGLRSLTSLGMLEIFELKNIRVLDLSSRVDLRAHTRMFDSFLNCNKVLPELRSIDLSGTFIFLDRLENFIKTHPTVEQISLLETSCSDLQTVYPGIQILVQKCLPTACVSLRHYTKLKNYNSTGVVLENTFWLMFEKLDKENESTIRDWFHAICEAIKQFPVEVRIDKWVIKCLHQISRKQSIHTLSLAERHELVNVLFKLCDNRLDYKENELEAEVIHGVWLILGNKYFLSTPNLNVRKIFENALEYCLIEKARPIQSVCMKIMKFTFKIMKPEDQKEMFGNLDICRDLVESLNFFYRTKKFKKYQFVLKFIIKIVEYHPENFVKVGGVSIFVRHLIRYSQVEILKMLKVLALTGNSKFIRELSTPENVRGFVRYLHKCKPKLNYFTNVNSLSEKTFLVCCILSVIVYSIDEKRFNSIYWKNIVKLLKEVLTTLAEEPGYPCVHLEEVFETYFEKRTMDVRQGPIQDIQCHREEKGACFYC >CRE09333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1341059:1345314:-1 gene:WBGene00056914 transcript:CRE09333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09333 MRIYSVFFQDDLREESADEDDLQTVFLAENKVEVYKKWLNCVKWSLMIIEDPVQFWTQFTKITQKCDEEAEIDKLGLITLKNKDEDKIAILPRNNDEKHTFITLGIGRDITGEQRWKRKMGKLGKEVDFYGADPMTEVNEDLYPQIGKYFPFAVAKNPGYATASVLKNRQYVNQSVVHVDINYFIEKLLRIDKIDNLWMDAEGAEYDLFEIFEKNGSFAKKGIEVCQINIEVHLSETGPNHLNYERFMKFVKMLIREEQFAVFRTEEVIHMRMYMFNFASSFCRHKY >CRE09334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1346916:1348284:-1 gene:WBGene00056915 transcript:CRE09334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09334 MDSRFDGFQKVVNHIAHYLQRANFIFSFVSVFINIFHFIILTRKSMRTSSTNVLMIGIAISDICTMLTTIYKHYQLVDIENPECVTSTYKYKIYMDLVAWSFHDHFRRCSSWMGVLMATVRLVIMKKTTDNRYQNWSKPHTGCYLTALVFCVSAILSSFYSSRFLIVENRTFSLPINCAEYQDVTSRPPYSVMLAPLFSFDNMIVLRVYVMFDAIVTKFIPCISFPILTVSLLRQLRKLHNLVSSIGRKQNVENEERNELTTKLIVFMTIAFFIAEAPLGMLYMVNVFFNRSDQIFLFSVEIAIYFTLLRTLNSISHSIFCFLMSSDYRNTIRNIIGIRGRTKLSSASNKNSVTPVNVIRIN >CRE08899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1350114:1350890:1 gene:WBGene00056916 transcript:CRE08899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08899 MSFTPTKYELKLTVDFNENTFIGNVKIHVEVTDPVKEFDLKMSKDLEIERISFWQAEFITRGNPQTLGSTTIFEVDKENDLVHVPLPDEITSESIKEEGYIEVDYKGKVGEKGANKGLFLYNSSDYETNLENGNAIHLFPILEDVAAPLTLSVLTPYRAGVETTLKAGEHASILEKELISNNFNSRGEKIKISELYFKINAPTPLILD >CRE08901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1356154:1357188:1 gene:WBGene00056918 transcript:CRE08901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08901 MGSYFAKNTSEYGMSSEFETESEEEDLEIKLTILRDAMIHLRVQFLHFQSVYKQSEADLIENDVKALKIIVMVVQNRIEELKSIAVEYTELKNMEVVRQKIEDFDYVVTQVVMEIREFERDNFLIEKLEKLINKLNPFDVPNLQNLDQKTPIHPKEMIKSVMNNDSKKYQEQMKKEKVQSQEENEIRHDNSKILSGHRKIYKIFRKPVVLLVNYLEDSCTLKAMQILNENQIDYSIFDVSTDAEVRLIVKYLSDCETFPQLFVKGNFEKLAGIDSLIETLPKLYVN >CRE09339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1357307:1358017:-1 gene:WBGene00056919 transcript:CRE09339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09339 MKLLLILATSALIFSVHCDYASGGGGGGGAGYSGGGGEPPAPPAKAAPAPEPAPAPEAAPAPAPDAPPAAPAADGGGYAAAAPAGGSYPSKKRRVARAYADAGAPPPEPAPASEAAPAPEPAPEPAPAPAPDAAPAAPVADAGGYAAAAPSGGGSYPAKKRRVARAYADAAAPPAEPAPAPEAAPAPEPAPEPAPAPGSDAAPAAPAADAGGYAAAAPAGGSYPAKKMMRFSRLVV >CRE08902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1358795:1359499:1 gene:WBGene00056920 transcript:CRE08902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08902 MKLLILLATSALIFSVHCDYASGGGGDAAPPAPPVEAAPAPAPEPAPAPEPAPAPSADTGGYAAAAAPTGGSYPAKKRRVARAYADAAAPPAEPAPAPEPAPEPAPVPAPDAAPAAPAADAGGYAAAAPAAGGGGGSYPAKKRRVVRAYADAAAPPAEPAPAPEAASAPEPAPAPEPAPEVAPAPEPAPAPAPDAAPAAPATEAEGYAATAPAGGDGGSYPAKKMMRFSRLVTV >CRE08903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1360698:1361325:1 gene:WBGene00056921 transcript:CRE08903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08903 MDYQQSQPLGLETPKLWCIPARPLVCVLAFLGVARGIATLIWSGNWGERVADIIFLFLNLLLLFGAARNNEPALKWSQRVVFLAVILAVIQFMIWPVMFASFTASGLADKNNTMIALEDDLIRTEDQKKKMFVRGMLSGYALEFGTFLMIGAEILKYVLVNRLWQYAKSTENIGGGNQYIVP >CRE08905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1365891:1366499:1 gene:WBGene00056922 transcript:CRE08905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08905 MFRLLSILLVAFAVCALSAPAPVNYPTEEQSKAELKAAGMTQASIDGLDAFTKRFVSGFPLVQSNKEATDKFLAEYTTDVQNFVKAMSPEDQTIYNNYLKKYGLA >CRE09341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1365207:1365567:-1 gene:WBGene00056923 transcript:CRE09341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09341 MFKQLSLFIAFCAVLSHATMNLPSQEQYDAELKAAGMSQSGVDGLHALAQKFATQYPIVQANKEASDKFIAKYTVEAQNYVKAMTPEDQKIYAESLKKYGLI >CRE08906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1367452:1368264:1 gene:WBGene00056924 transcript:CRE08906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08906 MGGSEKEPTYRGAYIRPILLIYSSLVLIGTSYAFISEGHPIMRINNCATLTLHAILFFGVLFNTERSLGCAERFLRLFICLYIAIFFVLPVMMASMRASGSAPHTPKMLHESLGSYIRKSFEKEPTEAEKRFDEEAKDQLLQVFTDDDTKLKVDSMFLDKASKETVIKVLDYGEFKILKEHALQNHTISGLFLDNMIHDIRRREAFRAGIWSGYGMEMACILTIILLAVQHHLVKRMWLHSIFNQPLKEQYLYY >CRE08907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1370847:1371583:1 gene:WBGene00056925 transcript:CRE08907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08907 MGPHQLTPPKLGCLPIRETVIVLCVLGLLFSAVSLILQVHHPLFYVVFGLLTNFFVLFGVVKKNEFVLRWSQHLCFVCFIFGIIMVCIFPVVFSCYVSSGLFVHREFYGIESLRKAQHLGFYEKTGIESELAIGEEAERIFNKLSKMRNPEKEAQNLFVVGIMAGIFAQIAMILANALNYMEYVMIKRFKEYVIATNELERAQPLV >CRE08908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1372953:1373716:1 gene:WBGene00056926 transcript:CRE08908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08908 MGKPRDYVHPSETQFTPQKLGCLPIRQTLLIVCVIGLVGACLSTTQSTKNSIVSGAAAILLHSFVLFAVYKENACMLKWSQRLYFVLLVISILVLAVLPLMFACNISSGLMDNDIFRGIVDKNTLKELQLSSSSEDIDEGPTGPNELTRMLINISQKSRKEEETERRFIYGVILGLACEIGLFFGAVFNYMVYVMIKRFKNYVIATNKGTTIDFEKGQPLA >CRE09342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1376330:1378839:-1 gene:WBGene00056927 transcript:CRE09342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09342 MVHPKVGAYGALAQYARKKQKENSTSKETNKPRILPQVVPSTVNKKQPKQKKGLTDKQIREKNERKLLRQEMKKGNIKLKDGNFVENIDVLKFEKKLDLLQQDEKTGEDQSEVDLLMKDGKKKTDAEWKKDRKKKDLEIQKKPITQTKLLQKYKVDRDDYMLQYATAEEKPVYFEEYEPSAYPCFHSTNPCLWGVAPNVTCDRLLQYTNEIMKSYVPPKTDHLPSLLVKSDKLRVTSPFTNFCFNPVQALRAWGLLANIAQNKTFDELKKYIDYYTALDTIEKNGDSLNKLLLSLHYESEFLFDGCVRLFTELPRHLHHNVEVRRKISTYYGGRSKRAGVQHHCFQTDSDGFYSCYRINQEVSLSTRGSVRYVVPENSAPNWRASIALASAMDCTFYWKTTGARPIEINNFEFTESIDNEVRNSMCVVISAEADIATFDKYVRLESHQPGVYLYIIPEDRKNAHKKKSFPSNTLDIINGVWTPLSRQKVYIPKCTTSIPISLYEHAKHHGLSRLFSGEKSELRQLNSSGFDAAGSPQFATLFDHYHKTVFEIETRQPGSSDLSRDFDELAPDRAHQSIDDSMANVFGKFQMDGYQSYDPLFTYHEDHLDRSPTPFLKSSVFYKKNNAGLDLAFPFYYVMTRKIKTMPVGTEKTKSDPRDLQESPLIVCAGYFNNTPWVKNK >CRE08911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1380503:1380863:1 gene:WBGene00056928 transcript:CRE08911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08911 MIFMQFLLLSACIAFLAVAAPITVKDRFIEMQSSGMSDNGVQALWDLVNKFKVEYPKHSKSKEATDKFMADFTVIAQNVVSSMSKEDQMVYRDYVKRHGLS >CRE08912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1381514:1382103:1 gene:WBGene00056929 transcript:CRE08912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08912 MANPSNGYPPNVFTVQPQAQPYGQPGQPIYVVQQQPQPTRNNDGCCESFIACCACCQCCVSCCSLFTCWAQLCCVLCDS >CRE08914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1386084:1386505:1 gene:WBGene00056930 transcript:CRE08914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08914 MKFLLFLLLIFFVYSINAQFKFPSSEKMLADLKEAGMSEANINKLNKIYEDYLTKRAEIKAKTRKG >CRE09344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1387149:1388805:-1 gene:WBGene00056931 transcript:CRE09344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09344 MTRVSFTSRSGTLIFDENENEKHEFSASEVLDKRETDWRSMWISIFLQLLVGVQVSVYYMSMWPYLQKLDKTADVDFLGWIVASCNIGSTISNPIYGYWNQRTMSVKTPVIIGFLIAAVGKLTSFILMLYFGIPSQTWYGLLSLFPHAKWFMLAARVATGFGVGNIAALRIYAATASTPKDRMKAISYGTGGFVLGISFGPVLSAFFTPLGENGWKLGAIYINMFTVVPFLMTIVCLAACVIVQIFFKENYVGIIEENEPGSESNVVIPKYDLIGALICIYLFMIVNIIATNIEVMSTPLTTVLYDWKDSQSILYNGIALCCCCIVSVALNIILGSTRLGKLHFPETNAFKCSSDSASSSSTRCSCTRGTSTRDRWTICLMVKTLTWQEVDIKLMSGVNGLREFHYPFT >CRE09345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1389072:1389460:-1 gene:WBGene00056932 transcript:CRE09345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09345 MSAPSEEESQAELRSAGMTEASIEGLTALTKLFQTGFPAAKESAEGPDKFVKEYTADAQAFRASMPEGDQAIYNDYLKKHGLE >CRE08915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1389853:1390205:1 gene:WBGene00056933 transcript:CRE08915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08915 MFKQLSLFIAFCAVMAHATMNLPTQEEYDAELKAAGMSQSGIDGLHALAQKFVLQYPAVQANKEASDKFIADYTVESQNFVKSMTPEDQKIYAESLKKYGLI >CRE08916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1392382:1394094:1 gene:WBGene00056934 transcript:CRE08916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08916 MTHFNWTLIIKTCPEFYPNESDVILAVIAFAGLVMSCILQCRFYQQLKGSVFLCNLASADVVNCLLYLWSYFFTSCVMYYRSDVLAGLRVSFLQQTKSITDFYNIVLNLLIFYIIFEKFLWTCTSRTRYMWKMFTMGEYKFYLMVITALYGVFATCLTSWNVMNLSKIPFCDASFHLTLSDQPSKFNLCFSFNNSKIQVFRTFQTHIIHSISTVATVLSFVFAMITLCRIPRVGDGEKPNNQEDIDLANLSGGPPRLTTGRIKRTILCMLAVYFSFMVRGFCGFIFVHPETSSIATRSLSSRILRNWSYDFMNVVFSGSRLIIYYVFCRNRLVVEYAPHALGH >CRE08917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1395529:1399960:1 gene:WBGene00056935 transcript:CRE08917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08917 MASFNWTEIRDTCPEFTLSSSDFLLALVALVGFVMNFKLQRRFFKQLKGSVFLINLAACDTGICFIYIWKYFLPSIVMYLQSDILGEMRIIFSFQAEIFNIFYDTAMNLLILYIVIEKLLWTCRPRTRRFWRLFTSADYKFYLAVGTVCLSLIAAVFVAWRLDSFSESPFCDLQFSLVKFENPYLQFLQSNFLRTIYTSASLLTITFVGIALCRVSKVGEGENPMAEEDISLSAYFELTVEYTKRSIHCMLFVAMTFFARDMFWYFVFNPSSEERHKESMHSRILRNWTLDFMNVILSGSRMVFYYLFCGKRMVLEFQPNGVRNDFFLEL >CRE08918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1400514:1402181:1 gene:WBGene00056936 transcript:CRE08918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08918 MAAEYNWTEIRNKCPEYVPSYSNVTYGIVAMVGVVLNCLLIAQFKKQLNGSVFLFALAFTDAFYCLLYLYNYPIKSVAMFFESDLFAEVHLSIQYYIKPMEKFVGIFVPLLMMYIFHEKFLWTCTSKIRQRYSFLTVNRKKSTLTAVTAAYGIVGAVLSTWNLEITNTGFCDVTVVVFPSESRYIDFLQNGIVFFVLTMTYILTFPFTLLVIVGVIFFKEQEESEDGIEMENVEDDAKLIKLVLKCISFQTLNVFRTNSRLRKSIVCMLIVYFLYFLRGVVSNFFIHIRGSDIYGKNVFLRNERSWYYDFMNVLISGSRIVLYHVFCQEGLIVDYPPNAIQTPQTV >CRE08919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1403189:1404568:1 gene:WBGene00056937 transcript:CRE08919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08919 MTPVQEPVPEVFQLMGLPEEVISNVFEMLSPFELIAFSFCSTKTKNIAKSMRSHPKFKKDCKPFKMSIGPIYQRIIMEFKEHPNLYWQFMKNRQITDNTADQKTFVLDNIQIPVWSYRKYERRLFENKKQRYDGILANFCTLHLLSDNSIPELTKFYEHLSEVFNQPRQLMSFDLEFFDIANTIRFYQKAEQQPLQYLTLSCSKRSSETFIRWAFDRVRVTKGIFIRCELSPKFFYDLERFDAEELIEIYWSYWVKLEYVLNLSCEKIALFKSSLCSSDLQELVLKWRGGWSPDWIEILIELKEIPNIEECVEGPYVTIKDRRDRNLVDKNSDIQLFQFNEIMATREYSFTFTGYHIVRSDGEIATVNIVNSNIAIFTIQQDDGKDFKFDLNRRLYLPRSTWE >CRE09346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1405166:1406418:-1 gene:WBGene00056938 transcript:CRE09346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09346 MVFTVPRDKIDSPFELGGMPLCAEDRNYLCYCSTYKPPNCVDRDSAVKVSAFSPDIILFHIAHILDLFCQIECMRQIYMKKMLRQKIFQGSFFIMVFFLSLTISLRIIFYMFAITISVSSGISEEPEETMPLAKYSMYLDYCSNFLSTTIIFWLSLNRCLFFVAKKWNQIIFDKNHVIFPIFSSFILSISGGVTIVTGGEMMRKYFKGYGFVDVSAHNEIVQTINRMFCIFPIGSIISYIVLYIHLRKRSHLVLTRSSNQNRGEQKVFVQLLITAVLWTAISLVYEYLQIINWGYQDRGDYRETQQTLIIVLKVLNFLPEMSLPFMMIIHALKTENRIGVWIALKNLTSKRNQGNQNSSLTSANTQL >CRE08920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1407511:1409283:1 gene:WBGene00056939 transcript:CRE08920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08920 MASESVMFLMSMSNTMATLWPAPIEMDFIDEGELVETENDARKINASRWTICSDIIRLHLKRMKDCGVEVSIGKSTDLGVSMTRGNSDDKLQSLLDVVKSISKVTKSNGEANAEFAIREIEKMARAEKQCDKKQIHWLVDAEMFVDLDLELINRKFPPNLVNMYVIGELRTFHENFESSKEVTNLLILGNVNVRFLVCPADLYELRSEILSEKRYETSENSVKCRVGKSSFEIKVLQTHRVGKPVWLFNKIRFIGFLPEIDLCRVGFFTQKISVESQVSISCETSSGNQILWSLAEYMFSEFRKPRVVLFSYKGEKKNRGFCFMVASEEKKGGGAIMSLIQLRKSIKKKLKKSLELVEEIDEKSTPLPNRSYQQVAPADISVIKRRMMEIGKTKEPEAKRMKFEKFAGEYDLINGRNTFMETTSAWRKHYGLVDSKNADGNNNS >CRE08921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1410498:1411781:1 gene:WBGene00056940 transcript:CRE08921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08921 MANGAPVSVLDRCIIALADFICCNCKRNKITPAVPKEVFSETRLSEGQTGGVKRSPTPGAGAQRVPSEHAPKELEVPPPVITVDEKEDSRTETPSLVVQVSPSIAVQEPSVDPVNESGDPEPCQPEEALIKDEVENIDSEDSNKSRAIESIDPPLISPPTPSDAHLPEDRPKARPDGAGIRSRAGSLAFPSPFPEYPHSDQDSHISSEQDATVILKELEEDELDIEDWGEGFESNRTPRPDSPPKMQSGPETKRKRGIFQNKKSQAIETPPSSDRKEDRGGEGSGFFVD >CRE08922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1413587:1415477:1 gene:WBGene00056941 transcript:CRE08922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08922 MMDCYQTILEQRELRELSEISKCLRTDPIQKRNYFNIAQPCILQVASQSCTTDAFNYLKTNYDEFLDYLTIEPENGNCGNLHVELNRFQCRNTMNNEDKNGLDAVETPATFSHLQINFDTEIVEAVQADFFKCLMKNGNIHPVEIDGCSKNNEYKDCVKAKMAARCGSEAVIDFDKRYEWFNTYNLKQEFIDINLLDSEVKIKGNYTELEEMKTQDNGVTEDSKVGKCAPVVDELVTLLSRRTFEEFSEHYQNANETCSRVKDCFKSIGTPEAQKLQQSYQVVCDRLDFTFTELISCVNKFYENERKEGNKYSDFVSKNLTVKREAFTSKKEMFLKFAESKCFKREINYLALNYEKFVDFLTTESTDDRCKSIPVRVENELCIQKVQYNIGYLDTDQPRLADVIFKDCQRAKKCVEASPCPTEKSTKILDWCESVILKGVAFWSCVEQLREKNNGADEQLTCQGSKVTRTASPELFLTEFIENKECLKFLMFDNCGTDATRHFNENFNNAVKRVKEDRNIG >CRE09347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1412636:1413358:-1 gene:WBGene00056944 transcript:CRE09347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09347 MASSSPHRSSILNLFKAGVAPLDIIKRLGVPSRTVYDSISRFKKLGTFLERRGRGRKATVVTPDRIKAVKERIRRIAHRSIRKMAEGMKISRRSLGRIVKDKLKLTCYRVEKAVILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEQLFTVQAEFNPQNHRVLAETSEEAFASGKTIHQSSHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYILQILEKIFLPWVQKYFNGRHWVF >CRE08923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1416126:1420918:1 gene:WBGene00056945 transcript:CRE08923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08923 MSAKRLLLYVSSIFLISNVFANSKTSTNFGVGSCDSIISDFVIDLEHDNGSLNTTLMCGKVLECLETLKSESGRKLKQLYDSRCEMYEFYSHEMMFCSQLLIDKRIYKGSSSKVSNCPDGYEFPFTNSEHQLLDYLNSVKPCIIDIASVYCSAPAANYLVTNYDQFINYMIVKPEYDNCQNVYVELKRYQCKQSADMIKSRGMWFSTFNGGSSSLEIESVEKNDKYCNKVKFLFQECSLDPWNISPLTKSLQHCEIVEALQSDFYRCLKTHGSLHPVSIEGCSINYSNRDCVKTTMAARCGSDAVVDFNRRYEWFNRNNLNQTLGNLRIDTNYTSFDEQNNQKNGIIEDSEVGKCAPVVDELVTLLSRRISDDFRKLFRIANETCARVMDCYESIGTPEALKLKKSYQSICDKVEFSCDDLDGCLTSFYSKQREEAYDVDKKDIFSRNLTRKREAFMAGQSKFMKFAENRCTKRAKRYLQLKYEYFVGLITTKPENDNCKSVFARTENVQCNEIIDQMYWKTTDLNEMDSKMAYESSKECQQAEKCMTGATCPSEDATKVLNWCESESFRGAAFYSCLTKLNKLSSLTWHKHYCAEAIVHKMQEPEWFLQFFRYNKDCLKIIMLDKCGSDATFSNVYSKSISNLTSTSNSSESELGKCDFLISEYINELEDSAHSSNAAHTCKNVLVNPEEKRKALYEEQSCILSIANKYCSTKTFRYLSTNYDEFVNYLTVKPENDYCESVYSEINTHKCFHVRGVAEDDYARTNDYYHVLKENNETLLKSNKLCKKVRDCANDKCNTNSPAKQVEQCNELAGYGTDFYECIIKYGYIRPVSKDGCSGNNTMKCIRTTMLEKCGEESVIDFENNYKWFNKDKYDSKYQRISSNNTGIAPLEMVIAADAAIGKCGPILDKLVKFLTRDSTEDYNKRNKITDEACRKVMQCYESFKTEKSQKLFETYQVICENIELFGNSLFHCFHDFYMIERDNGKNIENSDFFSRNLTIRKQEFLSKKPYVMKYAKKHCSETGVEYLNSKYQHFVNLITTKPEGNDCKSHFSQIDNHQCTDLIHYTMEDVERHEMNSTLTKEVVEECRLTKKCIGEDDCYAKQTEQILSWCESVKSKGVYFYTCLDELRAQKADYSDHHCVNTTAIKSATLAESFLKKNFKQTEIV >CRE09349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1423238:1424620:-1 gene:WBGene00056946 transcript:CRE09349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09349 MSRRINTIEEAERVFPSVKNAWFLFQLFVVLEEYTQACVKYEFFISIIGLTFTLFHLCILTRKGLMTSSIFSIMIGIGICDAMSMMIAIYDKHIIEQLYGDECIPPVSLFIYRTFWILSALREDMIRSSLWLGVLMALIRYLSLKFVTRPKFQRMLRISYGFYATGISFVFSSLLSTLNFMRTKIVERGTWSASEKCDVQIDNGSTFIIYGLRDSEVFLANDGFYFRIFTLVNSISSKLFPCIVFPVITILLIIEIHEAKKKVLTSSLTISAERTTALVIFMAVTFFIASLPAGVFTFFSVMYTDIGFLTLSIFVDHFCNAILTTNASIHCVICFIMSSNYRNVVKEILRIRLNSQILHSTS >CRE08924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1426186:1427369:1 gene:WBGene00056947 transcript:CRE08924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08924 MLNFSTLFLLSCLVLFTISTPSTPPEIRNCSTLQDLVEFGACLPLISKLKRYVEQHNSEPPSEHVENMTLLCEKTTSCLSRVQCKQGIEAKIVLDAACDIVKYRDSQLQLCIVGFFKKVYLAREMMNETSCFKYNDFLEKDMAKRSEAYTNGKQCFMDYVKESCNESSIEFFSKNYEKFVTTMTAEPIVKNCKSSHHLLNSFQCVAVAEQTASSIEQLSKTKIQPNDPRVDSALNLCRQTESCMNNSCAASESVRQRIQQSCNLVEMMQSKFGVCISKIMNDKPDLSRFECLGGMDFYATTTEVTCEKYKTKKSCVKSLMSDICGEAAVEDYDKIVGIVASQFECE >CRE09350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1427517:1429992:-1 gene:WBGene00056948 transcript:CRE09350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09350 MAESIRETPLYLRTCVLYEFLEKKSVFDAYKNFCRKLGDSAMDYAEFEFWFLRFSQGKFDLNHDRSLDPKSHSFTDLLVPKIVEKLIENVELNERMTLRNVCKTFRNVIDNSAQYFKTISISATGSAIELELDNHPIQYTRNCQKSFCDLAVILSYSNLKLERLEIREIDEKIMKRLWAKLDTLNQKIHVESAFIQYETTMEETNILKYLEPGTLKTITLDNRIANQTRPVHPDVVMEALDRVIELEQYKQAEMLHVWPNCCQESFSILGFLNCPRLTFHFYKHHNKRLGVMLLKIVRSTEMKFVILKTQVDSKFGSAKDYLIRNGGEVSDPNRPNVIRVPIKKSNDFFEVQLNSLEIRIERKSF >CRE08926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1434362:1435472:1 gene:WBGene00056949 transcript:CRE08926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08926 MMIQPDGEPSKSGKMKGPRQRNCNYDSFIKQKDTILIIEYIHKFAQPEWNSKEKCFTTLNRFIRPSISCDHLIIELSESAVLLNEDNIMGNEEAFNAPLDHQHIPREIVKMMIKKWKVKSIGIKFVNVTHSVIVKNLVDQKNFFTKLKLNAPRSSVNKSDRQLERVDVDLSDSSKCATGITHDNSDWNHYKNLIANIRNEFPTNQISINFSHWMQKNQVDIQEVFNNILKTVFKEEPKNLNVVIRYHADAKSFSRMNPVTNQEELIEINPCSIWFKSRQLSFSVENHSIHCSVRDLSQNGNSFVEKKKVGRRYNIVDSQNNCIIHLDVFIDEKDATPFKNQMKKQPNSFLSKIVSL >CRE08927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1436182:1437157:1 gene:WBGene00056950 transcript:CRE08927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08927 MRMQFGCDRQLNCLERPWSMLYGKTCSQNNEQLCEEAASCLAPYECEQATQYRNTITKFCDFNIDYFPDVRQCLVEFLKDLYLSKSSTEESCLRDFRFLQKNAEEKRAGYDARKTCFYSYVEENCSAFSLEYLCKENYEKLVDVMSSQLNGNDCEGANRKNHQLKALECFAMQEITESRVKELTAFNTFFSSAPVENAFKVCKDTQKCFAENSCVIPSILRYKFDKTCDDLQERI >CRE08928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1437496:1438418:1 gene:WBGene00056951 transcript:CRE08928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08928 MFLLRSLLLLSIFVIISSSETLSSSSKCSLRDHFTVLFDCSPILKQLKSPTNPRKKLDLCEQAEVSTHIKHAFSIITFQKCLALLTCEEAEKAKAVMVRNCEFDINEFPDARQCFVGFLKNVYLEQTTKKQGSYLYNYGFLDVTHREHRKYAFVEGQQCFLDYVKDNCDEFSFDYLSSNLPNIMRAVSKNPLGNDCKHTQGKDHQLNFLECVALQEETESRIQKLTTFNTYFSGYLVEDAYKVCKDAQKCFSEHSCLIPSFLRYKFSKICDDLQERI >CRE08929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1438834:1439788:1 gene:WBGene00056952 transcript:CRE08929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08929 MSLLRSLVLLSIFLWFASSQTTNSLPDCSVNDHITMLFECRPILNDMLSAMTLNKDKKDPYKILYLCAEAKNCYATINCKDAEEIKVNVTEICSFDIGIVPEVEQCFIGFSRNVYLSKSSEKQSCFNDFGFLEKNEIDRRDAYIYGKSCFMNYVKDNCKEFSLNYLSDNYQKFLSLIATKPVQGDCSVYNFKANRLASIECLALYEETQSRIDGITFFNTFFSNTLVEDAFKVCKDTQKCIDQHRCIYSSKMRDLISNICDVVQKRI >CRE09351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1439870:1441173:-1 gene:WBGene00056953 transcript:CRE09351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09351 MATPAPYNWTAYIDYPNYYYVYEDMDYEPNPVAYTTEKANELNTICTYVTVIIGIFHFLVLIQKQLRSNTIFIYMIGVVISDIISFSLSFSDIIDASVLVPRLVKYVDQDWCYQDPWVPIDLVGQIISTSFAVTRRLSIWLSLTMASIRTISVIFPMSNRVERITKPLFSIIFIIFLTIICFALDLYPLVGWVRIYQIGDITPNFCYASEEESKQYILVTSMRLTDRTELRLIYSEIAIKFIPAAVYPILTVFLFLELKNIKKRRSNMRSTESQKAKNTSTLILIMTISFIVTEVLAAAFAIHTLYCNLQENMGCVTANLSGINLSFFFSMGRPTTLILRALNSSSHPFICTFMSSQYRDTVKTMFCSNRISRRAIKMMRLDSSKKTDSLVSQVSPTVQG >CRE08930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1443200:1444994:1 gene:WBGene00056954 transcript:CRE08930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08930 MVFQFLSSFFKSVDSPPVLPIVPINKCPSGVKTKFDNVEKGSRLYLASNDGIDLLKNIEIRSGKTRITLDEIYDLNKDGTPKYVTLEGELTIKTSNSSYKTKELTGFLYVTTRQQAEDPTFIVHIIKDNATIKTTSSKTTVVILNTQLLNSNSKNDKTPSKSSYVTDIHQSSKDNLHFHWGVPSVSWIDETGNQFFKTRMSLDRYDLQAKLTDTTEVHFEHIEPLQIPLDYWYFIAEGPVEMTIKNKYVPDQSYTTTFPNTTGLIVNDSIFKQLVVNFEHDKNSAGLSGFYTSSRIEKPINILMEHNVGRFFSNVDKLVCDQIVAWSPTKSKKLIITSSNTTPGSFYCQYFTLDADRLESENSDDIFKLEPNQKPSVFSTSPKIIAAFFFTDTSVTTVRRDPITNQVTTASASNPAIDPTGCKLGQVV >CRE08931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1446152:1447674:1 gene:WBGene00056955 transcript:CRE08931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08931 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LIC2] MDLVFKPKFGLTLLYGVTGMVLYTWVCWAVVKNRYNLKSSFYKMFVVGYVMNMMTYINSFISLRVPQNTKINDSFASFFLEHDAHHPETKFPLYVFHTLHYQFAYSQYIYNCLLCLNRFTAIFKPLTSEMWWNKWFVHLALAMFLSPFLCTVFILVNRSYYRYNSTGDYFCVDSTFERWKIYAILTPILCIITAANIVCNTSSYLKMKKIRSEGINVIDKHLLQMTFCVFFIDMFLTILSIFNAIITIWNPFPDSPWVAEWVLQLTPFASDVLTLSPPILLLYFSKTVRRKCVEIIPCLRKYSKHRFFVNSRSVAYVLPFEYNSQEELNNNNH >CRE08932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1448612:1449747:1 gene:WBGene00056956 transcript:CRE08932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08932 MSTHFLLISSLLVLSANCSILSFHRNLLGEGSEECFEKFFMALINDKYECSKEFDFLTKDPSEKHDAYMEGKPCALEIMKGECPSDRATFLEENYSQMIKLLTEKPNDNITCTAPYFQLEAIECNAHKHALQLEMQDQTGVKETHDGAVKVLKMCKDAQACIKNACKFTSIERDEIKNSCDVLELTTSDFTVCMNKINKEKPDLSKYECLNDHDFYSKDSTVICERWKNKRGCMRQVTEDICGKDVMKNDEKSLKNFLNNLKCDE >CRE08934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1451804:1453555:1 gene:WBGene00056957 transcript:CRE08934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08934 MNNETINGDIRYINYRFDLFTIPVLTTCLPLIYLIPTIFVIIKIFRVYVDSVMIKKNDAINPHVFFVIVLQLTLSFFYMLADYSTIRIPATGIITSWCASIQPNHFLKILYFSSVYFNYTAMMMPSLLSVLRVVPVYNPINLDSICQKIVRVSIPVIVLYPFLFCFPLIPAIGDCRQLLGTYQFGQIYFYWTGSWFGWRMAETLILNSIFWLATCLISNLILYKKIKKLQIKRESAKLRKAERSLTLITISMFPAYITNFILVFVFIFWPTASAYFLALRPYGSDCDFVFVPWIFYMTHPIFKQQKVTPLGAQRAGLERTNTII >CRE08935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1454750:1455917:1 gene:WBGene00056958 transcript:CRE08935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08935 MNNVSIQGDIRYINFEFDPFTIPVLAAFAPIIYVIPTIFIVIKIIKVYVRCLIRKKQELINPHVFSIIVLSQFLVSYSFCYMLADYSTIRLPSTGIMTSWCASGQPSHFLKVLFMLSVYFNYTSMLFPFLLSILRLIPLYRPFNHEKLSGRIVSISTPLIFLYPFLFCFPLFPALGTCLQLKGDYHFGAVFIFYDRSWFNIKQANTLFLNVIAWFFISTVTNTMLYLKLRKLRNKRRSLKLQRAEFSLSVTTLSMLSAYITNLAFVAAFIFLPGSSAYLVALRPYGNDCDFVSVPWIFYLTHPIFKQAKVLPTEAVRQKWAAVVLMKISS >CRE08936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1456677:1457942:1 gene:WBGene00056959 transcript:CRE08936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08936 MNDITIHGDPAYINYKFDPFTIPVLAACIPLAYLVPTVYIVVKIIRVYVQNLLGKRDERINPHVFSVISLHLVLGIFYLLTDYSTIRIPATGLITSWCASQQPNHGLKILFLLSVYFNYTAMLFPTLISVLRLIPVYYPVKVDEISAKIVRISTPLIFIYPFLFCFTLIPALGDCRQLLGTYQFGGIYFYWTGAWYEIKQATTLLLNSVFWLTASTVANIVLYKKLHKMRNKRESTKLQRAELSLTLITFSMFPAYITNFAFVFAFLLSPTSSAYLIALRPYGSDVEFVFVSWIFYLTHPIFKVQRTSPKGTEGTRVHMSQITF >CRE08937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1458256:1459679:1 gene:WBGene00056960 transcript:CRE08937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08937 MNNISINGDPAYLNYKFDPYTIPTLAAIIPLFYVTPTIVVVGKILKVYFRNVFWKKDEAINPHVFTLIVIQLVMSFFYMSTDYTTIRIPATGIITNWCASVQPNHYIKTLFLLSGYFNYTAMLFPFVLSLLRLIPIYYPVRVDEICAKVVRISVPFIFLYPLLFCFPLIPALGDCRPLLGTYQFGAIYFHWRGSWFGWRLAETLILNSFFWLSGCMIANFLLYKNLKQLQHTRESIKIKKAERSLTLITISMFPAYITNLALLFVFIFWPTASAYLLALRPYGSDCDFVVVPWIFYMTHPIFKTKRLIPKDAVRVHVTNSTV >CRE09353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1460008:1460936:-1 gene:WBGene00056961 transcript:CRE09353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09353 MPDSASTKHQSGVFNIPLENDIVLEWKYRRERTADKAISYNSFKILTTIMMMVMFFCWTIRFLELNSFLLQCRLCYIYGFVAALILINLFFERRRIEEEFSAKNQNVKRGYKQRIVSLKEKSRVLDKKECVWETVFIAVCLFFAWTGLAFRYAQNEKENYSAKAALLGLHSVILFVDRISKIVITFADPKDTYWPIQKIWKI >CRE09354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1462294:1463887:-1 gene:WBGene00056962 transcript:CRE09354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09354 MSALALFLLLLSHMFPTLDSCLVTRESFKCNCIMDRLDRSNINGVYNHKDYKYIITRNLLSPNVTQKGCWTTVFCEKGYSLYILDGTGGGNSQLGVDFDAYASCDREKLIWSVRDGRFIHYYTYLRPICVDVTTVDLCTPKTNTTFLFAYSNDISTEKVKEFAESSLENYRHEKSPHFTTLANVRLDVNLGEEETIKYHSDFDVWKTSISSNLPDPLLGYSDPDVGSDVLTFISKFLNNSQTPICGSRIFILLKRSPNEEDISALVAIIKKYRVGVYTAISSNSSGGHHPETMVQLAVQTHGLAFYHDDRSTKFTGGSTPFFTSDSLVYSINMDTGHSVTSGYLRGGESEYVLIMRYLYNATTMDTMQIRIYTLG >CRE09355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1464869:1467392:-1 gene:WBGene00056963 transcript:CRE09355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09355 MDVPNPYQYDLLMQLHFSGISPPQLVHNLPLIRTELSSEENQERQLLLRSRIHPHNNAGEQSKYGSINNNFWQHAAYQQQMQQQGVPMMGTGAQQNHAWAQAQHAARMAYLQRSQMNSGQPPPSMSHPHQQYYQQMPSGQQVPPGSQAPPGMYTQPGFSPSYCSEPVNYKQWMQRMQRSALMMMPMFRHESGQGIPQMDPTASTHDPVQSATPVNCTGNESETIPLSLADVGERPPTSKKELGGNAPHRIQQHTRQDVQMHSQKANQEPIVIKRTMGNDGHQEIKHPRLTPPASAHYISTHSNKRDTTIRYDMDGLLIVDVTSSPSANITLDSSTTHQSQKCARSESMSTTCQEANSPEEACSGKLYTKIKSQSTIIDLKMRLNERLETYRSKGSVSPSGDHHASQGGQFQRVEAYPLSLNDCDPSSPTIVNSSGYYHQTSCQQETCDSVPDDTKIREASIEPPRLTPHPPMRSSPDQDTADLTYLPGPLEQSGLVPKEQVKEQENDHAQTTASHIDSPASGYICHPKKSKIQKKQLERIVKANEVKRPTFHFLDTNGLEDEIVMFEKMNTTRFANKLSDFMSKNNISRVQLAEMTETNPYYITWFLERNFKDIHVDCRRIYIIWYLRCRASPKMLQCYIGLPRIQSSIDMTFSERQISRLKELIPKDTVMQKTVVEAIIKKINGEIPKTTKTVHLTPKLVKIWCNWIKRK >CRE08938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1468460:1470606:1 gene:WBGene00056964 transcript:CRE08938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08938 MTITLVDLETNGSLEIAHTASEYKPLDVGHWSQMWKLCKILLFIFLSFLALLCLFFALSIGYISAIVFLPTWFPVQVNKLAKGPWNLEDTYDVNDPNIKLSPWGQPYDSECGMVRMIFLEMDCLVPANKCLQKIEMFEKEKNIGKFHNISNYCFEAAVALLSFSRNFYSDFQTCMRMMACREGEYHYTKFHKYPHNFFMNHSSLPICMTKFYKAVQEESFDNCTREFQFLSKDPILKNHAYFHGKFCFQEFSRLFCKTDVADYLDNSYEYFLELAMIPTKIGCGIYEKFEALECQDTMDSFKKSVEILKLGYQTREDYSNVAIICDEMQNCFSNLTNQCAISSEFLKTSNEYCEKMHFLSSPFWQCLSRMKKENTQPDLLKYSCFIGHQFDDDSMACQRFSDSADCVKDIMMDHCGMDSVDNFEYFRSYVLEMWDC >CRE09356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1470656:1472416:-1 gene:WBGene00056965 transcript:CRE09356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-8 description:CRE-SRE-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LID3] MCSPSTSNVRFITFVNTSYIEAENMEAIFNLLLKIEVGVLVFSWIEFLYLFYLFVFIRAMHFNLTFLFMNYGGQYFCSMLSRCIIVYQQLGNDPNNDLHHLILIANYVRTIGLFIAMYILPIFMIERCLATFLVKNYEKSRKIWVSFMILSIFHPLVFASAIAYIQCWLPVVFHVISFFIVNIIGYIGIHICFSYNIKKHGKFYRTTCFSNVSYGLSERFQLAENIKMCKVLKKVQISIMFFNIGCCSILLMDHFKVEMMIIYWSYVSFNFFCLVYGITVPIILYSALPEWQKETRRLIFMCIRKGHVEEEPKSTFGETMIYNDHAIESNIYFTQFNKATF >CRE08939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1475168:1475654:1 gene:WBGene00056966 transcript:CRE08939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08939 MFRFCCFHTRYQLDYCWICGHHHSEADQCCGKLYDSSFSSQLLNFQFFDNVTIPMPVSANYRRIVEMTYGVKEEQIYRVCTGKNKKTCGFWENVQTKAKVESGKTTYNKNKKALIIKKIMKTDFGLYMTGNKKYEQKVNSLFLRGR >CRE09357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1475714:1476641:-1 gene:WBGene00056967 transcript:CRE09357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09357 MPSSPQFLLLLFLSLIPLSHSGSSRRYGLDRWKTGCTAVGEFDMSYCDWIVGMKNIWRHNSIAWWPDQTKNMSYVELKRHCDDSFVSFSYSFCFSRKYCQVCLENTGCYKDFVNYQELDKCVDDVFWLGPMHFCEKKLREVLDSTPDQLAPCVKEYLKNKNPDKFDCLSIHEKGQCFLADVEKHCEPKLLPMYKEHQSLRLFNRACDGRLRYKDWDYNGAQNDALQFNVFSVKASDPKRGILKNPDVEETTDGNNSTEV >CRE09358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1480778:1482967:-1 gene:WBGene00056968 transcript:CRE09358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09358 MQSSQRGNGRPKPFRLLDLPPKILLRIIPLIDIFELALATRKTRRVLKSLKIPVDHFIIQHLEGKHGFSFGIEGGTVVSWYFVDVPQVKDPKDFMSFFSIEGIDFPTRTKNQKECFDHCNGYDNVSIYNSSKHKSGYRPFRETFNVADITKRSKGQQYHNVRYTPITMSGAALPKCWTPNVPDDYQTCLDCFIRFVEVVFKCGVTGYHMDIKSVPNFGKFFTKNVIKNSCRTFELSGVRPQEDSPLTMEAYDIDWVLTNTPINTKLTINCDRIAGNFKLEVVGHIVKVSCLKTICFQPLMQKAITFNCVMKFFAYENLAQSQCNQLEIGSGSQLREIDYREFIATWLDGNNREFRYLDSRIGAKHAEHLKKTLFDAFKTEKCDMKRRMDNYEPTPLHILSDWYDGTVYPNARDIHRRDGMTATIVVHNECFIFVVWHKKSHKH >CRE09359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1484376:1485857:-1 gene:WBGene00056969 transcript:CRE09359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09359 MNGRRYSSFAPKPKPFRLFALPDLPLIRILKDMDIIDLALCSYKSRRAIKSLRIKVDTFKVNDSSRNRGFELSIPPNIYIKWSFDDVLEHKQDCGQFTAKYTLNDIDFPTRIRRNEDNENEITKCTLYNSTKPEETPLQEVFELAPRRAKGKSYYVRKFVPTPQAFPGFRLPPTWSQNVSGDYETAMDIFIPLVKYLFNMEPNGYCMEFKWEKDFDAFFYPTVVRGKLKIFELAAAQYSFSDVYFMRSALQFVPENTKLILAGPFAGYWKWEQPLKQKYMEFQCGVPWLTLEHLLNSNFKQLTVQSQHHKISAEDIGIFIQNWTNRSDKELECLDINVFNVQDIHRKVYGMLSLMNYNKKRKLEDYKRIKSTSIIQENAAYNSSLMREIKRKDGLEATIFISNVYAYQRRRVVFHVWHLK >CRE08940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1487183:1488850:1 gene:WBGene00056970 transcript:CRE08940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08940 MTERNSRYFPDFNNDTSHFLWDLNKFINSIFVTFPNLELFVATMGIVTNIFHLIVLTRKSMMTSSTNVLLIGIGVHDLSVMLSVLAPMVDRADINGCDLPPSELRVYIELFSYSITDLSRRCSLWLGVSLALVRYLCLKSTRKLRPAKLGLIRFGWYIIIILTLISFLFTVPFHFRLTVVDFADWHPKPECGFPENFTVREYGYLQRPIYYENNVLVLKFNFLLNGIFSKILPCILFIFLGFFLAKELKYVKESRKSIVKVNVSKKRPRTTKLVIYMTISYLLAEFPAGIIIILQYALVETPGFLVLLSSLTLLFNFLNTLNATVHCFICFTMSIHYRNTVKRILYCSVKSVAEIRPFSRS >CRE08941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1489285:1490207:1 gene:WBGene00056971 transcript:CRE08941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08941 MDEIQRRNAYRFVAYSAVSFSVVAVLSLSITLPMMYNYVHGIKSQIDHQISFCRATARDIYSEVNHIRTHPNNSTIRRERRQADSCSGCCLPGAAGPSGTPGKPGRPGRPGAAGLPGNPGRPPAQPCNPITAPPCRPCPQGPAGAPGAPGPQGDAGAPGAPGSGFGTGAPGPAGPKGPRGAPGAPGNAGAPGQPGTDAQGGGSSQGAPGAPGPQGPPGPAGSPGSPGGPGTPGPPGPKGPLGAPGQPGANGNPGAPGNPGQAGGSGNRGVCPKYCAIDGGVFFDDGTFRRR >CRE09360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1490783:1492411:-1 gene:WBGene00056972 transcript:CRE09360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09360 MTTRRFREAQHSIRNGPLNISVKTETHSNWATPTTSNRSDTGLFGQTRSKLEQRLEQRKRRWEQTGNNSDDDMEHMGSMDMPQAESGRRRSLDMEFEDLDDEFGEPSIEDINAGSSTQHRQFSSQRNRWNAANLMRNCCYEETSGTLLSREHCERNLSNALKSKKGIDFYDILREGSDTRTQSLSFALFMLDRLSRKTQSTNSDSSSPTIIFNYTAAREADTIQELPGGKRILISHLIDSSMCTNWIGKNAVTKAITSILNKIASRDQFFLIYSSPDSDRNTTYPPISDSFVANFANILRLGFQMADTPTSLITLGANVRKTARNLIDKLRRESTRDEVAARLTTFQTNYQDNAFFRSSDEPIEECVVGQEFARAQWKQPRSTRKPVVPEAAHEVKTKSSKSTAVPTRILPRRPTTSTYKK >CRE08942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1493996:1494895:1 gene:WBGene00056973 transcript:CRE08942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08942 MKSIIILSFVLVCSVLAASSDKDTESKVARYLKSCGVITSLKTTKCVKKSDEVDKELKAFAKSKDKDLSKIKASCKETVDCIKELKCKPLEDDHKETLDFCGRALFQDEFKECSKKLQALKKSDKDAAKCLEDFKSETKKTTKDTCTFLKGSKDCIKTHIKKECGDDKLDGWQKFAQDSFKENDCEKTIGAKWN >CRE08943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1495237:1496336:1 gene:WBGene00056974 transcript:CRE08943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08943 MIEMKARMAELFSIIFLVSRKLFCLRNLVFKNKRLKYFQFQMLRVTLFYLLAFSVFTVFGFLEESEGVIPKDRTCDPEEERAAQSCKNGLTVLSATFESIQGQHESFTASDHIGINQNCKTALTCLKTYIGCSDITQADVDTITKKCNYMTYLTGGFYACSQKLKERKDSSPCVMNFFDADKDSQEKPGCEWINHRACLKWTIRDACGRSYWKQYKPYWHLRSSFMKCE >CRE09361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1496439:1498476:-1 gene:WBGene00056975 transcript:CRE09361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09361 MKMFWILLILFYSGALGAPIHNCSMSDNMISTHLFEFSEALQTVLDEKNSFEMLRGEDEDNFIFVQGCETIEKDLSAISCKKLRLKNLKVVRQCYDVEITGTPLSYCIKRKLDVNKVTNRCVKTYLEPKNTQQLKRLFDTNMKCLVDGFNQLCGPESGKILEENLKKIKYLKGINEVFDGNLIAL >CRE08944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1498763:1499441:1 gene:WBGene00056976 transcript:CRE08944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08944 MSLFIFLIILIPIISSENSPFGCSTQDLQLTVTCRPKLAKLTDEMKKNPLNTGFPTVETLQKMSGYCKEAMDCVSGAQCEAIKEKMNKFSKMCQTIDFMKGPYAQCAAKLKASKDKTECIQWYFSDKSRMSTEQKCAQFKAKKQCIEKDFGKSCGDSTLKSFRENQDYVSKFVGCPVH >CRE08946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1507264:1508535:1 gene:WBGene00056977 transcript:CRE08946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08946 MSDKTSSKQSTLKVDDDRMIVVETQMSVKERRKKVKKFTKLIASIVKVEDETRLQLGELTAKCSEQEADAILEPMRSFHRELLEKFEEIGGDEWPRSVIRVMREFKLELVEELREACAKAAQVQVEENLLTECWKEEKEMLGEQIREIQREKEMLGEQIRKSQREKEVAEAQVRKLEKALTKLRKKLDPEERKPNGLRDESQEIAVETVTGDEKTSEEKKVFMVGNLGIRVENRNPYRETAEKSKEIAVDTVTDEKKEGMKPKKTVIRESKILITPRIGVKGKSIFEYRKSALNTWKDKFDFANVESVVFLVNLTEDEETNQKLGALVLELAEEVKEITIIPYMMECAKSGLVESWKRSWITAGNVKWNDSSASGKTGEKFKTVEQLLEFLEESTTENLVVAKLRKESVTSEARTKENKWSHH >CRE08947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1514674:1518027:1 gene:WBGene00056978 transcript:CRE08947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08947 MKLLVFSFLFLSALAVYSNAFSLRFIRGVQPDKAPADADQHRGSRSAGNSAPHQADVEPKPVKRFAPDRAPMDIFSADQEQSRPARAAGDQPKTQGAEQGQSRPARGAEAHAKTGGFAPKTDGADQEQSRPARATEMHAKTQGADAQIGAKTQGADQEQSRPARAAGEQAKPQGAEKEQSRPARAAANIGAKTQGVDAQIGAKTRGADQEQSRPARAAGEQPKTQGAEQGQQRPARAAGEQAKPQGAEQEQSRPARATEMHAKTQGADQEQSRPARGAEVHAKTQGAEQGQSRPARDTEMNAKTQGLGAQIGAKTQGADQEQSRPARAAGEQPKTQGAEKEQQRLARETGDVAAKTQSADQNQHRPARDTAHASAKTQGADQHKPMKRAAGDRHEKDLFSAEADANQHRPARAAGEQPKAQGAEQGQQRPARDTEMHAKTQGADAQIGAKTQGLEEQSRPTRGADVHAKTQGADQEQSRPARAAGEQPKTQSADQQQSRPARASANIGAKTQGAEQGQSRPARGAEVHAKTQSADQQQSRPARDTEVSAKTTGLGAQIGAKTQGADQEQSRPARAAANIGAKTQGVDAQIGAKTQGLEEQSRPSRAAGAQDPKGTQQADQDQHKPMKRAAGENVGENAHGKGPQGAESSRPARASGQVGAKTQGTEMEHRPTRAAGQEAGKGTQSADQQQSRPARATEMHAKTQGADAQIGAKTQGADQEQSRPARATAQAGAKTQGAEEGQSRPARAAGEQPKTQSADQQQSRPARASANIGAKTQGAEQGQTRPARGAEVHAKTQSADQQQSRPARGAEVNAKTQGVDAQIGAKTQGALDQDQHKPMKREAGERKPKDLFSAEADASQHRPARAAGEQAKPQGAEQEQPRPARGAEVHAKTKGAEQGQSRPARDTEMNAKTQGLGAQIGAKTQGADQEQSRPTRAAGDQPKTQGAEQGQQRPARAAGEQAKPQGADQEQSRPARFAGEQPKTQGAEQGQSRPARDTEMSAKTQGLGAQIGAKTQGADQEQSRPARGAEVNAKTQGLDQEHKPMKRDAGDRKPKDLFSAEAEQQRPARGAGDRHEKDLFSAEADQERMRPSRGAGERQPKDIFSAEVDDHRSLFFW >CRE08948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1519933:1522216:1 gene:WBGene00056979 transcript:CRE08948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08948 MKLLFTFLLFAALAVFIHAMPLKADTKEAETKEHRAKRDSVPDPGPKPAETKEAETKEAETPKVKRDTKEAEKPAETKEADTKEAETPKVKRDTKEAESKPAEKEAETKEAETPKVKRDTKEAEKEAETKEAEQTKKDRVKRDTKEAESKPAETKEADTKEAETPKVKRDTKEAEKEAETKEAETKETETPKVKRDTKEAESKPADTKEADTKEAETPKVKRDTKEAEKEAETKEAETPKVKRDTKEAESKPAETKEAETKEAETPKVKRDTKEAETKPAEKEAETKEAETPKVKRDTKEAEKEAETKEADTKEAETPKVKRDTKEAEKEAETKEAETKEAETPKVKRDTKEAESKSAETKEADTKEAETPKVKRDTKEAEKEAETKEAETKEAETPKVKRDTKEAESKPADKEAETKEAETPKVKRDTKEAEKEAETKEADTKEAETPKVKRDTKEAESKPAEKEAETKEAETPKVKRDTKEAEKEAETKEADTKEAETPKVKRDTKEAESKPAEKEAETKEAETPKMKRDTKEAESKPAETKEAETKEAETPKVKRDTKEAESKPAEKEAETKEAETPKVKRDTKEAEKEAETKEAETKEAETPKVKRDTKEAEKEAEKEADTKEAETPKVKRDTKEAESKPAETKEADTKEAETPKVKRDTKEAEKEAETKEAETPKVKRDTKEAEKEAETKEADTKEAESPKVKRDTKEAESKPAETKEAETKDNRAKRVAPPVPPPPPTQ >CRE08949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1523524:1524084:1 gene:WBGene00056980 transcript:CRE08949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08949 MQNTNCYKDYILYETLDRCSHDAFSIGPMSFCDKKLNEIKKSSPDTLSFCAKDYLDGVCRKTCLFFEILFQKTSKNWTCESSRALGDCLLPEVKKYCDLDLLPLFKEISTCLSGCPLLPPNHSPNFQHQDHRQYYLGCDGRLKFDDLETTPSTNNNNSTGEIVEI >CRE08950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1526671:1527303:1 gene:WBGene00056981 transcript:CRE08950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08950 MQNTNCYKDYILYETLDRCSHDAFSIGPMSFCDKKLNEIKKSSPDTLSFCAKDYLDGKTSKKWTCESSRALGDCLLPEVKKYCDLDLLPLFKEHQDHRQYYLGCDGNLKFEDLETPYNHTSEIVEIP >CRE09363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1528084:1551391:-1 gene:WBGene00056982 transcript:CRE09363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-par-1 description:CRE-PAR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LIF2] MITILSGFQEKTSSNQPPVQLPHSTSSSGTRKSSGSGLKTVKQTRPTAESSSSASSHHQRRQQTEMNGTTTANASSGGGGTSSGATTTTSSGVPTASTGGGSARYSSSGRSHPTTGGGSSSHARTTGATGMSSRSAARRNDQDVHVGKYKLLKTIGKGNFAKVKLAKHVITGHEVAIKIIDKTALNPSSLQKLFREVKIMKQLDHPNIVKLYQVMETEQTLYLVLEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYLHSKNIIHRDLKAENLLLDQDMNIKIADFGFSNTFSLGNKLDTFCGSPPYAAPELFSGKKYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLVINPQRRSSLDNIMKDRWMNVGYEDDELKPFIEPPKDQIDEQRIEKLIQIFQLGFNKATILESVEKEKFEDIHATYLLLGERKSDMDASEITMAQSLLSHSSINVSSSLGQHPAGVITREHVTSSSASGGSASPSRYSRSSATATGPSITTGSALASAANAQKHQTTVPASGSSSSRRSSQNDAATTAGGTGATVVMSGTRHGGVQMRQQPTSRQAAISLLQPPSYKPSSNTTQIAQIPPLFNRNSTTTSSTAQASTGITAGARKIADPKGRIPLNSTAVQSHRTATGAVAANTGGIPSHRDHAQQQQYMNQLTSSTMMSKLINKTPAAGGVNNATSTSSSSSTTSSAAPLQKSGSQISHAPTEPVIREDDDENSSEHQNGNVPLIGGVGPQTSPATATAPSEDVTSSETQKQQEKASSETPKEINPLIWQNLHLNSLLKSLLESTTVSSASETPRRPSEVLAERRSEPPAAPQRQRRQTMAVDSRHLQQTPPTSDRSYPDETTLDRQMRALYVSTARTPRGHVLPTPPTSNSTSSSFIVEPSMIHQSPSMPPSQMMTAMESLKLSESGQGQTGGGSSQQPPQRATSQQMSRSATTNSASNMATAASNQSNQSGAPPSSTGASSQQYHSSTKAPSSSSSTSSGTHPHHQHQLTHNASFSVTPSTYQMPPTTTTTTTVVSSGMPTSSSSSAFPRNTRNRQTFHGKTEKDKGGDDGSDDIGDTPGNVSIGATGPSGTNPEGTIWSKLTKLTRRDHNRESMTQPVSSRAGTIGAAQGQQTAAALAAIRETSGPLTPGSQTGPSMPIQEGDVKPRSLRFTWSMKTTSSLAPDDMMREIRKVLDANGCDYEQRERYMILCVHGDPNTDSLVQWEMEVCKLPRLSLNGVRFKRISGTSIGFKNIASKIAQELNL >CRE09364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1576091:1576447:-1 gene:WBGene00056983 transcript:CRE09364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09364 MPDEEETSRLAWTKRLSRRLNKRSSSLIASLLGSRNGATSSKTINEPTTTEDELDEESMEDVKRREAMAARRASAAPNGDNRVGSRSGGGKEKSTSRRRSVDRIWAYKVKVGLVEAGL >CRE09365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1584307:1590214:-1 gene:WBGene00056984 transcript:CRE09365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09365 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LIF6] MLIFFLVFLFSSLLEETLSIRIQLSTGQIEGKHLNATYSPLGNQSAIVFFGVPYVQPPIGKLRFRKPRPPVPWDGILETKEYKPACMSNMTKTYKNDIGGPISEDCLYANVFTNQYCLKAVLYKFLGSFLIPFQFQKNCSVMIAIHGGGYLFESSSAFNPEILINNFVGQGRNIVVVAINYRLGVFGFGHFAGDVGEKNLGLFDIMAAVNWVRKEIKSFGGNKDRITLLGHSAGAGLVTSFSNSPLTKGLIHQQAIMSGPLSNVSKQSNYKATTKVAQIVGCLDEKFGFEWLEKSQIDKTYTCLRSKSAQELLDAELYVMENTTYYFGAPHIDGEFIVDYPEKLFDKKNSIFPINTLLGTTTAELRDTIYITDPKNAHLKEHLLNNICEHVAYEIYSEPEKFVEKCVSYYQDGDHAQFLSDDMEFYSRAISVANVHVSKDTKVYMYSYAYSGAGPAFNKYLDVPSPHHSEDLIYIFGTHRGVMQPKDYIIEKFYSGIFADFINFEDPSPSKEQPWLQYTPEKREYFVIDFDENFTMPGMRENYYADALKFWSTAGTKTFSENWSHSFDTFIITNVIGPIVGNLTRISVDVDKSLEVTDELFVEREEFLQKLKSEKNVEMEIKTRPGNGNGGKRAVARKLEWVERDLGSAEEKEGGGGGINILLIIFAGTLIGGILYVSISHFCLHHRSREGYQLLK >CRE09366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1590963:1595042:-1 gene:WBGene00056985 transcript:CRE09366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09366 MTATRSTKWRLLTVVLIGIFVAPATALVAPTPAGAPEASIASKRSKPEKHSVKYSGVCKSPECMNLAHQLHDWRNISVDPCENFFEAACGKHSGFYDSRVSKKTIKVAQFLDDFFKTPQPSHSPSKSGKVMKQFYDLCEKWKVMNETEQKEEQSKAISDMIKKWGPIPMFDKNWKESDFDLNELLMRSASFGILDLALFRVNIEDDNRILLNYDYVLKWNDFENYRTEALRNKENRVDPNVIQDDYNKLVKLSKYLYMNIEFYSDSVGVPLPQLQAKVPSLNFEKILKRLFQEKRQDEVWKNIKDRITGSHIPYFFHNYTSLEETIQHTSNRTLANYIGIHFMDSIRSTVDIEFRSDRCAGEVAEKLPLASHINFALNRFDEKNIQLASEMVEDIQKSVVETIGKSTWLNESTKTKAIRKVKTMKKVIGYPKELANPAKLDVFFDSLDLSETTSFVVAKRAVGRFQIQQKMNYISKLLPYFPKLEYANGKGYYDPVSNLLTVRAEILDVSLFDSTFPKYAKIARIGEEIGHEIGRALDPINRKLDKNGEWFTPEDATEYDRRAQCLIDQYTNYDDPEFKDLNGKSTIRELTADLIGINASWKTYEKVDFSKEPSVFRFKRAEPRKFFFHLAAVNFCKAKGDYIFDGHPIPSFRVNGIFSNMKEFSEAFKCPVGSPMNPVKKCDLF >CRE09368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1599834:1602588:-1 gene:WBGene00056986 transcript:CRE09368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trm-1 description:CRE-TRM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LIF9] MSAENVGAAGDGENKVKEEITIVQEGKAKVGFHGPVFYNPVQEFNRDLTVTVLRQFSDDHQKFQKEQAEAQNSKNSNGEEPPKKKNKLAINEDGKIRILDALSASGLRALRFSKEVPNVGFIMANDFSDNAVASIQENVKLNEVEDLVEAHFGDAVMTMMEHRGIDKRFHAVDLDPYGTASTFLDSAVQCVADRGILMVTCTDMAVLCGNTPEACYNKYDAVTTRMKCCHEVHFSARNEQQLNKTIIFQGLRILLRAIDSAANRYTRYIEPLVSISVDFYVRVFVKVHTGAFQAKQSGTKVGTVLVCSGCHAMEPLPMLKRGENNQENKYSIPTIRHSLTGSDSLCVHCSHRLHQIGPIYLAPIHSKPFVTSLLQRLKSTPEAERLGTHGRLQGVLTMVNEELDEILYYEHDQMANTVKVSVPKAQSVRSAILHAGFKVSGSHCNPRAIKTNAPMQLIWDIYRQVAKDTNVDREKRHAKESAGYTILGHPITNTINFSLHPGAIEQAKKENLVRFQCNKGKNWGPRQKAKGSVNSTKAGFQLTNVEEHKN >CRE08953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1602919:1614287:1 gene:WBGene00056987 transcript:CRE08953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08953 MDEKKTEKCIEPKIKNSWERKKTFFEVAAAQSSLKNVNPDLPDSLVTPLITVLDPCAIPSKIHARVDWGVVKGRLLRGTLVHKKKRPTPKTPKKAAGSAKNSGNSGSSAKNSSNSANSATSATSATSGSSSATDGASDGPAASAAKASSSSSPSSSGNDIRAKRGEPMDLNEARKQAIRREKDIFINEMVELVKIIKKYNDPSLSSYPTWIDRLRIEKTAKNLILLQSYQPSLLTIEEIVNGLLFEHGPAVMERLLIEMPENLVSIVNALIDHQTAGGRTSKRNIIIGKIVTLYPYFARRIIYKFAERRSDCVFACRLAVDHLNDKQFLEFMEPMLVEKVSILPETTIYKNVVRDSNRQVLPIVVGRLLSMADAVLNPNPSPDARQSEMAVAPWCTRLAFCLVELLLAATEPWREREVVTVTKFVFRTTIITQPTDPLEAVEPMDTSFQDTSMTADSQESIVMDSEPESSRPPPRRPQIPLWTTVDASPFGDAHECFVLSALIAVPFFTQYPPNNTGAVQPPDHSVEKWLEISRRRVLAGEKVTSTFGTHLIFVHTCIMTSRLDDLGKYSSEGVKRTVELMTRPNHAAVLKNALITRCMNEIEVAKRSICQTVTKGSGTNTSFVLRAIYALQNAQLYNTFRLSIQPWLEVQLEHIQLPASKLLADIVDEFGNSTASGEERGFSKEFVESAFAGDLFDARTIPKRLFALLFLTTYREYLHTQDASLQRFMYDRSIFNRMPVRYLLTIIEQDLPNFVNIRGRIISRVTNIYPYSLPSPESMTIVAECQKSANIELIEEEEFGASEKERRLKLLKESKNIKKVLEAMCRSSVRDQVAALPIFINIFMESLKSSTPPGFEQPLITLFNRYEHLIPFPLFLQSVSTWIRDDTGIDVNDVLKIPSLIFRCDRRILSSPAHFHCFIRALNFCNKRCRMENKLKNYEARHLYNQGMSILKKNPLYDFDADKKEKELLTGAYLDIQQSVLIHALVEVFDSKRMNDDPSDFSLQEKRRQIRQIACEFIHRTFLDYEGLIRIALHQRFPLRQIREIVEGVPALFAGNSQILEMLSLADPERRFFAIVFAAEISRKYRVRESLETARVVCDIVHSLHKYGELPSSYKVWKHVAPALMILATEFPSLADSINRLLIRVSTTAKNRLSVRCGLFAGDPRHEEYQLISKIQSFLDRNSTRENL >CRE08954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1616909:1622026:1 gene:WBGene00056989 transcript:CRE08954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atfs-1 MFSRVGRLTTFGAQAVSNCPLRRDNIYQQPLKITAPINDQLVNFAHNFSDSVRQRTTSFGNDPFLGVPMDDEEVIKELELLDLDSWHTKPRAPCAAPSDELELDQFWEGKNITLEAWRPTDTWQNQGHHGQQPHQTQNQQNQQIPQVGHSSTTELMHDFSNFGDNMGSPLFRSPSKSSIDQFAAPSSRIDEFGMPPQHERKVSKFELDVEHESKTVDWEAWNHYLESDDDVFKRPEAFFKEEPMMTSSNSMTSNSSPDSGIGLFVDTHQNPVYNFNLSTSSSSANLFRMVTPSVQPASHIQNPQNPPRIQNSDADLFSSGPLLCIPKQEDLFDDYIQKEDDDDDYIPASEMRRASSRLQRKSTTPSYLRRRDSERSWTPASDDYYMSEEKQKFKKRGVVLKPSVDEETDRRRALNRIAAVRYREKKRAEKMGRKKEFQDVIDNNRILLQKERQLKREINAMKKELRRMGAVI >CRE09369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1622617:1629149:-1 gene:WBGene00056990 transcript:CRE09369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-21 MKPENGAAPWHPAKRSCLGRLTTLETLLLVFLGLLITALLSVLFLWLWVLDGYKTFTDGRPIYPQPFENSSVAVDRSAKNHNDVVCTSRECVRLAGFLAENLNSKIDPCEDFYEFACGNYGLNKNLPANKPLRHTISDVQSRLNKQVKSMLQSPISQNEKSWDKLAKGYYQKCLDEEELEATGVEAMRDISKRIGGWPTLEGDKWQEWTHSWEEQLALVLNLTGVNAVILEMAVTHDPSNSSRSVIELDQPKWGAGSRYPYLSGANDPMLRNYTTLMKLTAVALGADPAVADREMNEAMEFELKLVNFSADDMIRRDPERGNNRFELWQLKSVFPFINFEKYLKTVFKELVDLSPNHTVIVREIDYFVGIQHVLQSTPKRVLANYISWRLVQGFSPFLPPTAREPFYQFKANQTGMFNSPPPDRWEDCVTLSVIMMDMPVGRLFVENFFEKERAMNKMTELTSYLKNEFIKQLHVLDWMDETTRRRAISKANMIEYKSGFPMVLFNDTWMEKNWGMVIKPREYLLHLTIRVKLVRFTEELLRLDQPLDRSMWFQSPAQVDAYYAPNNNEMIFPAGIMQFPFLTLGVPNYITYGMVGAVIGHEVSHAFDDQGGQYDELGNLNDWWDAETEEKFIEKTRCFVRQYENVHVVEADIHLNGQLSLGENIADNGGVKTAFNAYKAWKSNTTGISEPALPGFQNFTSQQMFFLAYANNWCSLVRPKHYIQIILTDVHAPSKYRAMIPLQNRPEFAKAFQCPIGSPMNPERKCQVW >CRE09370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1636772:1637281:-1 gene:WBGene00056992 transcript:CRE09370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09370 MSEVSEISDFRYAHPWYRICNRALPELKTCVRTRETKRKKQGGVRWSALASTSSTSTSSTSSASSTEFPRVSVQSRHAPRLPHHLSINGATQQTHHPIYACSDENSYYASPIFLTRTIDEPPKKQAPTLSRQSTCRPTSQLYLPSKKRKTR >CRE09371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1645951:1647937:-1 gene:WBGene00056993 transcript:CRE09371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ent-3 description:CRE-ENT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LIG4] MSESNKDDDVHSKNVSSEKSQRSQSPESLVESGVVSESTKDLDATGSDEEDVKDVGNYVFCAFMMFGFGSLLPWNMFLNIAFDYYTMFKLREESGHANWFASNFQNAMTICAQIPSLGFSVLNIFIAMKGDLTRRMGTCLLIVQSMVFVTVLFIYIDTSSWIPVFFVVTLISIIVLNASNGLFQNSLFGLASPFPFKYTNAVIIGQNFCGTAVTALAMLTKAASDDIQMRANLFFGLSSVAVIVCYILLKVLKKLNFYRKFGELKPSLNSVEGEESSTWSNIREAFSKSKMQFLNIFLLFFVTLALFPNVCMYVTDAKMGEKHDFVVSEKYFMDVVVFLNFNLFAFIGSLMANWVRFPGPNTIWIPVVARFWFMFYFPAANYNPMDFARSYPVLFGSTWLFVFNICIFALTSGYLSSLIMMYAPRSHEDPKIQRMAGMIASFSLIFGIVAGLCFSWQIRLFITGYA >CRE09372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1648794:1649801:-1 gene:WBGene00056994 transcript:CRE09372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09372 MKSFAILACLVSTSTAFLFGGLLGGGGGGGACCGAPPPPPPAPAAGGYVTAPSAPAPLYPSAPAPAIPSGGYAQAPGGFGGLGGAGGSYAAPALGGGLGGFGGAPAQGGYQAAPGGFGGLGGGLGGGLGGGYQAAPALQFPGAPAQGLGGLGGLGGGYQQGPAQGGFGGQQGPAQGGYQSGPAQGGAQGAQGGYQQGGAQVGFAAQGQQAQGAGYQQGPAQQAPAQGAGYQAPAAQGAQGGYQAPVQQAPVQQQQSAGYQASQPAQVSAPVSQGYQPEQDHPVAPAPTQTQGYQAEAQVQQQVTAPVESVQASGYQSAGAAAQPQAGGAYSQGKH >CRE09373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1651529:1652379:-1 gene:WBGene00056995 transcript:CRE09373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09373 MFASKAIFAVVASIALVSGAPNGLLGGLGLGDTIGEGQDELGGIIGGEGGIGGVIGGITGGDNGGIGGIVNGLLGGNQDVLDNLLSVLGGSPGGLGLPLTGNLTELPTFLTKFLEDLPAPLVEKITGILSDDTLNINEITSQLTDLLSGQNQDVLATLLSTVTNLVSELLSGVSEVVANLGSVFDQLTQILNNQDQTLLQQNEAIENLRKQFPIELEAIFQIASRVAENLQGGNGAVVPELPVPLPETPQVPV >CRE08955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1653045:1653884:1 gene:WBGene00056996 transcript:CRE08955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08955 MYASKAVFAVVVSIALVSGAPNGLLGGLGLGDTIEEGQDGLGGIIGGEGGITGGIGGITGGDNGGIGGIVNGLLGGNQDVLDNLLSVLGGSPGGLGLPLTGNLTELPTFLTKFLEDLPAPLVEKITGILSDDTLSINEITSQLTDLLSGQNQDVLATLLSTVTNLVSELLSGVSEVVANLGSVFDQLTQILNIQDQTLLQQNEAIENLRKQFPIELEAIFQIASRVAKSLQEGSVPEVSIPVAGSPQIPV >CRE08956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1654265:1655419:1 gene:WBGene00056997 transcript:CRE08956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08956 MTSSRANLTDFEKSIVDKAIEAMQFAYCKYSNFKVGAAVVCEDGEVIIGANHENASYGATICAERSALTSALTKGHRKFKLVAIATELEDPCSPCGICRQFLIEFGDYKVILASSTSEQIIETNTYGLLPFAFTPKSLDTYEMESEQRNHSDEKH >CRE06322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:243954:244783:1 gene:WBGene00056998 transcript:CRE06322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06322 MRVSELEHSAGYLQSNPHSVQPWKMGYLQRQGDHHQPKAIHRHPFIKKIVRNHVRVLVYYGDTDMACNFIMGQQFVDQLGLRRTLKKTPWKFDRQIAGFKTLFDWLSFITIRGAGHVAPQWRAPQMYYAVQHFLLNHPL >CRE08958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1660375:1666972:1 gene:WBGene00056999 transcript:CRE08958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08958 MANKNKKKSEKRNPVTMKTIKWSVTRELTRTRTLVSLADRALALERNTQNITVLDGYLAQLLDQLALIEGLQENAMERCSRATRSSITNLIGELTTAVNNVRAGDVSNPGTPPPPPSDGQASGNAQVLDGIAPNQEHPERRNPEQSVQTAIDQSDQLHQGFVSNTGRHPPNSTKPCESNHSSDVSAHPPSNILNRGSSEASQDIAESFTVSILAYNSHISVVCGIINLFHLIILTRPAMRTSSVNLMMAAVAFFDICSLFQEFELFYDRLMTLSEPCLDTNTYASVLFQRCCFALTNYSRRYSTWVCLLIALIRTIVVRNPMSRFHENLTKPAAGYSVILGVFLASAPLGVLKLLEFQIEWTETISYCDENITVAFYHNRVSDLFIANNLIILNLFYVTDAVVSNVSFVNIYIDSKTSFQLIPCLLFPIVTFLLIREVRNVEQNRRRMFSSNKLSDFKKATRFVLYFTLTFSIAQFPFGLTSSVVYLFEETPGISKILYYLYNLFSTLFTASTVTHFIVCMLMSSQYRDTVKSVVSCGYYSKEKLCKSNFSYYNWLQVFDLDTKQSCGQRRLTDYHIIPC >CRE08959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1670007:1671262:1 gene:WBGene00057000 transcript:CRE08959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08959 MSSKYRITAKSLALCGHTPEVDGEYLELLELQIEWFETDSFCNENTTIVLYYTRLSDLFVANNHLILNSFYLTDAVVSNVSLVNIYIDSKTLFQLIPCLLFPIVTFLLIREVRNIEQNRRRMLSSNKLTDSKKATRFVLYFTLTFSNAQFPLGLTSSVLYLFEETPGISTIIYYLYNLLSMLFAASTVTHFIVCMLMPSQYRNTVKFKAELRPDMPYRKLHNILWMHTKTSLKFQI >CRE09374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1667779:1669118:-1 gene:WBGene00057001 transcript:CRE09374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09374 MEVCRKYGFPYFKKSTILMLCWFQNTLESFTYLITAYNFHISVVCGIINLFHLIILTRPAMRTSSVNLMMAAVAFFDICSLFQEFKQYYDRYIASDSCLFTDTYASALLQRCSFALTNYSRRYSTWLCLLIALIRTIVVRNPMSRFHENLTKPRGGYSVILGVFLVSVPLGVLKLLEFQIFWEERISDCDENIIVVMYFTGVSDLFVANNHLILNSFYLTDAVVSNVSLVKISIDSKTSFQLIPCLLFPIVTFLLIREVRNIEQNRRRLISSNKLADSKKATRLVFYFTLTFSIAQLPFGLTSSVVYLFEKTPLSRILYFLYNLFSTLFTASTVTHFIVCMLMSSQYRDTVKSVVSCGYYSKEKVCKSNFSFYNRLQSFDLDTKQSCDQCITDNHIISCVELETGQTGTSPSRFL >CRE08961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1675667:1677481:1 gene:WBGene00057002 transcript:CRE08961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08961 MIQWEQRTKVSVDTFTTASSHTALMETSCEPFFPNVKKSTAKLLCSIFNWFTPFVQFCVDRERYVSTLVVIMNILHFIILTKKSMRTTSINLIMAAVALSDICSQSYILHHEIIRIFIYFYPCYSKATYYNIFLLENIMNTLRNFTRRCSTWLSLSIAVIRTLVIRNPMNPKYEKLSKPKTAFTLILVIYALCFPLAVVEYLGNWLVENVDATECRDNETTSVYYYSGYSDWFTDNDYMVYKLFYGTEGVVSKLFPCILFPIFTTLLIIEIRKAEKSRQNLFVSKSESRNTTKLIFYLTLTFFIGEFPMALFYGLNPVLLMLNEEGFQ >CRE09376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1677836:1678342:-1 gene:WBGene00057003 transcript:CRE09376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09376 MSFTHDQENELIAENEQVIPLNQDTEKEPKPKMSPELQKMVDAMYASLQPHENVFAPAPLAGQPVIPTGRLARCICSPDCTKELPQPEYEVIRDSEEDKRWMVEPTPTDFYLPMIGKTKDGELVGVNIQMHVNENGTKAFGTIHSFCALRK >CRE08962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1679638:1680762:1 gene:WBGene00057004 transcript:CRE08962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08962 MSEDCTFYTNLQNTVSFLLGFEIHIAIFSFSINLFHIIVLTRACMRTSSINLIMAAATVSDMYSQFYIIEQKIKSHYENLETCFSGTSYLNVLVDILLKLLKDVSRRSSTWLNFSIALIRTLVIRNPMNPKFEKLSNPKTAFYFVLFILIFNVSISTFGSFQFIILSEKATNDCDRKPKKVVTYRFDFSEFFMGNDLMVYKVYNSFDAFLSKFIPSILFPIATIFLIWELRKNEKNRIKISSSNAYNSSGKTTKLVLFLTITFFIAEFPLALITALEPYYSDAYGLLLLLNYFNYLFTIILSANTSTHMTICLLLSSQYREAAKSVLLCGYLSKVPNKKTIRI >CRE08963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1684208:1685455:1 gene:WBGene00057005 transcript:CRE08963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08963 MPFFRGLPYLAIRKLFHFVDYETRLSLERCSKRTKKLVDAMPFYFELVDISTQPLRIRICEDKGETMTYSNIAGLIYNSVWELCQTLFKWVHENTVVKQLNQLWEIFRNKNIRIKTLFVSLTLLYRDHYELARVSFERIRLVCCLMKIMLGSLDHQLLVENFIIEYNENQDEVMCFLPFLNPQYLKCVKFINCKSRHAPISQIVKAPQVIQCKRVIFDGFTWVPMGFFWHLPGVFLMNTKFDFHETNQLIQVCKHDLNLYDYHFQHYFQHDTFDYFGMKGVKDDWFPDDSNTFIDDRNRKSMIVKGPKFAIKIVQKLEKKTIVLQRIPSTSRNF >CRE08964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1686530:1687560:1 gene:WBGene00057006 transcript:CRE08964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08964 MASTVSSTASQSSHITKNISDIPSLSTVILEIPGSWISTVHPMRECVIVSNYSHTQARSKMPKKRQGVLLMDNVAQHVKHAPVRNSNGPTQRKNMEHTPLVSNNHTPPQTLI >CRE09377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1693987:1694595:-1 gene:WBGene00057007 transcript:CRE09377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09377 MKMMEIEYLKSNVIESTEVAERIGGGVKIALGYFRMRRQKRGKKVPKKVPEEKDVPKIRKVEKRRQSKKLSKKQVIMQEESSDDSDESDGDSDDSDDSDDSDDADDSEESFTDSDDWDSDDNDEVDKVVKREPKEEPEDE >CRE08965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1695240:1698149:1 gene:WBGene00057008 transcript:CRE08965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08965 METSCEPFFPNVKKSTAKLLCSIFNWFTPFVQFCVDRERYVSTLVVIMNILHFIILTKKSMRTTSINLIMAAVALSDICSQSYILHHEIIRIFIYFYPCYSKATYYNIFLLENIMNTLRNFTRRCSTWLSLSIAVIRTLVIRNPMNPKYEKLSKPKTAFTLILVIYALCFPLAVVEYLGNRDNETTSVYYYSGYSDWFTDNDYMVYKLFYDTEGVVSKLFPCILFPIFTTLLIIEIRKAEKSRQNLFVSKSDSRNTTKLIFYLTLTFFIGEFPMALFYGLNPVLLMLNEEGFQ >CRE09379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1716313:1723651:-1 gene:WBGene00057009 transcript:CRE09379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09379 MSSRILENESASSIKKLKSDSNMSAYSSDYVETSESSDSDSEEDSGTDSNYEEPLPKKKKLVRMMKTLKNHQEDQEEGSGNKDGNSQEVKKMPMNRKIAEVLLTKNKTINRFLKYRQKKDQNNEKYVKINKEISKKLEEEFKINPNPTTERKKEIAEANKLGLRAVTNWFLKTRREAENPEKWKELNEKRRISDRERRRRKKAELNRPPKRMLFNEDQKNFMRARFQEFNYPTTKQCELWGQEIQLTGTQVCSFIIKERAFSKPGFRERQNERKRERRKGGPKVSRFRALSTPVERTDHQKKVALKTLKKIYQETDRPTLPMKKQIAEVLLTTPKNINKFFDYHRNKEQNEGKFVKIDKEISKKLEELFKINPNPTTERKKEIAEANKLKLQAVSHWFKTKRDRLKNPEKWDKINEKKRIMGREQRRKMKAQNQVRMMLIARSLSVFYSKFRLQALGYFRMRRNMDRKRIKKVPEEKDVPEFKGVWIRRQKEQVINQEEASDESDESDDNSDDSDDSDDMDMHNSGSGNFDSDESFTNSDEWDSDDDDKVDEFVKREPKEEPEDE >CRE09378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1702034:1714215:-1 gene:WBGene00057010 transcript:CRE09378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09378 MSSRILENEPASPIKKLKSGSNMSAYSSNYVETSESSDSESEEDSDADSSYEEPQPKKKKLVRMMKTLKNHQEVQEEGSGNKDGNSQEVKKMPMKRKIAEVLLTKNKTVTNWFLKTRREAENPEKWKELNEKRRISDRERRRRKKAEINKFFNYHRNKEQTQGKYVKVNKEISKKLEEEFEINPNPTTERKKEIAEANKLKLQAVSHWFKTKRDRLKNPEKWEEINKKKRIMGREQRMKMKAQNQVRMMLTARSSSVFYSKFRLQALGYFRMRRLMDRKRGKKVPEEKDVPKFKGVWIRRQKEQVINQEEASDESDESDDNSDDSDDSEDMDMHNSGSENFDSDESFTNSDEWDSDDDDKVDEFVKREPKEESALFPKFHFLIFSPSFSICSRLHLASDHYNSILWFIQVFHFFLFASFYF >CRE09380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1726015:1731492:-1 gene:WBGene00057011 transcript:CRE09380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09380 MPRSRKAQKVSTVSSLRATRQNTVSTSNPTFTKSLDNAKTPKRSIDQHNVKKRKRSACRPSTSTHHVPDLSDEDELCDPTEVRSTVSERREPHSVKFNFQTVQKSSRVLRNHKPASSTTTLKSSPNKSAAKSKYLEPSESSDSEIEEDSDADSNYEEPLPKKKKLIKKRKTLRKELEEEGSGEEEDSQKLKKKKLEKPKKKRVRAPAPRVERTEHQKKVALKTLEKIYQQTDTPSLPLKREIAEVLLTTTRNVSRFMNYRRNKIQNQGKYVKVNKEISKKLEELFKINPNPTTERKKEIADANKLKLQSVSNWFMNKRDRLKNPEKWEELNERKRFLMKRQHMKTKAHNQLNGIPPKVIFFDEDQKNFMRARFQEFNLPTTKQCELWGQEIQLTGTQVYNFIKKVREFSRPGVREQRNKRKRERQKGAPKKHYRFVPRGHPPLSEEEALPIIKKVLEENPDYVETKNGVLMNQIYWPKYKINNYIRHNLQQERKPSAKNVKFLEEEFQKHQSISLSHAKDLSKTLGISIEYIRNWFSTQKRRTLEKYLDEKQELDSLPAQMKTLEIEYLKNNVINSIEVAERIGGVKIALGYFRMRRLLDRKRGKKVPEEKDVPKVRKRRQSKKPSKKQAITQEESSDDSDESDGDSDDSDDSDDSDDSDDSEESFTDSDEWDSDDDDEVDKVVKREPKEEPEDE >CRE08967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1734474:1735726:1 gene:WBGene00057012 transcript:CRE08967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-7 description:CRE-SRE-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LII6] MCSPSTSNVRFITFINTSYIEAENLDNLFGILLRVEVAVLVFSWIEFLYTFYLLIFVRAMHFNLTFLFINYGGQYFGSMLSRCIIIYIQLYPDKNLEYIIPLANYVRTLCLFIAMYILPIFMVERCLASFFVKNYEKSRKVWVSLMILSIFHPLVFASAIAYIQCKSRKFNSHNLLFSSGWLLVPFHVASFFIVNIIGYIGVEICFLYNSRRYKKFSLVGLTKFNYGLSERFQLAENIKMCKVLKKVQIAILFFNIGCSSILLMDYFQVDIMIKYSSYVAFNFLALVYGITIPIIVHFLLPEWQKETRRLIHSCLCCCSRHAVQEAPKTTFGEKMIYKDHSQEANIYFNQFNKVTL >CRE09381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1736488:1736977:-1 gene:WBGene00057013 transcript:CRE09381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09381 MTRLISLVLLVAGCTYAQKNMNVPSTLYIAEGDTGTIGLPVSANYRREIFVPTTSTYEEHLYRVCNGKNKKTCGYWENVKTKKKVPSGVTTYNKNKKSLIIKKMKESDFGEYMTGNKKSSRFVLQLISFGK >CRE09382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1737661:1738096:-1 gene:WBGene00057014 transcript:CRE09382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09382 MSQYVPGDTAVIKLPQVAKYRRLVNEGDLTVEYIFRVCNGKNKKICGFWENTKNKTKVTALPTNYNKNKKALVVKNV >CRE08969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1746675:1749143:1 gene:WBGene00057015 transcript:CRE08969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08969 MEPNPALDIQPEPPAPHQQAAPTLQPTREIPLRIQHPKYSVLHYGEVAGPGDRRRNKPNKFRVQSFHQFTGQALPVPDDVLRTWAEYEGEWAANDVPPLIFDYGCLRLQVWKQSIPDPATHFDEVSKRMPFLATLPGLQIWFLRNVRGTQEVNHLEAGGSGRCQLCGSNLLGIPLSNHHHSSCIFRHLDRHTLMQFMSINHLAFCPYCGSRSATHTLAECMQRESACRQCGAVGHMECQKLCEAVGVDPMTAEEQKTRVTQYRREYYEHCRRLAERGELRYRIISDATHPYYSRRIIEESLHPAGWGLFLDYQREYPQIPRIRYQNTQLYSGLVTPESDAAWSNEYPVFQPSEMDEIERLQWRVDEIRFGRQTRFNRTNPHPTYTINEFIGQRSRIREERLQAQRALNEPILPVIVDDWSVEMNEEQRQEEVAQQHPPLEEQIPDDISQEDDNESHSEDENESTSENPDESIEESNHEDDSVESSMSSSDSRRAQYHWAPSDEEEPAVPPAVEDPVVVAPEILEPEAAPPVAEPPVQLQPAVEAPVAEQNAIPQLAIFQVEDSQGDDERDADQERRLALTASGISSYRERTLEVLTSAADLNLLASRRIPRGAQNLRDRIRWQVQTITGRMMDEELDPYPQFALREHTIMLTGVLRTIAGIRQAQRVVRVQFTRCEATLERNDYGHLMLIPTLRLFILIPFNTRRARFVRNWELLLEWLIRDGMPNSGCTIAIR >CRE08970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1750498:1757935:1 gene:WBGene00057016 transcript:CRE08970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08970 MAEKTAGIPQSSNTGESVGRTVTGPLKRIIDNTVYAASRHASSTKRFLTNIKNSTTTPNMGQLKRLDETLTETRKQITNLRALPDHVNAKKAHPLVLASVNKDKYLEEMDNYLAKTKYLTAISICTELIQQIEAELSEHGQPASPFDESIHDANAAVEQLGLLVIDPQTGDLIEEEGQPIEDIIVQLTNELEETKLLSQSLEEASENKKGDAEEPTPLADTEIEQQQPPKEGTAAHSADSNMNDRLEDLETDMEKAMLERQEKVAEGKRLRDEIATEKATIANKGVATPSPTISIEENKSTGQTLPKNDHQHPTMHETQHSSEQSQINNMRVIATHSQESLLSESIQSQLLQHISRLEQHVEKEKSNQNKFMNSVSANFEKIFNTLKKDNNRAKNTRLDSDDDITSSEDEDDYARRYMEGCNPRDDKSRDKSHRIPHSTPKKAPAHLKNVTFDSVKSNLVTFDGSDDFQIFRNTFNDYVIQNPNISKDHKWVLLKGQLKGKAAKFLYRLDEPEEAVRLTFKELENKFGKKCDRMELHNKLANLPFHPTDHHRMEDDLREHRMLLSQMKGRGMNVNDEMIIKQFCVKLPRDIRKQIVSMINEYKDRLTFDMVHDAVQRGISELQTEESFFEISTRQRIRNEIPAAIHAMDAQQSHPTGNHYRNNNYQQFNSNSNGTRNSSQTGGVPRHGSRQPTNGNFRNNYPQNNNYAQRAKPQLSSNKVVDTSGVTLDENYQPGHSGINLNIIRYSFPFSKPETQSTCNACNQPHNAIRCPLPSGEFRQALKQKGLCELCTGKSHKIENCTSRYMCGYCGGRHHMGACPQKEERNFFVATHETQLINSLSRAGAEAKSLLHVPQSALPPDSRATSSHNCSTNTNQPPDSSLKTVPTVTVSTNSTVVSSKTFISTDSTPSENQEFLKFLSQTTHHVNHVATPKHDESDRDYKLPFLCLHSHEGKPIRALVDTGATLSMIDHTAADKLGFEILGTTNITVTGFDSTVTIPSNAYKVPLKSKYSTTPVTIRIAGSPQLPPTRFRAPILSKKDLQYIQELNIPKSELNASRNQTGKRIDLILGNDVIAWFNSLATTTRHILPSGRLMETSPFGFIVHPTPDLGLLIQATHKLSNTSVDLDDENYIMTLMDYSDPEDPLSRLIAEVCQMWNIQNLGAKSPELDETFKKEQRDLMDEFNRNAKYNEKGEFEVALPLNGNEARLANNYEIAIKRLIHLIVTLKKGTNLLKQYNDIIQEQLAKGIISKVTPQMMEEERKRGQVVYNIPHRGVVKLSSMTTKLRIVYDASSHKRDQLSLNDCVFPGPSILQSIFGILIRARMYKYLVIADIEKAFHQVQMQEQFRSLTRFLWLKNIEHPATPDNIETFHFNKIPFGLACSPFLLAAVIHYFLTKNPNKLNDMTRENLYVDNCLYYTNDKGEIPEIVKAAKQIFDDMDMNLREFIVNEAEEMMKIPEKDRAASLSVKVLGYLWSSKEDTWTIKIAKLEESHPTKRQVASRLAETFDPLGLVTPILVGFKRLMQNCWLDGVKWNEPLPPQLLSDWRKVQEQFKDEEIVIPRELTPAYNFLDVRLMVFSDASKDMMAASVYALYTFEDKHPTISLICSKNKIRPSKNEKWTIPKLELMAIEIATNLAVATVNEIRLPITEVCFFTDSACALFWILTKKLTRPFVANRVEAIHTNKDILTKQNIEEVNIRHCPTKDNPADLATRGMSTSELQSSSLWFNGPAFLKTDRSEWPTKIEGVSENIKEFQALVFSEVVDPTTKKKKKSMLPLPTPTKPSETETILTASHDSKFQSIVPYTKTNSMRKLVSTMHSVMKFLVQSNPHHEWQSYIMKEFVRCKETSPTARRNLARTFVIQQHYLECKSQGYTFPQDMNAYQDQDGLYRYKRQVRSKVLPLEAREPILIHSKHPLAELIIRETHEINGHLPESYTISAARTKYWVLHDRQIAGRVIANCVQCKKVNGLPFAYPHSQALPQFRTEPSTPFEHSGIDYLGPIMYTRDDGSLSKAFILIYTCLVTRGARLEIIPDGTVERYLEAMSIVFSRSGIPKTIYSDNAKTFQLGEKIINEDIAGDEASESLTSFLANQEIDFIYITPLAPWQGGIYERIVKLVKHQLMKEIGDLKLDFQGLRRVLAGVEAMINSRPLTPHPKRPNDMVALRPIDFQLPAALIDLPFNTKPFEPTQSKTEQRTRAHLEQYENVLERLWKGWSLGYLLHLRESKHKNKRCSRIQPTVGQVVIINTNLVRRQKWPLGLIVKVCESKTGEIRSVIVKCKGKLYKRAVCQLIPLEIETLDKENCPKDQKDTETDPRYKSKPIPPSPAIFDIPNARYSPSYFTNSNDASHPDAIPHTAELPIIGEKVVDEEEIDYDLHDLEQEDDDMATSYQDPNAPAAHRLDIIDQPILHPHRTREYLPRKAKAPYVNYVHHMTVRHLSFSGPPECCQFDASGMQPANFVAL >CRE09383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1759063:1759562:-1 gene:WBGene00057017 transcript:CRE09383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09383 MIRLTSVALVFVLFAVNVAPQMVPRTQTGGGSQPILYVPLGGTAEIKLPKVANYRRVLSETGVQPQEYIFRVCNGKNKKTCGFWENTKVSILNLLLIIVLLQNKTDIVRSPTSYNKNKKSLIVKKFKVADYGLYTTGNRDLFQFVQPPLSK >CRE09384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1759796:1761127:-1 gene:WBGene00057018 transcript:CRE09384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09384 MTPLSLLVLLVILTCASSQPMPGGGGVGGMIYAKVNTTATIALPQVANYRREVRNAKDVDEEHIFRVCNGKNKKTCGYWENVKVRQGITKKKVASGATTYNKNKKALIVKNMRVTDFGSYMTGNKKTSTFVMNQTW >CRE08971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1767290:1768138:1 gene:WBGene00057019 transcript:CRE08971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08971 MLHRLYFLFLTFTIPIHSILFEGRDLLTTECTKERLNNNLCQMRTEWKVSSRASEISTNPEISRNMTLTELKKHCDDRFVCLENTYCYKDSYNYQILDECIEDVFQKGPMSLCVKKLREVDPTKLSKCVKDYLEKSDSSTTDCKVIYENGKCYMSDIEKYCDPKFAPVFKQYISLRLYNLACDGRLRYRVGGNGQQNVLNQNSTEFVSANITGGRG >CRE09387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1768689:1769373:-1 gene:WBGene00057020 transcript:CRE09387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09387 MLYLATLLLLLSSAPSVFTVPESCFLPEIRDEARNCVNQLSPMYDNLKRVLAGTRKSQNITKDINDYCELSLKCYKSLQACAGIDKNLISNIDGVCDLYNFQAGKFGHCYVKMDEDGYDSCTYSFFMSPIYIDVKNSRQRCQSLKSNGKCVKKRTSQKCTKDYVDDFDDHLDGQLKRFNC >CRE09388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1770444:1771979:-1 gene:WBGene00057021 transcript:CRE09388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09388 MLGQFLIVALVVSVQSNTICDIMRTDKCAISFRHALETMYDLNSRFELYCIELQNCTDEQLLCNATLTEIQSVKSRCWVIPSPNTTSNPTPINTTTTDSTIKPPTTLSVSSNTTSSEEVTPTEETTEAPVTNVTISAGTLGNTTTTTTKSTTTQTVSVNTTLPATTPTDAPTTSPSSTSTTTTESTTEVQTTPHDPYTVATTYTTTPPSSDTTESTQGTTYHPPVTEPSSTGTTTWYFPKYLDIVTTSCVAQLEAAGGQHSDLVNIVNGAIFVAEYMDPPIQFYQCYYLNDQYWMQRGLDSVSQICGAYDANILRTRLINIRNQLGCDVFGTIVYTH >CRE08972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1773524:1775299:1 gene:WBGene00057022 transcript:CRE08972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08972 MTAQYYIFYLFIGVKIFVIFYHWFQYLNDSENVEEEKKINKKKRRIVIFGWTVGQCEYYMSMLNMPNVFPLALVFSIDMFNQCNNPEELPNDRILLVHFFIFLAYQFAQLALSLVFLYNPFIMELKKENAQGLFSFFYYTCIYQMISVPPFLILIAFKAVVNYKLSLTDDKRKFLKTTLYHQCSLKWLGISYTSWVHIIFSMQVVSCLAVPATWFFDRFNMGEAISISDLWRVDRSEQDLSYFPAMILSSTHQVRNVNKKETNRFFQIIHTVLFRIFAFSSIEFSLFVYLEVNAEGSLSKTGLINYFFSCFSLGYLVSFGSTHFSIFTVTG >CRE08974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1777669:1778843:1 gene:WBGene00057023 transcript:CRE08974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08974 MYHSIHIIQFISFLVSQFTNAVLLYLIYTKTQKTFGQYRYLMVAFSIYAIIYNYVDLLTQPLVLIEEQMYAVVNHGPLRYYPTAGYVLICMFGGSFGMCISLLSTQFFYRYLALCRPNVLVRLEGPKLSLIFIPPFLLSVAWFLFCLFGLEMSSEKQQILKIPLEEKYAEDSFRVTFVSSLYWQRDQDGMTHWNIRDCIGTAGLSGLMSMCCLTILFCGVKTYKKMNDVEGSMSHRTKELNRQLFITLSLQTLLPFLLMYIPVGLLFFLPLFEANIGFLGPTAAASTASYPAIEPLIAIFCITTFRKSLICYKRDGKVSSTAAISTA >CRE09389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1779498:1787111:-1 gene:WBGene00057024 transcript:CRE09389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09389 MAEPVEPVEPVEPVEPVESLEEIVRRKIAIEHSKLEKALRVKCVAAPKILENIVHLASKIRNIDREVYQKSLRLFAENMKNASVYKKRAVGERISKLDMPTKIAIVQNFLEVGMETFSLELCLSKNNQRGAVTDSIMKFKKPKCPMAMAFGLNKNGRLGIGSDKDRVDVMTPVDIFVEIKHAFMGPNHTIFQSKTGEIYGCGLKSNFMERARGSNGIVSTPTKMDCYKGDPSVTPNIILGETHTRIVEFTCLSTMIIGTDPSSDQDVVRSKGNNFMFVNNKSRTEENQEIRERQIKEIEVDVHEKPRRKIKVRDDTVWIGRNEEKLDIVFIVDGYRVDSEKLWKNYTVCTTGKVMAIIDNNIYKGKFLIVPRKKNNDELNDQLSEDEEDEEEEGQVVGNDVYLVARNDILLCILEEIALPYAFDGLTVTEDGESFIAWSHHDTFDDSEYEKYRVYESLETIDFRLKTKERTVFDAAYLNHLFDSAVESEIKRMGSFYMNSGQPKCKSLIRGLLALIRFLRIDQATGVPEVLLNNLRIDNTLNVRAVEKEFEEALIEASKLSVVVPKPDFDSEAREAEVRKASRNYYLDINQIAMNNVTNLKNLPTKYRSNTVESAFGVFVARIIQSIDLVDVEALLPTKYEQPPNRMFKRNHFQEALKKRSPEQPELDLQQIRVEASPLILRQTEPSDHIADHHAYYIYTTEFHIKCIDASLLDHVNEDNVLNLNILCLHDTDHYRFLELLDSFFLLRDDFLHLKDFERALNFESAIETLPEPDVYTIKTSDDKTVKIPKYLFEVYSEYDAGRQNFGEGPNGRNAFELGCTEEALKLLANCLIDVRIFFRASMKLKMEVYELAKYLLIRHIWNELRLMIILTAEESDADHIGDLIEQTDEKEDILALIVKHRPEIIFFWKQFPAKDHLFVIQNIAGGITEARQRFKNIEDEIGSVNLPIIAMRDEMVDKEMIPETVLTKYLCNKEDDEVVTFECTVSLANRSALNWNVENPRKRRHEDRNVYMPRVP >CRE08975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1790583:1793084:1 gene:WBGene00057025 transcript:CRE08975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08975 MPLSLYRIFFILVILGFGLTYYWYRVPGSHANYFSVNFLPISNNFYTNNTGRCYIPEWNRVETSSASNSFWKSISRLLWMSLDLSYENNQNYTSIILIGAYVYPEYISITLNSQYMSKQLLYCRYFDCKRKEIQGSEWQGVVFPESVIHCPRRIGAEFVSVSKFKTDGFPTPMRLTFRAFEKPIHDFTICVAPLYGNEPKWIQITEFIEHHKMEIHNQIVNFSNGDIEVKVLQEKYERPFYAWQLIEIQDCHMRAKYHSKWTTFIDIDERIYTQNGNILDFLNSEDNGRIAEIQIPVLNFVKYEDAPEIYNDENQIQKELISMKYDRTTGLTWNASKALIRPEKIGIMSIHYAIALEHGYVSLRADASEKIALRHYRSTQHRENGSNWDEGLVIFENTLPSEFLSELTRRVIEKVKYVYEKVPAICSYIPRVMWESREFPDPCEKMLLTW >CRE09390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1794030:1797981:-1 gene:WBGene00057026 transcript:CRE09390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09390 MDEHSDDEFNNMKLEGEKSVILKINETHEQIIQLLQEKPLETVRLVSRIVHLTLDNNLDIKVCRRSVRALAKHWKNSSYYKKRRFSLEMKFYMHLTTRIIFIRELIDIGEKSFYLNMLPQKLHFYSPNVVKFQKKEYNTAMGIGCNKNGRLGVGSTEEQVDIMTPVNLPNEIKSVNFGANHTIIQLQNLELYGIGKMANFMEGMAGSDEICVTPMKLSFYKQDPAIKTRIIVKEDYTEINDYNMHSSLIIGNADTLRIEKENIRCSGPNWLIDNTRFRKYKNSEVIDSNEVEVDVYDGTKRTINILEDLHWIGKNRKEREMTIFMDGTHVDITKLKCFKWKGSKVFGMIDETIYSGKLLLVPREGEDHQHPDEDVVLMAVMDEVVCLWSFDTFEVSSDGESLIAWSNTPTKQPSPDVNRLDNFRPYESFESFNFQELKNIENFHGHNLLTTFNEICNSFSPRTLGTLYTIAGVPTVTCLIRGMLALIHFLRVDELTGLTEAFVKNVRSDSTLDEAAVKREFDMAMIVGSKIPVLVVKSEYISVERENEMKTTSRDHIFDLMDKVKNLLIKFNSLPLKYRVNRLDTEFGKWIEKILRSCNEGSYEGQNFKNRLIPTDEKYQNNYCIAALKTRIESGDIDGGLERFMIVRVEAVAFVGRHEISPDNPSTGHHRVYWMHTTKFHIKCIDASLLEHIDEEKDVLNLSLVCLDDHSPERFKRLVDSFFVVQNSPFHLSSFEREIDIASATASLPVNETYTVRTLDGIEMKVPKVLFEIFSEFDAARKRNSYVEDTFSLDYSADALKLFLACLLDVRVYYRASIELKMEVYKLAEFVLANHFRDELIRMFLLKAEEKDHAHLGYLMLERPNEMISLIVRHRPDIVFFWKNLPVEQNHLEFIDKLANTIKSDRFNKVGYRMYREIDEPEEPKVEISQEFLLHYICNAKQDSDVIDDFKASLKMLLSKIRQQYNLLKFQS >CRE09391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1798274:1803321:-1 gene:WBGene00057027 transcript:CRE09391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09391 MEEQNDVVMEGDVEESSPSSANVILIQKQIAEEEVKLEQLLQKQPLATPRIIQKIVMLTLLHNLNMELCQKSVRTLAEFWKNASIYKKRRMAQQIARLNLTTKTMFIRELRALEVKSYPLDVLLSKASRNGLARDGTMKFQKPNSDYHTAMVIGNNIYGRLGVSETTHDVEDWTPVNLPDAIKSIHIGINHTIFILKNGDLYGCGKMSNFMEGMAGSTECSLRPIKLGFYTPDRGIRVDIVVENDYTEIFDTKLLTSLIIGNPPILEFKEGEIRSSGPNWVHCKRRKWQEEGETVSKEIEVDVHDGTKRKVKILNDCCHWVGKNEEKHKIVCLIDGFRLDIEQLKGGCLAITDTKMFANVDKTIYSGKLIMVPRERAAVEDNINDEDEDYVDEEDMLIAENETILLALLEEIVSPYSFDDFEVSVDGEAFIGWSIPPKPPRLNPEPVYPLAKQFPYESYEAFDFLELSNPKQMVNFDGYYLLNSFKKICRSVDMKALSVMYAKCGTFSSCLMFKYLTRSFSGIPKTTSFVRGLLALIHFLRPDEATGLPEVLVKNVRPDKNLDGEAVKREMMKSMNEGSKIPVLVVKADLSIIEKKKRKEQMEKKSRDYLLSLMKTVESLLEKFNTLPLKYRMNRLENNFGSYINKFLRSLNDPPFFDSDAGKRFIRPPLKFTRSPCKDALWKRLKNGDITGKEERLKLVRVEAVAFVGGCRLSQGILSGDPTIGYHHVYWVHTTRFHIECIAPSLLTQIDEENGVINLNTVCHNDTSPDRFQKLLDSFFHIQHGGLYLNYSDRTIDVNQVTESLPPNETYTIKTSDGVNMKVPKVLFELFSEFDAARRRHENGSEKSDTFELKDYSSTTLSMFLNCLVDIRMCYKESMESKMEIYKLAEFTLATLLRDELLYIIVLTAEEKDYEHLKFLTFERRDEMISLIAEHRPDIVLFWKNLPVDQNPLGFINTIINKTASTRYQKIENEDDDVQIIEPVTAKEEIICPEYLRHHLCNNQQDQDVMVEFMKTIFSWNEGTSNPRKRVSENRYAFVPIVL >CRE08976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1804721:1810119:1 gene:WBGene00057028 transcript:CRE08976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-39 description:CRE-VPS-39 protein [Source:UniProtKB/TrEMBL;Acc:E3LIK6] MFDAYTPNEVALRLPVEVTCLAFHESKQTLLAGGQAGHLYAYSISANRRGFELTNICKTFHKKAVMELKVCQREDLLLCVSDGQLMAHRLSDPEYKVETLIHKIKKVQTFARFSPKTSGDLYVIVSSVKRLYLFKWGEKDGHKEFIEVALDYNPEFGDTPTHIKCVGEMVFFSARNQYYSMTMQKDKIAARTPPDDKSATSPIPEGWNGFVKSLVNFNCKPGIIPMIDRRRVAFVRNETVVTTDIWGNRPVNGFSDEYKFSEVPMQIVYDSPYLVGMLSKGRVEIRSIFDGQLVQTMSLPKAMTLCNGARGQVFVAATSDIWIMDTSQNLRKNVSHLIQEREFEMAIQLADNSNLFADEHKIEIKKKAALNLFNQKKFDESFALFGEIKTEVLTILRMFPELLPDGFQKVAGTVSDMPANDRMRALLALGNYLSEIRTEHAKHIDLYHRLRASGQAKKADTEEMEKLLLTLRVVDTTLLRCYIKVNTKPALVDSLIRLQSNACTFEDAKKILETEGRLRSLFILYESRKKHEMALDLLIEQSSRPDADPFFDDATQQIVEYLQSLGNSNLPLILKYAKWVLAKDLDAGVQIFTSDETEMARNLNRKTVVEFMKTECPEAMIPYLEHVIFKWEEPSSFFHETLLEYYVARVNSLFKDYVHAFPDAYSDENITRAGDEDGELGIIRKRLLRFLEISHSYSPQTVLLQLAPHAFFEERALILGRLKQHDQALALYVNTLKNIPAAEDYCRLYYNSNDETNSQVYLLLFRALVHPNQHHHPRLQVDPDSTPFGSFRDDVSETSTLANSTSSYQPDVNTAIKILAKYADKIDTVAALNMLPAKTPLRVVFSAINAVIQTTGRQASTRKMEKSVSQCAMSKKLERKNRAQATKIIVNFSSECVVCEKKIAVSAFVRYPDGRLAHLYCHNDSQTGIRT >CRE09392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1811419:1811721:-1 gene:WBGene00057029 transcript:CRE09392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-10 description:CRE-INS-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LIK7] MSISTLQKTFVFISLLLCLIMVSEAAFPAEICIKKLERMCRIMSAEQCANANKISELSALADCCTGTCSFEEIKLACCSLL >CRE09393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1814738:1820662:-1 gene:WBGene00057030 transcript:CRE09393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09393 MCDSVYTTPQSVTSKKTPRRRAISPRTSRYSSPFQVVDLSNETQEELKNRLLESENVIQELRAERDALQGTLVDKAGLNESVIIERSNRVSTQETRIYRRDMTVLEDDLKQKQSQIRILQDKCSALEVEKQRMAETLKMTEDDKKENEEQLISLRNRVHELEKDKLSKTDEIYQMNGELRRMTKRLKGLSEEYNRTMAESSNEKRALEEKLEKFRNQLKESDRKSLEVNREQENTQKVLSEVRQLSDRLEYLTPVRKDPKKKEREEFLQLSAKVIEETMSDLKMKNARLEKELLVKKELVNSTKEELEALKQQMKAAMGDSDQATKFLQEENMKLTRQKADIRCDLLEARRELTGFDKKREELEKQRDEALSEVKRISELKKNVEKELESLTTLAAEREQKIEELQTKMAGLEVIKREHDSMKSELSKTHEKLNQLGKHLVMADQQCSHFKALKETAEGSRRRAIEQCNDMVVRIRGLEAQLENQRKVEQELEMLRAENSRQAQKIDYMKEEIQEVHLDYRQELSTIAQKKGEEKRNEEDAELLRLTLSKRDSELRSAKKTIEEVKADNQKVQQILEEVRLQQDKILEENVRLRKGMADALEKIEEFKRNWQNSLDKCERLERENADFEMKMNKMEEDLAEKSQQVTESEETIAYLHTQINAKQQNKQPKLGRRSTLLSTVSEMDTTVYMREAEEVRALEEQRQALMSNLAEKRRLLADSKKSQSTANTTTVVTSTTTSTEITKTSQSASELSHNRQGTMRHDIPHKWKEYRHLGVLSIKCSLCFVGIPTLGKARKCVHCDVHVHASCAPRVNNTCGMPVQCATYYQENNTNVSAVSEGRMNGWLRVYQDDMPGSTWIASWAMMDLTRIAFYTNDGADLDKPFLWIDLNQEQWVLRTGQEMPVDCDDSMRASNVLMIKMPRRSLYILAPSQPAAGRWAECLQTAQRKRMMLNSKPSSIAEFSCLLVLNSPNNLKIFKAVTIEDWILFATQTGLFFTSISQPRNPMRIAGPMSVTCLEIMSEINTVAMVVNKHRQLAVIPMDSLTLAMQSTQPSIRPEILPEFGHVHTIKYHQQAGGTGQRYLMISDDTHLYIRKYNATRDIFSQFAKINVPEPVTFVESAPHGIIYASDTFYYVPLDGHSTTPRQLTIPRNDYPVSAQLISQNEVLLAFQNHGVFVNLYGEMTRKSTIEWEKMPMEFIYTTPFLYIVHDDSIEILEVSESSEETVLDEREVFECVNAHIIGRQYQGVLISVSSKDSTEVHRFSTTTGHAKQRNMAKRRGASPGNTLKRTKN >CRE09394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1821548:1823503:-1 gene:WBGene00057031 transcript:CRE09394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09394 MSLGSMAQIDIEPNPNLPSNIYIRPPLPPTCVDTSHDDCVKLTRLQNKLDHLQVMEDYIKLETRNLERELLHAQEEVKRIQAVPLVIGQFLEAVDNDHAIVGSTTGSNYFVRVLSTLDRELLKPGCSVSLHKYSNALVDVLPPEADSSIQMLRPDEKPDVSYSDIGGLDMQKQEVREAVELPLTHGELYQQIGIDPPRGVLMYGPPGCGKTMLAKAVAANTAASFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENSPSIIFIDEIDAIATKRFDAQTGADREVQRILLELLNQMDGFDQSTNIKVIMATNRQDTLDPALLRPGRLDRKIEFPLPDRRQKRLVFSTVCGRMNLSDDVDLEDWVARPDKISGADINAICQEAGMQAVRENRYVVLTKDLEKAYKNVVKKDTNEFDFYK >CRE08977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1826519:1830609:1 gene:WBGene00057032 transcript:CRE08977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08977 MKWSNIFWIAAKSTIVLIPLLFIIRLAIINFGFETMDPCRPDSNLEKCAMKHIDFNGNYRNWESANFPSNNVQLTDKLKTECTLIPISQISGSSPCANEFFSILFFEKPQAEKCGIMKNNKKCILESVTKTCTKAMADVLEKQLLLPEMKYFILTVLTYTSMIESAPTKPGCTEDKVEKLGVCVKDLTSTVQKISVWAEKDFKFSDIEKKEFRAKCEGTQNCFKSVRTSCTDFPEEVTNELDVLCSRFNFIIDKFTECVPKLENLKCVNEVFGPKSLKKTTDEKCKDLKEHKECAVGEVEKTCGEKFKQIFEENFNLELKMHKC >CRE08978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1830791:1832007:1 gene:WBGene00057033 transcript:CRE08978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08978 MYYNNPNYFEFVTIIMTLIPCCSGYSVNCRSDLVRQEIRKCTKTVHDFQDTQVRVDGDKWLEPNVSKELNAKCDDAMNCLHLLDHCSVFEDDDILWLDDFCDSYSFLTGNFHECAVKIDKNQKDPCVQHYLVNSPYFMLDGSQRCQMLKSDGSCVKSVISSTCSPGSANDFQDLLDRQMIRSKC >CRE08979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1833345:1834506:1 gene:WBGene00057034 transcript:CRE08979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08979 MKLQFQILTTSFILSVMCSENASILTINATVGIGGTLAPHVVENATTPSSMTTVGHAANAAVPPAMTTVAPAHGVNVTVPSVVVTTVAPLHALNVTVPAVGNATLPPLTTVAPLHALNVTVAPVTMIAPVHGVNATVPVTTMAPLHALNVTVPPVANATVPPIHSPNATLLPVTTSAPGASTKSALNSTMTTPKASTKFPQHCQSMDTLFQSGECYYALEGIDAEVGSMSLTDTVAIDRLNGYCETFSTCYPAIEKCADFDPYSISILKGFCDFYQFVTSRFFLNCARDMEGLDTPCTDNATDTILNFNGTTAVKCAKLTAVSSCSVEEVNNWCNLRAKQNYEMFINRHIQEWMC >CRE09395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1834900:1836124:-1 gene:WBGene00057035 transcript:CRE09395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09395 MSEFPLLRLPALALNEVLKAYSPRENSFVFRIMVSLCSKRTTRHITQSLYTSEKVNLVLDLRQGSVHLSSQYDRFDIDIHINSPHNLESYNVERSFTIAEHSVPTVFEGTLERYSMITFWNSLPEGLRFIAAHLSRVFKCQIDNVTSSVSNTLFQNTLSGLIEENVEIKKLKIKGENLDEEWLKEVLKKLKVTEELTISTILNQNFKPEFGFWPERLKIRHSQWFTRDILVTSTSASIKLENSFMDDRVVVKILEDWKTGSLANLKCLIINSSNFGAQSTIVNAVEIQSDNGVTASIKLIENQFQMIVYN >CRE09397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1839254:1841997:-1 gene:WBGene00057036 transcript:CRE09397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09397 MSFSVEYVPNREEVLKESDEKCREQAAILSTVGWLFVDPEFFPDAKSLGNKPEREITKKKKDYENVNDPWFPPHQLMTSWSRTDGNKWQVWDDPWPFHVCQGETGDCWLMAALMTIARRKELLEQIIPKRYYTLKHGIVQVRLFVDGKWKMITTDFHIPQQNGIERFSQLSGNQTWVAFIEKAYAKLKGSYGHLWGGHSVDAYRYLTGAHSRIIPLERNLDIDGLWADLVKFHSSGFLLAAATPRVLEEEKLRKVFYNYYSIESNHAYAILDFKKYGMHRLIQLGNPNSVRWNGKWSEMANYNDATSKKFSELDRTLSKAKVFWMSIEDFVRFYNEIHVCEYQEGWTDYQFKQKISRASRHDGLIIRLNIEKPTDVNIEAHISGENVEQVFLNIHRATNENQCGELIKSVYNQESTLTLGGLTLLPGTYFVVMVCCEVLPKLDVNWMIKRYQALSMKIPNIYFSSSPLTYHFVSCSFSLFVDSLQQVIMKDGNIDEEGEDITIFRLDRVGGAYIMAENRMSYGYFEIQGNLKSTDAYRSKSKNFFNAPMFLAPMTRRIVGVIEFNYDFVSSESCYDYGSNYSVLFRVWKLYGSVNAELEELYEPIRVTKDLNQSEV >CRE08980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1843195:1850225:1 gene:WBGene00057037 transcript:CRE08980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08980 MKPGTTNQPNNESSGSSSQSLEHDALCSFQEIMRTEPLKAPKAFEHLVKTSIAKNMDKSYCEKAAEDFFEMFRTFSLYKKRKMTEEISKMDLTAGICLCNKMGIGSSLPWDACFNKLGGDEGELSFERPNLRHLQAMGDNKYGKLGVGSNEETINELRNVLLDEEIDKAYIGPNHSIFVTSEKGIYAAGKASNFLEGYENSEEFFTSPIKLNYVSSDYHTMRVLMTENSTVFQNFNPAAALVIGQRPDDCRVISEGPNWSIVTSLDDELPTVIQLKNSREKDTNVNEFHRIHSLVRNFQIKIWSSANYEHVYHARLPIIIVNGYRTNVSRRDTDISVCNDGTTYACIRGVFYKGHLKLAPADRGRKRSRFEDSEGHSSPAKPDILVAILEEKILPMKIERFDVDSEGKSFIFMNPPIRDDKEGKPYHPIGIRYEFKRQNNSDHIPKNGLMLLEGFQLLNDALYPDCIKMAYDPEGLPSHMSPIVLSLLTLRNLLEVDEKTKITKSFIECRKTFDGKDEVDEDDVEMFEQKFYEELKKSVARDRDIPIAVSGVGWDSIFEGERPSIMQRKSTELLTSVMEEIRVLLQYLVDLPLVYRNNRADCIFYRLVHKIIAGTRIQLDRFEEKQHGHSYNYFGMEDTIFGPCPVDTPMRENLELGDFDEPRLQKIHVEVILKRESNFDDIWFWNEVHRWNTNRLFIQYIDPSLLEHTQGNILNLNKACQQDSTPTRFRKIVDKLLGCKNDKGIKYEIIDINPKVQSIISELPPNEKYFITSNEEKVVEVPKVLFEIFSGYDSARRRHPTGDVNSFRLDFSEKTINSLICCIFDATAFVGMDIELKVEVIKMARFCIFSQLLTKLLKALVVTAEEKDVHVVRQLFWEHRDEMIQIIGELRPDMILFWKASPIPEESYWKDFESVCTKISSVHYRKTPERLRRATEDEDVSDDQMCTSWLQNLMYDEEKDRDVRHDFEKVLQSWNPKRDGLFREPEARSTQSVRMRTMRIILRSISMRIIRRNDEIFSKMTEVIKRNPNILRSCILYEFTEGQPIFETFKKLCKKFGEDFIDYPEFEYWFMRFSQGNFDLDHDRKIFSLNLNTRSFADLPVEIFNEIGGHLDLSDRFILRKVSKDIQVLVDSWDPEVIALKYSQGYDNRHMYRYSWKFSQKSTVLKHFDDSCDNLLSLLKNPKLRLEKLTIRCSYDKKKEIIKLLEHSNQKIRVKRLEIDGKLLQKPTIFHMFDDKALKEVTVRTREQYIRSLDEFDIFKKLEMLTVFTDVCPSDPTLLYNFPRLTIKFYESCKVEVSRFIKNLLQSTQLQICYLLSVRNSFNFELIKSYFAEPETMVPDFTNIRHYPIPATNEFYEIDFEEEKISIERKQ >CRE08981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1850526:1852032:1 gene:WBGene00057038 transcript:CRE08981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08981 MTEAIKRNPMILRSCILYQFSKGKPIFETFKKLCKKFGEDFMDYPEFEYWFMRFAQGNFDVDHDRNLDPKTRSFTDLPVEILKEIGGHLDLSDRFILRKVSKYIQALVDSWDPEVTALKYMDGYDSRHMYSSCWKICRKSRVYKNFEPIYSDSDENLLDLFKNPKLRLNKLSILCSDDKKKEIIKLLERSNQKIRVKLLNIDDNLLQKPTIFHMFDGKALKEVTVRIKERYSIQNLDELDVFKKLEMLTICTDFCPSDPTLLYNFPRLTITFCGNCKEEEVSRFIKNLLQSTQLQICYLSCMWNSVDFGLIKSYFVEPETMVSDLPNIRRYLIPSTNEFYQINFGEKKICIKRKQ >CRE08982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1852279:1853586:1 gene:WBGene00057039 transcript:CRE08982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08982 MTEAIKRNPIILRSCILYEFTEGKPIFETFKKLCKKFGEDFMDYPEFEYWFMRFSQGNFDVDHDRNLDPKTRSFTDLPVEILKEIGGHLDLSDRFILRKVSKYIQALVDSWDPKVIAMMYSQGGDEIEHMTKYCWKFIEKVMVYKHFDDSYHNLLSLFKNPKFRLQNLNLRCPEGKKEEIIKILERSNQKIRVKRLDIDDTFLQKPTILHMFDDEALKLVAVTTREQYIRNLNEFDVFKKLEMLTISTRFCPSDATLLYNFPRLTIMFYGNCKEEDVSLFIKNLLQSTQLQICYLPRVTILIDFELIKSYFVQPETMVPDLPNIRRYPIPATNEFYEIKFGEKKISIKRKQ >CRE09398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1859581:1862154:-1 gene:WBGene00057040 transcript:CRE09398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09398 MTPRTKRQKATINSHAQRKNTKRLQERKGDGSFERRILEFETELALQIEQCDRMRTEYEDLEKDNERLLMKCGDLVKNAEITKCLLENEKNSHEETIRKMALLEAEMGKVDKKQNERDNDQKTEIDALKTKVTELSLKTPTRGKYRKPYQLLTMETKKVRFERVREFIQELVGSENVDLFTSEFVRFTCKSKNFSVTTALSPWDSFYASVKFGLSDRVMSELKRFCKEKISVDLFAPKDQIDAVKKQCSPTQYYDISTEKIVHVTRLGRQTESIEEIVVHKDPLGHLASRLERLAANNQLVFDEGTRDDIVVAFAGDKGGTKTKIGLMIENIRHPNNPHSMILLGYYTGNDDHDSLKKKFSTLFDKLNKMTSVEYIENGALTKRNIRWKIVGDCKFISSIGHHKGQASSDPCFTCNLKWVKNGKNKDTIGSFPFEFSGEPRSLKKLIDDGLDPLLLMEPSLAAPPGLHVILGILQVYVIDYLTAQCNQEDYNYDSLPMYLSQQREYLKNLEKEEEDVIERVDSLKSSEYLANSVKLAYQRLENTVRGRRRKADVCQSENCIINLIAKDLKEQEEFQCRSCSLRFHYCCGGVYTPDDSYQAEDGNFICAECSPTQHGPKSLISMQEYNEERCRLIEIALQNDCDLLKHVEKERNTLQNTMTRPVGDLKRQFEQVLSDIKCDGRVWYQSLTGNQARIILRPQNIESILLIFPDSAEKELLRKMMNILSFLMSNADNSVKTDEEIDKIELAIQELVDTIRLLHPNAGILPKLHILVAHLIDFMRTHKTWGRITEQSIEHLHGIFNKMERRFIAVRDPILRANLIIRQMTYLNLIHDIGDSWRAAD >CRE08987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1868536:1869771:1 gene:WBGene00057041 transcript:CRE08987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08987 MAEEIRKNALCLRTCILYEFLDKKPVFDAYKSLCTQLGESAIDYTEFEFWYMRFSQGTFDLEHERRFDSKSLSLMTLPVKILEKIVGKSELKEHLILRNVCKKFRKIVVNADPRFKIISIKATASKIEFELDNDYQLEYKNINSALSDLIVILSNPKIRLESLEIRDIDQKYMKMFWKKLGTLKLKLHVESVLIEYENTMEEANILKYLKPGILKTIILERRVAHKDIPVNSDEITEGMNRIIETEQYKKVKMLYVRPNCGQVTFPVKAFMKCSQLTINFHTLTSTQLANAVLVSSIHSGASNSKLNFQPFLKSTELQLLRFKTQRDTKMETVKDNLLVIGGKDVRNCPNSIRFPIKKSKNFFELQINGLEIRIERK >CRE08988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1870644:1873987:1 gene:WBGene00057042 transcript:CRE08988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08988 MSEILKTNPTALHTCIYYEFRRSKNVEEAYKNFCEAVGNDVIDYVEFEYWFYRFYHGNLDFDYDRTNDPKPLTINDLPMEMINEIIGHLDSMSDTWTQDSFNVRRVSRQFRPVIDKFKSMYGLILFYIDKEEVQLRLDYHSVQYKIGKNDCFVQYINECNEFDRLNIGLSDLSAVLTNSKTPITVFEISTSNPESTEAVPKFIETLSKEVCPLFYAKNVQLDAPRNDYLIPFLSLFKPGVLEEIKLIYAKLDDDTFAKLVEMDQFKQLRSFGNNTFLKQSSDDYDPISLSQLKQLSHLASFEVDMDHVSAEDIVILRDILSQFANLESAEISLMDPMILSEIGKALGIEYDNSEQITYCHPIKNSDKSLILYIEDYTISRFSQQKKMLDSPHLSAKDLGPLIINNIHQWKTPEKSYKNYEKLCDAIGNKKISFEDFESLFNQYSRKIYLKLEIEEQKDKIYWCVLSDIIHQRPIDNSYSDILEAFGSDVINKKDFEKWLDWSNLRIASKNLTFADLPLDIIRRIIRMADTETQAQLRKLCYFLNIEIGQLDSKCKSVSVEFGPDFIDFNGKISKYTKHGDGKTDYSKYIMEDLAFVLKNQNIHLDSLSTSYNPELDHNKWRDRFETLLKSLKRKIPVKNCSILMKMQNDVVAVLPYLKPGELKSLKFMRDADDGWILFIDRIAALPQWKRAKHVYFDGRIVSCLTEFYHFATFEVHLLLIHPEVLARLTRRKSQIFAYHSELEEILKNDQK >CRE08989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1876971:1879799:1 gene:WBGene00057043 transcript:CRE08989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08989 MNVSFANDPIALRRLIAYEALGKVPVFQAYKNMCTRIGDNVMTYPDYEFWYWRFIQGEVDLSYERRDTPKKLLELPLEILLSIFEKFNPMERLIMRKVSRMVRTCIDSIDPKISRIDFESKRDLGETTIDYKTFDVRYQGTTDCLVTYVWMQPSKSILIRNTNHSELALNDLSIVLSNPKLNLKSLEITSDAEKLKVLQTYLQSLSHKIRVEKIKIRTEDSIEETMILPFLEPGNLEEITICFKDYCKRTKHFYTEVLLTSKILKSFEMEFNDCHHLREQIRNRLLYAVKTKIDSGIFKISIENSYRVFKLDLNRCMIQLTRQCGRSANSSVIAYYFTASYYFNEELFDFYSLHVISMSIARLIPAMILPFLAFLLIREIGKGRLARRQLMRSQATKAKPDYTTIVISTMTVVSMISEMPYGIHNMFFLYYTDYSRDEDMINFLIRLRDLLDFLVTLNTMSHCLISLTVSSQYQNTVVSLFPIVRKLKKPRNIIKVTPSGTSEGNMMTRLPTTKDI >CRE08990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1880178:1881922:1 gene:WBGene00057044 transcript:CRE08990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08990 MISSSINVLMTGIAVCDIFNMAEPTWLLLYFMKYDYDVAQLVSPFCYGPLSFSYRIFERFFTITGGVARPVAAWLAMTMALFRLLIVKNAMNSSFESLSTLRVGVITVLCNFFPFFIFQFITYYGFKLHSEEWFYPEICGYPPSSSVISYYFGTSYLFLEESFDYYSIYTIVTTFAQLIPAMILPFLAFSLIREIGKGRLARRQLMRSQAANAKPDNTTIVISTMTVVSMLAEMPYGIHSMFFLYYTEFSRDTDMIELLVLLQGPFQSLIAFNTMSHCLISLAVSSQYQNAVVSLFPIVRKLKKPRNIIRVTPSGSSEGNMMTRVTNGS >CRE09399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1882149:1883915:-1 gene:WBGene00057045 transcript:CRE09399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09399 MGQRGSRTAPEHVERVEEDRHSAWGSDIDDTFCGPGKICADDWTPMHSLAATPESQRRRFSEAVIIREQPGISEKFDDDNVTNFDVMTIHEDFDAPPILVAPVVVRPRRRKKTKLRRNAGVKRKIKSRRKSRSTINTTVETPVLRSYSRPQYSAKLRKHRPRHHMIYVRRIRIHQDPRYPKIPRPSYNQNLKFRRGETDETNRFSKAVPPSHLKGQPISARPHFRYRGIPKIII >CRE08991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1884987:1886873:1 gene:WBGene00057046 transcript:CRE08991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08991 MACKCDCNNKECPCNNGQPCDCSDSKCCDKYCCSTAAEKKCCKPGCAGGCKCANCDCGKKEKKGCKCDCNNKDCPCNNGQPCDCSDSKCCDKYCCSTAAEKKCCKPECEGGCKCTNCQCPEKKEKKGCKCDFNNKDCPCNNGQSCDCSDSKCCDKYCCSTAAEKKCCKSGCEGGCKCTNCQCPEKKGCRCDCNNKECRCNKSKTCDCTATKCCDMYCCSTAAEKKCCKPGCAGGCKCANCHCEKKKGCRCNCNNKNCSCNNGQPCDCTGTKCCDMYCCATASEKKCCKPGCAGGCKCSDCNCGISEGSGCKGCQ >CRE08992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1888003:1890594:1 gene:WBGene00057047 transcript:CRE08992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-51 description:CRE-NHR-51 protein [Source:UniProtKB/TrEMBL;Acc:E3LIN0] MSKSKRNKIALLNSEKGCRICYRPAFGNHYGVFSCYACKMFFRRTIVENLTYHCKKLKKCFENFQILPNCQFCRYQKCVELGMELTPPPATVLHADTRLSDLLEKLTEMDRRRYLKLISSYSVDDPSLNEVLKDRKVMNMVARDPGAHVTAHEWAFLDVYSRIVHFCSFDFIDQLGMEDKKTLFSYNYLRTALLGGAMRTFKEKRDCLLTPTGEDVYPKDVHLLFKKSPGILNRICCEIVGRLIELKVTNEEYLLLILIFFCNPAIPHYLSPAARSSLSTHQKMYSSALFQYCQLIYQSHAPSRYNDLLSMCHVINRTNSDLQYVSMMFQCYVPSFKFKKLVSDTFVDSSIGRDEEIGGRVKIEIMD >CRE09400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1891819:1897884:-1 gene:WBGene00057048 transcript:CRE09400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09400 MALWTVHLEGGPRRVNHAAVAIGSKVYTFGGYCSGETTDSHDPLDVHVLDTENYRWLKLDPVYFHENRLFTLPELNQLSEVPEKMGGTVPYQRYGHTAVEYNGKAYVWGGRNDDYGACNLMHEYDPAKNMWRKVEIDGFIPPSRDGHTAVIWNNQMFVFGGFEEDSQRFSQETYVFDFGTATWREMHTKNTPPLWRDFHTASVIDGVMYIFGGRSDHNGQVGDEHLFHTTQDLYDDTLMALNLTTGVWTKQEIPADATCRPGGRRSHSTWVYGGKMYMFGGYLGTRNVHYNELYCFDPSTVSWSIIDVRGKYPTARRRHCSVVSNGRVYLFGGTMPNPSTCHPLSTTVYNGVISPSGLADLSDLHVLDFSPSLKTLAMRKVLFDLKAPSHQMFSSLQYDVPADLRYEMYCQVTPNNVVSVGSTRNDQSG >CRE08993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1907019:1907837:1 gene:WBGene00057049 transcript:CRE08993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08993 MSVIVDWIEDCLETYFDVYVDNQYMLLGVAFALFYIYQVLIIVVDAAFAMYNWRNLRNFGDFTFFLALIILSTVQLILSVFYYFYMFPSMDNEQLLYFTTIFAPISIFYMTIIVSISVVVSCRMRSENVHYLRKIIVFSFFRPHQRVISDLYAVEERNGKKSV >CRE08994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1908142:1909350:1 gene:WBGene00057050 transcript:CRE08994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08994 MTEIVRKFAIKKEIPDFHKTIEELRKIHDELINNEEGDEEGDEEDDESGEEEGEKQYTCLWGIDITKTTNLFTESGNENFSYNVYLYCDNPRENREWSIEAFCELKFATKDGEESIYAKMNRFTDENSDAKVEFDVCIKKISGMQLFDESMRKYSDVVFVVENEKFYVSKLFLASQSSYFDALLLGNFNESTQTEVTLSEIKASDFQQYLEAVYGYSVIDERSVKKILNLAHMYDTQSVLERCQTFLINDSGCTMKEKLELAAKYQLSDLKEECLSEITTVDEIRSVVSRPSKTMDHDILSELFDKLLELQDFDKTE >CRE08995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1909634:1912910:1 gene:WBGene00057051 transcript:CRE08995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08995 MTSIERKFTVKHVFTDFIKSTSDGNEMNGKPEKHFGCTWHANVLPSYSRSGNQVDHYFISLYLENHRKSEEWRIGASCELMFETAKGPKSIENDACEFPHSENNFIFELKGEDLRKYLIDGKLGVEFHVSIDKITGMQLFDESMRKYSDVVLIVEDQKFYVLKFFLASQSSYFDTLFFGNFEESAKSEITLTGIEASQFQNFLEALHGHSVIDDKTVEGVLNLANMYDAQTVFQRCQTFLLKESKKCMKEKLELAGKFQLPNLKKDCLSKINTFEEIRAVVPHSSESMDHHILAKLFDKLIEVQKPSSTSFSNISALGRHNSLKNRIDGYFISLYCEKPRVSREWSVDTKFELLIESNQGMELIECRSDRFNCTHSEFVFVVEFQDLKKYLIDGKLGVEFHVSINKMIGMQLFDESMKKYSDVVLIVEDEKFYVLKLFLASQSSYFESLLLGDFKESAQSEVTLSGISAADFQNYLEALHGHSVIDDKTVTGILKLANMYDTPSILQKCQIFLIEHSKKSMKEKLRIAGEYQLSELKYDCFHKINTVDDIRAAVPSYSAGVDHDILAHLFDKLLKLQPTTTAST >CRE09401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1913378:1915641:-1 gene:WBGene00057052 transcript:CRE09401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09401 MDRKKSNRTKSFTVVHGNIFSRRYKTRFVFLRYTTIEGFNPFSNDEVEYYLVSLSCWNSLENREYSIEAMCELKVLTENGVESIGSQMNCFVFEGDDVIFEIDCEKMEKFLINGTLTVEFDVCIKKMTGMQLFDESMKKYSDVVLIVEDEKFYVSKLFLASQSSYFDALLLGNFNESTQSEVTLTGISAKHFKWYLNALHGHSVINGCQYEKILELAHMYDSHLVVAKCETFLLEASRISIAKKLELAVKYQLDDLKYRCLANIKTVQDIRSVVPRPCNTMDHDVLANLFDKLLALNDTEDK >CRE08996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1921087:1924445:1 gene:WBGene00057053 transcript:CRE08996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-192 description:CRE-NHR-192 protein [Source:UniProtKB/TrEMBL;Acc:E3LIN7] MTLSFVPLDWSPISEKTKRCEICNRVAFGKHYGALSCYACKMFYRRVVVKKLSYKCRGFNECSRSPKRLLKCQACRYQKCQDKGMYMEPLEDDEVKSEEASDDGILELTSPNQKIEKLSILLKNLNIMDKKRHAKLKSFYSLNDESLETILMHPGVVRNTKKDPDHQVTPEEWAFLALYSHITYFHNFEFIRELGMDDKKKIFQYNTLKLTYFCGLMRTLNEKRMRMLNPGGQEIYPDDLIDLYRTNPHNLARICCQPVNRLIELKVTNEEYCLMNIIFFCNPGESFFTMPLSQLQSGSKQLATICCQLPKCMKFHAQLISIPAIPDISDEARLILSRHQGIYSNALFQYCELTNQQNAPTRMTDLIWLYEYITKNSQEMETLFMIFHCNAPHFKFRKLIRDTFHF >CRE30088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:254478:271605:1 gene:WBGene00057056 transcript:CRE30088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kri-1 description:CRE-KRI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MYD8] MAEVSVAIVRLKISALSDKAIPTSQDFEICIKEEPSTVKSFGAKHDYNIIKRVTVGGHTVGADVFKLPSFSFEPSKVNTLEDMIHDYFKRTGNTIRRAVRIPLHDDLNEPSSSTKPSLGHVPGLSLVCVPVFVKESVEVANSRYENLQKLVNLCRNQPEMFDIHTKNMILILEKWLIDSQTSDSYFLSNFFMKESARARIRSCVHNPAFIDLQEQCIRHMSHSVRSNISSASADLDDRVHVANIAKIAMLRYNKFTISVINPAFNPNFASEDSSCVYFYPGIKQCRTQRAASSTSQDMRSRLYPLHKAAEEGNAEDIRRYLKVGMDSNQRDDDSWTPLHYACFHGHLEVVNELLMSPQMTAINAQNKGGATALQYAVIHGNEYLVELLTSHASIDVNIRNNEGYRPIDYSVNNPAIKKILEMQIFKTKINVDTVIGAFSIKSRSPEDATASEVLDILGEETQLNKEQMNCFALFLYSESMSLQLKPEHLIEEKLKIDKWNSMIRKLMNADIRSETPRLKLKRNAYATAIMEMATRVDNNSFAWSTLLDEATNAYLAGHLIANSKEEVTKLAAIVSRAMQGRDFKPTSKNLTNIFPQYMLDNHDRGRLAERLKLQLKKNETQNDMQLEAEFMSIARGLVTYGASFFDVDVFTKKNTGTGHGLVGVNDHGLHIIIKKTWTVKNFRFDEFTAVARDSKTLEIDAQRIRDTVYILVSTQIKFLSGILQKFQDDFSRRR >CRE30117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:251969:253175:-1 gene:WBGene00057057 transcript:CRE30117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30117 MKINQFYHFSLLFLFLIRYSSSDTNDCDRYDLECMVSSRKNFEILTTNFQLGVPPEEETKNFTFCIEGQFVVMNGTSPTTDKYKFVKCSTLAPKCAIKTRKVSTVFEKTVEKGEMKIVTIGCFPANELQRREMDTLDRYSHEIIWVENPSEDLFDRNNGDENGSDGESIHIDSFRSEKIFSAWTQYFSKGKITPIMLLYTLFICISVIIKLIWMVSTAWKSIKQGYEPVKTEKSIEGIEESIDELD >CRE30116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:250237:251293:-1 gene:WBGene00057058 transcript:CRE30116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30116 MQNIPKFVDESIEWNKHLNSNKRDCIDGEYTVINDTVIATKNYKHVRCNTLAPKCGVKTKKLWRKGPGDPMEIKIVSIRCFPAYEWEEEDSSNTTMQFSLKYSKFLELRYTESIVSFRNIDEVIKSVGNMHLVKYILRNAPNETIDRYSHEIIWVENPPVDLFDRNNGDEGGSDAWTQYFSKGKITPIMLLYTLFICISVIIRLIWLVSVAWKSMKQGYEPVKKEKSKMEETVEDLD >CRE30115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:247291:249470:-1 gene:WBGene00057059 transcript:CRE30115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30115 MAEGEVEVPETDVSVLKSFSAGLVLAKVMEVLAEQSRQKLFIVGTRSRQGDLKGFLEYRLVSKTFNEAVCATIRKEFKHIQVDIDDHFVRCNEFTIGRHQKPPIRQGPNQFPNVNRRNPQEVWDEEMIAAEDLPSEDSVDVQFLYRFFRWLARTFDPMVESFKIFDSWSFKPCSLPPSWANRLSVFNSMHPDMQYCNCDQCIQTVRRCRGFFGPVSFQMATDAWREQSLSYGAISCTDAFLADIALQYTVDSPLGKRFESDRFIREFGGIRCNEITFAVQTLATYRDEHPKSQPLEVIQLILSLWQVKSVEFEVVKFMENDYYTSRCAWETSGAFTRAYFSTYRFDVFDDDAHGLPYRSAGTLDRHIGHEIVPIFDLRIDPTDGPFRMGSNLSLLSLASQDNDSNSFSWLHQGRIAFNMFRANRIMFVTSGLVEFRGMIGTVRPFLIQKSRTISQANWIKFTIRDMMRCIWGPRTRQGIDHGKTVFWISFMEDVGFCISKVWNEHIQELLQANFPHLVVKINTPEAFEKCGNRKIATYADAKRPDVLNKVNFYIS >CRE30087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:245787:247053:1 gene:WBGene00057060 transcript:CRE30087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-3 description:CRE-DHS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MYD4] MHFEAKAYTVDLSDYKEINRTADLVKKDIGKVDILINNAGIVTGKKLLQCPDELMIKTVAVNTNALFFTAKNFLPAMLESNKGHIVTIASMAGKCGVAGLVDYCASKHGAVGFNDSLASELYSLKKDVKTTVVCPIYINTGMFDGAVTKWPTLLPIMEPEYVVDCIMEAVLTDRAFLALPKFSYIFMALAGLLPTEVISLYGDHFGITHSMDHFKGRQSRKA >CRE30114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:242437:245655:-1 gene:WBGene00057061 transcript:CRE30114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gla-3 description:CRE-GLA-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MYD3] MEDQQDYINESASNFKGGPKPKGFSHPQPLLNMSLDKKLDPLPYPIANYETTQTCYPYYQDSMLTNQAYYWPPQWNQSYPYMRAMSASMTSLSCRDHNVALMKTKICDHWRRSGNCSYGDSCWYAHGEDDLRKVVRFQERKGNISQFFQNMYRSNRNIEDKLTGENERPYGSTTYRGVSNSMKDDPSTISKNSEAPPPSKRVSTNVKGLTVNIPPNIAFKEQTANASMPLSPAQERWISMSIGTQPSSTVAEKGNEPTITSSASAATIRNGLDNESEKKASMESVVDRRPGTARFFRDDDADDYGFLSPNPFTYPQSSVFSGGNPSQIASMSSHARSSFSRGPHQRNAMGQQFGGMSFPQSTVPTAPTGRPATLNNGFSDRQPFQLTKSIPTTRSAIVDMPKMTGGVPAQQQQPFHPAIWNVAGELYQRQKDIETCGIRGGGTVEQQNRDAEMARLLSKLNVKDLTYLRYILDNGIPNERDLARQTWNELINNGSQPNQQQPMPTQFMPNNNGLSSTDKNGSDCHCGHNTRRKLSHDSSAMLSSQFPQSHHHQSAVPQHFAQAQHHHHQQLQQHQQQFLQQAQQQQQMLRQHHHNVHYGNARFSAENELAAPPKIFESLLPSDENFSIWLDPKPRKDSNGGIPEADHSNSGDSLLAKMDVLRSQKCPITDEEMMMNRGADSKEVSEGKRSNSESSSPAVLSLMELLSSENLLDESTVPQKTTMFDFDTLKIAETLRSDPTLSGQSSEASSTQKSESPMFFLVDEKTPNYCDTGFQQSGLFTPATPLSTTTVQTPSFMEQCDFFAAAGSCPFGDGCHLSHSIPKDQQQQHLEAV >CRE30085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:235640:237898:1 gene:WBGene00057062 transcript:CRE30085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30085 MGRKPTQEELAAMIDKGLGTLLTPREIEDRKKSNQLAPEFKVDEGFGVYRVTFEGEEYFCVTNILCNVCQRLDGGKVKRCEAHKRKKRGRKGKKGDGEVVDDMSDRDKIGGLRHVNDDEDEEDKIEGAFTPDAVMTPGIGGAIIIVPVDARNNERAGPPAKGLNHVKGVLVRGAGNIPQFIPNDRLTKAHKLRFDNYLEGELNLINGKEIFVPNNLGAAKMDGKNSQAIANAAVADKVDQKIVDAIGKDLIVAVQVDVADNGMPVMRVLEGKPKDKETPVGIIVKTADDQIQFVQITGKELGGGKDAKLMPCTIPENAKMGDVVADLYINADGKAVAAKPGEIPPGGKAIGQVVVGQNDQLVFVPTGANKDECIKNGAQMTPAMKEKLELAQANVQATVTGQTPEHIQGQVNRIGEAEAAAASMNAQKNNSGGPPPGASAGEVRSVGFGAQQFGGSQFARPTPAGGGGAGGGGGGGNGKVYSNGEVRSVAFGAQGAGGSVFAKPSGTGGGYAGAGGKAGGASGGAGGGGGGAGGGGAKGAGGGGGGGAGGGGANEVRSVAFGGNQYGASVMAKGSGGGGAGAAKNSAGAGKKANNNNNNNYGSGAEVKSVGFGAQQYGASVFMRK >CRE30113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:232809:234125:-1 gene:WBGene00057063 transcript:CRE30113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30113 MILFIFLSLIPLVSTGYHHPSQDCSGFFKYENSCNQLILDLIHDWSTAKPIPSVKISKSLYSDCRSALECVKMIDCEEKNEEISKKLADLAELCSGIAAFSSSFGSCITVIQSKESSQEYPCLKYWNNPFQNSYSQCTSVSLPELILSELEPTFSNCISSQPNFSFQYQTPTCEFFESEYDCAEMIVENQCGLRSLQEMNMNRKFITEFFGCKMPVESRNETKTIYATGKIVLDEGKESMLRGALNARQDDSGQDD >CRE30084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:232008:232732:1 gene:WBGene00057064 transcript:CRE30084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30084 MSFKQFWLLFLITISIVSIVQCSPIPSQNPPNSPEQCLTKVNKMKNILHEIKLEQKRFRNVTIANMELFYEMCIDVTNCIDTIVMPDFLRGLLGIGTMFLKSACDEYGFNVGPFSKCMEKTKFDLTTLKNTTTDQQPRPKCELSNDEFSELQKFVQKECGDEVLKDMMRNEERVKSMLCSDK >CRE30081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:227823:228771:1 gene:WBGene00057065 transcript:CRE30081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30081 MTSFFNTIVILVSFPLIYFEYGGSDDYSNKCSSQFENLENVIDDIISGQYASMKIHESTEKLHLACAETVHCFEKNIGEESDSEHQEWFKFFRIQIKPICDSLRYQSGLFFKCISSFQTTQFELNSNSSTFQSQNTFDNCELTDIEYQELYDLVEQKCGFWALKDMDDHEDYVRSTMCKNKFII >CRE30080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:223601:227374:1 gene:WBGene00057066 transcript:CRE30080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30080 MNSIFSFLYLSFFQSLSSQCHPSSSLFFRKNFIILFELSPSFFTKPPPTTTRSSSSSPTVPLRSTQSSASLLTRPIHETMLGSPVTDNPDDPLSLNGANGAPKSILRAPRLTTAPKQLSFDVPASPTPTAKSSCVSTSGSYHMFERPSRLRAGKFSYGGLTSNHNHHQGHISITANGTPVFAYNGGGGGSHNPSPSSMSPLGTMRRDPSKWRLSELKQRSNSESMFRNFDPEMLADGGRRMSMAYLPSREQALLRRILGPQGLSWISHDRETASRKSVSIAYSNDLEAGGKGGGTGDDSTTPLMDEKDENSGGRLIRREQLHEKRRMVSDKALAFAIIGIILMIIENELRTSAVLRPGSLFSQILKLSIIGTTAILLTFVGYFHVIEIQLFMNANAAEDWMVALSFRRIYKIILELFVCMLCPIPIEMLGPFMIDKVHDRTLYAVNVLLSIMMFTRLYWLCRVMLLHSRLFTDASSRSIAGLNRVNFNARFILKTLMTMCPGTMLMIFTAFLWVVAGWILRLCERDIQNPNPDVLKDFNYINSIWLVAITFLSVGYGDIVPKTYCGRSMAVVTGILGTCASSMVVAVIARKLELTRAEKHVHNFMQDTQLTRQLKHSAANVLRETWFIFKYRKLIEKPDLGKIRKHTRKFLLAIYEMRRVRRDQRKLAENLVSLGDVAKTSSNTYELVHDVHSTQEGLSLRITAIEHQLSDISREISSLAELLRGSNEQRKKSVVIGDSAPATPQPILKRKVGELRV >CRE30077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:185192:189748:1 gene:WBGene00057067 transcript:CRE30077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30077 MKLEDAVKAASQLQRNESVTSSSAGDVNEIPKTNGSSHPIVRRKSGMIGKKFPSLQVSHYPVLLLSNSSDTTTNPHPSVTWRTSQQAETEPKPSELDEAPPKIRKSSSGLRQCSVSARSSLDFRDRPRFVRTSTTTSTLSPTSEKPPMPIVKRSCKNNAKLIIVRLENFNLKLFLHNWYNFEHATSHVFMPKTTLNGNCFDTECMYMYY >CRE30075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:174655:179069:1 gene:WBGene00057068 transcript:CRE30075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30075 MSAKASFNQPLKSLTFCGGENIAALFDNDIQLAWSSTETHFSTIEVDRSSSDVFGTCDCKCIMQFIKKRTAISAIFVTDKTTGINRLDLHSSNLIPIFGLQDEEFSAACRNDDTEMMFAATWNKHSKRTTVKMIDPRTPNGISDILSFQQSHVSRMASSAENEFILEQNNGLLKLYDIRKTTPCRCTFDSRGQCKSIHENRQCVFLEMDKDVRLLNKSSGEETTRYVLIGVGLNKNSSFCRFSLKKNHGLCGVLEGNESEDIFLVINKLKSSSVQVYGVNEYNNPKGKYVISYQAHQSPTTHFSKYRRDLYASADQDGNVWIQYGDTRAPSMKDAARKLYKENQHKKKNEKSDKGLQGALMDVKRHEKKFIKAKIEDSEKSVETPTSRIDDYCFSTTAVYLVMEKMDGSVEDLLEQNGKLDRYDSAMIMKSVGTGLQYCHRKGMIHRDVKPGNILLGSDGAIKLGDFGVATFEEGRTVCGTPGYIAPEVVWNQTHTCLVDSWGLGAVLVELLTGNPAFRNSDVPDFNNKSTDRWKLDKKVTFDPDLDAVINGLLQIKESERWTMRQLLESNWLFREQENKEIEIIRNIKCHL >CRE30074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:171474:172138:1 gene:WBGene00057069 transcript:CRE30074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30074 MKFVVCRCRKKDRGAFLEDSDAPVYDPTLKLDISMTRVIPVTFTKYNPPNLLDAPTNGSTEIIELPDFFTSPIIATMSAAHQHACLINHRVENYEFFE >CRE30073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:168651:169721:1 gene:WBGene00057070 transcript:CRE30073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30073 MDVHVAISRCEDYSETVRMRVKGTPDKSKTQVARKTKKVLPTIGRLLLVSTFIEDAIRLCFNTREHADWFANLWGLNNHFAYFLTVVMALNLICGTLFVMLRYKVPASCTVLAVTVFSQVVLYELYSTYHILTRNMSILAAILLLVTENMLRKPQKYSQLPRDENEFEVTSVMLCACRLFLNLMLVSMVHFDMSYKRLFLCLISYSMMFFVWTGYKTRMMSFILSGWLLAYNIMLNDFWNRDSVSSQWVRYDFFQALSAVGGLLLLIHTGPGELSIDEMKKKW >CRE30072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:167293:168372:1 gene:WBGene00057071 transcript:CRE30072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30072 MKIVEIEDELRIGINQRHRIERDQKSRENQGEKKKKEEIQVPDQKSLPTEPINEGMDVTQWENTPFKEEAAGNVVQDPNEFVVDKVGEGTPFLAEQKQVMLQKGEHLKDKPMYTLIFLTVEFILALTVLIVWSCFLDKNEFTPILFCFTNIIILIIVLLILLVIQFGRFNVVKEDLDDDFRYRIPYHWKYWVSRLMNQEKIENFFQICVFHILRFILICSNISIAALDNDFDGGVITMVSAMPIMLIISAGHVFFALRPQG >CRE30111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:165263:166142:-1 gene:WBGene00057072 transcript:CRE30111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30111 MLRCLLLLLNFCLPLSLALSAQLIPPRTAYDDFIDVIRTSDDCMILYQYKLFGLHQTNRTVHAANVQKDLWEKYLEMRHKGFPDDFIESVLSVYCQAKSYVPMALNLWKPSADLTGNFTWDLTTDAPENDFYQHAKEEEEVLLEHFEARKNIRKALDAATAKENKVLNLDSDIRQVCRVAAFILVISLAMSIHNFLRMFYAEEEEDLEDDYLIQRFGIFGEVIQIVVLAACLTSILIYMNNDIPQ >CRE30109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:149480:154561:-1 gene:WBGene00057073 transcript:CRE30109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-12 description:CRE-PTR-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MYI6] MTVDEKTPATKGAVKTTRLVRGIAWFFEKWSHFVANNAIALIVICVVLTLIGTFKVATTPNENDITGYTPYGARARDEFDVMTDFFAHNGNGIAMFVLILPTRHESVLHPDVLREALRLENILSSNFTMPTAEGKQENYQEFCTNFCQINEPFVQFARSYLTELDNSKNGTDLSERISLNYPITSIYSRKMSIQPNFFGIEMINETAKTITNIRSSKLIALQLRSERKEGWNSQGIKDFEMSITNYFEKEFKSPEIRVLTLSTSYVEAEVVRAGMSLLPFLVVGFVIMAIVSSVTTFFSALYMNQVSIHKFSLAIAACICPFMACGTALGALFFCGVRFGSILCVTPFLVLAIGVDDAYLMIHSWQRVTAERRKHPVEGDSPGSRLSEVLVDTGPAILISALTNIFADVAGCFTSSPEISLLGYGNMACIFCDFLYQITFYSAIMTITGYFEMKDEAQKRHIKTIACGAENDDSSCTSSSVESFDVVVKRRVATFLETYICLLTNAFFQFLVILTWIIFLVISIYGITIMNINLSPRKLFMEDSSLREMDDLRVKYVVPNYYLANVFVRNPGDLTDGKRLERLNNFVDEMEHLNGSWGALGTNYFVRDFIDFQAAMNEEEESEGGEEEKSIVKRGGIDMNNIPMFLEWPEYLFWKGFVQYHSESNHTVLDRFFITFAIHGKNLQEWPARGDALREWRKVVDKYSTEFGLSVFSDDGIYVDLIENMPTDAWQSAVATLACMAFICFVFMYDVPTVIVATSIIASIMTGILGILSLTGTDLDPIVMSALIISIGFSVDIPAHISYHYHTSSSENGIRDRLHQTLSSVGFPALQASFSTSLCVLALKCSSIYMSNAFVKTMITCMILCVFHALVLLPTLFAIFSRIFSVFHVKTSPIESK >CRE30108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:146561:148027:-1 gene:WBGene00057074 transcript:CRE30108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbp-1 description:CRE-FBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MYI5] MKLYRLIIENKTFSKLFSLNMPSETSQFGIETDAITLARFVLQEQRKHADASGELTSLLTNMLVAIKAIASATQKAGLAKLYGIAGSTNVQGEEVKKLDVLSNELMINMLKSSYTTCLLVSEENDELIEVEEKRRGKYIVTFDPLDGSSNIDCLVSIGTIFGIYKKQGDGPATVEDVLKPGKEMVAAGYALYGSATMVVLSTGDGVNGFTLDPSIGEFILTHPNMKCKEKGSIYSLNEGYAKTWSKGFAEYIRTRKEPEPGKKAMGQRYVGSMVADVHRTILNGGIFLYPPTASAPNGKLRLLYECNPMAYIIEQAGGMATTGKERILDIQPTQIHQRAPIILGSKLDVEEALEYLNKYDN >CRE30107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:136576:140477:-1 gene:WBGene00057075 transcript:CRE30107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aat-5 description:CRE-AAT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MYI4] MDESNKMGFLGATSYVIGNIIGSGIFITPASILRNVDSIGLSLLIWVLCAVIAILGAICYIELGTSIREAGCDFAYICYVKWYSIAFAFMWVSVLMTYPATIAICAETFGQYLIEGLKQYYEIDDALVPTCQKLFAYSLLFLVTWMNFFELSKFAARFQILATIAKLFSCLLIIGTGFYFYFVKGWHGYLENPMQGSKYGTGNLILGFYGGLWAFSGWDVLNYSTGEIKHPKRNVPFALLTGISVVTAIYVAINVAYFVVLDVESVKQSDAVAAIFSRETLGDFANIIPFLIGILLIGSLNSNLFSGSRYMYAAARQGHLPACFSCVNGETESPRVAVLAQSILALIISYVGDLDTLITYVMFGFWAQRIFSLVALLIIRHNHIPVHPDAVRVPLFCIYLFLTITVALVIIPIFYEFQSTALAIAICLFGFVLYYIFIHKAIFPRWLVTLNKKVTLWCCILFDCLPDVKGGVHLLASDSSQALLTERLSSTRLSDDSLPDLHSDEKNIMRRNGSAAGLKMLISSVPSSLVDLISDVQ >CRE30071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:134603:136207:1 gene:WBGene00057076 transcript:CRE30071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scrt-1 description:CRE-SCRT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MYI3] MSKQFEIPPFPVVTTPFLLRYLSALFDAAQRKYNTQVEFIAVPYLPDVTFSFPPPPPTLQHAIQMLSDDESSPSVSPSTTVATYSTPSSPDRKAKTKFGSYPPVGLKSFIPLLYLTVFQACQCKVCGKEFSRQWLLQGHLRTHSKFIFIIVNLIFCFFFSAGEKPFQCEICCKRFADKSNLRAHVQTHSGTKPHKCSRCGKSFALKSYLSKHEESKCLVRQ >CRE30070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:128480:129812:1 gene:WBGene00057077 transcript:CRE30070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30070 MTPQRICALSASLPLSFHQEVHHLTATVSFINQYFITQKMANYTCPSCKKKKLKYLLKDSWKFFINPLYFILKHSCNYTAMFPIFSNMVFWHVFPIIAVRIPFLLYADVNTAIFAVVGNLWLSILYVGYILPCFKKYQGLCEIKVGLTGVFVINLILIAVCIWKDYDPIWKLCYAVYHNVVPLMCCSLIFSSSYFNEYYVQVPYDKMPFAKLNLYVVVLGIIHCMLAVLVVRNVPNYPECLCMLAASFFFSCDLYCVYTVKSYMLREHIHYKWQRKPEEGVYKHITVGKLKVDKISPVMNLDVTTV >CRE30106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:126309:127244:-1 gene:WBGene00057078 transcript:CRE30106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30106 MLSTAKTQVTKMSMTLTQLAEKYSRSVEKYQSIQNDALREHAEEITREVQHIQVTMDRLKTNMEMLDKEFEASVKDRNPNQEELDGLDKYAGVYAEAYENAAYVRDNLEIELSRVTDTNYRPELAAPKKKYGDKKKSASDLLSRLRKEEAKSESIKDQRRLLDNVTAVVNQLLLLEKTTDNPLTRELIIRKFKYKIQKDAYGRKLDRAETPWTIRQLLTDLDNIITIEEELLRLLPKRAQDRNGKDKKNNACHEKPSSDNRVQEKKTKRCLICNRENHYMAECKTMSYPLDSTKSLEKEDRCGQCLRKGHK >CRE30069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:123508:125942:1 gene:WBGene00057079 transcript:CRE30069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30069 MESVEMKSESSIPLNAKEKSRVLIPKKRNSKWLEELIQKGNIEISPYVKNGTATLVLDFGKHVNDFLSSFVSYISIDEHGEPFLKILPYSFTPMFDVKTAINVKDLLVQSGSKFGLMEHEVLSMHIVSDGASNLSSMGKRFFADWAICACHAIQKMSEKVLSPNVKNTFMYSSDELKKLNECSLLMGKCAKLALAVHKNKKLLHLSRLPTTYVETRWQSCINCAKDILSLLPFLKHSSVCMISEAAKEIEEEIVTLNPIVKTLEQFEPLLAFFEVRSSFQSTVTFHLYLPMIQAMHNTFSQIEKETDDTTTRIINKSARIVTGEYLEKKISHLHLKATLLTPRLKKMSKMTQSHQQLVIKTMEILLKTTVFIQALDVLKSDIAKRVSLSSPPPAQSSEQLKKQPNKFSFYDDITTSDEVDEFDAYMKERVTESTPECPLKYWFSKKDDFPLMSKIAFNVFSTLSSETVCERAFSAVRRVVRDDRQRLNPELIENIMIGFFYSNNYK >CRE30068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:121459:122699:1 gene:WBGene00057080 transcript:CRE30068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30068 MDGEITKCPYNTIYAPLKNKKPEGGVLCCVHGANCVYSNHNNTKKSDENQYVNLGSPKKEDPIASKLVEKLNKLVVEEKVPTRPKVETMSLYTLMEKEKTRKGKSEKEKKKKKEPTEQSESYVMLGPTSEGQTSTVLSTPAPPPPASEISIIDRQDDSDRRGEASSAYIGVLTLAEAENRLTNRGEFALYHLSHPAGRLDTLYESLPLMLIYRTTTKKNRHYSIRVSSENQYFVDCGYPNVRKHYSLNQLVMYYKVSATCEINPDDTSADSFSWWLE >CRE30105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:118236:121196:-1 gene:WBGene00057081 transcript:CRE30105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30105 MIRIASSSYLQFVASANPAFQTSSRRLSTTGVDCKIKRGKSDRRVIHAKKITKKTDSLPFVLGEGFMTGKVILQNALTHPPPNGLTTRDGGGGGRGEKVQILYPKEIVEHLNKYVVGQEEAKKCLAVAVYQHYRRVENNLRVTEQWMLSEAVAAAKERKIFRKQNPEIEEEYIPEYIEKSQRQILKDLEKRQDILLEKSNMILLGASGTGKTYMTQKLAEVLDVPIVICDCTTLTQAGYVGDDVDTVIQKLLAEARGDIERCQRGIVFLDEFDKIYTSSDPLHTSGNRDVSGKGVQQALLKLVEGSLVKVRDPLSPNSKVTIDTTNILFVSSGAFSNIEHIIARRMDKRSLGFSSASTSPHEESDQHTSEKLRDSDEEVVSKARDEMLKQCDQGDLIAFGMIPELVGRFPVIVPFHCLNKTHLMSVLTEPKGSLVAQTKKFFENENVELRFSPEAIEMIAEMAVKRKTGARALKSIVEKAVMNAKYEVPGSDVKCVEINDKSLKDQSFTIIENRSVIGKENKE >CRE30067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:115867:117417:1 gene:WBGene00057082 transcript:CRE30067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30067 MFTILLGTLCLSLLVSIGETAKCSAKSGDISARWQVVDSELTVEVTTNNIGNNEWSAVGFGPDMSDLNVVIFQVVDFKPSVVTGTTQGYGAPTLDASPSVTLQSLNYNSNTLVARFARPVGSLSTCQTWNFVTDGAIEDGSIGYHNAAPHSVEICADKCTRKIFS >CRE30104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:112294:114529:-1 gene:WBGene00057083 transcript:CRE30104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30104 MARLLTSLENETELKAIERNHNICEKKLANFQRQREEVSRSVRHLEACNTHVKRMAVITKEKLAKLKSDVEKHKNETHETVQRSNAYLNSSFEQVDFIRTNQHVSLHCGPALSITLPYDSCIESCVQAENNLRKSLGEVSMSDEKLKSEKEALVKDQHSVSKAKSKAEKDIVNLKEEIERQTGIVKTLQASSIEVKSVLREAKEEVDKAIEKNAKTKEEMKKAQQTLDNLLQTIPTAESAKQNILAELDELFVDLKSDSPIDLSSYTNLSDCEEILKLFEEWKTRQTENSNFSQPADVFDTDTPTSLEADLENVKKQTKHTLKNVEQMKELREKHVCTSEMLIRKKSGVEAVRKQIDDHTAVLNSLKVTEKAVKSSGSESISSKKEVKEVKIPQELLRQLEATRNEVPGPEPYQPYQTPVRIASTKANAKLNAKTITRVSAGSGSTRNMSVNGPQYPGIKLVAQKNNVDTPIPRKVSTAELDESANSVNSEDSSLISEDSGTTQDESALNQLFSPLANEKRVSPPTPPVVEFSMAAHMNEFSQRQAKLKASQKIKEHVDVERRRSKGKNVDFDAEDENDKRIFADESALDISGVDSEGDEENEEDDGDEFDELFAPDDQDSMDQSTWGDDD >CRE30103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:103116:108264:-1 gene:WBGene00057084 transcript:CRE30103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30103 MRLRSEEILGNIALGRKMSKQQRRKGNAKNASSASAHGYLSQGGETFVGLTPEMNIFEVASNNRLHYASEIDDETRIVMKKLTKKDCQTREKGLKELMNLVTTEKGSIESSYEHFCGLVPQLSTDGSPSVRLLTMKMISLFLIKLKKSASKGLKKIIPMVLFAKSDVTNGVAAAANAVIRDGFDADKKQQVMQLFAPITFDIAASIVQGKHDLAAPIEYDASEDKEARKSRLETQSLHVFLSYTKEMGSESNIWEEHAKKIFENSDYIRKAFAGKRETLKVQLLNLCYKFQNNVDILLSIPSIIPYIQKNLDVQTITPECATAWEGMIVLLSNEKFQSAVSLHKGIFPRFLNVIRKKGNHWRVLKHYLLPAIVTLLKEMKNPDDDLKPLKSIMESFVDNLPWDTDISLNAIHCWFNTFSDFVRWILSNDRINVEIWETLSPLIIKITGQSMMFGTAEATESVSELLHWIIERKILAETHLQKLFESIESKITEVGKEKSRHLRDSLTAPGKNVELSVLHANLFSSPELADFNIIKNLAQSEKDYFDSTISKITNFSAIEKTEQFDLAQASDVVQLISLILDSNSENLKISVKNDYVGRRLLLKADSKIWENLLKQVSVPVFQEMINYWHEKRNGKAIAEAVSFLREKGIELDTNQAAENVDFLISLLQKLKSSDSNGDEKSIVSKLFAAIFESDEEPKPEHYQGMKEYLTADFNADHFFEKLFANSEENDIERILEIASRFDKLIGLCEDKTRSKIVENLLLSKGNSDIMIERLSFLELEVLTFSQYATVIPALLTRHVDHLDEQETKKHVTEFSRIALFNLSSRYHTATHQVFGWQMISVISCVEQRYCLSNLNEELKNMREEIRKRVTRSDEVQNSSGISGFFADGYELPNKEKKFLRSQMSEESQNETPLSPIQFMEKVFKGTESENEFQIFQFDTSNNTNWLINLIYAKRFIQCGGDIFSAENLELRDFSLCGIVTVLDNSTDILTNSPHAFDEDPRLEALTALYMELYMVLTESIKSGNQTKETVEEWEEFYAPTIKNYFIRMFRTVRKDQQPTPFVRILLKALLSILTFPADVPNDNVTAREFVPELSVFKYTPFEESCISQAFGLFQSGIEHVQLIGYAVSKLLMPIMFNTENHKALEESDETEVKVSNRPKLALPVMLSKAYPVDHNHPHVGPLLLDLALIPLESSDIINFRQEQRVAYCDAIDPFFKNALNALMLDQPFEFQQMPITCRIRKLSEQNIEINYNILAKSKEREYYLQSDYTASPVFFDKFASRLLFKSITLLPAAVRYFHKGMPKSYVSVFYEVVTKYASRLLVENELAKVSDAKFEGEMKVRTVPVTGQIIAEYIVEETKMKLTIELTPDYPLSVPNMTIDKAIVKNDKAKKWLMQLNAYLFHQNGAILEGIEMWKRNVDKGVEGVEDCTICMMTVHQQTHQLPKVRCKQCKNKFHSNCLYKWFESSNQSSCPLCRNNFT >CRE30102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:101920:102932:-1 gene:WBGene00057085 transcript:CRE30102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30102 MVRVEKIKTKKGKRVLDDRAPKTVENNKKTLFCRGTKTNEIINHAMMDLYDMKKPLTTKMDKHNPYHLFEDETPIVRAGAKFDTSMFVLGSNSKKKPNCLTFGRTYDGQLLDMAELRITAYKSASNFDTAKMTLGSKPCIVLEGAAFEADSDMKRIGNLMIDWFRGPKVDTVRLEGLETVVVFTAIDEKNIALRVYRPMLKKSATATPRVELTEMGPSLNFEVMRKKLADDALFKLACKKPKALMKKRRKNMSEDVFGNQLARVHVGKQRTDDIQTRKVKALRKTPLVEAAATNDVAMEE >CRE30101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:100281:101668:-1 gene:WBGene00057086 transcript:CRE30101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30101 MSKDKVSSKSSSRSELGSASFFFFKWTASSLEVYKKAIKTNYLTPIIAHCTRAREGDEDASKNFDYSELGANPRMVKDDREEIRARLKDAKNRVWTNEEAKPSLPISLVELSTIYRLKTLVKMCEKLWAIMGRMIEWFEANKSVCHRFAFNKVRESKEIFAHIRRMFESVCAMGEVFRLTSVNWKSCKYSNLLEPNDSEYTCSENSRLVITSPASSYVGEFQSQINYYRTLVYNVAISFGVVLEYIFSSVNREQYAIQQNNLLQTFLREIANVLQMLPPQYKDIQQRLKFSRRTASLLNDPPKNEFVFPIINNEVSDESKKESEKKLDQKKSAKVSSSKYYHGRTNDGKSGRSDRKSTRSPSLKSEPTQKSDKSKSKSKSKSKSKSKSKKKSTLKK >CRE30065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:99237:99974:1 gene:WBGene00057087 transcript:CRE30065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnc-6 MEYLWQNILQSVAKSNAHFRKRNKAMADNGGVSIASSTVVCVEADIKGEVLIKEGCVVHPHVIIDASNGPIYIGDNNIFEEFSVIRNNSEGQPMIIGDSNIFEVHSKCSAKYVGSRNVIGVHAVLEDGCSVSDDCSVAARCRVYAKQNLEPFVAIYGQTNAHRTTITPNLTPTVQLEFLRKILPSYHHLYGKKKAAPGQ >CRE30064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:97367:99042:1 gene:WBGene00057088 transcript:CRE30064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30064 MFEEQPEVKEAIENNRFEIVLKNVRLESVSESANLSQKRVFENMPQLNLLSLTGCSLQNLSSSIKLCTNLISLVLPQNELKQIPDVLDCFPKLRFIDISHNSLDILPPSLSSCEHLESLILNNNALNESSFPDLSKLSNLHIFDASNNSLSKLPESLTSQSLSAKLHTIILSHNAIEEIPSSLSNLKQLRELKVDENKVKDVPTVIDLLPKLKVLDISKNVFSDSRFQKLANDKRAKLNAIIALAKKVGKPIEKKADEKEESNETAESDDVEKDSPITIRTGIETLTVRRHPSVTEIRPYLVCCVFNDVDLNGDAFKKFIALQTKLHASALCENRTLSAIGTHRFDSFQLPLCYMALPKDELYIRALNKKSSVSASELLDSLLRDAELARKRSKRSTVDPLHRYLHIVKDEDILACLVDAQQIVISLPPITNSDGTKLNVETTSIWVEVSSKQSLEACKKTMDEFVIASRIIFPSLSIDQVRVVDNETLVSIYPDKNDLPGVAVNRISQ >CRE30062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:94807:96285:1 gene:WBGene00057089 transcript:CRE30062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30062 MHSSRLQTEKNKSSKACFSFFESAQVVTFSRQFPRHLGYIRSFNEQIISITASAPRRSIRLFTTKMTATPEVQKSVEEIFTKLQGAADCNSLLKKHLTKDVVAKNKSKRTRLGATLLDVIQSGGENLDSGVGIYAPDAESYTLFADLFNPVIEEYHNGFKATDTQPAMDLGEKNVGDLADLDPEGKFIVSTRIRCGRSLQGYPFNPCLSETNYKMMESRMKDIFSSITDPELKGTYYPLTGMDEETKKKLIADHFLFKEGDRFLKAANANRYWPNGRGIFHNEKKTFLVWVNEEDHLRIISMQNGGNVGEVLGRLIKGLNLVAAKAPFARHPRLGWLTFCPTNLGTTVRASVHIKLPKISAKDDFKKICSDMKLQIRGIHGEHSESKEGIYDISNKQRLGLTEYQAVRQMYDGVKKLIELEKAAA >CRE30100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:91356:94072:-1 gene:WBGene00057091 transcript:CRE30100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vbh-1 description:CRE-VBH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MYG7] MNTQYYANQNGQQQQPGQGWSSSSYSQQGNVASSGYYSGGFGQTSSPSNGYAIQQSMAPNGMGQMVSSQQTGYIPPYYPGQQQFQMGYSENFENFEDNGSSNGYNNFSDSGNGYNNKCYSGGETNGWGGASAEYTADNLFHRTNSGINFDKYENIPVEVSGDAVPAAIENFSEAGFGPAVMENVTHSGYTKPTPVQKHSIPTLLANRDLMSCAQTGSGKTAAFLLPIIQHIMAGGPEMIKTPAFTNGRRTYFPSALVLSPTRELAIQIHKEASKFSYKTNLQTAILYGGRENYRDQVNRLRSGAHILIATPGRLIDIIEQGFIGLAGCRYLVLDEADRMLDMGFEPQIRKIVGQGMPAKTARTTAMFSATFPKEIQLLAKDFLKENYVFLAVGRVGSTSENIEQRLLWVNEMEKRQNLMEILMNEDSTNLVLVFVETKRGANELAYFLNRQQIRSVSIHGDLKQIERERNLEMFRSGQFPILVATAVAARGLDIPNVRHVINYDLPGDSDEYVHRIGRTGRCGNLGIATSFFNDKNRGIGRDLKNLILEANQEVPDWLHQVAAEGRIGGGQRGGNRRFGATDYRRGNGGGSFAGNFNSYNAGGQSAGFGGGSGFGGAFGATRRPFTNGGFGAQSSLSSSSNGGSGGGFGGPRRGGFNNGMSRQNGGGFYSNGGPPATIDHWQAPRA >CRE30061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:88816:90374:1 gene:WBGene00057092 transcript:CRE30061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30061 MNRFITKTKPQQTNKRDVAQKRPAPVGDENTPTASSGIIQESPNKKAEETSLMAKLHTAIRHGDAQFTFDTLSKNRPFDNAMNVSSRTSKLFKNTKFFQKTMTKMEFSPSYSAPGSEFMLLSVDESVVNAFKEGQGLTIRGETTDEAVLCTDDSTFPMKIIESATTVLLLHNSLEAPDSPTLPNFQVEMIDGKCYATGELCPVVDVLNVGRLKDMLREQELRWDWKDREEEEKLKGYRLRDLLDSVQMSVEEVKTALIDLPVVKFPNGKYRYLSHKFRGEMLGLIVEMIDEDSNNDVKLESISFVGLRKHLPENVPDQVIEWFLKSRCEKIPDKNEYRLPEENLIRDLTVVILYGTGKMPLNQFSELLGKILPFGVEVKETVFEGIADISDASFGKVITYLGPEDLPDTIKERMLHLFEYRKLWSMEQLRPYFKDVYKSKVSFDKYVVQNCEYSLSETGEMLYCGVR >CRE30099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:86481:88534:-1 gene:WBGene00057093 transcript:CRE30099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpd-6 description:CRE-LPD-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MYG5] MKLKNGRKKKKSGAHNRGNVDAASQKDALYHQEKFVKKIQKQKFLENKEKELARQPHCLVIHRGDVGKYVKGLESDLRNLVEPNTAKNLKILKRNNIKDFIVNGAVLGVTNMMVLTSSDASLQLRMMRFSQGPTLSFKVKQYSLARHVFNIQKRPIATDKLFKSSPLVVMNGFGDGEKKHLSLVQTFIQNMFPSINVDTLQIGSLKRCLIVSYDEETDEISMRHYAIRVVASGLNKSVRKLVQAEKTMGKNIPNLSTYKDISDYFLNPGQLSDSEFEGDQQEVELPQSISEQSGCGAGQKSNVRLHEIGPRLTLELTKIEEGIDEGEVLYHKHHAKTPDELIKLRAHMDKKKQMKKRREQESEQRVIRRLTIAKEQQDAEEAEEKAIRETAARRQAAATGQVEEVENQKEKDREIAMNRERDLKRANEEWGTTDNPKRGRYEDGRGRGRGGGFRGRGDEGSYRGRGGSGDRGESSGGYRGRKDDSNGYRERSMDRGGFRGRGGDRGGFRGGDRGGFRGGDRGGFGGGDRGGFRGRGGFGGGDRGGFRGRGGFRGGDRGGFRGRSGSRGGSRGGGGGGRGGGYRSQR >CRE30098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:85431:86217:-1 gene:WBGene00057094 transcript:CRE30098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30098 MQTLVLFFFSSVVVLANGAVPCDHLDAIKSLECKPLLGKLAGEMAKHSDMPPPDELKQFSTMCQEALSCMEGIKCDVLRNATVLIAKTCTGINLMSGPFGKCIDTLRSTPPSLKKYPCGRFLQTEKGRPGNCQMYQDEFECTKKLTKDKCGEEAVESMKKHMDYILGMMECDAKE >CRE30096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:77858:80849:-1 gene:WBGene00057095 transcript:CRE30096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30096 MVFLFDDVPIKEYFTKLFNFYVDFQAQNPKYRCIFGKVHVLNAAKVLLLLEIFLIIPLYILFLFPWWLMWIGFHLVFILITIYALRKKKHRFMWPMVLFTLTQFFFWGILTLLQLLIAFFDTQSFLNFYSQGHHEEFFEKALVVIVVKLIVLLIGAILFWRLSVFYAVKNYFSDRLEGQVSATEESKGLEGVAQKLLQPV >CRE30060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:76691:77790:1 gene:WBGene00057096 transcript:CRE30060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-49 description:CRE-COL-49 protein [Source:UniProtKB/TrEMBL;Acc:E3MYG1] MWKFVIGSVSTTAFLATLCTIYYSVSMLDELDSFRGRIRGDLEDWKEVSDDTWQRLNDMTSRSAPKKTNILKEFVRGKRSDGNGDQCNCAEPTKNCPAGPAGEKGTPGNPGQPGPDGVDGDNGVDGEVVEHEMPDTKECIKCPAGPPGPPGPPGPLGPRGEKGSEGPRGALGDQGETGPIGEIGDQGPAGFPGRQGVKGPAGQPGTIATVGLAGRSGPQGPLGEAGSQGEPGVDGKDGAVGEPGRKGENGRAGKRGKDGVAGVPGTRGKLGEDAGYCTCPPRTA >CRE30057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:70030:73518:1 gene:WBGene00057097 transcript:CRE30057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-supr-1 MEFNEKKPKFQQNVVSNLLDREIGTEYRKRMLLFYLSEKTIEFSDATNTNTYRHPYQLLTSTKPDTLVQIDLDKCDKNPDSDQPPFSLLADCLGFSPDGKYLAFHSHARSVVSIFRYTGAEGGKCAEGISNPQNYLREAGRLDLSSFTRDYNYENERMFGSWWTDDSKALIVQLSMESSIDDEDQVVPEDEDVLQLARQLVEENRNTYPEGDMVGNFVNERRRRLEILRAPAGEGFRDDDLYEEVRYNVYDNTMRPNPECYDADAEIDYGTLETLFKQHTNGIMPENELTNEISAYQHRETSRTIPFTFAMESAARMRVDSFKKRGQTSRTMPVYHALTRSIRKSNYDGYSSDSSDSSDSTDDLHQDQLPASYAYMQESDATRMLAAEEKLKKLQKMNCRCRICSKREVSYGLNGEKKVIVCTDYWKKWTRKYGANLCGLGYSKPDAYIRRKHGLRNERPTVGAYRDRLRKTLEDLDYFHKSYLTASAREERLAGREDRVQSLKVDTMLVLAFEIEEDTVCPLYYNNISSKFLCHVRDRTMTICCNNDTIEIYHYSETTHIWEQQEDVRHSQNESYLVPLDFRVGTMPGQPSRNEEPEMGYAGSIDSILLKNFREIFDDLFESDYFYSPVQQDTLTLLHRDMPEVFDTNDALLKGRPRVFRCHALSDDLIAVSLKLPWFKPMYMGMIISPDSCNTTLYPDYHEFLKLLFANHLPELLPRAWTYFNPYPAKDQPWFKKEIINVSDPRDLNDYELLRETYEFLSKHWNNLKSTSNPLNDSLFNSTHAYQFFSKFIFTNDNRITFNAPDLNKRKHLDVFCHPKDPFAVVNNTYNWFFGIRKKDQDDVIVGVNPLESDDDDEKMDTN >CRE30095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:68066:69891:-1 gene:WBGene00057098 transcript:CRE30095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-28 description:CRE-DNJ-28 protein [Source:UniProtKB/TrEMBL;Acc:E3MYF7] MKRRTVFLIFCVGLSIANDKTGSHSEYEAGNALFVNRQYTDALTHYHKAIELDPTNYQAIFRRATTYFTFGRTKPGLIDLDTVLEQKPDFAGARNQRANVLIKMGRLEDALADLRYLNSGSSASDEIQEKLESIEQLKDEKRILNQLPLGENCDVVEEMTTKLLESQPWDFSLYIQRAKCHQAENRLKTAIHDLKHASKLSSDNTELLYEMSVMEYQVGDVRDSLSTIRECLKINPDHKTCYASYKSLRKIVKSLDSMKNSMEEQKWVDCLERGEKLLKSNVDDNAVKVNVYRLTCQCNREEGNIGEAIQQCNQVLEFDDSDVETLIQRAEAYMADEEYDLATADYEKAMEFDSSNEAAKTGKDQAKRAKELVGKRDYYKILGVRRNANKREITKAYRKKAQKWHPDNFQDEKEKKKAEKKFIDIAAAKEVLSDDEKRRAFDNGQDPLDSENGRNGHGGGGGFHDFQGFNPFGRGGGGGSRSNFFFHF >CRE30056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:67438:67964:1 gene:WBGene00057099 transcript:CRE30056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nduf-5 description:CRE-NDUF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MYF6] MATGNRVPENFQTLSPIVKAPLSDTLSVPLSQQGRICGFFESQFYRCMEAYGAKMGRKYCDLEHRDYQECITGDKQKKRAEAISAQRRKLFLEGKLDSPFLDNHPEPGHFKTDHFQWNRIN >CRE30055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:65461:66694:1 gene:WBGene00057100 transcript:CRE30055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mek-2 description:CRE-MEK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MYF5] MMTASVGRLPEKFVGRISVAVVRGLTYLKDEIKILHRDVKPSNMLVNSNGEIKLCDFGVSGMLIDSMANSFVGTRSYMAVSILLRVSPVLKSEFQPERLTGSHYTISSDIWSFGLSLVELLIGRYPVPAPSQAEYAAMFNVSENEIELAESLEEPNYHPPSNPASMAIFEMLDYIVNGPPPTLPKRFFTDEVIGFVSKCLRKLPSERATLKSLSGDVFFSNYADNDDQGEFADFVKGTINLPKLNP >CRE30094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:64213:65134:-1 gene:WBGene00057101 transcript:CRE30094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-48 description:CRE-COL-48 protein [Source:UniProtKB/TrEMBL;Acc:E3MYF4] MVEKSKGSLRSVAFVAVTFSTVAVTAVLIAFPLVFHYVQTLQASVQGEVEYCKSRSRDMWREMVEVAPEGPDDPLDVLLRATRQADAQCCTCQQGPPGPDGEPGTPGRDGEPGTGPGEPGPPGPDAELHDRILPVPPQCPCTAAPGLGGPPGPPGQDGIPGNPGRNGEDGAPGPQGPAGPPGPPGQPGQPGQRGPPGEPGALLPGGDAPPGPPGPPGRPGAPGQPGKAGSPGQDGTNGDAGVSGEPGQRGPPGPPGQAGTPGAPGEAGSPGSCEHCPPARLAPGY >CRE30093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:60798:62299:-1 gene:WBGene00057102 transcript:CRE30093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30093 MAANKEKPIQHMVIDTCAIIANRGLHVSFSSNDYSENRFIFQTLADQYYAPPQILDELRSSEARRIWGTLPFEVILREPTQNALRAVIDASKTTGDFQSLSMVDIKMIALTYDLHRQFVAEKEIATTTEEEEKKIIEDLSEKVEKIEIDNEKAEEEQKAVEKKVESDDSAIEEVEGSEASENENTETESDDDDDETGWITQDNIEETLKKLGAFEIEENMVVGCLTTDFALQNVLLAMNLSLVSLSGYRIRKLKSFVLRCRTCFTTTSVMTKEFCPSCGHKTLHKCAVSVDEDGKQQLHINWNRMSNRRGLVYTLANPKGGKHAINERLFEDQPMPHMRMAKVHLDPLADGPFSVHDVNSRSAMLGVRTINNRAKQSRNRNEAKRGGRRK >CRE30092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:59340:60559:-1 gene:WBGene00057103 transcript:CRE30092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30092 MPQYYCHRCTRSFDLDANAPVACTRCQGEFVEEVSTPAMVAAGMPPGFHALQQIAEIIRNGMVQDFTGLIPEGLIPQEQQGGQAVPQQHAEPTAPPQEAEHVAPQQPAAQAAPQQQADQGDPPPQLERQAEAPRAAAGGDPGARQANVGPTLEDFIHSMFRMEPRPAAAGEDPQPNVTFSFQMPGGVGIQIHAHRAGPQDAAGQVPQFVGNIPDGERVELETAMQDLLAQFQGEGGMMSRGFLEADVKQYLPMKKVTQEQIDNGVQCTTCFDTFKLGEDVGALDCNHIFHRPCIEPWLTTKNSCPVCRQKVSMKSWKRNHQRRVSFFFLTENFITKYLFSGSRSGSRGSRLKFPLPTMSIVVCLPFLSKNSHHL >CRE30053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:58158:59195:1 gene:WBGene00057104 transcript:CRE30053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30053 description:Signal peptidase complex catalytic subunit SEC11 [Source:UniProtKB/TrEMBL;Acc:E3MYF0] MKFLPDVAMFSEIRQMNVRQCGRAQRKFPDLKINIFQLLYQCLNFAMVVSSALMIWKGMMVLTGSDSPVVVVLSGSMEPAFYRGDLLLLTNDQSDPVRVGDITVFKVEGREIPIVHRVIKVHEKTADNTKILTKGDNNQVDDRGLYAPGQLWLSRTDVVGRTKGLLPYVGMVTIIMNDYPKLKYAVLAFLGLFVLLHKEN >CRE30091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:53861:55145:-1 gene:WBGene00057105 transcript:CRE30091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30091 MYTLSAGIWEKFFKKKDYYVVIVGLDNAGKTTFLEQTKSHFVKDYGVLNPSKITATVGLNTGKVELNNTCLHFWDLGGQESLRELWATYYDDANALIFVVDATRSDLFPIVASQFKEVMSNEIVQNVPVLVAVNKSEMEGAAAAAEVRMLLEDDNHRSDLAVLPVSALEGTNIERCVHWIVRSLASQPLYL >CRE30051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:44306:53591:1 gene:WBGene00057106 transcript:CRE30051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mod-5 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3MYE7] MLRWHSVRRKQHQQLQAELSSGAASMLSAPESRRVSRSMSVKAPTAAEYMPLPVVDKPLTLTVSNSHSIDPNEPIAALGGLTPTKEGRVAALRRRSSMVRDKWATKMEFLLAVVGYAVDLGNIWRFPSVCYKHGGGAFLIPYFIMLMVGGLPMFYMELVLGQFHRAGCVSIWRKVCPLFRGIGYGICCICTFIAIFYNAIIAQAVYFAIVSLSKIWDSEVPWAKCGNPWNTPRCSDDLNVTMSRNGTRLSTPSEEYYLYNVLEVQKSSGFDDLGGVKGSMAVCLLAVFTMVYFALWKGPQSSGKIVWVTATAPYVILSILLVRGLLLPGAQNGLYYYITPDFEKLKDPAVWSAAATQIFFSLGPGFGVLLALSSYNDFNNNCYRDAVTTSIINCATSFFSGCVVFSTLGYMSLLTNRPINEVVGEHDASLIFIVYPQALATMDYSCFWSLIFFIMLITLGIDSTFAGIEAFITGFCDESRFLTKNRKWFVLVICIIYYFLSFPAISYGGQFVIPFLDEYGVSLSVLFIVTCEMIAVCWFYGVDQFSKDIRAMLGFYPGIYWRVCWTCSPVFISLIFIMTVYNSSFKPMQMASYTFPWWSVILGWFLRLISVLAIPGFAIIYLLSGTGSLTERFRWAITPQQRRNSATSLAADPTQIIDSSFLDPIHTLTPV >CRE30050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:40116:42309:1 gene:WBGene00057107 transcript:CRE30050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-23 description:CRE-ZTF-23 protein [Source:UniProtKB/TrEMBL;Acc:E3MYE6] MIGYPIVFRCCECTVEEQTMEHLESHIWSRHLQAFPFKCAHCDFPALSAISLMEHFEQNHAEVTTVEFKRNLDDEKRFRKMVAESIAIEIEDTSQQDAGVQMDSIQPMMQQGPTQIMLLPPQTSRYQDIDDSPEPEDNDFGVMEDDENVEELIEDDEDDDYIDELGNPVFIGAHDDNNFILDDEISQQGGCNMRGNSFHLKYIRTRRMDKLIDNVVVNAAQRTSPDSVKPVNLYTEISCFTVFQTSNPTNGEGHYVDGRGGRKVGRYQCDQCPRSFKVWRKYQSKLDEHRRTHLGVRPFNCPYCDQSFTQKGALKTHMRTHTGERPFYCQWDCGKQFISSSARRHHEKTHSGERPYICSVCGKGFTKNSHVIRHLRNIHNREIIQRDSMLQGGMRSPEITVSEATGEIVDIQPRGKEISVVREIVEQIHEEKFSERKDFLRI >CRE30090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:36414:39692:-1 gene:WBGene00057108 transcript:CRE30090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30090 MSWNILVASITVHLILIYSIFDVYYTSPLVHGIPPQFINSQSFPAKRIFIISADGLRYDTFNKYPDMSPYLHSIMNNRKVSLNSFKNLKELYRFQGIYGLSRSHVPTESRPGHVAIFAGITEDISAVAKGWKKNPVQFDSVFNRSSNSWMWGSPDIVNLFDDLPNAKSFSYSSDEEDFASEDASKLDKWVFDHFETFLSSASTNNELKMKLNEPKSVFFLHLLGIDTNGHGNKPRSKQYIENIKVVDSGIEKVQKLVDEFFPDGKTAWLFTSDHGMTDWGSHGAGSDDEVLTPFVAWGAGIKKGGPKLDVHQIDLAPLISSLIGCPIPVNSMGILPVQMMDSKSSGYEFKAIEANFRQLKEQITFLKNAKSGRFWFTQFEKFGDKAMESLRNTLNQLGRDRRFSVATSLFAENAHLMKEAIVFYHRYDRQMLGAAVSCSFIAWIALVVSFLNDSTTKGTYKLLIPNRFFTIPFLLAFLFSVYCSLSITQSIYIFLPVYLLSILENHSHLTRKAREYATLLFAKDFTKLRIVDSFMKPFVGFVGFIITICIFVLTFMDRAFLAAVFILLAFIPQFYSYPIVSYWSKTWILTCLSLCIFPFLPAVGVTTHIPLCIISPFLTAGICHRLSKRGCLARQKQLFELMVYVHSAVAIFITIVNYGFEKPPSIARWISWISIPLSLIAPSIISGPYLVDRLIAYSLCFYVPYSLLSISYESLFVLIFLFLLTLFVRFEFGHLSDVELLQLKIDATKGLSEKKVLIKICYFTVSSGEYVELRRTVVCVSFVLCTLFGTGNFASINSFNPSTLNLFISVFSPFTMAILLVLKLLIPILLVSSAFASIVRFDRESIQRLCCFSLIFTDFMSMCFFHQLRDDGSWLDIGMSISQFIVSMCISLALLILLSISSHLMAFDFSRRSDSTRFSPEIESISKPRKLSDDEAA >CRE30049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:35157:36295:1 gene:WBGene00057109 transcript:CRE30049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arx-7 description:Actin-related protein 2/3 complex subunit 5 [Source:UniProtKB/TrEMBL;Acc:E3MYE4] MAKNMQNTSYRKLDVDAFDPEQYDENDETVDTPGLGPDERAVQGYLSSNRLDDALHASLVSPPLKTKDQNVKDRATLLVTKVLQSFKNAEIEQAVSKLSIDEGDILMKYVYKAMELGSDAAVCQSLLAWHAQLVAKFGHGAIMRVFSGRQRL >CRE30048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:31042:34457:1 gene:WBGene00057110 transcript:CRE30048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30048 MDSDEVRKRKEYAERKVKERHAALRKEAGERRKQTLEHRERVQSVLKREQVLLQKRMQQLNEDEERKKATLAKQHEATSRTAAINKSSPKSFAFGSSTPRTLAYLDNLPKSEQQYDKKLRPLEDSSQLTSPTSTSSSTKFGRTTASRPPPAAHRPAPAMSSMTSSMYVTSSAPPTRHAKTSIKSSITTTSTTKKAPVASMMTQSVYTPSSRPATTSRLSMGAVKPNRGATPTSARTNHPISSTPNRRSMDTRKPPMPRKSILERKQETKKEVTPVSDAPVVEVVEESQVETVVVATKTSPLVEETTEQETEVLRPETPEVVAQEVPQPEEKSVEVVAEEPKEVEEVHAAPEEAPLAPEHTQQDVEETPRADSPAPVAESTADKVEQEAEKPVEKPEDVIIPPSETVEHESVNEVHEEKPTEIFESVHQEEEEEGHHEEEHRETSGNTSLADELISIGIDQNMSQDEIMPSKPEVVELEYPLIEEKKVPVAAVNDLVDVFGNDFINQNQPQRMVEFDEDTDSGKASPTSSETSSTTDEVTPRSVIEEVKVLAPRVLPIVRSAEDMARKEKEAREAEERKNRLAAILAKSRGMASPMTNTLPPTAADIKESGRANDVLARVAAMTNSSTLQKILQRKQGSNPSLQVINSKSLFFNNSFFQTSESTGGEIY >CRE30047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:27885:30783:1 gene:WBGene00057111 transcript:CRE30047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-riok-1 description:Serine/threonine-protein kinase RIO1 [Source:UniProtKB/TrEMBL;Acc:E3MYE2] MDRIEQLNLNIQNILDDVDIDPAETESSSEDEEEVVIEDKKIAEKIPEVSEEPEYSESDYEDDNDIVDFAEATGDFTKKLNAARTHAIGPNAARNRLTVDVERHADTNEDRKRKRVKDRADRATVEQVLDPRTRLVLFRLLQRGTLLNIDGCISTGKEANVYHATGTENDLAVKIYKTSILTFKDRERYVTGEFRYRHGYCKSNPRKMVAVWAEKEMRNLARMHEVGLPVPKPHMLKGHVLVMDFLGKDGWPAPLLKNANLSTEDAEPMYVGLIRDMRRLYRECKLVHADLSEFNMLVHDGKLWIIDVSQSVEQDHPHALEFLRMDCNNVNKFFRELGVKVLSVRRLFEVIVDPLMNSKEMETIIEEERVLVNSEDDTLFMNAFIPHKLEHVLHFERDGKLAKDGIEANNPFQNIVSKIDLKGNGFGEEGSCSDDDDGENEKKSRKKRAEPTEEEIEEKERKIAMHTRNRDETAEERKLRKAAVKEEKRDQRKEKTPKHVKKRAHRQHMK >CRE30089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:19631:23985:-1 gene:WBGene00057112 transcript:CRE30089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-64 description:CRE-NHR-64 protein [Source:UniProtKB/TrEMBL;Acc:E3MYE1] MTPTDKEATSSSPTSQSPQSFENVFCAICGDKATGKHYGALSCDGCKGFFRRTIRKRHSYICRFGEKCQMDKAKRNSCRKCRFDVCLRKGMRRDAVQTERDRIRPLNAMLNGTSGDDPFLDTLIRAEQSTRGLRTTVITKTAEARKQATTVDVTDSMNQQLTLMVEWAKVLDGFQRVDSATQVALLRNFSAQHLVMCAAFRSIHLSDAVWLTNETCLHKDSPKIPDMNRVAARIIDQVTTPMRQLHINEIEYIALKAIAFFDPLAKGISSESYSDVEDMRQKVLESFERHVRFVSPYKDMPLRFANLLLLLPPMLAISRDLVEDVQLAKLFGLASIDHLMLELMLPNEKNANDNNTTQSPLTCQK >CRE30046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:9425:10407:1 gene:WBGene00057114 transcript:CRE30046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30046 MSSLSRNYFTLLLALFILPLAVLCHGLEDYRPEEGLTGHNGVFQALPWTKYELSLISSLHATANYPEVMKMVREKLVITDVAPNDRRKIERMLKSLRPPPVFNDFLNAEEAEEVRKAHSEKNIGDVLYVIGRKLAELPDFLRDQAVTYLTKHTPTIQPPDF >CRE30045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:1473:2228:1 gene:WBGene00057115 transcript:CRE30045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30045 MDVAPEKCNIIKFSHLKSNSNPIANCAVFNGANIPPVTQIRDLGIIFSGNLVFNAHINTIVGNAQRRINVLFNILKHAPLEIFLKCFIIYARPLLEYGSVVFSPVLKELVRKIENVQKSFIYRCYKKFGKVYNGYFNAIQECGFESLEYRRLLADLSFIYKCLVTKDVEMPNHLFYTYPKLSHLRRHKYYVRTTLPNKYKCFSQYLSIRSLACWNSLPESIFISIPTPDCFRTRLRALPLQNYLTLSDLNY >CRE01222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:182217:183234:1 gene:WBGene00057116 transcript:CRE01222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01222 MFYLAVAIIMNCKPKVQKMYQMKLGLIGMILSVQIMNVAVIMKNYKAHEMTAHGIYYIFHYFLLISYALFGNFLTRLYIQLPKERRPYSPGSRFSVGVIAIIHLTISTFSVWNTNHWIVCSILQFSSFIFCVDAYSCFTTPFYKLCEHREYKDYMRIRPVDGVICNVVVRRIYEKTEDIGDVPANFQFDDDVQLEPFWIGDKLTYLIGHREFRTRMREAAGKTLK >CRE01250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:152090:157211:-1 gene:WBGene00057118 transcript:CRE01250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bam-2 description:CRE-BAM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N4T5] MHDYPSVYTPESKLFIFVPGGHFSFLAFYLFNSFFAFQVQLSLYFYLVGPLCSFKMSERRKDNPLRLIATLHLFLAVLVNCDIQPRFSCYNHYLAGIHRSSVLPIAGHHHSVQSNVQCIFENDVAFTEIHSALENSHQVMAKKSVNFQMLDLYHLRELVRRSQCTQTLTVNWKSAPEAGKGGIRVVSLLNETTRIEYSGEERQDFLFDESFAGVRHLIPDEDDYEFNPTVTTTRLLCKHITQPECLVRGKFEVDLEANLEWNYAFAFKTDTTNQTLFSLRSGDSISEVRLENDFFIRTDGGVPVAVSHLSDATWHTVIVKHSEPDSHFLKIDDFPEIELTKLISDDTDKTVTLTISVNGNIQLIDPTDTNDDCMYSFDKPQKRLQETVSTRSMCVGCGCSKLSGTFDGLSKCDEEEEGAFSLRRDLDRLSFLHFEDSFDVDSNGAAIPAISTNFKSDSDVGLIFFGYWQNFNGKGRLQVYYHYDSISAVYCKHAEEKDEECSGCSLKNPRGFGRDEWIQTILWGGGDEIYMAVDSSVCRLQSSANVSLAEVYAIPQISQGAGLFVGGTWHEKKRRGLYRSEAEQKYFENTREKAPVLRGCVKDVFVRGAKVDISSSFEAQKEAMLNEVYDSNAFAVRKDCQKCQPSCSENTRCRSQGPLQSSPMICDCADELQFNSSEGICEKKRDSTPVALSNAFLSQNQIVLDIQNTKAVLSKVWLKFALPKQINQAQRIVEFNSHRETLFNINLETDGTLNVQLHGQDTASRQLDLLDDRVHLLQLQRRTPMGTRHSAKKYDLYIDGWHTVVSDIGKLVLNNVSVSAAETEDEWSSVIVHDFGLSYEYDEHFAVLHPSNVIHQVDLHSQLLPYQIRAPDLSKSGILEDSLWEKPVFTSETEGGTPILESSPHGDIVDFTADIIEPEQLLSARWILYSVGLTILLCLLILVCVVCYWCVLRPRSMRRTDSGSQRTIMRDSPDYAPVKMRRESLSGVSMGDDDGSIGTDDTDLQAYRDIPSHRVKIYRESMVSILVPSIDQPAEAAIVKRTNSTISDQTSVRPQDSHAPLVRVNDD >CRE01221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:150201:151431:1 gene:WBGene00057119 transcript:CRE01221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01221 MSFPSEQMQDAVNYLCFAATVTTTGVAISLGCTAGHREIFRRRGSKSKKSMRSKRERRERSERSRKSRKSKRDKKNSSRRRKSKNSQRSMKKSKRSKRSHRSKREKKDGSKRGSSRSKRGSKKGVSARKMESSRKGNSQRKIKSSRGEGSNRDKEGSRRDGSRREGSRREGSRRDGSRRGEGSKRGSQRKVKSSRMEGSNRNGSKKGSRKSQRKEPSEKKKSSKSNKENQKSRKSEKKKTSSMKAVKSDEAKTPPYAESPAPNPAPEVRSVYMDTGKSSYSTSKPPPAFQKTDSVYFAPPPNDSKPKQNPRSDQADSVTPMEKKKSKKSIKSVKSPAKKVPKLNVNSTRTPVKNSERNKKSTRNKKDAPQKSKRK >CRE01249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:147546:150021:-1 gene:WBGene00057120 transcript:CRE01249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syp-4 MFPLNKTQIPLHIPVKFQLLFNKSASLHVALGGNMSFPTLLVRPNEKNPKVLRAHEFLRQSNQLVERNLINAEGRKMLAKMLATMVAEVKGIYALDEKRAELTKSGILFEKLKLAHQTSRDVMEDRYSLAELGRQVQEKKLDVQRNYYECDHLRKSVQTTNAEVRRERESNEKRLNKTKTSTETLIAKSASILKLLENSTDVCKLRTMEEEKRILLEEVDELRDEKKALADDIHTKKATLKAESKKPFKKMVIECAKHYALCETLTPKLIKSKKNLQLLKEEEESRRACGSLDETMQFDRSFVLASMDKDTTAENTSRNAAAHNESVQKSSSRSTSFNESIQQNQTMEVEEEQDASHHSENSRDGSTEMPPVPVETTPTPVVVPKPTPAVSKVAPVVAKPAPVVAKPTPVVTKPAPITAKPAPVVTKSYSVATKPAPVAANPPEATPKRRESMVETQRVIPREPSPEPEEEPEEEDANRSVDVMDQGEDQEEDVEPMETEEQPDVVIPPSPAPHENVDIKPSAPEVLDISHQEDSQNDVEMRIDDDEVLSENGSSRSASFNFNFFGNTKGGAGEVVANDTDGNFDFNFGGGDDVSTNGSGGAFDFLNCGGGDEGQSNNNDADPFGFGANTGGTGGGDMSFNFNFDGDNEGGTSLGAGGNSTSFFNF >CRE01220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:142343:146860:1 gene:WBGene00057121 transcript:CRE01220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cogc-3 description:CRE-COGC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N4T2] MDLDHMKTVEILAAKAATWGLPEATNEAEAHSDDEEDFDLISTSLENIKKREKELAELLFPPEITAIRANMKRYRVLQKQIDISRETMKELRDSYESVSSRTCSLHDACDRALAEQTSLSTGSQLIKTNLYYFKQADAIMKKLSVAKLMVTGHSFAAILVSIDECLTYLRAHPEYKESEAYIAKFEQCLSRAMTWVRVAVLADLDACFNDVKDRQAQLEADYEKIGRGGQDEDTFALLYGVFASKAAVVNSEHLSMWWNNDSQRYRNSRKCSRSVSMHILQRDISCSARFSSQHSQAYPRKFQYMCFLMFKFFRTHAESTCRLTRDACTFMLRTCDDEYRLYRQFFVTHNNNDDRKMSTDGRISPAMSTITSVFTSQQTQQQVHPFETFAEQMCRTLYDMLRPRIVHNPHLETLAELCTMIKVEMIENRCSLQMVASILGDDANQDPNVSGLNPRAGFVAVMSELVGDIAERIVHRAGLYAQNDIGAYRPASGDIAYPQMLQMIRKIESEQKEQKEKEEGVESTTTTTIDQHCLWYPTVRRTVMCLSKIFPCLDIGVFHSLARDMLFACIESLQTASDAILATPAPAKGWSKKLDAHLFVVKHLLILREQTAPYRQNVLSSRSDALNTKDFSIDFSKFTNVLFDSNSKWFELSTNNTLLELITTVPIEMREHEGDSRRVLDQQLRVATFRLAHEASQLMIGALAEWIDLAEDERLQEGFELSKHPKLAAGVLKDLASQAYRNVGSKFVEIRDAYTLYIGVAETEAILLSPVRKRVIDVFTRVNSFASKTYDEESRAVAALPNVQQLVLMLNK >CRE01219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:141590:142236:1 gene:WBGene00057122 transcript:CRE01219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nxt-1 description:CRE-NXT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N4T1] MAMKTTQDINKEDEELCKEAEKFSQVYYDVMDRKREKIGFLYANISQSNAVWNGNPINGFEDICRFMAALPSTQHNIQSLDAQRLPEGVSGEMAGGMILHVAGSVTVDNDAQRAFTQTLVLGVEDGKYKVKSDRFRYVD >CRE01218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:140179:141164:1 gene:WBGene00057123 transcript:CRE01218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trap-1 description:CRE-TRAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N4T0] MKLSAILLLSVVGLCAVYAADVVDGEVTDDGPKTVQEEESLDIGASPDAGLAFHFVQPADANVVHEFYTGKPVKFLIGFQNKGEKDFVVKYSETSFRFPTDYNYHLQNFTRGEYNRRVAPKEEVTLDYGFYAHETFAGRPVGLVVNVHYQDADGNAFVNNVFNQTVNILEDDSGFSGETGFLFIFFVALSIGGLYLANQFLSKLSRKSGLSKRRVVEQGTSSEVDFEWIPRDAVKNKEKRSPVAGSPKARKTAKKTD >CRE01217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:138086:139655:1 gene:WBGene00057124 transcript:CRE01217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01217 MIPVLVIFSQSHVDFRKPEFDAICSMFSIEVNGSDSINQESHVFVLHFESYLEVSKLLSRSILIKFAIDISIESESYDNLYQKINENPEIIEKFDVPEKSFALRFFAIGRKKKLDSMERIKLFLDAVPFKNAPISLQSPQNELYLVEEYENPTDTSPKKVYFGKLIGEGRSELKTKYNLRERCYIGNTTMDPELSFIQVRLLKHFPANLAMVQPRNLVLDPFVGTGGLILPAAEFGGFVMGTEINYQTARAKGRSSRQGVGERDESESIKANFEQYHTEDKLLSILIADSSKHGIWSLNAQFDAIVADPPYGVREKARKTVKNKEVAKDVYVDRTDYFHISNFRIQYQQKEEYDLESAFSDLLNLSARILVKNGRISFWYPVILENYCDENLPNHPAMKLISNCEQPLTRKTSRRLLTYRKIREPVEDEVCEIQKTGVSHYRSVLFTPNKA >CRE01248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:134132:137345:-1 gene:WBGene00057125 transcript:CRE01248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01248 MLHPTGPLPYAIEYAKSNRSNCKKCKKNIGANSLRMSLNQQSTFFDGTIDCWFHYECFWQNLSRSRNEINISSIRGVDWLRWEDQELLRNRIQKFREPVAHFVSVNYENVLFLSSIKSESSLTNRGKCMKCSVNFEKGEMKVIVKSKYIHFKCHADNFERIEGELEEIPGWNDYEECWRERVIASYAEIDASKKAKKTKPEEEKVPQPESSSSTVTDDNVVAIVDNLAISSKDPPEIFIDFDDDPCSSTNNKKRAAPEEILEIKPSEEERKKRKIQSKAAKMAELQKRRMKTQVDQLWECRQLLEKLSHSERLALLAKNFQEVTEGHDPTAQLIDRLADYAVFGVPIACLKCTNGNILYNSARRTYVCTGYATEYSKCTFESKNPVRTPFKPTDRLLEKCSAQNVVFNAFAERLYMGEEEEEEIVKIQKRKSKGGLRGDEFIYAAEAFDSQNVIPISTNADAVSSTHIIKNGTVVDAKFQHANKCHVFKNEEDGCLYQATLSFTDVTQNKNSFYKIQLLKDDVSEKYYVFRSWGRVGTDVGNNKHESFDRNGAVEEFKKLFLEKTKNKWEHRKHFRKMPGAFSYVETDYSEFAELEQDITPGSRTKLPPSVKEVVMAIFNIENMKSALKSFEMDVNKMPLGRLSRNQINLAFEVLNDLSELLIELPVNNDKILESTNKFYTIIPHNFGMKVPEPIDSIHKVKEKNNMLNALLDIKFAYDQICGGENPTMGTLGVDPVDTNYMKLKCAMTPLDKHCKDYEMIHDYLKNTQGSTHEIKVDLIDILQLNRENESTKFKAKIGNRRLLWHGSGRMNFAGILGQGLRIAPPEAPVSGYMFGKGVYFADMFSKSFFYCRANYHEEAYLLLCDVALGEMVTKMQATTMSKSTLPKGTHSVKGVGRECPEEIGDYLHPDGYIIPRGKNHFQLQGTHHTDFHLLYNEYIVYDVDQIQMKYLVRVKMHHARHR >CRE01216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:130230:133846:1 gene:WBGene00057126 transcript:CRE01216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01216 MDRVREIWRTILTKPHGATDDSEGPTLSFKVKQYCLARHVFNIQKRPIATDKLFKSSPLVVMNGFGDGEKKHLSLVQTFIQNMFPSINVDTLQIGSLKRCLIVSYDEETDEISMRHYAIRVVASGLNKSVRKLVQAEKTMGKNIPNLSTYKDISDYFLNPGQLSDSEFEGDQQEVELPQSISEQSGCGAGQKSNVRLHEIGPRLTLELTKIEEGIDEGEVLYHKHHAKTPDELIKLRAHMEKKKQMKKRREQESEQRVIRRLTIAKEQQDAEEAEEKAIRETAARRQAAATGQVEEVENEKEKDREIAMNRERDLKRANEEWGTTDNPKRGRYEDGRGRGRGAGFRGRGDEGSYRGRSGSGDRGESSGVYRGRKDDSNGYRERSMDRGGFLGRGGDRGGFRGGDRGGFRGSPYPTLVRIAGTLEEDPRFQAAHPDR >CRE01246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:127868:128751:-1 gene:WBGene00057127 transcript:CRE01246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01246 MAEKSDHFMMEFKGPAKQFVALVGDVGGAIRYELNKKMKKINDEKDSYYLETYYYSAKYGLKTFSALRDVTREFDAHNTSLMCMFVGYKSIDHLKDAKKIADAIKADTRVVVIDSLELCPEAENVEEFASKNEFEVVYLHPNQQQRLDAESVSEKVGVDRLIETMEVCNWPWRVVNAFSRGPRSSFIDKQEGDILELNVKEDYVIEGATAGK >CRE01215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:125149:125981:1 gene:WBGene00057128 transcript:CRE01215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01215 MDKKKQMKKRREQESEQRVIRRLTIAKEQQDAEEAEEKAIRETAARRQATATGQVEEVENQKEKDREIAMNRERFG >CRE01244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:121568:124386:-1 gene:WBGene00057129 transcript:CRE01244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01244 MSMTSSLLKWITSLFKLRPEMVELKNEKCSTFPLGKLPFLALQEVIRNMDIQEGIRLALTSRKMGFYVKYSRLSAGCPIVTIKDEMSNVIVKLSNIASVFLYNGFNADEKLEKTDKDNYIRRRELAPWLNPTLSTLQNTQNILVQIQNTFKCGRFRLVIDLRCIQTTIKNVLSVSDFQNFETLNLIKGKLKEEDMNYLMENVKEDQDLHILDTEIPNNYYHTNVSFQYMFKFYDIVYEDARFVKIEHLLTIKNNFIISLGKNNLTLSDINTFLKYWINSECDLFKKMTIKTTEEIGEETESNLDILFNGLVVLKGFRFGWESWLIAAKSVEPRKTHILCFELYPEEICLYAHSIEERLLTEDPKVDDEPYEPEFEILKLLEKKKDLEETMRIEENNERKLEIEKELEELDLQLLEKGVRMEQGRHVFGSV >CRE01214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:117858:119264:1 gene:WBGene00057130 transcript:CRE01214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-43 description:CRE-GST-43 protein [Source:UniProtKB/TrEMBL;Acc:E3N4S0] MSKPILYSYWRSSCSWRVRIALALKNIEYDYRPIDLFSEESKNNAEFVKHNPAKKVPTLIINGLSLTESLAIIEYLDEAYPDPPFLPKELDKRAYSRAIALHIVSSIQPLQAISIHKMLNEKEAGYGDFWCNHFVTRGFDALEELLRKYSGKYCVGDQLTIADINIPSIIYNAKIYKVDMSPYPTLVRIAGTLEEDPRFQAAHPDRQPDAPKKN >CRE01242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:111918:116121:-1 gene:WBGene00057131 transcript:CRE01242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-copa-1 description:Coatomer subunit alpha [Source:UniProtKB/TrEMBL;Acc:E3N4R9] MSLLIKFESKSARVKGISFHPTRPWVLTSLHSGVIQLWDYRMCVLLDKFDEHDGPVRGICFHHDQPIFVSGGDDYKIKVWNYKQKRCIFTLLGHLDYIRTTFFHNKYPWIISASDDQTVRIWNWQSRNSIAILTGHNHYVMCAQFHPTEDLVASASLDQTVRIWDISGLRKKQMPGGGAPSRPSGAQQAELFGQPDAVVKHVLEGHDRGVNWVAFHHTNPILVSGSDDRQVKIWRYNETKAWELDSCRGHYNNVSSVIFHPNADLILSNSEDKSIRVWDMQKRTSLHVFRHENERFWVLAAHPSLNMFAAGHDNGMVVFKIQRERPAYCVNDNLCFYVKGQQIRKLDLTTNKDVALCKLRHPQPFMQPYYSLSFNPAEGTFLLTSRTHNKDLCAFELYKVASNSDGTTEAACVKSTGINALWVARNRFAVLDKGHNVSLRDLTNKELRKLENINTAVDDIFYSGTGMLLLRNDDGLQLFDVQQKIITASVKVSKVRYVIWSKSMEYAALLSKHTLTLVNRKLEILCTQQESTRVKSGAWDDDSVFLYTTSNHIKYAINSGDCGIVRTLDLPLYILAIRGNVLYCLNREATPVEVPIDNSDYRFKLALINKRIDEVVNMVRSANLVGQSIIGYLEKKGYPEIALHFVKDEKTRFGLAIECGNLQIALEAAKKLDEPAVWEALGETALLQGNHQIVEMSYQRTKNFEKLSFLYFVTGNTDKLVKMMKIAQARNDAHGQFQTALYVGDVEERVKVLRNCGQTSLAYLAAATHGYTAEAEELKAELESRQQPIPPIDPSARLLAPPPPVARLDENWPLLASARGAFDAQLLGLGGQSGPIKTAGVKTTAPAFAAMEDDDADVGNDAWGDDEEYLVGEDGELEIDEGDGPVDGDEEGGWDVDDDLALPDVPDDQGGDENEEVVPNASPAVSSEWPNVSRLPADHVAAGSFETAIKILRDTIGVVEAAPFKEVFLKAYASSRLSHRGWGGFGPAGPVFIHPLRNFQDDKNHLPVAAFKLSNLAKKLQKAYQMTTNGKFGDAVVKLREILLAVPLLVVSSKQEVAEAEQLITITREYLAALLLETYRKDLPKTNMEDAKRNAELAAYFTHFDLQPMHRILTLRSAVNTFFKMKQMKTCASLCKRLLELAPRPEVATQIRKVLSAAERDNTDAHQLSYDEHNPFVICSRQFVPLYRGRPLCKCPYCGASYSEGLEGEVCNVCQVAEVGKNVLGLRISTLKN >CRE01241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:108599:111260:-1 gene:WBGene00057132 transcript:CRE01241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arx-1 description:CRE-ARX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N4R8] MSSHNLPACVIDNGTGYTKLGYAGNTEPQFIIPSAIAVKDKVASSNSQALRWNNRVGAGIDDLDFFIGDEALSPAASNYTVKYPIRHGIVEDWDLMERYWEQCIFKYLRAEPEDHFFLLTEPPLNTPENREYTAEIMFESFNVPGLYIAVQAVLALTASWQSREASDRTLTGLVIDSGDGVTHCIPVADGYVIGSCIKHIPIAGRDITYFIQNLLREREHTIPAEQSYEVAKTIKEKFCYVCPDVMKEFVKYDTDAAKWLRTYDGINSITKKPFSVDVGYERFLGPEIFFHPEFCNPDFTTPISDTIDTLIQQCPIDVRRGLYENIVLSGGSTMFKDFARKLQRDVKRLSDGRLQMSEALSGGRLKPKPIDVQVISHKMQRYAVWFGGSMLASTSEFYQVSHTKAEYMEKGPSICRYNPVFGALA >CRE01240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:107760:108505:-1 gene:WBGene00057134 transcript:CRE01240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnc-5 MDLPILYYDETEWAKTHTGNKVNKKHAIAGTQNIVIAGKTIIEEGVSIRGDLATVKIGKHCVLKSRCVIRPCLKMFSKKPTMCNVIIGDYVFIDEDCVVNAAQIYAFVHLGARAVLGNGCVIRECSRVLPDTVIPADAIFPPFSTIGGSPARVIGTEPLCAENLMTEAMTMYYDNFVPQQRATTIA >CRE01213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:106428:107641:1 gene:WBGene00057135 transcript:CRE01213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01213 MRTRKFYTESEDVRMLKWVVRNEDLESATGINSSSNYPQSDPLRIPLDPTGSRVTPQNFRLKIGSDNTDNVLFFEGHCSDNISFCSMRQYISRWKSNDVAVGEKRTIRSSPVEKRSPRVEKTAEKTKNTEIPIVTVENDCMHIEVIESRENAELPKNPEPMQRGKRQTPTNSQPAEIPVPPLHPEHSSQSESVVPDSTEPETRSFCAEMWNMEMKSVAEQGALSIHNLLHKEKAIDKEKLEKLFEKMFAGPGADQDDFSIISVRSPHSKQTRMQYFFKNFQELNRLSANPHVRFAVFLFIQFFEYEIVHSLSHVSLHIKNILELKLFQSREKYNEAMNRLTKIAIQIATL >CRE01212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:104825:106315:1 gene:WBGene00057136 transcript:CRE01212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01212 MAPKESEKKMMAEKVAKVREVVDNVTNNDIIMVLHSFDLDVNKTISAFLEDGASSILGGWVTSTGVSVTAAKKKKNKSKKPSESATPEAAPTAPVPTKSAPAPVVAAPASSSVNKSAAASKPVASTPAPTDALSAAFKSIRLALNEREKELKQSNSTKLDTSAILQAIASLGGSSKPITNGKPASTPAKQAVSPPPPAAGPIKHATSQSSITSSVGADSGVNLSPTHKEEKKAAPKTVINSGGVQLTSSGLSADQLAALQQTLQLTMAARGLDASLITSSENMPRRPKNNNNNKSSNDGKKGHKGAQKDQPKLSIL >CRE01239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:103206:104172:-1 gene:WBGene00057137 transcript:CRE01239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-1 description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:E3N4R4] MSKVSRESLNEAIAEVLKGSSEKPRKFRETIELQIGLKNYDPQKDKRFSGSIRLKHIPRPSMKVCVFGDQHHLDEAAAGNIPAMSADDLKKLNKQKKLIKKLAKSYDAFIASESLIKQIPRILGPGLNKAGKFPSVVTHGESLQSKSDEIRATVKFQMKKVLCLSVAVGHVGLTQEELVSNISLSINFLVSLLKKNWQNVRSLNIKSTMGKPQRVY >CRE01236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:97953:99706:-1 gene:WBGene00057138 transcript:CRE01236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01236 MSITSFLSKWITRLFKLKKKKVELKKDKCSTFPLEKLPFLALQEVIRNMDIQEGIRLALTSRKMRFKYTFPLGKLPFLALKEVIRNMDIQEGIRLALTSRKMRLNVKYSRLSVGSPIVRIHDEFSNVEMKEDVFLFNGFKIYKKANNSKEDKYIKRSELEPWLNPTLSTLQNTQNILLQIQNTFKCDKFVLVIDLRCIKTTIKDVLSISDFQNFETLNLYKGKLDEEDMNCLMENAKADQDLHIYAEVPENYYHKNVSFQYMFKFYDIVYEDARFVKIEHLLTIKDNYIIDLGKNNLTLSNINTFLKYWVNSECDLFKMMTIKTTEETGEETEANLDILFDGLVVLKGFRFGCASWLCAAKSVESRKTPILCFEWYEDEISLFAHSIEERPKWIRSNNPMNEERLEEDEPYEPEFEILKVLEKKKDLEETMRIEENNERKLEIEKEIKELDLQLLEKGVRMEQGRHVFGSV >CRE01211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:96170:97495:1 gene:WBGene00057139 transcript:CRE01211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01211 MGGRQSSVTEERPRSNSVDTAQVSIVSSHNSSSQRQNVMTAAEFMQTMRNARFLSSSSDSNASEDDAGPSHSVHGGRSRGLHVRPGRLHGHHSRRSVPVFMMDMKCPVCHKVVPSDDADIHLVMCLTRPKITYNDDVLKECKGECSICLEDLEAGHKIARLPCLCIYHKQCIDDWFKRKNCCPEHPGDD >CRE01235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:92027:92634:-1 gene:WBGene00057140 transcript:CRE01235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01235 MTVVSVDTKSGYIETDNDRLIMVILLIFLPPLAVFFKSRGCTSAVCLNIVLYIFLILPAYCHATWFCFIKGREHEVRAELSPARRI >CRE01234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:87756:89941:-1 gene:WBGene00057141 transcript:CRE01234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01234 MASQFLRSTNSRYVAGVLAALGAGSALYTLTPQTVQAKSEVDAATIKKIEEAYAKLNGPEGAKCKSLLKKHLTKDVVDKLKAKKTKLGATLYDCIRSGVYNLDAGVGVYAPDAEAYTLFAPLFDKIIEEYHGFTPKQKQPPVDLGEGKTKEFPPLDPKGKYIKSTRIRCGRSLKGYPFNPCLTQDNYLEMEGKVKKAFAEYSDKELKGKYYPLDGMSKDTQKQLIADHFLFKEGDRHLQYANACNFWPKGRGIFHNNDKTFLIWVNEEDHMRIISMQEGSDVGKVLDRLIKGVRGIEKQVPFSRDDRLGWLTFCPTNLGSTVRASVHIALPKLAARKDFIEICEKLNLQVRGIHGEHSESVGGVYDISNKARLGLSEYQAVKQMYDGVKKLIELEEKEK >CRE01233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:85616:87275:-1 gene:WBGene00057142 transcript:CRE01233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01233 MAAPTKLELISLPGRGRAETIRLLLIFTHRKFTDTRLTLAQWKAKRKMAGFNDDTKLPVMKINDTRTIIGVIDICRHIALQYGNDRLRPLLQTYRILGLYGSSSGDQEAIDEVIQKLEELNTAMNPILRATLTKNYDARKECWNVFKEESLFPLLRKYEELLGEQRFMVGTQYSWADIALIEFLTRCQQCYDSFYLAHFERLRTFCQVFESLPHIRPYIQGRSDSFF >CRE01210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:80168:81909:1 gene:WBGene00057143 transcript:CRE01210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01210 MKLFKFPEVVQREVLRSMNYRELFFLSTCSKISFKLIQKFRIKLPSMGYHSSKTSVCIGMTMSNGQGQNFVNMNSQPVSDPQPTQMFKCVVSGTPLFFSVQRQFQYYFYTIAFDTTTADLIVESIHRHLNLLFFEENNEHMVTVTMSKNLICLPKLSNIKRSSISGSPVTADILEMCISKSYDQMSLIMFTSISGELKKDSKLFQVPYLTLYHTYNLTPVLLTYFNGISGFFDRALFTNTDVIRFLKMWETGESFVKLRALVVGMDYGNQLNEVEILREFCVKPWDNTQKTRKAQWNDERINILKPEAGQIFDGWEITTKSGNKTASIEIRTSSFRFLTWI >CRE01209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:75689:78699:1 gene:WBGene00057144 transcript:CRE01209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccb-2 description:CRE-CCB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N4Q4] MHSVARENLQAYLHSYKLSSNEPGIKLMHNSQKDDQHRKDVEYFAAIRSLQHAKVDFFTFLKSKKANFQRKPVAFAVQAMRDYDGSLDEKSPLKDHTISFQTNDFIHIHAIRERREADALVSLRLPSYSLLYPFLNFLNPQKFNSDWWIGRIVRSHSEFGFVPTARRLANYMVSDEDPTTVTTSQNTSDHPGQDRSRSIWEPKCPYKVVPSSRPIVFLGPTLQHSRLTQLLHLALREEILKYFGKKIKYVKSDIGSGQQTGDRRSARWLRGLRDSDYDRGQDENQEVELIMRMTSKLHLLLVDSPHIHTPDDVQHLPLSPIFFLIRVSDNKILAKLLRNTGTTRMAAEIEVANVLKTMNDDRVGGHDDEQLIARGFEMVIEENGLKEATWRIISYLEKYIHALHYHPREEEK >CRE01231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:57616:62603:-1 gene:WBGene00057145 transcript:CRE01231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pop-1 description:CRE-POP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N4Q3] MADEELGDEVKVFRRDEDADDDPMISGETSEQQLADDKKEAVMEAELDGAGGAGSSGRVPTIGGLKAEAFIKAEPSPSFPMMPMMAGPGLSPAYGLPMMFPFFMGSPYGLRSPGMMSMLPMSALSPQFGMFPASPLYGAAAMAAAAAKQQLENAAPLHMRAGPLNPLNQMRMPPYMTHPMMPQNNERRGHGGGKVKKDDHIKKPLNAFMWFMKENRKALLEEIGNNEKQSAELNKELGKRWHDLPKEEQQKYFEMAKKDRETHKEKYPQWSARENYAVNKKKTKKRRDKSVASENSDQKKCRARFGVSNTDLWCKFCKRKKKCEYATDRSGSDMTDTQDGRGTSGACSSSSESPSPTGNNGIPLATQQQHQAALMHSMLMQMHLGSTSTHVPSPIASSSAGRSPLDANASDSESDVDEDEDIDPTILQQTREVIMQESVCTL >CRE01230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:56695:57199:-1 gene:WBGene00057146 transcript:CRE01230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01230 MADDAYEFLSPDLLAPPPPITGPAPGGPANPETPPAPVNELAPVSAAEKFEVPRTAATKDSKSKSKSKDSEGKAKGKGKKTRTKRSGRGSKSRESSEPLPSLSAFKWQMIFSAVLVFASVALTLMVLIDGLM >CRE01208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:54944:56060:1 gene:WBGene00057147 transcript:CRE01208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01208 MISPSYPVCAYAALSQKRIIAGIAAENTRQTIIRILREKSSVVHQLVLNKNQVFDHGFPVEMGDQVYIQPNEFQRNQEMYYAENDTFRVCTRCHSTFHVEKDGSQTLVWNELICQDVDGNGYNYHIHTQQPMENLKKFKKAPKVNEWNMEMSGKLFAIDVESVYTTKGQEVGRVTMVDFLGTTLIDAIVKPKNPVIDYVTKYSGLTSDHMKYATETLESVREKIFDHINEDSILVGHALNGDLKSLRILHSNLIDTSILFKSNGRRPSLQKLTLTHLNREIQNSAGGHCSKEDAIASLHLVYFGLINPSLLSPIFRVSCSIL >CRE01229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:47808:49877:-1 gene:WBGene00057148 transcript:CRE01229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01229 MSNGDSQFSIVILSLPSLLLISRLSFMHFLFFFSFFIVSITAGIVDRRKQEDDDLKFSIGTIEHGQNYGARPYLQIPVDGQKLTVPKAFYHYSENEMSAGASFGLPDETRLLDTDGLFVNNRKEINGIYLPIPNMDPINLHFVNQKVFEKGIDTRAHEESPESVLHRAKMICLTGSEFECERQLLKYHRAKSEVLRREKMPLHEQLMELGQLSSSAKIHDKEGNGMGVVVGMPGYDPLYIGANLGHDNDQDLNIRFAPPA >CRE01207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:46410:47673:1 gene:WBGene00057149 transcript:CRE01207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01207 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3N4P9] MAIDSLGLFAILLIFSSFWITVCGGKKKKKAGPKPTQPPGKSTETAVPLNTPEKTPEKTPEKKKEQTDTLKSLDKSKKSGTEGEKKEEKKEESEMKKEEEKKEEEEEKKEESEMKKESEMTKNMSKVDDKKVEDKKKEEKKEEEKEEKKEEKKPEEKKMSAEKEKEKKEEKKSPEKEKEKKPEEKMENDGGNEKPHVSADPVGPLLFKADQSSQSKVVLKNIHDKKIMFKIKLSDNVSFQVNPVFGVLEPGKTVDVAVTHRKSTPKEGKLVIVNTVLTGDEKKVAELFKTVTKPTGGALTVKWSAK >CRE01206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:32705:44697:1 gene:WBGene00057150 transcript:CRE01206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-itx-1 description:CRE-ITX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N4P8] MWQLLLPLSVFLTVSAQQAADVPTHDRIFLKYPSSYVNFTSDDWKLRETDDQLIIAVKFQASSHVGQIYSVRFIHPNGTLEALLTVGVYEGNLQIDLFNEKFTRILNKPLETIPINVHEHSLAVGLNSTASNLWFQLGQGDTSNCEINYPINISNTEVTVTIGGGGQAMIGCVSIVAVSAGNGDPVAQGTPISYQDIESCPEANECTTKDCNTGRCLLLEVPTCDCYATGKTGPNCRDPVSTIQLRNNDENDEASAYLRYKPWQPDQHITRIAMDFKFSDSDAKEGILVYGETNLLKIFKIYVVGIKGKVMLGSENVIDFELKEDHSDFHSIIVRLGDGIFNMYVDTNIIRRQFNETLYFTTLQFGAPIPTDVSEVGVTACIRNVYVDHNDIINLISTMNDSRVTASKIRPCVNEDLTVFQGPQLFSPDPVVMITNDPESDGGRPEDGDFETNTANLFPIPGNKKKPRPLPATSCEKANAYMCQNGAGCKKESDTEFTCFCRDGYIGKFCQFTTLPRSCAEARDFLKLPNGPTWVDVDGNRKLDPSVTLCINGETQIPHDMEPSTVVRDAGFQNHSMFVLSYRDFNTWQLAKYIKNSGSCSQNINYRCNKAPLNFKEGKTWFKSVSNSTKKIRQIGILENSCPCLDHGCQSGDKCNCDSGAIAEDNGDLIGKQAGISEVVTLFDEADVRAEMTISDLKCSGYENEKPIRFTERTELKVSDWSGESVDFQFRTSDTPATLVTIRGNYGEKIIGVSLLDGHTVQINNFEAVKIIESQNKLNDSNWHHILIELSDGEIRVTVDASHVLMSIMDNAVLEGSVVLGEEGSGLIGCVRNVLVNDESVDLYEVVKNSEKKGISETCDTRCTPDFCQNGAKCYEDFLTGMPYCRCAFPDVHSGLNCEIDINSNSSVSFHGGFLKFEDVEDVLTSSIYFSFRTDKTHALLFFAHDQNNNFLQVHLSEEVNVTLTLNNLDIVSQCTVRAQPGTEFGDMRWIQVAISHDSKSSQLQVDDAACTIRTSRILAQYPINRLLDVYNSEIIRMPVGFAAPMNPDPYTFTFVGNVDVGSKTNDGSFLLAPKYESPIPRFYGCLRGLQINRKLIDMRAKTESLETSEMYKAYGPVQVKSGCETGCSSITCSNGGHCSVAWTNTDPTAVKTTCDCSRTSYLGATCSLDEGIHLEKNGFIEFDISKEMKMYLFDNGQKIPQLLKFAFSSGMVEELDDKAVLASVIFKDKRKLEIEINPNRTINVAITYENGKSDILNFVGDFLDGYRHFVVVQTNQGSATAVMIDSLRQDFEYRDYERNIDMFSAALIKIGDQSSETEGYNKFGFEGCISNFLVDYQRGSSLTFQPISYFANPSHHYHKLIESDKVTLGGCAEFEVPNSLPVYQNRVKFPIWETDFKRFIYHGEIENSDDSDNNDWSDEDSGSLMWVIFIIFVLLVIFLIVFCIFMKCCREKIHARDDGYHHDEDLPLHMAPTILRQPQPSPPPITKSLASNYHVPSPNVDFYKDDHHTRATSITSSGISGYFTAQENIYDDDEEDGGVGDDLVDGTIRDDSDNDTIRHIDDEGQDEDGMVIVSRPTQVSHLPQRVSSFRTGDPTAPKDSPLYSSPRQPPYPVHVNSPVPPPRMANTSQVSSI >CRE01205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:18885:20134:1 gene:WBGene00057151 transcript:CRE01205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01205 MGPKKEKQQQQKSSTVPKKVDTSGKTPAELVYELFKNVEAKYTEVDGLHRCLLLVDGLEFHMDASTKKVAKQLCAQLVLRTLRSDLHVTPFEELVTAKPNPDLQKNAGGDVAPANPATGKKAKTLRDDLEEAKEAARLAEKNVKELRDLAVRLTKTIHNDDMDMKKKENQQRYQDLQDKKKAELRAALELKKEKEAIVEELERKISLMEKGDVGVEEDHFCRGSL >CRE01228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:14159:17356:-1 gene:WBGene00057152 transcript:CRE01228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01228 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N4P6] MQRRRVQRGNNGHSQDDGTSRKLSKKPSEDKCDDKDKTKKGTNIFRKLGFVLHADKDKVQDNGSTLKRRKQKKGTERKRRPAPVWNPDKKVHFNIEKTHASIPLKGEPISDIQKKVFMKFATEAVKKSPPEYSMEFLTKIKPYPGQPLERKIFDANPTKNRYKDVVCNDVTRVILNDGSDNDYIHANYVNGLNTPFILSQGPTAATIIDFWRMVVHTKSAYIVMLCEITEDGKPKCAQYYPEKVGDSVTYGAWTITCTLEDSQDANIIKRSLTVKNGENGKEHSLRHLHTKSWPDRSVPKSTMCLLRMLYSIRTAQGPVIVHCSAGIGRTGTFVAIEACLQILTDGKELDLLATCKALRNSRAGSVQVDIQYMTLVQILLNYGKDNGYWEDADLDDRVELLTWNIEQFIQTRGRVDHILATPSTPALNLPQGNTQAVTTTTTTTTTAPPPPLKEKEPTILHSPAQKAQECIEKICEKILKPLKKDKDHQKDHHKEHKSPKEEPKEHPLPIIVTKSSTEDGDDTEEQRGGKHQKQETKEIKEMVQPPQPVHKEDDSVMTGTPGLPPLPSPVLNPSPNGRKEMKISTDSRDSLDKTVISREFLGDNRSNEKQKVDSKEADIKLMKKVRTSGHADLYKFLLSAFCQLQSILVMNLRNASYSLF >CRE01204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:7662:10267:1 gene:WBGene00057153 transcript:CRE01204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01204 MSIHVGKPDSMQNELIQIVASGLEPHRMYTFRMTLKHDYGTHRSEATFRSDPVGRIDLKHAKPLRGSYYEPDPMGLFLGMKPCEDFAYGGYLRCTPPVPFYYQLQLFNSVGALVDQTYIKKHWMHPNLERIELEDDGFCATLFKPPGDGPFPVVLDISGTGGGIHEHKGAMMASEGFVVLCVAFFQYKYLPHKMEEVDMNYFSKPIDYLVNLPYTMNIIGIQGVSFGATIVDLLATRHGDKIKAVVSINGPQVVSDYLYMKENGETIPHLSHGQVMLEHCQFINGVMCSHRNFQIMTEKLTPETEIPWWRIPSDVKIRVIGSVDDLCQPSVHATLYRQRRLRETGHEVERSRTHIIYFFQVEMVNGGHIMEPPYFPHHELVYAKYQGFYCGYGGEVLLHAKSQEKTWANSIKFFQRVLGNTTKIPDWDRLKVIEAPRGDSKL >CRE01223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:3858:5411:-1 gene:WBGene00057154 transcript:CRE01223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01223 MENSNSFPLFCLPRIVIEEVMSTMTPFEMINFSMTSSRFKFFVKSYLSYKQNSVYKRISFATTEEPYVSIKGSEVSFQFRITSEKKKNGRREYIEYMGRDKTERIWVYSEDVVDNWMKLVRTAKEIFTFNYHIFYFNLNKFPASNRSIVDFLKTQTESIEWCEFDGLTGSRTDVEYFFNNINVIRHVAICMKLSDTFSFPQVDYAGSLEIAYGNWVTFNQLLQFRSHYITIYNSPITNRELNMLLLHWMASEYHQNLMYLTINIKDPQSLDTVFNLPYEIVNSDVERTGRLSNNTTISLQGNIDIKRNDGMTGTIKLEWRLNELLLKMVITRIQ >CRE01203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:1831:3196:1 gene:WBGene00057155 transcript:CRE01203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01203 MPTSDSFHKINLKATKNASEMEIRGSGKQVCSAINLSTERTLLHVTDIVILVVQWFPIQLLSMLLIFCAKRKQNRPVTGTTSQTKLAQTNGESPKTDGTPVTPGKTETPNKEEDDDEEVKFNINIKKQEEKRDEFDDDEENPLAKINVKAKKNAGKKGGAGKNPGQAKNAEKAKVKTKEEIVGSERPFLAPNEKQYGVSCYQFEPSQSQEPAPPPVPKKVAQQKSFDGNRGAGNQTRTVVTAPTAPSAPTAPTQPSATTGPAQTKSILPSVLPTIETNTKSTISLTTKKKPKASEQERTSNEALEKTQKGEGEKI >CRE08316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1376188:1383206:-1 gene:WBGene00057156 transcript:CRE08316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-94 description:CRE-UNC-94 protein [Source:UniProtKB/TrEMBL;Acc:E3M3M3] MEPPASQPPPPMFPRSRLYHSYYSEEKTFSAPSANTQQGNNLPSKVYNKGLKDLEDNDIEGLLSKLSIDELEDLNNDFDPDNSMLPPSQRCRDQTDKEPTGPYKRDNLLKFLEEKAKTEKDWDDVCPYTAGVKRGKVYDSDSGRNSEEPDTGKMEMPIEIDLDDDEEELECALVSAPEKDLVDLAGILGMHNVLNQPQYYNALKGKTQDETTGTTFNGIIQSYVPKIVPDEPDNDTDVESCINRLREDDTDLKEVNINNMKRVSKERIRTLIEAACNSKHIEKFSLANTAISDSEARGLIELIETSPSLRVLNVESNFLTPELLARLLRSTLVTQSIVEFKADNQRQSVLGNQIEMDMMMAIEENESLLRVGISFASMEARHRVSEALERNYERVRLRRLGKDPNA >CRE08136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1373591:1376043:1 gene:WBGene00057158 transcript:CRE08136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sir-2.4 description:CRE-SIR-2.4 protein [Source:UniProtKB/TrEMBL;Acc:E3M3M2] MTSVYESLLSDYPDKGVIGKPEIRDTEAQIIDKLRTLTDHFRNAKTTGKPIFVLIGAGVSTGSKLPDFRGKQGVWTLQAEGKQAEGVDFQVARPGVSHKSILALHKAGYIKTIITQNVDGLDRKVGIPVEDLVEVHGNLFLEVCQSCFREYVRDEIVMSVGLNPTGRNCEGNSKTGRPCRGKLRDATLDWDTEISETHLDKIKKAWKQTSHLLCVGTSLEIIPMGSLPLDAKTRGIKTTTINYQETAHEKMVETAIHADVKLVLYSLCNALGVEVDLGDDLPDDVPVPLKI >CRE08135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1369982:1373229:1 gene:WBGene00057159 transcript:CRE08135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08135 MFSLMFYLTQCKISLPPHTFRASHPLLTLVANRRVVCAHYSCFHCLSLSLSSKNQFLHRFPTITDITFAMDSTEPSCSSHNFQPNDLIWAKMKGFPPWPAKVLERSEDTPARRIPVMFFGTLETSFMKPTDLSDYLSNQSIHEIPRKQKDFNKAVQEIRKAAGLQTDSDEINFPTIERKSPPSSPTSGRKRQTSGRFLDAIMAGPDPSKMRGQRSGSASTKTRSRASSNASASKFLRDMMRKERHRMNSESSHGSKKKLLSNALLGGAPSTSEAFPDYADSTDFNALFGEAIFGNFELNDDAKSWKSRRSRNSSKVFDFDLLGRSRNRSGSASGRRSRMISLSGFSGVSDMFDEIFNNPGDLESHADQLMLTLNNMPSDGSIDRPLSPEMLNLNPMLQPAVEFCDTCGFECRIVNGIWKCLAAHCGVIKTQGGATMNAVREMLDEPLRIPKLEIKTECLSDNSAEKVNLLPAKRARKPKRNSDDDDSDDEEASRRKALMLKKKKRVQVLSPRSRTTSPVPQNISTSSGLLGRSNSPTSSSLRIRDRARPKHYKVEKTPPISESGHRHCVNCNGQVRPQMCGGNRHRWRCVDKKCRKWYGWVRSHEEIPKNTGRKKMATFKSPITKPMKPLKPKKEEDEDTYPLKRKVGRPSKNDIKIRLRVQKEMEEKQAAANAARAAEMATNASVAGANADSTTPQQQQRRKYTKRKKDGLGGVTGSKRDFSPFDEDVKNRPTSPLSDLAASYRPSAMEKRARWWTGEKRRVDASPERDLGVTPADSAAAFRMISQATRAAAVTRADEVGTINGSLDLLMDSLLGSMGPLLATLTQLPAFREDNPLALQFMNASAVHIPTFQ >CRE08315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1366663:1369645:-1 gene:WBGene00057160 transcript:CRE08315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-40 MVSREQWEDAYHLAERMYMEERSYKQSELNVLEKIICSRYDTIFKYDPYTDEYSFILQELKFEVPVDPHCRKIAEADLENLKINTLKVPFEVPSYVTVTPIYVAPPSTMLIFNHSQPVAEGLEEALQKASPGLTVNPSETEVTPGGEFIFKHKNGKCYRCIVISEVSDERVGESDRRYEVAFLDYCQIVPVKLKTLYMPIDLTLEKYPCALHCVRPLGIASFRSVYLPEYNNDIRTFYNDRTRRRAGVPALIYGKNEDERKLVMDFPSLRGSTFTNSEEIKCILGHQGEARKDPVHLTYHQLMNKEIPELEYPEIEEPEEQEEEIVKEPVVENNNREDDEDDPVDLDDSDADIPSSTMQVTLKTVLDDECPYGKACIEKFMQQARELPPSRKQSESSPLELPPPSLAKKSAPVPQQRNLQEEIPFDARSNASMSSLQSTTINQTASSNPTPQPTVDEQEIEEITPKKVMSKNDSVSSDGWDTPKKSDVKVTSPVKVWRDEFGTTQKPLMFQKSSAAAPIIRSEEDNLVRTLKSSSPSPPVQRPLTPTPQIVIPANVEPKKSNPFPVPLMNLQTHTPEKYKLSAGFPAKQNEKKFGEMFGNNAEPPSFPAVATIEKTHSSLFGQPPVLTDNKDRNSSFIQWKENRVESSARQSQHSSGSSFACNTTKHSVKQNEQTDDDNDWGSRTFVAAPDQDANISKASTNQLISKAQDNFQDSENEDGWEVLENTKNQPKDLTITDKIFANVIPIDKAAAMTLSHVVDDENFSRRSVCSGDFGDNEPDLERGADSETIVIVDEFAEVSDSLKQLAVAFITAIRDAAIQKNRPIFSYEMIGMESISQKMTNELDKRFWKIKVNEAKNFDGAFD >CRE08314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1354056:1362649:-1 gene:WBGene00057161 transcript:CRE08314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-avr-14 description:CRE-AVR-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M3L9] MWYHRLTTLLLIISIIHSVRSKRKLKEQEIIQRILKDYDWRVRPRGMNATWPGTGGILKASSDDVLQNILEKKTLEKHFYTGGPVLVTVNIYLRSISKIDDVNMEYSAQFTFREEWTDQRLAYERYEESGDTEVPPFVVLATSENADQSQQIWMPDTFFQNEKEARRHLIDKPNVLIRIHKNGQILYSVRLSLVLSCPMSLEFYPLDRQNCLIDLASYAYTTQDIKYEWKSTKPIQQKDGLRQSLPSFELQDVVTDYCTSLTNTGVTTLLTMTTQSSGINAKLPPVSYTKAIDVWIGVCLAFIFGALLEFALVNYAARKDMTQVSQRIRQMKQLPTDGYRPLSSSQGRSSFCCRIFVRRYKERSKRIDVVSRLDAVPARVSLGVTTLLTMTTQASGINSKLPPVSYIKAVDVWIGVCLAFIFGALLEYAVVNYYGRKEFLRKEKKKKTRIDDCVCPSDRPPLRLDLSAYRGVRKLPIVKRISELLSANIDISRRVDLMSRLTFPLTFFSFLIFYYQAYVKQSRDP >CRE08313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1337034:1349420:-1 gene:WBGene00057162 transcript:CRE08313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hum-7 description:CRE-HUM-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M3L8] MSFDSISAGATGCFPRRAHTINATDDAHTHTVAVYMHSFNPDCENDTVHLEVHKRCTTEELIEKVLAGRAELEGQSVQDFDLFEMMGTPDGQTYKERRLDPGEYPVAVQAIWSRLPVVVDSATPKNRFVFRHRGYRAATGGTRFGSAEVASSIDAFLTKFLVQPQDREYADLCMLPELTEQTLLDNLRERFANGHIYTYIGPILVAVNPFCFFPIYNPKYARLYFQSKRLGSLPPHIFAIADVCYHNMLRIKENQCVVISGESGSGKTESTNHLMSHLISLSQKGSTGCSTEQTLLSAGPVLEAFGNAVTLTNNNSSRFGKFIKINYRENGMVSGANVEIYLLEKSRIIFQTKGERNYHVFYYLLEGADEEERKKYFLLKPHDYKYLNQNEPFALEGVNERNEFDRLRHAMSSVGFCAKTQQTIFGIISAVLLLGNITYIKRHGYHSDESGYIENEEVVDLVANLLHIKTDTLMQALTMKRHVMKTETVVLRYSVSEATNTRDAMAKCIYNSLFHYIVLRINQALLKKDFSAGKGYYIGILDIFGFEDVGSQCNSFEQLCINYANEKLQSYFNQHIFQFEQEEYLKEGISWTNIEYTDNTECVQLFQSKPYGILRLIDEESNINNGTDDSMLAKLNQFLKNNEYYETPQKKEPAFIVAHYAGKVKYQITGFREKNKDLMRQDVLNALKSSTSSVMKTLLGIDPVAVHRWNVVRSVFRAMNAFKQSAKKLQKSESAGHLRVMDSIVTSPRRGSDSALSAFLRGELRCEVPDFCDTSMFNTIRNQARRTPAGKSDDKMSLLKSLQILKEAIGGRRLAKKPSSVSKQFEYSLTRLMSTLANATPYFIRCIKSNNDKIANHFDDNIILRQLRYTGMLETVRIRRAGYSVRIEYPSFVQQYRILLRNGRDSTVDDVKEFVHSHPSIDNDNIQYGIHKIFMRDAEKLILDDHLHRTIMQSIDTLQRWFRTMLARKRYLRMKEGIIKIQALIRGSIARNEVRRKALAAQTIQCNWKTYKERRKYLSTRAAVIGIQSAFRGAAIRKKIAEIPKNNGNGGVNNIKKSPFRVRKVHAVNLTKFDLNDPNSLAAFALSDDDSDSDKSTQDGLDDDISEESDAFQDDDAVDVDATFILEDTKLKLIEGADLSHHRRQSLAPTASTTKLKMLRRANSTESNNFSVSRCDDSFTFQLSPTHSKKKTAGAGKLGFQKAKKNLKALFGRKEDEEEPSPGPSFSSELTPDVFIAKEHQFKMSRLHRQEICALCNRHLTNFISQAHKCQRCKMCLHKECFVFASSIPCNPTSPVRSPTRLHSPKRPWDLMPHKQRQSSSPIPTGTFSLTKTKQQTDPGNMVVESTEDLRQFSVFIFNKTSGLAGSATKRDTVVDAVFKKSLRAFHMELLGYEAVLSVDQSVLKYRDVITMFEGLLTKTCMEENVSFPTTLGVNAFRGFLNEFMHTQSKKKRGKEKSSMIKVHAGHRFRADVVHVPTYCEVCNQLIWHHEKLFICVACRISCHKKCQPKVTHPCHLIGKTIDPQTNGGRFFGASLTSIIDDDHTVPPLLDRLFFAIETRALFVEGVYRKSGSLPQVRSIRKVIESTADAESVNLEDAGVHVLTTLVKAFFRELAEPIIIFDLYENFLNVSEVEDMSERVRCLSVMIELLPKPNRAVLDRLMYHLARVADQEAVNKMGCNNLALIFGPCVLRRQDSAHAQEQLNDVGRQTGCVQTLIEEKLKQYKATLHNIVELEDASHKKSAIHRIRKTRIVFQVSANLRKIEEHRRNSEPSKTSPNIGTAKQLFEEQLEFLGKQKERLLQELPPLAPVASSEDLSSSDENAAASSSLSVEEYALDLDAPPVFCLLRYPNKLRPPGPPHRRRPDWDLRRKAFANSRGIVSFYSSPV >CRE08311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1331803:1334122:-1 gene:WBGene00057163 transcript:CRE08311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08311 MANSGDNEIVLEQSKGFVKLYDIRKSTQCRWTFDSRGQCKSIHENGQFVCLEMDKDVRLLKKSSGEETTRYVLIGVGLNKISLFCRFSLQNNHALCGVLEGNESEDIFLVINKLKSSSVEVYGVNAYNNPKGKYVISYQAHQSPTTHFSKYRRDLYASADQDGNVWIQFGDTRAPSMKDAARKLYKENQHRKKHEKSDKGLLGALKEVKRQKEKFLKAKMEDSEKSKESTVETPTSRIDDYCFVRDLARGGFGTVAEFTSKKNGMSVASHHFQTFPRSKKYTDQYVINRELLNATRIFHENVEKMEVSVADVLEQNGKLERYDSAMIMKSMGTGLLYCHRKGMIHRDVKPENILLGSDEAIKLGDFGVSTFEDCWSLLGWSGKKKIKKSRSSGIQKVTCGTHDILATLSNIELISVLLILPDYRYVLLPFM >CRE08310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1329402:1330298:-1 gene:WBGene00057164 transcript:CRE08310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08310 MSKMVVDCGICYDDYDSKDQVPCIGTCGHTICDRCRLSMTSSRCPHCNRKEAFAVKHVNKQLWDLIQFSNFVFGKNEEKSDVDMKQCSECHQPAKRLRICRDCCLNSGAAPKHLEVEEVSESEAKSICEKIRSQALCGDCIIDGEHFRHKTVNVDTFIDSYYSFLRNEK >CRE08309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1326059:1328620:-1 gene:WBGene00057165 transcript:CRE08309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08309 MSNRLLPINFNQTSQQKNRGKRAIEIRMMKILVLFCLLSVCSAEKEGFSAYYPLYEKEDIDHSALQYCYVFQGTIKPVDVNNVQKGYKCEASSSTWVKDDSHAMDFCTSRIPYHIIKASVNPKGGTLCTFQINLKCEIGWFQMRNKCYKVFAAAKNYAEAEDYCLQHKPEFKTRIAEYYDGHLSTYIGDFIFNDAWVSAPEMEELHAGKGLKPILIMNGAYKYDSRKGVVLMIKPELKREVICEYSPPMTMAEMYLMAELYSEVYPIHVTTNGASFATSSYMTIEQTNLRQNQKGNLAETFDTKNIRERCQAIGNILSVDSHPMASIQEEFDTVKSQLTDHRFHLTSAYKNEGCEKTTYKDWDFATDTLLSVYSTVHQTSNAFCKAFSFSFHPKARLPSMAAMRAPALCSLRKFEIENEEKLYYVSDSFSYEYDDCEPGWITAYRSKTTKFCHYIYTEKEVTLSEAKRACLNMNAALTGFENEEEFKYVQKECEFISDQFIYSCLEMFPVGYGKPGYGAHFWLGGTVPCKEDCTDLTYKASWDLGVSRNTHFLNNFSHEGFGWGKYGDEDSISFRSDTVSFHTHNANGWGTMYYICGKYPKLSVKKMDIKNVKQG >CRE08308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1314171:1322218:-1 gene:WBGene00057166 transcript:CRE08308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msh-5 description:CRE-MSH-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M3L2] MSTWRYYNSKRGNYFRGRGKSRGRGRGTGLTAISLPREDRFRNGLDNDAFKDMPMNPKEFRDETVLSLSFGQNMLGAAFYEQSSQLVRIMNDISEDHEFSYLKRLIEEVKPTIIIANRSQDLEFIKLLSSRYATHQKLDKNTTEESTDDTIPTWDASMAYSTDDPEDETDDMDDDDFEGPPATLCKLPNNFFKMPRALERLKMLVGSEDNKMTEEEKYTIVTMRFDIEAVNMMRSFGALLLFLDETRLGVESEPLTVSPPIKSIKTITLENLVDIDYNTIQALDILPKEVESKKSTGQNKSLYSLIDRCRSTVGKKCLRKWFRNPTTDREILVSRQKCVHYFKQDWNAEVTSKVASILGRVKALNNVFQRFQSGTAKLIHWECFVSTVNALVEIVHIIRQTPISSELSVENSLLREVSEVAIITGSIINFAESKIQGRVTVMPGIDEDLDRLRDTYENMPMMLTAIAKQESARFGIPPYSNLTCVYIPLVGFVLSLPIDFPIESYRDMSLVYATSDELRVRNETTQRLDDEYGDILMKLIDSQTAIILILKTRVMKKKRSINKLLSLAARTDALVSMGLVAAEHGWNCPTLVDESVIEALELYHPISVLVVKKNFVPNQVSSGRNGVKASIITGPNACGKSVYMKSIGILAFLAHIGSFVPARHATVGVVDRIVTRMFTIDSVLDGMSTFAKDVEQVALALRKGTGNSLVIIDEFGKGTMTEVGLSLLASCMTYWMKKGAQRCPHIFLSSHFHALPKHIPNDNNIAEFLTFTVHREPGGKIRYLFRLTPGMVDCSFAMAVAKEEGIPTSILGRACRIYKALKSGTPLKEVRAEVSNENDEERVAEMDVVLEDESGFMDAIESFVKREKNDEAHRIIATDSREEVSTTISNRKVAEEYQKTRTNSVLSAKSISSNDQLSIFDALLPKRKKKVTVSQETTLNSTRSPDPFSIDSSDEDREQEEVVTRVLIPTLKKLASEEEEEHSTHSPKSKSSRTHFTEDMFCTPKGNNEFGGTKRPRSPSTFGPGPRKAPRQAIDTVAATQETQVLDTPIQESRARHQFSNHSVHSSMRTPRNEDMFKTPESRTRHQSSNHSIQMTPSQRSIYQSSIKTVGNEDMFKTPVQESRARHQSSNHSVQVTSTQRSTYQSSMRTPRNEDMFKTPTQEKGGRHQSSNHSIQMTSTQRSTCQSSMRTPRNDDMFKTPESRSRHKSSSNMTPTQPSSIHSSMRTPKNDDIFGIVAKETQEFNEFNFTNNSIFKSPRSSSRREMRGADTPTQHSQNFFSSPIGSQFSIFDTQQSFAGTSEDSSNHEMSFFFGTLNNNKNQNPEKKKKKVSEEIFTTPKSKNSGSQRSFFQKASQTSRNTVSPSSVVLGELVNDDLEKTPMPRGDEPLDFEFNMNEEDPIGREYTSPIFDFLNEDEEDDDTFLKRFPSSNKSLRIDTSGDETMHRIQRKK >CRE08307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1309289:1312565:-1 gene:WBGene00057169 transcript:CRE08307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08307 MEVRRTTPKSSPNTANEVSKQSSPSTKKQSTISKKSKKEETSLRPTGSDILHPLPFDNVTGTMTMPSSKEKANDSSPLSSTIDLTEKQQNDLSEARISPKKAKKSGEKAEEKSSIRLGNGMEEEKDDHRTNKLVGTQTESERKEIEKMPYSFENIPAAKFEPAPPKTKENSAEKVEESPKKPVKSEEDETKPKEEKIEKKKREIVENGSVEQTLSEPLKVLPIGEEPTPVPPPIPPPGAPLETLSPSSPRTSEPSSALIETAVERNAESSVSFDTSQSMYKVCRCRKKDRGALLKDSDAPVYDPTLKLDIPMTRVIPVTFTKYNPPNLLDAPTNGSTEIIELPDFFTSPIEDEPDNYLTGMYPMIATMTAAHQHACLINHLVENYEFFE >CRE08133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1300144:1305843:1 gene:WBGene00057170 transcript:CRE08133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08133 MSQETEETSNFNELGIGVEVAHEETIATDYSVDTGIDHTQSQSETAAEASSSSTSTRPRRNARKSVLYNNDDYELQLPGVPKEEDNQSVSLMTSELIPSSQKSFSKRARVGDEPLRGSKKRKTLPRLEESSISSQRLQPNMSPRQQIYGSSSMHPLGAEQEIPYGREADDDGPPILNMASSRYPDDRIHMGTDEIDDMGSFADEEDDYLDGEPPSLELNPPSKRRGRPRKGDDLGLEDGEKTTERIGDVDNKKEKKQKQSNPTQMVPRSSFRVQGERVISKALADRQYHVKLFEDEVDITENGDCYATVISGCLAYLLRKERIIELLTNDGEVAMIREGGWMLDKPPRLPPLVQHKACFAFYVDGSKIMSIKDVTNDDLKPWSSSGEHYNDPVIKPNVRRHPVARLNGRLQPIKGDPRLAELHLTEYSAWLPRLLRLRKKVFYLSRDGQIYGNVLILYDYTCPGEAPSVVNLPHGNDYLRSAMMETTSDPHMLDLDDSTPFEDEIVEGSRGGTYLRVKPNKLGWAHNKKLLLKYLVNEPNLLDQSNCLNRRTPLLPPLISSVGVFVYFVPSNFVANQIHHTGDGLSPWTVNVQPGSGESPSPRVRSTRRALEQDESGLFVTAKEGTPWQQTQLCLVETMSVLARCPRLRKRVIYVQRNNSIILGNVCYIYEYVRDGPIPAAYVKGSTPVGTPTAASKRQMNQWMEDKKSLEDNSQGPSTSSAIQASGDNNGVEEDQFIDVEDLEVVEEEVIGHDSMQEEEIDHQQVQMLQQEPIQEQILDEELLDMSQEDVLNNGYDVEFDDDPLTQQENSAPYFETPRQLDTGHIYLTVRHKRVASGFDTVLEWIANTNVVEERGLLNYAKPGHPPIVRNARAYAFFVSGNSIFPHDINRDDFSPWSHNGNPQNPTCYRTKVRKVGVICDEIASQFQIKDVDYKTCPFHLVFLYSINPREPRLRKKIYYMMETESRLVVSHALIMYDYNLEGDLPRMHGGYLKRFAKRTTKRALFNLFQPLQIHDVDNDVSDMSESEKECPFAVPSCMAEDGTMYLQLLDMDFWNDRNRQLHFLVNKPNLMLVFGKFKRNVFCFFFRESLGCLNNRVPTLPPATTNKAAFAFFVDGLEVDARNLTCDGLVPWSENNSTNPHGVTKRPKSAKQPLALNRDNQLRVWKTPAHRGEIIEFQLHVYTATLPRCPRLRKKVTYVLKNGHQIGHAMILYWYTEPGEMPTPINMNVLSQEYTLQRLPPHIREDVHTLLSRMSPPEVSKIILEKYGVSVNTKMLYYIRRREIMTVTSGGEPHQQDLYDSKNEMIEASGYVDDWTTPVDPSAPSTSGGHGRGRKPKILHEVLDDRDLQVSHTEEIEQQPQRDYGTGRKALEDVHQHPHHHHPLHQHHPLHTPDPKNFLAPRPITTTPGMIRGSHRSEAIWRIARNSFGTTTDSEIFDIIFKMLFDKNEQRLLQIVNQTFGVEILQGEEVMDNGDMIHMGNVGEIVEEIVEEEVVQTAEEAARQGGPMNEEKVYMGDKDLSDGMEQIVEEEVTDQLLEESIVEGVLVEEELNPNAVIIDDHHMRA >CRE08132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1298221:1299207:1 gene:WBGene00057173 transcript:CRE08132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-11.1 description:CRE-RAB-11.1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3K9] MGSRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSISVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHVTYENVERWLKELRDHADQNIVIMLVGNKSDLRHLRAVPTDEAKIYAERNQLSFIETSALDSTNVEAAFTNILTEIYKSVSNKHVGTDRQGYGGGSGTIIPAPPTEPPKKQCCNS >CRE08306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1295921:1297202:-1 gene:WBGene00057174 transcript:CRE08306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-7 description:CRE-RPL-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M3K8] MAPTKKVPQVPETVLKRRKQRADARTKAAQHKVVTAAKNKEKKTQYFKRAEKYVQEYRNAQKEGLRLKREAEAKGDFYVPAEHKVAFVVRIRGINQLHPKPRKALQILRLRQINNGVFVKLNKATLPLLRIIEPYVAWGYPNNKTIHDLLYKRGYAKVDGNRVPITDNTIVEQSLGKYNIICLEDLAHEIATVGPHFKEATNFLWPFKLNNPTGGWTKKTNHFVEGGDFGNREDQLNNLLRRMV >CRE08305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1292819:1295295:-1 gene:WBGene00057175 transcript:CRE08305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08305 MHRKTALLTTLPRTVTVPYYAPSCLFLETVLRSKSIPYDTVQCSLYNVLPREHLYPLIASDGFFFKNVMEGLDFLLAKYGKSLDSGLTPKERAQALALSALLDELTWMLAYSRGQDFSWLRDDRKIIEDFSLIQLYFWRNWIVPQMQKRTRRRVRGYGLSGKSAGKEVTIRTEAMLEALASLLNSNKYFFDVNEPSWLDCKAFAVLVQFKYTPLHNEARLKQFMKDRTPNLMTFVTRMKEDFWSDWITVSD >CRE08304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1291872:1292329:-1 gene:WBGene00057176 transcript:CRE08304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08304 MILFILLQSSILVFLLTGCGGKKKKAAKTSAHKQNQSKMAPPPPSSVKPPPVDTEVKPQPEEPVAPPPKAPSPPKPIVQDAKMAEMAPESIKEDEMKGGIKLPAPPKNMKCESEVQKPAEKKEEKDEKDEKHDEIM >CRE08303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1290050:1291275:-1 gene:WBGene00057177 transcript:CRE08303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-45 description:CRE-COL-45 protein [Source:UniProtKB/TrEMBL;Acc:E3M3K5] MKSLIEEDDVEVSRFRRNAFSAVALSTSCILAIVILTPIAYQAIQRIHSNLLNDAHFCQARNRDLWTETMEMARSRGRDEELVARTKREVKGTWLFGQYIPDRSSRNRRQQYVEAGPAGGGAPPNAECRRGPPGPPGDNGVDGDDGKDGAPGTDGKNGEQPQAPADGGYQEGPSDAVEACIRECPPGPPGQTGAPGDKGARGYPGESGEPGTPGKAGPKGNPGPAGPPGPPGYPGRPGETGENGKTLAGEAPPGPPGRQGEMGPQGPPGPPGPRGKDGAGGEKGAPGDQGNPGPYGKPGQPGEPGSDGSAGEKGSCDHCPPPRTPPGY >CRE08302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1287941:1289276:-1 gene:WBGene00057178 transcript:CRE08302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08302 MPNTYRTTDEIIAQRLDDGRDVHLNLEKMALLASGAFSNVYAGVARTQSNHQLDIAIKKTWPRHKGAPMEVKILGILGKLKHKNVVRLLYSYQKAHEDRICLGLIFECIPSNLHQFMKENNRKIDSVEIKLITWQLFRGQSHLQKAGICHRDIKPQNLLYDTKTGLLKISDFGSSSIQTPRSTQQSYHVTRYYRPPELLFGSKNYGCEVDIWSCGCVFGELLKGGIFLAGKSATNQAEIVMDAIGIPTRDDLNAMRVSNSKYKEIMESYRPDHSKVTANFTYLYQQTPVNTRERKSSVFNEKVGLEDMKTATELIRQILVYKPENRLAGLELLKNSFFSEIMNPNTCRFNGEKIICLSRRDLKDVENGDITTSLESGE >CRE08131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1284923:1287439:1 gene:WBGene00057179 transcript:CRE08131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-homt-1 MTSRIHDGNDVYEKAEEYWSRASQDVNGMLGGFEKLHTPDITISKRFIQSLKKKNLFASYDYALDCGAGIGRVTKHLLMPLFKKVDMEDLVEELIVSSDQYIGADPRVGEKFIEGIQTFAPPEGRYDLIWIQWVSGHLVDEDLVAFFKRCVKGLKPGGCIVLKDNVTNNEKRLFDTEDHSWTRTEPELMEAFAGAQLEMVQKTIQTGFPKEIFPVKIFALKPIGQQTTSAEDD >CRE08301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1281824:1283466:-1 gene:WBGene00057180 transcript:CRE08301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-40 description:CRE-NLP-40 protein [Source:UniProtKB/TrEMBL;Acc:E3M3K2] MKLLILLSCVVAAVVFAAPSAPGLEEKLRALQEQLYNIEKENGVETKQQSADTFLGFAPQKRMVAWQPMKRSMVNEDSRAPLLHAIEARLAEVLRAGERLGVNPEEVLADLRGRNQF >CRE08130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1278047:1281185:1 gene:WBGene00057181 transcript:CRE08130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08130 MSNTNRRRKTTMDWLNFDPIPEVDRLFRFTCKHPALHKHMTGSYGHMFVDISDIPAAARHYLALMAASRHRCLSLMDHHRRKFLEKGGNPMWILGVDWAHMKYRKLDYLNRMICHRPWVIHWKNLAVLIGRGSPNAGPSFFSICSLHHAVGIMSMTHAMCTVVCSIGLERRVGLTQDEIDFLNIDDLDYWEARMSEFFRKVADREQTNVEYMISELKKTEKGMKRPTAKLCAMTTETIESLINAPAATYSSHRKSYSPVLLVGNIDENGEDILEKEPPCDYPIYSHDRTFGYIDFRKRPEKDIAPFRVEEFGWDHVYNTMNEYTDTMTSRLDRMFDHIRTLTGNMSSASNSSGEGSPADQNEIDTAAFREAIWNYSQGLYGVRVDDYDYSKINRVLDKGTKTFIKLAACYPHKLTTEFTRALPGFKDSEKIHVVMMVAMARFQASMFHYTRAACNYNAMCLSKKGWRKPLD >CRE08300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1257137:1258704:-1 gene:WBGene00057182 transcript:CRE08300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08300 MEVDETKRAEGSCGWIPYTPNNIHPKDQPLLDISSQAIWCLSSCKNGYGVDELMSDSVEKYWQSDGPQPHTIHLEFQKKTDVAMMMFYLDFKNDESYTPSKIQVKMGSSHQDIFFRTTQSFNEPQGWTYIDLRDKNKRPQRVFWIQIQVIQNHQNGRDTHIRHVRVLGPERSRVSPTNRIFTGEPHGTFPDPNIVDYEDEDFASFVDHSLIHLSLR >CRE08299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1252520:1257039:-1 gene:WBGene00057183 transcript:CRE08299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgs-1 description:CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase [Source:UniProtKB/TrEMBL;Acc:E3M3J9] MEIPKCEMPGIPIDAENLEIIETPSLFYEKLLELTSKTERRLALSSLYLGEGELEKNLVKKIRERMEQIDIEVTILLDLLRGTRKSSEGESSVTVLKPIADKAKIFLYHTPELNGLVKRILPQRADEIIGLQHMKLYIFDDNVLISGANLSDSYFTDRTDRWFLFKNCKPLADFFHEIIMTVGDTSFIVKNDQVVPSSKCDIHPYLGGSQSYRDLLKLRVETVIEKYKESRKNQEKTESETWIYPVLQMGLLGIHQEFQLLNQIFAMKNSEMKMTMASGYFNFIRDYEDLILMEGDYRLDILTASPFANGFFESKGFSKYIPPLYSNISEQFLLKQQRNNRQNVQMYQYFREGWTFHAKGLWAEHHNQIMTLIGSSNYGYRSVHRDLEAQIMVVTKDPKLVERLREEKNRLFEYSSLLDMAALQQPEHHIPPIVRVISRLVRSFL >CRE08129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1250781:1251300:1 gene:WBGene00057184 transcript:CRE08129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08129 MIISMCDDRAKDLIAEQETEPREKSEIHKKVLEFIGKRYEVHLTDGRYIRGTLIATDKDANMVFNKADERWTPSADQGTRYLGQAMISKKYVARMFPLSEPEPARNPEEVKETEI >CRE08128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1236524:1250334:1 gene:WBGene00057188 transcript:CRE08128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csk-1 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M3J7] MLPNHRSRSHHRNFRKNNNNIHSLLKNSIEKRSHSLGGSSTSPTSSFSSTDEFEDNKNKRHVSNADIERIINDFIANGILNMSGNVNGNGYNHNHFPTGIPISSSSNSIQSQSRMNNLNANRDLLSPGNDVIVTRTTSPSFYAPGVRDNVFRKDEHVRIIGPTTDSAWYRARNANGEEGLVHADCVVRINSQSYDNGIVRMRASGCDIGAAASTASSTSSHHSIVANNQPWFHSNISREHAEKLLRGKPDGTFLVRESTNFPGDYTLCMAYHGKVEHYRTYQMSGGQLTCDKEEYFLNLTQLVSHYKRDADGLCHRLVTPIICETATFSSNGSSSYGSSSTVDLEDRTSVFRHAGLVIPSNDIDVGDTIGHGEFGDVRLGTYKGRKVALKVSKRHGNGMLDSLLDEAKFMVGLSHPNLVTLVGVVLDDVNVYMITEYMANGNLIDLLRSRGRHALERRQLMMFAIDICQGMCYLESKQIVHRDLAARNVLLDEDLVAKVSDFGLAKKANSQSHDSASGKFPIKWTAPEALRHSQFTTKSDVWSFGILLWEIFSFGRVPYPRIPIQDVVRYIEKGYRMEAPEGCPPEVFRVMNETWALQAQDRPSFGQPHVAATNQIPVASTSECGAVEKNTIELLMNEIVSSSCDDVSFSMQFGESEQGQVICTKVRLRFIPIVSRERDVPQRSKFFDDFYDVPLTAIAKIEVAMVKGSNKGKPDKFHRIEYNLSSMETVSVIRLILKDMRVVTIDLRRSQNGTHLANQILFFSKSGPIEKMTQVGAAMEDRGQKAKIPYNGYDAWHAELQRCQQKTDSSSNWNILALHKEGFNYAAQGYPMYVVVSNFLARNDIERQLQHYKQGRFPIWVWSRANGNSSLFISADHENNVATPEISAKVQESISRCHLEKEKPHIIKLDSEFVSNVGKAFDNLLNLCAIDSYEQYVSRQSNWNTKVHRTGWLHLVKSCLQITYETVRWVVDRDRSVILQEEEGKDLSIVVASLTQICCDPFYRTTTGLQQLIEKMWIALGHPFGERLLGRDDDSSRRGKAQTKTPSSKTDVMPTWLLFLDCIAQLHRIYTFEFTFSPHVLISLWDLSLTGMVPSMTCNNLEEQLVARVGGGPFPLDRYYEKSYSKMFGNIWHDSVLFMESIKKSMGFSEGKLSKSLSFPDQPVSPHSKFLQCEFIRPPTAFCDIHLWSECYLRWILPANGRNSGKLSDELALDEKMIELAKKWKSSEWRRHVELPDEYSSAYPYSIPEISRPIIDLNDSEINGRNDDFDSISFNSTFNSMSFANTPVHNNTTTESIFDQSTLEKRNRRIAATIGSESPVISMRPKEQIVGFSKYAFDETRPHSRTIETPILENSSTTFETPYRPTPARRSNTVRGDDRPIPPPRPVGLTPIRPEAILRVHIDRHSDDTSPTSRVTRF >CRE08298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1224047:1231855:-1 gene:WBGene00057189 transcript:CRE08298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-17 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3M3J6] MNIYWMVSILSEYYSYRVVENALYGWISIERVYSFSTIYSRFHYEFDECNEIQAGGKTVDLLENRKVDVIFGPTCSRPGMISSTLASYYNIPIFEWGLTSARQLTDINRYPTSLPFSVNSYSLAMAIRGTLKQFEWSEFVFLYCNDGDDEKCESLKDDIQTVASHHSDITLAYTTRITSKNLSDMRTAIKDIKKRGRIIVACVASGNGSKRTLMQAAALENANTSEYVYIMAETNSRGFIVDEPGGKSHYLWEGVLNDAGSFTVEESRQSMSNLLFLVDNMGMNDEVTPQYLNFSKKVIEMMKDPPFNCVEDCAGEEYSSVAKYAGQLADAFYAYVVAVNRSLIANPSANIRNGTMILRNMGMTFEGVGGGDVMVDPDSSRTSVITMIGLNSSKLPETYGRLKINNESIEFEVLYADERSDIWNGRDRPKVKPTCGFTGTQCPPNFVRDYLVFVVLVALFLTVAIGAATGGVKATENLSIAKILKIKFPTFYQSFRSNRKFKSYERLKTQRHQTLSLLCRICRIFITKLLNFFRQKQREIERQDELWHVDVTHLQPINKKTKSEASQRSFASGPSTSTKLTMESRSETSRFVFYMYHNEIVAANKHDSRTHFTEEERSQLRQMRILDHDNLNKFIGLCLNSTQLMSIWKYCSRGSLSDVIERSSMQMDSFFMLSLIRDIANGLGFIHSSFLLCHGFLTSKKCLIDDRWQVKISDFGIPMVRNGDKVSKEGLLWTAPEVLRNEMSERKPDGDIYSFGIICSEIITRTSAFDMENRKEKAEEIIYQLKKGGFNAIRPMLLPDDTLDINPELTHLIRDCWTEKPSERPSIEQIKSLLKGMNDGKKGNLMDHVFNILETYASNLEGEVEERTKELVEEKKKSDVLLYRMLPRAIADKLKSGISIEPETFELVTIFFSDVVQFTNLAGKCTPLQVVQLLNDLYTIFDSIIEQHDVYKVETIGDGYLCVSGLPHRNGNEHIRHIARMSLRFLSSLSKFRISHMPNERINLRIGIHCGSVVAGVVGLTMPRYCLFGDAVNTASRMESNGKPGRIHVSSEANRLLTEVVGGFRTEERGEVIIKGKGVMETYWLIEENGGAEPVKSLLKRRRKSSTTGSDARSVTPNSSEIEKDKEVGKDYDDDMDMDTDVASNAVEDSRERERFFYEPPDDFKRRRSLDEPHEDRNLLHDLSESDEANLLYRIGECIGGGSVEDNSDSESDDDDDEGSSSGRKERKTADDVFSSWYFCNNSKDVRQILKSFSSKMNARRKLDFKPEILSKLSSDTRLPDKL >CRE08297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1220980:1223038:-1 gene:WBGene00057190 transcript:CRE08297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08297 MFLFYLFLFAVGLASAAKSKNVVCFEGERKPHEFHSRKYYKCVNNRWVQEECLFEYFFDQVSGFCVLINSPTMAPTPPKNSMGRICVPGSRHPIRFETTKYKECWWNGEGFNIRFCQEGAVFVQSQNMCMNVQTYPTTRWTTRPPPTTTEYYGSCTESGGRAGYKPDVYNCKNFYQCASGVWTQRSCGQGTVWNQSILTCDHNRGQCRPYTRPTISPTWPPYPPPTQTPYPYPGNQFKK >CRE08296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1218879:1220930:-1 gene:WBGene00057191 transcript:CRE08296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dct-9 description:CRE-DCT-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M3J4] MAVMVDSEKKVKDLNELSNMKLQCSSPDRDLIVYPRWLCFTSQNGYKTPLFVRFFIENRESYPVTYLIKTREKCFRIDSSCGILKAEERKTIKLYLMSSDDWPLAVGEYTQRRIKMAIECLRLPDQIEPATTKDGGLMAKTIWKKSVTEWPLERLYTKVYGRNCFFCALIILCSRSTFSSSPRLLLYRLSHHHHHRVTRILFEWMIIVLKKNKLWLWEICWGEKRKLNWFRFLDGVGGRTTLSTIIFWASYFFGVRK >CRE08127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1215164:1218336:1 gene:WBGene00057192 transcript:CRE08127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08127 MKTMKISRFPLRVQTEIFSSMKLIDILFLSFCSKTLKKSIESCMKSRFDKIISISYFSSKPNNVRISSSSSNNNEFMKLVPREEGRNRSIVQMNLFGIDVDCCMATRNHPFFILRNQQDGEQMLQTIHNYFRGFFGSSIEYHLESRDSDYLPRLENIQHSNISFQNEGAAAELWNGYLTLSPSQEYIRLNGCGIFENEQNLKLAQTKVLDLWIPDSRVGDILKNFTGRQLFISGGIFTDDDVIQFLNSWKSSQTHQNVEYLSIHRERGLYQDLKRDLNPEKIMRNIDVKQFDPIQKMPIYCYDRRRRDWDIKCDWKIEKFGSPHFIVRDADQHVASLRITTQGIQFAAWKMTEEEVMKGRIDKTFQRTPLLKADPPRNSIEISKLSWLIQREIFSNMELVDLLMMASCSQKFYQNMKSLMRSRFDKIFTITYESKAQSYINISSSCSRDNPFMSLNMRYEFRVRPLIPMKLVGMDLQVSTENPGWDEEILISARPGPLQLWDNVYDCMPTRNHPLMILSDLEQEQTLLPSIHNYFLDFFGSSIKYQLNANFLMPPFSKLKNISSTDAKSDLGGAGFHDFLKISPNQDFIGLSELESPSLGRNLEFARTKVLHIGETKCSADDILSNFEGRQLFIDEGIISDGAIIQFLNKWRSSEGYQNLEYFSIYVSPYKNPLNPNQIMNSIPINRLDPSDELPVYQFAQKGHYWKRTWGIHKFSSPNYIVRETDQHVASIKIADNNITFAASNITEKEFLEKRPVKRLY >CRE08125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1209064:1211647:1 gene:WBGene00057193 transcript:CRE08125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08125 MFGRIVVPQIKTFFLLFAILISGVEAASREEDEELPRGIYHFSDTDRDIETSFKIPNFEALDPIKVASDLMSSAMKVDTKRKTLAGIQMPFSLTGRPMSLQINGESHDAVSLVDDPKKHSDKKPEVRRSDMTPESRKAFLRARQVCLEQSADSCDQALDTFHRVRYGKSLLIGEEEENGESEQMRSIIERRLKEVEKTTPSTSYSSASTSPDPFTSSDSESHEDPETEEEEEDVRIEDDEVIIERTTTRRSPPSSSNRFSHITLPKYHDKANSFLRDIN >CRE08295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1202892:1205211:-1 gene:WBGene00057194 transcript:CRE08295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08295 MQVSVREWKTETPQFFTFSSSIPFYRVNFNSCNLFHETVSFTYHRRNVLIDLPTEIKTYLSDLFWKTPDVDFIFCLDDAVKYNWIRSIEILQYRDEYAKSKDLECLIENNPNLRLVFALCEIVDYVEINSNILQIQNLISMKAAAFTMSHVYQFKGKNAVFANIRFDQSDIITLIDKWMNREIYDNLRALKVHGSGYVFEPESLDKYQGKQWSESGLPNKYPFDPKIQRYLDFEDDMYHERSIVITRDDGKLASVFTDDTSFFFWIWH >CRE08124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1192344:1202297:1 gene:WBGene00057195 transcript:CRE08124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-afd-1 description:CRE-AFD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3I9] MRRFNSESRLQQETTFGVAPPLTPNTPTTTNNPSPYSPYQPAAHPTPAARFFYHRRAASTDAEPMPVPRPRRVTFSQPVDTKPPIPIPVTRVQTSSSNINSKLLKNLISGSSVSSSRQEDLMMHSNNNILPPYSNSIGYSSSASKIAQEPFQIPISIQIGDYNVWDFLAEVMSNRAATSSELSSFRLSPAYSLYLALRFFHAHNKPYSVQFFSRIESNFKQIAQECNSKDDLIFWLANASEFNHLVERDSELKTSRYGKISIEIERIFRRLVQILKGSIRPAARSLLDIHMDDRVATEDLIHLLDSTMRQARVCGLNAALTIQLCGHLLHMVNAFIFNSLVSVESPVSELTTRLGKCLQYRIESIHRFCERMGVELAAECHLDRTRQAANLLAAQKNDVATLGSTCYKLNSLQVVHLLSGFQPENGEIPCDDDVIDRICHLAEKQADVLTLEDGQRMTLEEYEDLNLPFLIPQDGYFIEQFKTVPDGLHQYLLQLQHRRLCHSVSMSTGQQPLHPQMTSSMHAMHIGTENGGQKHHYPSHHPQQQQQPIVRSVSQTTLTSIPTTQFGDSFGSAVSDTISKVTLRKNGGGIGLSIVAAQGVGDRQMGIYVKKVVEGTPAAQDGRLETGDQLLSVNGHSLIGISQEDAARLMTQSAHEVHFEVRKHAAHRNGLSNWLQPTTSIANGGHFVVPSPSTVPPPQYPPSYSNVGRNGSYDAPPPPPPSYNQRFTSQQQQVPNGRNSAFVAPLSNSSSNASSNHMQQHYAHHNMHSGMTATVDPNANKHYRSSSASDLQQDPNASFSQSSMTGSARSSLFDKLPSHYRHSTRPTVIQPTRPGAQSPSALRKNAASPANVSTSTTSNLYRPTSASNLFAPPRNSGGLVGYSSNAARESTQDIHRALNDLELNSPLARSTPNHDESYNSGSSYRIPPTVSNYAPLHSIRPASMYGYNSPSSSSTATGTTVIPTVSLSNGGASRNHQNTTTTTSVNSSNNTSPRVNVTLGGAVSSSRSHNNINGSIHSSSQEPAIVRPVDLNMPKAVPASIKGAVPTAITQALQLKQNGNGPVIRQIRPQSGLRERLQDADIRNLEKTNIALMSYEAVNDELDRLESKGSTMTDEEQRRFRELLNVAHEQSRARKMLSEIKTPPSHHQERDIPIYVQKEHRSESRRTETMIDDVPHSSSSVENMSPVSPPETRKVQFTDEINQYQTPTSNNTAMLFAADVPVLDSPGIVGTNEIYRDPRQRRLNELQDRNRNENNSDGAKLDFRDKQRLFARQIGEDSIPRQRMDVSSAQRLIETDLNAATSPNSTHQRP >CRE08122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1168906:1171491:1 gene:WBGene00057196 transcript:CRE08122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08122 MSVDSVLVDSFVQLSNHFATGSNDTESISSMTDLWEKQGGLIANIMQCDTKEFRNSDLFYEVLYRKMSMVNGSLAEALDPKAAAKGNLLEICKFYALFLEFLRKDHSKWLTNVLEKLATDIGFNSTILLDMFAYFDNLYSQDSVEDWWSFLIRPEHAEVLSGMRTPPRNNFNNSTFVTPTATARRLRTAATSARRSPIADAVDSPTMKFMRIERELKQAQRQMLQAEQHVEELERENRELKTESRTIKIKRINKTCKNKFFSIDALKQEVAGKRDNAELAEERVQTMTAEMESKQLEVEALTKQLAESCTTLRSEQRHLEELEKEKDKLASKLATTTESLEKCMKEMRKLRDTNEMEFQGYQKKESDLEEQLRSALEENRGMADHLNSLEELKSNLHGENKRLTSTVESLSLESARNRQEADNAKTELGEQRERFQAQLEKCQQEYTERAKMTGSMVERLRNELEEAKSEENGMQKVLDELNEKVLNTDMANHQNSEGFLSAKACLDGAKKKIEELQVDVKGKEAMNLVLSQQLERTKDILKNEQLIRDASTAQFNDRYSKLQKSLEEKTKEIELLRENMENIVLKHQKEIAQHEAEMKECREQNEKLVEKLESMTTIKSEVEQKKCYLEERIKLLEEDPPSPIERSETPDSLVEYLSKEGPLETEEELRRNVEQTPRKSVAFSIDMDCSDTIRGTPIGFKCNPRESICSNFDLFERCSTARSSMRSETNTIASTSEFKPPYTPTGTTKERIGILTSRNEKVKPHLQSSYVVEMANVNSPSADEENVRKGGGVEKKKRRNSIFAFKKH >CRE08294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1146625:1161256:-1 gene:WBGene00057197 transcript:CRE08294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abt-2 description:CRE-ABT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M3I6] MSELFESDSQQLLQPQDKKTSSDASPDTKQFEEPGLGMVGFWRQLNFLLWKCVLVRRRQKFWLAVELIVPCILFIIIALVRTKDFSEGMPQCHYDSKGMLSAGVLPFIHSFFCSFSNRCNAIPTTGDERQFLFNDDGEHTRNESIIVDAIYYSSLQLRWIGKNPKKFEDLTKGGAEIIHWLAGKPLNTTEIIRIGDLFDVSIRENLTKALNNTGLRLETARKLMNAQLETGAIEVYDVLRNELSWTDLLFSNPLFCDERMFEKTFSFEKGHEMTSDERDELCDVLTLAELMDYKGYISEITIPTASRMRATTFMTSFQNLFEPSIIFFQKYSNYTTEQLLLALFCGEDPRDVIEQTINPNNDKNVETPFEKLRKQVVKFIQTVTPGKEQEKNSTCTPIPYHNDMNCSSLESPILMKVRAALSGYILVTPDNPATRRVVERLNLPLRDVEHIRNLLYNYPSDALEFQDRLHASDLWPASQKLLHFITVYKDGQNPLNNKTIRFLLEHLFAPSTDEYSFGSFTKNITEVANKYTGCFLLDRFRFVSDEKELETNAVCLMESHQYFTGIVFDMESNATKFDGFTTYKIRHYPEMIDSTTSFMDSKSNPFTRDKPLIDLKYLTFGFSFLQEAIDRAIISEKSNLPDANLGVYSQQEPYPCTVKDTFNVAIFMPLFLLISFIFPSALLVKNIVYEKEQKIKEQMRAMGLGDAVHFVSWALISLVLNFVSVLVISIISKVAKIFDYTDYTLLLFVLVLFLFASIAMSLFFSTLFTNANIATAATCVLWFVFFIPFQLLRTDRVTSPTFNRIALLLPPTAMGHCFKLLESFNAMERASWSDLGEMSNPDLGVSVELCMAMLLVDTAVFLILAWYISAVAPGEYGVRQPLYFPFTLRYWAPGLYKNRVEFIDDEHFDVIPTSDSFDSEPTNLNLTVHINSMSKVYENGTKALDCLNLRLYEGQITGLLGHNGAGKTTTMSILCGLYSPSSGTAKIYQRDIRTDLRRVRDVLGICPQHNVLFSHLTVAEQLRLFAALKGVPDNDLDSQVEEILASVSLTEKANKLASTLSGGMKRRLCIGIAFIGGSRFVILDEPTAGVDVTARKDIWKLLQRNKEGRTILLSTHHMDEADVLSDRIAILSQGQCITVGSSVFLKRRFGNNMTLAMVKEDSRVDYTRISSEIVELGGDIGLVVGDENEEEIVFKIPIQTESDKLEKFFHDLDENLEKYRLGQYGISAPTLQNIFVSLAPQKEYHVPKVVRCGWFRRLKEKFCHTRHEEEQNDLIAQNPVMIENNMPQNNEPEEVKFEDFEKPDLLHGKRLVFQHFLALLVCRMNYTLKSKRTFLFQVIIPLVLLAVAELFVVLQVSSSRPDLMTSMPPLPMETSILGNHSDFYVNSWDTMDNSTATDILHSMFQSPGTGPRCARDTPSDLLATIRRELLFRNRYGWGRNMPPPGVKKDAVENEYQCQDIEGEFDYTEDISNVTYNEPIYCGCEDFGWNCTLEDWPWRETPWLRLNTTDRIFDLSGKNLTQFRMVTRNAALSNATAPFFLGGFSLGHVNQRAQSQADIDISKSGWLETVKDISEAILIINLNVTGMEPSTPKVKDPFAENITTTKVIDDLLQNLDVKQNVKVWFNNKIWPGLPITSNILSNALLRMEDENIAPEDLGILTMNHPMNKTISQTLDQNALRFTQTLALFRITCLLLVLSMIPAGFTVYLVEDRICEAFHLQIVGGLRKLTYWVTSYLYDLTVYTCVILIIMLIYICFRVTDFTADAATFFSFLLLFFMHGMSAILYAYVFQKMFSVPALSFVLIAIGSYFVGIVCALTVIMLETLMVQDPTLVPAHNICAIVFLVLPQYNLGIAIFRGLMIYQVRKIGSNFLEQINRPDMIDQLPLPALLSFDQMGIHVMCLGLHVILATICLILSQMDEFGFVRKRERDLTNGMMLREPTHEEDEDVVKEKNRVDAIPSDGSNNHPLVVRNLAKAYNPELLAVKGISFAVEPGECFGLLGLNGAGKTTTFSMLTAKIRPGHGSIEMQDTRINTGSFSDVRNFQQLGYCPQFDALNMKLSTRENLKFYARIRGIVPTQIDSIIDRLLIALHLRPYANTQTSSLSGGNRRKLSVAVALVSQPSLIFLDEPSAGMDPGSQQFLWKVIERLCKSGKAVVLTSHSMEECEALCTRIAIMDRGRIRCLGGKQHLKSKFGKGSMLTMKMGKDENSREIAGILKAKLGEGSRIEAVHCSTIFIHIEQGAASVARVLEIVNQIKKMYDVDDFTLSQSTLDNVFQSIAESDASSSSSSSSIPDISPSATLTINRNNTGSTYVIASDQ >CRE08293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1138280:1144934:-1 gene:WBGene00057198 transcript:CRE08293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-17.6 MAATMMPSSTYNSVKSLPPAIPPLWTLKSIRFQTALLISSACVVFGLMRTCFGMAMVDIVQSGNGTSHSDLHMDWSGEEQARIHTSFYIGAFIAVFPSEFLAKKMGSKNLLSFAMILNIIGSILTPFLAIHLKTYILIAAVRMIMGFGYGFMIPAGSVLISKWFPISEKSTAMAIFTTGNQIGIAFSMFLTAKVCQLQIFEGWPLVFIIYGLIGVIFLIVWHVRIADKPRESKYITATELTYIKGGKQRRSRAETVVRPTPYMKVSSHSKRNMKFFNSLQIILNGCVCAICACSFAQSFVLVALVTYLPKYNQIALKMNLTHNGIWSSIPFVIQMITKLLFAVIADKVKQAKVNATSVTKVSNAIASFVSALFIVFAALVPFGSAELVQLSIIISMAAFSAYVPGYNTSIVTVAPQFTAFISSYAQLYAQIASTLAPILIGRITSHDTIEEWKLAFYSLAAVLAVTGVIFQLFGHGRTEAWGETPSNAASTRISTSLAVCEDGQCKLTLLEKHNHDFRTESKMSSDSGVLKKKISRVSYAGEEIIIPGRIEDLENDVIYSIEEEGEE >CRE08292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1133121:1136191:-1 gene:WBGene00057199 transcript:CRE08292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-51 description:CRE-LGC-51 protein [Source:UniProtKB/TrEMBL;Acc:E3M3I4] MFNLGETEEMKSRTTFFYCKTKKNRNNGHSIHRTRTNSMNLFLEIPWEFVSAWQARSSVIQTTVERPCQNRSQHCISTTRLIWSPNVCIVNTKSAHVHRSPKPNVLLMLLPNGTIWLNYRIRVQSPCSMNLERFPIDTQYCHLVFESYSYNTASVSVNWMDNPVTVLPEISLADFDLSRYRTSRHTEVYKAGEWYRLTVEFEFTRKYGFYILQVWKILLISYQQAYFQLYVPTYISLFISWIAFCIDTKALPARIVLGVNSLMSLTFQFGNIIRSLPPVSYVKAIDIWMCTCVGFIFASLLELAFVAYQDKKLILKSGKSNAAISTLVSYLKHFEPFHEVASPPPPLPIDEKKTMSRTPSTITNPSKSEFDGLDTKDLEEEIAEYRKLAYARKKWRLLDFGANVDRISFIVFPLVSESVDGAPDLVVATVTVGRRCVVILKSEFRKQAQKTPLIRMQYLEIYFACLLVFDIENSHIHLRCLTFSNFNLTQKYF >CRE08121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1128108:1132132:1 gene:WBGene00057200 transcript:CRE08121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08121 MGRNLLHPNAEISNISDTLVNGDTVEIRDLKEYFEKCPNQNSTRVKPKITGDLQEMTSSFGVESIFFDDSNEFSALILQKFAGRHLVMPKAILKTRDIVEFVRKWISNEAYQNLETVVIGMYDETSEVRRREAEGNLPLIGYDPLVRPPKYNYDPKIIDYGRGQIDIGGYTFYDVVRDGDAKKASLKINGVEFIFLINIFHNSADYGSAHTLIRHQNDFFGRLRPELRNQLKEIDNIRNGITEPEAETNGSSSNSGENKKKAQENTIKCQTSEKELEVEPEEKVQAAGDKIKCETSEKESEVEQKKKLQAAVDKRLKTLKYELKKVEPKFVKFVQKIINESIQTVFAFTETGFAICFRRNFEDNHFWRMVCSDGIRYSDFEPNEDPKLITIYKCAGLALKIVGKKNCIKYWYDCEDQSVKKTNNILEKEGTFVEINKDVIDRENEIFLRLFRESLPNYEGDDEMLKSDSMKLLNNLSSSSSLDTSDENLNQSNKMKIKRKKKDKRKGKTSVKQENEDLTTHPPPIQKPVKKVYKGPKPLPTQPTRDTSVLPLDVNNNKNLQELQSDKSSTEFWNVPSRKTSVTSQKSSISTLSSSSTNKPVRRRDRTRRNTIKAVENSFSSAV >CRE08289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1118445:1118930:-1 gene:WBGene00057201 transcript:CRE08289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08289 MSSMAFDGEAPETDDEEEVCEKVTNRKETPRKQPSLVDKKLEKQWTQAAIETINVCQKRSVNQEKTMQKVTESAADCFTSMQNVAAKLNVVHTNVTRLEDSVADLLEASQLLPDKFPSLQLNIPEFL >CRE08290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1122242:1125107:-1 gene:WBGene00057202 transcript:CRE08290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08290 MYTGGGPLIEKLKEMYKYLISLFNIPILRYLYCGGRIPVFPANLEIKKCDEVVVFSREPIDDDQMKYILGEMITKKVNLCLPNCSSFPWESTRFSMDCLNLQLDSKLVSREMLLSLKCPKICMKGYTSLTSKDVRDFINQWFNSNDTRLETLLVDLKSDEEPEPIDLSEFNPKPWDPVLRGQYYDKNLVGKLSGGMDIIRKDGRFATFRQSSRSIDFFVWKNTLQVENN >CRE08120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1119377:1120914:1 gene:WBGene00057203 transcript:CRE08120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08120 MLPEMPSTSQLYKAQVYRRQYNQMYTGGGPLIEKLKEMYKYLIDLFHIPILNFFGYYGAGIQIPVFPANLEIKKCDEVVVFSREPIDDDQMKYILGEMITKKLNLHLPNYSNFPWESTRFSMDCLNLQLDSKWVSREMFLSLKCPKICMKGFTSLTSKDVRDFINQWFNSNDTRLETLLVDLKFDEEPEPVDLSDFNPQPWDPVLRGQYYDKNRAGKLFGGMDIIRKDGRFATFRQSSRSIDFFVWKNTLQVENN >CRE08288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1114575:1118090:-1 gene:WBGene00057204 transcript:CRE08288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mcm-4 description:CRE-MCM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M3H8] MSRANVPREPQSESADVFAEPSSQGSRSEQVMSPRSGSSASVLQYGSEMGSVSQMSSASTLRRGGPRGDLGIAAVDHRTVQIQGMDDDLAADDGQPRLYVWGTRICVADVQRAFRDFLTVFKISHLDEDENMMTGNDENLHPIDMSQPYYMERLLECNNAEVTHINLNLAHLQSFSDALYRKVIAYPADVIPYLDIVVNEVFAERFNRTLSQSIELRPFNAEKTRNMRGLNPNDVDQLITISGMVTRTSALIPEMRSGFFQCSVCAFGIESEVDKGRIEEPVVCTNCSNTHCFQLVHNRSIFLDKQVIKLQESPDDMPSGETPHTVSVYAHGSLVESVQPGDRITVTGIFRAVGMKVNPKQRALASVYRTSIDALHFRKMDTARLHQDNGETLSEERIQQIIELSKRPDIMDALAQAIAPSIYEHDDVKKGLLCLLFGGTRKDDETTNKTKLRSEINILLCGDPGTSKSQMLQYVYRLLPRSQYTSGKGSSAVGLTASVSRDADTKQLVLQTGALVLADNGVCCIDEFDKMNDSARSVLHEVMEQQTLSIAKAGIICQLNARASILAAANPVDSKWNQNKTIVENIQLPHTLLSRFDLIFLIVDQQDEMQDRRLGNHLVSLYFENGTEQKKTEELDMNLLRDYIAYAKANVHPKLGEDASQFIIEKYLFMRKAGAQHGQITAYPRQLESLIRLSEAHAKIRLSEEVSVDDVEKAFTLWREALKQSAIDPATGRVDVAILASGMSSSGRKAVEAMCEVILKQLKTAKGFVTSKALFQTLKAADKTCTKEVFDEALNELAKKETIARSGERVRFQAVDA >CRE08119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1112143:1113832:1 gene:WBGene00057205 transcript:CRE08119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ekl-7 MPTPKFMEGPNTVQTSLYGYDKNKKVQVNSLRGKPILVLRRENGDAKQEKSSSFIGGVSRFTKKWVDRSKQMPAPIPSKPMYPSYTHFHHQYSSTKPPPVTPLSLPSSPVATKSPTSFTQTAHDRGSSRRPPFSPLLRIAVPSSPKMTPEDEMTVKRLFDKLEVTTPPPSSNCSLANVTSEITLLELNPKIAAKSFITTPISVTSSSETETTFSSSSVDSDDISFFSDTPKSLSDSGRASCSENVSKVVEEEDCESNYSLKMKPEVKKSGHTCPDETQCFVFRNGVCPDEETERKNMIEWYNELIQWRHEIVTKKCFGPPRCHPPPLTVTIIGASRAQRANPFHRVGEVHPFAMEAMDHVRKRMDAKKPYRLVPSDLPLPAPHEFMANAKCMEPHLFIEYCYQLTAAAYMDTGLNPPNRRPPIPPRIEPIPEQACRESVCSSASEISSDGEYSDDSENTKRERMRQKMERHRRRERRLQRIFRRHALDEIEEGEDDD >CRE08118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1111110:1111857:1 gene:WBGene00057207 transcript:CRE08118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08118 MAENSDTLLQLAQLGYSMLVPIVLTGYTISQCGGKKNKGAPAGGGGGGGAKTSEKSGGASQKSEKKEADKPAAAAPDANGPKAPTDKNAVAGTHDPNYQTLAGVDANVFQEKGGAAPAGGAAAPAAGAPKPGGPGMAATHDPNYQTLAGIGNDCFDKKDGAKPAGGAAAPAAPKPGGPGMAATHDPNYQTLAGIGNDCFQKK >CRE08117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1109022:1110926:1 gene:WBGene00057208 transcript:CRE08117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08117 MTEVELDQAQSWLAKQDLEKIGVDNLNPLTDEVISRQATINIGTIGHVAHGKSTLVKAFSGVHTVKFKRELERNITIKLGYANAKIYRCSNPECPRPGCYRSAGSSTPDRFPCERANCGGEFTCVRHVSFVDCPGHDILMATMLNGAAVMDAAFLLVAANEPCPQPQTSEHLAAVEIMQLKHLMILQNKVDIIKESQARENYEQIAGFVQGTVAENAPKNNNLPIFMINFQLICEYLCKKIPVPVRDFKSPARLIIIRSFDVNKPGSEVENLKGGVAGGTLTKGILRVGQEIEVRPGIVSKTATGQLQCRPIFSRIDSLFAEKNQLEYAVPGGLIGVGTKIDPTLCRGDRLVGHILGAVGSLPDIFIEIEISFYLLRRLLGVRTEGKKKGAKVQKLVKEETLLVNIGSLSTGGRVTAVKGDAAKVRLNDPICTEVGEKIAMSRRFEKSWRLIGWGTIRKGTTVEPIKN >CRE08287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1107214:1108634:-1 gene:WBGene00057209 transcript:CRE08287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08287 MERNVERTPNDIPDLEVILNQNPTDTDTFSDNMDRLFAEDEKKSAPIFEESPILSSKLSEPSKLFDEKPPPAKSITYFTATAFWKNGTESVKVGVVLSKLSNDHQLYFLMCDASKRILEKLCVDDIEFRFSEDSLTVKLNKKEEEYSESPVEVKFVDRLTFLNFAITAMIRSSQQHSFKLTEGSGDVQIDKNCDIRYNLTKHSVDEDGQMKLPDERKGVKTRLSKEKLKEHIVYKWIDGCKKGCHFLIKDSENSIFEVVVDKIRRTSDAGYSSQTETSEAPVDSTPPPLEVENLKIVEDQIGEITTSRPEENIIAPNPLDETITPTLSSAPAVHPSPLSLTSSEQQIPPEDVRDALHRIVGIELDRIEMRLNAKFEKLQNDINSRLDRQEKMLQQLLEQSK >CRE08116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1106663:1107138:1 gene:WBGene00057210 transcript:CRE08116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbca-1 MSDADQLKQLKIKTGVVKRLIKEHASYQKQVVKDEEKAEKLAADATNEDEEYVAKKAKEVVKETVTMVRDAHGRLQKAIVDLQTLISSGSFSDECAELAEAKTQLEAAAASA >CRE08115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1104602:1106168:1 gene:WBGene00057211 transcript:CRE08115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nsun-4 MSCLRPTHSIYLQQIRWKTVKFKPKIAKTKPLKTPSAQALDHFDFYYGPLFGKKWPSIRLGLLSPNRYLAVMNTMSRNWEAHDEILSDMGARDLLASIRGKTEDEILEAKRKIVEQRADEETRKVKQELQNPSTSSEKELHNEEQEAIFRSAAGLSEFLQSPGELSSGTLQMGQGGVKSQQNKDVEITGFEGEGVRIPKREHFLYYPKALRVRAFERAVLLDFPAPMKDEVGVPSYWLLDGGSLLPVLALGLQKDESLLDMCAAPGGKSLLAALSNLPSKIVCNDFKLARLGQLKRALMTYVAEDSEAIDKFVLKRKDASDVKTWDEFEAYDKVLADVPCSTDRLSVSTDDGNIFSTASTQQRLDLPLLQTKILVNALRSVKVGGSVVYSTCTLSPSQNEAVVENAVAVARNDFGIETVEESLHQLVSHMTSSGLYRFHDTPLGALVVPFLPSNFGPMYICKLTRLQ >CRE08114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1103165:1104474:1 gene:WBGene00057212 transcript:CRE08114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08114 MEAKDDTKPTKNIDETLVIQHDWHLGLREPTSEFYIGVKPSGATQTEYFDVKITKLVDEYGFAVMPGVGTIKSSSFTNVSLDESKNLHITFQGKTTIFVAPSSQQKVEGLKSAPRTFDVSSTGSTYTTADLSGNFVIASAKHAETLVLTQNFFILFFIVNFQRVLEGHIMDVYRCMYFPSGLIILSGGIDMTVRIWAVDTGSCAVTLKGHKGAITGIGIIGAGRDVLSCSNDGTARMWKCGEERTLEIWDFKRGKCVDLAVSVDSSRFAVICEDKFLSVVNFHGEKIRHDFQLPSTPTALCFSGDEAGDVVFVGFEDGHVGAYDVSRERLIGEIATQKGSVTNIKCYCNRLIVAFTSGGVLGYPIPSLPTNSSEGTPFNIISAEYEFTGADCEPVYDMAIYKEKFYTCCRDGLVRMYKMP >CRE08286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1100311:1102530:-1 gene:WBGene00057213 transcript:CRE08286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-icp-1 description:CRE-ICP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3H0] MPPKAKVRTTNRKQAEPTIKAFSANPSILKIFEDVVAAHSSLVGSHIEEFCRNVDTVITNNVAPEVSEKLRNAICGDLEQLIAPVQNKKSAASRAARNVASSTSRSKEDVRRQMSQSPEEDVVQSETAEPEDHAHTDMDVDDASEAVRPEPVTPRINSAMSDRNFEEVVVPSTLIRMQQLSISRKTDEQQPSSLARNAAYSGTPRRNPPREAHSTHATPRNIFSATPTRANGTPARTAVVAPRTPHRAVFQETEKDQEKKQKHAEDLRQLVLDQKREKARKEELKRMAVMERKNEMERARREKIEEMRKKEERTANFQKNMREGKSPTRARPNPEVKTPQAKKPVSRKVFAAAADGASTPGRGPAKKGRVELTTGKGGQNVVTVAQPTVQISVSGNKIKQFLNKNFQPSRNLPRNALRQVKDEPVDMELDETPPPRRPQKPKAKAKRSHPATTASTSSKPSAEEDADAKLRAEQERYLMQQAAEAKEAKALAEAQAAAQAEEQKKLKEKKAAEEKAEAARRKHAEEEKERLLAIQKEEETRLREQQAREEAELQATLAKQAAKKLKQEASGQTPPPTVYQMTPPRTYQANSKNDYGLHDLNSDDETDQEDDPRKEVPAWADFAVVRENVRRHVIRPPFDISAFFGEIEKPNLKEIFGDAVKTKKRGSSAVWRSPAGGNALRTALSDISE >CRE08285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1098413:1100131:-1 gene:WBGene00057214 transcript:CRE08285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tpxl-1 description:CRE-TPXL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3G9] MFCATCFLSRFLFISFLFFSISLINHFRKMSKNQTIQEFDENFFSSIAVPKVKDYSRLSSSPSRDQPCESSYFEKHFPNLEGDTPVKMRLPSAVRESDDALPTLNTDNFLSSSSSSESTVHVVEQHESVETAAPVKPVPHQNREPLKIVPNDTAPVPAKPYGENQLIESLEKLTMFAAKEPEERLSQRRRSEQKKKQEEENKRRSALPAANRARSISTKRSVSREPTAAATRESSIPRSRHNSVTRTISAPITNAATGVVTRAMAARAAEVTFKAPGVRRSVMPIKIAQKTPVAAVKNDAAPGSGDRSRDRTRRTNTTGTSSFRRSVMPMKPTGSTTPIAPPRRSASVTKTTASLSKMTPKSRPIATANRSSILRMSVVKPTAPPTAAATDPEAQQKRLAAARPAAPVTQTARSRQAIRVQPGTPSTMIKKPAMPSSGQRPARPPVVTTRARSVDRAGQQPPATAGAVTPTAKVNRSDVFNRLAIPKASATPRVSHDGPTSSIRKTAVRPVPSYIYNVNKGYEKKPWIY >CRE08113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1096042:1098436:1 gene:WBGene00057215 transcript:CRE08113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-epg-2 description:CRE-EPG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M3G8] MASDNAEIQVGEDARLLLDNQNLKQTWNARPEYLCEQSRVACSEVEALKAKNADLHREKQALIEKLAFFQKNSVQEVVTGQAKTYATMLEAMLDEANHAHSLTRVTWNEEKKKYEAAMKELKELGDRLRQENQELNDMMEEFRAMWKKDTQNFQETLKAFKAERAEVQMEVERLTRLCADEQDSLITCYELKDKEEDAHRETQEKYEKLQAEMKNVVSKHEATQGQLQDLLQQKQIADSKLAAQEHLMKSQSAELAAALDDLIKSRQNTEQHLQDMKDCWDVKEQAYEHRLSEMARDQEETANYHEMEKADLTDRLRELFRQRDELSGQFAASKAATHEELEMLRAECNINYENYVKFQMEAANLEKSLRECNEKREMESNMFEKALIEVETKLSETTGKLIESTNRHDETLIKLKDAEDDLANCRSHMYSTANDFMKYRKRSEENDREREQLREQVTTMLRDCVIEKEKMEQKQEETVAAVQQRFMKQIEEIKYNHMLAINQEMIKAQMALDAEKKKHAIETEAIKKKLEETRAELSLVKMNTNAKLSLLNQEVITVRNHNVSVRNECASLKGTQDANTAQISRLLTLVDELEKRDIEKDEEHEIELYHLKKAHRELVDNMGVEELDDDKEQVRVEEVSEKNESADWELVDEE >CRE08284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1095550:1095820:-1 gene:WBGene00057216 transcript:CRE08284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08284 MRPRRLNSGKGESERCGHGRSEAWGGTHLREYAQMNDNCWEGAADRERKKRGRMTSPDYCSRLTRYSSQCMREGVDGTTGGGGAG >CRE08112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1091771:1094085:1 gene:WBGene00057217 transcript:CRE08112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08112 MMAPHLGQEETLEQKLKSLQVKLNICRAERHELQEENKSLRLTLALRTDCEHELERVRNEKHPGVEELEKKLANAEKEIFVMESTNKELMAVLNSTRGQLGKMLRERNEKVKEVEHLEEELEKMENGQKLARRDDLHCDYEMNHYSSNMRIMLDEINEQAMRYEAEKANLIKKHEESEELLRKENEKLKSQKEDIINHSKNSEKMWNERIESLNADIRLLQERNMGTKNSRLNEADDRINTLNRHLQDAFEAIEDLERKNSEHRDKEVAAHEKRKLTESKLNFNERTMASERAKYLETTQALEEQIVSLNEKIKELEKTTDQDTVEYETSLAINEMKKRLKDQKILADNIILELNVTIQDLKDKVKASESKKESSVKEWDEECADLEDEIYDLMDKRKTEQKKMRTMKRKNLWLTKSIRKLSEQRRGELESKKLEIQKLNSLCELHRMEKVEWLSDLKKEVNAWKDETTVRMEMKKQIEELQQQNAELEEKIDNVTANWRSECEVYENNIAVVNEQRALDKKKFQKTYDEMKDNEEKLLESYVQLSKANEDLERSLKAQKIEASDLQKATVELSKMELENLRTLMDMEKNKILVEKDYIKKCLETRLKQMSEVLAQCHEVQMDEAYASISRLESANQKMEKSHSVDRVMWQNEVSQLKETNEKLKENMGVEEIDSTSSDDSDCSSDSDCSSDSDDSVDSDREEIYEDEMPKLEAAEKEQVKVEKKKEEWEMVEDDEDECK >CRE08283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1086964:1088435:-1 gene:WBGene00057218 transcript:CRE08283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdk-7 description:CRE-CDK-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M3G5] MSKRYETVKHLGEGQFANVYLAKDLESGEMVAIKKIKLGSREEAKDGINRTAIREIKLLKEIHHENIITLRDVIGHRTSIQLVFDFMDTDLEHVVKDHSIILSPAHIKNITMQMLLGLEFLHAHWILHRDLKPNNLLLNNMGRVKLTDFGLARFFGSPNRNYTHQVVTRWYRAPELLYGARSYGVGIDIWSVGCIIAELLLRAPIFPGESDIDQLVKIYNVLGCPTEESWPTMKTLNSYVSIKPDSETPGLNFIFSAAPQDLIDLLIGMWTFDPVKRLTCTQSLQMDYFKSQPYCCLDEELPLPNQKPPKRTRRLEEDGSHPARRLIFE >CRE08111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1085948:1086849:1 gene:WBGene00057219 transcript:CRE08111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08111 MDCEDGIFILLFLLVLTILTGFSRQIYKYGKIVAFGEMISRLEKGNRLKKKWEKIEKDQREEQEKRLNDYLNDEWEKENLWIRKLMEKYEDRLDKAAINEQKLKAELEEKDAIVQKYKSEAEELRRQFRQSEEHLECELQEIVDDLICQEKRRRDEIQAERDEEEFDKLDEVSEDFDDQCEMVHVSETIEI >CRE08110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1082259:1085316:1 gene:WBGene00057220 transcript:CRE08110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pph-2 description:CRE-PPH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M3G3] MKKQHQPGPKKSPNTKTNNKRREAECRTEEKTAIGGPKSKAIPPKKQPSKASISADKKGSSTDVKLTKSKSNVNTAYDKTVTEEKEKPKKKEKWSGEEAACKFTKALNSVNMKEEYEEIQKMSVQSERCKIWQAHSSRNRNPDYKCYDDNRVIVQMCKSDYINGTKINVPNFAPLVYLIQLPKVETVDAVEEFWRVIFQDQCQSLHIIARSDELQNPGIEKLFAQESGAYLYANGFFINTRKVEKKDSGKADVFIVELLPEGCSNSVMCTVYMHTYWKQFTGPDRFGAQIKAAQQIAKNEHGTSPTVIASVNGAGRNAALLSLAVVVDQLTKGKEPKINDIVRTIREQRPLSVDSFPQYISLYLATNWLIKAKVSSNNTTEDMISRIKKFNEKATQSVPNSTMKHPTEGEPELEIVEILNIFSFRIVDLLTSSLPTVSFIFRFFSLSWNKLSRLCNCSLMIFWQKLEKFYEFSWIEQTKNRTSCL >CRE08109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1078037:1080859:1 gene:WBGene00057221 transcript:CRE08109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08109 MPGTYRTTDEITAQRLDDGRDIHLNLEKMSLLASGAFSNVYAGVARTQSNHQLDIAIKKTWPRHKGAPMEVKILGILGKLKHKNVVRLLYSYQKAHEDRICLGLIFECIPTNLHQFMKENNRKIDSVEIKLITWQLFRGQSHLQKAGICHRDIKPQNLLYDTKTGLLKISDFGSSSIQSSRSAQPSYQVTRDYRPPELLFGSKHYGSEIDIWSCGCVFGELLKGEIFLDGKSATNQAEIVMDAIGIPTRDDLSAMKESSSKYKEIIESYRPDHSKVTANFTYLYQQTPVNMRERKSSVFNEKVGLEDMKAATELIRQILVYKPENRLSGLELLKNPFFSEIFEPKKLRWNREKSCVTSQDLRDVEQGDLTTTYRSSRSRSGSRSRSRSSSRRRSISRKPVKGRRRKIGSKSVRARSSRTRSRSRSSSRRRSISRKPVKGRRRKIGSKSVRARSSRTRSRSRSVSTAREPSRRRVRRVRSTKEKSRSRSRSVSSRRSSKRSVSRRSSRRATPKPKGKSRRAARETSIRSSSSRSSSRSRSGSRRRYGNVKSTRSHRMGSHCG >CRE08282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1064267:1065628:-1 gene:WBGene00057222 transcript:CRE08282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08282 MTDPNTQTINEDGQKLSEYGVLKDSKSPAMPVEGNTHEDPSADGNAIVSIKETEEAAKLRESQEEKILSIQKAYFLVAGKIDTLEKESEAHRDVLDQIATDQSNLSEKIDLQMSTKADLQCFQLGIEDEVSRLKETVKELKHRLLGEPRESPLDCFSPSPFKIPKMSEKRGPTGETEENSSSITRKSLKDCPLCRDSGHRLADCPQFASKLEKLQQFRKRQICCTCGNLQCSRINCPKATIQCQICKGKQEFGKTLHISEICIFETHVSKRPSQTEYRRQKFSAQRPRSKSPAKENQAATQGPQPAQLQQQMVQQQYQQQPMMPTGPAFGPGYQMNPMIPPQQYQYQHPQQMVPMPMQPIPLQQYGYYQQQPGPSNQTTRQQ >CRE08106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1059761:1063378:1 gene:WBGene00057223 transcript:CRE08106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08106 MDLHWDHCLSSLRYARHPVYSISCKSVQTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSVPDKSPGKDSTNSDKASHDEVLVGALTGGSAPDKSPEKDSETSSDNTSHDEVLVGTLKSGKQGPEDGPEKASEASSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSTSESKTSSGNSEDTPHDKPLVGALTGGEQGFEDGPERDSDNTSDANSLVKALKGGQDSEILAFLLTFILLTIWEKKERDQIVEALKSAETGGVKETEDDKIVLKRILEKHFVELEKLHGVDSSLQEAIRKMTDNQTTFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDSSVVKKAIDALKSQLINNSKYEYKKLIGAIEESRLDELTSLRSAMKPKNVPFIGNLINFKVENDVLKVQFKDSNVLFIEFDKECAYFLGFHNCIVKNNETASSSIDFFGNISTLTCFDPSITLVMQVLRRPAR >CRE08280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1053278:1054922:-1 gene:WBGene00057224 transcript:CRE08280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08280 MVLKFISTVSKNKTLQSEPLKEYTECNKTTDTVERETRQRKLARLTVFTEHYKEAESRDWKFKETLNPFQSKDGLWRTKKHYQSPNIPLETSEPILVHREHKLAVMLMDEIHKENVHLPANYLVTALRSKYWIQTDGRLARSTISRCVACRKVKSFPFLYPYNTSLKENRTVPSTPFAKVGLDFFGPLQYKNKNETELEKGYVLIYTCLTTRCTHLEICADSSTTSYLNALKAIFAQRGVPKYIYSDNAQTFQLGERILKEDIKSYEPESRLINFLAREDINFRHITPMAPWQGGVYERIVGITKKQFRKEIGKQIFSFPELHSIMKRVEGAINSRPLIRNPVHINDVPVLRPIDFLLPAVLLEVPNDTDNLKGDILYDPTVSTTEKETREHLKKMDRVMEKLWKIWSTSYLLLLRENAKRNNRFSKVSPRVGQVEHLPLVQSVEVLYKGSIKERAVNQLIPLEIDEEQETIQTPEHATTSRIPHKVNQNESRTDNSDHKITSTRLQPPRRAKEGVHYSLDSDSE >CRE08279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1039053:1040262:-1 gene:WBGene00057225 transcript:CRE08279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08279 MWIRTLVETLFPKKDPYPQVIDRNPPLFRIYFAAPFLIRLSSCLFGYQKIRLSLPYFALALRVATLIFINSDQIVVARMLAILTFGVDEGTISMIMIYRLFLPTYFAPLVCGCTMLIPLILIDRVSGFASLCPTLLSISFLSIIFLIVNVGLLLYSIEMKLIHTWGKKYNEFKNHRFTPLYDNLHSKTCYLEEQLRRKIRENGEELQEVVAEGDTVRAAVGDRRDVDEHNNP >CRE30066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:110761:111828:1 gene:WBGene00057226 transcript:CRE30066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30066 MYHLLIIGIRSRNDTTDYYDSIATIVQTYETIVFILNNISFICLLVYKYRKKADTTDKNLHEPIFNQLFYNSAICLVINDICTLVTFVTSDPEHLDAAGTFIYTVMVLLEVFFMLLASVMFAVITVFSFLASIQRIVILHLPNYKFIVTGNCLKLEIGLVYVSLIHYSFIVFQQEALQKELTYNMKQALYIYNIIILAMSLISGVIYFHIYRILRKLKTGDNGTYLLYQFVPIHTILLIHSVANLIGELLDQYIDNELQIRLKISVLFYFIFVPNIPAVVSLSYIVSQKNFRHVFTSIALPMWKEISS >CRE08103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1025154:1026237:1 gene:WBGene00057227 transcript:CRE08103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08103 MSAQFDDSAVIVELHQETTAEEVALKEEIHTERALRDTLDLQLIELNKNKPDLKDEEKMALIMKLYSLENENEKMKESQKEYEFEDVDELMDNLYILEAEEYKLSHTTQKEMERNQKNYDEQTKSLMELEKEEKRIIDEIMALKEDIEAAKAVCTGTLTDEEVPVLMELVKEKKHVELMKLSENRTTDSFNERLRLAEEDLEYAKIAQNDFFPPTNIPQTKEQIENEWPLNYMLCEIALEKEYRRHLKLKTMK >CRE08273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1019921:1021333:-1 gene:WBGene00057228 transcript:CRE08273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08273 MGECGNSSYLSAQQPSVQFKMILDKSMKWCYYTDGFDSPSEEEERIDRLSLEADGLKKDNDAKKNEIKEGKEYNKRVGEKVEMSVEELKKRIEEQEKVRNELLKKAELKKEEKEKMKKLEKLREFSTRLIQDQKRDFESRKIEVLTKREEMVKLKMKLEELQVEKKTWSFLSTDMTANLKDLLVRERETKQKMERKLRDYTGGLYSRLTHLVNEFNELSQLVFCQKQIANDHDDAVRFMKKKEEYEKKKREDIERFEKLREEEGKLRRSRPNPRKDDLYYGYLAY >CRE08102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1017828:1019600:1 gene:WBGene00057230 transcript:CRE08102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08102 MKSPGFRAASDKGIRAAVLAVMTESGIIHESIDVVTSKRPVSEQLVDYHKNMNAHSYQQYMERVLPAIAAAAPPGRHPVLVIDNASIHTPIQKIPVSTSTKPVIAEFLESQAERFMSANGGRVVMKKYGVDEMAKEYGVIIIRLPPYHCCLNPIELVWGQMKGHLKQKRKTTDKIQVVGCNHRFSQECFRSNIAHAIDVESDLRVIMEAASRDGDSDDSDYEGYEGEEQCEREEVEGRDEIDEKYFIAPAVTFDFKRDQLPINLKQQKKHLKALAQEAKDYRRQMGAAGYAMEKIAAVVAVQYGTFNKNLLPSPLHAHLTIVRFFLSSGVCVLNSPLMVNPFLAISDRVHQNFLF >CRE08101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1015673:1017118:1 gene:WBGene00057231 transcript:CRE08101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08101 MSLNTIGKRLVRLDEMGCVRMSECGKSGYLSAQQPSVQFEMILDKSMNWYYPTYGFEDSSDNYSEQDERIDPLSLEAKKLEKDNEARNNEIKEGKERFGEKVEMSVEELKKRIEEEEKVRNELLKKAELKKEENEKMKKLEKLREFSTRLIQNQKRDFESRKIEIHTKREEMVKLKMKLEELQVEKKTWNLLSTDMTANLKDLLVRERETKQKMERKLREYTGGLYSRLTHLVNEFNELSQLVFCQKQIANDHDDAVRFMKKKEEYEKKKREDIERFEKLREEEGKLRRSRPNPRKNDLYYGYLAY >CRE08272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1010372:1011237:-1 gene:WBGene00057232 transcript:CRE08272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08272 MSYYYTNTSFEVDQDLATLEEELKMKIQAERVLRDTLNLELSEVARNKPKVDIQDKEKIALVGKLFELECRNSKTRELQKDYEFEDADELKDNLFILEGQEKKEESDNFKKVIRNHKLKDDQEFELLELEREEKEIKEEIEKLERENKAEKTVAIRTTNPDQVEELMDLFQEMKCSELAILNQERVKKYFEDYIAYVETRIDDAREVHRELFPSKDRPQTQEQIETEKALTVALGELAWEKKHRRQYELEHMKKI >CRE08270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1004879:1006935:-1 gene:WBGene00057233 transcript:CRE08270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08270 MSEDVKVQLENIPMNEEAPPDYSNPNFETAVDEPNVLVVNQQSEPVPIDSKQSRFNNFKRQLPIFISGFILCLMIFLILSAFSKSGSSSSFASENPVSKCSKRLIGYYRGYENRKITEQQIEKLTHIIFNGIKVEKDGRVQFSDDETRFSFLDMKNKARVMKSDVKIMFSTDHYSSNRAHVTEVMNDSKTRKQWIDSISAFIVEQQIDGVELYYRWPITETENENYLFFVRELRYKFERMEKLTRRKTPYLISIAAPPLVWSGDDTMLIQELLDYADFLNIETHNYYGPWKENGKTGPSGPLYSTSTNYSIDWTLKAYVCKTEMASRLNFVILFYGVSWMKVNDHSLSTDGVYKTYDKNPETSSNLFWTPWRKFIENGWNLTLTSWHNASRTPYIWNSENRKLFTFENERSLIEKMKYAKEKNIGGVSIDHVEYDDDSNTLLNAVTSVDLCSGEKFEKDEIRYECGNSNSKRIYNIYNSAELKFNFLGISVLFLFYFI >CRE08269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1002682:1004367:-1 gene:WBGene00057234 transcript:CRE08269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08269 MSESMKVHFENIPINEEAPPDYSNPNFETSANETNVVIVNQQSEPVPIDSKQSRFNNFKRPFSIFISGFILCLIVFLILKALSIGGSSPSPVSENPALKCGKRLIGYYRGWDNRKITEQQIEKLTHIIFNGIRVEIDGRVKFRDDAKRLSFLDMKNKTRVIKSDVKIMFSTDHYSPNGTHVTEVMNDSKTRKQWIDSISAFIIEQQIDGVELYYRWPYTDTEKENYLFFVRELRYKFEGMEKLTRRKAPYLISIISPPSVWPDGESVILQELLNYADFLNIETDNYYGPWKENGKTGPVAPLYSTNNNYSIDWTLKAYACKTEMASRLNFVILFTGVAWAKVNDHSSSTDAAYKTYDKDPGDSSTESYTKWRKIKENGWNLTLTSWHNASRTPYIWDSENRKLFTFENERSLIEKMEYAKEKNIGGVSIDHVEYDDDSNTLLNAVTSVDLCSGEQFEKDEIRYECGNSNSEQSYFIYNSANWKFNFLSIFVLFLFYFI >CRE08268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1000820:1002501:-1 gene:WBGene00057235 transcript:CRE08268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08268 MSESMKVHFENIPINEEAPPDYSNPNFETSANETNVLVVNHQSEPVPIDSKQSRFNNFKRPFSIFISGFILCLIVFLILKALSIGGSSPSPVSENPALKCGKRLIGYYKGYDSRKITEQQIEKLTHIIFNGINTEKDGRVQFHDDNARFSFLDMKNKARVMKSDVKIMFSTDHHSSNQARITEVITDSKTRKQWVDSVSAFIVEQQIDGVELDYSWPYTDTENENYLFFVRELRYKFERMEKLTRRKTPYIISIAAPPLVSVDEESLLIQELLDYADFLNIKTENYYGPWQENGKTGPCGPLYSTNSNYSIDWTLKKYACKSEMVSRLNFVILFTGATWVKVNDHSSSTDGVYKTYEKNPDASSTVFWTPWRKFKENGWNLTLTSWHNASRTPYIWDSENRKLFTFENERSLIEKMKYAKEKNIGGVSIDHVEFDDDSNTLLNAVTSVDMCSGEKFEKDEIRYECGRSNSVQSYFIYNSADWKFNFLSIFVLFFFNFI >CRE08267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:978165:984711:-1 gene:WBGene00057236 transcript:CRE08267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scpl-1 description:CRE-SCPL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3D8] MTYAESTRSAVVYSSIVPPPRTPVGPSMLKDGVRKGSAPLQPIQPKNGINSLDYWSKSEDEKSGITCYYSRHNIQFPSQRQISRMKQTPGRPTMNGFPSTSTAQNGNGVVRYPPFPQNTPESVMSPPVGIYRNVPAMPRAKLSKDEKNGSVKQREDGNPKNVVWGGSSRRDDENGATSAITPLNPFPASASNEKKRSTARRKPRWARCFQTLFCCVTPPREIEKIQSNQRTNSTNNNHQNGRPSTPTNAGPPIQLITQVHRDGTVTGLPATNQNGQPIGPAGDGVTTYEKIANDSIGTINEKPLLPPLLPQDSNKKCLVIDLDETLVHSSFKPVKNPDFVIPVEIDGVEHQVYVLKRPYVDEFLAKVGEHFECILFTASLAKYADPVADLLDKKKVFRGRLFREACVFHKGNYVKDLSRLGRNLNQCLIIDNSPASYAFHPENAVPVTTWFDDPADTELLDILPSLEHLNGFSSIYDLYRPEEGPQSELLNHCSC >CRE08100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:973419:977673:1 gene:WBGene00057237 transcript:CRE08100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mut-16 description:CRE-MUT-16 protein [Source:UniProtKB/TrEMBL;Acc:E3M3D7] MTEVNDEDYPEELDLSHTTEENEGIVGSVPSDEMHFIGAPPGSDSEVDEEYRQYQRDPYSDYSSSDNEFDCDDYLKNVPRELPELTNDLIVSGSDNTRLQELQDLGDPVEFLKVFLTASMMGQPLKVKDGFDPEDLDKCCSELMGITLTGVASVLLGAELQNIPNRSKTEMAFALANRGWLRAVNSKFYPVIPETENDFVLSLIEGAERLQHLDENKKREAEHYPSAEKEINALISFNMIVELMDAVREEYRIMLLPYQTLSNGYLDMVTGKKYPEIHKKYFNKLNLDEKKVWNSEWFNAFTGRSTLKKFVQMARFAEIVVVENPTSQFCFRADSENRPVRMFTANDIKDVEEKWSSGNEKNKNFGRNYGGQGQRGLPRGASQQPKRKIEQDPNYRSSTFAGGINDDDDDGSLMPSTSARENQAGPSSSSQPVYGRRSPSPTVRNQRARSPSQESRNSNTVPQSSYRPIDPFAGAMVHPISAGVNGNRNGQGGYETRRLQTFGASSSESDEVSEGCYSDDDPEDQKRKTIERNEKRLRKEAREMERRKKHKAEVHVPPVTRFQTNPFYKHKSARAPEPANPRASTAVEQEEREELVVPPRQSPFDAPSALAPAPAAPAPVSQPSNSDAQVNAHEDVLPPPPAAVAANTLPLFVSSSTHDQDRCSAQMPYKPAEGVLERNKQEEAERLRREQLSEMRMRSGFNQVPASHPWMSAASKPGPSQPQAYEEPAPTKGFGTTLPPTVQRSVPQPPPQQAPQMQQQQEPIYSTPFVETVSLSSLIVLNNSLGFQYQGRNRQGMAPQNYAQPAPQNYAQPAPQNYAQPAPSQQQYPQHQNLVQQNPPLFPDHSASQPQYQPMQQQYQQPLPQNPYPIGSQSSSTLPQQQPYHHQNQDTYRPTSRNHANPQHMDNQYSSMRDAMRPPVPRNDMNYDSIPSNSLHQIPPMNQPQYSSQQRQYNDVYPPVNPYNNRVPSTDFNDRRIRNEQWHQVQPGSYDPYGRSQNPGPGSSYNPRGEENCASFFGRLRKAAAASGGGESEEVKQIKIEIQRIVFDYASENRELTLSELKANLVRKMRHLQFFDVHEFIQTYLRNQVVIVNNGPYGPVVRPT >CRE08266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:968298:971775:-1 gene:WBGene00057238 transcript:CRE08266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08266 MKLLSFPLLVFSKIVCSMEIMEVFELSQVSNRILNCLHLSRIRVHTVNVVNDPYAIFIYEEESPLSENFMIHFVKTPRPVVGQLKVNNVCINVCGKNASQKVVHCNSNQFGYGLIHVLTHFDKIFFRMDFSIGIEISTINEMKGILCHPIFRKCGYLQFRGENETLSNEDCQYFLEKTQPNRGITILSKLGSDFDYKKILHFSRLRVPNLGMMPLETLKALDCEIADLGNHQFKEADLNDFLHHWIKGNNRKLRRLKLDGFEESPDWDVLLKDIAHTEWNPKERGKLYNHLDVDNLFLLSLLSSRMRVSIEAFSRKRIKSIGLRKRGAHNSFFAVTKNNEELPLVLLHPSGSKHIKESRIYVNGVVITSCSSTSSYDPLIFLDKNSYESTLKLVYEHIQFLFGRRSDYEVTHYRSCKNESFLPTYIKPTRALVYLTDTVELEKLIDWLPSVSFLKHLEVQDFSSKEPLKSKKFNTGIWSNFQGEYLALENVKFLETDIIDFLNEWKAGKGSQYLKRVDISLRRKVCLDPLRISVGIGLKTLRRVFISYFDQEYERRTNSPFKRIDLFTEYIVRDTDRAVATVYLLTNRIEICFLKKNELEMKRMGFINR >CRE08265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:945477:950542:-1 gene:WBGene00057239 transcript:CRE08265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncx-4 description:CRE-NCX-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M3D5] MFQKPSFVTHFFRFQMRVGSTVRSRTKARVVRRLILLPVALVAVMGAAHLMRERRSIDGEPGMMTGTPTNVSQPFWKAFMPTCNRSLAPPAPEVNDDGQFPPDPFSLKQRQNGFVVLHMCGLIYMFVSLAIVCDEFFVPSLDVLTEKLQLSDDVAGATFMAAGGSAPEFFTSVIGVFIAQNNVGIGTIVGSATFNILCVLAFCTLFSKTILDLTWWPLFRDVSIYMLALAMLVFFFMDEMITFPEAFAMFTIYILYCTIMKFNVQLEAIVKGGSDSEEASAHETQKLAADVAHNNNNNDVAALEGNDLRRRSSARRQSVPILHSGTMFRNGIMQLMNHTLEQLPEDSEEDDSSRSRSNVNVESHDMHRLNVNQSIPDRRSSQIEEIKSLLEEEEEKPLDMTWPETWTKRLTYVLLAPVVVPMWVTIPDVRRSHNRQWYPATFIISILWIAFFSYLMVWWANTIGETFVIPTEIIGLTILAAGTSIPDLITSVIVARKGLGDMAVSSSVGSNIFDVCVGLPVPWLLYFLMEYIKNPSEPLSPISVSSKGLLCSVGMLFIMLIVLVFAIFLSGWKMNKIFGCLMIVSYIFFCVFSVGLETDKLVCPLNICG >CRE08099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:943785:945179:1 gene:WBGene00057240 transcript:CRE08099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08099 MLRFVVVSLVLTVSATLAIQCHTGLKFIKGTSVGTATINCENSGAYCYNMTASAASLLEVTKAGCSMWRCMFAQNKCIGTTFQNIPISLCCCNTPLCNAGGEGAIQSQNSGGWNAEPEHQVTNLNKEEAQSRFDSAELDEDHPDSGSSSRATRFSKPDTTDPTTDSSETGGETVGRKVNETSELGEEVDIPLI >CRE08098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:939640:942238:1 gene:WBGene00057241 transcript:CRE08098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08098 MESTLTTSSSPFFLIFLILLMIQIPRVQGLRPRGIGLIRLPFGVSDHRSGPYLPNLYIAGNKLYHKTQPVPDVSLPGQEKHFSGHAQLNPFTHMMAVGTNNDFGDSWGAGYALQGVNFLGTSFWVGKKNKIKSFSDLNLKKNYRQYANMPHLFTDGQHQPFQVCLLTGAPVNSFIVGAEIDSSKFTSHAVALDIPLPGINEMFDFQEETLEKHDEELTDIYHTRMNFPIPTTNQRFPFKAHFFERINDIDLNLAHIIPNLNIHGIDRDKIVDQLVQNKANPTLVG >CRE08264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:910747:917668:-1 gene:WBGene00057242 transcript:CRE08264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-62 description:CRE-NHR-62 protein [Source:UniProtKB/TrEMBL;Acc:E3M3D2] MFSTLSPSAIDDILRHAVHFSQGTATGGIAPSPVSFISAPQHQPQNTSLNYPVFDTNHLHHHYSLPVSMQTPASSHGTTTSTGGHGRGRRKNSTVNLICVVCGDQAFGKHYGVNACNGCKGFFRRSVWNNRQYLCRFEGRCAIAKEHRNVCRACRLKQCFVAGMNPRAVQSEREQKGSPDQMQDVEEDDYKDLSSPDTCSVEIQTDVDDQKPVDHTVPLPSMETEMARFSEQLVEMHRAVCSYVDPVVKKEESEIKMEEGSSATKVVFMDAFFNPGLMSPRTPLRITGERIATVQDVMDEWRRNFVLFSDWLRALPEFLQLPIEDQIVLAKNRYGPFHWWLCANWTVQAGCEGVCYSNGAYFPRQIESQCIPDVKGSSSRMFDSLSVPIKELQLDETEVVLMLAVILFSDEVTELADLSQAGKDHVRIVGNRFVRMLHHHVNSKDYGEVMEEDGQNVSESQAAVRIAKMMILLSATTNLVYLTSDNIQLMEVLHVVPSEYLCHEVQLIHDSYETP >CRE08096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:905160:907534:1 gene:WBGene00057243 transcript:CRE08096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08096 MDLLEFLKKESLLTVKPLSILQLARKFKRMSGSSTETELIETEIYGHQRRIPTYSEIDWITRIRMMFTLGYIIYKEEIKEWNLHKYGEIKLNQSSRILTFVPKDKSYRLEGDFDEILAEEERMDCHIANGLKSEDDLLFLKFIAEKSLNVTAPLTIISLCDEYIKECGVPKTINTLQGYIRQIRSAIPHTIYFDLERKVRMMFAISAKIEKEFLAELQKDAFVALDDQQHIIEYRSNDNSIHLKGLHDSAAKVGTRGDDSIGASISAKKPFFYTPQQCEDLFDHLALRAFQATEPLNVKRLISNYNKDKGITQSKLPMNAFISSLFETIKAKDDWSLQQRVQMLFVLGVKLDSDYVTVLEKKADVVTDYEMRITKYSSHREKFHLEVINEEKEKEVEVKAEERMRRSRRTNPVVSEDQKPFGTPSKKLKLEPKNEEPSETPPDAQDDADRTRNDVAEPAVVKSEGTIPLLHILEGLKMMVEELNSLNLSADIKKIDKSLRDAKNKDISILVCHLDIALQSCFLLSTKRLHNVWSAESEKPYGEFVRIFNKTISSWNSPGLAELQTIINGNLAEKNKKFVSMGSFSSGLNHILAVIIP >CRE08095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:902860:904673:1 gene:WBGene00057244 transcript:CRE08095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08095 MEMEDYGGEEFVYESDEWEDGEIDLRDDDEIDREKEKELRMRNWKTITYLKYVDKHLKGMKKLIKEMVDSDEAETIDKSTAARMIDVSSTSLFFSDFMSSETPAETSDSEDEAKESNTESSDISSRESVSKRVRANNENEGISEKRRRVDSKYQGESDAPNPEIIPIPRKKYPYIHKVLDSLGIKVENVLHLFQCVPGLKYLQEKLEELLLSIAQGYDFSTQENRKLFMDKLNNSMPVDKMLFYQLFNCMPKEELMEHLARILPNSHEMTPEAWRLLIKILKCYRPVPVNLGEKPMDIPDFPLPKMMEQLIDLLPERLEPDPPLNSTRFNLRPEPLHSEGHTAILTLLSIADPVPKNDQPLLPVRIPPTIPEPQNIQASDLELFEPVIRLLMGFAVATSCSKMNVFIHHLNAEVQRNSRKQLMIPRGYADYALQTFVELLIAGSSEIVQEGNSIKLSTFLISLRMGSIHFQRFNNFQSVLTYASVSGNNTKKRLPHDCMVYWFAWCYNFLVSEIRKLS >CRE08094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:897975:902634:1 gene:WBGene00057245 transcript:CRE08094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08094 MACVIAKFSLDADERVSPREISGRIIRETASFKQKLPEIEMFVKTFAHNVLEYFPRIHPWLYAKIFFVCRTPISPSLFKFFSADAFLTVDEENIIIYYKRRNGKGEFGGPKVRNEGIKEGGAQTPRNEKQAELVAGGNAEKIGAKPPEMDSVGILNGKNAEQHLAGANRANIVNPTNEIPEAPMGPVSSERSKENVNVPILPPKPTNDDLNIKKCSDTTTTGAIYNTPSTSTNLSGSEPPSRMMNDGSVSTDSRVTKRGNSQEGVAPQGVSKPRKPPAFDFSSISNILDAPSTSSALPVSDVPPTLTNNESTSTNVGRKRKRNTQETTDLPGVPKPKRATKASVPNLGNMTFENFRTFVNPGETMTEFLSRIVEHPKGIGGRKGQIKREIFDHVTAQSSIEKQIIESEKQIRMQLEAKGLHMIPLKFEPRQRLLIMPEILPTNVTTTSSSYFKDISEDLQPFFKTLNKILENIPKSEILSDIYGRINQFIKYSEIKEIATSNGTTETAFTIICNCAVLNADDNVQNGITLRKFLTLLRSEGKKILIDNYFDRTCHFELRKLANSDKNTVQMDQLLDRPINEWPDDYWRMAWSIADFSKNALEPQTFYAISIDVLGKNEEIDCETGVIDKFVKSFAHLTHRFYPRIHPWLHAKIFFVCRTSLLDAEVEYFRTHAYLAVDQDNKILHYKQRVGLGEFGNPRMQVEGLDDPVDPAPTNGNVAGDNADQADGNPQQGNEVQNAGYGAEAQFPAQGNNDIHHNEQNNENFLENQYQYNVDRQGGNSDTVPDDFSDQYFYEGSNENNHPSTSTSIPYHEAPSTSNNIRLKRQRLGQIGEQENGYESSDQPEVSYPKKYRVVVLKQGNNTNLGSNQEAMYDTPSTSSDIPESGRYSKPTITSQNAQMEGFTSGTAGLNLRQQQLQERLVDSSNLRTNYGIPSASTNVPYFGKKSNHNTQLQRQGHTHGAAEKPRILNLKRYAPNQSVVGNHTNRYLNTHQQNIQSRIGDHEVNERGPSEPNREVYASNFSYMNIENYQEFMRYGETMTEFLNRLNEHRKAVGFKEAQISSAVYMRVRLNSMSEKTGNRLQNPRRPILKYIPVTFETMSKAKLMTTPEFLRRTQRARNAAPFIETTENLRPFFDALYFAVEGLSLTKTFANILSRIGEFIEYTEVKPIVSLLNSQFKTKLHFQMAREGTTETSLKIVLHCVVCNAGEECKYGYTLKHVIGSLISGLSDMNTLENFKESCYLQIGKPDSKLKKISYPMVDTSFAEALNYAISVSNFENPKTRTS >CRE08093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:895332:897186:1 gene:WBGene00057246 transcript:CRE08093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08093 MPRKSLFYGLPDIPRWKIIRLMDYESKYQLGRCSKRTFCLIDSIIFPLDLIILKSRIGCMEIEISTNFDDPLTHYIFSKRDDENCERNIDGCKSIIRGDFMKTALMELRKIFCYKNARIRRLNIEENFKNGERKKLINGIANILSSIGHQLSVKFLELFSCGSGDFSLGFLPFLKPEVLERIIFFSGKRTELHILKDIVELEQWKKVQSVYICHDQLDVPVENIKHLSYYHNERQKTSMEIKTIIENYFESKSFVRGRFKCHENPFNSDFDLPFHFNPSTQLYIFQKGEYELYARITSDGSVCIQKHIADLVARHVIYRQNLLLRGKCYLLGRKVPYSCVKYAWNLLDYIHKDDVFKEESRQVKVATCVWFAGYYTRASFSINDVSSVFGVPVEEIRKFLRMLKEWLNEVPAQSVMEICGFLGLSESFQEIAVGIARKATAKRLFFGRNQFNTAAATIYMTTKVSSEKRTIIEIGEKTRTGVASNLKLFEIMWAEKAELLPE >CRE08263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:893122:895159:-1 gene:WBGene00057247 transcript:CRE08263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08263 MLSKSLFYGLPHIPRWKIVRLMEYESKYQLGKCSKRTYNIIDSIIFSLDKIIFRSRPRSIEIEIANNFGGLPIYCIFSKRDNENCERSVDGCKSIVRGDFVTIALMELRKIFCYKKSRTRVINIEETFENNTTKTLISRITSVLSSLGHQLSVRSLQLFSLGNDDFSLGFLPFLKPEILKTILIFSGPRTELHILKDIVKLEQWRKVQSVYICHDQLDVPVESIKHLSYYHNERQKTSMEIKTIIENYFDSEFFVRARLKCQENPFNSDFDLPFHFNPSTRIYRFQKGETAFSAKTTPDGFVFVRKHKNINHLLTPHYVISKSGLLLRRKCYAIWKKLPPSCETYASRYLSCIRECEKLYKENIELKVAACLFVACHHLNSTNSIIDISEVFGVSVEEIVRFAILVVTWNNDCVARTITEICRLLGLSESFREAALGIARKALVKKMFLTRIPMNIAAATIYMTTKVTSEKRTIIEIGEKTKTGVASNLELFEIMWAEKAELLPE >CRE08092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:889913:893048:1 gene:WBGene00057248 transcript:CRE08092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08092 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M3C5] MNISQMASIEKAPYYHGFLPREDVKMVLKTNGEFLIRISEPRKGEPRSHILSVFHNQPPNIDEETWIKHFVIKCNDNKVFIEKNAFPSIQELVDHHMKTKDSVKDDVLLLKALPRQPWELDHENIEILKKLGEGAFGEVSMGKLKFRKGGKTVNVAIKQAKLSNLTKDQIKEFMGEARVMRQFGHPHVVRFYGVAATTEPLYMVMELATNGALDSYLKKNPDLAIEKKNEMILQAAWGLEYLHSKPVMHRDIAARNCLYGDGRVKISDFGLTRHGLTYQADPNKKAPIRWLSVEVITQRLFSLKSDVWAYAVMSWEIYNNGIEPYPGMMVAEVAQRVLGGYRMELPDNLSLEIKVLIKIPISQARAWAQNENERPSMAEIALELQRITGIVRPDFVAMEAQIKKELMVANNLTNKSHVRKNKAQKGRLPKGM >CRE08091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:887368:888501:1 gene:WBGene00057249 transcript:CRE08091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08091 MSDSSSENESSFDFLSDSDHSDGPDEKQQEPEKMENVVESSGEDEVSDDDVDSSGEDEETSESEEEESSEDDEETPQNADVKTLLQASEEAALKLLDEKYLANRESLRALESDIRNTNEEATKRIEEAYRLSLQEYAELRAQQSLTAEPPVTPQAVNQRSDSGQQAPYDVERAIAYMLQHIPKTPEHSQRRRDARTPARDANAMDQDWAIIGAFVVMGLLCPFMFWYSMEQMYSAMPEPDLKNFKCLEDLPEHLMTKWTPDFSKYQGVEAFARYIGNQKDAKYLYEMHPVLTNMEENQVDLQFYTKSENVTDEPICLTGPCVYKNRHITVFLKDGFLHVNEEYQVSGETKNATFVYSVSE >CRE08262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:879060:881530:-1 gene:WBGene00057250 transcript:CRE08262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zig-1 description:CRE-ZIG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3C3] MKNLLLTTFLLIGGVSALAGRGSKSALALIAARSSEAHPLHATDPITIWCSPDNPQVQMTKATLTRVADNKVFELEMNPTKKNATYTFGSPSVKDAGEYKCELETPHGKLVHKVYIYSRPIVHSHEHFTEHEGHEFHLESAGYTIEKGESVTLTCPVTGYPKPVVKWTKNGSPLALSKSVSMEGTSIIISNANYTEAGTYSCEALNEYTVNGKTSKMLLVIDKMVDVRSEFQWVYPLAVILITLFLLAVIIIFCEWRNKRTASKI >CRE08090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:876827:878319:1 gene:WBGene00057251 transcript:CRE08090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ensa-1 MLFRPLVAFVYNLSYQFQYFPLTHCFSLCPYFSPLLIVFFIIFIVIFRTRVGQQHSHALFIRFQLCPSKKEKHIDKMRGEAGELAVSSGEIATGALSPEKQQEQELMGKLAATGKLPARPASSFLQKKLQQRKFFDSGDYAMDKSKAGTGLASKPHPLAGGPPPQAPPPAAVQKSPAPASSPSPSASPISQQTSRPSAERNSDDDNLQIPRPDTVPQRKASIINPSVHCKLSPAPHVQHHDAASPTATNE >CRE08261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:866247:868226:-1 gene:WBGene00057252 transcript:CRE08261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08261 MDIVVVLALVVLAFVFILSLLVLVVTCQRRRHSRAKSKVNSFVFLIPLLYTFIFQVLVPFEKPPNRFTRNANGVDGVDEIETMEDLDDLLNELLQKNPWLFEARGIMQHVVAILKLTKLITSRLSDVELPTAPSPFLDAINMAMRNIYPRFDDLVESIAAHPIDMRLLEARSLSLGTVSWSLYLPYTLLDEEHKELIQKPLNEMNLHLVTIRTAAHLIAMADKGAEDKLDIVDLKDHLLRMRRQIRGDMLLEDDQVLGNVFRSDDDNGVHDDHHDPDGLVVKNMVVNLNDHEKMPLVESEATIDMIELSRVPMMEQEHLLKSNGVSTDGNHKHTPIHHLHHHHHHPHHMTNGSLNTVEEINEDDHHGEGTSTSCSSSSTSSASSSTSAHHHIDPKA >CRE08260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:862634:865493:-1 gene:WBGene00057253 transcript:CRE08260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08260 MESLEVRLKQAAATKPAEHIRNVCLVAHVDHGKTSFADSLVSANAVISSRMAGKLRYMDSREDEQTRGITMKSSGISLLCEPLLINLIDSPGHVDFSGEVTSALILSDIALLLVDVIEGICSQTEALIRQVIRNGQVMILVINKIDRLRVELKMSSSEAYQHMARLIEGVNSCISQVLGGIVVEDDTWGNIEEAEAKLHFDPAKGNVIFSSALHSYAFGCEDFATLAAEKMKVEKATILPAMFGDFWIDSTGSIRDSAAAKNKATMFERIVLEPLWKVHDLGLVENDLTKLSEAAKKLGFTLKSRRANEAFDELMRSWLPLPNAAFRAVARAPNVRSTFAAQHRLDHLTGHRLDHPLRPFVVECNPEAMTVVFVVKLLQTEDKSLTSRRAICRILSGTLQKGDTLHVLQQYGSGEVTSTTINRISILRGRDSIPTDKVTSGMVCAIDAEILLQNTTLCSKPDYPSLKIGSQTGEALVRVSVSTQQLDDMDDLREKLKLLALLDTSLKVMELENGELAMVTAGEVHLQKCIKDLNDLGLVDLDVSEPIVPFMETVIEDSTLSGPQITEQETECRIREALHIKLRVAPLGDAVIEFLDKNAILLSAIRRGEADQAEIDEFHKKLTSICTESLPTLKGTWWYRKPKELIETMIERIWAFGPERARANILFNNVQSYDRDLVWKKTEFGTRRYDQTLVGGFEIFCNSGPLCNEIMHGIAVIVEEWMVDEEDGAIGGQMMSAMKATCSAAAKKCALRLVAAMYKCTVTTASQALGKVHAVLSQRKSKVLSEDINEATNLFEVVSLMPVVESFSFCDQLRKFTSGMASAQLQFSHWQVIDEDPYWTPTTLEEVEEFGLKGDSPNHARGYMDAVRRRKGLPTEDLIVESAEKQRNLKKNK >CRE08259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:857446:860030:-1 gene:WBGene00057254 transcript:CRE08259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-49 description:CRE-NHR-49 protein [Source:UniProtKB/TrEMBL;Acc:E3M3B9] MASFLFFLINFPLFSVMDLVDPLAEPCAVCGDKSTGTHYGVISCNGCKGFFRRTVLRDQKFTCRFNKRCVIDKNFRCACRYCRFQKCVQVGMKREAIQFERDPVGSPTSGNSLNGTPFKKDRSPAYENGNANSVGSNGGVGQENMRAGATQSSVIDGLMDMESRVNQEMCNRYRRSQIFVNGNGSSGNESGDNPLGAGGSDGSAFAPPNRACTTEVDLNEISRTTLLLMVEWAKTITPFMDLSMEDKIILLKNYAPQHLILMPAFRSPDTTRVCLFNNTYMTRDNNTDLNGFAAFKTSNITPRVLDEIVWPMRQLQMREQEFVCLKALAFLHPEAKGLSNTSQIMIRDARNRVLKALYAFILDQMPDEAPTRYGNILLLAPALKALTQLLIENMTLTKFFGLAEVDSLLSEFILDDINDHSTAPVSLQQHLSSPTTLPANGVSPLTAAGSVSSSGVSSVVSGITPTGMLSATLAAPLAIHPLQSQDSLLNGNLLLNGEQKTLIE >CRE08258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:851223:855025:-1 gene:WBGene00057255 transcript:CRE08258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-20 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3M3B8] MDAQALPQHHSASSNKPGGGNRVAVPRMVFAEIVGTLSFLQPQADDDIFDRLHYYYTTTFLLLTAVLISLKMFGGRPIECWLPAEYKSSWEDYTEMYCWARNTYVTAFEDDNLPEVVNREYTMVSYYQWVPFFLVYVAFSFYAPCLLWRLFYDKSGIRLKDIMAFANDKANVVPNQRQANIRGLSAHLSSVFKHRFRIGEKHPYHHKVFKIFNVRYYESYLTYLYLAIKSMFLMNVLMQMYFMSRFLELDSHRYYGYGILYDLIMGRGWKESSNFPVVTYCDMQIRILGHVQRHTVQCVLVINIFTEKIFFILWLWYTLLSFISFGSILSWIFGSIPFNQRRQFVARRLELADVNFEKSRYRHELDEFVRDYIKIDGIFVLKMITIHSGILMCTDIVDQMWDQYLEESGNQVIREIIEEKNYGDDYDRSQSAVSIEPSIRRKTSVLVPLMSREDLNVTDQSPTTPTPQFLRPPSSRMTANV >CRE08089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:847046:850810:1 gene:WBGene00057256 transcript:CRE08089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-69 description:CRE-NHR-69 protein [Source:UniProtKB/TrEMBL;Acc:E3M3B7] MVDEICHICNDKSTGKHYGAISCDGCKGFFRRSIRKRYHYQCRFEQNCDVTRNKRNACRACRLQKCVKAGMKSNAIQNERDAIGKRKKASATEKEDLIDQLVAAETLCQQLRSSVIKNTSSLAPYDCGKVKWNYEDARAATLDDIGKSIHQQLVLFIEWAKSLPQFSFLAQADQAALLKGGAAAMMVLGVAYRSICLKMDNTICLANDTLLSKEQAETVGDINGVVTRIISEIVNPMRRMNMDLIEYVALKAILFFNPVVREINDQNSVETARFAFLRSLQRRCTDKALENMEDEMLECRSGKLLLLLPSLQAIAQQLVEDVQLARLFGLVNVDSLMEELILNEIKPSETQVLQTSLSSPMSTIKAEVEVEE >CRE09644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:227099:230503:1 gene:WBGene00057257 transcript:CRE09644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irx-1 description:CRE-IRX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MX02] MEKSEEVATPAAPSSDVLRGMLPPNLLGQFQIHPNAMTQFLQAQAAAAQQPNLVFPGVPMFTPGLPADFKPEMLMGAGGPGPIPFMFGDPHRLYHPYAMDGMKKRNATREATAPLKDWLHTHRKNPYPSKNDKLMLAVTTGMTLTQVSTWFANARRRLKKENKMTWSPQNRRGDGCDDDDDDFDDDMNRPSSSTSINSERKGESLFGKPHLASPTPSGESGGSDDLTTPKKEPISPMPTNDLDSPKRKPKMWSIEDVTTSDDDSSKKHSPPSSDEDVSTGSLLFHSAMMKKQMEMIARMNPFMFQILAQQATQSQQISLLAPQSTPPNEAGEQVKVSRSELPSGIDTITSLLTNVFSSIPPRVETPVC >CRE09685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:205685:218634:-1 gene:WBGene00057260 transcript:CRE09685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swah-1 MEEEEVDKNMENRGHNTPSTTTTTTTIPRGSVGSLLDTTSVSTCSKRSLHSSRQTLSDSKEFYKSAGCRWLRAVRLGDATTVKKMLEDKPELANYAPNYGPLALHMATVRSDRSIIVLLVSKGVDVDARDPAGYTSLQLAIRRGDPSLAHFLISHGANLELCDPDGRHITDYDEWSEEDQMAAEKLVYGKPLLRPKAHSFVGFPSSASIKSSNSIRPPRPSSTPDNSMLCESEHGMKRDKKRSSWKSFFEKTPIVKKFGSISKA >CRE11834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1146054:1151345:1 gene:WBGene00057261 transcript:CRE11834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11834 MKPPTARKPPSKRPTTTIACPKRSGSELEDIEEAPPDPRARSRSEDISVHCLLREIDDKKLANLIGGHPSARSSALIIQALRQQMSVATLDEYVRDSLEWMVSHDVLMLKSKKNSLFSRVIQADGVDSREQMARLINTMASYTAGRSYFTVHHKTFIPCLIAVLRGKRLPSTTHDQLIACVQKMSIRATCQKELIQNGMLEWAVNHLDQKLNNYAFEYLAALIVNLSTNSLSHPLITRLADSIANSVANLVVKMTHGPSCSLYNTLLLSILACPRIRLRAKDTKLLEAIRIRLETRRTCPLCNLHVPFLIAVVHQVTRRVIIFITF >CRE11833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1135257:1142318:1 gene:WBGene00057262 transcript:CRE11833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rfip-1 MTDVYESPAREDSPMRLQGSSASSASVASRLYGTRSRRDSLGGSSSESDLIAFGGDQDALNDFSSQMNQFNTFTKRYSELEERNMSLSDEKTRLKTENSVLKERMHNLEEQLTDNEDRFKQLLSDEKTRGTESMSRLKREKELETESWNLKYQMLEKDLNAAKKDVERSNEETKRVRNELEKTENKLEEAQLLIEGMEEERIQLERQFKKFKEEAQQDIDSSSEMVEVLALETEELRRKVDGPRSGSISDHLGDMHEEMDALKAKVAELMREKEEMADQLLATSVERGRSLIADTPSLADELAGGDSSQLLDALREQEICNQKLRVYINGILMRVIERHPEILEIGEEGVRILSKLTVRRRISVLANIVVVSTSGRILVTDSFRQNLCLPSLLVSTMGMSSDDDVIGKTSCDVAYFSFSFPSQTL >CRE12002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1127748:1129095:-1 gene:WBGene00057263 transcript:CRE12002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12002 MLKAVNCTQYLSRRWIGCSKISSKLEDYDVVINPNSQLFEKFMIEHGSKRMDFKAEDYNTWKSSWENKYRLGLFFLKGQFRRCPSSRTDISSGTENLVFSFHTIQYESRGFQPNFRHLGMAWIPEKYRGKEILKVVTDYLIEEEDMKKQNMLACNVYWSQNFWKQATGRSDTSSCTYYISHYDMSEFRIPATPKMTGNGVVVKRVNTEIVSDVLKYDRAIFPFDREKWMKSLFLDGIGRVAYDSNGRVIGVGCLSIYPSGECVISPLYADDKAVAQLIFRSILEEVLERNEKLWRIQVRSNDQCRDSFEWIEPFLKCSIRRTHLSNLCYSIYPPRHFFKISKVYVNAHPTNGPC >CRE12001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1120934:1126944:-1 gene:WBGene00057264 transcript:CRE12001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12001 MSRLSLGGSIRLHDLLEASDDEYGFVRTEVERALDCRDATEEEIMRESPVRFSYKLPEEHVIPAGGEVPRASATPSGSVDDSEQKDKLSIGSNDSGKKDSEQSKIGAFIDKAKRKTHKLVSSRKKNNSECGTAEASPLPSMRSLESISKSEERLSSRRSSGGSAEQLKHTPTPGKSGDDSTEILEELVARTSPNQTLIDPADPSDPFLVQSLIHKCRVLPFFRARLVVFGTLIALTIASPGFITGILWGFYSTIIGFLYFFVSEPKPKEEQKDHWFAGDEEVERAVTSPLGVDNIDDQGFGLGEGVIYRGWMNELRTRYSPANYHVNSAQSVLVRLEGSMLRICRPAKAVLKHAFYDDPTLKQNQPSMVSQVIYDMKDAQVSLRPKRLARRRWWSRKYPIHIRFAHSSSSLVEIDHSSKAKSRGMARSASMAPHPNISETSDFPQKSISDDETDGYTAESETEAEDEKKKMVRANSASDIHEFKESKAHKKRGRSIYLFVRAAREKERWFHLLREACARARNSPKVRRCMSVIVKTCSSASLPEEICRGEDDTNNTSVAVDALTAEKEDFNESLFNTPKRTCLPKEYEYLKYRSNYASFVKQIATILSVQVPPRPEKNSTVSVDLGTMKWAPGATQISSELVDSINVLATRIFFDFCRDDFWIRQVKQKIQSKLATIHLPYFIEKLELGELKFGTTAPKFTAVYTPKVDEWGTWVDFEMKYKGGIRLVLQTSVNLLKLQSGSQQVDTEKRVNRWTESIRVTRYSDSDLPESPESSPDEDFGAKNNSEGTTKEKTGKKILSIVERAAQSSLFRKAAKLQAVARLIEDVSTTPLMLNVEVEEVEGPMTCNIPPPPSDRLWYAFRRRPILKLRAVPQVGDRSVDLSTVSEWIETKLRQVLEKNLVCPNMDDIILPVLSGNPLLHMGYNK >CRE11832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1119263:1120886:1 gene:WBGene00057265 transcript:CRE11832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11832 MSQKLLAVFLFLTLFIAVSTQVQCYYESTRRITAIRTIKLTAMDVRTTHAPALVAMKTAMDTEHVVAEETSVILDTQQPQSLRLFSQFSPFFIYKPKLTKNQSIISIHMDVELCRFARNQLAPLMTTTSVRVVARRITVILREHHFIRWF >CRE12000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1112969:1113835:-1 gene:WBGene00057266 transcript:CRE12000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12000 MVGASLLQSDDDSDVPDLDLRGAVSNSSRRRTFFNKSTTDYEEIRHRQKTYKTIFLISFLFIFIVALYFLYTAMCRVKLLSEEIEGLENMMKGMSNCFVSSELRQKMGNVEDFQIELKTIRMQFEVIRENEIENEERNGTVRTGSTTGMIYDKLTNISSRFDLLWDGFQKNSMSMDDVTSRMSRVENKCLEVCQQHDSVGGVAMPRGREQPRRRQAAGKVKTAGGADEIVFRRND >CRE11831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1110866:1112755:1 gene:WBGene00057267 transcript:CRE11831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11831 MNQSEREFVDFLRSECKDLLTDYYDTDFNLLRWAQGYGFNKEEALAELRRHLRFRQYYDLDNILTTVPDHPILKKYFPLGLVGETGKENQLLVIECAGRIDLMGILKSVHLSDFLIQRFKFQEKMLAAMNEMERKHGTQCSVIYILDLEGLKFDPALINIVTGPYRILWASVYTAYPEWINTLYMINAPSFMSLIWKAIGPLLPERTRNKVRICTMNSDWKTSVQKHAHIDNIPKHWGGNLVDKNGDGMCRDILNIPFDSIPQELYWTPNLETPAIKDLICTNIGAGKSAVFTYTVDKSVIEPVYIVINRFCDRTFGMGIWHGDDETSELEEMHELAPDFDYPGMPTVDYLRLRMPGPGVYKIKWGNEQAWIRSLTLYHRVRFQNEHKENVKYMEL >CRE11999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1107222:1108741:-1 gene:WBGene00057268 transcript:CRE11999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11999 MEVVFSFLFIQLTGFILFVQSLELNQCRQFTSCEQCAGVVDSEVSCRWCLETSKCIPSKYLCHPWKTVLHGVNCPISKIPTTYSDRFLRTEVAAYIQAANRVSEYSPVGAPMSCLMKLPSAVAVLYELDVPTSLEGRTVGVLIGVNHDLQHIFIGFRSTNDPVQFVSQFYVFMMGWFEDFPLGGRMVAIYSRMYRDILQFGFDECLGKAVEKHPTYSLLVTGHSLGGAMATIFSLHVAMKYPQKQTRLYSLSAPRSGDETFVKLLNQYIFEQFRVVRDGDFVPDSPFRVSQTIETAHHNSFEIFYGSHMAVDNYVICDQPETEYCLKGSWWKKPVAHMYLFDQNFYNYHLGYCE >CRE11830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1101142:1103124:1 gene:WBGene00057269 transcript:CRE11830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11830 MRSKVRYQLLDPSAAPKSCRFLWLFLFLVACCIAYFLVFSTSTKGIFNPLKPDERNPMTYEQVMKDLRNEIDQRNVMISELKKDFEKLEFKSELKNLYRRRPETEHVDCGRVLTGDKASMKSYLDSVSGKNRVKIVENDNLDMSCAAIMNRILPTGSVLKPLKHGVAFARIVYADYEMIEKQVQMSYHSQNTFCFAIDRKAPAKFHERLRAMAACLPNVLLLPDEESVDSSGHNINLAHYNCMRALINKPGWNYVILLQNHDVIVKSVYEMEQIYEWLGGANDIEITPEAGRLDKNFKWDPKSLKMFRNETGINPTVLNSKMKFAKGAAQGSLSRGAVDWMVRTTDLTTYIEQWNKGGFGVDEQFIQSFQVSADLGMPGHFTDGCLKQGKNTDFVSRMSQWKYGGADTCGSKTIRHAICLLGIEDFRTVAAYPNLMFNKVNPVESEISISFYFQMIPSFDYSIVECTAELLFNRTFLGQEDHKLEEDYYTKMVNVLYHKNHLDPNFKLECKPTYKRWAERQYPL >CRE11828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1093498:1095320:1 gene:WBGene00057270 transcript:CRE11828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11828 MDCVSLISSNVDYSVDPCDNFFAHVCPVTSENHFMRSLVEIKNKIVDDYKAMNPMFDDFSYDKTSQTADTIRQLLQSGELRKLCVKKEKLVTLFTRHLLKFEVSDTERMERWKALSCEGKLEMIKQFPDPARKYKLSRYILKEAIDASIANSQMKKLDGKVRKLFKKLKLAVLKQLRKTPWAIRNGAVEMYESALQNINFTTFSNLQPSVQNLTSGFVKVRRECVESLNGEFSEEIEYGICEVIAVGEGLRALSKPIESVYSSDMNDILKDSLEMWNSQDNHVYVGNDFLLMANTDYLSDLYGGIGFSLTHEILHTLVFDYRDVLLNKTLAPFWTKDSKCVEEQTMKTCETFPTVTCNSTLTFEEDAADLAAYRIVWNVHQKEYGRKTVVPNYESLDKKQLFFYGAAVVFCYPVSIFYWRVYNLNLFLQNAMNPTSVPDFDHSSSYQRINSLMSQMEQFSDAFKCKPTDKMVMNRARHCELYGSNAQRKHSFRQ >CRE11998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1091025:1092208:-1 gene:WBGene00057271 transcript:CRE11998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-5 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3M4X5] MITRTIVFLSLAALATCQGDAADPKETNYKKFIGEQDYKLPFKTRVSEPLAVGQTIHAVGTLSEKPTRVDFNFHKGSAKDADLPLHFSIRFNEGILGSGKLIYNTFENGNWSEDEQRISNPFKAGQEFDLRVRVLEGKFQVFANRVEVGVFTQRLPLDGIDHVSIRGDLSKLRLFHYGGRIFPNPYMAVAGLTPGKRLDISALPKGKRVNINLYRENKEYALQVSIRYNEGAVVRNAMTSNVWGKEEREGKFPLDKKQVFDITIINEEFSFQIFLNNQRFTTFSHRGSPNDIKTLEIDGDVEIQTVTINDAPGV >CRE11997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1090083:1090713:-1 gene:WBGene00057272 transcript:CRE11997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11997 MGVDEALIKNAQKIVDRLIRQLAEIENEKESLDDEEYKTLREDTISQLQEYGTIVERLQGGDVSLIDDLTATKIAIRAAISKAFKTPEVMALFAGKHTGLLREKLMMTESNYRGEKISKQEYLERKFEILMALRRLEETLTDDEQKFLRDRLETPEFQLIEANANRIFSGTLPVSN >CRE11996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1088317:1089935:-1 gene:WBGene00057273 transcript:CRE11996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11996 MSATKKPKKLRLDDKTENVSYPWQAWWHPDKKRKFYKNLETKESIWDHPDTLKRKETASASCRQTRKRKDDEKKPQASIEDDRMEVDVAPPPKRKAVETEETDEISSKRPRRHSSVEVIEKRDSNREQASSSSTKPQANNILPRVPWAHKTRPITKIPYRACAIFDTCALLENPSVLNDSVEKQILTIIPYAVLSELDGLKNSEGIRREANIVSNRIRDFQEDKNHYLRVETSVEQQIRIDEFRPNESVKDDAILKTALRIKKEILSIASTLNLNDRAVLLVTNDSVLSNKALTHDLTVENVNDFMDIINGRAKQHKPSDRRSNSRKTPPRSIRYDEPQRTSRSCERQRPSAAVERVKKQREVTDWIQGMSKKAIDQNISSENRTEKAPNKPRPSIPLADEIVPSTPKPIKTARSYNHHTYQPSKPVKSIELDRNRPQMPLSLSDDEAVTGDDMDCT >CRE11995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1085006:1087656:-1 gene:WBGene00057274 transcript:CRE11995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fzo-1 description:CRE-FZO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4X2] MSGTASLIPTLPASGDSHHRGLHSREFDFLPTFSSVEILVKNTRRGTDNEPLLRFREAKKVLGDVYGELKDNVAELEGVYKGKSINTCAIENFKTPDIKENDFVSIEQREEIEAIRDSIKTIMDTFQRDNMKVVFFGRTSNGKSTTINAMLHEKVLPQGMGHTTCCFLQVEGSEEDVGHLQLDDNPQKIDMKMLGKIGHALSDENSDLPAMGQDSLLRVFHPKQTENGECRLLQNDVVILDSPGVDLSPEFDSWIDKHCLDADVFVLVSNAESTLTQAEKNFFLRVSKKLSKPNVFILNNRWDASAAETENIEDVKKQHLTRFRQFLVDELEVCSEREVNDRIFFVSSREVLESRLKARGLVQKAYQAEGHGTRALEFQNFERHFEQCISRSAIHTKFEAHNRRAHEMIGKMRLNLNSVLTSAAEQRSKLQNNLNEATRTFNECRVNFTQFEKAYREQTEQLRAEVHLKVSADFFEEIARLDAIIDRFDQPFDGSSSGMAKYKQDLAIFVDKCLSSDLEARCTGGLMSRIWNLENDMFQYVTKILAEPYQNKLEEVWRYRAPFKFSICVDVPALVNDFHEDLEFRFTFGLHAMIRRIIAYRSGQPVTAINTNLLTPLSLKAQSEKNVVRDADSNAAAEEQAMMTQMVLTSAAFLANGSLGVLVIGGIVYKAVGWRVIAVGGAAYAGLYAWERMRWNSSAKEQHLKEQFRSHLAARMQQVSTAHTHHCETQAIREMDQVFDGLKSTVGGVHREMKNDLDGQKTEIDTVDSTIRTLGTIKGKAVFLLRNLEQFASSYLRSDSPSSP >CRE11994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1083531:1084685:-1 gene:WBGene00057275 transcript:CRE11994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11994 MKEDDEDGGISVEFDQCRQEVNFYTSCLEDRGDDCGDISRSDSAVCLNSARDPFPAVLQKFNSSRRNHQLRTFPEINVKMCQVLRKEMEKQRNGTDSYLLTQQRLAIHLIDFVDLPLKKNTERRIWTDRVTVPLYTMTESGLDVNSDYFFPMESPYAAIQKSYVRSLFQNKYQKSNLQLRSLADNLTRSHRKLIEICDFVERCGEHSQLMLPTLIAVLCDWVNGFLRDHTHKLDELRTERLADPLLTLARLNPIIEDVEIVRSMIGDDATRHVWLWEDIEASWNRVFLLTQHEAIAKKRKVVEKLQQKWIGSLLSTMDHIFEIGRVPAGIVHFVLHK >CRE11827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1082414:1083498:1 gene:WBGene00057276 transcript:CRE11827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-74 description:CRE-COL-74 protein [Source:UniProtKB/TrEMBL;Acc:E3M4X0] MTSTTSAYAAIVFGAISIVCIIYGVQTISYNIEDIRNEMNVEMDIWKSETDSLYRDMQKFGRVKRQYGGYGAAGSNPTPHGGFPGGSSPMTPGSFPGVVGVPPQFNPTQGGEPTPGGSCNCQADNSCPAGPAGPKGAPGSDGIDGIPGVPGIDGQNADDAQAQTQQYAGCFTCPQGPQGPPGVPGKPGVRGMRGARGQGAMPGRDGTPGQPGTLGPVGPVGPQGEPGSEGEPGADVEHQVGLPGPKGISGPTGEPGDQGPQGDTGAQGIPGAPGERGPRGENGDNGENGAAGAAGEEGEPGTDAEYCPCPQRQESAPVNANQGYKNRRH >CRE11993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1080668:1081950:-1 gene:WBGene00057277 transcript:CRE11993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11993 MILEAVKCRIRIGIIEFPCRTFTKAFHSILSATKFKKDLLPFDEFRSLFYDTCLTLKNRFADELLEELHKNNRFVNLWVDLENIVIGSISQQYATTVFRSDSNVTNFSDAFWLASRGRMSKENIMLFSWIATKSEFTCKLGHLLASFIRPEFIEVMNQCMKFAHSAYRTRELLVTMANDSEFSKPIIYQTEISFLENLMCRMKCPQSTLDISKAHQKINGVDNMNRALRTRLRFFVFTLEQIVSHFRELFSDKVGLCLICFIYSTFSQVVYVFKTKREEILNATSLKEVENAISDGHKKLSDLVIRVGVRRLVHETMDMFMNMTDEIRLRSVSNTLDLDYLTRCEESVRKNLQTLLSLHEQWGNDKDSIFFHLSVRLGKLKMGS >CRE11992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1079639:1080488:-1 gene:WBGene00057278 transcript:CRE11992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11992 MAEFATGMRQIRKTIKKSAEDIAYMSSKGGFNNDQVKTTMRDTYVEMVEEMQKLDKEGQKLEEIIEVLINDLKDLDDDDDVPTRSRYDQLYKEVAKGKKNVAGDKQFFKDILRGIRNEDDETEDGEEMEVMQVQHSRKDPISKKDIVNPVINEVCAFVHSASKFQQACSHVYDRDSIYEFAGKKRSIKCAMQGCSETITLSKLINYPEYWNNIKQQQ >CRE11991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1076123:1079332:-1 gene:WBGene00057279 transcript:CRE11991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11991 MSATATISPPARELRTSAICGYLHRIEVRSIGLITRRRYWFALCDSTPYLYWYKDSDDIKCIGRVSLSGAAFTYDPKEKGRFEIHSNNEVIVLECSSDKQRNEWMRALQSTRKRSWKTTKSQSDSSLDISSLTGRNSSAVLEEYSSPSPVPPPRSPKPKKRTQFATENLSESAESLNSSENTQSITEELCEVTEEVEEPPTPTEESSTEWYLNPNGQLNERSLQMPKTIESPESVLKRLADHSIEAPFRAIRRNLSSWRGSRQNTVDEPVVGSRVSCRQVVNLSTFSKFKKGTVSSVDLSPEEKVIELSDKVSSLEEVVDSLRAALLLAQRNNEALKKIEDMGENNEEMREYLLEKERQVTELHISNSMNARRVRDLEDQNLKLEDTINDLQQSVEAFRESLRTKEELILRMCEEDHREDLLGSISERSLNVSTDANGIVSDVEVPEGILVDVSSVDCEEATRRLMRPFLLFMYVFIFRVLDEENVRDIGELQDLVDGYRTQNQFLNAEIVELHAIIQSLETREKKLIRQNFDLEACYYQLKSRYLMVLNHFKSPTKPGKIMEPGVLKELLEESARTPRESQQNLTDQLGFYNKDEFSTSDDLLDTASFYMKKAGDIVEATKLEQSEEYMKWLQSWDSFLVNNTVSRPVGIMSSPDLKTLIRTGVPPAYRGRVWKSIVTHWVKDKQAELGNGYYQSMLKKAGTKKQDGSYDAAIKQVAIFIDLDLARTLPTNKLFDEPDSANIEKLRNVLYAFRYHNSHVGYCQGLNRLAAIALLYLDEQDAFWFLVACVEHLQPEGYYTSSLIGAVADQKVLRDLVAEKLPKLAAHLRSLEVDLSLFALCWFLTCFVDVLPHSIYLTIFDAFLYEGNKVLFRFALALLKICEPHVLQCKTIGTVHQCLSKAQDHITDFKSLAQVAFNEMNPFPQKAIETKRQLYVTQLKDSGHCM >CRE11826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1072838:1075651:1 gene:WBGene00057280 transcript:CRE11826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ehs-1 description:CRE-EHS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4W6] MDDSYAIATPHNDAYNLAFSEMNPHGAPRIGAADAANFLKKSNLPMPMLGQIWELSDSQKTGSLDKRGAFVAFKLVAAAQQGKPVANSSLYDSSLQPPRFGPPVPPASMQHHFQPSFPSPGRAPPVPPPPHPSYSHPISSQQSSPAHPPSEFPPNWPITPNDQVGIIFAKYFIWKEKSKRYFLVFSDCLHHSIYLKRRWLFQAKYDSIFQSLNPVNGKLSGTHVRPVLMNSGLDAHALARIWELSDQDKDGNLDRIEMSVALHLVYRSLQSDPIPAQLPPNLIHPSKAMFAQRSPNFAAPPHPPRPMMGSRAGSVTSLDDVNMSQSYSATMPRSQPPPVGRTFSSQPHVNGSRTSGASTPISTSHSIHSFSGGEWPIHTADYADHFAQTDTNRDGLVDGQDMRGPMMTTGLSPQILAHVWALADIKKCGQLNLEQFSLTMYLLDMAKRGEPLPAELPSHLVPPSFRPPTESTVTTHQPAQSVSTPQLPEATSMEIKEALEGENEEMRQLAEAIQSMVVERKTAEEAVVQLEADMTVKNSRIKNLQVELTTLESTVKQLERQKTEATRRLNDYDTQIEQLEAACKAQKEKKEDTEKRMQQIDEEAKNAEECKANDEKEMEELKREIEMLDNQFKTVRGEIVKETAQREQMVADLTTLERKEARDQIQMERLDAAIEKTTKLTEAVSAAVEKPEAEMAETLRSQPHLLSSVIDQTLLSDDTVYGETAGASSQNHVQQPPDPFASARSSKFQYTTSIKLNVCVSDPAADPFAQVDPFGSSGHFDAAFPTDPFAQGGFPPDAGFNSSAPAKPAPPRPAPPKSARETPVNDPFAPSQGQAAQPAGFADFADFGSAFN >CRE11990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1065745:1067208:-1 gene:WBGene00057281 transcript:CRE11990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11990 MRLLLLLALVAFTSAALFHHHHNKRRIYPRHKVREPSRYPIRVRSERKAEECQKHEHHLICGPERHCDRTCENLFSPPHCENHLHHAKCFFPRCVCNDGYVRDENGLCIRPSHCPNTYFEASSMLIQDDDNDMMIQFEPVKFARIGRHKVLRLSKKSRVHRPSELSIRI >CRE11989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1063718:1065107:-1 gene:WBGene00057282 transcript:CRE11989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11989 MLSRLSSSVGRNRVSVQKRLISFEFTDTQKEIRAAALQFSKNVVLPNAAKHDKSGEFPWEIARQAHKLGFMHSIIPEKYGGPGMNALNTCIIVEMLAYGCTGIQAAIVGPSLAISPVLLSGSEEQKKKYLGMLAAEPIIASYAVTEPGAGSDVNGVKTKAVKKGDEYVINGSKAWITGGGHAKWFFVLARTDDDPKAPAGKAFTAFIVDGDTPGITRGKKEENMGQKCSDTRTITFEDVRVPKENILGAPGAGFKVAMGAFDLTRPQVAAGAVGLAWRCLDESCKYALERNAFGTPIANHQAIQFMLADMAINLELSRLYTYRAAAESDAGGVSSYNASIAKCFAADTANIAAANACQIFGGAGFNCDYPVEKLMRDAKIYQIYEGTSQIQRIIIARTLLSHFRHSGTSKM >CRE11824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1061991:1063410:1 gene:WBGene00057283 transcript:CRE11824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11824 MLSRLTSSIGRNRISVQTRQMSFELSDTQKEVQAAALQFSKDVLLPNAVKYDKSGEFPWDIVRQAHQLGFMNPIIPEKYGGPGMDGFNTCLIVEALSYGCTGIQLAIVGPSLAVAPVFLSGSEEQKKKYLGMLAAEPIIASYAVTEPGAGSDVNGVKTKAVKKGDEYVINGSKAWITGGGHAKWFFVLARTDDDPKAPAGKAFTAFIVDGDTPGITRGKKEENMGQKCSDTRTITFEDVRVPKENILGAPGAGFKVAMGAFDLTRPQVAAGAVGLAWRCLDESCKYALERNAFGTPIANHQAIQFMLADMAINLELSRLYTYRAAAESDAGGVSSYNASIAKCFAADTANIAAANACQIFGGAGFNCDYPVEKLMRDAKIYQIYEGTSQIQRMVIARTLLSHFTHSGTSKM >CRE11823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1059332:1061738:1 gene:WBGene00057284 transcript:CRE11823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11823 MKSRILYLLLVFSFILSVNTLGCMKKICGNNAKNEFRRCPDTDGSCGNYHTERSSGEIIDGVDVRCHIGEPIYAPIEGEMYFWRPYGGKREKSCADQGVRIEGTGQWQGYAAHISSVKLAFFGGHVEAGDEIGEALNRYCFNDRGQNDVEPHVEVKLYKEGKLIDPTHHLQNCMCTGQICESNTRNILLGDPFKTDKRYNGVRGWDVECQMINDDDEDSPRAPMIYSPIAGETVGRIRLFSDANGAYTGCDNDGIFIVGIDDWLGYEARLYNVKARSDIGFGRKRIVQGEPIATRLDCENSPDSVFVEVRFEGRVVNITDIITAANCKTPNFPVF >CRE11820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1051439:1055567:1 gene:WBGene00057285 transcript:CRE11820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11820 MSATTEEKKFLITPINLSDLDTSPGAHANHTYEGSDPEEAEDVEIHLPNDTQSLCVSTGRGDDEGRSVTPNNMAYEFIPLIYSEPALFNMPDIAYSLHKSFAASDTLLPHMRVVADEMRNTSASVTAEPEQSVSHAPSVLTVTAPEDRNMYQSDISMYGRQGHKPSFSTREIREQHQKVQQIYRDVNKKERKEGKPTDPIDFEGILNIIGGCRWWQIWIYVLIALQQIPHAMFNLNVVYMMYDPEFQCMVPGFNDTNDTTIATHGRYLWGVDDIKNISFVFPNANSNGAYQRDSCYFYERTEERYRQLRRMPLDVAMREAWRDVAPKKKCQSYHFEKDVMVETIVTDFNLVCDSWFAKGHAHMFYSIGYLLGCVLGGIASDRIGRKPTIIGFGILSSMLGVFLPFSDYYPFFLFVRLLSAICNEAADLAAYTLCMEITGTKYRAMVGSMLQAPWALGYALLALIAYLTKSWKTIQSFQLIAAGIHFVSIIFICSIPESPRWLMVQNRVSEAEEVIRKACRDPPFPFNMCTTSKCGNLPSDLELVSHRERKLNKKNGGKIGFLDLFTMKELRYRTISICIVFMATALVYYGLVMALSDQSAPGRTLFTGYFHLNNGIAGAIEIPTLFACVWMMQLGRKKALMLTLTASGVFILIAMVSMISGRYMYALAFMYFGKIAVQGAFNILYIFTSELYPTVVRNTAVGVTSMVARFGSGLSSYIALLSNISLPIVPMIIFALFSLFAGMLVFVLPETSEKPLPETIDDAINFLEPTKQVHCMMGRFGVFGHHKMTKSISLTENPSGNDISDIKPLSRRSSAITRRMAERASVIYSRNASRTNSIVQDIQDIQIPSDLKLPDLVSTDE >CRE11988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1043066:1045203:-1 gene:WBGene00057286 transcript:CRE11988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmd-5 description:CRE-DMD-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M4V7] MPSPSSSSASSSCSVLPPASIEQILRIRAERNQRTPKCARCRNHGTVSALKGHKRYCRWKDCMCAKCTLIAERQRVMAAQVALRRQQSQEEKDARDLEILLGSTGSANELLDILRRDPSDQRPQNLASPNNNNNNEEKDDDSQGMRSSPSSPTGSETIISNSSPPTLSSDSTSSNSSGVISNSPIFNNNGFSQMPMLNPMMYNRHIMRFPMISVMHPFGFPVPAMADFASAASLAAPPQFFPPQTTVSLGTSQSNTIFPQTAPLDCSHRFLKSEEAEEEFRENGEAVN >CRE11819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1037912:1042637:1 gene:WBGene00057287 transcript:CRE11819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifb-1 description:CRE-IFB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4V6] MSSQKESSEYEIQYRSTIQPRTAVRSQSRQSGNYVSGGNGAASGGRVLKMVTEMGSATVGGISPALSANAAKSFLEATDKEKKTLQGLNDRLGNYIDRVKKLEEQNRKLVADLDELRGKWGKDTSEIKIKYSESLSTARKDIDDAARRKAEIDVKVQRLKDDLAEYRSRYEDIQHRREGDREKINQWTNAIADAQSELEMLRARYKQLTDEEKRLNGDNARIWEELQKARSDLDDETIGRIDFQNQVQTLMEELEFLRRVHEQEVKELQALLAQAPADTREFFKNELALAIRDIKDEYDYIAKQGKQDMESWYKLKVSEVQGSANRAAMESNFQRDEVKRMRDNIGDLRGKLGDLEGKNAILEKEVQNLNYQLADDQRQYETALNDRDATLRRMREECQTLVAELQALLDTKQMLDAEIAIYRKMLEQEESRVGLTQMVEQAVKTHSLQQQENTDSTRSVRGEVSTKTTFQRSAKGNVTISECDPNGKFIKIENSSRNKDENVGEHKIKRKLDGRREIVYTIPANVTIKPLKNLTIYARDQGGINNPPESLVFDGENTWGIGANVVTSLVNKDGEERATHTQKTIQSGQ >CRE11818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1028293:1031632:1 gene:WBGene00057289 transcript:CRE11818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifb-2 description:CRE-IFB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4V5] MSTSYSMHRTVTTTSTSQGYGSASHAAEEFVAAAEREKQEMQQLNSRLEAYISRVRQLEDRNKELVIELDSLRGSLGNDIGQIKFKFNDSLVKVRRDISEAHAGTIGVEVKVNRLRDDLNDYRHRYEEARREVEREKTTWGGAIAQAQAELDTNKSRYAAILDEEKRLYAEQDQLYLQLAAAKDELDAAIADRLRLQAEEDDLKIELEFLGRIHAQEITELRALLAQAPADTREFFKNELALAIREIKAEYDKIIQTTKVDLETIFQTKIAAVEASVASKNEAATFRQEEIRKMNESITSLRAKLSELEARNAALEREANTLQIQLGEDQRAYESELAKRDSALRFMREDCQTLIAELQALLNTKQTLDTEIAIYRKLVESEEGRFNHIGQGVVVQQQEVQRVGTYEQDTYDSGEVQTRSTFKRHAKGNVSIVECDPTGKYIILENTSGNIAEDVSHFEIRRVIDKTPSFTFHLPAHSVIHPHGHLKIYGRNAGGIHSPPDSIVMESYPSWGQGTIVETFLYNSHGIEKASHIQTTSASSR >CRE11987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1022932:1023747:-1 gene:WBGene00057290 transcript:CRE11987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11987 MAQLKNEQLMIENSQLKTALGNLSQEFKILKLKLGMVQESREHLETHLSEIKAENEELKETVENLSERLTADTPILSPRSVDDSVSEQSCDMESTRSSKKIGQEENEFYTETGPDFDSRWYKNISISKTHPDYVVLKNNSRDMHQCLDDFLFTRIVDGVATVTVAPLPLGIILAPESEFTVHAGTVGATEIPGRRCVLHRYKTFGRGKVTENIILDERGKETANHVLCVFQE >CRE11986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1018779:1019404:-1 gene:WBGene00057291 transcript:CRE11986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-14 description:CRE-HLH-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M4V3] MAKKNQVARNERERNRVHQVNHGFDVLRNRLQPKNHTKKWSKADTLREAVRYIQQLQELLNKDSQQSSGKNIHPSPSNSQLSLAFPLFLSHFPSFSVSSPTSDYSMNHNNFNSFVVKEEFAMYLPPTYHQTPSMPSQHGDNSHNFNSPTSSISSSSYSPTQMCYPPVSYSNYPHQ >CRE11985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1013539:1013890:-1 gene:WBGene00057292 transcript:CRE11985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11985 MADKSAYMSAGGYSSGYMGSNASSSGYAREDYASGGNGGGASNNQNQGSGGNTNPGQQVFKARTDQSCYLGP >CRE11817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1010849:1013419:1 gene:WBGene00057293 transcript:CRE11817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11817 MTDTHADVTREDGALMKFLIKRALQASSPLCKGQMCEEYKEATGSKVPERDLRKRFVTILAPKIGNINNYDAAVLAKLYFVTSTPVNEKFLKRLQEHNIVELDNAKRIIKLVGKKMKFEGVHCFGDKVDRYNEYKAKTGNFSEYCKPTEGGSLEAMNSAPSTSSRNIGSKSVATKHIDTNTKTKALSAPTNSRSGKDRSLKKEKRRKRQKKSCSDQFTDSPSIECLSAIVTIKSENSEDSALSEYKMSSDSNHEEDSIDSHNSMNFEIFRYLAIKANNVQQPLELSTLWRDFVVETKTNSSPSDVESRFQQSLAPKIHTLREFDLETRVRMLFASSTPVERKFLKELKKDAVVELDNNDRIIKYKSNKTGGLKLGGGEIIGSKTKKRRRRVFEESEDSDDDLFPCGITNEKKSEGFVVNYSILFCLINSYRGKKKRKVVVYLSSDEDTGLDEIEEKSKISSQTQNLTPSIHDVKSEVETNIAPDASEIPSETRFDQELYITDNKATLETQVSLGTTMATDLYTIEETPINEQPKDVSTEKNMPLQIVPVTEQQIFPMSNNEIELSNIFLGSPAFSHYHQGGIPSRPPSQNTYFGSEMFSMQSISSLPQFDLTPSPMNQLSLYSPPFFESNSLPMFSNPGPDSITLKDCLKLLKILVISLETPLLHGLSEQIKQTIEKIGDDDEKLLMSDVLPVAKAAFFGLSRKFRAQTSEDHPNMTKNVKEFSKIFKCFLLGLESPSLDELLNEVKTISDNTESCDQIVMISDIQQAIQSILSTFSR >CRE11984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1000884:1004654:-1 gene:WBGene00057294 transcript:CRE11984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-3 description:CRE-RAB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M4U9] MTKKEKDSESLTPSTEKVEKKEKEKVHQKLKAKVKKFRKSKSVSGSSPDDCDCEPCKQNKKMAAGGQPQGAQPGQPDQNFDYMFKLLIIGNSSVGKTSFLFRYCDDSFTSAFVSTVGIDFKVKTVFRGDKRVKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNSVQDWCTQIKTYSWENAQVVLVGNKCDMDSERVVSMDRGRQLADQLGLEFFETSAKENINVKAVFEKLVEIICDKMAESLDKDPQQAPKGQKLEANPTQKPAQQQCNC >CRE11815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:999041:1000426:1 gene:WBGene00057295 transcript:CRE11815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11815 MSVIHVEEGFAILDEQIYYNKIFGKLNVEIRSELYEINSKFMPDSQFQAMKKRGIPNRKRKMRKEEKPEETTWIQGIASEIQKIGLSSGYFTKLDSHDNNEYARSAAEKALLVKTPPKRMASTQVIEFESIERMDNLMCNGIISNWISCSENSPGIIEDSENHKFYIPPGATFHVGDVTDVEQYSVLNEVLFDLVIADPPWFSLSVKRKKTYKMDEQVLNNLHLPSFTTDDSLIIFWITNRKGVENEMNERFKQWDMEPIATWKWLKVTKEGEPVYDFDNIRHKVPYETVVFAKKRKSSEKFDLPDKLIIASVPMAIHSHKPPLLDLLRHFNVDIKQPLELFARSLLPSTHSIGFEPFLLQSEHIFTPKNACNINNHQNLYQ >CRE11814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:997364:998740:1 gene:WBGene00057296 transcript:CRE11814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11814 MARFLLISDNALTMEQFLFMTTSAFAVFILLLISTSIPYTFLRTCCVNASKTSISFLGCASCGVFIATCFLGLVPHVRHQEMGLRGNQTVVDSYGWIPSTDQLVIIGFLIILITEQVIHGIGHSIGGGHSHSGHSPLATGESMKMNKFHDEEEGEDHVPLVATDDDADDIVFRANTSPHHRASSSSGHCRSSPGGSMNIRVWFLLLGMSVHSFFEGVALGVQNDTNAFWQILIAVLFHEVLCCVSYGVQLAKHNASRKYAWTSSIFLSATIPAGMILATMIDGIENDMWQRIGRYWLEGLAAGTFVHVALVELLPMELHSEDDGEGGHGHSHNVIADTSHGHSKTASSHWLSLVKSLFVAAGIGIFVVIKSMIGDHH >CRE11813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:995687:997277:1 gene:WBGene00057297 transcript:CRE11813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11813 MVRKMNSLAKRLAANSRSRQPEPESDSDGSDFDFDNAIEEKTWEKPLNGMEVDGTESDGEDDDEKELQAAFAAGLLKDGLNIQVAKKRPIINKSSEMKEKLAEITKSLPWVETLEVVTPHAEMDKKVENDDFQRELNFYKQAEKAVQIAYPRLLNLGIKVLRPTDYYAEMAKSDSHMQKVRKRLLGIQEMKERQEAFRRIREEKKFAVKVQKEAIAAKNTEKKKLAEAVKKHKKGMKQQLEDMLNNVKRHGLDQDDDGPSGAYGDRRDDRSSGRGAGRGAGRGGSMRNAGELKRKLKSDQFGYGGKKKGMKRNNKESFNDLFGAPRGGFGGRGGGRGGRGGRGGRGRR >CRE11983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:995060:995364:-1 gene:WBGene00057298 transcript:CRE11983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11983 MKGTFDRTPTVSTIESFGTEMPNEHYRYYKEKLICGIPGPIFLCSALILICFLILLGILAIIIFVILHREVCHEKKNRCIFADTL >CRE11982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:992453:994588:-1 gene:WBGene00057299 transcript:CRE11982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tiar-1 MAFFNPPAVAPYTDEVNTGYNARMHSKLAEREGFHLGNGSDEPRTLYVGNLDPSVSEDLIATLFNQIGSVTKTKVIFDGANDPYAFVEFLDHSQASQALQTMNKRLLLDREMKVNWAVEPGQQPSKVDTTRHFHVFVGDLSSEVDNQKLREAFQPFGDVSDAKVIRDTNTTKSKGYGFVSYPKREEAERAIEQMNGQWLGRRTIRTNWATRKPGDQEKPTHYNEKSFDEIYNQTSGDNTSVYVGNIANLSEDEIRQAFASYGRISEVRIFKMQGYAFVKFDNKDAAAKAIVQMNNQEVGGQLVRCSWGKTGDTGKAAGGSYGYGYGGPSAGGNSQSYQGYGGGGYGAGHQGGHGGSSQQQSNANNQYWQYYAQYYNNPQLMQQWSNYWQQQGGAPQQQNAGSQQ >CRE11812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:990865:992209:1 gene:WBGene00057301 transcript:CRE11812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osta-2 MLEISPWETLVKLLTDSLLNCTGTHEDVPHSKNFLRSLTTTYIASLAVATAVTVGTVCLAVLHLVYISFYITHSSRRLLIVLLACTAPLVSILALVAMYMPRVWFLSHLLSFLYFSFALWVIICLLLHIFDGHHALVTKMMQRLQFVEIATPPFCCLFPCLPKVRLEGKKIRLCELMVLQAPIVRLFATLVSLIIYFEYQDQGLVPLKVLDFITLPSLLAGIYGTHMLVTTVSRMDELVPYRYIVVFRLLDFFFMVFGLQQPVFDFLARYGAFGCGTVLPAIETSFCMFHFSNYQLKMRYFSDWKNFFTVMEAFCVTLISTVLLQPSKSSFFDKHPSCRSMSSARSTITEVDTDESAT >CRE11980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:979817:981535:-1 gene:WBGene00057302 transcript:CRE11980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11980 MFEFELLPNEMQLEVLKRTEFRTVQSMKIVSKRVQQFITVYQKYMMPIPIRQLFITPEKVSFTLSHSNEINTINHEDIENFLRGAKVELLWLEMVIGSELEPIEFLQSILKKTNFSQIACLKLGSSKMECDVAKLTDLMKSSSCTELVVDWRFRVPENFFNELSIKKLTGLTIGNQESPNFYQPFKGENFRKFRGDWMELGANQLRTSDFSTLFKSWKKGEIHWISLYNIVISFPVAELLVLMKNETCQKSPTRWIISRDSDETKDELEICFLQSTNFSKHPSVVIRQFKVSEILW >CRE11811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:979098:979703:1 gene:WBGene00057303 transcript:CRE11811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11811 MTSKYMQLAKEIVKNFGSKGQFASVAGAGNVRAVHAEEGNIRVEFEVEKDQTNHFETLHGGCTATLIDNFTTAALLLTKQARPGVSVDLHITYLTAAKIGETLILDSTVTKQGRTLAFTKAELYRKRDNTMIATGVHTKAFPAMKKTE >CRE11810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:976937:978817:1 gene:WBGene00057304 transcript:CRE11810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11810 MFKKPFAVKKNTNQRNSDSRKLFNRLKEEIDAESNIDKKGQVAQVKLTNFDGNQMNVYTIDKVPQLFEFSESGNIYPTVFYMWNNPKAFPVLVCHYPVLSYLENGADLMLPGVIRSETFQLPTFRKGVPVAIAFHCTETGTVCGPSAIGCSLMSSDEMVACGFKGKGVQVLHVFRDELWSFGPKGFPPSYSVASWNTYGEETDESDEDEEEFEEKQDREESVGVPPKPTVNEIEEEIIPEEPMENLLTRCFLAGLKYRFTRNMLPMDVGQFYTQCVLACVPDGRKLDMKKTHFKKFATFLEEINDLGDEWIIKIVPSKQKKGADVVGDVNFSNKLFRDFEVSDECTVDKAPEDKSKFEAPVISEYFAITEPTLRLFPKFSKGDLMSAKEIREMVTSYVNENKLAAGKSVRLDPILCSITRIKSDTAPWADLMKAIHGRMTATWHIRWADGRDLVRKISPPLVEFKIENRAGNKKVTLINGLAMFGIDIRTICHQIQTGVATSVTSQWEVAGVEGPQVLVQGNQIHFVVDLLIKSYGIDKKFMKGTELAVKKKK >CRE11979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:975334:976653:-1 gene:WBGene00057305 transcript:CRE11979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11979 MAPTAACACRNAFLKPSNSLIPAIRGSHAAVFRKRPGQLIVNRIKDVCHFYFIGIGFLPVLFCLAYNHIVYGTCELKDYPTEGPAPHHWQFERTPIRQWWAKWFGVSDVEHHERNLAYYEKQGIIARWRQIEQRVKHLEGERWDYKGWSYQPVSSTWVDYGRWHALRMRDQYEQHGHYAQ >CRE11978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:971700:974927:-1 gene:WBGene00057306 transcript:CRE11978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11978 MLENVVAWVLNNYIGEYLEDLNTDQLSVALLSGQVELENVPLKKTALRKLDLPLEVKSGLLGKLTLSVPITHIRSEPWTLKLSDVLIIVGPLSGEKRYDVEAVEQVEQQKKEQMLEELELRHKTSLFDLCGIPIPESQDSWWGASLISTVLNNIQLILNNVHIRYEDNTTILGQTFNCGIRIQKMTMQTTNHHWKPGFAEPQKGTNTFKKLDLTGFSIYWNSNSTMTENIDDSKQLKQILAPESSSNAYIIQPCSAELRMEKNSSKFPLKAKVPRFKFFMRPDILGLELTRHQMTELRAVNREWARFERARQHRKWRPLCTIGENAKEWWKFAYNRVLEESRRTNANRTWEFAHERAARFNSYCRAYRKRLIGLIANPNAIQPTTTTNFSASSSNTATSNALAVVPTSSTNGTPATSTHLVESTAIMKQIERDAQYTYHELHLFRETVFRKLLREKEKELGITAAIPDAEETFETLEPPPDEIIVEEPTVPTEPVSGGLYGWITGFFGQAQQDEKQEENKFDFGNVDVGELKEINVKEMEDEILDVLHESWDDSTLLRRDALLAQISLRLEHLTLRFVDAEVRDGIEQQRVLALELSGVSSRWELSPKQHYVSVDVTVNDMSVQRLRSGHPRPKSKLAELSESLLYSTAESTKMLLTVGRDGEDILTTKVPMFSMHYIRRSPRLIVKHIVNCRLRPVSIVYEEGALDGLSTLFSDDPTVFNEILKKAQSVGSGETDDELVDVKISSENVSIIESHVSVNLTLPAVRMEVRGRGWTKRETRASTVWEPGEPIACLNAEGLDFSVISKEQHLTNLKLAIGHVEMRDMIENTSYPLFTTSSQVSQMKSISNSCPDLHKSNNKPHSRASKSDHNYQNPIVSSSVPDESFADYVKPCKYMLNDVRNLENSVNLTTMTITSATSIDNHVLTSAATPPIPTTSGVSSLKPEMTIKMTYVDKMHTQFERKYKKVNR >CRE11809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:967316:968737:1 gene:WBGene00057307 transcript:CRE11809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-27 description:CRE-FLP-27 protein [Source:UniProtKB/TrEMBL;Acc:E3M4T6] MFSFRQILALMIVAIAIMSYSSAQPIDQDRPIFMERREASAFGDIIGELKGKGLGGRMRFGKRSSSPDISLAELRAIYGGGPVEYVQL >CRE11977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:957718:966334:-1 gene:WBGene00057308 transcript:CRE11977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11977 MDEMEMQMNRRTWTALLEMGGILGEDEHERTGNVSSVNTQETSKTTSSFLVGCTLRARTWRVGMPFPKNNTRLGVICLMNTELESTMELSENNSNTLAIKLMVDGIRIDDSTPFFSEMYPERLIMIDEQFENASYGFGMAPSTKRKLDDSKRIVIDVVKYLGDDPKRECDISISFHVPTNQKVYYVHTHRFFCALMDFWIQFNELMNIVTKSKKLKVEESARTKCSLDIDIQCATSLMMPLNSTSPDILLWLSDSMTLKNTFQTLAALKQEIFDKYSVESDYGYDANIDCLLDYGDMTLSNVRAHEARQVDRLLNQKHLKCVGEKAFQQTAFVLTSPNVFSRRFDLNNKFLRNLDSVFSKNAPDLTIITRISGLQWRMTTQFYVLMRAVIEKNFGEPLIPVPETIPIEILQLPTDIIELESRLKYATLSFRTVFEDVDLNLEVPKKLTENQRAILNGEWQPFANVKLERARVSFDSFDDGQSEFDLICEKIELVDTTNNGPKNCFPMILQHKNSGKQSRPVTPVTNSINSSLMLESHIMMKKDECPVLTLVLCNARVILAYDWLNDLKEFLMLYTDFIPKCGLSHYVLPIYCTVFSDSDEIPYHLTASGRRQTFSTTHGGAIVDRSPHIAHESLSSSSPTFSVKITLRDADLYLLENPFVKNSFAMIASTTAVLNMNDIGGHISANLEIQSMRLGWCSMQHEKQTRNLCSNDFSITISLSIDPMSILNQSKQNKGMVVTPPVRHALEVEVVKMICRLSYKDARALKAIITGYTKNYVEVQKKPIVPIIVPAPPSKPIDIAKFIIHSEHTDLWILDDLQYLEFSDVSLEKSGERIKASFHISMDYFNQKIFGWEPVIEEWRILRFLSNSKDLKQTIELVAETKSTLNINITEQLIQQSIQWNAKLPAILASFERDDFRNQCTRSSSDHLPYVMKNVTGCEVHFTTAVEDVLSARSEQRKSSTRWITVGRGQEKNFEFPARLLLYSHLEREPPRQLIVRVSGWDEISPVNVDSCGTYFRVVKALRPELKNARLLIAVTMENDGKKVVTLKSSIDVTNHLPHPIAVQTEGAKGGELMSVEPNGIVSVPLEYAHCPLTAYPVGPLPVIEHAGLSWKNVRISGEVCNQTQRLKTRSDSNLNRYYWVCTAIRREYYPEHEFESLPGHSIHLVAPLSLQNLLPIDVEIKIQDNVFAIAASKSMLITSVDITKELVISVTTDRLTSLTPLILNKASIGEGTLLFTKMVDSKGHLLDIYCHVRLGVGQSLSVSLWVPYWIVNKSGLPLIIQQEAVKSEAAGQMEEHEKAKDRHPLMFSFADDNCPKACRVRVGNSYISEKGYRSVLSEKFTLTPGVQALKLRVEHKTKPTFYYNLGVEVRPGTGRYKDTQVVLLTSRFILQNQSSVALSVCHHDLVEKEKDHVHLAAQSSTTWNENYAARRMLCVRRADVKHWSCPFLIDRIGSFHVTMRDSDETPRFIRVEIILTSAVFQITFTNADFYPPPIRIENMTDVPVLYQQESSSPARPHLRTICKSKSVVDYAWDDLYGKKMMVLQVFENRSKSYEIGVPGPAEPLIYENNSYIQLAHSFNLRNGTKNSGFTEEQELVLETMQKGKIMLNKQCRTEDNQLWKLCPDGCIENVGMNHRKRPDSRLVLDVLEKAGGRLMMMERDKNRNKWQRWTWMPDGRLCLIDNPHLMMTSKQTEVAVVPADELSPKGEDGVAVTQIWKVQRQRPGSGTLGVEFLHSGPTVVIRITDREFQTRAISEPLIQTVSKLAILDVSITMRGGLGISVVNGLQEELIYAHFGGIVVSARRVDKTYQMTGSVDVIQMDNQLLTSDRWQVLYCQPDSGNYDEQMVDLPAGVPVTGRPALKLEMNCTSMKHYDSFDCFRLKVCDMSVQLDELLLWKIVQMAQSSDAASSVQQRALNLPPNTELERHDPLRTRRWYFGTLELEMGHVGLSVVTVSKSGLPRDLRLLKQQFNVKLISFENASVSLPPFRQYHYFETSSFLLETLQKFYLAELQKQTLSIIVTLDAFGNPLGLVTDLKDSFQGLFIEGDVQRFVAGLGYGLSNSVSKVASSMASGVGALTFDQDHELKRRHNMIRSHSSSSTPLTHLYSGVKGLGVGVLGGATAMFTNVASESRKSGLMKGMVWGVATGVVDTVTKPVQGVFDFVEGTASAMKELAMPATGVRRATAQFRVRTPRLCRNLYHLLPAYNSNLAHAQMELLRINGYSSREQLLDVETCLEHIDASNVDRIIRQYVLISTKQCYVCRQTNGENSIVIQRIAYKFLKSVIAKPPLENTFSSIEVSLDMEDARLRQIHVWCSRIEVARRLADKMQRAKQLYDHNKRTLNDVTWTKSLYDSERRPEH >CRE11976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:955285:956768:-1 gene:WBGene00057309 transcript:CRE11976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11976 MQSVLKLGKTTVHSCTLVRTASTSLQGKGPLKTNWKENVVYLYQFPRPANKLPNLSPFCLKIETFLKANRINHEVIGTWLTLRQSPRGLLPFIELNGQQISDSQVIVWKLQNHFDLDDKLEGGDRGTARAVERMIDVSTNYALLVDKTVNNAHLLLSRQVSNIPLPGIITNYLAKGFSQTARKRVNGVLGKLDVAEQRELLRRDIRAIDDILGDKKFLFGDRITSVDCSVFGQIAAVFYLPYRQQITDLLEDDFPRVRAYCDRIRQHYYPEWRDD >CRE11975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:954410:955039:-1 gene:WBGene00057310 transcript:CRE11975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11975 MQINISNAFFFLPFVYLLLFLNVHAAPPNGSFYWTDREILCTNYDINRTRCVLNHPQLGPEQNPECFDEVDENGAKLKTYCALGCEESLEAQLVKKIPSNSPSCVQHYTYNLERKRQDWFLWRNGTCLDSSIRFHLICGTPTNPKVFYREYEQLFLYEDAEN >CRE11808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:950155:954105:1 gene:WBGene00057311 transcript:CRE11808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-26 description:CRE-MDT-26 protein [Source:UniProtKB/TrEMBL;Acc:E3M4T2] MVACAALSSLQPPNHLAVSMAACAQTVDELKKRLQTAAENDDQTLCESLLNEVRPLVTSREILEETRIGFVVNQLRKQYFKKWPSLLRISRDVIKSWSDIVCDEVKRPQSGASSRNTTPNVLSPSVAKLMQRGITPNTPAGRRVTSTGLQGSQQRLVSPANGSYAPRSQVSPGEATPIASVTNGMHKSASVGGDLLKHATTSLSTKPITPKENGKRKAVDEALAPSIKRTKTAAGALSVSVSPGFPATLAPQTPTMSVSAARKAVQSTSELFAQMSETLPGHIDIGSDIREHEERVKRELKDEELAHSLQTSASYGNFGSATVERKKRKYERKNQKGTAPNTPAAVASPLLQTTSMVTPSASNTEERLPSLVFRFAKNGVTAVASTPTLTSSSEESKKRKYEKSIRSIPRSTTPPPTVSAKKQEKEREREEIKREKELKEALKRKMEEIEEAEKEDEEEEEVEEKPKPALSKLKNWDSLIPSMEELRVRAERSAKETKKVIEVTAKKTKRDPTKVQLVKNFRRPILILPYLENPTGPDFLLHKYPNSLQYYSEDNFRFGEKRPTA >CRE11974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:948829:949616:-1 gene:WBGene00057312 transcript:CRE11974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11974 MNPTNPASEFNRKGRLSLNQAEKGGFNSETLTRPLTGLFRKTGKSPKRADYDDAQEPTVAAPPTNFPNMARISATIESVQINDEYGSFRDRNPETGSKLTLSDGAVFGNAIHPLTRYFNLEDVDISILGRSFPTEKMRDEEGSWTAQSLFNNLESRIKKERIESKDHSTEPRTRSNRDNIFANQY >CRE11973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:947931:948696:-1 gene:WBGene00057313 transcript:CRE11973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fut-3 description:CRE-FUT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M4T0] MRVRPASVYRYLLLSICCFVGVYMIYSIIGYDDGTHVPIHRPQRHLYLTVSQDRIGSRFGNLAPKRILYWTTVFGATVPATMLSDCPGLTDRCVIDTNRHQLSSADAVVFHAADLSRFPLPVTRKDNQIFVFNSMETPDNSGRFAVPDNFFNWTSTHLFSSDAIHKYGSFLIPTQIAESRGFKVQSYYVQPKRLVKSKSGIFGLISNCHTTSKRELALQELGK >CRE11807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:947451:947926:1 gene:WBGene00057314 transcript:CRE11807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11807 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE11806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:940276:946275:1 gene:WBGene00057315 transcript:CRE11806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11806 MRLSQLSKEVLNDYIRLQKMIVSNIASKVAKITFRRPSQFPEAHAQLMENGDLRIKFPRSVIVRKKDNGEVYNYIDGQSKNREVVSGSKLIKVNEVYSYLTQFEKCLHGMDNRMICFPIVFSAGPEVAGASNNSPSSMLPSTSQVSRFPFSNISSNQQSLVPHSAPFLTKPVSSRTSSTFNTQRRVSTDENRAPVAIQSNNKYKFKIMAYRFGRSNWQDSDRLNLMKVCLKHASWNERLMELRTIYAEEKYHPAYFTEHACREELERIIALPTPNVRIEVSPTFSYSRKLIMTKWAEYFESEVNKKKNAQTELSMVQVRNNLRLMQEAMDPNVSEERKAEILDMLLLEVEDQKDDGSFRRIVGSLTTAMEDILLDYDEESNFKTLVLPPTKEPDENMEDGTRGYLTPTHSASSFDMSFFSPPPPPTPQKPMRTMPEALRDAVPLADPEPEDVVIVPPIADLPDNILEPATMPPRRGLNAGQEEDKEGLADKVEAERDVGSVEDRKAERDEELPGKEEKEVEPEQEEVQEQKEKKDDTEDDKNNVQDEDEDEEILDQPTPSKKEVIPAQPITESEVSQKVHQSDRPPSPMDVDMKDIKEETEAQSPSDESPSEQIVSTPSSSLRSRGRPRLQSNASSTSTSNNTKKSEKAEASRDETPEAQLSQGKRRAQDRSQEPSTSSSAKKSRRGSVLPISSRKQSKDGEEPSLIEVFRQGVQTDIVIRRAVSATLNRKRAPQATEDPKRSASSASSTTPMRTTSPTGHPARNSSVGGYAFSDVGVQTNLRIEIEKHEVIELRVESCQSFRSIQIDSKNPIIVNMETCNEIDMENARLHENNDDMGKRPSKADGRREITFIIDSDDATGQQLIDEKSFQKASMAFDVPAGLSPIKRRDRNKVESMKVKLLALHRIIYDCEWAEAFKRPVPTTEAKYAIGVLDRVDLSLIKREIDSGKINNIPLFLLRCYRMLSNAVMFNGYDHDVCIQAKNIAKDIMPTIGVEQAEEKRFDNMSPSAGPSEERDVPSSRPSMELDSSSTINDSESSGMNSSRFQNVEGAVMASGGPTPTSTTQSEMDDLQFEDTGNLPLPNTMQQHLQMKLGKATDIQKTPSRGGLGMIVNESKTPGARSLQSLTSARKATSSVMKKTVPTNIGFEIFQDEQEDIVMEEQQPLKQKEIEKTPCSPIDTVDRYQKSDSLCDIIADDMLNWSDQDVVLFDEEPRSDFIDPKVVEAEEMAKLGVEEWDEYPPIDTASRIADDFNYPISLDEFKYEPDVELEEGDYPPITNAQEIEWNPEEWKKISDRLMESSYDVYDLIAEEAAIAEDAAIPI >CRE11971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:939016:939999:-1 gene:WBGene00057317 transcript:CRE11971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bli-2 description:CRE-BLI-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4S6] MDQKELNHEASMLRKVAFLGICISTVSTLTCIVAIPLLYNYMQHIQTNLHSEIDFCRHRTVGLFIQYERMQSASGIKGRRIIVKKQAGYDFSELNPNGESGFSSSKSSLSPGGQCCSCKTGPSGPPGPPGEDGRDGRDGKPGLNGEDGTDAKDAAPRRDASAPCYDCPVGPPGPVGNVGPKGQSGRNGKDGLPGVPGLPGQPGEPGEDGEPGEDGDPGQPGENGEPGKCDEVNVAQGPPGRPGPPGLPGPDGLPGTPGNPGQDGEQGPAGEPGRDGKDGQPGRPGQPGPPGEPGTGGGCEHCPTPRTAPGY >CRE11970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:935582:936586:-1 gene:WBGene00057318 transcript:CRE11970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sam-4 MGNEQSASSSGPPSTSNPPNQQSSFSFLTRSSTKRSKGIVTVKDGNIPQEKLEDDEIYKRFSEIPRFLPVIPAVIGKRDSQSNQGASYTHQKISSRPFFRLATRLQEHFALNAKAVAADQAKIPANCKSVEAKMIRLIEETRAHKSQHDGFVAALTGLNKLHDDICRIQILLENIVPMVETLNEILIPEDRLPPLNLGSVLDRSPVCSSDSSLQSTPRHIPHPILTGEIERIEEIRVVDLCD >CRE11969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:934756:935455:-1 gene:WBGene00057319 transcript:CRE11969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddl-1 description:CRE-DDL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4S3] MSTTSNQHLKPEIDLNKVPPLDHHRTAVTFNCLILKMTEILNNFGNKMEDVLERAEKTLDTADRKLRLMEAKLATVTIEEKCTEAVQFTPTTSDGSAIEQTKTAATTTSPLPIIPRVSEENTELVESAPAILIKDDPEYNKYFKMLKMGVPEAGVIQKMESEGIDSSILKRGDEPSRSQVSKSAGYESSGESVSSFSDSD >CRE11968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:928618:934586:-1 gene:WBGene00057320 transcript:CRE11968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11968 MESEPDQHRQSGNLRVVDRERGELLKNAVITSAPFALSLMNQLQSPSKKRNRKEDKKRKHEDKELESPLKTKDKRRKRDKAEDAEPTTSNALDGSGSESDVVFSRKNKNTDSTGDSSAASAKKEKEKAKAKERQEKEAQMKAKLKKEKEDERKAKEERKKAKQEKQKRKDEEERHRKAEDAASKRRKREAEAEVERKKKKKEVIVDSDDEVRISTRKVDSDEDETRRRRDSSHQPSSSNSSEYNPLHFQHTDCRGKSRKQLMAEYNCFTTPLTELREFNDFLDERKLLKEYGEPDSHIIELNSFPEEQLLTCDSEVCPFLLTYQTPMSALYQEARKKWTPPINETPSNAHLKSRCVYCSKWLSSVPDEVWKKYLGANSLYCSVKCIAGKVDSTTRVSLTQNNTKINSIPIILQDQEGETATVINSMTGETVTAGVYSRELVSFFLLSPHWMPLRVDPKESPEPIKPEVLKKKELERQKVKEMEKEKANDRERKLAALSKPIPKKKPETVDSLRQAAKSQMEAAIMSGVERMKMKGVDRKEMKDLVEQIEGKLFMKYDRDSYNPKYKAWKQQFCHNFGIDSAREFITGVVLKHLTVEKLTSMDPNSLRNPICWKRKPIGIPIQAKRRPNAPRNPLDSIIGDDTRDTTAEHMSHAFSKTCKTCQAKQLAEAQEKLRKQEEERELKERRERTRELREAMRTDEIERNKRMEEAKKRKVIEEREAWENQRQRRREERDQELDDDDGYGDSGISPVYDFEGTSKTIRNEPMVEKQSKRQDDVEKLSDNWELPIRIVMAEAPFDSIATPIFAQTDENRPMFRLFERNIGEQTFKLHSPRKIQEFLIESNNAMNETRSCAFAVLKLTATGTSKTYQKILDFNLDSEWVYQLNFPTGNEILSLFLFPHRGSEILHGELVLEVHINFIMLSDTFAPVKYNIGTMESCFMLIVVLRPKFAERFQYSVRVSDQEMTTQLLRIEREKLMQTSWRQTNDRDEISGNRKTPEVLEDNVNKSEEPIEPDFKSNGSSVQTKEVVADSAEDLAAKKVHFILQIVNSAKQIKEIVASAKDFMGDPDVSEDDKDRIKALIQKKIDEEKAAKKAEKNKQKNNEDPVEPITAEEHENGAESPVSTQSKHDFSHPPPIMSQTAPTYTTTDIPTSSQTTASQPVVNHASAPESSSYVTPMPAHIIPVAAPPPITSSFVHAPPVPPPPPVKPTQPSFLEGLGMSDNEIVADAIRRGMVAPIPQELLRPSGPGHVRDNSHLASNVMTSQSLEEDMRKLMEMERRIEMERRFMRDMDAMEARNSAKRNGNRPPLPPQNEAYRNEKTRENREMQMFERRNLSPLNAQPHLPPLANPLPTQEVNTPQGPPPPPLPPVSDSKRRNKRNARARQRKSSEQMDVSSGSDSDNGSSSRSSSPSPPRPPPPPPSDNMYVPPPPPPSSVSRTPACVPLPVPPPPPMFSAAMICPPPPPPLPTDEKKKPLAFNEDLNNEDETFRNDRRSETPPSYGASPHSLRGQDGPWRGGIPPQRGMSPHGMPPHGMPPPVMMRGRGGPPLRGMHPQFRGGPPMRGAPPMRGVPPMRGTPPMRGTPPMRGAPPMRGAPPMRGGPPMFRGGPSPGRGMPPSLMGGPPMRGGFPPRGGGPGQGQPSFFQDSPRGRGGHPHGPPGGHTNGQPGSYPHGPPGGPPRGGMNSWRAGPPPPGGQTNGSWLNRF >CRE11967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:926355:928194:-1 gene:WBGene00057321 transcript:CRE11967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11967 MSGIHPHLKIGVDSSLNNKGSTFKIGHQVGLEIEQCQTLMKALKWTYEFVQYNNEIGHVLENGTATGMLGDIQKGRIDMACGRFRMTPDRAHILTFTYPTQFEVNQVYLITDPQKSEDVGFLFRPFSTIVWFLLTLTILIVSIIFFILRFVEFKSRKEKESLYTCIQNSIFQVLRSTFNLEIQVKNKSTFFAFHVFFRLITDKKFRKTRNLFSAIWLLAWFHVFIDFYTSELSGMMVVSDKKQMPFEDFYGLIEQLRKGSYRLFTPSSDRIPECPREISPSKCISIFSEILSKHPPEYGDVTQLNDRDFVSSQKVPLVGFGWYPAQRISSKYSIWTQELFHSDVLLIRDFPVCPAAYIVRPTFPYLDELNKMIIKILPAFSTIDNHYTPAYPQLSLIEKPSKSSFTLNQLSSIFVIHLLGTFISSLLLIIEIVMSEQRIPTVLMFIFRKSNQSKDYEQHLCRHITRIEGENER >CRE11966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:918130:918921:-1 gene:WBGene00057322 transcript:CRE11966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11966 MDHSTRFRVHNWLRSRRKLFQSRMCLLMLVMINFVNSVILTLEICGEDSNMTLLMFFVNTFVVFLSMYGLYNFRPVFLSPNVLLKIILSSSALFYGLQMAETTSNSAIFVWLTISIVFFILEIHTMFSTTFDIIKQLNLRAYSKPPPNYNQVMSIDIPPPSYEEALVRIQQNRPAQVHNSPKTTENQQPTQLNTCDLAHLVV >CRE11965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:912544:915250:-1 gene:WBGene00057323 transcript:CRE11965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11965 MKKRTNSNIWFIRFLFILFFEKVKSQLEEMSNVGNIGKNYVQLKKNLFQFIVLEQDPRDQDPFYRNYYARAEGMPLAAVNSVVHNVKHKTEGQFKIWHELPSHKVRPGYSTTTRKPKFATTTIPWVRGRHRPQLGEQQAPWYQNDPDGYDTGHGIDYDDGSAPPPRPRGRRPSGGRGRRPPSPMAPVRVSVGKVEYLVHNEEEGHFDDGGEGTKTESDNSEGTVRTEEGKKPITVTEKTKTEIAPKKEETKKEDGGESDPEEVTKKQERSADEGQKHGEVDLADLKNGGVVHKLRTSGKGKTVEENEEVKEEEKEENEEEEKPKTKKKQKGSGKKGKENEDDGDKKKGKHNKKKAKDEEEEDDENGELKNIDGDVRIQKAVDGDTDDHEDEEDEEEEEDNNSKKKHGRKRTKEKKNEEGEEDSEDYDEEDEEEDNDDEDEDEEEESKHTHIKSEIRKSKVPCTTAQRHQNPVQHHQNIPPTQVPYPHPDNHGYTHTTTTTTSTVASQYTGEQMGVSRTVSDLNKNQDDYHEFEKMDCNEKQEEEYDADEENQSEEKKKQKKKKKSKKNNKKKGKKKKSKKGKGGRRNQLSKDYLTSREKVDQNKRNRGHILDELHADEDLKKLRKDSKPSEPGIDCTTAVDEFPLKCGAWKSAGFCDTNQATQFLWCRKTCLCSITTVSTTGRLPISEE >CRE11804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:909076:910830:1 gene:WBGene00057324 transcript:CRE11804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11804 MKRKIKNGAGTAKSPKAKKRRSVVLEVIHINPKYLEEKYEKEFKKGFEKSPFPHWQIRNFVENSDNVVEKVEEELQHFENWSRKENDLYSLFQTDDFKSIDPTENPFIFSFRLNFDFHFKKNTLSFRQFLYTEVKEWLQKVSGVELTEQVDCNGSCYARTDSLLPHNDLVSDSLKNFVIETRRFAFVYYMTSANWDSEANGGDLQLFNHDKSLQPTTVATQFAPLRNSLILFEVSEKSWHRVAEMISEEPRLSINGWFHSTRRLQPKKPAVESIRRFIPENKCKLLKLINKDFTTEKRQNEVQQIFSDNSELNLNGFLLENIHKEVFTELVSNPSYFKTVGPVNKRHVARLLEEKAAQLKTTSRIIECLKSTTFARLAAKLTGVTVSGAQTSVTVSRVEHGTYWVLGDEDAEQSNADGYCLDLHLFVQEKQWGDDAGGNLIYIAEGETEELLRISPSPNAASIVFREPGVLSFMKFANCDSTDPYFLFTVSFYNVRVVDE >CRE11803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:907298:908864:1 gene:WBGene00057325 transcript:CRE11803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11803 MNHKYSPIELPQKKKWTEDERAALAQKLDDDLDRFMEEMAAKKAEKPEPRKPFDFDEWCKEIDQHPAFMKEMPKDGKYKDTIEALQAMKYDKEDDEDKQQNAEHHKNEGNKHFKFKKYRWATDCYSNGGYITLSKLKQLILFEGIKENCPDRKLNAVLYFNRAAAQKHIGNLRSAIKDCSMGRKFDPTHLKGVIRGAECLLELEYAKDALNWIESSKKIFAFTKETSETPDLTEDEKKYIDELEKTRVKAVELSLKEERDKRKSRAEERKETEAKKKLLDALKERNLNLSPRVPFDHPELMDMARLTVSLPLMHTHECVKFDDDSNLVWPILLQYPEAGQTDVLTETSELTAIGELLKEVFREPAQWDPEHKFQFDNVRFFVSDQYDEYLTEVYEWNDFKTILSLPGNQIKQGLPVIMILTKEKAAESLEALSEGENKYVAK >CRE11964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:902675:906136:-1 gene:WBGene00057326 transcript:CRE11964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-14 description:CRE-CDC-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M4R6] MREDHSPRRHHLNNNVEQNTLTELLPNQLYFGCFPNPDAIDKSDKSVKKTCFVSVNNKFHYEPFYEDFGPWNLSVLYRLCVQIDKLLEIEEKRGRRLVLFCQEDGSGDYDKIRVNTAYVLGAYLIIYQGFSADDAYLKVANTEGPKLIGFRDASMGAPQYLLHVHDVLRGIEKALKFGWLDFTNFDHEEYEHYERVENGDFNWIIPGKILSFCGPHNESREENGYPYHSPEVYFEYFHKTKVSTIVRLNAKNYDASKFTRAGFDHVDLFFVDGSTPSDEIMLKFINVVDNAKGGVAVHCKAGLGRTGTLIACWMMKEFGLTAGECMGWLRVCRPGSVIGPQQPYLVEKQKFCWSLSHSNGVHLIPNREDKRSVRRLVNQVDDINLGEERRAKSRENTRPNILRRKVQVQNGKDVTPVSVSSSAIPGTSRSVRTTLIVDETSLDEQGRSQGDRLLQLKAKHQHEAEQAPSSSSSRRFVKHSAPQMAVPSQAYLNRNREPIMITPSKNGPSSSGTSSRQSKTPSNGNVAYRTRNSSGGNAGTLTRTPASAVFPSMASRRSEATRYLSPTTPIKPMSPAYPDGTLNGTYKSRLRSEKPMGSTTSTPFSLQPQFGLVRVPLDSPHLVMAHRPSSARAPLSPHNFTSSQVFTPSNRFIGEKKSTTMTRGSASTSALPTAYMTRGSISKCTLTAETKTPKRILSMPSSSKSTSSLKKIQVSRPRPYPSNGVRVELCANGKSYAIRPRKDAHVIPGAGLAANTEALLGVSFLLI >CRE11963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:900718:902060:-1 gene:WBGene00057329 transcript:CRE11963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11963 MSVTSTSETSSTTSESSSPTGKSEEESNRNTKHQIEPESLTRKRKYVLISRTIIPFGQSTHTRNFGRSATNNQVMNYSNVRTPPYKISHRLCLVCNCIKSTSEIAILTDEIEKIFIVLSAIFRRQLEISKANSAYKQTPFFTCSSHFSETSSEILRMFGVGSAAAIFKARPRKREQVEKLVAYITRSSVRETRLLQYAYAFMLNHPEATAVIGPVIKEEDIPIEETVECKAISKVDTIVPKCFRQPRKQRFDDEESGSSSMLRIIRREPLHLPTDEVYTPLVPLRKPLKCCYCLEVNEKELMLNVPKTRQRIVSWVKHLGERFGERLNENSVNFMCRKHFSSLDFSSRGRLLKDALPNFVPQEEVHTYKIYGNEFIRVPDDNFVHEREETSDIDIEN >CRE11801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:896287:897452:1 gene:WBGene00057330 transcript:CRE11801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11801 MSSPEEGNGPEKKESENELAAPTGPKVKAKCREKEEKSPAKPSELSKMERKEVKNMNKSTRKDAKSARMGPRTLKPMAVKAEERSRKSVREAPAKSYHFQTPKDETELACLQLEGELAQQTKAMEEYRFAMQQIYNILAIMIRRGNGTTDADNFKSDKGQAAAEKLNREVVQTENFWKTDTRLQPAFNDSIAKNTTILAAAERHLQEQGPKHLKKTKRFLNDHWLRYVDMKKTLAKIVKQQKPDSQGARDEQIKAITVFVKEKYLNMKSIHLGEIQSVIAELSLFHHTSSASWHQIAERKPPKSGYVPETFDFKQFFAPPPKT >CRE11962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:893959:895680:-1 gene:WBGene00057331 transcript:CRE11962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11962 MKKGFKDLKAVGYQTDVPYVALSNYCWSFSCPKPGAEVEFLDLTFQVMNSTGTIIQIDADIEQSIDMVGNGTADITLVTARQTLERMKKVDFTTPIGFVYYGYLVREIPEIAVADYIMGLFDYDTLAILISFGIIIGALVYLYTWIFDKRIRTIWDWMIVSCSGIIRQFQFKISSPICALVIVGIWLWCCQVIITYYEAKLKSFLLLSHHRGTIFNTLDGVLESVEHKGWTLVIQERGYTPYLWCNPEQCKRLDRLKSRIVFLGADDDINLVIGQDKHVGFSAVASDLAQSDITYFDFHSKILFVRDKIMAPEYLAYAVNKNIKGLRERFNRAVAYTKNGYDTVRSRYIAAFPAYNAVTSQSQTATVLQTTHFIQLYKFCIIIYGVAGIVLILEIIIHRMTRHFEVFGHTYTYSLAGFEWRFARPKWTHFPRRNTVILPLSKSYSPERIRVTV >CRE11800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:892427:893711:1 gene:WBGene00057332 transcript:CRE11800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11800 MFGKVLTTSILIALTFAAPSTEEGKSSKRRQYITPLAGAAQVPRNPVFFAPPALPVAAAPAFVRPAFAPVPVAAAPALVRPAFAPVPVAAAPAIPVAAPPMLQQPAVVAPVVAPVGQCPGGPSLPIECDPKRPWPQCPPQSYCYATNSVDIGPYFCCPIWSTYGAAWRPATPFYNYVPPVPANWPDVARMTANWPAAAVAMPLKARKQQKNEGDDEETEDEQKIGSAIDGWVERQAKLVSFGRFQ >CRE11799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:887824:889309:1 gene:WBGene00057333 transcript:CRE11799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11799 MSFVRKLLPIATATISRRTIMTAVPLRSEFKKVENYQNIANRFFDFTEVDKDSFSPATLSNGRQAHHGAAYGGLIFSQAMAAAEKTVEEKFKPHSTHSYFILNVDTKEPILYNVRRIRDGRSFITRTVEAVQKEKVCFVLQCSFHVEEKSSIIHQSEMPKVPCPEVLMSMRDAVPYMKSLVEKGEVTPPPAMLQRLQSYDSKVYSDDLDLFEMRCTNLGNYYGFASDQKPELHFWMRARGDLPNDERLHRWLIAYNSDSLLVSTAVSPHYTNGFMPSMLFSLDHCVWFHKSEVKADEWLLFECKSRIASGSRATIEGRIWRRDGVLIASCQQEALVRSKSDDPSKL >CRE11798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:885949:887311:1 gene:WBGene00057334 transcript:CRE11798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11798 MTKPQCSVQLQGQKMYTFVQIDEDTFRDAFRAEYLFHARQNPNGAAYGGLIFSQAFAAAERTVPEEFKPNSVHSYFVSAVIYTIPVIYKVRRIRDGKGFITRTVEAIQKDKTCFLLQVSFHKPEKDGMIHQDVMPKVPKPETLMSMKEAVISTKKLVTEGGLVLKPAMLNRLMKLDNKAYVTNNDMFEVSQCGMLKKKCFEFQARCTNLGNWYGYSSDLKPELCVWMKTRESINDDEKLHRWLLACMSDAILIPAAMSAHFSQGFEDNLHVSLDHCLYFHSHEFRVDDWFLFECKSTVSSGGRAFINGRIWRKDGKLIASCQQEAIIRGKDGQISKL >CRE11796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:878884:879624:1 gene:WBGene00057335 transcript:CRE11796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-12 description:CRE-INS-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M4Q7] MRSLFVLLVVFIAVVALFGESDGAPSHHKKHSKCTEKLYTALRSLCSYRGESEFLRTSASKCCQSNCDLSEMMTMCVVAPNFEDDIIS >CRE11961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:873035:874554:-1 gene:WBGene00057336 transcript:CRE11961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acdh-2 description:CRE-ACDH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4Q6] MLKLSRGVPLASVTKFSTKSIKCRPVYPLQHLSENEMKLVEKVKEFATSRVKPLVKEMDRNAKLDKNLLKAAFDSKLMGLEIDEKYGGSGSSFFETILTVEELSKIDPAFALTIHLQNALIAPMLSEYGNEEQKEKYLKKVCTNSIGSFALSETVSGSDAFAMKTTATKDNNDFLINGSKWGISNAPIADFFLVLANAEPEKGYRGITCFLIDRDQEGVVVGEQDDNLGMRAGTTAQVHFNNVRVPKSSIVGEYGKGCLYLRIILIFVFIGYKYAIDILNASRILIGAQMVGLAQGCFDQTIPYLRERKQFGSRLIDFQGLQHQIAKVGTEIEAARLMVYNSARMKDCGFPFVKAASMAKYYAPEVACKTTKMCIEWLGGRGFTKEFSAEKFYRDAVVGGIYEGTSNIQLNTIAKFIDNEYKNKL >CRE11960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:869711:871238:-1 gene:WBGene00057337 transcript:CRE11960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11960 MSTSAMRNQTLSSSRNLTKKTVPSTRSSSSTAKKSSESGWEYWTRVYSDDTQSSHDIRISDILCSNTLTRAKLLFLNVPNHRSIHKTAIMNLVKKEMIQDDISIQDVIFTPPGKWFLNFYRPEDALKVLKYFNGFSFRGHILAVRFCYPDGTFGDENSLAELVKCSNNVKGKRFEQKEIVQNTILPECWSVSEYEELKKFETELMKILKSHSHLPFQNVIQSMRTLFSFNIQSSTSSILISDALSQWPIGFIRIFNQNVKVISNVMCLSTSPFYTQRIHDSALEGRFSIHRNSWEPFIPNEIRSDAQLIQYFYSFLCHFGPQNIDIDIPIRILTQSLRGTWPKSTSILAFKLINISSSFVIINRVLYLSNDRIHHEKVIYNIATYQDDCSDDYSLELSCDSDDKKVMIDDFDNL >CRE11795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:867513:868831:1 gene:WBGene00057338 transcript:CRE11795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11795 MVKKQTEDIQKTVSDPSFPEKIDDFTNENTGKLIESGSKRSQKRTQTDVITVHLHKRRRVEVSEQLIDASQRERTSTSHGQRFNQYAPTLSQVSNAMVLDPTTATSTSDLSKPIQSAPALLKSSKQLAASASSQRNDVFPQLLVSPLPHPDCFRNIIHNSPISVRSCRLKWAYHTLENNCVDGTIRVLLKQNHINKLEQSNGLQLASKAFILNHLLDSAFSIVNRRVAPTITLDDNREISTKEFVLLFNDSLYESWNELLSEISASTSDPHLSKPFSVNDYSFFRKHALFDVDLMINNEDYRVHHLKYLNCCRFSLQMNEDMERIMGWFTKFV >CRE11793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:861785:863598:1 gene:WBGene00057339 transcript:CRE11793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syp-1 description:CRE-SYP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4Q2] MDNFTIWVDAPSEALIETPLDDESNCFLREEIQQTQQYVIEKLDEQKNCEQEKGEQTDEETTKASKILSLVTTEGKRSKDISRELVDLQEACKLNEIQLVSIQKNSPDFVKLCKENYDQDLIETNNALILLHDNFTKRQSSLNKLQQAGLSCDKEEAKKECKKLRSCVLEAKQKHEKLLTLRGSVSKLKNEFDEAMTRQKKERESIEKARAELKNDQDLVNKMTEECVNLRSQLVQIEAAQSQNQVEADQMEVQRLQNHAKKIRQETEELIPILSKIENDTKEAQKAVEVRRQNELNDEELIKTKKEAIAKILLQKIQHETKKSTKNMNLKKIVDLKRAISKESLVLANANKVLDEMKPDNKTVNELKREISVMRSEIRGYEKEIREATKENGKLIEQIAEMRTPSPEATSPRMNLNDTVDFNESEYSEQMAIPEPIVNITPVQRIVSHDVFTGAPLMTSTPLPKTAETSVKTRAAARRLEQTAATKTAEIRKKRGGKK >CRE11792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:856366:858239:1 gene:WBGene00057340 transcript:CRE11792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11792 MDQKKNEQCKTDQVDSSGRTKNTSTQTTLTGRHPETTLLQAATEYLQARIAYDREIIGDLEPRRESQRGMNRRCQSQTSTVWKTIDTVSLLWEVTKKTADISNPEKKVIYFRVERQRQSEIDDEIQKLMCFLPKRRSGPQKLSRNQILNILIFRELYEVALEELKDEHVKKRDTENHSTSGQPSSSAQPSTLEQVSISEQPSTSDVKKASSKWFSPEESC >CRE11958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:853289:854634:-1 gene:WBGene00057341 transcript:CRE11958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11958 MNQQMVFNKNNDQNVLNSPFLSQFPSNVQLESPSTTTLLICNVCQKQYFSRNGNRQCKTCKEFFRRCVLKNSSLKCNFKRKCDVHHSLKQIQCRSCRLKKCLESGLNAKDFRPTQVTRPNLKAQETNAVQRPELSRLSFTKKNMMKVTESDNNMHVQFLNMTQVIENFLPDLLEWTKQSELFGELNKNEKIELIQSQWIRILLVTVCENNAHLLDFDEDLSSVFHAYHSLGISNQEAFWIKNIILFMMTKADKSYCHPLHLNDSIAELFTLSVPRDTCPVRFAEIMTWIGTLTKIPLAGIQSKYQQKVREMVYLCL >CRE11957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:850011:850423:-1 gene:WBGene00057342 transcript:CRE11957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11957 MPFVAEQGEQPIPNHDPVSSSPFGDDQLNDFLPRQFEGCIVIKNNNCGVQTTLSGEIRLEPFEKAVERFRKQIALAKINPIDIPKINEELRERVEKIWGPEICNRYL >CRE11955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:842303:844356:-1 gene:WBGene00057343 transcript:CRE11955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11955 MNWTNQAPKHIINDNVYGTVRVPSPIDKILDTVEFQRLRSLKQTGLVYRVYPNCEHSRFVHSLGTFSLAYNLVDKLRHNQPSLNITPADHTCVSVAALLHDVGHGPFSHLFDGEFAKRCGSSFKHEDMSIRCIRRIMMNDDIKSSFESILGTGETYEKNVQFITELISAKPFDFKDYESFKALSDSEREEAVEKEWSSLVSGRGPEKSFLYDIVSNSDNGHDVDKMDYLLRDSKASGVAITFSEASLYRLLDHVRVVIDPNSGLKRIGYSMKCVGEIKSIGDSRQELHSKVYQHKAVRFIETLMVDALYKAGDLLKYRGTNGRLFSLSEVTEDVEAYMQTSDFVEQEILNSSSSDPRMIEAQQCLLKIQRREIGCKVGCFEMSPKNATQGDGIANNEIGATEVVKKVEERMRQILKATDEAEGLEGKLENIQFRVMHSVLGRGLDEKTHPVERQIFYDGKPKDNDGQPMMGCYVDDDYIINNCPRMATKWEIFVMGDRSLKDECNAGFIEHIKNALREAGEAEKFLTPRKRSPPQDENPDPSCSVAAKRRLFSSHAP >CRE11789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:839434:842003:1 gene:WBGene00057344 transcript:CRE11789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11789 MIINQLIETLSDQAVVSTLTPSSIIVYDKNELKVYVGFTNNRESVEYTKEVILLLSTVIPTKTEIREIIVSKNGDYVILEGPRSVFVVRIGTEILFTKPDRLPSECFCECYSLHDSLLLQNSALSVVKVRVLGEKCDEKTIIAAVLFSDNCIRFYNLQKKFDSLLLGVDFRNYLHQGGDENIANNTFGLQKALGLNQTMPRFPIQIISVSFDMIPPQPKSSHFSLIAVDSDAEFYGSFVHFSCESTRMIQIILNCNSGFKEGVSPRIHRLEIIDGIPCDPIDLQYITTSNPRITSIFVLVSGGGILSHLVVFPNEFGEFQLLVNDQLRLPLSSGDPKIVRNQIKKLNVNRYEIATSSCLYSVNISPWFDSLTSGSAAPKTNKDSRVFELVNALISQDELTETKKWTGARALRAIAVKLSAGLEADETEDEPSADSEDVMHLVIIENKNGQPAHVFNIATFDSNTWNIKTTRDIEKHLNSGTTSNQVHTLEQQLADLKPLAACVISDKVSCEDAIDAALKFFEAVDERLKKHSELAQAFVDRTLALTTSAHNLVEKQEQLDARLGDESEVIELLKTRLYATKERMEKTRKDINVLFHRVEENAPLSDNEIRIFEKLKERQKMLSDLSIYVPKMTLDTNELNRMTEVLLKKRISGEDTNKFSAVERNAAEIDNLESRERTLQEDIAQLTV >CRE11788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:837079:838903:1 gene:WBGene00057345 transcript:CRE11788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-44A1 description:CRE-CYP-44A1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4P2] MRKSLQKFAEKCPFSATSVKTSVPRRFSEIPGPLELPIIGNIGNFKYAVRSDSKTIEGYNHHLEEMYHKYGKIVKENLGFGRKHVIHLFDPADAQIVLAADGKTPFIVPLQETTQKYREMKGMNPGLGNLNGPEWYRLRSSIQHAMMRPQSVQTMFFYFLFILRYLPFSQIVSEELVKHVAKEQVRFGHVNMQKVAGRWSLESAGQILFEKSLGSLGDRSEWADGLIELNKKIFQLSAKMRLGFPLFRLFSTPSWKKMVELEDRFYAEVDRLMDDALDKLTVKDSDSQNMRFASYLINQKELNRRDVKVILLSMFSDGLSTTAPMLIYNLYNIAAHPDAQHKIQKEIKEDPTSTKLPFLRSCIKETFRMFPIGTEVSRITQKDLILSGFHVPSGTAVDINTNILMRNEVLFSDSPHEFKPQRWLEKSKDVHPFTFLPFGFGPRMCAGRRFAEQDLLTSLAKLCANFDIHHRGEPITQIYETLLLPRGNCEFEFRKL >CRE11787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:833829:836667:1 gene:WBGene00057346 transcript:CRE11787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fzy-1 description:CRE-FZY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4P1] MNTKGKTPGSAGRTVRASVQQQNGLTMRKRDMTPTRNTNLVPNATFVGDRFLGVRLDQDELDHANHLMTSQLYGNKENLNSSMSEPNSPERKSAEGEALKQMMRHKSAGVLTDAEDGDRILCYKKNLAPPPAIGYINQAKVLYSTNNVINPASSVKKSTRHVKETASKVLDGPGLTKDLYSRHLDWGCHNWVAVALGYELYLWNTETCVIKNFFEDNAPTNEGLITSVRWSQDGRYISLGYGSGAVKIYDPNRPKTTEYVRELRTLRVGGASRCASIAWRKQGVMTCGYKSGDIVNHDVRISQHVVSSWGGENGHSRDITALEWSSDENMCVSGSSDRTAKIWEGRFVRGDGVIQDPEPQFTIDEHTGQVRTAQFCSFRDGILATAGGINDGTVKLWDVKRQCQKVRELNVCETGGVGGIVFNRPYSEMLTASDDGFLRIYRFNANYKLSHEIQASNEPIMDLVGSPYDEVLIGDMEETLKVFQLFSVDKSTNILDRTGPKNVGLNVR >CRE11954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:831428:833412:-1 gene:WBGene00057347 transcript:CRE11954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11954 MESKAWPTIFGHIKKSKTYEGNEQLRERLSRKICDNEEDKKLHVLAGMFANAKSSAPTIQVNQIESSSNEHPNLSKTYSTSPQKVPKFSKYSRSNHPQSWNPVDNPLGRHEKTFGPPSPERINSEEEFPMKNKTTDVAHSTSLLPWLKKWDTVPGMSSLKPHKTIKFENPPGFEKTVDRFSSRLDRIGVLPSLSLQKHTDTTLGKVEKTLIVAALAQTKDKDCKKSLPLYDMLVERSDWLLLREDLNDGTESLPRDPFYSHDVVLSDFEEYEQDKDAEYDPSFSSGPENKLSAAENQILDYSPLDFLNETENLDSQEDFFNLNVSSCSENKSKVSRTDDHYPSSSQFCAFSTPDKHRERKRKSTTNSSSGSDSSSSTKKYIPESIKDTLPAPVITADFSPFDFR >CRE11786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:830640:831023:1 gene:WBGene00057348 transcript:CRE11786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11786 MHSTTLFFLVAGLLLAVCLASEDLGNSEFNHPKNLIWRSPEGIRVKRYGGWGGGYPGMYGGYGGGYPGMGGYGGGYGGGYPMGGSYGSSSWGSYSSSRSGGYSSFNNGYFGR >CRE11953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:829737:830122:-1 gene:WBGene00057349 transcript:CRE11953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11953 MNILFFSFIVFGVLMAALNASENEETTAKNLNHPKNLGWKAPHGHREKRYGGWGGGYPGMYGGYGGGYPGMGYGGYGGGYPMGGSYGSSSWGSYSSFSSGGYSSFNNGFWGR >CRE11952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:828531:829070:-1 gene:WBGene00057350 transcript:CRE11952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11952 MSLEPEVPIVQIEEVKENPVLYKIISSDNHVFTISEHAVKLSKTLWDLITNLGLTAENALDNPIPVENVNGKNMERIVQFCERHKYDEEEQAYTNFIREFVVPEWDRQLLSIDNEELFQLILATNYLDIPKLMDYCCRVIGDMAKEKTPEELRIIYGIPTDAEDDALERSASDSPGPSG >CRE11785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:828086:828342:1 gene:WBGene00057351 transcript:CRE11785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11785 MNSHLFFWLFLVVFAVFSTKQIESNVLTRVKRQWGFGYPLFGGIGNSWEVPSNLPTYWGHNAYAGR >CRE11784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:824428:827459:1 gene:WBGene00057352 transcript:CRE11784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11784 MDAEQLRSEGCKVIDIVADYWESMRKRRPLPDVKPGFMNQLVSAAPPTAPEDWKTIYEDLEKVVFNPATHWNHPHFFAYFPAGLTYHSIMADILSSGLSSVGFSWMACPAITELEKAMLDWVVELMGLPEHFKNSHPGPGCGIIQSSGSDSILIAILTARAAKVIRFLNSKVEEIKSSPSIFQWLPNFSLGKSLYNILNHNVFNSNTDRPSYDSTDVITPFYHDPRVFQNFVMYFCDQGHSSIEKGAWLAGVRYRKLKAIKGYLGNYGLDPDALRKAVQEDRDRGYIPFMLIVTVGTTSSCGIDEIERLTPICKAEGLYVHVDSAYAGSYALCEENRYLLNGVENVDSYNTNLHKAGMINFDCSPMWFKNGTYASRYYNVDAIHLAHEYQSTGTDYRHLEIPLGRRFRSLKLWFTLRNWGADKIKDYLRGTMDIAIFFSKIIVEDQKFELFVPPILGLCCFRLRNHSNSDNERLCTAINRDRRIHIVPSTIHSIFFLRFAVGSPMTTKEDAVHAKKIIFEIANNLFKK >CRE11783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:821883:824302:1 gene:WBGene00057353 transcript:CRE11783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-5 MSDASSNEKQIKKSQSSSNFFKTTAKKKKMQISQVEVGVPDPIEEQQKILGRPTPCTVLAVSTTLFILILLIVALGELGLHDKSAFEWKKPPKKARRERLELPCQTVECVKYSASLLESMNSSIHPCDNFHEHVCSGYTPKIRNFEKLLKLIISHPNSDHQKAEKIVRKMLGKCISGDTNVNENRLKTIIPSDLPFPILSGKPTSSIEPVPNSTFKHQDLTKILIHISRHTPDDCGIFGITPSIDSEFTLFVIKPNTPSLTFYQFRNVLDILKRSLPKSETNSTEYEENVYQEMLNDVVELQKKLKEIEFEANPEVIRLSEIQENMTIVDWEELIDTWYPNGNKTFDKKLFGFHTTYYQKVRTSVDMVSLDFCFQVNDLLEKTPSETLYNLLFLRFSFKILRAELSSELEETCLLQVAKTIPGKIILHGSAEPKTKELFDQMLQTIHNHLRNSLTQLKWMDSRGIEEAQKKLNKTTTLFGFDGEELIDELVISENSNYISTLCQVLKWQADKMFKSIAENSISVFLNSEVFYSVEKNELTISRSLLHYPFISFHLPNYTNFATTASRIIPQLIHAFDERGRYYDSNGRYRDWWNSDTEQYFRIIVRCFEAMTQNVFGVSVSIGWNAYDSTKEPYAVLPGMNKTDKILFFYSLVKTMCNSDSMKVNNGLASVPEFIDAFKCRNGSLMNSQPTKCKMAS >CRE11950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:814407:815009:-1 gene:WBGene00057354 transcript:CRE11950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11950 MEFFTENSMSRRSTSSPHSSNEDNMGALRGLSPPTSKLNMQTVYELLYDFAKPILTNEDMEVIKKAYDGKFYINGNERKITKRESTTIMERLFGAIMITVEASSRPFPYRDSNVTNILDAFDIIKIKMDYEPSLSNKRKMEKWRKKLSKQIYDTQLIKNAMVHIIRDQ >CRE11782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:810880:812510:1 gene:WBGene00057355 transcript:CRE11782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11782 MITLISHETPSSSSSSSDEDSDDESCETDKEVKCKQNAAEKEMNRKEKTSSNNNKRNRKKSKRKQHPCPYCEKTFPFPNKLREHIEVHKTDRYECMECPSPRKFDKFNDLKAHCKQYHSRASHKCSVCSYTNSRPADVKRHFQQNHIDGVPCTIIGCSMKVAKNRLKTHIKEMHSIPLEISSVPIRAKLSFHKCPNCGYEPDQSIVEAEDQYKDLMSHMETMHEKKKTKKECKFGCGISLLPEEETNHQDKCPKLIPDESCSSTPMLNNDSCCYTNTVTSQSMLSETSEEDQESVESSSNTLNEELEEEHEGTGRSSKDPPRKKMKKNENQFKTEFACEICGKESLSRDSLRKHVWSCHSGSNREGKTSRKQFKCDRKSMIEGEDYCEKTFRTEQSLRDHYNVHDNIKPYVCKSCDQSFYSRDRFAVHLSKYHQTSIKNYN >CRE11781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:808006:810536:1 gene:WBGene00057356 transcript:CRE11781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11781 MSQLFPINLLTSFPSKGCPTSFFFILFVSILNFPPIYSSASTPFNSSEYVLREVFQHKDQCYKDSFVYVKEAYTSKSSPFVVYSTTKECSTFLNEQDLSERLPSCPSGLIDLEVTPTYVGKSQISYPYANLNISVTAHSPVSTLVFRLQCLHASDGSDVYCSDMKDMYINGVKEWPCRGIHLSTAVHHPAKFSYACFRLTSYSVYAINATVLPQKCRISTIVTSPHLDEIFPPSLVDPSGSENDIDSTDPYWSPMISVDFSEEQAVWIRLGKAPNAECDTMNVHVYQEHDDNKIKFLAALSVKCPEYSIKWDDQEAGTYLLTAYVPIRGCKFFCEPNSRGCKQCLRTHLNLVIWEDRVSMSWRVVKTFHDYGIQIFIVTALLTLILIILFFVGIYIHYRKQKADANRVRQIQLDTFVKAMIVYADDNEAHTNCVKLLVDNLKHCANCEPIFDLEKLITIEQVVPSRWLIDQLSTLSKFIIVISHCAEKILHAEDSETHRLVQSRPFADLFEPAMNIIIRDITQNPQEARKKYVIVRFGYSPQVPANLAILQLPTFLLPDEFGRLTAFLHDLEYGSNVNITQNISKRRITEWTDAVEHQKTIFNVNPNWLETRWKPKDEQEVMNLQRAVPVVFTYKTNEERIAASQRYNLLPPSAEIEEDEEPETSNNEATYMLAPPPVEDDLEAEEEEEDEGSDTIVAFDS >CRE11780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:805731:807901:1 gene:WBGene00057357 transcript:CRE11780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-16 MRKLVIPKYYGRPSIGLALLGCTNRPFYHVCVFPDRALGRRYEGNILEQVGTFDPLPNQKNEKLVALNFGRLKYWIGERNAHISVPVLELLGLSGLFPIHPKSFIRAKDNRALIADQQLKTVAEAAEAEKITQEQDSSGAPSTSHPQ >CRE11779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:801853:804235:1 gene:WBGene00057358 transcript:CRE11779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11779 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3M4M8] MLLSGLSELFPIHPKSFIRAKDNSALIADQQLKTVAEAAEAEKIAQDQCSCTNKSLLPHRFGVKSQIFSKMGSKDDLERTLMQSTFGEIPVEDLPSEFVLRHQHSNFLFDKEYNEIPHFPMNAVASTKKGNEFKNRYNDIRAFDETRVKLTQIRGDEHSDYINANFIKSWKEKKLFIAAQAPVEATIGDFWRMIWEQESLLVVMVANLTEKGREQCVKYWPDEEMQRYGDIIVKPSTVSVYSDYAVRAFGIAHIDDCESDVIPTEKVRCVLQYHFTNWHDFKAPECSTGLLRFMYILRELTQFNTSPVVIHCSAGVGRTGTFITIDSMLDQCLAEGKANVFDFVCNLRRQRNLMVQSIEQYVFIYKALAEWHMYGYTDMDVHSFEDHYNRLCRAVSFNQSSSGNESIATSSSETGLEEEFKKLERNLSTSLTSNFAAKDENILKNRFEAAVPYDDYRVALPQIIGHSDSSYINASHIKGYFYDYIAAQDPVSAATVFDFWRMVADLKVNTIVMLSNENDWSEQEKYWPLDGPGTERHFQDGRIAVDVIFNSVEQHQDFIIRNLAYTMKDSDITCQNQDVIQYCYTAWPADSLVPKSSNSMMNLISLVLQRQSNLIESRAPIVVHCRNGSSETGIFICISLLLLRQKAEQRIDIFQTVKGLQSHRPMMFTRFEQYSFCYSALADFISKTL >CRE11778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:792874:799165:1 gene:WBGene00057359 transcript:CRE11778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clr-1 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3M4M7] MQINRWIWWTTVILLYLRTELLEAADSSKENTADLSSEEKEKKSDGIDKWNSTKIEPSKPKNAKELGIHPCESNQDCVYGGVCHRGKDGHGICLCPRSCPAITPKRCGFRSTSPCLVMDSEYRSKYDVKEPTCYLNECLCPPQFDTVHVTANQKPLKLNSTLLPTKCDKRKFSMNWDSFQNLFPGDLAVVSRAHPSLSVFKAMDVTLFCCVNVDPEGFIDVANVFFIQNGTIIREPTSHPFATRNGQPRRVHEKQSCWELEIKNAQVSDSGIYMCRVTTASPELDVTDTMIFEVKEHPRRTHSYWYKRPKKVMSASSSLKDSDDDDDLTLPTKPSHLPPRQIQKLAVNASEREAVVTWESDGEDMAIDLRLVRRTDSRGAVVYSKDNVTAPVVIKDLRAATPYTLFVSGKDGLVPFEFTEHFSTKQKRPFPPKEEDVRVLNSGTSLSCEVEWKSPAETNGRIVKYFVSVRGAMRKSDGTLTPDDFPSAEDVDKRCANWDEDESKASQNSVNPIDFSNDFYSCKFGPLKPNRNYSVTVWAENSAGRSLPALFKKNCVTNFAQPDLVEAPQTRLTSNMSTFELAFSRPPDDMNGPISCYYIAIVPLPTNVSLDLLPNSDEIVMDSFSKVFTNNLHASAAEKKRFFAYVAESYTELPIETTIGDGIGVTGLKACNVQYLSRYSAEDLALRTGLKYTGFLIVRVDKEEELNRKDARPGAGPNILRNLMDKSAPTISRTHTSTSSTRHLRQLHLSGPAYGYSDYFKPVLLDEDGPSTGLGIFVKVFLPLLLFIIVAAGVTLILLHRKSPMLTTWCPFFSKMVSKDAVERTLLKQTFGAIPVEDLPTEFVLRHRDSDFLFVQEYEALPHFPMNTVASSKKENAVKNRYNDIRAFDETRVKLKQIRGDEHSDYINANFIKSWKEKKLFIAAQAPVEATIDDFWRMIWEQESYLVVMVANLTEKNRQQCAKYWPDEEMTRYGDIIVEPSTVSFHSDYAVRAFDIAHIADCGSDVIPTENGVEYANVPIVKGQFANCSRRVLQYHFTNWNDYKAPECSTGLLRFMYILRELPQFNTSPVVIHCSAGVGRTGTFITIDSMFDQCLAEGKANVFEFVCNLRRQRNLMVQSIEQYVFIYKALAEWHMYGYTDMDVHSFEDHYNRLCESTCSLRDRAVSFNQSSSGNGSISPKVAIVSSRESIVTSNSETGLEEEFKKLERNLSTSLTSNFAAKDENILKNRFEAAVPYDKYRVALPQIIGHSDSSYINASHIKGYFYDYIAAQDPVSAATVFDFWRMVADLKVNTIVMLSNENDWSEQEKYWPLDGPGTERHFQDGRIAVDVIFNSVEQHQDFIIRNLAYTMKDSDITCQNQDVIQYCYTAWPADSLVPKSSNSMMNLISLVLQRQSNLIESRAPIVVHCRNGSSETGIFICISLLLLRQKAEQRIDIFQTVKGLQSHRPMMFTRFEQYSFCYSALADFISKTFR >CRE11777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:783365:784918:1 gene:WBGene00057360 transcript:CRE11777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daz-1 description:CRE-DAZ-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4M6] MSPPQRYQKDQYQNSQHQNSSSQPSQPMVHYPPTPSSHPTTPVPLYPTGAAAIIPAPPTYELIPNRIFVGGFPVNVSCFVLLQYSIIFETTESDLREHFEKFFPVKDVKMVKSLDGVSKGYGFITFETEDQAEEIRQLNPKQLEFRSRKLNLGPAIRKMNANAFPPGYAMVPASPGSFGYAIPASPGPYGGYPFSTAPSVFVYPPVPNHEQNGQSEQQQQTTPQLSPTGIQQQQSPQVFFENEQESIRTYASAVAGIDKMEQLSEDRPVSSPQPPSLLNGSYSNGQQHWNNSEQQQQSNDSNHSSPYNKENYSQGYQSPPYQPFTQTGLYLNSQGIFQPSYGFMTPPPGVHYAPMMHPPYWQQQQQYPNNGFTGYGYNNWVGPAGDGSQALQHQSHFYQGYPSQYPQQNGKDENSLSRPLQAPRPGKKIRKPSESNEKKKNSRYSGHISPLSASLQSLAISSPTKN >CRE11776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:781034:782780:1 gene:WBGene00057361 transcript:CRE11776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-5 description:CRE-DHS-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M4M5] MAPTPPPTSSSLIPEDSSSSFIASFQSLFPTFYVENGLQLAVTMILMIPVVYLGYRLYRTFFAFLKAIFIYVVAPLFYKPNLEQYQHRWTVVSGGTDGIGKAYTLELAKRGLRKFVLIGRNPKKLESVKTEIEEKHSDAQIKTFVFDFGNGDFARLRDYISDIDVGFVVNSVGTGRENLERYGDNPAEDTQILKVNGLGAAEFLSCVLAPMEKSGGGQVVVLSSSQGVRPIPMLAAYCATKALMTFLCESIDREYNTINVQTLIPALVATKMTYYTEGSTFVVTPENFCHQAVGSIGLTKKTAGCLNHELQMLGFHFFPWTILKYIIMPIYYHQRKRVTQLHNTEQQQQVPLQDMIEEPVVPTKKVITRDSATA >CRE11775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:776445:777252:1 gene:WBGene00057362 transcript:CRE11775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cex-1 description:CRE-CEX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4M4] MVVVAAKAAAVPIDELIKKHSDVDPFLIRKWERIFSLFFDRNASHQVDWGDFYLVVKKVRDIYGAESVQTDFAKKSLAALWEGLCSIADADKDQLISIDEWIGLLKKTNAQTEPKWFKDYQNFMFKLFDVSCDGVMDIAEYTDGMHTYGFDQFECDAAFHKFSVDKKGQYVPQMKPETWNTYFHQLFYSTNKADLGNHLFGILDF >CRE11949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:771152:775042:-1 gene:WBGene00057363 transcript:CRE11949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmr-1 description:CRE-NMR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4M3] MKMFRILVYCIWILIQFVNAIDYKVSVLIVAEPNQDTFDELKVSVTNAFVEVFGSTSYHLGNDTITAAFVDAKSGENRLELTQDIVCSQMLNSSLASVIFSPSLTSSARFIDLVTSSAYTLSFYKLPVVGVMVRDAEFSKKVGPSANFTISHRFQNIYPTFVRPTAPLSDEAFVFLHMLLRLQYRQVVVVIVKRDINADQFVAEFERRRVEFKIIVQRYIEVELNENLNDTLAESFEEVTSNIILLFAKKDDAVRIFANAGDLTGKGKVWIVSESAGEAHNVPNGSLGCRLGQTALSVLRDSFSILKSAMETVFRESKIDIFPPVECDRDSVDAEWNSMQAPALLNEICGTSTSRIHFNDKCERIGVEYDIINFHMERRQVGNMVGDLLRLDEESIEWAGGTKPLEISLPKHLRVVTVADPPFVYTTPVGSPSQCTERGSTVVEWSIFDKIVVPGPWYSCPMTSANTTEYFCCAGLAIDLLSNLSLPEVEANNSIDTSFTFSLHLNESYGVIQAAETTGITIGGVIGELDGDTADMAIGGITINPERERIVDFSEPWLYHGIRILEKNIPRDSPMQSFLQPLQSSLWTALFISVLLVGLAIYCLDFKSPFERFYQADKEMEQDLKKEFELWIGKDADENVNFGEAMWFVWGVLLNSGVSEKTPRSCSARVLGIVWCGFCMIMVASYTANLAAFLVLDQPEKGLTGVTDPRLRNPSANFSFGTVLNSNVYQYFKRHVELSSMFRKMEPHNVRRASEAVHSLLNGSLDAFIWDSTRLEFEAARHCELRTRGSLFGRSAYGIGLQKNSPWTPHITSAILRMSESMLEIIFYQDETIDLGGVMENLDQKWIDRGGPNCVVEAHKSPARLGLVNMKDIFILVSSGVALGIFLSFVEVSYGRWLADKGRRRIIVQRYFHKWHDLSLGPKRRPYRLKYNLDRMIIRRGFSGLERCSFQEMRERRQIRGLPTSRIDHFCFWPDLDFREKPLVLFCSRCRNIVESDVHRETGLFAFLSCFLFAILFLWPCSPLPCFLSSFSDFVHICPLCSHTMGRFRRARSTRFYV >CRE11774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:769045:770572:1 gene:WBGene00057364 transcript:CRE11774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11774 MSTSAMRNQTLSSSRNLTKKTVPSTRSSSSTAKKSSESGWEYWTRVYSDDTQSSHDIRISDILSSNTLTRAKLLFLNVPNHRSIHKTTIMNLVKNEMIQDDISIQDVIFTPPGKWFLNFYRPEDALKVLKYYSGFSFRGHILAVRFCYPDGTFGDENALAELVKCSNNVKGKRFEQKEIVQNTISPECWSVSEYEELKKFETELMKLLKSHSHLPFQNVIQSMRTLFSFNIQSSTSSILISDALSQWPIGFIRIFNQNVKVISNVMCLSTSPFYTQRIHDSALEGRFPIHRNSWEPYIPNEIRSDAQLIQYFYSFLCHFGPQNIDIDIPIRILTQSLRGTWPKSTSILASKLIDVSSSFVIINRVLYLSNDRNHHEKVIDNIATYQDDCSDDYSLELSCDWDDQKVMIDDFDNL >CRE11948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:767647:768230:-1 gene:WBGene00057365 transcript:CRE11948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dct-5 description:CRE-DCT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M4M1] MVQKIVTESPRDQVFGNLVEKFDMVCIAAKCGNECSQCKHCHYALEQMSALAQGEKTSGLCPKLETCVFNCLTEDVSKVLSCVATRCNVHCYDGDCPSCKMISRRIFSNICKQHSMTTQPQIKYAGTCPNLFMELSDDYVAKKKM >CRE11947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:764985:766315:-1 gene:WBGene00057366 transcript:CRE11947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-114 description:CRE-SRX-114 protein [Source:UniProtKB/TrEMBL;Acc:E3M4M0] MEESSLRIIAATMMLLTSLIGITFNLTIAISLFAKFRLNSGFLVICMVKSMANNIICVGCLVWPVPITYLNFYYLPEFYNVLAGQIIGWFAWSYSPTTQILLAGNRVMAVYFPQSYHAKYKYSPNRIFLSFIFVLSITISIPGFMNGCSFVFQLELISWVPESTICSSRLSLFFTHFAFSMSFISNTFNVIVFLKLVSDAVSSLFRFTGNVIKFQKTAKVSSVQHLNRQRRNRRMLFQSVCQDLIIAVDTFNTTYAWSFYPALWFQFLVCSYSRILARTLEGLVMILINESIREAIRTKVFMKTKQTIISETPATSTLASHSKNIVASNRLFSVRINH >CRE11946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:762143:764280:-1 gene:WBGene00057367 transcript:CRE11946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11946 MSDENGPSKVDLQTAMRKMRALPANKLCFDCGARNPTWCTVTYGVFLCIDCSAVHRNLGVHLTFVRSTNLDTNWTWLQLRAMQLGGNGNATQFFKAHGCNTTEAQQKYKSRAAQMYRDKLSNLCQEAQRKFGTQLIIDTVSHTEEKPTDEEDFFSQDFGHSSASATSLSSDAYIVDHKNDDASHGPSVDHLDHSVAVPTAAPVSVILKKPIKKATLGAKKNTLGAQKVRINFDEIEQRAAEKEKQQEAEIAANKLAYQVELDNKQKADDAAALQKLSAKFAMQDIDAHRKQMEAKVAKDPTKAASVDRLGMGGVGRARAAHSVAGGIRSIKQDDVLTFKKSQPKEDDDWEVIDDKYGKKSTNNEDDFFTKDYTTGGSSKNNKEDDFFDSFETQPVQKSRYTASSSSSSTSRAPTTRLTAGSAPISDVDLQKKFGNAKAISSDMYFGTPEMDFETKSALSKCEGQTSFGSEDLWGNGSQNRQSTQVPDMSDLKDSFRAGASKVAEKWSTLSTSFASYMSRAPPTTGEKT >CRE11945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:759388:760503:-1 gene:WBGene00057368 transcript:CRE11945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11945 MQIKDGEREEDEVVSESEDNGIPIYSSLESLLFIPKSMSKELSEKALRTQEFLDKWSNNRRKMCRQMEAIAEKLHNWEKGCAISTTVGSSVGVASGFAVIGGLFLMPPVAIAGLIVGTAAGVSNLATGVTKMMVSKSQHKEVAALIAEDERLFEELLESRKELMETIRKIVEDEEFYKHFKDDEDVENKLKTVFGCSVTGITGLGTRFAITSMGRLSSSLVKGVLHSVAVIGIILDSVTLAMSVKTLESGAASELGSNILEASGKLEMMRQKVVKHFLNEDVWNKDDDQLSDMGSIEILSAESPIQRYMAATSSEQQN >CRE11773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:757878:759250:1 gene:WBGene00057369 transcript:CRE11773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tofu-6 description:CRE-MEL-47 protein [Source:UniProtKB/TrEMBL;Acc:E3M4L7] MASSSTAFYLKDAGFHIRNVPKEWNDWNLFHVFQSFGRVGYCRVAGHSNDMQLGFVNMLSHADAEAVRKNLNDGTLFGDNYTLKVSDHKNIGGAFLPMASISVQKLMTSPTPKNSPVLLSSSWLPLNKDIEVSMQCEFIKSSVTVQVEVVDYLPSSSVAEDIFALTILRVNDPSSNDKYTVMYEKMNAYAQLVPFDSDLPIGYDGVFRESPRSVLRVRRISATKLYLVDFGKIINYEKSKCFQMPKVFQSIPTRVSLCGLDGLTWSPAAIPSFDNIRDVVQKWGQMENSTLHATACGFNGSINMISLFCGKSILAERLQRKGVCEYLPRSQQPRFAYSRDTLLQHNNSGTTAQISNDNDVVNDLLKKIDGIKIMLRDLDL >CRE11772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:752840:755626:1 gene:WBGene00057370 transcript:CRE11772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11772 MDSSFEFVDKSLEATGWVEEVHENEIKSQEFSILLKEIKNLRSENEEIRKSVSEIRDKLTNVLEQFSLKKLSTGQENGKYCIDEVEISQEDERIPKNQLKSSIEECISGEWKMISSRNVDEFLQLQQDISPNEATRIRSSNICFEVKSQQIKTCNFLNGVKNLEIHFLPFPNRDGVQFSLKDNKLISVQNGKLDGHSEETSRIERFIENGNLKVVWRRNGLICERIYEKNWEPSNLFLLFFFFQLMASHHRSESESSYDFVLRDDESEELLNQKEEEKKKEEDDSSDILSFDGNVSSEHSDEFNNFEEEGFDEEENVLELENDETETSGQSKDSSIQNVQEVGERVGDPIEPSIEVPKIMENSIEKISEDKQEDKQKQEIIHQNQENEKNSDTRAEHEPEKLTTDPLGAIETPILSPATSAVKYENVTAQPSIDSAVLAEINQLRNEIAEMKKAQAGQAKKITEFQKKFDIQQRAEREKELKRYSSIQTAIRGEWRLLNLEGQNETMKSDGLSFPNRVKTLVANTVYDFDGSKLTSFHRLFCKSFGHSSLKFGVDKSSGIIFSNTFMRGNMLITVWKDDRGIYHEKKERYVQDGQLYVSHINRFGERTTSVYERVKSKSDMLYSIGNAGRLYLKFW >CRE11943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:746992:749897:-1 gene:WBGene00057371 transcript:CRE11943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11943 MDHLKLINLNQIELLAKIKKIQKETDEMTKLLEMDLDRKELKEIREEVNEVRRRCEKQEVFQSDFDSNVLLELREIRNVLKTIEDRENDQNEIIASIRAAMNPELRLNPCEDVDESNRNNDKNIGQSIEKLIVGTWESVNSYYRRTLTFSYKNNSNVLHWEQKVNGILQDFHWEKIGNRLITKSVTLFTTVENNHMKTRVEFLNHGTVRTYDRYIENDLLHVIDYDSRRKKPGTIEDITPISEGIAGTWKPSSLVDYPHFVKPGDPVKRSRWLIGQLSYSVTMGCLSLELTPTESLRNTGKETNVPLKKPVEYSDHSETWSFENDDLIIVFRNKTDQSIIKKYYKFILGGKLHIVFHNLVDNVTSTRIYERVL >CRE11942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:745626:746582:-1 gene:WBGene00057372 transcript:CRE11942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-141 description:CRE-CLEC-141 protein [Source:UniProtKB/TrEMBL;Acc:E3M4L2] MRSVSSVLIALGLLATFKPADAIFRPGYGGGGHRPPSSYHHGGGEEDCKTSSSAPATTTATTPSTTTVKPAPKCPAGWETLFRAPTEANGNRSPYCMKILFSETPILIESGQSRCQAENSNAVMTMMEGEGERAFVATQLYNTLTSWGRKSGAIAVDGVRVKNCVSKDRAVLDGPDCGPTKSYVLTNRNTNPNFFFNNWAQNEPSANAWTYDIEECNQFAIDSKNINRTARFNDFYCKMDVAPNDPTNTVYWNFGVLCGMYQQ >CRE11770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:740990:745644:1 gene:WBGene00057373 transcript:CRE11770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mog-5 description:CRE-MOG-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M4L1] MDQLEHLSLVSKVLGELENHFGVADKDVAEFVIQMAQENPTFDKFKKELEVQGLSDQFDDSLTATILRIVQHMTTAKKKTKKSGDFKEDIKDSHKITLISDAKEEIKARLPALAMANTVVEKEDDGSDLMAELEKLQGRYVEEKSREKESSSKRARSRSQDRDRKRRRSRSRDRSRDRDHRRRSRSREERRHRDGRDRDRDRDDRRNSDRDRGDRRGPDRDKDRRERPGRRDEDNSRKSEVAEVGKIYDGRVNSIQTFGAFITLEGFRQKQEGLAHISQIRNERVQSVADVLKRGQSVKVKVNKIENGKISLTMKEVDQSTGEDLNPREADLNPDAIGVRPRTPPASTSSWMNPESSSGPSTSMVGGKTRVRISTPERWELRQMQGAGVLSATDMPDFDEEMGVLKNFDDESDGEDIEIELVEEEPDFLRGYGKGGAEIEPVKVVKNPDGSLAQAALMQGALSKERKETKVQAQRERDMDNQKGFSSNARILDPMSGNQSTAWTADESKDRNSKMKEMPEWLKHVTAGGKATYGKRTNLSMVEQRESLPIFALKKKLIEAIIDNQILVVVGETGSGKTTQMTQYAIEAGLARRGKIGCTQPRRVAAMSVAKRVAEEYGCKLGTDVGYTIRFEDCTSQDTIIKYMTDGMLLRECLIDPDLSGYSLIMLDEAHERTIHTDVLFGLLKAAARKRPELKLIITSATLDSVKFSEYFLEAPIFTIPGRTFPVEILYTREPESDYLEAAHITVMQIHLTEPPGDILVFLTGQEEIDTSCEVLYERMKSMGPDVPELIILPVYGALPSEMQTRIFEPAPAGKRKVVIATNIAETSLTIDGIFYVVDPGFVKQKIYNPKSGMDSLVVTPISQAAAKQRSGRAGRTGPGKCYRLYTERAFRDEMLPTPVPEIQRTNLASTLLQLKAMGINNLIDFDFMDAPPLDSMITALNTLHTLSALDGDGLLTKLGRRMAEFPLEPSLAKLLIMSVDLGCSEEVLTIVAMLNVQNIFYRPKEKQDHADQKKAKFHQPEGDHLTLLAVYNSWKNHHFSQPWCFENFIQVRSMKRAQDIRKQLLGIMDRHKLLMRSCGRDVSQVQKAICSGFFRNAAKRDPQEGYRTLTDGQNVYIHPSSACFQHQPEWVVYHELVMTTKEYMREVTAIDPKWLVEFAPSFFKIGDSTKLSTFKRNQKIDPLFDKYADPNAWRITRVKKRIYNPNK >CRE11941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:737524:740395:-1 gene:WBGene00057374 transcript:CRE11941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11941 MDFNRSFTSNLGSATSAKSQQSMFKALRRLHGQNLESRGLHDMTEAFQSFVTRARFFSIGKLRRTWSHSAAEFMKYRRLLPMLLADGEAFDGGETDDFDASIAFQHTSRDDRKIVVSNISSRVTQSQLQSFFSQYGKVSFSYKCALKRYFQITSCILPREEKKTSIFGTLPKHSKNCGTATITFKKAEHAERAKNASPEELKFYEQVMVVSAYVSKKRGGKGLVLSDDVGSREDTPLSRASSTQSLASGSEQNFNLGGVPDKVLKRVISFLPIHETIRVERVNKKFMEESIKSWELVNRLILARETVFSKQRPMRTNHLKAILTRAGVHLRSLDMSGIVHLLDDRRALKVIATCCPNLVELDISGTHAQAEALEELGESLAHLEQLSYRGMETTGDKAFYFLLKNCGHSLKFVDLRNSKRLHGRSFRLFGSQLESLYLDGSSKIDEMAFEDLCTSCGGLKELRINECYKITDENLSMIARRMEDLSILTLCGDGFKNLTAAGLIHISHMKNITELALDYNTLVNDELLISVSAGLPLLASLSLANAGDDCSITAEGVSAIRNLKELTQLDVSSLAAVNSKVMTQLGALEKLEIIQLRNCTYLGDEGVRAMLKIPNLRHVDLSGSILVSNESIQEFIKAFPSGPKLPPITLVVGGTAADASKLSVRGSRVVVDFSDYSTIVAMQTSQSSASKFAIGSSSDVEDCSDDEFEALTAQRSFYIDAVCGEEDSPITDNGKLAEWAEKEARSLGLIKD >CRE11940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:735056:737254:-1 gene:WBGene00057377 transcript:CRE11940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pink-1 description:CRE-PINK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4K9] MSMKRFGKAAYRIANELIARSGKATTFHRFLPRIFPVSHNLGVHVVLKKASLPRQNVLKIARLASRHGRFFRPFSAVIIERHRLQNREDWRRKFEPIRREPRSIDLVERIKQIFGNSVRYNEDLKSSEWPNRIDSYEFGEFLGQGCNAAVYSAKLSNDELEIPNSKFGVGFNEVTDILAEVPPVSKVVQKKYPLAIKLMFNFEHDKDGDAHLWSSMGCELAPYPNAAKLLNGRMGNFTPLPAKHPNVVRIQTAFVDSLKVLPDAIERYPDALHTARWYESIASQPKTMYVVMRRYRQTLHEYVWTHHRNYWTGRVMMAQLLEACTFLHQHKVSQRDMKSDNILLEYDFDDEIPQLVIADFGCALACDDWQVPYENDDVNLGGNTKTRAPEVSTAKPGKNVKVNFEMADTWAAGGLSYEILTRSNPFYKHLDTATYQELELPALPSRVNFIARDVIFDLLKRNPTERVKPNIAANALNLSLFRMGEDVKKMMEKCGISQMTTLLAGASKGLSQKVNSHLDQVINLITAETIMANLAPHLISRAERQLRATFLSRMNREDVWRSLQYFFPPGIQLDTSATSSDCFESVSSLISSLSNGSKDFETQKKPMKNGYNAPLLLKNVIRTDSDGINGIVHRIRSK >CRE11939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:732601:734783:-1 gene:WBGene00057378 transcript:CRE11939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-brap-2 MSSLYVPLVLRLEVRDPSKILQSFNDDSNAGSESPFAGERTRKRLAKKGNTPPKKEQRVGGKGEDPPIGEMSSEPAHSYHKGRRTYSEVVVESLDGEKLLETSSVAGTSEKSGGRSVSEAPPEHIPYYSGNPLTEKTEGIMHFYKYNDEKLIRSAQCRMLCMYAVPAQVEVREIISFMCISLPMISTIKVVRDPAPNQYMLIIKFKEHNDAVTFYEEFNNCPFNDLESHCCTLFFVDRIECTTSDSLLSSDDTSLTELPTCAVCLERMDDSVLAILCNHSFHAHCLEQWADNTCPVCRYVQSPEVVAEQRCSDCGMSNDLWICLICGNIGCGRYAEQHAQRHWELTSHTYSLKVGGERVWDYAGDNYVHRLIENQADGKLVEYQRDMNTSIDEKSSKDDKLEGIKLEYTLLLTSQLEDQRKYFEGQRHDMEQTMSKMEKMAYAQVENLEHQLAERSTELKSLRGVVDETVAARQVAEKKAAQTYEKVSKLSNELKDEREINQMLRKDQQVWKDQVEKLIGSQTTARVEYEKVNPKKLFSQYYFVFFQKIDDLQSQVNDLLMHFETQNKLKEQLDAGKVTQEEIIESQVGLDTSSSSSSKKIQRRKKNNKN >CRE11938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:731045:732354:-1 gene:WBGene00057379 transcript:CRE11938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndx-6 description:CRE-NDX-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M4K7] MSFVHKKCRNVEVPYLGSQIHRVNVPDDLVKWSREWVEYTPPVYTDQKVHGQAWSDPEINDARFKPAWNSIDGKINRVSYVCQYSFDTKTLCPLNPVGRTGIAGRGLLGRWGPNHAADPIVSRISDDDHLEFVAIQRRDNGEWAIPGGMVDAGEHVSQTLQREFAEEAMHGVVDKESLKELWSNGKELYRGYVDDPRNTDNSWMETVVFNFHDSEGLLKNVALQAGDDAKALRWIQVDSKEPLYASHSHFIDLLKASHST >CRE11937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:729559:730933:-1 gene:WBGene00057380 transcript:CRE11937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsp-4 description:CRE-RSP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M4K6] MNRGGGDRRAAPDINGLTSLKIDNLSYQTTPNDLRRVFDRYGDIGDVHIPRDKYSRQSKGFGFVRFYERRDAEHALDRTDGKLVDGRELRVTLAKYDRPSDERGGRGGGSGGRRRSRSPRRRSRSPRYSRSRSPRRSRSRTRSPPSRDRRDSPDRRDRSASRSRSPPAREDASPPIGRRSKSRSASRSPSRSRSRSNSR >CRE11936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:727629:729082:-1 gene:WBGene00057382 transcript:CRE11936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccpp-6 description:CRE-CCPP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M4K5] MGIAAPVVKSNAQNSWARIPSRHIYYYRSSQHNDRWILSFAFIFESSDPVQFAYCIPYTYGQMQAWLNQLEARKYIFFHRDLLAHTVQKRRIDLITIDGSPETFQGSKKMIFLTARVHPGESPSSHVMHGIIEFLVSNDDRAQKLRKVYCFKIIPMLNPDGVYLGNYRRVQTVLNLKYFRCSLMGYDLNRMWRNPSEWAHPSIYAVKNLLTQYDNNPQAQTVIYVDLHAHSQKPNCFLYGNVSMTAVGFYFENKKFEWFPVEEKSTSRQLWFPHLLSELSEDYSLEFTQFNTDIEKAGTGRRTMGELLSCLCYTLEVSFFSYRHVDSSGNGIQHTTPYLQYKCRLSKNIREFFIRFSDEALGEAFCRALLNFYEADCGLREVILERPFKSFLPARAQKSLKKQARKVIQTVMMK >CRE11769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:724414:727197:1 gene:WBGene00057383 transcript:CRE11769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pkc-3 description:Protein kinase C [Source:UniProtKB/TrEMBL;Acc:E3M4K4] MSSPTSLEEDGDIKLKTRFQGQVVVLYARPPLILDDFYALLRDACKQHAKQDITVKWIDEDGTGDPISIDSQIELDEAVRCLNSSQEAELNIHVFVGKPELPGLPCQGEDKTAYRRGARRWKKIYLYNGHRFQAKRLNRRIQCFICHDYIWGIGRQGFRCVDCRLCVHKKCHRHVRTHCGQTPQGPNILPMAPGSGIGSLRSTRLDTSSSTTRSSGGIDNGAFHEHEIESPGSTNHDLSRSTNGNGTSKWAVSLNDFRLLTVIGRGSYAKVVQAEHIATRQIYAIKIIKKEMFNEDEDIDWVQTEKSVFEAASNYPFLVGLHSCFQTESRLFFVIEFVPGGDLMFHMQQQRKLPEEHARFYSGEIILALHFLHSRGIIYRDLKLDNVLIDAEGHIKLTDYGMCKENINAGDLTSTFCGTPNYIAPEILRGDEYGFSVDWWALGVLMFEMMAGRSPFDIVGMQNAEENTEDYLFQIILERQIRIPRSLSVRASSILKGFLNKDPTERLGCKLDINEGLRDMKDHQFFRGFIDWEALEQKAVAPPYHPAVESDRDLTHFDHQFTDEPPQLSPDNSAVIARIDQSEFDGFEYVNPLQMSREDSV >CRE11768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:723254:724056:1 gene:WBGene00057384 transcript:CRE11768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prdx-2 description:CRE-PRDX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4K3] MSKAFIGKPAPQFKTQAVVDGEFVDVSLSDYKGKYVVLFFYPLDFTFVCPTEIIAFSDRAAEFNAINTVVLAASTDSVFSHLAWINQPRKHGGLGEMNIPVLADTNHQISRDYGVLKEEDGIAFRGLFIIDPQQNLRQITINDLPVGRSVDETLRLVQAFQFVEKHGEVCPAGWTPGSDTIKPGVKESQEYFKKH >CRE11767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:719272:720697:1 gene:WBGene00057385 transcript:CRE11767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-algn-2 MVRVTILHPDLGIGGAERLISCYQSIQQRTLFPRNVEFRFELNQRLKLNRTFSDICTVVQWIPRSLFGKCHAFLAYLKMIIAAFYIVFFYGDADVILSDSVSAGQFVLRYLSNAKLIFYCHYPDRLLTKRESHLKSFYRVIIDWIEEYTTGLADVICVNSKFTKGVVVETFKSLQSRELTVLYPSLNTAFFDSVQSSDDLGKDIKINEKYIFTSFNRFERKKNVILALDAFAQLKSNLASDQFAKCHLVIAGGYDKKNPENIQHYEELKNHQVNLELPDNQVTFLRSPTDEQKINIIRKSRAVLYTPDREHFGIVPVESMYLGTPVIAVNTGGPRETVRDNETGFLVTQTAESFAGKMIELLQDEAKYQRLSEEGPKWVQQMFAFEAFSRKLDEIVQSVL >CRE11766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:717999:719031:1 gene:WBGene00057387 transcript:CRE11766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11766 MATIVPTLFSFVRDSYKGRFDVATFEKEVGRDFDNQEIAQAINKYEQEAKNLKNKDEIRKVIQYIDLTTLNGDDTASKVVALAKRAINPIPTDPSIHCGSVCVYPQRVADVKKFLTATKQNCHITGVAGGFPSGQYHLQSKVLECELTVADGATEIDIVISRAAALEEDWKTVHDEVLACKKACGSAHLKTILATGELKTLSNVYKASWASILAGSDFIKTSTGKETVNATLEVAYVMCTAIKRWYELTGKKVGFKPAGGIKTVDEALGFVALVKDILGEQWLNPTLFRIGASSLLDDCLKAL >CRE11935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:716423:717291:-1 gene:WBGene00057388 transcript:CRE11935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-agt-2 description:CRE-AGT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4K0] MGSEEEYFIVRYRGPRQDREAIYNCLTGKANDFGQYSTFLKDVNVGRAKCMKDIFDFIMPSESTSLSRKQNKSNEKRRYSNQSHSNSSGYLQELQEGTDHLEYRGELRSTQPQYASSSSAPESLVDTTTDREVKEEYPDAYPYTLPSTSRQFPSYQQVRVQNDGYIQQLPSRIPYSPHGTTVNRGRARNNQYYRPYPTVTSDQPRTELVSSQTLVRYNQNDNNIVIQFQKRHNICFERKAE >CRE11764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:701214:704401:1 gene:WBGene00057389 transcript:CRE11764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-6 description:CRE-SEC-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M4J6] MDIDVEEAALEQVAALLQRPDQLEKLPELKKRADRKKLAVEAMLRTGVQGQLEGIRTAIAHLQTASDDITAISHGVKDIRERLKPFPQLKEKLRELRDANARHGQYAAAMENLKHIFNLQTTLQEIRDALDDEKSGGNLLLAHKHIMDLERARDELLAEVHKMSGTNTEKEQNVCVLLINFFKGVDTVVAELSKNMWFILGRTLEMVKGNEQGGGPQQVVTCLRIVEREERIDKFYMDAQSKNSSAFVPPGRPRNWKEKALRSLEKTVANRVDGNQLEDRSLNKAWLARYLEVCRNVIMDDLQLAKVAIPCFPPDWQIYDRYVHMYHSSVCRRLREIASERLEKSELVQLMSWIKFYASEDMLGHPRLKINAQAILQDSPVLTRSTLNQLCDQFVEMSREDLKLWLKNTVSHETLDWYKNVRPSEDNHGYFYTDLPNTVFGMLKDTVTS >CRE11934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:711633:712894:-1 gene:WBGene00057390 transcript:CRE11934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11934 MLIILFLSCFFQLTFTKPINQKNITPGANVKEDVKCYFSQIRKTSHETVERSEKSIVSTSCSGKLEKLEKYSALSAGPEIKGEHPLSVIMCRGAGMCDLNFLDPLVMDKYKSIGICEHHVAELLTQWNTLPTFRDAHIYRVRTESYGEVEACSMPNSIGTKHEKGRPIGRFHLSVKAADALIKQKHALVHPGIPLCRSHETYISELMSQPHPPPAKKSRTSSETDSCSSEDPPYASSEKSITQKKEITLAESFSQFAMLAGETRVCTVKPWNQLKHVTQERKARVARNLFLTMLGIMVPDDTEEFKKLVERKTFVDKQWSTGSSASFEAVMEQLAVQFFSRKKCWRIVLLSGTWLLVNVFEVFQLRKTYSESSA >CRE11933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:705378:706363:-1 gene:WBGene00057391 transcript:CRE11933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11933 MLHISPLFSFNAFIRSFNYTVPTSKLCNQFPVDLQTLFTPPLISSLSNSLPPSEGGVLCKRLHPLICSAPEEGHAPFLGRDLQQNPALFLFLLFRKRRRNQLFSKEDERNDSHSTPHLPFSFDASCQWFLYGSMMRRRSADGYACPCLHKVCSYHCMTK >CRE11763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:700050:700991:1 gene:WBGene00057392 transcript:CRE11763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bmy-1 description:CRE-BMY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4J5] MVWKCMFVLLIFLHFGLTAEKQKKKDLTSYTDADLEKLYDEWEENDDEELEEDEKPEHKRKSPQMDLDSMKAKAKNPEDLLMMSKKGQTLMLFVGVLDPAEPGRTDIRPFTEKWTALWQSQLYNNHVDLQVFVIDDNRAIFMFKDGEQAFEAKKFLLNQEYVTEVTIEGQSFDGPAKKLKTAKKEL >CRE11932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:698918:699744:-1 gene:WBGene00057393 transcript:CRE11932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11932 MAQWNVSQDDKTLFRDLVAGSKEKITPEISKSCKEFTKKDVVSYKDLINIKTKLPDDVPVFVFFDRLVLRHEDHTYRASEAFRKRTDQLRIQQEQDSYKKLIRDIDPDQKYGRTDHMENFGTEMRAVNRQMISVINVVITVVGAFFFGFSGVTYAYPHMKLDLPTRFIIGLVPATIVFFCDLYFVIKGMDMDETAETQPKEKGAAFSFKNMEAKKND >CRE11762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:697425:698754:1 gene:WBGene00057394 transcript:CRE11762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-69 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M4J3] MNSCHPPTDEMAGLIGIYIFQGCYGLLTVAIYALNIRALQHHKANVDKSFSLLYTCCAALSITYFLDHFLIRRFVKLGFFCEFILEKFKEPNYWMMPYKTVASYCPIAILVFHTLIAAHRFSIVVAPIRGIQIWDHYRRVFAIFGFLIPFIFMWFMVPCKSYAKLDSEGNGGLDIEYDKVFSFSSSLFAAIAAVFFGLLTLFLTFGMLIVLVNLSLRKLGQAEINLIIFEIFMTVFTMIYAFTQGILYYSIYIAKDMELKSIVIQFRTFAIDIFILPQAWTLLFLSTTVRRSTLRIFGKHLGIEFLSTDIDNQKITRMNSTAPPTYSLQKSVVLNNNFTAPK >CRE11761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:695043:696102:1 gene:WBGene00057395 transcript:CRE11761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11761 MDDFRAQILKETRELMLNEKQNEESLKSWNGNETKGFELQFGGAKRGIPQSSGVIGQVFISEDGGFEAIITGYLVKSKKLFLFNINHISLDRLRSIVNSFTLTPSSSLKSELTEGLHPLVLAVGSALTLNHVLMLDTVRNQILKYLIPKDVARVTSSCKIAKQVLTSTAVDNTYWKKNLKNDFGVEKVTNTIRTGRKFYGVYCEEARARRNHNAAQPRHDNPLLIGVHQPRVDPLRVPDHNPMRPIHPDPDAEYFNPLGGFLPPGIPRGNPQVPDLFQGPDHPDLNPLGGGFGVPRGGGSQGRPFMRGPPGPGGFGGGSGFI >CRE11931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:691732:692183:-1 gene:WBGene00057396 transcript:CRE11931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11931 MRSCIAILFLLLIAYSHVATARPFDSYELIVEDQPRIVYKRANGGNQQELVMARLQQLLGPEAFTEIDSHGRLSNLQRLG >CRE11759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:680914:690015:1 gene:WBGene00057397 transcript:CRE11759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vhp-1 description:CRE-VHP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4I9] MTVLDTVTISTCGLSSLIREAPDTTLIVDCRGFTEYNESHVRHSMNAFFSKLIRRRLFENKLDDNCLIHQLMSCSTPCFKMDEKLDLVLYAEEDKPRGNKRRIASCNAPESTAKIMRVLRERLEDTDKFRSVMVLEGGFKQFAQQYPQLCESSEGMTRLPQSLSQPCLSQPTGDGITLITPNIYLGSQMDSLDETMLKALDISVVINLSMTCPKSVCIKEEKNFMRIPVNDSYQEKLSPYFPMAYEFLERCRKAGKKCLIHCLAGISRSPTLCISYIMRHMKMGSDDAYRYVKERRPSISPNFNFMGQLLEYENVLIKDHVLRHDQASRPHRHIDYYGSSDLCPPKVPKSASSHCVFPGSTTDDSSSSPSPSSPSVSEGSVVSEPEQPTTSSDSPSTSTSSSEPETSVTVNQNGKRNMTMDMGLPHRPKALGLPSRIGTSVVELPSPSTELSRLSFDGPEALIPSTPILNFTNPCFTSPIAPVAPSSRETLPTLPIAASSSSSSSVSSEPSFEFSSFESSSSSSIVVENPFFASTEMAGSSSGSMSSGSQSSSASSASRCRMKGFFKVFSKKASTTVSTPTTSSSSSGISRATRPECLRSSGIIISAPVLATTEEEDAESPESGFNEPEVGDDEDSVSICSTSSLELPCQ >CRE11758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:662667:670196:1 gene:WBGene00057399 transcript:CRE11758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-12 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3M4I8] MWPTSVEALRFYHTFAFSPGRQQLIELSIVLLISTLCVTSSNADAIPAPSPEASLGAGANPFLLESLVKRLPKKGDKREILISYLAAVPTLMGEIDQYLLNLSASNSAQNSSKDRESFSKKLNQIVHCLTTDAYVSVVSGALVAAIVEINQDSTIIPDYQLKYVFGNTCGNDSHSTRLFMEHWQAGARVFIGPEKNCKTEAAMAASQNLPIISYRCNDQDISRDDYHYRTFARTVPPAGEIFKAFMALMKEYNWRKFSVVYDVKKGQSRNELFETLKRMMETENKFEEYKFEIMNVSKLEFSKMDISSPQDIQSVEDAITSTMKTTRSTFLYHFISHLWSFSVYLTFDNVRLFRTMLSIMGELGLTEQGYMLIYVDTNYDWLNVYHAMNNHFLRNTMTYLHHSWDANNSSDRKMLDYAKSALSIIPTPVKLNSQRFYNFWKKAGDYMHHFGVQKTDNLKGNRIACYLYDAVYLYARAIHELVEEYGSDESYDPTADGKAIIDRIVNKKYRSIQGFDMRIDERGNSKGNFSLLSWQEVTSVDNKSDPKYYPLNHALDLTAIFVEAPDKDRLPILQFKSPMIIWPNGIPPLDEPNCGFHGENCRQKGVFSYVTFIVMVLIAIFCLILTGFTLNLLRSRRFEKELSMIWKIDPYEVRRVVGAVNNESTASLMQSDVMQFAKTKMPWWSKAPIQGTGMRGLASYKGTLVGLKDFMYNRKPKELTREAKKELRAMRQLAHPNVNNFLGIIVCQQCVTVVREYCSKGSLNDILRNENLKLDHMYVASFVDDLVKGMVYIHDSELKMHGNLKSTNCLITSRWTLQIADFGLRELRDGILYDSNYNIWENFLWTAPEGMTINGMTPLMNPPSPKADVYSFGIIFHEIFTREGPYKIYVQRGDVNGEGVPKKDSVECRALVEKTVRRVYSDPYFRPDTSDLEVQNYVKEVMAGCWHHDPSQRPEFKSIKNKLKPLFHQIYKQNIMDHMVLMMEKYQTQLEDLVDERTIELKDEQRRSQHLLQRMLPSSVAEQLLAGQDVIPEAFPPVTIYFSDIVGFTTISGESTPMEVVTFLNKLYTLFDSIIRRYDVYKVETIGDAYMVVSGVPQYKTMEYHAEQIAMMAIHILSAVRTFSIPHRTGEQLMIRIGMHTGPCVAGVVGKTMPRYTLFGDTVNTASRMESNGEALRIHCSSSTQKVLASIDQGFLLEERGTMAIKGKGQMTTYWLNGRAGYEFTDTIEDKMVVPDIFPRPNLKNRGSSWGVNRESSLSLATEKSSQIMKRQSAALVRTNHDVIYYNQPLNSGGFTSRGTSNREMPKLYEEDRESLINQSASLFGSKNSGRKKSNASKYNGFSASRIFASTISNASSSRPSRPSTFDHDTLALRKRSTSLPDGEKLNLEFIDVPNIANNSVPAIPNNLEDLEPSFRRASILDGYSSQSDSPSQSQYPSYRDLTTAPHQRKRGIVTVFPTRKRSLSCGDAVPVKVNENGTSGAITTAASPRPTSRTLDGTMKLAARASSPDEIIFQEDDEHALIDNDSFLTTSNIDPKKEDGLRSCPQPRRKNKHSFLRDPSPLAKRIRDASPFGKKKPFWNSNKSNEHTSSPADSISRLFRRFRSGGNGNEYADLNHYDEEDLGSGVYEMEEITGAQKHEFTNGTRTNRSVSCSPVDDCGTLTDNSEPLLSIPSSSVGDSSISTSLSCS >CRE11756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:645803:646532:1 gene:WBGene00057400 transcript:CRE11756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11756 MFIFSQFIIFLCLLFNDVNSLGGKPFHVARRDMTGKNYAVLALCDDSSKGHHINVTLSLRNDAGDNLEIVHFRNSKEPIEIEDHSAHNITEVVLTADAAPVPYNIQKVSVRIRDNLFKLHVNQECDDEDEFITSKTQQTFWSRRIDCERKDVYCDDRHDEFLGCESVFVYHMSWKRGATGRI >CRE11755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:644056:645293:1 gene:WBGene00057401 transcript:CRE11755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gur-5 description:CRE-GUR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M4I5] MAKTMFYKSSFYVLDMSLQLLSMIWHFESILSTIFLAYWQLSGQLEGLKIKLEVCQNFKGARSKTKQLYDGARWFLILYVITTVFNICYSAKFYFEKSHSVWAVLISEMFYYKQLRFIMSIISSYMYSVWLTTTYVFVTYANAAYFEVAYFNKEIRNLSGSRAEIKRKLLENIETFRLISEAISELDMVFRLYTFAMLATVIPILIFTLMMLNQHLSSVTDFLICAPFILFCICAFCSVTIAPARVHEKCQNLKHSLCRNKDIWQPHDPEIYQLASALSSHCEQNGLGISIWGFATLSRPLILGTLSATAMMMSLLTDLKPERIIEVEVEEGN >CRE11930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:634582:636123:-1 gene:WBGene00057402 transcript:CRE11930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmt-1 description:CRE-PMT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4I4] MVNVRRANFKSFWDKYSDKPDTNSMMLNHSAEELEASDRADILASLPQLHNKDVVDIGAGIGRFTTVLAETARWVLSTDFIDSFIKKNQQRNAHLGNINYRVGDAVGLQMDSNSVDLVFTNWLMMYLSDEETVEFIFNCMRWLRESGIVHLRESCSEPSTGRSKAKSMHDTANANPTHYRFSSLYINLLRAIRYRDVDNKLWRFNVQWSCSVPTYIKRSNNWRQVHWLAEKVAAEDGAKETSFNELVELLKTAWQQGQEAWDAKLDDEKYVWSEKVIFSSAISSLPSNSTFFLYTPRTISPFCHINAHSLAETFNANVWNTEIVPEYYRTSLTKSNNLKDQRVRFGWNENLASSVKYWQQKEALFDVFIATEFLSTSDDNTIRQVPQITSSGAKFFTLEPVDEVNEGAMKERLQKLGFTLESFTDVTDQAVAAQEQYFKDHEHLSNEKVIRKNWVLLEMSATN >CRE11929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:628076:631023:-1 gene:WBGene00057403 transcript:CRE11929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drn-1 description:CRE-DRN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4I3] MYFFNDFNSKFDDFSEKVMLPARTSCDLGSRVAEASTSDYRVAVFGAGGVGKSSITQRFVKGTFNENYIPTIEDTYRQVISCNQKNVCTLQITDTTGSHQFPAMQRLSISKGNAFILIYSVTNKQSFAELAPIVEMMKEVKGNAIAETPIMLVGNKKDEESKREVSTAGGQKIATAWGCGFIETSAKNNENITELFQQLLALEKKRQLALTMDDPDGKNGKKKGCHIM >CRE11928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:616088:617759:-1 gene:WBGene00057404 transcript:CRE11928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-4 MDSLFIILSDRSARIRQFFNFRIMMESMDFHKIMAFIYLPTILIGVLGNILSLYAYSRPNRKSMVGFLLYSLSISDIFLLVFALPLFSIMYLPIWSDEQKSFVVAYTAKYVYPLCMMAKTCSLYIMVLITIERWIAVCRPLAVQIWCRYTTSSYSIAAIIIFAVVLNFARFFEFEIEYIDGLVYFKRELLDSDKHWWYFMFYFIIISIIFDYTVPFVIMFVANMLIISELRRTKKERSLMTIQQQKEQNTTVMLLVVTIFFGFCHFFSMALKIAESFAGGFLTIHVRSNCIEMLSQSAPFQNIYLEMLGEIFNYLIIIHTASTFFIYYIFSEKFRQIIKGIWT >CRE11927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:610510:612553:-1 gene:WBGene00057405 transcript:CRE11927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-6 description:CRE-PTR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M4I1] MRCRFPTLDKKLEKFFAWYTRHLLVDYYYIFLIAPIILTVICSGGFYWIKELTLLDARKLYTPVSAPSWREEEVFSELWPVKSYEFLPERTFQWNRYLYLVVHGRPFENGSYPNLLEGTYLDDIAKIEEEIVTNVSFQREEALEDNPALMRKRHLNMTEIEENRNITITFKDVCMNWYGDCYREKNVIELLKRRHELNKRGISVTFPQVNQEGTPIYIAFVVGGVDTFPNDTIKYARAMRLWYFLKFDDDEQEQLAKYWESTAEKYVQEAYADHPTIQCHIKHSRILDQGLTRNANRLKPYFNVTIAVLILFTAFYSVKWYFRTDHCWPLHIDWLRSKPMLALGGVLSSVLAIVSGIGLQLWFGMFFAEITLIAPFLVLSIGVDDMFIAVAAWHNTEMKYPGRSPKVLKQRMVEAMSESAVAIFITSFTDVLSFGVGTITDIIAVQGFCAMTAACMFFTFLYQITFFAALMVISAKAQMSGRNSCMPCMTADDIYTIEDGSLQPNLKKKSKSRKDAKAENEEKPHEKSMEIAENSEQAEKRSCDSSPDPSQIHIPVKSRGAMGHFFR >CRE11925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:605967:607706:-1 gene:WBGene00057406 transcript:CRE11925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pho-4 description:CRE-PHO-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M4H9] MMRVVLYSIPILLFQCILSTADVMNGTMKLMMVQALWRHGDRTPTETYHNDQFTEAYWIFGGGGWGQLTPIGMRQHMQLGQKLRARYVNGQPYAFLKKRYDQQEIFVRSTDKNRTLLSAFSNMVGMYGNLDKENVTEPNVTDIDYPDVIGWPAGFVPIPIHTIPDAEDHLLSVDNACALQDTVWNMAKTTDIVSSYFNRSDVKALMGNLTNYCGEDINPENLWILYNALKIEKQYYPVDFQRFTPWYTDSLFEQIDIVNSQVQDFQNGLGLEGVIVNGLDIGKYLRKIRGGTLVNDIYNHMNRKTECSSSDGKECAYTKRMKFFAYSAHDTTLYALFSLLGVAHLAVQPRGYPLYSACAVFEQWQDTKSNETFFKLIYHRHENDTLNYVLTSGIPGCNGNDYCPLSVLKNYSDTYKPEMEMNQWCDYNILSSSSSTSSAFSSLLILTLFYLFVSSSK >CRE11924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:603444:605681:-1 gene:WBGene00057407 transcript:CRE11924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pho-1 description:CRE-PHO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4H8] MVSAISILALLALSGHVTTYSDGTKELIFVQTLWRHGDRSPTKTFPTDPFQEDAWTFGGGGWGQLSPAGMKQHLNLGKMLRSRYVGDYQFFPTKYNAKQVTTCSSTSTNSEFQIYVRSTDVNRTIISAMSNLLGQYGQNDGSSVPDVDYPNITGWPTGYVPIAVHTVDDDTDHLGNMEATCPFREQVWNLAKTSDEVKNFINSEKVQSMLGNLTKFTGQTVDIDNLWIITNALYIEQIYYNDTIRTKNTWFTDDLYAQADAINDQVQLYQNGIFKTVPNVVNGHDVGVLTRKVRGGPILDDMVMHMNIKLDCQGKTTPNCTWINNLKNYVYSAHDTTIYAFFSALLIEEYAVKPNGGYPLYSAAVLLELYIDHADNKPYFKMVYHEQENSGFKDITMGIQGCPNGTSYCSLDILRNFAQTIKPDQPIDQWCYTDLDAKHAGMTTVSLFLIAVILAIQNDFMGLF >CRE11754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:601957:603344:1 gene:WBGene00057408 transcript:CRE11754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11754 MESDGLDGFDYTQPLQYALSQLTGGYPTEDEQFANVHFPKPSSLSAMAEEDDTDKPPPTTNEIIDELVENVDNYPKVVQTMMQRGLLKKRQQCRKCNRMMHLRRRKTCYEWRCRTRDKKGDCSSCSIKYGSWFELTKLSFKIIFNFLVMHCKNCSTGHMATMLKLSSHSVNEMRRIVYDLTDRITAKYGQIGQNKQGVYMELITLQPRKGSVNVYKVLAGNRKNLRNLRLRTSFLGQETGSNRCFAVVLPDSTTATFERMKNQYVDPQAHITMVKTLNENDANIATWGIKSIESNLMMYFSRVSAVFFDEEEYYHHEKDRFFTNLRQNHPENVFSNFLTLQCWLNDQVVRKTEGKMMLEKCFEELRTYIE >CRE11922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:588590:592441:-1 gene:WBGene00057409 transcript:CRE11922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dsh-1 description:CRE-DSH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4H5] MSIKLEARERAIPTGSNTPSTSTSSDVLRSLLFTSKERKVLSTYTAPPPNRHHSPGVVSQLINKIEQKTSPPTTSSRPKKKKKAPPLAQIDDLDLIEDDKTAVEVVKSPRRKKYTGNPLGNLKILNNILPLSKTSEDKENSNQPTVGKKLKKSKSKSRVEPESEPPFRLKRTLTDLTNNHSPAGSTWRQMVSNALGGPLKKRLSEGALFFPGTSKTADDCDDEEGDNTKTTTKRRFLQRRKQSEKSAGGANGKESGGSASSSFFGALIRLSHSAASLTSLTSLGGSRSNSASPSSSRSNTKEFKEELKPPQPPPPDLISPVAALSKPIFTLDSSHPVSLTPPSRELRKSKTCQITTDRPPIIPSITISESRSLNRIDRCRPVTVDGSGLTPDRRPLVSRRSTMSRTMSLIPTSPSLPPLYEEETASTAAMVEEEREDKAQKRRMRRYGGSNTTSTYQGRKDVAPDASPRRHLIGFLHRTSHLSLTSELSADASFYLIGHRRHLEESTIGSESDARVFSDDDDRGSTTTDFTSVSRQHEKMAKKKKNKRNFRKPSRASSFSSITESSMSLDVITVNLNMDTVNFLGISIVGQTSNCGDNGIYVANIMKGGAVALDGRIEAGDMILQVNETSFENFTNDQAVDVLREAVSRRGPIKLTVAKSFENGQSCFTIPRNSREEPVRPIDTQAWIQHTNAMRGMPSIVEESAPTPIPGEWPHGRPPSSSTVTSNGSNGQNTVVGNGTHIHLDIHTDKKKVVEIMAMPGSGLDIKNRTWLKIPIPMSFLGKYLFPVHIIIFFYSGSDLVEWLLDRIEGLRERKSARNYAADLLKLKYIAHVVNKVTFTEQCYYVLGDECSGKRNEELTFIQSKYSDYARFRNEDGGPKYQWTMGMNGMSAGNGSSVMLPPPHLPGGVPPGAFKGMAPSMVSDGESRMYVMHI >CRE11920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:585781:587028:-1 gene:WBGene00057410 transcript:CRE11920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11920 MILLFVVPLLLSTFIESSEASALADFRNRRAFHNDICKEHPDMSTCSRPYTVRDYREELEKQRNEEGSDTQNFQASRRADWVDDEIDEKDLEYYLWRKRRRQYLRRKAQAMLRERYRDRMDEDRERERYGDVDYHYHYHEAPRYGYGRYGSGYGRYGPYGGYGYPSYGGYSGYGGGYGGGYGGGYGGGYGGGYGYGGGLLGNIVNIGAGSGLGISTPIGGIGISHGWGIGIG >CRE11753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:580356:585540:1 gene:WBGene00057411 transcript:CRE11753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11753 MPTDTNGVHFFTPAAEHSDEEATAASPSSDTGRPNPLDNIREYGMKTVDSEVFDSGPFRKGYAMGHSEHSTASPFEISTTPIEVNESRAHRAIEISNRNNSSLSPIRDRFLSSKKGKDDITHRKSRRSTIALLDSTQTIMRMNSVVENDFWSPQNSEIKALKQRARLESQNDGCLSPSSLKSALDEIQMEPRSKSPQLVDLHTFRDAVDVNYQRMAITGEELSGVPLEDLKSASGHLIEALHLRSKYMERIGNQFPSTTRNFLTGHYPNNLPKHRVKNTETTVQTSFNPPDPPKDHWGKNDPLPKYEKYYILRRNRGVTEICNEDGSIDEQFKNIAVTKEEFLNDTEKLTAMIVDGPLKSFCFRRLSYLENKFQLHVLLNELRELHEQKGVIHRDFYNIRKVDTHIHAASSMNQKHLLRFIKKKIKTEADVVVLDNNGTKVTMKEVFKKMGIDAYDLSVDMLDVHADRNTFHRFDKFNTKYNPVGESTLREIFIKTDNFVGGKYFADLLKEVLSDLEDSKYQHAEPRLSIYGRSKKEWDNLAKWAITHDVWSPNARWLIQIPRLYDVYRNKNMVKNFDDMLDNLFTPLFEVTNDPSTHPELHLFLQQVSGIDSVDDESKHEFVNFDRSTPCPPEFTDLENPPYNYYLFYMYANICALNAFRRARGLNTFALRPHCGEAGHVSHLLTGYLTSESIAHGILLRKVPVLQYLYYLTQIGIAMSPLSNNSLFISYQRNPLPEYLQKGLNVSLSTDDPLQFHYTKEALMEEYSIAAQVWKLSSCDMCELARNSVMQSGFEDKVKIHWLGPNYKEEGVIGNDIHRTNVPDIRVSFRHEALVDELCNLFRVQTLRQ >CRE11752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:575072:578017:1 gene:WBGene00057412 transcript:CRE11752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11752 MAASKRKKRPEDKKGKKNTKRKARKSKRPPSKENGKRKGKPTRRASNEESEKPKVVTPKRRRRSEWRWKLRQKGGKNKRYNRQDWYWGLISQQEAEAYLKGSPEGTFLVRSLIDREEEVVMLSVICSVKEGEAPKYDHLKISIKGANWQLELETGTKTGPSHRSIIELLDYYHNQFVGMKLFTLKFPLKRPDWFLKSHKIMTCGDNSELGSGNFSKVVIGAFRRRLVAIKILTSDGNRFQLDRENLMKEATITHKMEHPHITKTIGISIDTLPPMLVMELMGPSLLSHLEKYGKYTTIGEKLHYCLQMAYGLNYMTEKGIVHRDISARNAMFSRYGILKLADFGLSDFAVNLTAVNTARATLPMKWFPPESLTTPAVFNEKTDVWMFGVTCNEIFGNGKRPLPQFDSLPRGQNVGQIIAKYKDGDILHVFPNFVPNEIQSQMKRLWLRNNSERPCFKEIVTSLELWIKVQYPAPPLERQTVNMIPECTPLTRAEYEILYNNNCDWLPAVRKVKVKKEKKKTSKHSVVGSKKNARGTLKAMKKKLRQKRKRERMRIKLRWWRERRRNEKQRIKTLIDYERTCQKKRPKLTIGYKKTRDGHVKLVDLYEKLMIVRWRKRRPNRQTRFRLRRHRLINKKSKHPASRNRKSMIGRKKKEERKRVEVPKMPKITKLVVKIGQKKVAGGKYKMLPTLKRGASANRGQMDPKAEKRMRKIRKKKRHLMRKLIARKLRKKRRALRRQRRRQTLRRRHRRHRLVLRKKALGVDLRERMRNLKKRRREKARMKNRLKRDRRIGKVLRDWRKLRHILSERQLSRALKKHLKRSEKQLKKNPNEAGVPKIRTPQSGRKKKKVRSEL >CRE11919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:562082:569820:-1 gene:WBGene00057413 transcript:CRE11919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crh-2 MMMEDDWATSGVLEKDVFISSIHNEKDTIDILKLFDNDIDGFSDDTIRIKMEPNDETSDYHGSSSGSPSSSLSSPNEFKDEPLGLDVHFGSALFNAPFSPSATSSHSPSYGMINSGHSMAPQQHSPLPSVAHFSHNHHLHHHVVQHHQPSLQMSMNQVFTNPGHQYVTSVPHNFLFKDSTIYEGMGGMGLAAAQQQLKARNKMHEMALRQQLISDQNMSTNGDLMLSAEERRTLVQEGYPIPQKYPLSKSEEESLKIVRRKIKNKLSAQESRRKRKEYIDALESRLHCFSEENKSLKQQVHQLEASNRDLQQKLHQYESKDKM >CRE11751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:557836:560326:1 gene:WBGene00057417 transcript:CRE11751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11751 MPPSSEEIRDSEVVAVEERGKSETVHQLDLSSEALVTSTLNIRGNKIITTLRPRTSPYKRNVEDTELSREELRSPDSVAATYTIRENRTERQTKVKLESLERRLKANEKARKEIEAEAEKWKDRATKNSKRLPELELELAENIQAKEEWQVKSQEMEIQNRQLLEELAELQEKLDKIENSQKTFQQKIVSMFNIDEEDVPKTEDELKIGNFEFLEKEMLKYQMKCSKLDQENTELREKLDKLSSSLTMNQNHVSTLMDHLETNKEQSREIHGICKKEIEIRQNHEIRINHDVTLLNSTINEQKMELEMLKAEIRCLRSYSQEMSTSNKNNIILLKSAETERKSLLETLTVLLNSDSEPTENNIKRTIRDLVREKNTEQTKRFEAEKAASSAEGILLEQAKQQRNALFRARVSEEECTKSAEKIEELEQELLASDLERKNLEHKIASCENCISKVSQLLNVNVGGVFDAIFDRIEELIAQESVYRVVVNDNRFISENILRGLQSVKKDIQVGKSSGIGGGEGRKQATSPTVVAAAAKLIQERHTLKTIDKMDKLNKDLLATMTIETLRAADIEKTNLKKRMNEQDARIRQLEREKKEGERIRSIIAKWEKRNIPKAEKSASPMKKAPSVENFRTKSQTSITGLTPVL >CRE11917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:555090:556348:-1 gene:WBGene00057418 transcript:CRE11917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srb-2 description:CRE-SRB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4G6] MNQSDLISEINQTKCELAFQVTYHPVYRFAQFWTFFVSSLAIPALLYFLFKRIFSLPFHGNIKFMLICYFFSSFLFAVVMLFDFGYHFVVPFFVFSKCSLIIDSTLFKIGHLSMTLFMTIPMLMPIGFSIERFVALGMAKNYENVRTLLGPVLVVILITTDVNLIYNVFQNEKFNDPFISFILIPSTSAVQFNNFFWFLLYVEITNFISNCVLLLVHSRLKTRFLRQKSTLSVRYELEEISQSSKFTLIVSFTHLLFVGWYLIAIISVRTVGQDFFGGYVPYTVARGVYCAVPTYNLIIVFVGIKSLRLMNLRRHNKVQSTVKIKSTGKEGAKNYENAITNYWNTVSSDINRMRI >CRE11916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:553309:554517:-1 gene:WBGene00057419 transcript:CRE11916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srb-3 description:CRE-SRB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M4G5] MIDSNRTECELAYQMNFHPVYRVSQFWSFAVSLIAIPALISFLLGKVIFLHFHGNLKCLLNCYFFSILLFSCAMSFGFGFHFFQPFFVTTKCSLIIDPTFFKWGHLFSMSLLTISMLLPIGFSIERFVALLMAEKYENVRTLLGPIVVVLLIGLDLAMVRTVYAKDMFSDVYLSFVLTPTTSTSQVNIYFFSLLAVKIFNLISNCILIKIHNRVKTRYYRRNNTLSLRYEMEEIIQSSKFTLIISFSHLLFFGWYIVNTIFVRTFGESILGGFLNYTVARGMYCTVPTYSLVIPFIGFKSLRCLNIQRHNRVQSTVQIKSTGREGARNYENAISSYWDSISQGVDRVNRIDN >CRE11915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:551670:552854:-1 gene:WBGene00057420 transcript:CRE11915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11915 MNIPSESQCELAYQLSNHLIYRLSQLYSFFVSLLAIPSLLFFIIKKVLPLQFHGNLKCLLIVYFSSSLVFSFESCLGFGYQFLEPFFVTTKCNLLIDPSLFKWFHTITLFTMTISMLLPIGFSIERFVALSCAKRYEHVRTLLGPILVFSLIGIDLLFIILIYQNEQFLGSYVSFILVPSTSAFRFNLFFYFLLFVQFFNLTCNCFLLKINNKLKSRYNRYIQRKTTLSLRYEMEEINYSSRFTLVVSFTHLLFVGCYVILGILARTLGESFFVNQVNYTAARAIHCSVPTYNLVIVFIGIKSLRHLNVKRRNTVNTTIQLKSTGNEGAKNYEDAIFKHWDMISK >CRE11914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:550045:551215:-1 gene:WBGene00057421 transcript:CRE11914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srb-5 description:CRE-SRB-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M4G3] MVEINQTKCDLAAQIVYHPVYRISQFWTLSVSLLAVPSLLYFLIKRILLLPFHGNLKCLLATYFVCIFLYALILSFDFVSFQTGYPNFSFFFQTYHCIVPFFVTSSCSLIINETLYKYGHVTSLFLLTTPMLLPIGFSIERFVAIGMAYEYEKVRTLMGPILSFILVTPNFIVFYFIFRNETFTDSFISFLVLPNTSAVSFNNYLWYLLYAKLGNFCCNCVLLIVHKRFKTRFLLKQTSLSVRYAMEEISQSSKFTLILTFTHLIFFGAYTIGSILVRTLGEPFFGSSLNYSVARGVNCAVPTYNLLIAFIGFTSLHHLNARRQSKVLTTVKIRSRGHEGAKNYDNAIMKQWNSSPRRF >CRE11913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:548095:549339:-1 gene:WBGene00057422 transcript:CRE11913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srb-13 description:CRE-SRB-13 protein [Source:UniProtKB/TrEMBL;Acc:E3M4G2] MIFSGFEFVSWHHLELYSNQTVEMNETKCELAFQLTYHPIYRLSQFYTFSISVLAAPALLYLMVKKLFRLHFHGNLKTLLIAYLISIFLYAVIVCFDFGYHFFVPFFVTSKCNLIIDKSLYKYIHVPTLFLLTTPMMFPIGFSIERFIAVGMSCRYEKTPTLLGPILPFLLPLPNILIFYSIFKNEKYDDSFISFLMLPNTSAVQFNNYIWYLLYLKIGNLVLNFFLLLMHRRLKTRYLLQKSSLSMRYAMEEILQSSKFTLAITFTHLLFFGTYTICSILVRVLGEPFFDSFINHSVARGVNCAIPTYNLVIVLVSFQSLRHLNSKRYLEVQTTVQLKTTGDEGARNYEEATINKWATITSGRMGAI >CRE11911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:544462:545675:-1 gene:WBGene00057423 transcript:CRE11911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srb-12 description:CRE-SRB-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M4G0] MTEINLTKCELAYELTYNPIYRAAQFWSFFVSLLAMPSLIYLIYKRILSLPFHGNLKFLLISYFIGTISFATVSCLNFGYQFFVPFIVSSKCSLIIDQSLFKYGHLSALVTMTIPMMLPVGFTIERFVALKMAHSYETSRLLLGPVLFISLASNCFSFSILSIPFQVSLNIVILISIYHDDPFTDNFISFILIPSNSARKFNMFFWFLIVVKFTNFACNCILLVVHNRMHKKHRKHSSSLSAKYEMEEISQSSQFTLIVTFTHLFFFGWYVTSILFTRTVGQDFFGGYINYTVMRGVFCATPTYNLVIVFIGFKALQHLNSKRNNKISTTVQIKSTGHEGAKNYDDAIANYWDSVYIRMNK >CRE11910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:541331:542915:-1 gene:WBGene00057424 transcript:CRE11910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srb-16 description:CRE-SRB-16 protein [Source:UniProtKB/TrEMBL;Acc:E3M4F9] MDRVLFEICQENSKTAFSVGYQIVYLINVVLSVTSIFTCSYFIKTFIWNSTFHPNFKLLLTMYFFAAIFHSILFTASYLMMIERFLDYQTECDIHMSMIPYMMVHSSIAICLFCGMLTQVFLVIERFFATLKIESYEHNTSFRHILAYLFFCIVLPVSLLVWAYQDADYRSPVITAISPPKGVEFRLNILYIFCFSLAILALILLQIIRYVNKKRESRIEISLSGRFQIVENIDTTTFISSILIINMLMSVIYIVGTFSLRNFEFDVFIDDRAALSTVKLIFYLHPLFSFLMPLISSYHLSKMRERRLKRREHLLAIKTKGREGSDAYNQLLHDQWQQHFLK >CRE11749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:540092:541189:1 gene:WBGene00057425 transcript:CRE11749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11749 MPQDSSDGYERLADITEAAKNEGSELKHVIRDVIRHYSDLSSDLHDYYEKNLKKFPEVVDQTVNDLYNSAVMLKTRSDFSMIFWFQQNFKDNLNIFVDSMMDLSPPEKISGFNISKIFANSIFLLLISSMSLFIGSYITAPVFGIIFLNLGAVLVATIVIPVTASYVYHNLKVRSLAEKRIACAGFIFIQSVLIGFINQNDWLESSPYAVLTQIISSFVYPLALAHTDNRKKILGFVAGSIILFNVSHGFLASGMDGTFLTISILYTLLSISLIQYSIAFRCLTDFDMMHSSMQYVLLISVSKMFVLMSLGNHK >CRE11748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:538371:539401:1 gene:WBGene00057426 transcript:CRE11748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11748 MQKQYDSLKLIKVENVGEFVYKVSLNRPSKFNALNMEIWKEIGDCFQWIDEDPDCRVVILQGEGKHFCSGLDLGGISLFNENDTNDSARKGRNILKTIKFMQKQFTYIDECSKPVILAMHGYCLGAALDIATACDVRVATKDAILSVKEVDIGMAADVGTLNRLPKIVGNHSWIKDICLTARHFSAGEALQFGIFLFLRVFDTREEMINEVTKMAKLIASKSPVGVQGTKNVLNYARENTIENSLNYVATWNMSQLFTDDLVKASMASFSKQPQPPFSKL >CRE11909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:535359:537717:-1 gene:WBGene00057427 transcript:CRE11909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-29 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3M4F6] MRSEKILFYGYLILTSVECVIAQFVSNESIKLHDILKPSDTHRLFDTLQYSVEEQYSDSHLSFDVSTIYNYSEKPISIGKLNRKYRDILYEGDMAISYKQLSIIVNGSTEYRKAAKPRQREKKENGSDRVKRQAYLDQNYPATIWKNGVPFMFHESLNPIAKAAILKAIHFWYRETCIEFRPRTFQKEYLLFIGNDEGCWSTVGRDASQGKQVVSIGDGCEHFGVTSHELAHALGIFHEQSRFDRDDSVTFNPRVVEKDLLFNFAKISPRQMSTYGLPYDIGSVMHYTPTEFSIIPSIPTLTAIDTNLQQTMGQLEGPSFIDVYIMNQHYQCQDKCQTQAPCQNGGFTNSRNCKVCKCPTGFGGPFCQLISLSFSPFCGGLLNAEETSRRFDITIRQSTNTRSKTCVYHIKAPEGKKIIVDILRIDSKCIEGCYQDGLELKMKKDYRPVGYRFCCPESSRRKLISETNLVPFMVYSREDDFSVSFEYSFVSATARFDEETKTQDVLIENSDGVFVSDSSSSLLQKLGFRRHL >CRE11747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:533794:534822:1 gene:WBGene00057428 transcript:CRE11747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11747 MEFDENSDRFRVCGGIHIKYVASFCAVFGICATLGVFCFGLYIFPWYLYEDSVNTTAKIAFCCFLIGGCSSHGLILYSLYSTRLQTQWLMPAFAYHLFIAVLNAITSLIAVGELFSEGNISHRDSVMAKIVLVVCPTIFVIQMIGFYVIIKCRTYIQCKRRHVRNGEPPVRNEMKLE >CRE11746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:527829:530242:1 gene:WBGene00057429 transcript:CRE11746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ggr-3 description:CRE-GGR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M4F4] MLHDVIYMLLVSTVAKEVKTESLVDPAHCEYNSNHRRSILTDLLQDYDKTMVPSNNSVQVSVELTVQDISSISEISSSFIADVWFSQVWEDPRLEYRNISCKTNLSLDSYVSERLWTPNVCFVNSKSTQVHKSPASNILLIIYPNGTVWLNYRVQVSAPCSFELSRFPIDAQECHLVFESYSYNIAEVRLNWQQWAPVTMPPPEDFRLPDFQFYNVTWGKTSNEYTAGMWDQLKVTFRFKRLYGYYVLQMYLPTYLSVFISWIAFWIDTRALPARITLGVSSLMALTFQFGNIVKNLPRVSFVKAIDLWFFVCVAFIFFSLVELAVVGFVDKITEIKRRSRRIKFQRAIAGGTIKNDRPVSFRKYSCTSKCNSVRYNINNNDDEELYNISGETNGSGMSWKNNSSREASADMGARVDSFAAKAFPAMFAAFNGEFQLQESVKLTSRNSD >CRE11745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:516398:518263:1 gene:WBGene00057430 transcript:CRE11745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11745 MNETSECDSCITPEFIANLHDYVSFHRMNVFFGAICFSLNIVLFSVFLSSPTLRKKHRNRILMILGLADTFNTLAILFMGKNRVELYTEVIQTHHRPIKTAWQCAIEPWLILRGIGDIWPPVVQMVIGIQRALAVFTPIWFHKNGRNRSSFLFGSTLVILLPTLLIGFVIAYINRNAKVQYYCGRKAAFGNDYATFIYIINIFGYLFSFLINCITMIKASSTINKLVRRQIINVRYSLVISFISFVLVSIPNAISIVSVHFEDAVSFISKPSTYFTCINSGINIFVYLSLNAEFRHQFKYLFCNKKRVVTYGEAEKASKGSKTRHHVDLVVHSVHSLA >CRE11744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:509660:511329:1 gene:WBGene00057431 transcript:CRE11744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11744 MFSSRSTVTLRRAPNYYSKNAFPQEIQENQSNIQTNRFEFYLEGTPYLAEENIGAGAFGIVCKAIDMRFEKQIAIKKINKAYKSPSSAKCALREIRILREISHENIINVTDVFVTGTGFEKDIYMVMELMETDLHSVLRSNQKLKEDHFKYFFYQIIRGLKYLHSAGIIHRDLKPLNLLLNGNCLLKIADFGISRTGPTSKPALITPPSSSSSSSSSSGHLSQYVSTLWYRAPEILLSMGEYDKKVDMWAAGCILGEMLLRHELFTGKDAYSQIEIIIDHLGTPEKKAIKRITSPTIRDYIVSLGPKNPLPYDSIFPNASSEARDVISKLLQISPWNRCSAEQILEHSFLSKWHNLKNEPSCSQQVAANLRNIENYEGQMIIKALDEDANHFEMLRGGSYRIENMEKPEKVPEKVEEVKETKEVTETPKISLKSVLNKIKNLKRNS >CRE11743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:506044:509125:1 gene:WBGene00057433 transcript:CRE11743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mpk-2 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3M4F0] MSAKTTARVSSLYRAPNYYSKKISQENNNYQKEKKMAAPAEAHARLDGRFWLEGTPYLAEENVGAGAYGVVCKAMDTRIKKQVAIKKIPRAFTAHTLAKRSLREVRILRELLHENIIAVLDMFTAEGAHGKDIYLVMDLMETDLHQILHSRQTLMEQHFQYFFYQLLRGLKYLHSAGIIHRDLKPSNLLLNGDCLLRIADFGMARACASASTVRDDANVGGHMTQYVSTRWYRAPEILFSMVEYDTKVDLWSAGCIFAEMLLRRQLFPGKDSVSQIKMIVYYLGNPEDEVINKISSQLVKDSIDACGRKTPLPFSAIFPKASPEARDMVSYLLQISPWRRYSADQILQHPFMAQYHNEQYEPLCPPRVQVDVDAIEKYEGPEVVAGLDEEARIFEMRRGTNYDTRKEPPPYLDEQSNQIFEPDKEGKMGPREDPTDYLGVLRQFEKTRETKIDDEDIYDSDETPTSLSSAETIDTVREVEIHRKDSEENTSTDSGMEPSTSNLMVQEIFQNGINWPLDVASTSSAPPMLSGGIPAAKKSRNLPDRRNIDKIREGYSEKRRFIPKIRDKRASSDSSSKLDDKDRIVRLRKSRQELRKTSKDDDRGA >CRE11738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:499066:499355:1 gene:WBGene00057434 transcript:CRE11738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11738 MSKIAYSTLAILAIIVISQVQSAVLPVSSKEVVLVTSSPSSSTSETSIDTLGSSRVKRQGGCGCCGCGCGCCGCGGGGGG >CRE11741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:501779:503064:1 gene:WBGene00057435 transcript:CRE11741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11741 MPSPEGNGDGPEKKGSEPELVSNGIKNRALSREKEEKSPAKPSDLSKMERKEGKNINKSARKEVKSARMGPRTLKPMAVKVDDRSKMSLRETPKQFHIQLPKDETDLGCLQLEGELAQSAKAMEEYRVVIQQIYNGLSMMIRRGNGTTDPDDFKSDKGQAAAEKLNREVVQTENFWKTDTRLQPALNDSIAKNTTIIAAAERHLQEQGPKHLKRIKKFLSVHWPRYVDMKKALAKTVKQQRPDNQGVRDEQIKAITVFVKEKYLNMKLIHLGEIQSVFAEMSMFHHTSHVAWNHISERKPPKVGYQVETFDFKQFFPKT >CRE11740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:501019:501485:1 gene:WBGene00057436 transcript:CRE11740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11740 MPDENRVADDNVSFTDVGDPTAGGGAHQGSSAMLDLLGSMDKKDKKAKKNKKKETKKKKKEKTKYKKVRKVDKYESQNFLYRVEGSMFCAGIIVGIIMLLTFIILGIVFSVRTNGNMVSYMAPWWGTADEAKDDGDE >CRE11739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:500177:500762:1 gene:WBGene00057437 transcript:CRE11739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11739 MSKIAYSTLAILAIIVISQVQSAVLPVSSKEVVLVTSSPSSSTSETSIDTLGSSRVKRQGGCGCCGCGCGCCGCGGGGGGGCGCCCCRPRCCCRRCCTCCRTCCCTRCCTCCRPCCCGCGCGCCGCGGGGRKRRSLQNLRIDEANRALGIKRRPTKSGDKC >CRE11736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:492074:495362:1 gene:WBGene00057439 transcript:CRE11736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11736 MFLLYITLYLLFLNFLSSCIPTQNVDHVSTSILLATSPHSLSPTVVTSSTAITTTTTTTTTTTSTTTTATVTTAYPCSGCAEIYNSACQGYNMPSSSNYCLTPEEVPITYTLGAVSDLGLPADTCSTRLSCPSGTTARVNAVGIGYINGNGDGSPTLVLNGCIPTQNIETTSTTTTTTTTTTTTTTTTTVAPFPCSVCSKVYDTGCQGMGVPSATNWCIKEADVPVTYTLEDAGYSTDYTTITEVSCVSTLACPSGSVSFFLYEGIDLLGNAGGVDPTTAYCEEAGSYSGTWYVDIDAHQYEFTEMACRNYE >CRE11906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:484459:490779:-1 gene:WBGene00057440 transcript:CRE11906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pld-1 description:CRE-PLD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4E1] MESEDEESRRPRTTSSGHLEPKDTTENNSVNSSVMHDRGIPGLLPATQASEKQKKAVIRFRDHEGRAESPPSNLNVRRDSKTVHIDEISSPQSLDYDDGSDSSDDDEIQYCDCVAAALQQSLPGTPGRKVLSIGIIPYMSIYDTQQQARRRGYWIPGVPVNAKIVKVERNTDRGIHFINTLLYTIELEHGQFRWSVVRNYKDFTLLNNRLMAHRAREQFMAPIKRTQERFDNYLEHMGIDIIPDHKPDCPYSNQSSKRKKHPKLEVLKRDSDGIEETKYSSAPLASVESPGEVEEEQKKEVHMQQAVQSGILAEEADATANSEGTPKRQRRQQRKKDRHTLPRFPMMPDSMVTNLEHRKELLENWLQMVLHIPINRNHHETAEFLEVSRYSFVNELGGKHTEGFVKKRPGGSRVFLGWKQCCVRYLLPWSKRWLMVRDSFVAYMDHRSEQIRMVLLMDRDFKVAAGGKETEGIPTGLIITNTQHELHLKCRRLQDTATWKSIIEQAMGGIGNIWLQPHRFSSSFPVRDNCHAKWFVDAKTYMEYAADMMELAREEIYITDWWLSPEIYMKRPALEGNYWRLDEILKRKAEQGVKIFILLYKEMEMALGLNSIYTKRTLQGLHENIKVMRHPDHYPSTGTFFWAHHEKILVIDQLISFVGGVDLCFGRWDDHRHVLTDLGSVQYSGAHQVSTNMASGLRSLMTAPLTLSPLGLEEHEKVTPRNEKGERNGSVKHVAVEEVDEVEEPQEVTERTQETEVDDEDVSEDPEVKKIIEETVFTDKETGGVVVRTLNKFPVDEAVRHSSPADPQKNWKETKTTVVESTSSSGGMVTKTTTTTTTVKVVKDNTLEKEKNKKDLRRAVTSLDRQRKHVPLEILEKAGPAPSMMEKCAKSGMDFAQAAEKYKEYVESGAVQKEKHRAQTPPNRRKAKKDSRITRAVGNWKSNRAKRKWKQMLESDEATMGYELDWLRLREMDEKGDGDDQIDGGVKLWYGKDYVNYIAKDFVEVDMPFHDFIDRGTTPRMPWHDIHSVTFGAPARDVARHFIQRWNATKTEKLKDDNNYPYLLPKSYENVRVPRVFKTANASEMVNVQVLRSLSNWSGLINQTEDSIQMAYLSLIANSKVSSLSSVSHDLLRFQHYLYIENQFFVSMIDSNDVTNEICKVIYNRIVRAYKEKENFRVYIMIPLLPGFEGDVGAPGGSSLQAVLHWTYRSLSQGPNSLIQRLKAVMPDPFKYIHVGSLRTYDRLGQKLVSELVYIHCKLLIVDDETVIIGSANINDRSQCGNRDSEVCCVYTDVVKERSVMDGKPFEAGRFAKSLRMQCMREHLGLLPDSRRKAKFPYAVSCDDPVADSFYVDVWQSTAKSNAQIYEEVFRSYPTDFVETFDEFQKWTSQIPMSEYSPQQAEERVRDLKGVLVDFPLNFLCKAVLTPGITSKEGLVPSAVFT >CRE11905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:482038:483963:-1 gene:WBGene00057441 transcript:CRE11905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11905 MEDDKTKKKPAFEMPPPPKPLSFEMPKPPVLQPNVAAILKKTNNGKTENVVKPNANVPFNPPVPPVFNPTPNPFAPRPKSVSPNPSSLSKSENDELPKTATGDTDVTPNAVNSENKSPATTEDSGRKLDKKVVQETIEPALNAPAKSEKPTTLNTTAPTTEIPITPMVEETKNVHITCHYYIFYIAVFQITSPATVEVSNHTENVKSVDTDSSNVFTDKIDMSGGLMSWLTKTVTESKLLSDVAEKAKAGVETVMTTLDPGMKPFLAEHGVIEFALFNCDADVLTVASDAFTRSGAMAICRGLSFDETAVIFNFRPLVNGEQNARKLCEVKIEAARKTKKAKEDAAVVVTQPFLLKIGGRYYYTCKVMLNHGSTYFDAISQLLEVPETIVEAIQRSAKSEGLPDDEFSISVTQAIKNIYKTSVSTWEPGCLAPYDSKELLSVAFSSVSQQLLRNLAPVN >CRE11904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:478885:481804:-1 gene:WBGene00057442 transcript:CRE11904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dsh-2 description:CRE-DSH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4D9] MTDSPSPIDSSLDYSDVATPCTVIAAKCSIRNQKDLENEEDDLENQEDYTESFQQQEGDSVEQEDLSHIYVDDLSKDFSDAASSVMEPLPKPHTFARTITKVYYHLDDETVPYMVDVHVPPDCITLRDVKRKLPRTNFKYYCIALDPESGREVKAEIRDDSQRLYPLRCGKFELYLLTVEGSVHSDTSSGRHRKKHQTSSKGSSSSREYQRAAHHYDNPTPYSDNESQASSIPTYVKKAHAFNRRQASQAYDRHQPRHRLHERHHQNHYDDSTFDVTTESDDHYRDGVTYYDEDEDDSRSINTDLTSVSQIHLKQRWKQQQQREARNKWKRMPSMSTASSSLSSITESSMGLELLTVRLNLQTMPLGMVPYGLKTARGGDAGLYVGDILDRGAVALDGRIDVGDMISEINNIDLSNYSNEAAAQLLRDAVAPRQFVTLTIAKSIDSRKAVAAAFTKNTRAEPTRPIDTNEWLKHATNAMKAMPSISEESCSTPIPDEWPTNSSASGTPFGGPPPPSIACMNTSTNKKFVVEVMAAPGSGLEIKDREWLKIPIPMSFLGKDLVDWLLDHIQGLRKRGEAGKFAGEMLKLGYIQHVLNKNKFSENCYYIMGEECADYTQLRAPDGGFKYAQSQTSSASAHSSNNNIFPPSMYPSQTQPSSAAGVNAHHRNSAILNSMVSGYASMPSSPFPNSKPAVGDCGRTRDDQRSQTSGSSQGSSRR >CRE11903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:477398:478355:-1 gene:WBGene00057443 transcript:CRE11903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11903 MPLTVPLIGRELCFWCVEKESDGFRIVNEHQLINVERCVQYTEDGKFVLPPNSSSLPIFSTFHFERLKEIPAPYVLNEVKKKEKKQKSPTRQKLPKNQNSKVTKKPKYFEEGIKKKNVYSKIHKNAIKTIASEYKPGKKCDQSQKLFLCDICEFSFTLRHNLQVHLVQFHGTTENIPEEKVAVLKEVLPRKMNEEETLE >CRE11902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:475330:476373:-1 gene:WBGene00057444 transcript:CRE11902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11902 MISSFQNSGMMAFESAEIQLPRKDFGKKSSNLEQSIQKIKVRKSLLMAEICSSNKEKDNSKNEENNKPKFVSKAAVKFPTAEGLLPPGGSYICDECKSDERTPFQLCEHKAIYHCPVDQRVWRCVYCNTTFGRRGGLRRHVQMVHMQMMHKCPIENCPHPGYKCTKALNAHVRTHTRPYACDRCDAAYARKNDLQNHQLIHNNQLSL >CRE11735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:473306:474313:1 gene:WBGene00057445 transcript:CRE11735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11735 MFFLTVSVSLIITIIINCASKSTVKNVEKRQRNSKNITLSQTKKNVSKKRKSAKNLEKTISSPMTPEKTTTSTTPINKEKDSEQLKKPQKVEKEIMEKPEKHEKPEKPEKRTKKDHHVPKKPERTVNKASPVLSEVIVDDKKKISSKSKTPKKGSIYVDEEKNETRKTAKTQSVEEAQVEPAKPIVKTKESLAKRHRSTLKPGEINEKSDDTIEDAPSVRKKEGPSYEPVDGPVSPKVPPDVIGVKKLSKEKDEEAAK >CRE11900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:471669:472815:-1 gene:WBGene00057446 transcript:CRE11900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11900 MITFSRHFSRSTLCGKQIRISHKNIDVVKKIGDREYDVIWKTINLNSSDIKKTDLKKFKEDFGEDFHQTLTFVKNTDRLIAKNSHIVYRPLNKNQGQENLVFRGNLWISPDVHGKEIVDVMTRQSYDVGFSIGNNSMGLATNKTIPHYKDTMGGSTDFVQKCYVSHYDFGDLCIPKNLNSDGIIIKNARDVPDQDILNYDAKIFNYDRSKYVLGQLREDFGRVAYNENGDVIGFGAISTYPSGECAIIPMYANETRIARTILKDILEEITLDSEKYWRLKLYSHDHFPESYGWIRPFVKTMTHRTEVYSLISDHKEQGMDFSKVFSTFHPSNCPI >CRE11899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:470478:471173:-1 gene:WBGene00057447 transcript:CRE11899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11899 MRLLRLLILFFISSPLLCFSQHLQCYHCINRLVTSDITKEERNALKMALFARFNIPPSNEYCADGGSDILFRTVSREICQGMNDTCVRIISEGDEKKKLVIRGCQSTLVKAGYDLVTNYSCHRYTDTTSSECITTCQQPLCNISHGSALIPLLIILSLIVF >CRE11734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:466332:470196:1 gene:WBGene00057448 transcript:CRE11734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smc-5 description:CRE-SMC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M4D2] MSPDASDEVLPPNHQEFPDGSLLRVVFHNFLTYEHTCFVPTASLNMILGHNGSGKSSIICGICLACGGSPKSLGRSEKIIEYIRHGCQEGYVEVTIADEKKGPQTVRLTIRIGKAPEYKLNGAQATQSDVNELRKYYNIQIDNPCAFLAQDKVKSFSEQSSIELLKNTEKAASDDLDKKHRDLVEQRKDTMTIEEMCSTTEKTVKHLEDSRTKILPLVENYRKKLALQTKLRILQKKMACVKYEQADKEYQAELKRADEALVEYRRVENDIRKSEEVMKKLNDRLQKERAQMAELTRAANGNLVEIQDKFNKNLIGNMMEKAKMKLENAERIADEHNQEVEKTRKNIETVTEKLEEANEALVGYDDALVEYRRAEAKLSQLERENRREEDAIHAKSYELRRLEDKSREKDNETSNFMKQRYRVLQEANEDLAKAWTWCRKNRQQLKGEVYTPLIDIVLNTPESAKNLENTIGMRDRQILVCQFKEDELLVNGKHQPWSINTAVVSQNNIHRDEIHATLSSELHQAGFKDLVSNCFDAPDTLKQYLCNVSGLNRIPYGTIDESKLESITERLERLRFSVFLANGMRVRFYNLFILSYFVFFQFQATRSRYNQQKNISTQTSLRDARTWKDPVYRQPLTLKKADNTAAQEYQKLKQEFDNQTEDLREKRRNVQKERDVLKKTQLEWKSKQELQAKYRATLNTEKRRLEIIQKESFDLSKAQEEYANVEQTVMEKARAMLEKNLHNQKEYIEKYRELGRCAVFESICKLKVTKLYAKSNESREELNNLEDARRLAEDEMNAAMNKRKVAQESLKTHCELDHLNEAKMEPADKKLYSKMIELFEESNVPTQVDDLEQAITSEKTRLKVAQDSGEDGSIEHEHKLSEINSELANATSKYEKLIQNRQGVHDKLGTEIKEWKEEVEKMIERINENYIKFFDVLGCRGEVSLETPENPLDIEKYGIMIMVCFRKGENMKRLDNKVQSGGERSVATMLYLLALQQLCPVPFRCIDEINQGMDPTNERKVFDIMVGLWNGTSGSLTKTQYFLLSPKLLHGLDMRDNVNVVMVNSTLNTSHGQLYNSISKIRKTFTHLRIAE >CRE11898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:463504:464968:-1 gene:WBGene00057449 transcript:CRE11898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11898 MLIALLISSRILTTLSFSDDEFDLVFVQAIWRHGDRAPQYPYVNDKFTEEDWKRIGSGIGQLTYTGVKQHIKLGESIRERYVKSGFLPESFDENVIQFRSTNRNRTILSAEANFLGMYPNEGKVKLPITVPKNYGYDCINNVMCKCKRRDLLQKMAKELEEYKEVMEDSTTTSLFSKLSEITGETINAENFWRIPDTLRCEKQNFPDVFGEINHWYSAELMEKMELLNTKINRFTSGLYKSRNLNGLDIGKEIKKLRAGPLLSDIIRRMTQKSNCLNQECRSSRMICSQNIRELKYHAYSSHDMTLYSLLTSLDLQDLTSSEIGGWPSYASSLFIELFVRKSDKLPFFRVIYRNPSSSLPSEFLNITPLIPKCHGLSHCSFKTFENIHNEFKIEMPTSEYCHITEDLR >CRE11733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:460516:461110:1 gene:WBGene00057450 transcript:CRE11733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-22 description:CRE-RPL-22 protein [Source:UniProtKB/TrEMBL;Acc:E3M4C9] MVAKPHAKSTKKTLRKKKVHLKFNVECKNPVEDGILRIEDLEAFFNEKIKVNGKTGHLAANSVKVEAGKSKVSVISEIPFSKRYLKYLTKKYLKRNSLRDWLRVVAINKNTYEVRYFHINDGEDAGSDHE >CRE11732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:456418:459381:1 gene:WBGene00057451 transcript:CRE11732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11732 MPSSFIRKHVRGLLRNKFGPSTSLDNENQDEPTTPRGDNNSELQHFLGGIDLRRHEFPEVYFGKTGLDLPAIQLETLDSEVFGSYTGPEGGLTEVGEVRQGHQMARMANIDDEMSLSIDGTTDEEAEDEEDLDAPISMNLKKSSRHSLLLGSCSSILGPNGEHHDFSAGRPSADDYDWSAFDEQGAFGMSVSLYEKNPINGINAGEPIADVWGVVGRNNNGVLALADGVNWGEGARLAARCAIRGAIDHMNTRVIKDSLSDTTEVFHEMLAAFHSAHSLILQEGGMLTTLCLALVAPIKRGNGWALCVCNVGDSLCFVYNRHYGVREVTLGSHDIDQMRDMRDAGGALGPVDGRNPQLHNLTCSMTFVEEGDVVFITSDGVSDNFDPVVGKFCVIKKTEHENKENSHLPPRDDRTIDVATRGGEKNSEYARNRPCAASLPCVDAAKRHELMLVRMRDVIGNGFGNETPRGPASEYQYPPVTASTLCHRLIHFATQLSTAKRRVLENPELYKRERMTKAEQRTRRKMVREKIADMPGKLDHASVVAYHVSRRGSEKEPSTPPAGLQQSQTDKSQLISSTSISSSEAMDTEPTIALKLEKTFSRVASAQCVEHLVEESRGTEIVEVEIQEPLPPPSPMKYKPEQLILHDLVDKPVKEKNNNTPIARNELENRPVSPYEQLPISSRDAGKRRKKHGRGSIGRHTLGVDVQWLKKLVTKKEEQKTTDDIRGDVSLSSANTDRTTLRQRIRSMLGSNRTLSNTEQSTVKISMKATNV >CRE11896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:449523:449991:-1 gene:WBGene00057452 transcript:CRE11896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11896 MRLTRILRVLIRGKDGQAEWKEITENQLPKGGKKLSFRENSLIAFGVGMLVFSYAYFQDEINPDSKWAKAYRIFTREPLSWLTKGQSEAPVSPDHKPPVF >CRE11895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:446206:449347:-1 gene:WBGene00057453 transcript:CRE11895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-golg-2 MVDAAVPAKADMLAAARRKLKDFEARQKLDGRSSPASSIISSEPGTGAGLNGRSSVVSEDSTALHLPQSSSSAQSLSARNGTNASPFIPNGNEWYQAYTQLKAQHDELCAHYGQLHLAYSQVNENGVHVDAESQIVQLQNALSAMVEEKVSFQSELRQANEKIEKLRQSLTFAENSLNQMSKTSASGSDYISSDEIRKLNESIALKDSLLTARHQELEAARREVASAQASLVNVQHERSEAQARLKSLIKETGSQEGRIEQLKKEIQMKDLYLKQLGGSSSVNSSHFVNNNHDHLLQELENIKSEKVHYLAEAATLKSHYADREHALQQKQSELASELEKLQTLQFSSNDQIQHLEHQLQIAQSELQKIGSNGVNLPEEEEVGRITEEDVTKRIREACHVERGKWERKLEEDQRRREEEILEKDKVIFEREQSLAELEMKYRLLEERTLEATANGADLLSLSEQLQNEKATVSRAVAQNKELKERLLETEDRFVVLTEEKATVELAKQSAEHQVRELTKQLNLEAAGLVGNLSDVIASQSHLEATSVSTENVETLHYQQRDNQDLENINTELKTTLEALKQENFDIRSDLDQKTQELQQVRADLRRSTTHNEQMDEIMRQNAEDENQNSIHVELTQAVGRVQELAAENEQMREALNDVRQQLEEERSERRAESERQVQEKDGLDTVLEVVETPKEIESQPSRELHEDLWARKELEKRFARAMMQNADLVETIDRLEHINQQLELENDTIADHVVLYQHQRKLVRERLRVKDEQLKAMEEERTKTVARCKELQNVLMSVLNKGGVLKEYETSSLSRKAARRVSRSYSHSTVDELSGDEDVVIDAKMEEVPNREKVHGHNDKEKLLETETNPTVIEAGENQKSLESPVEKLKEGPSSSVQHHDASVRRILEIISDISRPQPLPSGQLHCTQCIGDLQEL >CRE11894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:443876:445777:-1 gene:WBGene00057454 transcript:CRE11894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lrr-1 description:CRE-LRR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4C5] MRIPCEVVFSRHKTNGNLRQVPDTSKPRKGFVVLEKLRQTPPQYQILFAVNGDKNTNKFDLNKPPIILDKFVQQGKVTFQFPAVTVYIGKACPESLTKLTDCIRKIVRGEAVEIEKVKIKSSDFKPTTITVTTQNDYRKQMNMCSEFLRTLKIEPIDLRTADRTWISKCKNITQLIISGNPIGNRATNLDVFSKLPHLHVLELNNCSLGSVAVPVLCDMFKRLPITLNFLDISENQLSVFPPIFHLNQLRVINATFNNIKYLPSRIGSLQNLQTISLNHNQMSSLPYVFPKMPRIQHVGLQANDDLCYTSPEDAGPLITRKLASKVDNNDYSHAGGVDSLVVIAANAIHRDENRKSLRACRDFLPLSLRFHVEDLMYETPGISPLEMCTYCLRLRHIRYVRPQLLQSRQIAEAVDVVDRFVIFDNLLCIDCHRRTPATVDDQTPIQYDFFNFSL >CRE11893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:441859:443627:-1 gene:WBGene00057455 transcript:CRE11893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11893 MSVPNLEKSKELEIKRAEKVAEVRNALEKLSGAQFKKLENLQRMERVLKKEIETLLQAKDKLESHLVTCNYAFYKSIIDEVEPMENALSVLKTFKKNDVSITVDIVTKEPHSWIKLVNRSAKNVLIEYRDGKRNGDIIAQIKQQLYVSRRFNNPQIRVIFKNGILCEMADKLTRHGIIVDGERIEKEHPDLTGKWNDALIEKLGESEDSDWEYDEEDVIPIKPCHQQSRLTSSIPSINLDISAVMLLVSNMCEPSGARFKFQEEMINKHVENERETPAKKPLLEKLKGHRLIMSELALEQVRKIVHYVGGPTEKIRFAELVKTIESIPDETGDVGRVSMLSDIKGITPITKRVFSCAETTGTPTVTANHKFLKHSKAKNIYFEVIEIPPRPLTEQKEANAEAI >CRE11892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:440505:441675:-1 gene:WBGene00057456 transcript:CRE11892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11892 MSKCPTVRAKIIDCKQGAVRAVRYNVDGNYCITCGSDKTVKLWNPMKNSLLKTYSGTGNEVLDAASSSDNSQIAAGGADRACTIFDVETGKQLRRWRTHGAQVNSVAFNEESSVVFSGSMDCTMQAFDCRSRSEKPIQIFNESTDGIISIDVNGHEIVAGSADGNYRIYNVRDGNMTLDYMGDSVNSISFTPDGNCLLAGVMGGIVRLMDKSSGKLLASYKGHQNTEYKLDCRVLQSIEHVATGSEDGFVYVYSLLDSNIVSKLEHPSKVIHSLTAHPKKERLMTAAGQMIYLWVADDDTEVLGE >CRE11731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:434177:440080:1 gene:WBGene00057457 transcript:CRE11731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nsy-1 description:CRE-NSY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4C2] MSQNNKKQVQHHDMSNDVCPLPLPPRGAPPPTAYHPTRMAAASSTNGSFEKSAIPGNARKLHVVIVIDQKVQKNLRVRESALKDVQKVADTLNVNLTRIDFDKLDFGETETLDLFYNADVALVDVTVTHQQPSLCYHIGVRESMGQSYNMILTYLAPDLEYHIMDALKKTHAHLPMIIYINNSETNQLQSYDKSGTDDDAKPPFARANAPNAKIITFQSRMKQVLKSVQVEASAHSREKFLSDLRKAREITDGDQKNDYLDKMRTRLDNPDVLHPDTVSLMMFSYRDNQNYGGMIRLVDDLKRVTDCVKVVDTPVIRYQYAFALNRRNKNGDRDLALNTVLSLVEGSTENEEKNGPLSPDVVCLAGRIYKDKFIASNYEDRESLNSAIEWYRKAFEMSPLEYSGINLTTLLRASGEHFENNLEMQQIAVVLNSLLGRKGALQNLTEYWDVATYFEVSVLAENYQKACEAALMMVKLKPPVWYLKSTMENIKLINRCAATISPIEKEKQQFLFWSEFFMEATEVDTDINCPRYPVLILELNKEFTPSYLTLNNEEGTVILSHVLENSQQKKIHQSELKGNYSFSSSIWFNSDFQTIVNYSFMFMKIQMISTFFSQQKLIVKSKNSKFDSSSHFIFFRAFDDMKSMADVADGNYQGKVLSNPDNEKIRFEYELSNSNERVVLGKGTYGTVYSARDMDTQRQIVVKEIEVKYDEEVQPLMEEISLHSTLSHQNIVQYLGCDLVGKEGANDHFLIFMEHVPGGSLSSLLRSKWGPLNEPTMVIYGKQILQGLKYLHDQKIVHRDIKGDNVLVNTYSGVCKISDFGTCKRLAGLNPVTETFTGTLQYMAPEVIDHGQRGYGAPADIWSFGCTMVEMATGRPPFVEMQNPQAAMFRVGMFKTHPPIPTELSDKCRSFIKSCFLPEACDRPSAKDLLLDPFIQQKYSISRTRSGSINKKPATKVESSQDKEKKEKSKNQREMLRSTSHIGGMGVVERSPPTPEPTSATLTAGFSNAHSQTVSNALSTAREEKKLHLKIDHARNRTFSSSSPVPDGQSSAGTNMSHPGFHLSQPSSPIVDDTTHPHLIVSPISLATLGSPLSSAALLNRTISDESSNSSNRFFMLQKDSERRRSLGQFMQDYKDLIIDSWSTLLIKQSDTELVVTVFMLEMLLDGMREFLLKKDTAKMQKMIDDIRGLLDYDTAKIAQINLALYHFSDSIQPVLRRLDIKPHWMFALSNLITSAVQSAISILSPDLSLLLHAQDILPSTSSIAAIRNSSLSEGEVLMESRPPSREERVKEDRKELRTLQEENENLIERLLQVERELNAQLKSGLTRANRFRDFAMYRNTYPPFRTSPVVAHAPPTPPFSASCGAQPSGTFTNQPPSFAASKPTAQKIIMPPGTENNYQVTRVQEELVNWLRGLDIDERSTALIASEAYTKNDLIDFVTRDELLNIGVGGGSSCRIMRAIGEIRERQRRQPVFLSPMRSRDDSLDDYHSSSADDMYNAAAETPTT >CRE11729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:422771:423277:1 gene:WBGene00057458 transcript:CRE11729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-30 description:CRE-GST-30 protein [Source:UniProtKB/TrEMBL;Acc:E3M4C0] MPFGTLPVLYVDGRPLGQSHAISRYLARQFGINGRCPWEEAQVNAIADQFKDYFNEIRTYNLVKMGFAEGDSEKLYADTFLPNFKKNFQFFTNFLKSSGAGFLIGDSLTWVDLLIAQHTSDLLSDSGSVFAASSSIFDDFPELKAHQKKIHSIPNIKKWIETRPATPL >CRE11891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:419239:421447:-1 gene:WBGene00057459 transcript:CRE11891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11891 MAAIIGGGPRKPPRIMRKNYALKPVRKGVRDDEGEKIKREGYEVQSGVLTVNHNHPSNFKPKQLPGLANDVESYPCRYCEDKLYLTASGLEKHAKEVHPQNMTEIISDINTISGEWKKREFERHRTRERQTMEKLRHEARAHQLMKAALGSKDVSMFPETNDSVLDNHTGPSVGGEHYEACSICNMLVNIGHPTAMESHQRAHKKNDELRLQLIDQYGPEAVERLTCEACSLVFPDDEKLRAHVASHHTRRKKYICKFCGYISQTMSELNLHKNDIHNYSAWSNVPDYLKARRTFYHYDDDQKRIRRRTNNDMNEGGSSRQPLLGEISEGDTAGRITCPDCGLKLNRPRLLFKHMERVHMKTSFCCMVETGGLPTFEIEVLNGEIFWTCCDSKFDNRPDFIEHRRLHIPTQHEEVIVENSEDPHEIPSTSQEMLQEGPNEDGNAQEFPQVLQYDDGSGNVMYVDIPEGFEFPMIVYDTEGRKIQLLPLQHPDGTVDHQQFVPQYLDNNIEQEDGGQMLVEENEMMALEDVEEEGVEENAYDQFQMEIGNDWSNMGNMRMILVNGDEVLDEEHVDDMDNHHMEHQIIESVEEEGDEGVVQINGQPLFD >CRE11727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:413668:415594:1 gene:WBGene00057460 transcript:CRE11727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-4 description:CRE-MDT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M4B5] MMRSDERSLRDLLLESADDLEHVLKMIVDTLINREKSVMLKNGESVTNIIKLFDSKQEIIKTLLKKVPEYQERENLIRTLKGHVEKRDAVIQQVENNLKACEVALTRSCFHANLKLKQMSEAALRPVNSEILIKMAHQISKHNSVSAPLTWQIGDPSRPFPQEHEFRAGHLLNQKVQSSGPQLLPGKNVAQRPLITSPSASSSNGGAAPIRTVGTPLINSAPDGEYSPRTGYGAEKTPPIQQQVLRGATPNEKQWQNPGASGTASSQSPYNRLSQSPSSSPNVKLKIIGLPTRVGGMDQVQDVRDIEQMSSDSSNSSDSSDDEGASKKTGGSNKS >CRE11889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:417212:417713:-1 gene:WBGene00057461 transcript:CRE11889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11889 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE11726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:411329:412865:1 gene:WBGene00057462 transcript:CRE11726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11726 MAESSKTEPDAVDILERQMMSFCNLIPVSSWGFDDDVKESLKMRKHSLVNRQLSKKERKSMPAQQKQHLAKGLGLVPNTVQEVLEWMSNSKQHSKVQPQKNVTPIKRTSAGDEKKDVSKSKKIKKEENKPTSDSEDSSSDEEENDDDKNDINEGQEPVPKKLKQAESSDDDDEDDDNAGDGRKAEDKDGDEDSTDEEEDEVPAKKPKVVSTSTLKSNDKIDNEIKQLEEDEDNESPEIKRQIALLRLQKKLKEMKTERKGKGPAKVTAAMAEKLVEEKRLKRRESKLKLKQRRAEEKKVKEETPKIKTEVIENVENDGSEKKKSGITFNNLKFVIKEDKQRGKRQRTAKKDRALKLTGRDYKSLIAKVEETKATIAKVREADPHKATIMEDELKWEKTLKRAAGGKVKDNLEMLKKALAKKNKLKDRKKQKWENRDKKIDGEKETVSVK >CRE11888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:412901:413238:-1 gene:WBGene00057463 transcript:CRE11888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11888 MADKSAYMSAGGYSSGYMGSNASSSGYAREDYASGGSGGGASNNNNQGTGGNTNPGQQVFKARTDQSCYLGP >CRE11887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:409070:411090:-1 gene:WBGene00057464 transcript:CRE11887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11887 MGIDSYNPTVRARLANASKTRVLSLKESALHRIPEDVKALQTLKHLDLSINYLLVIPEYIGSMSHLKQLNLSQNQLESLPLELGFLPCLEVFNVSQNKLTDLPDLSKCVALKTVDFTENQFTTFPEGICQCPNIETCIFTENRIEVLPDQIHSLRAISLILNKNRLTSLNTVNLLRCERLRAVNVDDNQLNCDEIEQFVSKAPREIRISFERNVSKIHTTDLLEMGNDSSKSKSVASSARKLIGQPGPSTSTVNKHLEMATKSRILQLKGTGLKKIPDEIEQLAEVLRNLELSENKIREIPLFIGQFTQLKQLHLSNNCLELLPDEIGSMKKLEILNLAGNKIKSLPDTIVGCTDLRTIDVSSNCFEMFPVAIISCLQLDLLNLNGNQIETLPDEVSELKVIELSLSQNRLKSLNPANLTKAQRLRTLRVDENCLEKSEFTRELLESSIISVIAYDGNRFQLKEFQDLPGYDAYQERFTATKRKI >CRE11725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:407587:408873:1 gene:WBGene00057465 transcript:CRE11725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-try-1 description:CRE-TRY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4B1] MRVYCRIFAIIGTVGIVSTNVKENTIDDLACGLHSTNSELAQTRSAQEPADYVTLDHRLIGGTETRPHAWPWTVQLLSRLGQHRCGGSLIDANFVLTAAHCFAKDRRPTSYSVRVGGHRSGSGSPHRVTAVSIHPWYNIGFPSSYDFAIMRIHPPVNASTTARPICLPSLPPIENRLCIVTGWGSTIEGSAVSAPSLREIHVPLLSTLFCSGLPNYVGRIHLPSMLCAGYSYGKIDSCQGDSGGPLMCARNGHWELTGVVSWGIGCARPGMPGVYGNVNSVSTWINLEMSRLRI >CRE11886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:404440:407378:-1 gene:WBGene00057466 transcript:CRE11886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zyg-12 description:CRE-ZYG-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M4B0] MLDLTNPDSDASENGNSKYADSSDGQGVGTSRKPEVDKFTLEERRKDLADLAIWLSGLNGTKLALDDPHSLCNGRAFAELLHVIDDSFFDERWLETMPGMRTTSNLVVKRSNIRKLWRKISDYYSMLGRNIGQRWYEIGERVDNIEETDIPVAVDLAMAVVAIALIGTRKEKWISYSQEFAKTYRNEMTNVARMVEIVVKEMPELSDNVTFHEISDLHDSQNELNCSQPESSVVSNKNGFGERRRSSSNNDQVLVEAQQEIDSLRSEKDSLLKEVERLTKASDNAQFDDSISSAVTILEKQNEELRIKRRQMEEKTLELEAALEHFQSTVKKLTEENDTLQSSQKEFNMLRSHLDSAQSDVEEWRAKANQYQTDAELGKKREKEVKELQGQVSSLTSRLAHLIKTATEDEDNKAGIMQLRSQLGTITANNVELNVALESKQRIVEQLEIQLIQYKEKVKELEDRKEDLIAERNELENQLHFKDQVTPRSLHESMFEAGNLSFEPFSEKSKLPLEIENKRLAERIHELEALEPLKGEIITMRSQNGLLEEQKLVIKKQMEELERQVAELQEKLALNQKNASGDSVELRIQLEKANVEVERMKEAEMRAETKLADVKELLQKRNAEYEANEKALERAKSVIDEMEDRCRPVGEDSITSVQAFKEMKEENERLRQKVEKYEIDLNTTTQSYEQENRLITSAAYQQVSFFKNQPRQTFSKVLDRSSDAVMSMRAQGGTDAPRTMLDAQKANSALPWR >CRE11885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:402387:402995:-1 gene:WBGene00057467 transcript:CRE11885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndx-3 description:CRE-NDX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M4A9] MSVLIPLVTVDGRDSVLLTKRSIHLRSHRGEVCFPGGRKEPGETTTETALRETFEEIGLDSKNVEIWGHLKSVIRRQADFIVTPIVGHITDESILDRLIVNSDEVQSVFTIPIDELARNASLTKFNSKKMKYTLPTFDSTDFKVIFSNSARINDVFQVHHNASGEYLHSTQRVWGLSAVMLHQALTLLNPEVYKHDLIVKFF >CRE11724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:401215:402317:1 gene:WBGene00057468 transcript:CRE11724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbs-3 description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:E3M4A8] MSIMSYTGGTVVAMAGDECVCIASDLRIGEQMTTIATDQKKVHKVTDKVYVGLAGFQSDARTVLEKIMFRKNLYELRENRRIKPQVLSEMISNLAYQHRFGSYFTEPLVAGLDDSNKPYICCMDTIGCVSAPRDFVAVGTGQEYLLGVCENFWRENMKPDELFEATAQSILSCLERDAASGWGAVVYTITKDKVNVSTIKARMD >CRE11884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:376368:387445:-1 gene:WBGene00057470 transcript:CRE11884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-shc-2 description:CRE-SHC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4A6] MYTRLKSSLLNHFQRGSNSSTNQNYNGLSKEERKRLRHSLAECQINEIYENNDNSAHPNHHHNACSYQQSPTTKPSRFPPTNNISHAPLAPQEEYLDETSSNSASTFYSDLLASSFSSSGHSSSGGFTCDYEDTNDTSFIMSSGLPALSWNVQYIASFPISGTYGDSLGKRIDSYQPSGNSIPQNVDLSISVLGIKISCEKTVLMAHSLRRVTDISARPETHNVGYVATEPMGRTYRRLCHVFHCQSFKEVSILKEKVWKIGVIFSSKAEEIENVLRNANNSELLTSKQPINKSTVSTSSMHPTNPASTMTEKRSASTVFLNRFLGKKGSQTVDETGQCKRKRRPVSAVFSSAIHRLSSSTSSVNPKRFHSMIKLYTPINSLFLFQMSTIEPSAPSREQLFQLQNQMRCAASSPVPEEPKPSELCASSTTTSTSSVASSSSSNGSSSSTATSPVPPIPQTSLVFDEKLGEWIYPIDQTLMTQLDNCSYFVGQPTKDSMVFNLLSQPEGAFVIRYSESKSKCLALSMRVPVTHNPAGISHYLIIRNEQGFRLKLSATKKPFPTLQMMLTHHSVLESHLPCTLHFVQWQKTNFKQMRPMGIVERQPRTFNRHSMTLTKIDENRNVTATSTPFHAAGRCGEDFTTPKNRRTRHEINAIRRSHFFDDRQIVL >CRE11722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:374045:376138:1 gene:WBGene00057471 transcript:CRE11722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-1 description:CRE-EIF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4A5] MRPVFRMLAKLVVFRCISVYLVASWFVPDEVYQSAEIAHHLVYGTGHLSWEWRNSLRSFFHPAIIALILQLLKILSLDTHVLVYHIPRLAHALLFVLADYSFYKVCLRLCRTKGIAENSFLAYLSSWFVFYCAPRTLSNSLETSLTLIALNWFPFEGKNYKGPTWPYIALGVLTVVIRPTVSLIWIVFGLHHLYHHSNPIRLLLRTVLPVTLPILIITILIDSLAYGEPTIPLWNFLQFNVVQGGSALFGVHPWYWYLVSGIPSVLTVQCIPIVIGILGPNIFRPSLLPFFATTFYIVVHSLLPHKEQRFLLPVIPLLCIYAGGAFQSLKKWRGSAIIGMIAINFGIAIFTSRYHQVGPFAAPRRIIEEWRGHHGKLSVAALMPCYSIPGHAFWHNHLETLRMLDCSPDLERIRGAAELDEADEFHTNATKWLIEKFSKEHTKYQRVLMYEKIYESSRRWFESNNWHQCMEKVWHSIALTSSREDNYMIVLCRNGY >CRE11883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:372381:373029:-1 gene:WBGene00057472 transcript:CRE11883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-24 MEISQFQMMGLFGKKKDPKEEVRELQRKMRQEMRALDRQVYSIQREEQKVTKEIKEAAKKGDKEVCVILAKSMIQSRKAINKIHVSKAQINSVIMCMQEQLATMRMAGSLQKSTEVMKSMQQLVKVPEIMKTMREMSSEMMKLGIIEEMIEDTLESVEPADLEEKAQVSER >CRE11721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:371879:372362:1 gene:WBGene00057473 transcript:CRE11721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11721 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3M4A3] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRVGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE11882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:370344:370984:-1 gene:WBGene00057474 transcript:CRE11882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11882 MSKFYGVAHGFQRGVFTEWSEAKKQIDKFPQPVYKKFSTEEEAEKYVDDRKPKKVELSFPDDTHDTYYAVARGHTVGVFTDYKDVKEHIKDYPQPLHKKFSTLDEAVAYFHKLFDGKDVGKEAGKTEKVEKKSNTEKTEKTDKRETKRKADKEETVDKKKKK >CRE11720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:369144:369485:1 gene:WBGene00057476 transcript:CRE11720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11720 MADKSAYMSAGGYSSGYMGSNASSSGYAREDYASGGSGGGASNNQNQGSGGNTNPGQQVFKARTDQSCYLGP >CRE11879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:360179:367552:-1 gene:WBGene00057477 transcript:CRE11879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aagr-2 description:CRE-AAGR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M498] MGKLTILLLFALISSSIAGPRFDCLPEPNGNQGACEARGCIWKEDDSGKNAPWCYFKDGVGYKLDSQQGTTYNLRKNNGPSNPWGADSTEIKLTTKTIGSVLNVKIGIDGRYEPPVDFPRETQSSDESLVLSTSSSNDIFSFSVVRQSSNRKLFDTSIGGLIFSDQFIQIATYLPSENMYGWGENTHQSLRHDFTKYLTWAMLARDQPPNSGSLDTMNLYGVHPYYMILEPDGKAHGVLIINSNAQEVTTAPGPSLIYRTIGGNLDMYFFPGPTPEMVTQQYLKFIGKPFLPAYWALGYQLSRYGYKGLNEMKTRIQAVRDAGIPIDIGVADIDYMQRYKDFTTGDDWSGFGDYVKTMHSWGMKLILIFDPAIEATYPSFQRAIAANAKFIEWESQSQVQTSIQNLYPMAKDTKIMLGVVWPDNHVAFPDFLDSTNNTQNWWINEFVTYQSQVAFDGIWIDMNEPSNFGTNQAHPWYFDSDDHPDDAPLFCPTNGSNLWEMPPYKTRAVWRFGDASSEAFLSTNTLCMLAQQDGGKQRFYNVKNLYGLTEAIHTQKALFKATGKRGAVVSRSTYPSAGRYAGHWLGDNTARWEDLRTSVIGAQEFNLFGIPYVGSDVCGFIGTTTEELCLRWQQMGAFHSFFRNHNTIGAPAQDPAVWPSVSAATKQANLFRYQYLPYLFSLHFAASQNGGSVIRPVFFEFPTDAETFNLGYEFMWGPRILVAPVIYQGTTSVNVYLPTDQWYSLFDYKYGSVISPGYTTVPAPTTSRIPVFVRGMSVIPRQTPSTTTTATRQNPFELLIAPCQMGKGLGTLYWDDGETIVNDFNSHDYHQFDFVYNTTTTGGLLTINHSRKSSTISLPTLDIIEIFNYPKAPNFRSFTINGKAVNINVQKSTYSGITKTLYISTVGLIDLTSSDSIVLQWSNAGSSVFDISSAVGPDAGKMKALNTNRYDKLYF >CRE11719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:358157:359942:1 gene:WBGene00057478 transcript:CRE11719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11719 MRWQTVRLIAIYAALSAITNFPSGFTNSSVNTAVAKLHEFIATSLREEGRPDDENTIALFQSATLNCWFVAQIFGAMMTPMISDRYGRKVGYIVCVTTTILATFVQYFSMCFYMPWGLIFGRSLTALVSPLGDACLLLYVQETSPLEIRGMSSFLCEIGYGTMCVLGMVVGMKSVLGESLSRLLLLSLIPLFFSFCFVIQIPETPKFLMITRKDSKKAMKSLEYFQGNNPENRSLIEDYEAEGMTEKMSRESTFLELLSKWHLRQAMRLALATLSLTLSFYPILQSSTYFLLESGVSVDIAQLCSTLAQVVLTLSSIFGASIIDRFSRRKLLLSFGVLSNILLVCFALFSHMSATVVSPYSWPKYACLASLLAYCISFGMVLGPLSWFVAPELVSQRHRCTIFSACFAIHNLLIALTDFATIPLFRIFGSMCFVFLFVVPSILCLCYIYLFMPETLGKSTLEIIHEMVERGMNGTENNIVTLDTPTLKVRPRLFSSTSDGNLRVY >CRE11878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:355367:357702:-1 gene:WBGene00057479 transcript:CRE11878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snpc-1.2 MPKGGASSLTPVYAGLREDLDAFLRAFMQLDTIRFVDYKQLFQHRGMIQLFNGRSNSAEIIEFNECLLVYCLPYMEEFVCEGVPRSLKERLFGLYSLYTFYYVQQENHVVKVRMDPDASRNFRKLAELLVQERIYDGYLTCLKLLEDKALKHVAFIPIHDPSNFKRFYTDEKVTGVSVLTNLNDPLGNIKSLYESDTFTMLGFIHKEYAKMKENLGFIGCPLPDVQQKIKEIIEQHSQSLEKSLGVDEIINTDHSSEQLSSRGMLRSSIKEQAHAADLKLSRSRRHRSSMPLVVPTDTFDFVRELKPDVKKLKKIKVDAGTVVPVSKVSSEPVSPLKTRRGRNKTSHTVIKEEPSSPEHASPAKKWKRPARSHVFSDSDHLFIHDSLSVSAPLLNKKSELEHNEDFGMEKCEKPNRFQLNEITPEQKPVIGKSALHESIPTNCKIKREPIDQSSAENGSLAIESIRRAYVKEQSHVCEIVGSSSILGNHEEVATVSPLKSILKTQRSSLKRHVSFREKDDGQLDTTVYEISSSSSNIACKITEEQKPCSILPEPVYEAQNATTSEVTDDTEFDDRDMFKPLEDGFTEDYLDLVLEDSDDDMMIIDDM >CRE11718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:349997:354884:1 gene:WBGene00057480 transcript:CRE11718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-btbd-10 MSQSDEQKDIPSSSTSGITGGVGIPAQIPARRLFDNTSDESDVSLDNDDKTGAETDGSSSSRGCLKNDRSRKDHAGSSTVRAVRAPQQVSWSFGAKPATPHSQPPAGLKKVPAFAASSSTSQAGSSASTSVARKSSLVGGRGSASSSGHTSPSPSESPARNAGCSSSGESNPDRSLATPPLPPALKHSGKDGHYRGQRSMSLGGPAHEMLMSQLGGRQGNTVDCSPGEGDKVCLLVDQTRFLVSQRLLTSKPDTMLGRMFSMRASCGDLGADLVSPNERDEFEVADGMTASCFRAILDYYQSGTMRCPSSVSVSELREACDYLLVPFNAQTVKCQNLHALLHELSNEGAREQFSQFLEEIILPQLVASTEHGERECHLVVLLDDDVVDWDDEYPPQMGEETTHVVYSTHLYKFFKYAENRDCAKQVLKERGLKKIRLGMEGYPTHKEKVKKRFNKAEVIYNYVQRPFVHCSWEKEEARSRHVDFACPIVKSKSNPSLAAAASDPLPQPAPLQQQHRVAVFGEAAPLAAQHRFDDAQFAQPMINQVMQQQPQQPQNNAMLGVNVQQQQHNHPLHSPPVHFQQNDRDED >CRE11877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:347800:349239:-1 gene:WBGene00057482 transcript:CRE11877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sgt-1 description:CRE-SGT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M494] MAEETKPSAPEAPTNAPIVTTDEQNLVVSFLQFIRQKVSQNQVTSEQAEALEVAIQCLEHSFALNDASYAFQPSRPILELFKSAEGLPDGESALPTPSDADISQANKLKEEGNDLMKASQFDAAVQKYNAAIKLNRDPVYFCNRAAAYCRLEQYDLAIQDCRTALALDPTYSKAWGRMGLAYSCQNRYEHAAEAYKKALELEPHQESYKNNLKIAEDKLKELESSRPAQAANPLAGLFGAMGGGGPGLGGMPGMPNMGNLLNEPGLMQAASQMMNDPGLADMFNNMMSGQGSIADLMAAGQQMAARMQETNPELIENLRRQFGPGGEGGAPPPAPPQ >CRE11876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:345673:347042:-1 gene:WBGene00057483 transcript:CRE11876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11876 MQRPERIRFFITEQCRLLDPSYRNYMWPKQILLGDTPLPLAEGSPMIFRINDKKKISFRIVLESNDHGGPIGIGFPLSQIESVSIKDRSQKHPEHAIVFLLSNSALEKLNLKFGTLLDDPISNGNRRSMSKYLTVIVGANPSISKPNNVYCRVILSSYKFTFSFLAAKKILLKDLPIMWHKLLEDKEERFKVVAIQTDRERIAEMDDEAWDWLMTYLKVKWETCPIQGSWLVKEEPNRESSKFNEIILKREPPSLLSDEFLESKPPKRLKYSDSTGGSPASVSSEYL >CRE11716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:341683:343568:1 gene:WBGene00057484 transcript:CRE11716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11716 MGIAVVETPTKPFAGQKPGTSGLRKRVPEFQQQNYTENFVQAILDAGLGSKKKGAQLVVGGDGRFLSMEATNVIIKIAAANGLSRLIVGQNGFLSTPALSNLIRKGHEGRIVDGGIILTASHNPGGPKGDFGIKFNCENGGPAPDQVTDSIYQITTKIDKYLIAKDLECDFTQVGRYEYDIDGIGHFTVDVIDSVTEYINLMQKIFDFPKIKSLLAGELTGRKFRVLLDSMHGATGPYISTILVDCLGADATDLLRTVPKPDFGGGHPDPNLTYAKTLVERLHTGEHDLGAAFDGDGDRNMILGKNGFFVCPSDSLAVIADNIDSIPYFKSRKVAGFARSMPTAGAVDLVAKAKGLQVYETPTGWKYFGNLMDAGRIAICGEESFGTGSDHIREKDGVWALLAWLQILAERKESVEEIVSKHWQKYGRNVFTRYDYENVDAAGANLLMTFLEAQLPAFVGRDFSANGVTYRVAVADNFQYTDPVDGSVATKQGLRIVFEDGSRLVFRLSGTGSAGATIRLYVDSYIPSNDSSRLLQPANELLKPLVLIALDVCKMEQFTNRKAPTVIT >CRE11713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:335075:335965:1 gene:WBGene00057487 transcript:CRE11713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-6 description:CRE-GST-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M488] MVHYKLIYFPLRARAEIARQIFAYAGKDYNEEIITFEKWPALKNSTPFGQLPVLEVDGKPLGQSYAIARYLAKEFGIAGKNEIEEAEVDAIADQFKDYLNDVVPYLTALSGLKPGDVNQLRTDVFVPNFKKHFAFFENILSSNPSGLFVGNDLTWVDLLISQHVQEVLERDLAVVEEFKKVLAHRKKVQSLDRIKQHIARRPEYPF >CRE11875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:329900:330809:-1 gene:WBGene00057488 transcript:CRE11875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-73 description:CRE-COL-73 protein [Source:UniProtKB/TrEMBL;Acc:E3M487] MSQDDKQKLVEVETVKKFAFFGIAVSTVATLTAIVAVPMLCMYMQNVQSGLQDEINFCRTRSDSLRGEYTKLDQFRKAESREKRQTYQCCSCGIGPAGSVGNPGQDGAPGNDGRPGAPGAPGPDAPNEHVQPTPADFCFECPPGPAGNPGNPGPKGPPGQPGAPGEQGPNGRPGTPGAPGPQGPPGAGGQDGTPGQPGAPGQVRTVPAPPGNPGQPGEPGAQGPPGEDGRPGNSGPQGPPGPQGEPGQDGAPGNPGAPGEAGEPGKDGAKGGCDHCPIPRTAPGY >CRE11874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:327546:328595:-1 gene:WBGene00057490 transcript:CRE11874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-20 description:CRE-COL-20 protein [Source:UniProtKB/TrEMBL;Acc:E3M486] MADEKSLKFAEADSVKKFAFFGVAVSTIATLTAIIAVPMLCLHMQNVQSGLQEELVFCKAKNSDLKTEFFKLDKVRSNDIADRQKRQSEYQCCSCGIGAAGAPGTPGEDGAPGDDGKPGNDGEPGRDSTDKDEKPTAADFCFDCPVGPPGPAGGPGPKGPPGPPGGHGEPGPAGRAGPRGPPGPGGPQGEAGEDGGAGNPGQAGQVRSLPSPPGEPGQPGEPGGPGEPGADGRPGHPGRAGPPGPPGDNGPNGEAGKDGEDGAPGAAGNAGAKGSCDHCPPPRTAPGY >CRE11711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:322548:323241:1 gene:WBGene00057491 transcript:CRE11711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11711 MADDNVSFTDAGDPAPAAGGANGGSSAMLDLLGTLNKKDEEKKKAKKGKKEKKSKSKSKTKKVRKADKFESQNFLYRIEGTIFCAGIIVGIVVLLVFIIAAIIFSVITNGNLVSYMHPLWGASDENTDSGAQN >CRE11710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:320771:321620:1 gene:WBGene00057492 transcript:CRE11710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11710 MTDNHETKRPLPDSPQNGESSSSATPSAPSAPEQPMSSPTRPPPPAGFVYPSTDIQNSVSVHAASPTMTSTSTNVPAGPPPAHASAGPAPAMTAYDMPPPSYQAAMSYPAAPTYGGSTDPKYYSGQPPIYYQPPPQITQTRLPQPDGVDQIVRAVRIQNPAGSNVIVHVQPGAPCRRCTVGVITRQTDMCCLLCLIMLTIFTFPFGLIFLCCVPCTVQNRCTNCNRLA >CRE11709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:316118:319857:1 gene:WBGene00057493 transcript:CRE11709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-9 description:CRE-DNJ-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M481] MSISSDSSICGDEDGDLLFEEEEEIDFYAILNVPKDATDDEIIKAYRKRCLMFHPDRFTDNNEKKDAERVFVKLRRAHEVLLDPKQRAIYDALGIQGLDTQGWELVSRSANPENIRKEYEFLQRLKDRELMLQRVHPTSTFMVKTTVAGMFQENEEDRYPPQLLGISLSQSVDCAFTGVDRFGLSGRVKTGNGRGDGSVTAVWKRVAGNFNLENTVSLSSESVSLTCRAARNVFTRAAIIVQPQLQYNMLHEAFIPSIAMIYSMRLHTRWQGSIVLNISPIANALTTTMVHTENNHAKAVGSLTLSPVNSNVRMSYFIRKPENDSVTEMSIQLTTYGVSPAISMDRRLSRYSRVSCSFHFSFPSCLLYTKFKLKAGQSTFDWQIVLCDDKEALSRSVLYGVAVPYFTFQLAKIVFRPWWERFKLMFEDNSREQEVDVAKKEEAANIVNLMRATAERIKRDEESKQGVIIESARYGQCDVSGTTAYPLAGERTIDVTVPLQAMVNDSQLRVYTIKSQLPGFYDPCPGDPKMLTVRYVFRGEEHSCTVADEMPLMLPLRAEFFVIAERRITV >CRE11708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:314595:315333:1 gene:WBGene00057494 transcript:CRE11708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11708 MSNTYNHFLFRVILIVLLLTQLIASSPLLVVRRVQPPYYQTIHQLERMIDNEQVLRRRRKSIPDGYFNEFTVLDVLDRQRRGQPISDYTDEFSADPFEISVSNAVTQKTKETMTPASSR >CRE11707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:303658:306875:1 gene:WBGene00057495 transcript:CRE11707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11707 MSSTSSFPKSFGKLYVNILLYHIFVIWLFEYYIYQHLIVYFRSVYFKLFQIQFYSFQIMGSFPNFGAFVLRAQEKTGRTCINGLPVASPSKQMLGRFPYLQSLRHDNLSIYVHFSETVIARDLVIVVIEHHSESLGDVLKAGELKDENRKNVLYLEISSAMDCLHRNGVVHGFLDVNSVNISKKREEITAKLSGYGLPLVQSVLKSIIHIFSFLTNYGKDIASSMKFGVFLAPERILNEDESLFLATYQSDVWEMGFVLLQIYLVSETISKNSGENICLKGATLEPDISETEYLEILNKNFTDDSTVTSTVMDDIIQLLIDKKRLPYHKKCEWLETVIRNCLQMGCSNRILVPEIAERIKENVGSIPSEDNVESLYKLKTVDEMRARVREDVLKDYDGFEKLSIDNSFYLWKTCGSTPEIILLKKGIIQNHAPISSYPSIRFDDFNQIDQTVDSQSTFEYGVFELPKERTLAKMEHGLKVSAKMFHKIGVNKDEDHQNENILYETASNMLTIEHFLNSTAVFGVSSVVSSLSSIGVISTQRSDVWCTLLDVDETKWRDYLNLDVLASHSSDRQLEVDIPRCHQYDSFMTTPAIQESLRKVLKGWQIITESDNYVYWQGCDSLATPFLLANMSKPHVAYACFKEFTHRYCHKFYLKDNSEVIKEYLGIFYHLVAYVDPVLYKHLKSNGFDAELFAIPWFLTCFAHELPLSKLVRLWDETMIHGNAFPLMIALAMLNRLRDKLLSVNFNDMIIIIHDQPDLTIDDIIKNARGYENLIPPSCTFRVHASPWKENNSGEVHCLMNSMKGLSLGELAQLHCPRLSSEELIWRIERNLIYIIDTRQESEFQTEHFSNSFNHPNNNHEADIDWLRFLPGIVSSELPKCFLYGKNDKEATEKLAEAYVMKGVPYVCILHEPFETIKNKDPFVVKA >CRE11706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:300550:303269:1 gene:WBGene00057496 transcript:CRE11706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11706 MEQQSDKENQPKAVQIVYDSQNDPTGARRHYAAFDRLRQYKKSGKYLKKPETKIEFVDLATPEQSPKKKLSRTETFKEFDDQLAFQNVVASDDLFGSSFDENEDDMGMEKTVFLGTSVDVFRDLSILYSDKNSTEIEKMISNEDEMTTEVQTEVEIAKQVEADTRIVKCGDTDDEEEIQMSHISQESDRMNFLYNTAKEKDDILEGRFDSVGSQFKTWFLTNSRSDILKVLGFYSLKPENKRKRLEVKNEELTRDSLSTCISSQRGSPLIIYYNQLFRPHELTKDGKVTARCTFQIDGVKRCEGYLHIAKDTGEVEFQKLCHHGSQRSHIALSLIIRKLSIMMLTVDTPKEDLFRYATEEAERFDISYLLGTNEAMSRKLDNVLGRHSTDAFPPSLDQTYFTGAKRIFDSSGSFRSENDIMIAWKVDGTDPFFSCDTINLDGKFSEKPNHYRQLYAISTRDSSLSTIIPLVFALCTNSKENTYVDLFHCAKLEGLDPKYIYSEIGIEESRSNPFQRLLKSVFSLAFAPQDKAYLYMKVLKARTVGMHTEIGNFFLYLERQYFGPRAVIEFDVWNCYYRALHGMDFTNNVSESTFARYQVKKKLIGLRCDTIKLQKMFHNNEYAQIKTTIRKTVDLTNGFLRDAKSGRNNLNRSPTAPPLYRNSQFLRAVVYKHPNFDSFSAKIFPFMEIPKMYH >CRE11872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:296044:297584:-1 gene:WBGene00057497 transcript:CRE11872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lact-5 description:CRE-LACT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M477] MKQKREIPIHGTTDNIFSEVRDSFRRNFTEGWEKAGASFCVIHKGKVIVDLWGGYADRECFKEWKEDTISTIFSCTKSVTAICFAILVDRGQLDYSDKVIKYWPEFGQNGKQDITVEMVLSHTAGLPYFPGVTFSEDELIDNNKLSYIIENLKPVYTPGTRTAYHALTFGWLTDQIFRRVDSAHRTVGQFFKEEIATKHCEGLFSKNHLNIILFSDIDIHIGECASEENRLARLFKCPPTLVSREVAYDRSILKLARYFYNPRGYFSAARRNLSLYGTDFTMFNNSDLRAVGQPAVNGIGSARAMAQLHQLVLDGTLLSKDTFEKIKYPRNMTAFDHMIGEPQNKEHGFTYFKSPLKTWQFGHPGVGGQMVRADVDNELIVAYLTNGMKTAGCEDHIFTFNRLQRKVYECLKRIPKFELPPPEEIDE >CRE11871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:279524:291677:-1 gene:WBGene00057498 transcript:CRE11871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rig-6 description:CRE-RIG-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M476] MRNYIRFLSVFLILSRYVTALIVFSDESSCPIGWQIASDQCVRIVISPANLKHAKKICHHESGELMDTSVTLLLDDVIDILKNLHENGLYEPTFHVGGMGQALNRTDDGNYKMLVLDLYGSFNIYNNYRISINPSSHFPFICSLNRMARRSLLFQQKLLPIGAPKISLTGQSEIYFHPRQDADYIALPCTVQGNPKPTVSWYKNDVEVLSPSMSNVSYLLSGGNLLVPASSSLAYSSFHCTAKNSLGEVRSPPILLKPSFIDPFRPHRLDVYSLATGGAKLDCDAPAHQPKSLTFSWLHGASTDRILSQNERKFISLDGTLFFSFVTSEDEDSYACSLSVYSTQSGHYGPFFRLISSTPKLVNSTFQPKLDSSQPQIFPEDPKIGDSIYLECFAYANPIPQYKWSRVDGKPLPPRSHISNYGRVLKIEKVNYGDAGKYKCVATNAFGAAAGEVHVKLRAPPSILQDLHDRLIPTESNVSFECLLSNADSYSSVEWFKDAKPIVPLLLPAEKRKRLRIDHNILHLKMADESDSGVYQCVVSNDVGSSSSSSLLTVKDSAPIFPPNAMPRKVFAALGSTVSIPCIFEASPRFHGKWADAGGSRLPQKGRIRDEDGIMSIEKVLHEDAGLFFCTAHNKLGKSHAQVQLIVMNKPSIKTNYLDEETVNMSCEVELTCENSAECPEALFEWKINDRPSKEYPSLKSKVHEKKSGHKGRHVKQKVDLEVPKSLAGRRQIGRFACSSLYGGSSELITKPQLPSPIALTVEQMDEDGNQKKKFRLKWRLPPQHRDTRDHSPKVEGYLVELRTRRNRKWRAAERQLVGNMEKDSITVENLLPNTEYQFRVRSVESAAIGDPSMPSDWVKTAPGAPSETIDNLKWRSLDSQTILVEWQPIEQGQESSGDNLRYRVSWSESTVGRNATDDMKSLNQDDDFDNHLDSDQSQAILKLNTTEGCRMVVLAVRPINDQGNGTVSTDTVAFLNSQGELKKVSLHNVKPINASHVNISWIWDTSSDCDTKHAVQITCLDLTQSEISATVASDRTFWMLGGLDAETAYDCDLKAIDNHGNFGPASKKFRIHTKQHPPNQMPIIGKLMMKQMKDSYTTILEWTAIDLQKPNRTENGCGYKIFIYISETATEAIELDMPVQRLSDRRNPSARLDGLKLMYMYTIKVAGYNSGGIGPVSDSRSIRLGSPGSMDYTSGSSLTSPAFLILVFMFWNLFH >CRE11705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:278713:279429:1 gene:WBGene00057499 transcript:CRE11705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11705 MSTAFVVGATGAVGSELVKLLADSTKFSKVVLLARRSVDAATGDKFIQKTVDFEKLEENSEDVRNLDVAFCALGTTRGKSGPDGFYKVDHDYVMNAAKLAKENGVKQFVLVSSGGADETSRFLYMKTKGEVEKEIGELNFEKFVIMRPGLIETKRQEFRLGEVVGCVLLKPLKLFSNRFSSSATEIAQAMINATQTDDTGKHIWDNVKIVEEAKHYSA >CRE11703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:273399:277102:1 gene:WBGene00057500 transcript:CRE11703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11703 MAAEPIWKVRPAARKFETDRNLVIPAVQHPLEDSETKKKLADFWTRWETKKSDSNNLDEKETYDFEDPLGATFGGADTDDKIDIDVLQQSTKSSRSFSLDNTLENRKADRSCFDIELPDFQPWREKRAQILSIGKPKEFGMSQIDVLKARLKSLADEKNLKSLKKAGDVSQKFLTLRENLITAWGREDRVESFKIVVECTHLLQSIPPYSEEYPYYWLLSIDVIDTFGKLLHDRLLLKSNEARNKNGQTDLPVNFNVEQVPKNVKDMAMNWFLKLSEIIDIPVRFYIECSMIACLKFFDETNLSINLERLSLMCSVFPDDLSSIFARIHISRYSMLIDPLNRSPHWRVLHDWMQAPKDVMEDPHRKKLLLPEVRKQDVSIQGIAWIVQCIAHRAQTVDDLVPLFAYCRKATPLNEKTYILISAVIEGLPSKYLSVHSEQVLDIVFALHEEFEDPLTRLGKRFFPMPPVEENRKFVKKSVWMRVVDIANFSTFVNCCAAWTSFTAKYYSLKDINRIIELALVRIRMDDQTKKKENTHLLVDLIASFLEFTAEKDDCLEIVTNNSFIKMVDLIGFDMQSTSTCSRRILEIFTMRFEKNSIFDVSVCGFIIEKCHSMCKSYRLEDSGEIKVMEQLVCSSLSLIDFKRISDMDQCVEFLIRCREEMGLRQDILAHIINMFFEFTQFAHLTLKSGKKKSDFMRVCITNLSLTIPAIRDPVKRVKMTVQNIQMCLLANFLPQIKMSSERIMEYMNEISTKTSSPCFVISPLINQHLSVLCFCPDGFSDDSPPLAFFSNILKLVERYKGIYNLKFTDNGSFRNHDEWSNEQKGVPLAEIYINMLRYLCNFRRIDMLKSDGSQDFHIGDDDYFTLVDANISEVITKLFSLASEPTIAVITLENVVMLFEIHEEMRSTIRGLLKRSVGAPPHLQKRLDLVIQNLREEAENDENIKNILQRLSLL >CRE11701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:270082:270608:1 gene:WBGene00057501 transcript:CRE11701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11701 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE11870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:262168:269160:-1 gene:WBGene00057503 transcript:CRE11870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-12 MTVRKKKENNTMTTASWSYKNSFFAFNPSYGFQLTDKKKSNSSSGATVISDCSSSDVSHLEHFPDRNARLAAVFAALFILTLLISISFITIVAILSDGFSTLISGSELCSNPDGDGYETKSSNHINYGLYGSDNSTCLTPDCIRLAANYLNNMNRDANPCEDFYEFACGKYTTRKVIAEHEKKVTVLSEMKREMDRHLKNILEKSSRENATRSMKLAQIHYDSCMDEFAQDDLGVQPLMSLISNLGGWPLLTNARFDSIDFQWEVLAGHLALHGVDGIFRVFVHSSFDDSNKHVLMFSPPKLFLEKKKFYREVPSSNVYLQYYRQYILSLLSLLGVDMEDETGVIEYQVDDIIDFERRIANLTRIELSRNHSSINNMITFGDFKKKYDKINWEAFFNEEMRSNLGRMPDSLLINVVDVNYFENLYSLIKSKPLSSINNFLMWCLVSSYDFYLPAKYRKPMLEFRQKMYGVSSDFKNDIFQLSHQDPLWEVCVGEVRDNLAMPLSTEYAHKFFTQRDKKIAEDMIRDLKKAMEQTLLNADWIDESTREAALMKLDAMGHKIGFPDSLLNETAVLLPYAGVRLTANQFFDNAISLKKAAYRDALSKLHRTPSVIDWASPIIAVDAFHYFTGNEIIFPAGILQFPMFVPDAPSFSNYGAIGMGIGHEITHGYDDLGAQYDDKGNLRGWWHTETMTTFQKKKQCFVAQYGSKIEPQTGRKVDGKMTIGENIADNGGLRVAFQAYQLKLDREKETRRLPGLTEFSPNQLFFLAYANTWCEALKSSAIDHIMDTDVHSLGMFRVNVPLQNLPAFSKEFDCPIGSPMNPFEKCRIW >CRE11869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:261117:261777:-1 gene:WBGene00057504 transcript:CRE11869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11869 MEITMTRQMFSLLIILMFLSEQVSAQTANDKLAACCAHDPQIDPGCASKYCNFGNINQFMVLPFIAECGPKGNTISRIWDCISSKHDHTNCCTNQNVLPLCRAFCDASKAVPTDMLKYGFCTAEFDKYRLCFRTHLKHHKAIRS >CRE11868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:259225:259947:-1 gene:WBGene00057505 transcript:CRE11868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11868 MKYLILGSLLIISVAGQGWQWNPFNQWQQPAAPVWPQQQQWGGANGFGAQPQPQSFAPAQQGVKNAVQDRIQQIYGVPGKRNANQKLRVCCRSLKDADVDCRRKYCDFEAFRPDQVLGYLAECSPKGPTVGQMWDCASSRADHTACCQRQGVLPACMAYCETTNGVPTDYLKYALCVGQFDRIRFCFREYLEGHPNIKGDS >CRE11867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:254917:256466:-1 gene:WBGene00057506 transcript:CRE11867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttm-2 description:CRE-TTM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M467] MLDKIRFKVFLDRPFYQPGQTIQGHVICEPHQPLEIDCVEGRIHGEVQYIPNNQNNNSTTSSFPKTRVIIDEKAQLWKYQTVSEMLGLDIFYDENQNSHFSSDKPCGSSTSTFTSSATFPIQIELPHFAPPTFYCPGSPVTVRFTLEVCTFDEIFQIPSIQLYNQGFKVAFHEENLVVLNYESIKRQVIPKPISHQKTFHFLKERSISLDVLLPTDVFTTTARIDSCITVCNKWKQSLKYVHLNIVRRISALNQTNEVIDTVKIDTTGVGLQSGKTKIAVGETYSFRPMFNIPALPPNINVNGLFKTEYSLKVTIGRAHNFVLASYEVPITIVTMDQSYRKSLQKEDILVDISTSNTALNKSPVDLLV >CRE11700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:254069:254908:1 gene:WBGene00057507 transcript:CRE11700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11700 MLGMMNSPSDSVEFYATNRKVSDDVTNGVEDSELKKFSEVKQKDVVSYTEDGRKMINGKLVTETDAPSMWSSALLRGRIRTITGAPTSEKEKKAESDVPQPVEITEMTVVGSSSPPK >CRE11866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:248947:249439:-1 gene:WBGene00057508 transcript:CRE11866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11866 MLPILVMIAFIPLLDASKFPLFRISGTLLCRGNPIKGNIIMIDDNFSINDHLLSEKKVELDGKFSLRGEPIDDCLDVKLVVEHKCHGMKAGRGDSSKLKGYSVFPVHISDLVRSEYDFSWDIELSESTVRISSPVLPAWRAWLYGKR >CRE11699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:246519:248503:1 gene:WBGene00057509 transcript:CRE11699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11699 MPPRKKLHDDIFDEEEDYCPKRKIKKLDIVIDSAWKVFNNQHILHFITENIVGNDKSILDNLQLRLVSKAFNNVVLSKVRAEFVTVQVSPCHIIGTNGEYSECEEHEDNDTNTDRSFTVNDRKVWFHQVPKFFEFLNSVARIRVRNLLFSGFISPDQRLHKCILYSLLDGNWFSLYSYKGADEVCIGCTACQEIASRVRIYGPVQLCTLVEPIRGRKHYEQLIITEILLAEIAVHCITMVESKAEAFNRLTKIITPEISCDQLDLVFSDRCMWSKQTLSHPREVIDWIVKAWSVKSINVRFITGYSSIDYNLWASKRVFTEVRFDEMLVKDSLRRQNERDNFERVEVDMQAGDEIATCFAESMGMFERSGMSATAFQNVCANVKRIFPSQDMFLILPIELARVASAEFDGFCEALLEFAWKDERTARHSRLFFRLYTFGITEQEVMRQIEKTFKINCRPVAAMLSDPNIRRAFLKWLPGTTTSFDRSLLSFTDVSNNCVLHLEVCVEPV >CRE11698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:244061:245685:1 gene:WBGene00057510 transcript:CRE11698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11698 MLKASKSISVEDLHSFSDLHLDDEAHEPEKKRRIPGRMRWYICAIVTGLMTLVQANVHVYNFTVLCMQEEQTRMINESLSRNETIIPSTLNFNFSKFEQDLLFSAAYIAPLPSIIILYFLTNRSGVKATLMICSVMSFLSTILTPIATHYGFWYFWAARFFQGLPTAILGIVVSVVTCHWSTLSENGTYVSILAAHYQIAPLLTMPLSAFLCNVGGWSSVYYTQGTITAILIVLFAVFYTDKPSENSFVSKGELKAIEDGKSLEEKHVEKSKTPFVAIHRDSAVWAIWITSVGGTIGFSIFLQYGPTYLNKVLHYNLSTTGWTAAIPYIFSCIARIVAQPLSANCSFLGERLAAIITTSISQGTMAIAFLVLMFIPQTWSSVGQLCYSLVIVANGLNGVGITRSAQLVCKQHMSFVYTARAFYNGSVGLFLPLLVNLVAPNDTHSEWTRLFLIIFCIVFVTNIIFIIFSRAEAASWTIGTAEVDDRSEHDVEDQKSVTTETTVEKF >CRE11697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:238774:240786:1 gene:WBGene00057511 transcript:CRE11697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acs-11 description:CRE-ACS-11 protein [Source:UniProtKB/TrEMBL;Acc:E3M462] MLSRLVSSIQPRAGFRAASSAANNIVSQAQIQTEPSKVLFIDGSRKITYGEFVKRAGQYATALIEKYNIKKGDRVMARVSKTTDTAALYIACLQIGALYIPVNPGYTESEAAHYIKDATPSLLVTCNEDLDKLFRDRISVLNEDKLASEAGSLNACTTIEHVETTDPASVCYTSGTTGLPKGAILTHGSLSNNAHDIVRDWGFTSSDLNLHALPFYHVHGLYYSLHCSLFSHSTIIWRSKFEVEDCIKYMKDATVMMGVPTFFSRLLASKNFNKDAFKNVRVFISGSAPLSVATIEEFKERTGQVILERYGMTEAGVMTTNPLNGVRKAGTVGPAVQGVGCRIAKNGGIEVKTNAIFAGYWKNPKKTAEEFTEDGWFKTGDVGHLDEDGYLTIGGRSKDMIITGGLNVYPKELEDFIDTLPFVKESAVIASPHPDFGEAVVAVVVPAEKVKDEKEFEKKLIGVMKKKVANYKVPKRVIVLDSLPRNHITKVQKNVLRDTYKKLFA >CRE11696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:237339:238280:1 gene:WBGene00057512 transcript:CRE11696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11696 MMNLEVSTTKQIGIGLTVFGVFFIFLGVLMFLDSALLAIGNLLFIVGITFIIGVQRTLVFFFEFRKLKGSILFFGGIFVVLLGYPLFGMIAECWGFVLLFGGFLPGIVGLMRTIPGISTITYLPGIRQILDRLAPESRYPV >CRE11695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:236544:236986:1 gene:WBGene00057513 transcript:CRE11695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11695 MSLTADPPACTVPAAGGSSTHKLVNGGADKLIFKIKSSNNNEYRITPVFGFIDPSGSKDITITRTAGAPKEDKLVIHFANAPADATDAQAAFASVTPAGTVTIPMSATA >CRE11865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:235741:236186:-1 gene:WBGene00057514 transcript:CRE11865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11865 MADDNVSFTDQGDSHGDEKKRANSSAMLDILDKMEKKKDKKKKGKKGKKNNKKDRKGKTKKVRKVDRFESQNFLYRVEGSMFCAGIIVGLMMILTFIIMGIIFGVKTNGNMVSYMHPLWGSVDESRDKSGEE >CRE11694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:234676:235421:1 gene:WBGene00057515 transcript:CRE11694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-5 description:CRE-GST-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M458] MVSYKLTYFDGRGAGEVSRQILAYAGQQFEDKRVSHEQWPALKDSTPFGQLPVLEVDGKPLAQSHAIARFLAREFKLNGQNAWEEGQVNSLADQFKDYSSEAKSYFYAKMGFGPGDVETLKKDVFEPAFNKFYGFLSGFLKSSGSGFLVGNSLTWIDLAIAQHTADLVAQGADFGKFQDVKTHSEKIQSIPQIKKWIDSRPVTPF >CRE11864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:232599:234061:-1 gene:WBGene00057516 transcript:CRE11864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11864 MLIGSKSVGKKPKGEPKSISKNPPKDVAMVEAPTKREVTKKEKTKITSVRRKDAPRKSVRRSKLMADNMHEVPTPSLRKKKYTSPAASEDKLVDKKKDETSKKEIKIIAKTPRNIDKEQAQKFFKQKMENQTTWRRSREEDMHMETMPESSQISGMSKKSRKGRRSKSKKTPLDRNIFKENGEPVWVVPDRKPSEWVMNEDGELIKYPELAAALEEDELEMEDGKGWFDKITALLSGELKKGKSDSKQAVQEIKAFDSMETLKERTELLFSKDVVIYNTCESTLHLSHNAIERFSQQNDDTCPRKSVPPFKDQESKFKKRPKEEEKTCVVTSIHFNSIRSIITYDRRHPIASIQKLKKKHRDQLKVLEDQKEVKESGKEKENEDAPTQARETKKHQD >CRE11693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:230741:232311:1 gene:WBGene00057517 transcript:CRE11693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11693 MWSGFGIKDSGEFYPVSLQFGGLQRCGSGRFSNVYCGPMTSPIHKEIALKNAWSDTETRHLPTTQYPEIQILSKIFHPAISNLLYFYSMNANEKTVHCLVLDYMPNEMARLRDQGVKFDILDAKLFTFQLFSAIGHLASKNIVHMDIKPQNVVMDRAKGLLKLADFGNARRLETNEKTGGSYQVTRFYRPPELLFGCEKFTPAIDIWSATCVAFELFANRILFKGKDTKDQITLIIGVFGYPTDEDIKSIGVKRPRVARKEARGIETFTSKMLDSDIYEFMKSTLKIDPKKRKSAVDVLKMPIFDVIKAVPRKKRSNGIEIPDLIRYTEMNHKRETEVEPAADIETTEKAEKESKSSSSHSGEEDNRK >CRE11863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:227723:229527:-1 gene:WBGene00057518 transcript:CRE11863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11863 MPETDEEKVRRYTDCLANGTDIKRALKRLYDLNVSPEIYKSLGTYNCVQRYITSPELAKYAKRVRDKLCGGRKREREAGAEHEHGSHLKKPKKEEVNLDEEFAEAMKGGGSAHVESVPRVAVDYSKYKVSKRPEVKIEPKVEVVEDFEQVAASSSSMSQHKNTPKDYAPVMPTCKPSTQPKRVIPQSESLHADENMFKPRKGRQKVFAGRRRRIGEAIPSLLSLCQTVLTSNVDMIDHVGMVPFNLLEPALNHATTDQLRRILDINPLLVEDADKLFHERVAQEFPKYADRERGDWTWRQMYDTLVEKKQKKDDAKLERLTSRIGKAHTGQNGRQTMIIDMAHTRVRSKSFFNTVRDSQVKMTPTPSAIQLSQARKNVKTEGKAQLRTITPRGGGVPSTSRGRSNNTGSSSGVVVKKTAPLMAKCKKMLKR >CRE11692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:226847:227603:1 gene:WBGene00057519 transcript:CRE11692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11692 MTFNEKKFKQYLKNIEERKDVTHSLKSLIRMDIPNGLIKEVIHETEKYLRNESFWKPAMKLIKKLDLQSKCPDLSVYAKRLKDSKSMKMAWRNARIEKMDEIEWIKEQERKMENKERKRHSIEIEKKDEKETNKKRSSKNKYRKWKKKERKRKRRAHYSRK >CRE11691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:221385:225679:1 gene:WBGene00057520 transcript:CRE11691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-metr-1 MTRSSLFKELADIAKERIMIIDGAMGTMIQREYMEENDFRGEMIEAQKCSSDDVLIELKQLCFQILKDHDKPLKGNNDLLSITRPDIIYKIHKLYLEAGADFVETNTFSGTTIAQADYRCEHLVHEINYQSALVARKACDDVGAATGKLENLIEDVELFLNLGRRRYVCGAIGPTNRTLSISPSVEKPDFRNVTFQELVKAYGDQARSLIQGGVDVLLVETVFDSANAKAALFAIRTLFEDEGVPEIPVFLSGTIVDMSGRTLSGQTGEAFLVSTKQGSPMAVGLNCALGAKDMRQFVANMSKWSDTLILCYPNAGLPNALGGYDETPQEMADVLREFARDGLVNIIGGCCGTTPDHINAMYKAVQGISPRIPPSDPHAGKMLLSGLEPSIVGPETNFVNIGERCNVAGSRRFCNLIKNENYDTAIDVARVQVDSGAQILDVNMDDGLLDGPYAMAKFLRLISSEPDVAKIPVCIDSSDFDVIIAGLESTQGKCVVNSISLKEGEEKFKERARIVKRYGAAVVVMAFDEEGQAAETERKFEICERSYRILTEEVGFNPNDIIFDANILTIATGMEEHSNYGMYFIEATRMIRENLPGAHVSGGVSNISFSFRGMEAIREAMHSVFLFYAIKAGMDMGIVNAGALPVYEDIDKPLLQLLEDLLFNRDPEATEKLLVAAQEMKKDGKKSDTKTDEWRTTTVEERLKFALVKGIDQFVVADTEEARQNTEKYPRPLNVIERPLMDGMAVVGELFGAGKMFLPQVIKSARVMKKAVAHLLPFMDAERQANIEKLGLTEEESPYQGTVVIATVKGDVHDIGKNIVAVVLGCNNFKVVDLGVMTPCENIIKAAIEEKADFIGLSGLITPSLDEMVHVAKEMSRVGLKIPLLIGGATTSKTHTAVKIAPRYPHPVVHCLDASKSVVVCSSLSDMTVRDAFLQDLNEDYEDVRQEHYESLKDRRFTALGKTREKKFNIDWNKFTAVKPSFVGRREYQNFDLNELIPYIDWKPFFDVWQLRGKYPNRSYPKIFHDADVGAEAKKVFDDAQKWLKKLIDEKVLTANAVVSFLPAASEGDDIHVYDPENGNKLDTFFGLRQQSGREHDQSHFCLSDFIKPLKSGKSFFLHTWQFFLIFTISGVPDDYLGLFACTAGLGAEEYCKVLEENHDDYASIMVKALADRLAEAYAEYLHKEVRTNLWGYSTNEQLTETDLLSIKYEGIRPACGYPSQPDHTEKRTLWKLLEAENNGIILTEHLAMLPAASVSGLYFANPQSQYFAVGKIDEDQVCFIEENKSIL >CRE11690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:219790:220182:1 gene:WBGene00057521 transcript:CRE11690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11690 MSKIFSCIFPYSKKMQQDDSKEDIQNTGFIQDNMNSSLCEMSYHHVDSVSKTFLQKRIQKLENEKEALVEMMIYQHENYKKSSLVQGKMFQKEKKKNNSSNYYSKLGKKSKYPTKKQEFLENTYDYIAFN >CRE11862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:213936:215206:-1 gene:WBGene00057522 transcript:CRE11862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-11 description:CRE-SRA-11 protein [Source:UniProtKB/TrEMBL;Acc:E3M451] MSEAPVCASDQQMEMYNSHIYTCALFFNMFIGFTSIILIVFAIRKLLVESIINVSTRMFLILGLLCCTLHQTAYIVLRVQVIYQIFFKLDQPCKLYYKAYDCKYVTFSLVAGNTGMIFIQSAMTIDRILVTAFTKLWPKLKYWPGVILSGFMVSQEKQQRRQISIFQIGCNYTNVQLIFWNDPLTDYVPTCGQFPPNSVARFQKFLEIALYMSIAHMIINVIILYINVVQNRRQSQTFDVNERYQSRETLKSTQAIFFLSIVPILCLPSLYNFHKTLSPKYQHSLTPLQAGLALALSLCETTPYACVAIPSLILFTLCYIRNQRHRKYKCIEITDRNWRRVYEKNTEDME >CRE11860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:207030:208871:-1 gene:WBGene00057523 transcript:CRE11860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-1 description:CRE-GLY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M448] MDVKQLKIPRKLMYSLFGTYFLLRLIFVDYSSVPERRKLEEIPLECDSVINGTENTRLISRARQWDWQFDRIEHEIFSAKNVCSVVEKYFNFSKEPMSEEEEKYPLAYGLVVYKTIVQVMMQLSLFYQPQHLFCITVDDKSPPEYKAVIESLPKCFSNMKVFIGEPSDWGSFGILKNVYTCFNWLTESKHEWKYYQYLSGTDLPIRTNLEMVRIFKAMNGSINTDVSTFEVDRYKNMEGVLPPMPIYKSSMSVLVPREAADFLIVSARVQKLLKYLSKTWIPDESFWSTVLGSPALLPVPGSFRVRDILWLRKNFQLRPAYENTVNSIGTSYIGRYQVWGWQKECFGKVKDFSCVFGVQDIEEIMTRPEIIAHKLYLEFQPAGFMCMFKVRNEGSAKDFKVVFQEVRRRSLSPDAHKFSAKSYSEMPSVELFKGKAITQLTNPHWLVRDSFYNPSLEEFDKEVL >CRE11859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:203336:204566:-1 gene:WBGene00057524 transcript:CRE11859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-10 description:CRE-SRA-10 protein [Source:UniProtKB/TrEMBL;Acc:E3M447] MESSNISKCATEDQMILQTSLLLRVNVILMTIIAIFTFILTFKALYILKQPSVVHKSTKILLYNSLFFVNIHEVIFMTIQCAAFIRSFTLSDKPCEIMRTTLECRFKNHVLIFAIAGMNFNQFGLTLDRFLGTIIPTTYSNQGYLPGVLISILVIVCSVGAPLIIAIGDPYNDIVPNCFFFPEHSAPRANVFLIVLSVLVITSILINLVIIFVNKKLEEGTRYYVSQRYIKREALHSTRIISYIAVPQFLGLALYSTIVLTLRLHKTMIPVSMYHNIVWWAYTVPLAAVSFPALLIYRVNQVGSNRKRVINRITAKVETQEEHMKSLKDLWG >CRE11688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:200090:203242:1 gene:WBGene00057525 transcript:CRE11688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-8 description:CRE-PTR-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M446] MGHKNETSRVTRIWNALSYKIGSTVARYPVLTIVLCLTLSAIMSIKLSLTEKVSAIDGYASDDSMSRHEFKTFQQFLDSDGPGITMAILVRSKKTNGSLLEEKRLKEVVKVSDFISTSFKMNVSGTEKNFNQFCRGFCQANEPVRQYYVSFHLISQLSAPEFQNGLQILGKNHTDGISKRIDLSYPTSNFFGRKFSLIVGYFPNFFGISMSSDGQHLNSSNLIVLYFRAERYPDWTTKSVKQWELRVRDHFAKEYSSDLIIVDVMSQTVVESEIVRAGLSLQPFLIVGFVIMSIFCTITTMFSAVYLYSQKATFNKVALSIIACVTPFMACGTAMGTLFFCGVTFSPIMCITPFLVLAISVDDSFLMLHAWNRLESWRSSPLDKPMREHMMGEVLVETGPAITISALTNMLAFTIGAITSPPEIRVFCYGNAAAIFMDMFYQATFYTACMTLLADTKNVDGVSEKTKRIQEKMSQVVGRFLKWYVSAISNIFVSTGIVLIWVIFIGFAVLGLTRLHVELRPSKFFLKDSPMLYMDNLRTNEVVPYYTPVHIIVNHPGDLTNDSNVERLFELKQKLEHMPNAIGAPSTKFFLDDFVQYRTSFAEEIEMDVEEDDSTSEKSDLEQFLEWPEFSFWRGFLRFDNQSHPQNVTKFMFTTGFHGQDLKDWNKRGLLLKSWRGAVEEFQEDFNATVFSEDAFFLDMIDSIPTVTWQTTLATFIFVSLVCFLFISDILTVIIVSIATLVTSVGVFGYLSLLGVTLDPVIMSIAIMCIGFSVDIPAHVAFHFYAAKAKKPSSSQPSTHQVSDITSHSTSTTSSASSSISTPNSFETNLTFALASVGFPVIQAGVSTDFCALPLGFMELYMAKMFALSLTLCVSLSLIHGLIIIPALLSVQHHILHAVKRCFSKGN >CRE11858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:197259:198236:-1 gene:WBGene00057526 transcript:CRE11858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11858 MKQFTAVSLFIIFISRINASSSCQNSSFELILIEDKRAFHTRQFHIFYDKEFKSVAQMVKCQVTGTVKAVSMEEIKSDTLKKICELNAYSSKLEDKLKKKNYMTTITNQYRYSESDVSCDALNQFNLMRTSEKKWAVLIRGYASEILLPIESVNGFLSKDSLSFAINSLFFATAYGFGDSTFKSLGGETGVKGVSDFSSFVCNIVLFQKLLLITVIVYVMAVLVAVVALVFLVALYFMKRNANIKKRESEKSKLLRNPANNNDNDTPVEIIYDTSSDNKL >CRE11857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:195032:196995:-1 gene:WBGene00057527 transcript:CRE11857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egg-3 description:CRE-EGG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M444] MDTSGAHLLPLSNLSRSNSIEFKDAVINEKWVHSANRRKGHLTPKIPEKKRGWFGGGKSEEELLMERVEQHELEELQTFIAQKLFRVDGILCDEQTRIMLVEKLMNAKEYPTIAQEELVHRYGSSMAGWLRDRLVPTMSDCSSVLQRAASEFYKDKMSDPLCNWGQLNPEHVSMVASRIAKFTEEMSSKVKWSLLVEPGKFSCHLTEFVQEFNRLDRMFVNNELSDDESAQSSFNANYLTKARSKMVPCTEYSRVKLNDGLGQLDDRNALRNGMFSDEHEFLEEEGCTLKSTYGTTDFIHANYVRGGPLLNTFICAQAPLKNTQEDFWRMVFQEKCQFIVMLNSAVDSSTLGPLESANRSYCPYYWPRAEKQFLQFGCFRVTCVSVDSKADPLFTITKLKVQKVGGNLADDECDDELVLEHWQWDWQYLGDVHWPFRVLRKARQLSTPTIVQCIDGCSKAGTLVAIETTLMHFIRGSPITKSLILQSCVFVRLQRRLSVSSALLYLFIYRVVLHWIEPYVSKWYERAALGIRFKSIGFIQKYTGMIQEFSRITPAY >CRE11687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:193622:194915:1 gene:WBGene00057528 transcript:CRE11687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11687 MLYFVSISLDMNIFQSIYWQFCLDFPQYFYSKQLFLSVHIIIPNPSKYYFLFPMNFVCENLVLFIMISMDTMHYHHLIYLFCAHFISKHVLSQNVMLENADKWRESVILLELLLRPLRNFRMESNIEREAEKERKRKYEGGERRSRHTDSSIKRPGWRILSESASLFDLFQFSSVVKPLNNFQKTPYLNMPTYKLTYFDVRGYAEPARILFHLAGVPFEDKRITHGDGTWEKLKDKTPFGQVPVLSVDGFDIPQSAAIVRYLAIKFGFAGKTPEEQAWADAIVDQFKDFMASFRQLIMAQRGGKSAEEIAKISSEVAIPARDSYFKIINGLLEKSKSGYLVGNSLTFADLVVVENITTLEKNQFFTASEHPKLVALREKVYAIPAIKNWVATRPDTQF >CRE11685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:187829:191751:1 gene:WBGene00057529 transcript:CRE11685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11685 MADVLGGVSNEVLEKFGETILGKVADLIRDVGVDRKKKEKDVEEEKPPKVNSKGIQKNLDFNVKLRNILTKAVALGELDEAVKEVLELLKTRNGELMLLDADPSLLQTKEKLDALKAITGGTSEGSTNQSDMTSLLLLSNMSGGSNRNGGLHMESKRRRLEPYPGRQQWFRSESAFRGHGSARQNGGQGYGNSRDVKRSVKCFKCSQFGHYATDCMSFPERLSEAIEFWGNICSSEWVLSVIEDGYIIQLDSRVTLPEPQGLRPSVLRHKDFLFAEIERLEEEGVLERSDRLPRAVSPLHVVEQGKKKRMILDLSELNKSLVPPRFKLENMKTAWPFLENANFAATFDFKSGYHHIKIHRDSRDLLSFSLSNPPAAPYFFFKGLPFGLATAPWLFTKIFKVLVRKWRAEGIKMFLYLDDGLIVGETEYEVARASRRVRGDLAEAGVCVAEEKSFWVPDAKFTWLGYECDLVAREVRGTEKRMATWQSVLDELRRSVAPSVLDRMKFLGCLASFELVAGDVGVGRARWLMQTVGESQKKMESKNTRKEKSPGEIREIEFWKVHGEELLKRSLLEIEPCFDFLLFTDASARGVGGLLKDKKGCVLWKMSEIGDSNFEEQSSAWRELTAVDVASARLIGQVRGSIQVLVDSQAAVSVLRRGSMKPELHALAERVWKNFESIGGCSFLWIPREQNVEADEASRNFDFDDWGIADRVFKQAQRLWGEIKVDWFADAQNKKTERFFSRYPEFGSSGVNVFEHIPRAERMGLAWWVPPPVMIPQLLKIAKSRGLKGVLVAPLWKSHPSYQALVDSSGRFVRYVRDYIIYEKNDNIFIPGEGSKYCEATDSKFSVPFDSKAMSTAKAYKEENEKRNLWIAQRNLPVDESSLLLYLVDKAKRIGSSALTRISAAYQTANESLSTIGSSFVSDLIRSKRREEIQSRKKMVEVTVEDVSKIVELAMKEDSPAKDRDALLAVLSFNVMLRASEAAEIKWSGVKQKDGMMEVFVEKAKNDQLGLGRHSYFNYEPGSDTDILMCRWRLRTKGKCPYVFSNLDGSVKLSAQSISALSTKMLRAIGKPGATHHCFRRGGANHMRASGHSMEEIQTRGRWRSLVGLQRYIKDVPRAQGCSHPQEMLEDQVEDDEEFEYNK >CRE11856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:167464:170543:-1 gene:WBGene00057530 transcript:CRE11856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spsb-1 description:CRE-SPSB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M440] MNRKTLINDSNEVSRKLNSLRSGRKECLSLIQSSSYKNNTPSSSSYQRSSPYTRKRCQQENLPIRDQKRRKSLDLMAASLSSSMYTSPTTLSSTSSSSSSTSSLSSYTRSTIPIITPDLVEQLSREIIYSVFHAAAAISTNAEEYTYNVSNMLLQYMNDVSPSSEACSTSSSAYHRATNSSANSTASSAAGSHHHSGLTGSSRPVTQTRERKSYTTHNGRSAPMRSLSPAHPSAVMSGMDDLLRPERFDRIMHMPPPAQYIQEQHSWNPEDRSLNIFVKDEDKFTFHRHPVAQSTDCIRGKIGYSRGFHVWQIEWPERQRGTHAVVGVATKTAPLHATGYTALIGTTNESYGWDITRRECHHDSKNTMTWRYPFSNSRDVYHVPDKFYCILDMDEGYMAFATDEEYLGVAFRNLKGKTLYPIVAAVWGHCEISMRYLGSLERELISFDSPPSTSRRLPMRSSLSHHRRPSPPRPLFHSPAYKPPPRTRYPSNSPAALRAADAQSPVLPFSPFSDLAKIFSFYDLQAGGASSSSSSYSLFEPPAEWQKFDDECSNESPRRDPSFDGSIVLDETPCASSYQSLYLPAPPSVRAPSELFGPPLTPGDSQMQADGSELGTSIL >CRE11855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:160394:164957:-1 gene:WBGene00057531 transcript:CRE11855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11855 MGGGKFRHRTSNKGAAKFNSGSACESNPTRSKHRSAATAARNASFGGAGMLAVEDRPMNLAADDMLSALPIHSMDIGSSKSMVSEGGISKLSGFTACTNPAFDSVNRVWKSGSSIQAEVVSVLAAIAEVIKERDGKETDVEYCAALMTALEGSSLGNPRRTAAIAYLLHLIVRKVPKEVLQAQFHRFVQILYTKLLENVDSTEGSALKNLISVLGIILRAQQASVWASANTRNMLVSVAALAAHDKPWVRTMARRVIRAVLTDPVTATDNGLHPASGAVGQLILNHIENFLGRSNGDNTNVVRYLCLLEGVMHKMPANLFKKMAESMLKCFAISDSMVKCSSLQCLHRALQRQPCDSALPTETNALLLTALRQLGSSVTDVTVTAYWMQALAEAHVCLTAKDSKKSVQQAFQTLPLFVKIFESGNEQLAQVTYQSLTRVIENSIQDDSDCGKFLLAQLHAAMTMKSASVWKFILRAQMKLYETCGDGLQGSELTKVLEDLARLRQSDDCFCKTELDFTIGAAVRHIGVEHVMKVLSLDVDPDAAILSTDFTRSWLLPVLRVNIHNAPISLFISHFLPIAMKIHRRLPTLQAQVQRLYSTFQFQLWELLPSFCESPADLETSFPDIAPILGAALNERKDLRMTVLNAIRRALRFSLEPDAPPERMEVMSRYAKNFMPIFFNMYTGSITDGGYDDKGVRLSVLEAIRLYTEVTPADLVTRYVDSAIAKSKESEESSSATTASQKQARVLDILCALAKVAENDNVSKILDTILPWFNSIDVNGVQKKAYRILEEVIQRRSNPEIEHLLETRNDYVENALFKPIASISFPARASYCSCVHMLFDGCRSTKEVTDLTEKLIVNIIMLLDKDNNVHTRTNSSKCIQFVCSKILDMVEDPTIDTPSAALEPVLSKLYELTTLTSSQKTTGDIALNVARSCLVAANIIAQKQIKILNTIATSKMISFACSWIGEGRAAVRILAIRLLRVLCQKIPEVMLQQFREQILNTVFEGQLTSDLTIKVRKANRLLLEVLVEKFGVDVLQKYTDKPDWLKQMKNIEKLRKRKIRKAASGEGMEQDGDDDDGVSAISGSNVSGRTAGADTILELLEDSDADNESDSEEQLMKKSRVGSVWLKNEGDGDVPMDLLDSSRMMDQITTMNPALLEKRKKKALEKRQKVEAGFQFSKDGKMIVLGDDEEDEDKKQIGKRKMRSGFDGLGDDEDEGARGNKRVKENESDSEDGIMSDDDEDDARDAKTYISKAPSYGGKGIYRWVVSQTKFAKNRFYRDTSGKSQVGSSIGVKKHQRQKSDQQKQKPKQKGVQPYAYVPLRNKTVKQDVRQILKAKRKSGKGKKVTF >CRE11684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:157804:159951:1 gene:WBGene00057532 transcript:CRE11684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tig-3 description:CRE-TIG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M438] MTVERQKRQVLNALGLSSRPPEFFQRPIIPYSFRSAPKHDIYGGVLEKITIEPIQTSWSCTTPGAIVLDCFQYFLKSINSEIVSASIVFNLKSSNISVIIYEVDELFGDLQYIHRLEIKEILENNSIDISSSIQGWVKKRQIRKMIKIEIVNSVTLNVVDLQDILNSPPHFEVETFQPNTVFSGKSDCIGCCIVPFYVNFTEIGWNDWILSPSGFYANYCSGSCSNELDENYQMMKLSSKDQSMIPEPSCAPNYYGSIDMIVALTFQDIRKTRIHGMRALSCSCT >CRE11854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:144661:146803:-1 gene:WBGene00057533 transcript:CRE11854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11854 MTVASYSMVLCGSSDDHRYRGRIEKVKFGVPINEAFAHDIPATLLMLLLKVNKDGPAKKDIWRAPGNQAQVRKLSQVMQHGRLVNIENFTVYTAASVIKKFLSKLPGGIFGRDNEETLFNSASTGMDIEKQRQVFYRIFGSLPVASQHLLVLLFGTFRVVADSSDGHSTAMNPNAIAISVAPSLFHTCIHDGRTARVEDLQRFKLASNIVCSIICSFGDTKLFPRECYEYYARYTGRTLRIDENRMFTFHNPSNRRARGEEFSALAAKCAGAYSLAALHLAEETSPEPSLAPSTSKPPRGNGVGRTGSLKQHALTQTTDHPKRSVSIATKEPYPTDLRTSVSCDF >CRE11853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:142421:143777:-1 gene:WBGene00057534 transcript:CRE11853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11853 MSVPTIQENEPIRNRDQPSTSRISTKPMPTMARLNNRLSSSVGEVLLEATSDIEELSDLDIIRPLTACGGDRSLSYLQYVHENQARRMKSRSEWFLSPVASAKKTSSKSVDYFGSVAIEDKPSPLPMPKPARAPLQTSSKSNISNISDESVPTRRRSLKMQMRATAFAANPSHSLDYQEVGASNPRLRSHTSVEDDSWLTEVVPHDEIPKKRRSLKKKTSTQF >CRE11683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:141139:142382:1 gene:WBGene00057535 transcript:CRE11683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11683 MSQNESGISEVTVEVPMNIALVKYWGKRDEKLILPLNDSISLTVDKLTARTTIRMIQGVGKHTVEINDEKVELSSNKRYQTVFDEALRLQRKRKEDSNGNENRSVSHHFEVISTTNFPVAAGLASSAAGFAAIALAIQQLLNLDDIQANRLARIGSGSACRSMSGGLVHWKKGEKDDGSDCVAVKTKDDNWTDLYCVILVFNDGRKKVGSSEGMRWTRETSTLLKHRIEKVVPERIDLIKKAYATRNFEDLARVIMADSNQFHAVCLDTIPPIRYLNESSWQLIETVEKFNQPEIKAAYTFDAGPNACVIVQKKDVSKFINALIRDIQINTDDLETLPEEFRSIEYQKDDRTENNFVCSKLVVSSMGSGPEICTPSKNLELI >CRE11682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:138558:140795:1 gene:WBGene00057536 transcript:CRE11682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-men-1 description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:E3M434] MLNRFSPASIRRISTTARCCSDKNEPDLSDPKTLALHKLYRPERITPSKRGIDLLKQPGLNKGMAFSLHERQYLGIHGLLPPAFMTEEQQAYRIITKLRQQPDNLAKYIQLDSLQDRNEKLYYRVLCDNVKELMPIVYTPTVGQACQHFGFIYRNPKGLYVTINDNSISKIYQILANWHTENVRAIVITDGERILGLGDLGTYGIGIPVGKLALYVALAGIRPEWCLPVILDVGTDNTELLNDPFYTGLRRKRVRGPEYDSLVDNFMKAATKRFGRDTLIQFEDFGNQNAYRLLDRYKGEYCMFNDDIQGTAAVVVAGLLASTRVTKKKLSQEKIVFLGAGGAATGVAEMCVRQMMDEGLTEEEACGRIYMVDIEGLITTSRIKSLGERHVKFAKDLPDTKNLLEVVRTVKPGALIGASTVAGAFTEEIIREMAKNNPRPIIFALSNPTSKAECTAETAYRCTNGAVLFASGSPFENVELNGKLYKPGQGNNAYIFPGVALGAVLFAVKNIPDKLFLLAARMVAEAVSEKSLYTYSRVYPRLKDIRELSVKIAVEVGEYCYRHDLATLHPKPDDMEMFIRQNLYSVEYDELINKTYEWPAKDSKHGFPVPVLRRTSMDEE >CRE11681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:134070:137047:1 gene:WBGene00057537 transcript:CRE11681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jmjd-2 description:CRE-JMJD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M433] MQSVETEHHNCSMPDEPSTSSGPLTPGDVYFSPSPSHEDDDFDTIFTDGRTPVAISPNHLKNHPLHKPTGTSEVLTFYPTMEEFRDFSRYIRVIEQKGAHLNAGIAKIVAPEGWTPRPSKKDFSDVDNYEITQPARETIEAMEKPGAFFKRNVTCRRKMPAREFRDLALSAQYRNPKPNLEGIDIEKHYFQNILEGEPIYGADTEGSFYDKETNEFNMNRLGTLLDNTNLKIKGVNTVYLYFGMYKTTFPWHAEDMDLYSINFLHFGAPKYWFAISSEHADRFERFMSQQFPYHNEAHCKAFLRHKTFIVTPELLRSANIPYATMIQRPNEFIITFPRGYHMGFNLDYNLAESTNFATQRWIDYGKDAVLCGCSKDSVKIDMAPFMEMYRPDEHSAWITYWYGDERSEWVPKKKETNKKRQRAIPCVTPAKRARLAASDGSCSDGSSGCETEESSFMRSLPGYSMSNYQLRSDSDGMLRKYKTNTKELRSDRIDFFKERQYNSGRTPEWPHCSVCQYFQPLHMMAINETVPHSSRRLIPTSCFSKTSEEDEKNEVTFDRLLQCSNCEVTVHSKCCSGDQPNSDEKWRCPRCRNKTDVEIRTASCQLCQLRGGALIPCQIGKDSTWVHVICALFNRRAVFNNPDGPSACFVEPSPRQQSETSSMPPLPEEYRSEYGDMFEHSRWECVVCHRTDVGLIPCAHCIEEDKPVVPALAHITCARRVGFVCEVRDFSRGAVMICQKHEHSYLINKSLQDYTNIKVGDNVYVEEEQCSSGTKHFLSGKILRVDKKATVVVDFLDNSCSRDNLVEDIQSCECLYCENGDHQYGARVKVVWDDKKVYDAYFRGKGVMSEYTIKLDDGPEVKCPRNKIKSKKEYKNSNKT >CRE11852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:128362:129086:-1 gene:WBGene00057538 transcript:CRE11852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmg-1.1 description:CRE-HMG-1.1 protein [Source:UniProtKB/TrEMBL;Acc:E3M432] MAKAAAGKKASPVKKVKKGGKAKDPNAPKRAMSAFFFWMQENRERIKKPGMGVADVAKAAGVEWGKLTDKSRWEKKAADDKKRYESEIATYKKNH >CRE11851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:124901:125821:-1 gene:WBGene00057539 transcript:CRE11851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11851 MVIKFTSNSVSPPVTIYMGVDKVENEDLIKYGWPEDVWFHVDKLSSAHVYLRLTPGMTIDSIPEALLEDCCQLVKQNSIEGCKLNNVAIVYTMWSNLKKTGDMAVGQVGFHSHKQVKHTVVEKKINEIVNRLEKTRWKGDIDYKQERDARDAKERQKLKKLEQERKDREKKEMLAKNEQKKIQNYEDVDWDAGTTRNDEDAGNLSDDFM >CRE11680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:122507:124559:1 gene:WBGene00057540 transcript:CRE11680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11680 MRIPIASHLLATVLFCNTIADISGTVQSDPCLDGVKLDTSSLYLPSAYANMTPPWQRADGSMAQVTRSTGFSFQWTWMKSLRVKGLNIMVEDLMAFLFDSECLFIPIGPAVRVAILGQRPVYLSGEVSCELHDLYEKCVSKYGASVCSLYPMEDDGWGAYRLEIGDASSNHSTEKMKAEPIVLHEWRSILGKAPEKWRFTVDTMAMYDDLAGNMFVIDPLGKGYINSCEKELVPASDDWKHWSQEQSLKIMGQVVEKKASVNCLIYRFYELRTEGFSAKTDSLSKFIKKEINGIDKRVAQKFYCENILSGVANLEGSTPVCHTKYPNKEAADKIADVRKIMIHELGDVWSSSIGKAADELEAVFCTDRQFVEIRSRLLHKVPSRHDDQWVPEEPKIIEDGRPMINDSSQIHARPILHMAAEPPILPPDYPNSPHAQPNEHLEIVTPTPLLVEGGGMDPIAIQVNTNRVLDPIDDDSEDQEEYIEATRQEIGTPAEPDIGIDYSHHNSANNNIPVMRFDKVEESVLSDVSASAMEVASSDSTSSRTGINLLTTFSIIFSFLLYIC >CRE11850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:117997:119745:-1 gene:WBGene00057541 transcript:CRE11850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11850 MQLKLIQKPVGMLKIIILNDEIGLFPEPEPLRTIESTIAERLENESFNWMKPIERNLYKVPDEQKHLSEEQLKELYSNGGVMNVYPFREDSEVDIPPPVNSFKQAFGNNPAIMAEIEKNGFEKPSPIQSQMWPILLCGKDCIGVSQTGSGKTLAFLLPAFLHIDAQLAQYEKNEKKPSPFVLVLSPTRELAQQIEGEVQKYSYNGYKSVCLYGGGSRSEQVQSCKGGVEIVIATPGRLTDLSNDGVISLASVTYVVLDEADRMLDMGFEAAIRRILFEIRPDRLVALTSATWPEGVRNLTDRYTKQAIMAVNGSLDLTSCKSVTQHFEFIPHENRFERVCEIVNFLNNAHGNSYKMIIFVKSKVMADHLSSEFCMKGINSQGLHGGRSQSDRELSLKMLRTGEVQILVATDLASRGIDVPDITHVLNYDFPMDIEEYVHRVGRTGRAGRKGESMSFMWWNDRSNFDGLISILEKSGQEVPEQLKRDAARYRQKCQDGRDGPRPSFRNRNNKESSFQSSY >CRE11849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:116439:117721:-1 gene:WBGene00057542 transcript:CRE11849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gln-2 description:CRE-GLN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M428] MTHLNYETRMPLGQAVIDQFLGLRPHPTKIQATYVWIDGTGENLRSKTRTFDRLPKKIEDYPIWNYDGSSTGQAKGRDSDRYLRPVAAYPDPFLGGANKLVMCDTLDHEMQPTATNHRQTCAEIMHEVRETRPWFGMEQEYLIVDRDEHPLGWPKHGFPAPQGKYYCSVGADRAFGREVVETHYRACLHAGLNIFGTNAEVTPGQWEFQIGTCEGIDMGDQLWISRYILHRVAEQFGVCVSLDPKPKVTMGDWNGAGCHTNFSTAEMRAPGGIKAIEAAMEGLKRTHLEAMKVYDPHGGEDNLRRLTGRHETSSADQFSWGVANRGCSIRIPRQVAAEKKGYLEDRRPSSNCDPYQVTAMIAQSILLL >CRE11679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:114298:116115:1 gene:WBGene00057543 transcript:CRE11679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-10 description:CRE-TAG-10 protein [Source:UniProtKB/TrEMBL;Acc:E3M427] MLRLILFIALVGSTVFCSREKDFRIRTNRIWEEEKEEKPFSGRWQDLETDPYDNVVHFDGETHLANVKQLTFEGMNAEGYFSFDNSKLTLQATGYGTDCDQIYELDLNIDPKLQTLRRISTGLGGTTCSYFYKEEDNNHRLYAGNWWNVKIDNHTDVSKTCPQKQCANPQTYELKQLCNTSYTWDIFDDYDIFKVLLNHSFIYIHFDSRFQVDRYGNFVQRLTDTPGYDAEGVVSPDGTKIAFTSIRSGDLELYVMNTDGSNLTQVTNELGYDGGSFFTPDGKRLIFRASRPKTPEDIAKYKKLLSYNLVEPIAMELFIVDIDGKNMRQITHLGEANWAPYMLNDNKRIVFSSNYNAAANGFGAFSIFMINDDGTGLEQITFGKDQFNSFAMQSYDGKKLVWGSSRNGTNYALNLFIADWIDHPGTTTNTVMKDTTTTDATKSTDKTSTNKNFSTGDKTTSTTTLTDTKPSSDTTTSIPSTSTQKTESKLFDSLNT >CRE11847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:111073:111766:-1 gene:WBGene00057544 transcript:CRE11847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-15 description:CRE-GST-15 protein [Source:UniProtKB/TrEMBL;Acc:E3M425] MPEYKLTYFDLRGWAEPARQLFHLSHTPYDDVRIPMADTESSWENLKSMTPFGQLPVLNVDGFDIPQSSAICRYLARKFGYAGKTPEEEAWADAIVDQYKDFSVAFKTLLFAARAGKPQEEILKIRYEIFNPARDAYFCHLNAILKKNKSGYLVGDGLTWADLVVADNLHSLEKFKALDDDNIGHQNLKKYVEKIYSTPDLEDHIATRMDTEV >CRE11845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:108842:109513:-1 gene:WBGene00057545 transcript:CRE11845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-20 description:CRE-GST-20 protein [Source:UniProtKB/TrEMBL;Acc:E3M423] MAEYKFYYFNGRGLGDVSRQVFLLSSISSNHKLFQLFALSGTKFEDVRIEQADWPAQKAKMPFGQMPVLELKSSGLQIPQSMAIARYLANKFGYAGKTPEEAALADALIDQFKDFYTEIKPYYYGKLGAMQTDTEAEKTKTLIPARDKFLAILVKFLKSSNSGFLFSGGLTYADLMICDNMRSLIGWWPEYLNGFPEIKAWYEKVDSIPEIRKHLNSHEDKGF >CRE11678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:107715:108596:1 gene:WBGene00057546 transcript:CRE11678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csc-1 description:CRE-CSC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M422] MPPRKIKKDPEVTKIVDAIEEPLRELIDDLKRKLYKAAKDTARAETTRILSKIPKEYHDMPINEFLQSSPAGLLEALKGLEIQEDSDTEVESEQRGTENIENQDEMEMDDAAHETSIPIAHSGQNSGRNTATEPHRNEIITPAGHVFPIPVLHPHKPFRNPHAHEEIAFSVNGSPLVLAANSTNKKKPVRSTTKKGIAESGLLVPKDEDLGTSV >CRE11844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:106224:107248:-1 gene:WBGene00057547 transcript:CRE11844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11844 MNFDCWKDVFQHISLEKRSQLSRMNPQFRNLHNRLKMNFESFVISDGSPKSQVIIDGKYIWNIQEDSPESSVVWREREQVCDKEYFYLSRYELLRKLVEFYLNRSGTAIRYLDITNHPYGLELCEPLKVHHLKWKLSICSSRYDYTTWFNCLRFHPIEKVEIDLDGEDNTVLSEDVISSAWELCIKTRIPLPIQAIMSFKSKVLSVNQEISSEEVLKLCERWIYEKRTIGTRITFEKSENEDLESIGSILKEKYLVNQKTSKYGGIVLEIQQNNVKLQIYEIETVKFSIEVIE >CRE11843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:100407:101015:-1 gene:WBGene00057548 transcript:CRE11843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11843 MSPNPFLREEVEDRNTFYLGICILFGWMAFVLICARPTLFRDYLKKWFKPDGDICTEKIRKAEARLQETLRLAQKPSNFLVDQAAVMSAMATRPDKNETRITLGLVEEEDEEDIS >CRE11677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:96731:99882:1 gene:WBGene00057549 transcript:CRE11677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mcd-1 description:CRE-MCD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M419] MEDPPGEERSEFLQPVEEHVVDNDIVEQEVHEEVAHEDDMLQVEHEEVLEEIVEEDGLMYDTDGRVVYEEDMVVYEEGSEVIEEYVEVEDLGNGRFAYVMTDEHGNRRLLKPDEVEAVKKTVPELMEEVIVDPKAPGPSSSGGYANHKRGRFPPKPKQIRPNIRGHIYMDRDEYFQESSSKDINDITKIDVEKYIPSTTANRRPLPDNPAFKPKQPRSRKNPPWQDESRALSGGPAASVVGHSPTPMIKEPIFPAVEEDVIFRFKCPECEEAFPNMDRHCDHMVRNHDCQTLVREVDFFTDREFENFLTKVEKATLGKETDDSLSKKSRPGSTQVFVCNYMTKDRQKSAELVEVGLSALAERPIEVCTAFVHKIHSYECIRVRYCDQHIHYDGNIGFRVPIAVKRRLFEMSFKRIPIPCMQVMLSLEAEQLLPHPTRFEEKLKNLSHVEVVELLGIINASLSKNRDPATTGKKVPIKFGEYYFLADYMLRGRCFSDTIKSSEGARTLVVKRMDSVKKRVQRHLSNEPSTSAEALGFPVDDQGVPIMTREEEILEDEDPTATEGCDGVMLENYLYDPLNDRDPLLEELTETELGVLDAYERDISIQLTEEQRKERIRQRTKYSLSKVVGKYQALDTATHGLTASELHTDTINQLREMASFVVELCCQLDAEVKAQYNPELRVEEIKRDMIAGIALQERCCQPERRQRRTLGSNQTPSPLKTHYRSLPPSRSHEEYTQRIMSKVKELQAPHNRHLTNIRDPFDMNQQQRLQLLRNRTLGNRTGSVEPEIEEKPSLMVPIPDDLKAVPWSKPQRKRHAADSNPAEKRKRGRPTKKGETTETVQKDAESTSDVTQETLSEKESEQAVEIAESIDAVVQSTSSSESTETATEKAVEEKSPENKKEHVETEDSADVRDEPSTSIAPETPPTKTRTGRVIKPKKWEDD >CRE11676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:94468:96198:1 gene:WBGene00057550 transcript:CRE11676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hda-10 description:CRE-HDA-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M418] MMSTNRVFLIMDEQEEKHDQPWSSYHIETSKRLEVIQERLQTTGLYADLRIEKLSRREASEEEIRGVHTERYVKDVKKTETMTKQQQEQFCTKYEDIFVNSATWKRAKLAAGSAIDLVTAVTSHKMPGIAFIRPPGHHAMPDEGCGFCIFNNVAIAAKETLRNGTAKRVMIVDYDVHAANGTQECIESMGENVRLISIHRYENGTFWPNMPQTGIYHNYNNTMNLPLNTTALNDSDYLALFTYIILPSINSYKPDVIIVSSGFDASLGDPEGYMNVTPAGFATMIRLLMNTGIPVAAILEGGYFLDALAADSEWVLRAMLGEPIPQIKLEIINPSIADTVARILSHYQHTSSFFQKLQELRSILGHKLIREEKQDLNDYKGHRTVHPPYDTRGIYTPFSEEKVNSYKRELESLLQSYQVIEETFDTDSYFIRSESKNVIENNSFFIQNGKLSIGPGKVAKLFFELAFLSSVTPHITLSSFLDVDDKDFSSIRFGDGFADFKDIIDFQKSPILQL >CRE11675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:91687:94243:1 gene:WBGene00057551 transcript:CRE11675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ing-3 description:Inhibitor of growth protein [Source:UniProtKB/TrEMBL;Acc:E3M417] MLFLDDFLEMLDELPAELKERSEEIRRIDAEVESRLNRNRQAINDFFEQSGVNMSEEHRKERFKVFQDEFATIRLLAQKKLFIAEKMQELLKKYQVHLEKEKTNFQCEMEADNSGVTEMIEKRYTHHIESVLTARKERKRRHRVGSSRASTIASGPLLSKESNDKIQRILQEGMRLRMDLSDDSAHSALSSAIPSPAPRGRPPKISRDHLMYSSAMITSDDCLSPVPTPTSRRRSNTNALRGTVSIPNSLSSMNRGESTGRFSPNPSERSWSNAGIDESPTPTGALITPTFSSGPHTIGPNFSTPQSSQVLQNSAFVVSESRHGRTRKLTSRVQEMFKETLQRQRNHGNSIIALQERMSAANLAAQQVSTTSPLASESPTPRADSTRNGSPIDEEDEDDDDEEVSSSYSIRRGSSFMQPGDNTTPGSDDEDEEDARNWCFCNEKSYGEMVRCDNIECTLRWFHYPCIGMVEPPTGKWFCPRCIVTIEMAQKMVEEGDDA >CRE11674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:90543:91540:1 gene:WBGene00057552 transcript:CRE11674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11674 MLAPRLQKAINASRSFHLARVMSMRGPLTFDGWYPRDHKPSAPPTNEEERRAAAVKYGLRPEDYHSMDKDDVIKFAGDYPDLGVVTYDHKDPYEAWTDRQNRRNWGELVPIDMMRYRGDRLTFTGLEAEDYTTWGSIVMCLRVLVPMALIAWYFCNDHPNALRWRNPAMPKQYPYDFYRAYPFDDPRKYPIVNYSFDLE >CRE11842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:86919:89469:-1 gene:WBGene00057553 transcript:CRE11842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11842 MFLNIVLFLRVRNVPFCSDLKNHLIKHSTSMTPAPAPTPAQKQRQEKIRLDLQKELDNVVKAAIASPHSKRENVPSEITQNLCNSIEAIFIHGLRDPFFLKGTRYAKYPEPNFWPFVSKFSHRSITNQISCLGQVRTEIGKSRAWIRIVLNENALSQYLDLLATEITAIQQFYSDEAFLRLLTNSEHSERIRGLLKPLTSLPISAATNSSFLNTWTPTPLILAGLMTGEPLKVGVLKARPNPKPAHLTEEIAIPAIDALVSEEDQEIGSPAYVERQRKRTSSRPIKRPDNDDHSECSSVYSHPSMLDSGEMSYQHAVVGSLKKNPSSSGGMRRVSSNQRIQLPQSPLFSSTPVDTTILDQVKITKRSSDFVQVVSDVASNAPLGSKPLIISRRIRRPSKQKSNSRSSSEASREEYQRTKAISTRESRANSDLPSTIFGTVPNDVAFSPEVCEGEIKSKPISVTIDSGIAEMASSSAGGTRKTSEIEPDTLEERTGIEERSLSFIEALHELASTVEEEVPAPRSISLTDDVISQKQEEEETPIELENSVIEDDREVFDVSMDEEEGTSVVAAQPSDPVVTRSKPVTIQGGSRRQLIHRTQQKAGRVTFSRYLI >CRE11841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:84069:86396:-1 gene:WBGene00057554 transcript:CRE11841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11841 MRIRTTSLLSSLYTSDPDISADSNKNMTQIASKVVATTSLFDTMTPDEEEEDAFERIRSFSKRLSSSIGSTGRRSSSLSDMVSEVNLVRDSFFRKFVNSTIKIASILRKDEKTSAKQLFCDVADSILGTSLRDELLEALPCTDNDTFEVYYFRLQFSNLMFLQHGVPIYVQSPGLMGNSLAAIGARHQMWESNSDHLSSSSDSGGPVVSFGQALRSAMETRGGNDDSHVMTESLGTSSQDLADNDEGIDDVNSTDESRKSSVSSEIAEKLCRIPREKGLDSQDFRCAMCRKTIGGSFFSKFETCAIDSKYYCPECMKTGGKVSIPARVVMDWDWRERAVSDRGRAWYEANQEKALINIKTSNPRLYSHVPALEETRKLREKLHLVSMYLFTCRESVAEDFRRRLWPKEYLRSDIDLYSFADLMDVKSGSLQRRLKNLLKHSMNHVMACTLCKQKGFCCELCSVNEVIYPFNTESTHRCRVCFSVFHNECWRTSGECPKCIRRQNFEARRAQIDDPHNTLLVLQP >CRE11840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:81647:82584:-1 gene:WBGene00057555 transcript:CRE11840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11840 MNLYILESSIQMKSSRHLLLFSLLSIFPISILSQNGIEDDVVEFNGIDPGITSTSTIKPPPDPFGDLINEQSLDEKLDELDAESQEIVIDYVRNGRKLYGDLRRRLILYVMKFSPVQTAVEVSGNEIQIQKIEKIKYRFRTGLKIKYSSLKSRIDRLVAHLLAPIFAPFMLLQPEEIRPLFAAQQPIQKTPVTVPQQEMNQAQSSFTALEIFPSSLPQDKWAQFDQRWMNPQPNQFAVPSFTPFYQPFQYYRPY >CRE11839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:76521:78262:-1 gene:WBGene00057556 transcript:CRE11839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11839 MANLFRNLFGHKKSHSKGDEENGNDQMTMERDSSRRSVRPPSSSSRSALRGARFQDDSMLPPTAAYHTQSTAKVRRGPKSCPPECVDEEPASSRSFNVDSFRREPRDQRRRNNNSQQMIPSSSMMMSSSSSHRVAPHYQQRWETSEYGSENTSPIGYHRHQQQHHHHRRHQEEEEDDEEEETEDEVYKKSMRYQELKRKIRAHYEERLFNYERGQRNDHRQIKELEKEKDDLVKMLLQSNAKLEKEKKKTEYFKRKWQEAEQQIKIQPNNSFGQFGFQMTPQFPQMYQFGPNNGGGSSQRYSFMGAPMHPISSSNTPTTSGMDTTAGGAGESLVPASDVSFLAPLASVTAALPSLDISSPAGPSTSNTAISHQQPSTSSFFQDDDEDQDETKNFRDEDIEVPRGSTNTLKNDDLIDSMDFLNDSHLLPLDEGKDSGFSTTPDDDKKPEKTTT >CRE11673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:75664:76152:1 gene:WBGene00057557 transcript:CRE11673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11673 MTITGKDIEMDLNDRIELSREEQDIQNSSSSYPHCESFDHIVTMESTYDFHRQMGYEDLKRHRDDYDNASEKILKLRKQLTESSLDSKKRKWIEDDLDSVVRKQESALARIKLAEKLTRRDMRNDPPPAYQPDDPLKDLRRNSDGFDKKVPKNEKNGEVKFK >CRE11672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:59790:73927:1 gene:WBGene00057558 transcript:CRE11672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11672 MPDAYIQSSTMTMNARRQYRSVAFGTWKVGGARNMTERRSEEPLSRLKCSSPELHHLSTAASSAVSPITVSSTLGPSGLLSVDKRHSRLGESSPNLSRIHHTHTQLSLGLPNKMNQTNDFFSSTEYCQYISSRDDIDSFNGNSNGKSSEPIQAAFLRKSRKDGGGLLHLDEVSTSHHHHQQSKSARNLKYSQSSRRKYEQTNSGSSTDDSDRGSGSSAHHRSHSAGPHTTYSTTSTHAISTTEMNRSGVMPRGSAGSNGESNTTFLRATKRFFKKIYTSATLPKKQNSTSMDNFQKSSVFFDDENHQMHLNHLGRIQKKAPLSTDENDYSNHLDDDYDDETMRSENATMDISYPDSGFEMDRASTPEQSSVSMTSTSKSGDEPVNFNNLFEQLKREMKEMRERDAQILADLQRVETQIQTVKQAQILASLQDEFEPVESMQL >CRE11671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:51111:52665:1 gene:WBGene00057559 transcript:CRE11671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11671 MPETELHVEFDQPQEVFFPGQAISGRVVLLTEDKYKARAVNIQFEGIAHTNWDDHESVRRVDADGKTHYVQQRVHYSANVNYLEHKSVLWACRDGSNELAPGKYVWPFSYNLPIDIPPSFEGKYGYVRYSVTAEVDRPWRFDKAKKLCITVSPLLDLNIIPHAMTPLQDQASENLGCCCFKKGYLEIRVDIPKTGFVPGETVPINLEILNHSSVPVTEVKVKIIQQCTFVAFRNGSNFNFIGDSVMAVSQRETKHDTNTVIKQSQALTVEPGKEHRLALELRLPSVTPTINQFSPIITVEYLVQVSVDTSSTFGSDIDCEMSILIGTVPIRQYLPPAYYPPNPAFPPAIPTPMPTQIGSGVVVPPPAYGFVPAPADIGTGVVPPYPEGAQPYPTKGDTVVVPSAPPLSYQDSMYGTDGTQLNTDENQKPFVPKYPVFNNLPIYNPSAPPQE >CRE11670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:47052:48432:1 gene:WBGene00057560 transcript:CRE11670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11670 MRTFTNIHFEGIAHTYWDDDSSVRRTEVAGQKIYYNQRVHYSADVNYLEHKLLLWSSGDGSNELAPGKYEWPFSYLLPNDIPPSFEGKYGYVRYSVTAEVDRPWRFDKAKKLCITGYGRSHLSDSVTHRIEKQETKQDTNTVVKQTQALTVEPGKEHKLVLELRLPSVTPTINQFSPIITVEYLVQLSVDTSIVFGSDINCEMSLLIGTVPIRQYLPPSYYPPNPAFPPAIPTPMPPQIGSGVVVPPPAYGFVPAPADIGTGVVPPYPEGAQPYPTKGDTVGVPSAPPVSYQDSMYGPDGTQLNTDENDKPFVPKYPVFDNLPVYNPSAPPQE >CRE11669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:41970:45223:1 gene:WBGene00057561 transcript:CRE11669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11669 MMVTLAMSRVSDTTENAVLEVKGARGFCTVGPASFTGSPPRISSSNLPLQSGISYVALAISSENPFLCRGENQKQFWAFEASAVPSVTDFQKIVQLRSTCNIGDKRRSSAIFAERRNEVDNNTTCTEDKSATRKASDSSEVKAVEVRSQGPIRVYTLCVNDHKLIRGTSIVISNREAIHISRYSCENNHKLIRGTAVMSGGPEKAERCVVLCVNNHMLIGGTSIAFCSREAIPTVTDTFENDHMLIGGTSICFSVSEKTLMFKALMRNDLKMVGRSQFVKSSLETISQLAAVIRLMAEDETSASTDAEAKKNNVSAEQKRTYASTDTVESTKDTRVLSHTFSSVTGVVRKQKLALIAMSVNGNDVKSSEPLTTSSKKKEEHPKFAKLELVNKQSQKTSKTSRSQHTTARSQVIKTNGKKTGKKFTGRPVTRQQQVNSAKCRAEPTYQKAVKDNDTEMRISTSRQLXXXXSWVTPAFGFCTVGARRVLLGSSPQKNVSVVISNTPDEPAFFLTASATKLQKYPRIFMVSFANGPQLQSFAGKIEEDFNVFERTFRDRIALSTMKPEMHLTCLLNYLIEDARDKAEEVIKKNEDASFQDVIDALRHRFSSSHKVSAAKSQFRSTKRVAGEAVEVFYRRIVCLARLAYGDSNDEFAKHLIVETFTRGLSFDIKLQIMKKDLKEPEDILLRAMKIEADLNAESDVPVNSTSQTNDSLPQVTAVHSKRVSERSLKNGRVKGTCHNCKSKGHYASDCWREVQVNRKHKSQSNHKTTPASTSSVTESSNDNEMIEKLKQQIKLKEEQLQFKDAQISKLKKKQEGRTQKQVKFNDADHSVSIVHESGNTGQNISEVGQSPSTSHMCPYIASVPIRANGYATTALIDTGAQITVSSKHMCKVLGITQLEQPLSTHASGFGLNSVEISGTYMVTYTIGSYKIRHKTHFTVSRCTPDPFGGVEIIIGTDILSRMPPVQFDFRNARLQIGDDVILLGSKQPPRILFSIPCSPVSLTEAISSLQQFTDAVQKDRDNKSVQFKNRSKKQSRNRNSSPRSAGHQTQVPGTTESSSHVRFQEVSDESQSSRPNQ >CRE11667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:37189:38447:1 gene:WBGene00057562 transcript:CRE11667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-14 MADFQPSIEFEKDIYLPGEEVTGRAWLSTNKNMKAKSVEITFTGKSVSAWKGGKTVPTKPNQKAEEIYVEMKHEVWTPDDEENTFPSGDYEWKFSFELPKNCPPSFEGKSGFIRYSVLLHIDVPNGKAKNIERAVTVSPIIDLNNIPGAGEPIKMNVDTTAYFCQCMPCLPARGNVVYTLSSPKLGYVPGEAVSVSGQINNGTSKPIRIIQAKLNRRITYREELQGKAKNKKRNAQNADGFKSKIETQVLETKIERCNIPPHTNSDFAFAFVIPPVIASIRNSKFITVDYFVSIWGDTGICNRTEPASLNILVGNVPLASGTASLPPQKFVDGNAAQCWNAHLKPEFTYQIPYYGI >CRE11666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:35179:36522:1 gene:WBGene00057563 transcript:CRE11666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11666 MAWCTPQVEFTKEIFFPGENVTGRAWVSTKKDMSARNVEISFFGKSVTAWRTDGDRKNRPVEDKSFKKGEETYVVLKHVVWTPDDGNNTFPSGDYEWNFSFELPNECPPSFEGKFGFIRYYVSVHIDVPNWIDKKVERAITVSPIIDLNSIPGANEPLVRQIERVSEKCACIPFLGSNGNIVYTIKSPTLGYVAGDLIRVTGSIENRSSKPLSEIEAIFKRIVVYRKDMTNSLIKSHRNMSNTPDTYNSRKESYVIEEITEICEIEPGATKNFRFSFIVPPVVSTIRSSTFINVEYLVTIAADRQFCDSSEIPTLNIIVGNVPILSEGNTSLPPHIFVKSDSAQCWSHLLKPKFRYQIPYYGSDEPTKTDSEDSS >CRE11838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:32403:34688:-1 gene:WBGene00057564 transcript:CRE11838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11838 MKIILLLVYLLNLLNITNEVDGNIVPEYENNNNELLCYSKLDKSRVVRCETACVQKTLISRYKIDLEYDFDFYYRIGCESKSLNDKLNEMKCDWNQSNGPDYTIDYMIKGDEIRNPRLFVRKTCCFHAYCNKPKMNSRRYWFQKDQSMLTILRNKYNSRHLLLPVIFALFILLIIYGILTFIMSWWKTKQLAEGGKKCKELELELIQESKFPESIIQDVSGISEFIENSALFQLKLEVTGCLTVRETAFVLSQLPDYDKMKHSRVKSASTEESTKESKTGKTLKITESEVVVEGKTIRKVRNVFLKPALKTNAAGWGHILWNTIRTINSPNDILYRMIEHGPYRYPFTALELLSVFVYFSKKQLFKYKFQLFEETAYRMADEPSLLQIDADITVIGDIRGRYTDLHRWLQLTGWPPENRILFLGGILDRDEPGSIECLALICALKVVEYFYNHFNFLIQCRFPNHVFLLRGEPETSLFRMIERLNPVITRAIQSCIKRMCSHIPFAAIIGKSILAVYSGFSPMIRKKKDIHDLFRPVKLEDMNAVERHIIYNQPSSKVRMYRPNPNSEGDLFGEEAVKRACKSMRCNTMIRGQSHVPFGYLPCWNDRLINLWSAPGYGSNYGAVLYISKELVITPILMEKQLT >CRE11665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:30139:32189:1 gene:WBGene00057565 transcript:CRE11665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-14 description:CRE-MIG-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M402] MAVGAVIENLSNRKLFVIFSALLVVQILFFLVGAWYAPSPSSYMEFEMITCRDETRGFSGKWIHRDNCEQIVLSEYTPISYDLREIVFVAKMPHARDGIELEYSPWFQFLLGVLHVDVAYSEHFKYVSHAPLELEVRMGYREKGSQKNEWNELTTSNVTRILECTIPDEEKKKGGTYDCDMLDLFELGSSSYPFYLINIRIPIDQNACQYNPLSSNCQIGQLTGLRLIEIHQNGGFTLIWLWTKTLMTPVVGACLWWYYNRVSDWLYSITYGTFQINQLARNPLLLERAILYLGLSLAILDFPIEWISLTHRVPFLLLVSDLRQGLFYTVLFSFWLIFAGEHLIDDTTRNNIKSYRFNLSFIIIASGALLIYDLIERGVQLYDPFYSVWSSPTGSQIAYVAIFISAICILAYFAFLLFKIVKVWTTIKNKRSAQLYQTSENRRLKVEGVIYRFKFLMLFTVLCAAFTIIAYFMKQYGEAQLHGDEARSGFLTGSTSAFFTGTFGMCNIYVLLLLAMYAPSHKHYRGATQLIDENDDEIIDDPSNQHTESNAMTTFLKPSTD >CRE11837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:27649:28340:-1 gene:WBGene00057566 transcript:CRE11837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11837 MNLFRTFNATLARRPLITQIVVSGAVSGAGDAFTQYLTGHKKWDYMRTARFSCLAAVFIAPPLNVWFRVLERVRHSNRHAQVFARMSIDQFMFSPIFNAIILVNLRLLEGLPLSNSVDRMKKDWYDVYTSSLKLWPAVQLINFYFVPLNYRVILIQVVAFFWNSWLSFKTQTSVLEEPTVEPPAQYNVD >CRE11664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:24605:27673:1 gene:WBGene00057567 transcript:CRE11664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11664 MTYDLSRLTQDIPQDNSDLSPKPSVFDHSPITSDQNGNTSHEIPLQRRRCTISRSSSTDSISGETLIGEYFSSDELDKLSTALSEVSSEGNNEHSTALVYDLFQAQLALWTCAFSLLYSYAWMQHRKKFLLSIFIVVPVTFTLTCAMSWLLSCIVIIGRIFSTPVDYKHYFDLSRHGGLDFDRDSVCEAFRQRSMSGASSASLQDDTTLPKPLCRRHSSHTSLCSRPPSVNRKLSYVFSHYNSQSNDEEN >CRE11663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:22029:22358:1 gene:WBGene00057569 transcript:CRE11663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11663 MPKGDYPSIGEYAEAQKKLGSCLPEFRATMVKSLQIGSTFGVPFGLYVAWRQHGTHLKPFLGKSFATWLTSTLTFACLGLMGGTYNCLRVKFE >CRE11662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:20526:21699:1 gene:WBGene00057570 transcript:CRE11662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bub-3 description:CRE-BUB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M3Z8] MSYQAATIVAAPNEFRVPFPTYVQISKVQFQKDSGSRLLAASGWDGTCKVYEVGKLGDITEKFVYSHGKPLLACTFAGYNKVAFGGVDHNVKLVDIDTSNATQLGSHALAVRCLEFNHMESLIISGGWDSSVKLWDARSYGNGAVESVNVSSSVYAMDVLKHTILVGTKDRKIYMFDSRKLREPLQVRDSPLKYQTRAVQFFPTGEAFVVSSIEGRVAVEYVEQTGEQVKRKYAFKCHREKDSDGTELIHPVHAVAFHPKYGSFATGGSDGIVNIWDPFNRKRIIQLHKFETSISSLSFNEDGTQLAIASSYQYEYEVDPVPLPNNSITIRHITEAESRPK >CRE11836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:19234:20250:-1 gene:WBGene00057571 transcript:CRE11836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11836 MSRAISRLVEACNRLSITQRSQMHYLRPPPASSILPPCPVPSTIIIEQRFPTNGSYHFPQPSVSIDLPSLENPQQVYTFPTLHRSPMVAPGLDITEILEKLAPTVEKNSVIAPGNSNKAPMWLSPRLLTIRRKKMKKHKRRRRYDRDFFKYQKYHREKKLKAEREFQKRMKSLLTELEAFDPEKYVKDTIRMANKEWQDELAPTGRKLYPHWSRFMSLEQLYGLPKSEYIDKRSGLPTPEEAEQIKALKEKYAKLYRRK >CRE11661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:7814:15264:1 gene:WBGene00057572 transcript:CRE11661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11661 MASFSHFNTPIKYGSDVRVTVKYEGMRRGHVEISAEIKSIHESPNCSTTLNVTTGNGTIILHEVFEKEQRARDEFSVRLQIQSKNDKAERKKLLLSKKVHEIQLERHMHQSLGTTLDNDLIITSIKNGSLCDGKMEVGDQIIRVNDYPCEDSIDVSTALALVKNNFTITVARMDEDTQERIRRSADEKKKEKQDENKMPPLTILPRRGPVPSTNPKTHETIDVLVELNYNQDIGVNLQKMCSAIVVKEIAKGGGAMNKLKIGDELKMVNNVEIRTEDEVYLACKRSGPVIKFTVSRDIRTAQKEDEKQKEKSLENIRKPESSHHINQNPRKSVIAGPGRATNTSADQPTIPDVNQDITGEDQIGSKNASTVNTTAALILNQDLPMASSSTSSTSSAESQSIQKEILNSRNAAIENTVNTDDLSRLTVETETSEDKDTQDLNWFFSPLQNDIPLVKPVSLTGKPKASDVSGTRKGRKRSHSSKKSCTMHIDSGSSAANETPSAIANEESLLKLCFYNVNRTKVSKKNLEAVIRNKGVEGEIRFEEPKRLPLKGNKFSINFSIQQKYESTLDLKNNWQTIFSIKAFETDDPFIWRSMSELDFVDTLLFTTLSTIRGAIKLPENIDDLRIDGARKHIIQTRSGNDVIFKLKSIMWKPVIDSFEALRH >CRE11835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1850:3581:-1 gene:WBGene00057573 transcript:CRE11835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11835 MTKQSFGQPIKTRPIARALSKRPSSPPISYQFCSFFFQQNSLICLFQPLQLNNQKKIMSLELLKWIMLGVMALMTIFFGLLPIKLIDFLNNSQSSIHKHSSLILSLFSCFAGGVFLSVCFLDMLPDSLEAWEDVKTDTGYQSDYPFVQLIALCGFFFVYLTEELSSIICNVGHGHSHSNDPIMESNVTFPRARLATVGSIFNVEGNLVQPCKRSLQDYDGDGEGPVRQSIIFTSAFILHVFFECFAFGVQEDTLSVTTLFLGIALHKAIVMFSLGMKLTRTHPKRRYIVVILILVLAAFNVIGGSCGILIESSNMNQTPKDITTAVLMSFSLGTFIYISFFEMLAPERANNHSNILQWISSVCGFALLAVNMIWAT >CRE15789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig386:11625:16956:-1 gene:WBGene00057574 transcript:CRE15789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kqt-3 description:CRE-KQT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NKC6] MLTTSGYPNGASLRSSIDDSPQDQNEDESETFLLGMVTGDGLGKMFNARYRNIKEKKAAKMTYRALIYNCLERPTGWKCFLYHFSVFLIVLICLILSVLSTVEEHSHFAAELLYILVSYMNHRDIRIARFQEIFLVIFFAIEYIVRLWSAGCRSKYIGFWGRLKFARKPISFIGLLPFLFNSQIKMFRSLRCSCQFNSYLYRKGIRFLQILRMLHVDRQGGTWRLLGSVVFIHRQELITTLYIGFLGLIFSSYFVYLAEKDHVGVDGRQAFTSYADALWWGVITMTTIGYGDVVPQTWTGRIVASCFSIFAISFFALPAGILGSGFALKVQQKQRQKHFNRQIPAAATLIQCLWRCHAAEKRISATWNAHVDPLAHETKETHHGHGKKQSSMDSSNVSRKRQLFKKQSSLVNTFRRKGSPSADVEMGEMNHQERLLRHERNSDTDDEKRVYRVGTDIEIEYETEEANTPTKLHPDNHISHVCELTEAHRNAIRAIRKVKYFVARRRFQQARKPYDVRDVIEQYSQGHLNMMVRIKELQRRLDQTLGKPGQYDGKGSRKGHPVTIGSRLSRLELQMSSLDRKVESSNRTLNALYRLMADRNSLTISPSPPALISRPVSPANCLSPRDQLSPTSISSQRSGSPSYTLDPNGWH >CRE15786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig386:22299:26079:1 gene:WBGene00057575 transcript:CRE15786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpoa-1 description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3NKC7] MDFFVRNGEEPYMQFSNFKLRSYFPHEIDKLSVLKVTQTKTFDEVTITIKNLMTNLFFQVGHPIAGGLYDPILGPDNNFDMCLTCNQYERHCPGHMGHIQLAVPVFNPLLFQFTYNLLKGSCVHCHRLTCKGDSGSARILLAQLRCFDLGVEHVALDLESILREKIKNCDLLNDEDIDTTMDVCIAQLANKPLSELTQFKSIPTKNGVQLKKDIITEFLRNHLFKRLQKCPLCKNRNGTLRNDGARSILIDFTGARKKSKKMSIIGDIGYNEESSPSTDEDEKKEDKHVEVNASVVDLDEGSLELQMKNVRSGECDKLAWRGAEVREHFRMMFKNDGNLLLKLFPMLVDELNGGDMICPLDGLFLERILVPPKKFRPIRMFKGAQYEDPQTLNLRKVLEATETISAISLIMKGDKSAQLKELVANRVRGKTINAQMHDAYLQLQLRANAIFDQDLNRGDRDSIAGIKQILEKKQGLFRMHMMGKRVNFACRSVITPDPYLDIDEIGIPDIFAKKLTFTEPVNAFNMKEMKELLKKGPHQHPGANFFVEPSGKKTMLGDKPDEKKRRYHLAKTLNAATTESVRQTPKVLRHMKNGDMIMMNRQPSLHKPSIMGHRARVLTGQRALRMNYAPCKAYNADFDGDEMNGHLVQSHIAQTEVREIANVGSNFLVPKDATPLLGLIQDHVVSGVLLTLRDRFLNKEDFMHLVLSSFAQYSKRIEIPPPTILYPKRLWTGKQVVTTIVKNCIPEGKPLINLDGKAKTPLSCWTVPGFNQPSFDMSESHVVFRQGELLVGVLDKAHFGATQFGLAHCAFELYGHRCGVQLLSCFSRLFTTYLQVELIKSIASPYSFFQYHGFTLGVADILVVKEADGKRKEAVMESRTIGNQVVKTAFGLPDTATPTEIKRTLAATYCNPRGQGTDVKMLDFGMKQGIAKYNDAITKSCVPTGLLRLFPQNALQLMIQSGAKGSAVNAIQISGCLGQIELEGKRMAVTIAGRTLPSFRCFDPSPRAGGYIDQRFLTGMNPQELFFHTMAGREGLIDTAVKTSRSGYLQRCIIKHLEGIRVHYDSTVRDHDGSVIQFRYGEDGMDTTKATFLNKKTMPFLEDNLEAVTLASKPEGVNDNNFGVNETEKRYKKITKWKKKASKSEKKSYFSAFTNFSAENAGLDKKRILGMWFELSLEERAEYARGVPKKCPEAVDERFNPTCKLGALPEKMLDEIEGFCTKTREEPNDALKRTLYWKGEFINNF >CRE04012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:2167:5405:1 gene:WBGene00057576 transcript:CRE04012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04012 MNNVFAVYGIEVSKRHLSLTADYMTFTGQIQPFNRGAMSSSSSPLQKMTFETTMAFLREALLQGEEDNVNSPSARIVMGALPRGGTGSFDLLLNPKMQSEREEHEAERSRRRGAKHSY >CRE23739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig237:83262:83465:1 gene:WBGene00057577 transcript:CRE23739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23739 MSGDVETLLGPAFKNQITNFHPHDNSHQQHNLHFVDMSHPAMMLQPQQQQQQHHHTHNHNHNQFYPS >CRE04013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:11080:12368:1 gene:WBGene00057578 transcript:CRE04013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04013 MSSPISKVLIADDIEQECVDILKQNGIEVTVKTKQTKDQLLESLPQHDAVIVRSATKITAELLAASAGKLKLVGRAGTGVDNIDVPAATANKILVMNTPQANSRSAAELTCTLILSLSRHVPQAAASMKAGKWARKDFMGEEVYGRTLAVLGLGRIGSEVALRLQAFGMRVIGYDPMVTKEQAAAKNIELLALDQIWPQADYITVHVPLIKQTENLINKETLAQCKKGVRIVNVARGGIVNEQDLVDSLNAGHAKGAAFDVFEPEPPTFREFIDHPLVIATPHLGASTIDAQLRVASEIADNIVQYNKGTVLGVLNAKEVLN >CRE04068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:12834:15387:-1 gene:WBGene00057579 transcript:CRE04068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04068 MPTVIQSTSCGNISYLASVNFLETGCHIGSILMLSLSTYTLFLIITKSPVAMKSSVPYMINLHIWTMFCDFTWAVLILPMFFMPVIAAHAYGLLLYFTENRILLVWIPFATLGGMAASIISLFEHRHRAIVTNSHFVLKHDYFRRGLLTFMYFGHINFGIPEILTAPQDQDAAKQKMKEKYPCLPPVFMERVSHVIQMDASLFNPHMYLICLYLAIIFSFFCSQIIWNLLPRNNPSMSSNTRKMMRSFFISMCIQVAIPAFVVYLPNLYWNISITFDFYSQAYLFSPFTENYIKISAVIRHCSFTLSLLMNNTPISSSLCSHNSSYIASVEFLETGCHVGSIFMITLSSYSLFLIAKKSPTSMKSSVPYMINLHVWTMFCDSTWAVLVLPMFFMPVIAAHSSGILLLITENQSVLLWLSFASLGGMCAAVVNLFESRHHVIITNSWFVMRKEPTRYIFYSILYVLLVNFGMPEILTVPEDQETAKLDAFRKYPCIPVILFDKMSRIIQKDASLFNPHMYLTCFSLGGLVLFYCIHIMWNLLPRNNPSMSTGTRKMLKSFFISMCIQVAIPVIVIYLPNIYWNVSITFDFYSQGFLKL >CRE04014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:16290:18009:1 gene:WBGene00057580 transcript:CRE04014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04014 MAEWCADHLRNCEGWKSAGLELSTTSDENAKLLDASIRQLVSWSDCVSLGGFHDTLVRLTASDPGAIMARAFFVGLAGLGTESAARDPVFAKTMTQLESDAEKYGNQREKRHVKAAVLWGRGKHHEAADEWDSIMDEYPTDLIAVKFSHDAHFFNGNCKGKKNAIEKVINKWSHDLPCYSYLHGMYAFGLEECGLYGDAEKEADQALNLNRFDCWASHAKAHVLEMNGRHKEGKEFMYRTEDDWRQGWMIATHNYWHTALFHIEYAEYEDALGIFDREIAKRFNRTNSLLDMVDASSLLWRLELEGVDVGKERWANIEHLGKFIDNHAIVFNDVHLGVALYRQDELETEKNLRDSLEKYSSLLSEDNARISKEIGMPLYDGMLDYARCEYDVAAETMFPIRDKVIQIGGSHAQRDVFVQTLIQSCIMSKDPKNWSLTPQLLIERETMKHDSLLGQRLADKFRAKHPL >CRE04069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:18033:19764:-1 gene:WBGene00057581 transcript:CRE04069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctl-2 description:Catalase [Source:UniProtKB/TrEMBL;Acc:E3MMR6] MPNDPSDNQLKNYKATYPKTFSKMRGIFQKPQVITTSNGAPIYTKTAVLTAGRRGPMLMQDVVYMDEMAHFDRERIPERVVHAKGAGAHGYFEVTHDISKYCKADIFNKIGKQTPLLIRFSTVGGESGSADTARDPRGFAIKFYTEEGNWDLVGNNTPIFFIRDPIHFPNFIHTQKRNPQTHLKDVNAMFDFWLHRPEALHQVMFLFSDRGLPDGYRHMNGYGSHTFKMVNKEGKPVYCKFHFKPTQGVKNLTVEQAGRLASEDPDYSIRDLFNAIEKGDFPVWKMFIQVMTFEQAEKWEFNPFDVTKVWPHGDYPLIEVGKMVLNRNPRNYFAEVEQSAFCPAHIVPGIEFSPDKMLQGRVFSYTDTHFHRLGPNYIQLPVNCPYRSRAHNTQRDGSMAYDNQQHAPNYFPNSFNYGKTRSDVKDTVFQTVGDVDRYETGDDHNYEQPRQFWEKVLDTGARERMCQNFAGALGGCHDFIVSGMLDHFTKVHPDFGARVKAIIQSQTRSHI >CRE04070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:20537:22315:-1 gene:WBGene00057582 transcript:CRE04070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctl-3 description:Catalase [Source:UniProtKB/TrEMBL;Acc:E3MMR7] MPMLPHMVKLTVDDSTPGVMADDQLKQYRDSHKVSNRCVANEPHVLTTSNGAPIYTKTAVMTAGKRGPMLMQDIVYMDEMAHFDRERIPERVVHAKGGGAHGYFEVTHDITKYCKADMFSEIGKMTPLLARFSTVGGESGSADTARDPRGFAIKFYTEEGNWDLVGNNTPIFFIRDPIHFPNFIHTQKRNPRTHLKDRNAMYDFWINRPESIHQVMFLFSDRGTPDGFRHMNGYGSHAFKMVNKEGKPIYCKFHFKPTQGVKNLSSADADRLAGENPDYAIEDLFNAIEKKDFPEWKLFIQVMTFEQAEKWEMNPFDVTKVWPHGDYPLIEVGKMVLNRNPKNYFAEIEQSAFCPAHVVPGIEFSPDKMLQGRLFSYTDTHYHRLGPNYIQLPVNCPYRSRAHNTQRDGFMTIDSQEDAPNYFPNSFNGYRTRDDVKETSFSLVGDVDRYETRDEHNYEQPRQFWEKVLKEDERDRLVQNFTSSLAPCYEQIQQAMIKIFSNVHPDFGNAVRHALCQKKQS >CRE04016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:42822:47802:1 gene:WBGene00057583 transcript:CRE04016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04016 MKRAQLTRERESKWFNIQLIFFPPELRSLSQHHQFEHDRQRRMSHFARSNAVHYAAAPPPRKQISSPLPEIPRRIYDRGHAHSRSWNPGAQPSPPPLLDPNPYRQFYEPVIQKRSTAQDVVDDSYVLQVSSSRRLEVPIIQEPPSPTPLNSERRRSHVVQRQAKSFETNPVERRHPLDHRSYTLQSAVEAQREEVSAACQSLWAAKGHLEQLDALGISEPSSVSTSFESNTDSQATADTVERQASQVAKAKRSVFNKTHHVESDIFGSLPHIKKRLTLEEARTRSTESRKNSAREWEKERKKNNLNILLQRQFLSTAAVSSMESNNSTDDSDQRFSNSFDSTRSELERRRLSLLNQADANSSDEACSSVQRINNRDYSVDLRSDSLFREWSRIDPAYDPLDRRLQRGHTVDHSVMNFSQPRHFQRQFSLATSPIAQTPSRRQQTMVSYRN >CRE04071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:32719:35079:-1 gene:WBGene00057584 transcript:CRE04071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-22 description:CRE-ZTF-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MMR9] MRTMDQGQLQKLLNAAAAVSTSPQQNPMMLFSSMTSQTTTPPVWPIKSHSVVNTTPTQNSFDANLAALFLLQQAYQNQQALSTTAFPTLPSPSISTPTFIPSTFSLPLFSSPTTIPTTLPTPTLTPTIPTPVTPQCTTPPGVFNTLNSLLQMPTRFDSSKSMNKCTTPPIIIKPSTTPIPDSIRKISSVSIPAELVPVILTPSASASGSSSLPASSPSTSSKKEEEDEDDDEEEFVDIESVDVAIDGKEKRKAHVEFYRRMKYMRQHFRDKALQCGMCKKKVENHENAMAVHVAEHAEAGCYQCRLCGWQAIDKYKIYTHMREEHPRKVDMFVDKRDMPKMCLVLSQCFPKTSSRPKKESNRNADTYLTEILKVPTLEQTCRICHGNVRREKAAMIRHVQTNHTIKCKTCKTISTTLEDQLIHQDESHQLKEPKMSVHYAPSAAAIYLLPALEKCFPNEKQ >CRE04017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:49235:50680:1 gene:WBGene00057585 transcript:CRE04017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04017 MPDDANFTEEITKWVESLPNWGKAAAAGGLALAVYIPYKFFATQARKSPVKEDWKPDVVYLYQFPRTRLLPNLSPFCMKVETWLRMSDINYEIPKCSFTLRSKEGTMPFVEFNGKEYYDSSFILRDVDELIKHTSLDDHLSAEQKSTSRAFEAMTEKSMAISAWFYRMENVEKLLDLFDPKVFGLFGSLFKMIDSKFYASTLLKRISGSDIGFHSREDIIKIGSDDLKAISKYLGNKHYFHGFKPTKVDACIFSNLCQIYYAPYTSEHRDLIEGECKNLVEYIERIKNRYWPDWDEVTTKYSNETSNWKKRHVARNGNGVKH >CRE04072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:50963:54076:-1 gene:WBGene00057586 transcript:CRE04072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mac-1 description:CRE-MAC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MMS2] MPGAGMGFASDPSLLPRIQAYARKNPGKKYFKPEMVAYDLKQEHPEYQRKNHKVFMGMVREALERVQLAAKEEEEEKLEEREAMDDVQEITHEPVKRVIETRKRKAPARRASSGTAIAQEVILSDSDEEHEIAAKQLESLKPNNRANKSILNLYSTNSAKKSLPKTPQTSQPQTPSADKRNQNNKKSPGSSQSARGETLPRGLGAVTDTISPRESHVKFEHIGGADRQFLEVCRLAMHLKRPKTFATLGVDPPRGFIVHGPPGCGKTLFAQAVAGELGVPMLQLAATELVSGVSGETEEKIRRLFDTAKQNSPCILILDDIDAIAPRRETAQREMERRVVSQLCSSLDELVLPPREKPLKDQLTFGDDGSVAVLNDPETSSVSSSGVLVIGTTSRPDAVDGGLRRAGRFENEISLGIPDETAREKILEKICRVNLADDVTLKQIAKLTPGYVGADLQALIREAAKVAIDRVFDTIVAKNEGHKNLTVEQIKEELDRVLAWLQGEDDPSALSALNGGLRISFEDFERALSAIQPAAKREGFATVPDVSWDDIGALVEVRKQLEWSILYPIKRAEDFAALGIDSRPQGILLCGPPGCGKTLLAKSVANETGMNFISVKGPELLNMYVGESERAVRTVFQRARDSQPCVIFFDEIDALCPKRSHGESSGGARLVNQLLTEMDGVEGRQKVFLIGATNRPDIVDAAILRPGRLDKILFVDFPSVEDRVDILRKSTKNGTRPLLSDDIDFQEIAKLPELSGFTGADLAALIHESSLLALQARVLQVDINLSLFPNYLNFQNDESVRGVGMRHFREAASRIRPSVTEADRKKYEHMKKVYGLKQADA >CRE04073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:54829:56802:-1 gene:WBGene00057587 transcript:CRE04073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sam-10 MFQPRGAGGPPPPGLQLQQQMPPIQPSQPQIIEMAKEKLTTYVYEFLLQTGATKTAEAFKEEVLNQNPQLQTPVKPSEKTFLLDWWVVFWDLWSAAPERREGGEPYMNSSSAEAKYFHESMIGIPPVMNGHFGPPMGMDMMGGHPGAFGGRFPPGRMPPTGMPGAFPPMFNPGLQRMPNPGMRMAPPGGPFPGGMARSGVPGAPMGDMPGMPRYDFMAPGGPQQFAGGSSGMMPNGAMPHMSLNSPSMGPPPGTDPNMPGAFMGMAPMPPTSSSAMPFGMSEQPMSAGPAGGAPGPGTPGMGGSVSGTGPGSVPPVASTSVGSVGTPTSMGQTQTIQPKQEITSNGEEMKTEALTPTGAASGSVGAPASAAVASVSMNGGGGSGSAPGSAHSVNNNINPSTPSGGPLSNPLSNPMSNPPLSSGPAPGSADAFKDDSEISKIREGLLDGFKTEVAGGGGGWD >CRE04018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:59906:60945:1 gene:WBGene00057588 transcript:CRE04018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-20 MHLSTTLSLRRILNSGFHPFQVIPKPDVWMKRERLNRFTAWQYASERSTVKGAYRKEDKIFTYLSMQREDEKKLEKFHAEERVRTALAEHDMEYSKFKTVLSQSHILLDNICLSQLAIYEPRSFRSLVAFAKELARQEGMNVIPDDSEFSYDVHVDNKSVLRKPLPRAVEYTRGATENHTNKPRKLREEEY >CRE04074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:61047:64114:-1 gene:WBGene00057589 transcript:CRE04074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmsr-3 MSNSTDSTTVFETFLLEYGRIFHPPMVLLLCISGALGHMLTITTLSSMLNPTNMFLISMSCSQLALCINFLYSTFFKFMSDQLCQPFFFSYYMASTMHFSVTISVLVHMSAVFHVVALSLIRFFSLAQLSSANSNVPWFTWQKSRVAIVAIYVSVIFLCIPLHFTSQLTEVTENEGCAERYPMLRNKVAYQLTYTQSIWLRNLNFWLFYLVAKVVPSIILCIMTCLILDQLKKIQVLSSRFSNVERDKQHSRTTNMILAIMVLFIIVELPQGVLAVLSTISSVKLIYELGDLTELFTLLTSIIIFTLLCSMNGKIRSAFKELACVRSIGRIFATVCPPPSPVAASSSGHDALLEHNTIIITNCHIDKSENYAVL >CRE03591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2530:5:3149:-1 gene:WBGene00057590 transcript:CRE03591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03591 MSMPLEVSKHTSSLEKINTPHDQLRLVNKSEEPTSESMGIGPGDDNESFQIYISRGIEEDSKLPFMTLVTPEGHNLLALADCGASTSLISTQTATKLQLRIVGQRNLRFKGLISETRDEDCKFYRLEVIDQSNNVWAATVASYSGIKIPFSAPTLSSSEYNQLDSLRFNITKIKDLQQFNGRPVDIILGNNLLGNIHQQLITLDSGRMVTRTIIGSIIYPPMVKNALFPVGGNKPIVVTDDMEHIVVHTLDTPDYDLPEDGRLEPKSNVSNQKLAKQVEQHWNLELLGIEPPEVVSSKARLNEQIVEHQKRSSVRDENNLISVQFPYNGREQYLDDNFPVAAQRLVSLTAGQTIETRMEYDTIIRKQIDSGIVEIVTPDMKPSGPVYHFPHRGVRKESSVNTKLRIVLDASSHGRGKLSLNDCIHPGPSILQSIFGILVRSRLKKFLMISDIEKAFHQIRLQPQCRDSTRFLWLKDPTKEATPDNILVLRFARLPFGVNCSPFLLAVTIIQNLENDPNPINAQILENLYVDNIVMTTNDVNELVTYYGQLKDTFNRMAMNLREFLCNSPEVMEKIKEDDRAPDTSNKLLGHTWDSLTDVIRIKIARPPNGIPTKKEVIAFLAQNYDPTGIITPLVVPIKKLITLLWQFDLKWGDLLPDVLLPTWKSITSHFNETEFVIPRQIVSSYHYTGVQLVIFSDASKDNYAATAYIRHEFPGQKYESQLICSKSRVKPGRVGITIPQMELLALESATNLALNLMNELHMPVNQVIFFSDSTCVLHWVLHKVGNHVGLKWVANRVTNIHKNLAKLTELQLNPEMRYVPTNANPADIASRGCTLAELKVNKLWHHGPSFLERPEEDWPQTLETTPPDARMFHLFVVNDGEKVLSRELNKLPNQDSPETAVVNSMEEKELCKYESIVPYSRTNDMRKLTTTCNYVLRFVHSCIKSRNNRFPSCQYSYQSMTLQRYDDADKEKDEVTKRRITRTFIIAEHYRDSKLRMGQEPPAHLKPVLSPDGLYRHNRPYVNSRHPRHSDEMKRPIIIIHTHQLAR >CRE04019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:75436:76837:1 gene:WBGene00057591 transcript:CRE04019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04019 MELLALESATNLALNLMNELHMPVNQVIFFSDSTCVLHWVLHKVGNHVGLKWVANRVTNIHKNLAKLTELQLNPEMRYVPTNANPADIASRGCTLAELKVNKLWHHGPSFLERPEEDWPQTLETTPPDARMFHLFVVNDGEKVLSRELNKLPNQDSPETAVVNSMEEKELCKYESIVPYSRTNDMRKLTTTCNYVLRFVHSCIKSRNNRFPSCQYSYQSMTLQRYDDADKEKDEVTKRRITRTFIIAEHYRDSKLRMGQEPPAHLKPVLSPDGLYRHNRPYVNSRHPRHSDEMKRPIIIIHTYQLATSGSERCHIGHPTKILDTENWNTRQSETLPSIRSQLVAPFAFVGLDYLGPLRYKSKDEYGKIWVLLVTCIVTRAIHLEIVQDNTTHSFIMALKRYFGRRGVPQSILSDNSPTFKLGYSMMNTDIKTIINKSLTLTSLGY >CRE04020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:77428:79178:1 gene:WBGene00057592 transcript:CRE04020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04020 MISQKKPLALCEICVRQISGDADNVTKCENSFECQLCFGIMDQAMIDEVGEKVQEELEKLPYDSNSFILALNLPVGQTLREILVSRLRPDFNGTLVQVPHKVRNVDGYLKKLGSVSFICNVQIFKEPSFKYSGKRPTLSSDLQLMITFEQTESDLTDLQFLQKEFPNDFRVGKRNRYNHQEAPSSCSKQQLQQVTGRINEHIANKYIFSSPTRKCSFSLSFERDPVYVAGRYCKYSRCLPQSPWSEDKDAPREPGNSVSEKVCDILKKHFGASDSKFVTSGREDIDVRMLGTGRPFVVELRNCRITAPVRGLNYLETLKSIEESINSQKDIKINSLTRVARDVAEKLSVGEEDKRKQYCAYCYSILPISDEQFSTARSKIPLTIVQKTPVRVMKRRALLDRERTIFSMDFLKLDDHHFEVRLETQAGTYIKEFVHGDFGRTRPSLAELLGVEHGEVDILELDVEKVDLEWPPKLKEGQAPIKFR >CRE04021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:79381:81777:1 gene:WBGene00057593 transcript:CRE04021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04021 MGESSAQVAEGPQPKPSRFQFVPVPGEFTRGRWKCRDSYHPNAETLEFEKNKTKEEANPEKISVFFKLRQPEEEESTIQVTSVENKEPDNGDEVSNSSESIIITRKNGVTIVRKTSNSLLPEKYDQIRELSIDDFENKPDEKAQQVPPTPMNTPPASMAVLDTGSFQIQAIVDSSLSPHSSRKPSNEDKTDPQKLADSSITSITSSSSLPLETSKPTRHFQVEPVVLSEPSTPAPVAPAPSIETLAPSPTVHSENHPLNEPTFQVIQTEESKNMSMDSVKNVIDAALMPPQTDRLNLVDEVETPTNVMITVSAALEKKEVCICDRKFLKKEIVFFLSNKFTISKEIIHYFQTAAAGSVGSLDACGIPIACPPISAPHSTPYGTPKETQNIPIFEEVFSTNVTSTPPTIITTPTAPTTAPASSPVSSSVPASASSSSVTLPSVTTTATVNTSALTTPSAIDSHTPIDNKIEQAMELVKTHLTYAVREEVDTLRNTIAELEYQMREFQYECNYYRQNCSPEVVDQASAFVHQQMSAHQRPTRKAVSSTNVILDGISAQNASASASASSAHMRNLQNQIQQMKQIAPITTNISTNTATYNSTPSATVPPKPSPTTSESSLNNATGNTPGAPPTSN >CRE04022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:82089:85404:1 gene:WBGene00057594 transcript:CRE04022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04022 description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:E3MMT0] MALTVLMVAEKPMLADSIAHLLSNGQARKRKGWNGVCSVSEYDGQFNGKNAKFKVTSTCGHVMSLDFPAKFNNWERVDPAELYSAPAVKIEANPKMKMNEFLASEAKNADYLVLWLDCDKEGENICFEVVDAVKSAMFKNRPDYMSDVYRAHFSAITEKDIKAAMKNLSRPDQNMALSVDARQELDLRIGCSFTRFQTKFFQGKYGDLDSNVISYGPCQTPTLGFCVTRHDQIVQFKPEAYWVLKTTFSCDSQTFSPEWQRGRIFDAEIARLFVDRIKKSHSGVVVDVSKKEARKERPCALNTVELMRVASSSLGLSPSTTMHVAESLYTQGYISYPRTETTAYPANFDLNGTLKILSGDRKWGSVVSQVLAEGIKRPKSGVDKGDHPPITPMRANTGNLSGDMARIYEYVSQHFVATLMKQCVYEVTTVKMECGEEQFTIQGKNVIDPGFTAVMPWLSVEEECSVPKSLQQIGARIELQDAQIQARETTPPGYLTESELITLMEKHGIGTDASIPVHINTITQRNYVTVESGRRLVPTRLGQCLVRGYWKVDPELVLPTMRSELEEQLNLVASGKADYHDVKNHALKMFEMKFQFFVNNIPAVDTLFEASFTTLSDTGKPFSRCGKCRRYMKLVQTKPQRLFCPTCQETYSVPNFKDGVLKVIGDHKCPLDDFDIILWQGAGGKLARSFTLCPFCFNNPPFEKMPQGSGCDNCVHPSCQYSISSNGVCACLQDCGGVMLLDTQSHPKWRMTCNKCPSVVGLFDGALKVKVDRNNSCEECGSQFIKAEFKPNSGKPGAVEYESSSYEGCIFCDAMKKYPDAINMNHAYLTEESRLKNTQPSRRGGRGRGGSRGGGRGRGGRGRGRGR >CRE04023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:85504:85970:1 gene:WBGene00057595 transcript:CRE04023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pinn-4 MPPKKAPKGGSGKEEPKKEAKGGTAVKVRHILCEKQGKALEAIEKLKAGMKFNEVASQYSEDKARSGGDLGWMTRGSMVGPFQDAAFALSNSSCDKPIYTDPPVKTKFGYHVIMVEGKK >CRE04024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:86084:87282:1 gene:WBGene00057596 transcript:CRE04024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-efl-2 description:CRE-EFL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MMT2] MSSKDPASIDLSTVGSLLDDTDQSGSKEVFRSQQSLGLITQRFMSLRAKNETMNLNDVAKELSIPKRRVYDVVNVLEGLGYVQKVEKNNIKWIGDDVKDEEQNQLEARVEILRQEEKILEMMIQDAQAVINLHFEDPIARPYNYIRKEDIRNTSELDTKSIIMKSEHDDSKFNVLIRDPTGSSAHEMIVKNINGVRSHALLFSNEPTITVKQESPEKDDPLPEEMNNEWSLSDVGSNQNLEQIKTEDPDEIDTEDLETFVLPSTSTNPQNTQYLPETPGRGLFFSPFKSLIDPCILPAFSDDLTSGYINITTPSRAAAEHALQADVEPPSIMDFFND >CRE04025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:87645:88430:1 gene:WBGene00057597 transcript:CRE04025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04025 MTTGRILRLTADNMEEAIDTAVSVFLRGGIVALPTDTLYGISTLLPFSDRLYALKQRPFEKPLGIFLPSPTSMKLVSKQTISDELANCLLPGPVTLMFERLPNLPDEFNPGVVNVACRVPDCAIVSRICRKLGQPLAQTSANVSGSPLNPTSIDHFKNLHSNIDLILDNGQIVSSGEGSTIVDLTVESCFRIVRSGCAESETIKKLKSFGLTEIQ >CRE04026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:88611:91456:1 gene:WBGene00057598 transcript:CRE04026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04026 MLGDQTEKKTGGPQSRKKKESEEEKINNRDEVDFVDEKIHLKMSSCLSSGSLDSAPSSATSSAPSSTSSIRARRNWSVFSERLRETLSKSRKSSTADSLILFDVKCVEISSENSDCMWIYQRDISSFDSDFDDYDDSHLRIFKFFPPNKPTSLHSLASGFDNTGNVRIWPGSEALAWLILKDSSRILARGNRILELGAGFLGLSSFLIAKKFPETTVWITDGNLESINSLKQIRNANPDLLNRVHVQQQIWGRDQLKTSRFNTILAADCVFFTEHHESLMKCIHSHLAPNGNAVISSPRRKQSLQKFLDYVQNAWSDELSVDLNTEINAILEQKIGGIWISEEDKDEKYPELKGALQLGVLKTADSVSLRVGGRNGLDLEIKEEVVNQVAAFVWDTVSENWADDLARFTVHAGRQKINMDDISLLTRRNPELVRFQAACKLAGVDHHQDAAGQKTGKGRKRKKEEVVSIQHSKLSEDDITVLDDNNALHTPKSYLKKPKLQEEISKGRLTSTPKVAERTLKFPDDITPIRPTDNEVFSSNLVNLSVIEEEKTSLEDKQNEEEEQDSFDVFGSTKDDSSKTITNISSLLKRAEEYSSVVESTNDRLENERLERMIPADVEEVDNVSIDSFDNYNVHEEPPVDKSAGTPKNAVFNNKMSSFAFDDEDSFDEPVVIHVSKTTPKNASTSAEKKYNNEVLITKNASNFKGKGVETTPKTSTATKKNKLQRDHDSFDEFDFDI >CRE04027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:91617:92913:1 gene:WBGene00057599 transcript:CRE04027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04027 MSQHPEEGESSVNDETRAIEEVINAFMYYGKFGTEKILKTIQQMKLFSSSIDMISFFRNYPQDFQILVVNKNKEFVESMKQRIEHNHSILKMIANSASGMIGENHTKAFRIHQARRPTGEFLSKVLSTIRQICREWSSEGLPEREATFKPIIEELNSIFPTETERHNIRILVPGCGLGRLAHDLIEQGYTVQGNEFSYFMLFTSFFILNCCKEVDTFFEKPRVNFLFQVDQFTIYPYLFDKSNCWKSEDRLRGVTFPDKTLISSVTNRPNSFSMCAGDFLEIVKDTQFDAIVTAWFIDTANDIFKYIDAIYDALPENGIWINVGPLTWHFEDIAGEMSIELPYSDIMLRIRQKGFEIVSEKEIDSKYTVNRLSMLQNQFNCAYFYARKGGQKPQ >CRE04076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:93248:94119:-1 gene:WBGene00057600 transcript:CRE04076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04076 MNLVNRAAVLLPITSRYSSLGSFQSSGFAFTRALSDQKPAISSAPSTSTLLKVDWLLQKITPLVLKSNVRDFIDICKEDVQFDDRIFSKKLNGRTQLMSHIAKVRMYYRYLSPFNKVEWIGSCVYENEDVIVVLWRLTTLDSSFMTYFPSFITKKENKMIVKEGAMDVRIDQSGHVLQIVNRAITASDREGAKSLAKLKEQQYQEEVRNEEKEMRKEFDRQYHS >CRE04077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:94428:96733:-1 gene:WBGene00057602 transcript:CRE04077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04077 MLPYLAYRYVKNKREKNQRDMDSDLDSMVRSIVPDKAVISIPGVAAKLGEDSKEYIPGVLSILEKSVGVFIEWRPSEDPDATWVMTSDDGNAEMVHSRSPEEKRDRGARVAFSMDVNDLSSFRNDEPKRGSGGFPSIRLICRDGSSQVPLFFRNSSTGEFIDRLQGYITLRRSHRDADLVIVVDQKSEALAKSVSMLDENGDILSRFMQNPYMTAMTGFSKITSFVQDQVIPSVLNDTDAVTQEEKIRLMRELRLAEEQMRVHSDAAGEFEVVTQLDLPPRPEIYRELPVSRELWNSFKLSNGSIDPMKLHHLKMNVFRGGLNAELRKEAWKCLLGYRQWHESDSEFEKRRTELAKQYHNMKSQWMSVTEDQEKRFSKFVKRKSLVEKDVARTDRTVPFFQGEDNVNLIHLHNVLMTYVMYNFDLGYVQGMSDFASPLLFVMKDEVDTFWCFVGLMEMTHKNFEKDQAFIKLQMNQLRDLVMIINPKLANYLESEKSDDMYFCFRWVLVWFKREFSFLDTCKLWEVLWSGQPCPRFLLLICVAILDSQTNIIIDNHFGLTEILKHINDLSMHLKVDEILTAAEAIFHQLSASQNKLPAHICQYLNIGESAVSSSNNSPSRSDPDREDL >CRE04078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:97960:101160:-1 gene:WBGene00057603 transcript:CRE04078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-27 description:CRE-PQN-27 protein [Source:UniProtKB/TrEMBL;Acc:E3MMT8] MSGGNWQNTWSQQPPQHPQQPQQDMYNQSQPGYGYNQSQARGPPQQQIRQNDYISYQHQRPSGAPNAFNRQPPHQQYQQNYMAQQHLHGYHHQQQPFMFNANANVFVPRNQIMQSHQDYGYYAGPPYQQHNHAQYTQNQFGITSSPSSSYNAMYQQEDAGFEAFAQFGQQSQQYLPSKELADQIQSSKCSSYLTEILVGCEQLIAEDEEESSTWISAIRQRFEDPQMDEESKKIGVKLIIEMAYSMESNQFRSIDPQNTFSNLLKTLSLELKGFLRKFIVPALGEYHESRKQFENDDRVFMAIFYAEVYVKLFLVRSCCWGDLNYCNFQENGSRFERIGEALADQIDEILKFQPKDEYMKSLIRAFKVAGAELDTSESLRRRVDQILTIMGGYAKGSPLLGESVKAQIFSLIECRTRGWDRPATRGPEVAGNVADRDQDSFDESTDNDLTEEERLFLESHLDQVESGRAGEDDFDDQEMMKEFGKFVKEELLQAEELKTAEMLQNCNIKGDDEENPATEEK >CRE04079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:102110:103072:-1 gene:WBGene00057604 transcript:CRE04079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04079 MLQQNNELSNIFIQFQSSLAAQKMPSTQARQVLAHALIETLHNWCMQLFWMTVLCVINCCGEEDEKKTQKKQPERPEPKKRIEDIEQKMLRHLTSPIISGPLRPVRRNSKKRVFYSRPPSPQLFDIAEEE >CRE04028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:109599:112483:1 gene:WBGene00057605 transcript:CRE04028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04028 MRILAQSLLIASLLPIAIGFKTNRTSCFHYVSCLEATEANLKQCAGGTAISLTLEAKDVNIRDLVKYRALEFVGCQDRLLKEIVDFEALQILVNEDARECFEKLPESSKRVEQFTDSCDYVQPVSRNSSKGDALQCLIEFKQDREYCESLLECCPDHTRCGERMNAVSLSYQNARVKAEQIVYSMISCIVVNDPRFLREGARLQSLRDPYRNAGVPFLRPDAYTEARITRRLALTSTSTLSQRRERFLKKYSQIRQDLVTETSSKLAAESPEEVATTIAPEEVTSQEDTTTSEVLTTPEAIEAPESTEAPESPAPEVIKESSEMHVNTIRNLIRSASDKDLSKYVSLISEGKFSELFGLAEKKKFKLATKFDEKLSAKMSKLKDLINEALSEKDKSSEVEKAVEKIEKAEKPELVAMEDKDSAAVFTISDELEQKNTLSESKLAHTIVSRNVAEAENVNAAEKAEKESEEPKVESKKEPKKADLEKKEDVKAVADEKKPGKLPLKIEKLEKEIDTKSENQELKKVIDDKERALLVESEIKNTADETKHILETLKSEESAVVGDDLPALEKEDSAEKKDDATTTTTAPEETTTTLPAETEKPEVVKPELLNTDDVLTVTKPETEVEGSGEEEQDVTPSSEASTPISLLQSSKVSDGVIENVKRIRPRTEQTHCQQYASCWQTVLDYEQQCDRKYSTEVLSHGIDDSEILNILHNSSISHHEIVLKACLRPLDRSVHSTLKQLLVIQRGVRKACLELGRNKIAVTDAEEALCDTEVPTTASIDEFISSEHVRSQSNHLTCRAKLEPVREACGIVRNCCASVDTCDNYISSSPVKKLETEAIRRLVKKQNDCETKMLQTLSYIHEQLSNPSRRRRFYYH >CRE04080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:112773:114548:-1 gene:WBGene00057606 transcript:CRE04080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alh-7 description:CRE-ALH-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MMU1] MLLTDHKRGHLSSPYVFRKNTCSLFIGYSARMNSWLRLSAIKVSSRSYSLLPQGAQSYVGGKWTPSESGNSFDVLNPFNNQVVDRVANCTVKDAEKAVQSAVEGFDKWAYSYTAKQRGAILHKWFEILIQRETELATLLTKEQGKPLAEARGEIQYSAAYFDWYAGEARRVYGQVVPSPVQNRLHLHTREPIGVVALISPWNFPTAMIARKAAAALSVGCSAVVKPAEDTPLSALALAQTAEEAGIPAGVFNVITADRSNTAEISKFLCESTDVSAISFTGSTPVGKLLLAQSASTVKRVCLELGGNAPLIVFDDADLDIAVAGTMATKFRCSGQTCVSANRIFVHEKIHDQYVSKLAAAMKEKLVLGDGMDPKTTQGPLVNQKAVDKCELLLSDALGKGSELICGGKRGEHGTSYEPTLITNVQSNTNIANTEIFGPIASIQKFRGNEEVLQAANDCRVGLAGYIFGKDPSRLQTFARRLEVGMVGVNEGLISCAEAAFGGVKESGIGREGGAQGVDEFTNWKYICTQY >CRE04081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:114683:115005:-1 gene:WBGene00057607 transcript:CRE04081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04081 MRPTVVSMGKHFGNLGKMYGEHRFALAPNEQKAYKGFFDQAFVKTFKTYVWDQWYYYIPQTIGAYLLYDWAKKTNHEANRKNPADYANDQ >CRE04082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:115118:115819:-1 gene:WBGene00057608 transcript:CRE04082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04082 MSGRKIAAATVQNRSQTPFWNWLKQKLLASDRLPITPPPGIAGADGKAVYQNPLRFPNTQAARPGSAELPTLPGGVHHKLADNYYLTRDGRRTVEPPKVLYSAGEFSGEPVQPVQVNQGVSTNFGLTAPTPGFGAEWNRSKENELSSQQNNTEYEYLQRFDKFAKSN >CRE04083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:116805:117636:-1 gene:WBGene00057609 transcript:CRE04083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drr-1 description:CRE-DRR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MMU4] MCTIMFLIRYSCSVHSLHCQQSLTIDKPLCPLSRSITALKPISRSIIMQTLGNIFENEDNIFLKMPHFFKPLQALNAIILAICIGSTASGDNGILWFTIIVSLIISAAATVIFALRIQDELMESISNGSVAWNVVELVYSFILAVLCVISVWLSFSFANRSLYGTSAGYIASGLFFIVQTIFYVVPCTVIYREVQASSEAERQNIVIEPAHPFRSNAYQDL >CRE04029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:120561:121446:1 gene:WBGene00057610 transcript:CRE04029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04029 MIILFLYNQTFFILKKNYSFKPLYFLAPIKLTVYRSYNFSHSMSDIANSGTFLQASSPIDSALFFVFAAFILNVIVVIIAFCYFDPAPHEPALVYRTYHTLRRLSRRNAEARIRMSIKSEEQEEESNDSPAQVLFV >CRE04030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:122222:124046:1 gene:WBGene00057611 transcript:CRE04030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04030 MRSLLIVLIFSTFFATLETRILHRPRDFRCGRLIVFGDSLSDDGVEAEGESHGFLRNCNGKVWPEYVNAMLECDRYVNYAYSGAKSGIGNFYFDSFSGIQWQINQFLSNNKFLSDDPLVILQTGGTIDFFGGDTNSTEVVENIQQTIQNITQTMSSGTLVILSLLDVSNSPGVQAAEDSEILQERLGHLISETNRQLHHIVLDSDLGTRRLNPFLRVRLIDINTVALAAMQSLNTTEPFTHHSPDLIPQAIYKYAYHDLWNPSTIVHYHIAKEIVRNLQQF >CRE04031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:124444:130853:1 gene:WBGene00057612 transcript:CRE04031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-17 MAGMGSANIVHTILLVGGLVLTAGVLGVVIAILVNESNNNNVVNPGTNTIYNETLIYNSTLVFNSTNTVNNTNTYNQTVIQVQTVYVDSSKAVNVAPPKQIPSSNSKYQSYQQVVQLFKASVNLSADPCNDFYAYTCGNFKGDMSFDVSDNSNVNDMVSKLSDDAYISTAPLPVQQTRWYFDQCVSARQNWNTIVASGKVVTDAINKLGAGSTGFEQSTQFPFPMLDQNKDVTTYPGKFGLGYLVGSLTASGVDTFVSAGVDTNWKDPAGPEGFAYLIDQPSTFAPNTYYLKDWDALNAQLNDSITSTMLLLAQVQNKNLDLNILAKDVQDIIHLDYVLATNFSTDDTTRRQYDRSYNPNTLAQLRSLYHDDIFSWHMFLPLAVGTAEQVLDKLLNQATYNYYIVMEPAKINMLFEALNDQNKYGITPRAVVNYLYYRLVDSLSNFLPWPTTTTIARPFVKIQRQPVGRPRHVLPHERKYEIKQIDDISDAQYSCAAETIDQMQYANARVFVDKIYPTADDRKNVREHVAKVASSIVIGFRSMIDQLNWMTSSTKKGAYNKIENLVKNIAYPDWITDDAMLTQYHVPMNFKQTDDYITMYFNAQDFNLYAQWDQLVQGPADRTGFNGPPGVTNAWYQPELNSITFPAGILKKPFYDFNWPASVNFGAMGVIAGHELTHGFDDQGVQWNGVGTLSGWMDDQSKVSFTNMAQCVVDEYSGFCPLDKATYGAAACIDGAQTQGENIADNGGIHSAFRAYKNYVDLYGPDPVLPDDSFQYFNPDQLFFLSFAQVWCQLPYQDYQFLRQILVDVHSPSIYRVLGTIQNFPAFKTAFNCPASTYAPDKHCNVWVSDIDTTYGLPNVQTDLNVAPEAPITATNVDKMNAYQMAVNYYSKSVNTKIDPCSDFYGYACGTFNQPVSFTTARAQNLIYMSQKLEDPAYQPTIQGCSALTKEKQLYTACVAATASSQTEDQLLISNNYIQARVTALQGFLGTPFTLVAGGAATLPNAKQLGDALGYLSFEQGIDTLVSPLVDTYWPDNSKGYQMFIDQNTAYLSKTYYQPAAWKIEKPKYYNMAYGVIKRYAKEQNINLPDSFNDTLSKVLDYEQMIATKYSTDDDTRRQFGRSWNLVNVGDLGKTYSFLDWITYLGHAPSTVKDKVTNVNYQVSVMEIDRLTHFSADYATLDSNLLVNLLYVRLILGNAQYIPSYASAFKGMTEESVFLGLSRRKTIPNNIPPSSDIAANGPGCASVANNLMQFANGRVFIDYMYPTPQDVTNIRASAGGIIKNVISSFQGMIDQLDWMSPDTKKKAYDKTVNIQQNIAFPDWIANDTQLSNYYNDLKLAPADNYYDILDKLTKFNIEIQFNQLNAAMTDRTDFLGQPGTVNAWYQPELNSITFPAGILVPPYFQPNWPPSINYGGMGLVAGHELTHGFDDQGVQWGPTGNLAGWMDDNSKTGFVNMAQCVINEYNNFCPLNATMYTPNCVKGSQTQGENIADNGGTKLRWKNKHQVFSSACYISIHAAFNAYKTHQALDGPDPRLPDRLFGQFTHDQLFFMSFAQVWCEVRRTDEALYTQIMVDPHSPSMYRVFGTIQNFPAFQTAFNCPLGSNSAPTNHCEVWVPGKYQ >CRE04032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:131622:133095:1 gene:WBGene00057613 transcript:CRE04032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-45 description:CRE-SRE-45 protein [Source:UniProtKB/TrEMBL;Acc:E3MMU8] MIFLIGNNTRNYSVCYPIFIIEDNRSLEFPFSMLLFFELFLTFVSFYFVIKGVYVAARIRSFHRNLTALLIIYLLQWFEGLISNLLIKPYEIGYWPLGEYSEPIKQWWTDDQSRMTKLTDMRESPYFFIGGFIKWHYILSLITTLLFVSIERSFACYFLNDYEKKSRNLFFFLLIFGQFSMNMVAAFLFFFNAAHFAVGLAYILSANLIAMGIFSYVKNVNNQVTKAIEDFSNPSVYCLPARFQARENMRCFQMISRVIIAALCIIFTGCFVNLIMYLEFTPSIDPLLNLIFECAINLNPVIICPTLMGSVDAWRKFSFSNGFCKRIQMKMRRRRVTKVSSTDGGCSSKHDKTKKETDAYFDQLNSAWI >CRE04084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:133260:136517:-1 gene:WBGene00057614 transcript:CRE04084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snx-14 MSDTNDFQHQQRIHVAAAVVLLTAIFYAAGFGFGSIFLVVGSLIGGVLFARWIFECSNGELLFQWKDYFNATAAPVDLNSEEDDAKTDTAKTRQMPWQDLTLPASLNEAVESLLCEIVEQYVNGWYGGAISRDRAFINEIKYQLRYASANLVRCIRRVDLSRFVADEALPIASFHVSRLTELELKLRTSNGPPRSLLESKIAEQLDDIHVALSGREEETAYVRQIADFLIPRLLDETRLAGRAHDDDSPLRLFGRGKNERPWPSKSMRGFLREMLTNAVLLPVFDMLTQPDTINYWLILLFDEDRNVEMIEENIDVSKNNLLTATNYHFQTTPIPLLKGLCDDSSSSSDNIPDSLLQLKLTEVLRDARLYSIFRMYLQDIRGPVHELQFLVEATRILESIQRKSESSSQIAYDIWQLYGQFVHESSQERVRLNEKLVEQYKSAVEMNDLSVLEEIIELVSHTFSIQTTIDQTVFKSYQEVYNRTQSTHVVSFCQSECFLGYLCGSPPVTINELIDQQDRQRVAAPVERTFSLSQLRARVRKALTSVGDEEKEEIGGESGGGVETPESQGGSSSSSYVNIAVPSIDVISADGNIEEGVEEPETPVPIPTNPGLVMDPEPIDLNCWKVNVSQVSGLRDRITDRTIFVFIIEVERPDAKPHETQRWSIHRSFNEFYVLESKLNEFHGDSLRFSPLPTRKTFVTRDKPYMEQHRLIFSTFISTLSKQRLLNRSELLLAFLSSNEEFRDTLSLGDLNPWKVVKKTLPGKLVGREKGQNLKPYLLKALAQTLAPADRVEEKSDNSLEDVTPTNSGASLATNAVYSSIYGNNFDNVFKETYKNSDEIQLWTTSAYDSILLLFWKPLKCQFEWLTPIFTAIRSLCSKTIDSVIRSILANVFQKSLSVANMTYLIQHVQWSLFCNDAPWPTEQEMKMREELAMRRTLEYFQNNIPIHAQRLIGRDDIKTLISRIIDGLQYPRLNKQLLYVLIDRLLLQVFPELDELGQHPLQ >CRE04085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:136735:139962:-1 gene:WBGene00057615 transcript:CRE04085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04085 MSSSQVVSNSSATPKSKLKQNPPLPSEEIHLPGPHPARGIYGFALYIVSWCLLVIYLIWAITPVPILYRLGITYIPSKLWALFIGIFFPSAACLYVTTIFLVNCWNFRGYAIFDNVQEVKNDFGERSKSNSIDSINEKPEKKSIEKSKEMLKCLNPNSFWVLTRSFSTRREIQKTLNDVKKLGINRSAQVGEIHKRWSAFEREQMNMASENKKPINERYSTESMLGEKMLSLDPSYQKIVEKRTPKSVTSPEPSSEHPITFPLKSMNINTISSSSSSTDIFSNGNGVGTSSDGDRGFYVEADVFVDEYVAGSSLDLDPGDRMVATREYYGSDDAVERISFQKPGQRMAGVEENEQKGSSNTFDNIDDPEISTMTGTIVEDMPASSTKCTGCGANFHCKDSSLPGFVPLEILEKIDRKTIFHHKNENPPQSLCKRCHLLQEHNFLLNVNVCDVDYSRMMSELRKQPESLVVLVVDVTDLPGSIYPKLAQVVGSRRPMIVVGNKVDLLPPDAKTGYMYRFKKTVERAVEKAGLLEHFNILHTALVSAKTGHGIEELITEIYLKYTNVRLGMRGDIFLVGCTNAGKSYVAVFLRKDVDNFIYRTMFNALLQSDLCKVRAVDLVDRATTSIWPGTTISLLKFPVMKPGPYRLEMRRRRLLTHRAWNKKEMYARKLLLAETGDDRYAIPTSVIQNTYKDSEEELQPMALKELQGGEEDEDDVQRRNEERSKYSLDDPIFAKGKWCYDTPGTVNQNQVNYIDRIPRLINVFLQVLSLFTLDELVNVTPRRVLKPRTAIVKAGESLLIGGVGRIDVESIAGYANVLLTTFANDQLPLNVMPTHEVEEFYRKWLGTPSLVVPQGDITRMASWPEFKDDNNEIGRRFEMKGRKDEGCCDIVLSSIGWVMVTSEKDVVLSAFSPANKGLTARIQPILPYSASLRGKRLPGSQFYKVQPIEFPVNVRRKRAEARKREEKKRERENSHNL >CRE04086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:140311:142006:-1 gene:WBGene00057616 transcript:CRE04086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddl-2 description:CRE-DDL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MMV1] MYHVPLVPRDTGREETVFRIDQSLKKLMKVSDDVFNKVEERIVRLHQKAEKIDKRTELLEKKLEQLQGSDNVITFVLPRQLPKVPISEESPSASLFQINVDSENLADSNHLPVFRRADDHILRPCEPIDFTFELKKADKFFLTSQVMREYDQKGWERYKKRLTSGLRDLPLFRDDMNIAELFYAGTSIPAFVGVTGDFSQRHLDADDGTSTRRSGRNEEILNSRLHEQLLEDTALSNRLMADDTVDDDHPLAFRIEQNVNDRKSMVDLPDSLPNLKGHAHDFTLRDLGIDEDLLPDLTDDSSSLLALDIQTSQFSPQPTSVSEPPTLPISPPETLVVELPPVATVIMVPPTVSIPPPPPPPPPPPPPPPPPPSAVPQTPDAFTQSTASSVTFSPTKSVDGGRSDLMAAIRAAGGASNAKLSKITERQVKRKGKFDGILESSALGGKGSTGTPGAVRTPPGGGDLMSALSKALDARRKAINGQNDRSSTSSSHVATNSVPAPPHFSDDEWN >CRE04087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:142102:146096:-1 gene:WBGene00057617 transcript:CRE04087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04087 MCDISTEDQLLDIAKNAAELDKPLKFEYKQHIGFLIRHLNVFPQPYNTLETSRQVLDLSYENKFPIFRNTIFLFAISALDVLGELDNLLTPERRQAFIDWIYDLQLTNAWIWWLDRRSQLSKSRWQHSMDSSIQFETFLEANDFHRLPGHLPFRTNEFEVYNRNLLATRYFDKIETNSDVGLLKIWTVNERSKRRLLECFSVEICSDGIPIIETLKSTPGYKLNMIPPVKNEARPPKAKEPLLELKTSNWSSDTFTRLFLRSPTLLQMVHLPEGNSQDEGDTILRKNIPIFHSAVKSYAESHLLEGPLGVVDYGGRVWIHDYLSESIDKPEKLNANEQEIYQFVTFCDHPKMITVAGNFHVETVDMRSSGRSRACTELWRSPIFEARSRHEAMYVQGVPPASAVIRHISRISGTANNYLVMTDRALHLIDDRFPGKTVLSVEHPFSSGTHRLIVSDRMRDAAGGGDVYSIFSLDQFQVLNSSIAMTKLYSHPSGIWSSVDAFHFIGEPKHFNQTTHRGKYAEPGFISEPTRAMSLIENEELHASILLRQTDDGAIWWQQFSKRNLSMKEKVEEEKRSFKRINERRNESTWKRENYNPVYSDKLSQELRETPNDIRQVISVGSPSVDSRVISKFYQRAVNLQRQSNRLIVTNPSRQLEVAPLDDVNSVLSKITLDTWAAVEKMMNENRSVCGFRGSHSCENSDYDEANLAQTYSALLSLAILGDDLKRVDRQAILKTVKNAQRDNGCFWSQGVGSESDMRFVFCAVAICKILDGEKEEVINWVKLSEFLKSSLNIDGGIGQAPGDESHGGSTFCAIASLALSNRLWTGEVLTRRDIDRLIRWAIQKQEIGFHGRAHKPDDSCYAFWIGATLKILNAYHLISPTHLREFLMISQHPHIGGFCKYPEPGGYSDILHTYFSIAALSLLGEPALNPVHPSLNVSMRAADHISRLRFE >CRE04088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:146309:147986:-1 gene:WBGene00057618 transcript:CRE04088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-37 MVFRKYRAVKQWGKMDTRIFKEIYNSRNKRKMPAFEVPEAVKALGVPVFDSNEIFTLSNRKNLVEQAREQVESEIRFTEEQHPLQKNHPAYIFEGVEPMSDGIAQAALLTRSVVRNGLPDTILHNSAAKLEIDEEMVRDAILHGERYNPTLQKLPARFDPVMFWVRHIRLHGTPVVKRNNIILNNLLRHVILGGRRTQTLEHSLQVNRDAPLSVYLSGGQYFSKTPLVLRAQPHLTVQSPDSIVTMPWAGPIDIETARFNSFYYSTEPLPDIYPISPIIDFETDTLYNDDLLLNRSQQQTHVHSLLWSREQDQKYPWTSEQNMANAILTTYAAAVAEATRNGTTELKKPVLVRGVQLVNGRLDLVAMQLNTLKLTEQGENTEKNIVWIEKGLRLYKPKPYYEQMEVVEKLDMNVFHKFVALMLGFGAPHVH >CRE04033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:148754:151569:1 gene:WBGene00057619 transcript:CRE04033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04033 MSLQGIEDIGAYPVADDSGDLLDPNMIPTAATEVVMSGDGIERRFAEGGDDEDTYQYEYAYEDDNDEQQHHHPHLEIGEEEVVVTEEADYSQHWKEEKKMNLYDVLDGKMYMEDPKTEKRSRLDDQAFTSVYQHGTPGSRGTPSDYPYPVEEYREYSVYSNQKSNVSGDRTCQGCGMTLRRSVFYHHARMIREKGACNLFTPQRFPCTQCDARIGTLEKLCQHMEQIHQAPTQIKTISFTNEEDFKQFRIELEGKGGNFRMARGNKKNKKGVVQYFRCNRLQTLSRSQTFRPVDNPALDDLPSNRKRGRLHQQELRAQSAKQVIRTENSCTAFYNKAYLDNGTIEVRFCDHHLHDDEKLRLPEAVRMRVIELARKNLPHVVILMIVKDERFKYCERNSANDRRIQDMKTQDIRQVLAGNNRSEKSKAPIFFTHCSNSKASARLSRGEVPRDISYDRSMNAMPLAEDDPLRPWTDVRPAAKIDRCSLSHSELRYLDLFDSNREEIMAKLNERTRIEQDKKSLFDSFIHRLSSSQEVIKHLHYRQLDPSESTLMKLKKAHIYLQKIEEHLLNPRREQSNPVRVTSYIRMMEEEARNVERSRQSGAIPGVGGINADDIDVVGIDDEEYHHHMNLHDVVGEEEVGYMDDQEDGEDMELRRAIEEVEEDDYPIVEEEVMDHNVEEVESEVVVEEVVEESIAHEEEVEIDNVEEVRHEDEDVDEDTEPTVTRAGRVVKKKSQFDA >CRE04089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:152003:153515:-1 gene:WBGene00057620 transcript:CRE04089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04089 MSTLSKFPIYSLPIIVIRKVLKYMSLFELVSLSLCSCSSKKICKSVWTSIQCQTARHYYDFICLKRPYIFLRLDFYPFQKWKFNFDKLPKSKKKKNETSGYFSTISRNYISKKDKCESPTQKEGNCSDQLYFSSWTPDEQSFNKKYVTIGDFPPLRVFTMKIWSRSGKLFHDIKMWILYLSDLFNIQLYTISLGLDQLNSSDIQEIADLYTPRNEKETGIERMMLFKYNKKFQAEKKLIHSALRRINVTHELEIYLNPSSKFRFDFKNRQSKPMVLHLEYSKVIDINQVIEMDFQSIRLLRSKLKNYDFKLLIEKWRDGWTPKWTRLMIEFNEMLDIDSYIVGAVTEITDYRDRSVIDRNTPIHSYKFQDKQEYSFGTLIKNGYHIVRFDESVATVTVENNRIGWFDIQSNSSLSRFKALGLHPRTFYVSNDI >CRE04034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:154541:155947:1 gene:WBGene00057621 transcript:CRE04034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04034 MEKSLNRNIIWNALDIILFNRLSLILFYSFWFLVGILALRKSQNALSAVQYVKSNSDSVSLNSIESSSEFEKLIAVLDEKYPRPPAFFLLNQYALNMTDNFLCNTGTLEGAHERFVFVTLDEIARDSISRRWPNIQVFHWPTPSLYKPFSFAEGAYQTIYLLRSNLALALIKNCSWKTFITFRGKSFWMMQQDTFWRKSIFDLNYEDDMSYDAIFDQLGDGDDSLRKEWVNGANWFIRANDATQFFFERLSEKLAHWYTPDVGIMIHQCNTWDKPKCAYIPYNVTYSWEWMFSDQSNPPYLLQLDCETNGGTKLMQLGRYGFHFVNPDGTCNNDKIASAKSRMENGTVEVQMTKTLPSWGRLQFKLYWYITEYLLWIPILGEYMRPYLVLTGFILMITI >CRE04090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:158502:159775:-1 gene:WBGene00057622 transcript:CRE04090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-145 description:CRE-CLEC-145 protein [Source:UniProtKB/TrEMBL;Acc:E3MMV7] MNLKYSILFCFFLALWITQIETRKVTQKPKPPPPPPPPPKKDISWVRSKIDSAKEKYEAGKQKIKSKFSFGSKSDDTKPKETFAQKTTSRKPDLHLKTTKKYGWNSNVQANYAVPNTMFTTKKPLFDRVKEKVKGSEKYVGKAVGWAKKDLGIGVEGPKKPSKILTYGKKAAEYVFKKKSKKTAIAAYSTGRSNSNDYSRDFGNSGSYSGGGNSEVSSDLHQKVEYLKNRLEIMQNTIKGTWNTSEAGTKYKLFEEKKTWNDAQVLHCEELGSNLAYLDSESKNNFAKLLLHSQNITMVWFGLRTEIGTESVSPSQDQFSNFSHLDGCGVVDSNGTWSISSCSMELPYLCQAFRFDVLVEIP >CRE04091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:159966:161726:-1 gene:WBGene00057623 transcript:CRE04091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-146 description:CRE-CLEC-146 protein [Source:UniProtKB/TrEMBL;Acc:E3MMV8] MRSIFVVLLLAAVVQTQFNFHGMSRSFFSTDEEFPTQLQNFEGRTQTEIRTLKEKVEKLEKLIEGLQSILMKEWNQTESGSKYRLFEERKNWDNAEKHCQGFGAHLAIIDNEAKNTFVTNLINSSETSDFAWIGMKTKTTTQTSTPFTNFDSESPIDGCAVMDSKGVWAIRSCIQLRPFVCQIIRNDVNI >CRE04035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:163115:165973:1 gene:WBGene00057624 transcript:CRE04035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04035 MSSSYGLLTFILILSCLAVAYGELKLEKELKVALDTKDVEASPYYKDKVLSLPITKEAGTELWQHWTDQAFSGLISAIATRRLNLVEKYDKKKHEKCSSEAHDITSHAKCLVALEGDGLTNRLLKRKKVCSQISFWSTIRITEKRKTIEKMSKKSLKSNEKLKKLRSMESYEASKSAEHKNKRRRRILKKSSENSGISNELSENDWVGSFKTVKRAKRSIKVKNADSYILKTEYDKSPFATITKHLTKTVKIFNKKDKLSKWQDIIERIQKKGDMMKKRKQVENLQRKRMQVFQNAKRIRSSEDSLLEMRKRRKNNEDGSILNFANLEKHIDDEELKEMFHQKVSNMTEEEKMMMIPIDLIRQATKIGLGLSGHNTTDMDTKTIKLISPRFMSVLPEDEEARKNEVDVLSPSLFSLHDSGSDLEKKTSFKSIFGMADKVGMTAEDSQNFLDLLVEATGVAEAVEEAEEKLTDALRKKDDAMGRGPDGQPLYFTKENITERFPAEAKKIELFEKLDKTYSIEQLKDMNQTGYTVLNPKQMQMIYGKKSPFKNPRLLKTFNNMTRAEIQRSIHSTIKDVADKKLKFEVRQKDIVLSPIVNTAIINNPALASQALILSPAVMVPLIQSPAIFGTVVLSPWLFVPVILSPRILSPVILDPFMFVPIVLSPLALVPVVLSPGVFNPFVLSPLLLCPFILSPQVMTPLILSPFALTPLILNPLLMSPLVLSPFVLSPQVLSPQFLTGIILSPYALSPAIESNGKLVTVFASPSWLS >CRE04036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:166776:169532:1 gene:WBGene00057625 transcript:CRE04036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04036 MEKIATLWTLLAFLCVPEIIADMQFQKELQVVLDTKDVKASPYYKDKVLSLPITKEAGTELWQHWTDQAFSGLISAIATRRLNLVEKYDKKKHEKCSSEAHDITSHAKCLVALEGDGLTNRLLKRKKYFHQKKRKTIEKMSKKSLKSNEKLKKLRSMESYEASKSAEHKNKRRRRILKKSSENYGISNELSENDWIGSFKTLRRAKRSVKTVDNYSLKTSYDKSPFATITKTLSNYVKVFKKKEKISKWQEIIERIQKKGDMMKKRKQMENLQKKRIQVFQKATIGSSDDSSFEMRKIRQQNGKSSNFANLEEEIEDEELKKMFHQKVSNMTEEEKMMMIPIDLIRQATKIGLGLSGHNTTDMDTKTIKLISPRFMSVLPEDEEARKNEVDVLSPSLFSLHDSGSDLEKKTSFKSIFGMADKVGMTAEDSQNFLDLLVEATGVAEAVEEAEDKLTDALRKKDDAMGRGPDGQPLYFTKENITERFPAEAKKIELFEKLDKTYSIEQLKDMNQTGYTVLNPKQMQMIYGKKSPFKNPRLLKTFNNMTRAEIQRSIHSTIKDVADEKLKFEVRQKDIVLSPIVATPIINNPVLTSQPLILSPAVMVPLIQSPAVFGAVILSPWLFVPVILSPRILSPVVLTPFVFAPIVLSPLALVPVILSPGIFNPFILSPLVLCPFILSPQVMTPLILSPFALTPLILTPLALSPIVLSPFVLSPQVLSPQFVTGIILSPYALSPAVESTGKMVTVFASPSWLS >CRE04037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:170479:173190:1 gene:WBGene00057626 transcript:CRE04037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mltn-5 description:CRE-MLTN-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MMW1] MIQPDEESSKSSKMKGGTKVAALPLSYHFRSLYNRVQKISLDPETNRLLIYPFYFSEIQGNSKLEKELKVNIDTKNVKSSPYYKDKVLTLPISKKSRTELWQHWTDQAFSGLISAIATRRLNLVEKYDKKKHEKCSSEAHDITSHAKCLLVLEGDGLKNLLLKRKKYFDKIARNSSSSKSKRMQKYSRRLQSLESMKNYKASRFGGKMRKSESSVVADEKSWIGSFKTLKRTKRSTIKNAESYVLKSDQDRSPFTVITKQLSETVRIIKRKEKLSKWQETIERIKANGESMKKRKNIESKQRKRMRVFQKTKSLQLSENNSPERKPEKTRGGLLMNTAKIEEEIEDEELKEMFHQKVSNMTEEEKMMMIPIDLIRQATKIGLGLSGHNTTDMDTKTIKLISPRFMSVLPEDEEARKNEVDVLSPSLFSLHDSGSDLETKTSFKSIFGMADKVGMTAEDSQNFLDLLVEATGVAEAVEEAEDKLTNALRKKDDAMGRGPDGQPLYFTKENITERFPAEAKKIELFEKLDKTYSIEQLKDMNQTGYTVLNPKQMQMIYGKKSPFKNPRLLKTFNNMTRAEIQRAIHSTIKDVAEEKLKFEVRQNDIVLSPVLNTALINDPKTASQALILSPAVFVALINSPALFGSVILSPWLFVPLILSPRILSPVILDPFMFVPIILSPVALDPVVLSPGIFNPFVLSPLVMCPFILSPQVMTPLILSPFALTPLILTPLALSPIILSPFVLSPQVLSPQYISGLFLSPYALSPAIESKGALFTVFASPSWLS >CRE04092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:173336:174203:-1 gene:WBGene00057627 transcript:CRE04092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hot-3 description:CRE-HOT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MMW2] MSRPMTFLTIFTSSSKCYSLLFPILIILITSTSSTDSTAAGYRQNSHNRCYSCMSEMYEGFLNKGMDRYFNRPRNFSSQCDGEMDITNMHTVPCRTICLTIQQDLIVLGQPTGHRLYMRGCALTIARRGLNNHTLSMFDRYDICRDMSASDLFRHEQADSQRIRVCSCLGDRCNSAISTSNSQSLSILAILVLFSSFFV >CRE04093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:180252:181628:-1 gene:WBGene00057628 transcript:CRE04093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04093 MSKQPKTFRSRSSDHVPVVPTMVSQSNAVGVKRIHVWRNADVFFQGIQVVVNTHRVPSIDVLLDVISSRIGLINGAKKLYTTGGTLIKDINKIKDGENYVASSSHFTPAAYGGQQQQQVIKKKKKRSKTVQGRKTEHIELGEEISIPLNEPVEHEKKKKKKKVVKKKVRDHSPHHLDRATHHGSDETLAETAEVELPSEIEDSEEEEDERDHHHHRDKTPDHHRRPSTKASVGPSRSTSRRESRRDVHDHDEKKNEDGEKKNKDEKRRKSVIRRKSQSHHHGQQPNWGDLSSFTKNIDSLLRN >CRE04038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:182283:183760:1 gene:WBGene00057629 transcript:CRE04038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-44 description:CRE-SRE-44 protein [Source:UniProtKB/TrEMBL;Acc:E3MMW4] MIFLIGNSSNNFTVISPTTILHDHLLRSYPKNMYLFIYAILHLFIIFYVLKCAYICLKIRVFHWNLTCLIFSCSVQWFETLIGTLIILPYQTGYWTLGESNVTVQQGWTDNESEMVRVSDMYHLFFVGAFLKFHYILSMGLTGFILAVERTFACYFLTDYEKNPRVYLVVILIVGHQTFSLFSTFLHFFHILPNLFHMVILALIPNFLSSIIFAVTENYNQKVTKTIENFANPNNYTLAARYQAKENVRCFAMIKKVIFAGIGMIIISCASATIVYLGILPEQETLFNFIFEASLNLGPLLIGPTLIYSVSSWRNYKIFKMPFSSKITTARKMTVKIVAPLERDVELKKETDTYFQQLKTSWM >CRE04094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:183927:186320:-1 gene:WBGene00057630 transcript:CRE04094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zip-3 description:CRE-ZIP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MMW5] MQPSYDADDFMRRGFFGSLIGFRKRSASKPEPPLPPKFTPAPPQQPTPSTHFQSSSSSVPTTVHHLTVPQNRTEYDIYQEIVSEAEYIERSSPATPDFSCPTTPQPSNYYTPLPLPQQHPHFDPSLRMPQHPEMMHHPSQYHMVMSPIAPHPIPVDTNGVPLGTQCPMAPPPYSSFAPQSSSGMQQQQQQTLPQMPHIPFDVKREIQEHLVLQNGKQQMSIEDIVKLVVVALKDSQIEEGKEEEESPEEILRRKRIQNNLAAARYRKRQREARESAEQELGDLTKRNDELREQVSRMEREIDQLKQAVLARQ >CRE04095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:189025:190622:-1 gene:WBGene00057631 transcript:CRE04095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04095 MPTPSTKKKVKKVAEDPLSSSGVSSLSGISAYNIRKISIYKNGDRYHRGVKFVINPRVVKDMEPLLNQINDRIELSHGAKKLYTTDGKVVGSIKELEDGKIYVAASAQFIPMRYGEFSEKVWKPPSRKHSPIMSSDRIKTARTRSQDPSRRRQPQNSGNATGTTTQNSGSGVQRSRSVRTLAEDRKSRRDNSHDIRTNVNGSTVKKPAVPKFDQPRPKAPVPKQSIPKPRKTLPASTTTAKKPPTPKRKISKKVLGAAAGVGVTVVGAAALGAVAAASKKTKPATPKPKPIPTGVSVSSTTSSIMPVPNVESGAAEILEFPEEEKKIDVAMIHRSPTPPVVPSRSASAKSIKKPETPAADRSAKILEAKSVSQQPTPEESSEEEDEGHEDDEDVEDDEEEAGSDEVEEPSDEEEGDDDEEDEEEEEEEEGEEEDESRIGTPASRKSSSAVS >CRE04096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:191605:192402:-1 gene:WBGene00057632 transcript:CRE04096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04096 MPKYRLVFLLLFVILIDSEAADLLTCTSKEEPILVSGSINKEFSMQITEKVKNCNGYCVSKLVSLDATMADGNQAHIRNVHFSCVPIVPKAEQMCSKTCTTQIYKDDKTSKSIDRSVGSLKRVLVKEIGDFSFEKAEEKTCCCKDAKCARRLDATMRLNLRSNYKIH >CRE04097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:192634:194018:-1 gene:WBGene00057633 transcript:CRE04097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-43 description:CRE-SRE-43 protein [Source:UniProtKB/TrEMBL;Acc:E3MMW8] MNLLLTDEIIIWLPIHEINNPAYINFNYLVFNIIYFILLLISAYFTWILVVTSWKIRKFHKNMTISFSFYFGTWYECWLGLVLVWPYKCGLIFVEDTHQKFSNFETTDQSIMARITNYPESTCLLFGSFLIWHYLASTVAGMCSFVIERAIASFFFSDYEKKERSYIGYTLLVTSQFCVIQGSILILFYFFSLKSALIIVIIMLSCVVLVSQLGTISLKIHKFQTFFFLLHYNTSLRNRLDIKQTNISYNLAARFQAAENARSLRLAIFVFAVICCIFTGAISMLGFWVLHLIPEYYEVAIMTAFECLVSLNPLFIVPAAMFSVPEWKIAFYKHIPFVNGRYHRRRRPDSEILDHELRVSMETNLYFVQLEDSWKNSNL >CRE04098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:194565:195836:-1 gene:WBGene00057634 transcript:CRE04098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04098 MSPPSLQLNSGHSIPTIGLGTWQSKPGEVSAAIKTAVAAGYRHIDCAHVYQNQKEVGEALKEIFEEGKVKREDLFITSKIWNTFHSEANAHENVNIILSDLQLDYVDLMLIHWPQGYAENEGLFPAGENGKMKYSDIDYLETWKALEADQKAGKCRSIGLSNFTHKQIQRVWDAAEVKPSCLQIELHPYFTQVKLREFCKEKGIVVVGYSPLGNPGSAFFRKDGDPNVLTNEVVASIAKAHGKTPAQIILRWFVESGLSAIPKSVTPQRIAENFAVFDFKLTPGEVSQIDGLDKNWRIVDLSQRDGDHPHCPFLEEF >CRE04099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:196600:197816:-1 gene:WBGene00057635 transcript:CRE04099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04099 MKPVLTIQLNSGYEMPAIGYGTWQIAKSKTTDKVREALDAGYRHIDSAPSFRNQAEVGMAVKNWCSSGKSGREELFLSSKIWNTHHSREKCLQQIDEMLTDFDTNYMDLVVIHWPFGWAEDDAGGYLPRGNDGKFIASNVDYLETWKALEDAHRSGKIRSIGLANFNLEQVERIWTKGLIKPAVLQVEMNPFFTQKEVREYCREKGIALLATMTTGNPGSSCYRKHEDPNLLYNETLIAIAKSHGKTVPQTILRWIIDIGHTAVIKSVESKRIRQNINIFKFHLSTDQIERIEHLNLNFRILNPWLGNESHPHFPWPYVKE >CRE04100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:198248:198712:-1 gene:WBGene00057636 transcript:CRE04100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-48 description:CRE-SRE-48 protein [Source:UniProtKB/TrEMBL;Acc:E3MMX1] MLLSQNYNQKVTRIHEKYAHQSNYSLAARFQAKENIKCFKLIKKVLIAAFCIVVFGFSVAIVLFLNVSPQLDTLWNICILAVMSLPPLDICPAIINSVDSFRNYPFLPIEYFRVKLKIGRREVAIIPRKRSSAKSDDIRKETETYFNQLAASWT >CRE04102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:199875:201103:-1 gene:WBGene00057637 transcript:CRE04102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04102 MIFFFENLGKFKNVTICYPIYIIQNDELLTFPWFLLEVIEFSLYVFTVFYLLKVFYIAIKIRTFHKNLTTIFVFFVFQWYETFVANVLSWPYETGYWTLKGTMNENQTNVILKQWYTEKLNEMIPMSYHKSDIIFFLSGFLKMHSSLSMTTILLSISVERCFACYHLNDYEKKSRFWIALLIISVSHIYNFIIAFVFYFKLIPFECMIVCILAPNVIGFKLLFYTRYLNQKVTEAHEKFASHSNYTLAARFQAKENIKCFRMIQMVIICGMGILALGISTAVLLFLNILPKFDTLFNGIIQGEMSFTPMIISISINYSVESFRKVKVFDWDSIWEKLRVSRRKVALVRKDSSVKIEMIRKETETYFNQLANSWI >CRE04104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:202251:202791:-1 gene:WBGene00057638 transcript:CRE04104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04104 MKVKFLILLSLLFTLSNSADECADTSDKCSAWAKNGFCTNCFYNCDIRVKYCAKTCEYCTGQKTCENCTVTTTKPPPTTTTINCVDYGDYCKSWAMKGFCSNCFYKCSDRIKYCAKTCGFCTAGSCTDCNNVQNFKSLFSQTSLELLQE >CRE04106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:205504:206857:-1 gene:WBGene00057639 transcript:CRE04106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04106 MFRSTSALLLVLFYFSLTNCQFSISTQGPCQDDPTTDCKDYAALCNNKMYQSFLDVFCPKTCGLCPGDTTVAPPTAAPNCVDTNINCKAWVKEGYCTACFVDCSDRVKNCAKSCGFCVEGSCLNYLCYQFNTPHPTPTRYLDDYKTYAESHVLIRKTAQMIRHSLFLICSVSFLLLVSCEYFEDTKCENDPTVDCDSFKDDCENDKLIPLLKKSCPVTCNLCPSTVSPTTLGPCEDSPGSNCSTFKDYCDNEKYIPMLKEFCPVTCNMCPGATTVSPPTPNPHCYDNETKCKEWKSRGYCTNCFYSCADKIKMCAKTCGFCTKGTCVDCKK >CRE04039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:211820:213210:1 gene:WBGene00057640 transcript:CRE04039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04039 MHPKLDPILILFPFLFISPSVAQFTSQTYPDPRLDPFSCRLALPSQVCDPSAIVSDEERSRLVQRVNQLHSLTSGIKNTSPSCALMPDRNLEIIVAIIDKIGSVPGVPIDIEKFANNLKRRYQNFQDVGMCDTTVLIVNSRQDRQVFTVAGRDAKISKDTLKSAFERNIGHFKTGRYALGLEGMIEVIVAAYSNAHIVQVPTPEAFRPTDFMPSPAAVSAVESQPFRAAGLPNSVQPAKRPFPAFNSIQENIEEDDKIWVSILQQAMARCGQNDADLPKHVRAVVEEAMNISLKLISDSRYNKIEEETEQNKEVLGSRQKAWDTATNEFIRPLFQKYRNSVLSGATQTCPVSAAGTRKRHL >CRE04040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:214294:215757:1 gene:WBGene00057642 transcript:CRE04040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04040 MPPLKLVKILFQREPVAENQPGCCDVFIDLLRACGLICYVACPPVPSIVTRKLAFHPPEKGMTYRIALKSDPEKRFKNIRGCRDEPVQLVVRNISNGADYIHSEKEVEVFSVTTANNNDLVCIKCTPDSYSSNPAVSDQVVLFCQPNSSDLGGFLQPSSMNFVTYANVFETDFYAFDYSGYGFSSGTQGEKNMYADIRAVYDKIRETRPDKKIVVMGYSIGTTAAVDLASSNPEGLAGVVLIAPFTSGLRLFSSKPDKPDTCWADSFTSFDKVNRIETRVLICHGDLDEVIPLAHGMALYEKLKNPVPPLIVHGANHHTILSGKYIHVFTRIAGFLRHETLVSCRSIEVDSQQSSSKKKTESEE >CRE04108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:215873:217771:-1 gene:WBGene00057644 transcript:CRE04108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-37A1 description:CRE-CYP-37A1 protein [Source:UniProtKB/TrEMBL;Acc:E3MMY1] MGFAVYLVALVILYVGFNLKKILKFVKERKRLYELMDKIDGPLALPLLGTTFQFKMDPVEFAMQLYNWGLEYSAKGASLAKFWMGPYPMVIILTPEASKKILESNVLINKSSEYDIFLPWLGTGLLLASGDKWRGRRKMMTPSFHFNVLIDFQAVFDNQSKILLEQLEEALKKVKDNTIDAFPYIKRCALDIICETAMGTTVSAQTNHTHPYVMAVNEMNSLAFKYQRMPWLWIKPIRHLTGYEADFQRNLDIVTSFTKKVIEEKLKEHEENGGISEVDKSKKAFLDMLIDKKEEGGLGYEDIREEVDTFMFEGHDTTSAGIGWSLWCLANSAEYQKKCHEELDQIFEGSSRECTVEDLKKMKYLEKCVKEALRMRPSVPQIARSVDEEFELGKINRILETITLFFSDGTIIPKGCSIMVSPAFLQNNPRTYANHEIYDPERFSEEEISKRHAYAYIPFSAGPRNCIGQKFAMQEEKTVISWVLRKFHIHTDIGILENFPLPETITRPTMGFPLKFTVRQ >CRE04109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:218725:220523:-1 gene:WBGene00057645 transcript:CRE04109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04109 MVKRNKNENEKPPLPTTPPPPPVGELPVQYKRMSASRNDPPAPPSNRLYGFIPVLICLSLLWFAWSQWHNPPQVQQQPVRVEDHHKKRVWWMPDLLKTLPPFAEGYRLEHYVPKYKISGCAINGNFPEISAAIFCFLHNSTLFTAANKTISEDLGENGLCSKIKSFTMTDWKLWKKHITDKKLRRVAFVQNPLERFARTFRKICEIERKCLNCQENVGCFMRKLIKEHEKVAEGLAGHVRSYITNYFSPQSWNCHFNRQLRVVETLKIGGNQTELARFSDELSKILGEQGVPKEALNTIKEEVMKIPLTLSADERRIMEKIKKDEDLFRIFRYLYEHDYIIFGFDI >CRE04110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:224682:228248:-1 gene:WBGene00057646 transcript:CRE04110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-5 description:CRE-DAF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MMY3] MSDDSIGSSQRVAVEPEHHTPDLMDNDPLLENLKALHEETRSDDEGQEDDGQPGTSAPKKKEEQEEMAEPIGYRTKDEYHGKFAWISTMGKRIPSLLLNGEPYMPIEFLRKELSELQSLNSVENFTRFIEIKGIILRMCNPVQFNAIMDKSDVCRSLNLTSINLISRSDLERIMGESRTESCLTKSEHDIWNPEDRIHIVHINFVDYLDDWLESDDLDEDPMEVGEKTITTTILLLKLYFQSGVHGYWYKNRPTMRCIICRECDMKFTPNDFIVHHHYPKKEGGVIHMGLNSQRWSELIEVHSEHRTEVNLDAWNKFRMNSHRIGKRAYDDAEPQEPKKVAREKKIVVDDDDDSMEEDEDEGEEIPSEKPQLSDFLGPKGLKGLVPRNKLEKTILNCLNRMDEATLELLFLKTPEEYYTWIRECEFRQKVIAQQKEWEAKQKDPKSRLRTSANFNPNIGSFENMHMLHSAPKSTQKEIKLLADRFSRLQEEMSGQKVFNAWEFMLKEKELIASSSTDALRVLSNKPLPPPPKPKVVTPILPIKPTLPTLPISFSNINLAQVAQQLVASGIKLPLPLPLPLVSPASSTVPTTSAPTPAPSKPLPVLPFPTMPLPALPVNTNYEILVSFELNIFPRLFDCFQKQQLSLALSSPSLFPIFYPKIPTSAYENLVNLLKTTAVKN >CRE04041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:243554:244019:1 gene:WBGene00057647 transcript:CRE04041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-11 description:CRE-RPB-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MMY4] MNAPAAFESFLLLDDKKFYIEKDTKVPNAAIFTINKEDHTLGNMLKVQLLKDPEVLFAGYKNPHPLEHKILLRIQTTSQTTPADALTTAITDLVGELSLLEHRIDAAIKKCTSASDHDRGYN >CRE04042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:244163:245315:1 gene:WBGene00057648 transcript:CRE04042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04042 MSAPLIRVSRKRTADPHEALILHTKRAKQAAPLVFTLFKAAASGTDEELTGARVLDLPRVENRMEDERLVGESSDNPLGFVKDEAVGLVGEVEKQQKAEENVQVSLNGRLLLPVTNSESASAQDDVVYDYYAIHEKPGNAGIVGGDSANWEADIEGADVRFANRDELDLGDDDDSDGPPADDEDDSNDEDNWRNDYPDEDSYDEDSDHGDPYGMLEPGRWHVGEEYADESFNRRLQNMDLNEERYESYFEGEDTDDDGE >CRE04043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:245605:248244:1 gene:WBGene00057649 transcript:CRE04043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lem-2 description:CRE-LEM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MMY6] MGIQDANALCEAVLGFNDVVEKVCKSVVEDILGELYTQLKKFEPDHSACTHLHLCDACIVPGFYTEAPTQLPNIQHKQEVDAATLIRGIAKLHHLAFKKLTMVDVEKMSDAELRAELTSLGANVGPVTGTTRSLYEKKLKKLIAGGAKTPARPTPSASVVAKSVPKTTQKAVPKSPSRKPEKTPDTSRRSIPRAAANSTINSTFNRSEVEEMSDSDDGQEDEEILSPKSRQASFRSTDTTTSSVGRGRPVSSTPTKKMSPINKPSPFTTSSAARISSTKTTINTTTRIPTTPKSVHVPVPGLITDFTPSFATFGSDRPGATPPRKSIYASKVTKGLHDLGNTTGEEDDDDFEGQESSRIIYKSEEPSKGIVKNAWNKVLGYGFNASKVPGESYDLRAGSSRVRVQKNPRTGKVTVKQSNIFNDAIWVALYAIIILFVVLVIAYALTTHQPKTANLAGYWGVLKAAGRDSINFFYNYAILPIATLGILVIIGAGIYLGHRKYKEQKEIEENKLYDLIENITDLIKASNAEGDEYLSQPHVRDLMFPPAKRRAAEMTRWEKAVEFIDTNESRVATDVLVLPSGNECAVWKWVGNKSTQKRW >CRE04044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:249133:254719:1 gene:WBGene00057650 transcript:CRE04044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xrn-1 description:5'-3' exoribonuclease 1 [Source:UniProtKB/TrEMBL;Acc:E3MMY7] MGVPKFYRWTSERYPCLSEVINESQIPEFDNLYLDMNGIIHNCSHPNDDDVTFRITEEEIFINIFAYIENLYNLIRPQKVFFMAVDGVAPRAKMNQQRARRFMSARTANSQMEKAIANGEILPTEKRFDSNCITPGTYFMTRLHNKLDEWIKKKSASDSHWQGRKIILSGHNVPGEGEHKIMDFIRTERAKSGYDPNTRHCMYGLDADLIMLGIVSHEPHFSLLREEVTFNSRPRKNEQKNKKPKRTDSDVKTFHLLHLSLLREYLAWEFADVKESLPFAYDMERIVDDWIMMGLLVGNDFLPHLPSIHIHDDALPLLYSTYKKVLPTLDGYINESGYLNLARFEKFLEQLSLNDKNSFMDKLEDEQFMESKKLRPSQNDIFQEASVEEELVAFESSDIESDAEDKDDEKNETDENGDDDAAFVSDHEEENESEDKLSGKELSSENSDDVLLEEEFNDELATLALSGMDDADFANNVEACWTKTLDNQFKRHKKSYYSNKLRYKNISKTELRAQAEGYVRAIQWNLHYYYHGCVSWSWFYPHHYAPFISDVRGFANMKIEFELSQPFHPFEQLLAVLPEASADCLPKPLQELMSSDPTKSMIHDFYPANFETDLNGKRNDWEAVVLIPFIEEKRLLEAIESKRNRLSREENMRNTHGCHIECISTRQDDGQWSVIRSELPQEIYRIPKEQVKWGLLPNVKMDVYFPGFPTMKHLSHSGQLKFANCNIFGMASRKESMVLKVENEKSEKDIIEWGSELCDEEVCIDWPILKLAKVDSIWGGEDKIVRKLPGDDEIIVKDMNEEEKRQWQAYVNQLTERLMSRYAIEIAGQKDSKGKHIRPPIAWVRKFTGLVYETKEGEDGSAPTLKAVKQWSSPQTLIPVLLPLVVKDVLLENARLLADLPVPIAYPQQSVVWITDTRVALYGMPGMVGGYRNEKTSNCQVDVVGMVSDNRIAVMEELRKQVDQKSLRWMAGYDCARQCQVDVRLFARITGTMFLWNEPRARVEKGQQLSSDSKINCGLCLKFSKRDMCVADYTDRTEHTNHKGMTTRVWSYTNLTCRLITEYKKKFPELWRHLESASSTQMDDVYYAEDIWAEKVRDHRFGELKEFLDNLPSRDAEQLKCGTVYVDRQMITEVEKVISADPVKKPVMGRFYVSPSILFRYELYDGKVQADSEVEFLILDRVSIMSSDTKVPKVVQGTVVGIHDDKIDVLFDREYEGGSKVRGSRLTSAFRVPRTALFNITFGLIRKNDQNKKKVDQEFDGAYTPLPQKNSKHQQKNNDWQPSTSTPSTSSSYSNSKNQKNNSKKDNQKQSKKEKKCENNVMKRNSVETPEALTNSLNKLLKIKSGNPQAAAASSSSATSSEVPTTSGKQVSLMELLGGSTSKKNTQSGPQMLKKPDGSKNLEDIEMSNKNQKQEKKPANSILQQLSDAQSNSKKQKHQKNQKEKKSDDWQKKNDVSKASKSSKNSKDHNNQKPKVEILRKTSPTQQEQQHAIHQTHPEQQQHAPQFKTSSPQLAHPQPSGPFVMSPPNFNFSVPPPAHFNQPPIAMFPGMVQMTPMGLQMAPIGMVGQHNQHNMGYDNRRHNPTLTDFKPSAISRRPNHRSTNQPPKVTMITKRKTVTPPPLPAEVDKSVENKANTPPASSEEKSKKPRKKKQSRLGVNFCNPSPST >CRE04111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:255022:257018:-1 gene:WBGene00057651 transcript:CRE04111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-87 description:CRE-COL-87 protein [Source:UniProtKB/TrEMBL;Acc:E3MMY8] MHPLSSSFFKTLAYIPTVLLVFSLIVLVYFSTDLQKFEAKCKSQLILFQTFEKAAWKDIIDFEKIHTRSRRQTENSTPKKRKTRKSKLRKMKKPPKLPHLYQKQLVPVAEELTEFEGYRNSDWKKESKSDSENESGETEITTSGETENPDDIGIEEKETEENEEDSEDLEKQETSESSTEQPITEVIRNMSTREMKMKYGRTEGGLAQLLEIDDGGDEEEEEDEEEEVEGEGGGETDGYYTEEDGDDNEEGCADTDIKCYGNPCPRGPPGPPGLPGSPGEDAPDGIDGGNGTEWELQAEDRVIILGCVKCPQGPRGVEGDPGPPGDKGGIGKNGNPGLDGYEGFPGQTGEEGESGYDGDFGPDGWPGQRGEDSKIYRNLPGPPGPPGVVGPPGDNGDYGNLGNAGTEGIAGVAGAPGHHGIHGPPGAPGAPGEPGLSGGYVGHCKCPERRRPVGGGGGGYPLSPQPVYQTPQSVEYHLPYATSTSGYYQSSSSFYSESNYVETTTEAPTTSIYRYFVKASAPGYKTLSTDSEYVETTTKFIQTSEYSAGKENAYVERFLQKASQHLSESEAFDPPEDHLSISSNGRI >CRE04045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:258033:258358:1 gene:WBGene00057652 transcript:CRE04045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04045 MLYVYKKLQEAQEKSDETTKPWKMCEICDTEYGEAADSVPRVLACGHTICHTCATKLATSEYLRCPFDRKCTNLSNCTLESLPKNFTVLHM >CRE04046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:263309:264809:1 gene:WBGene00057655 transcript:CRE04046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gur-4 description:CRE-GUR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MMZ0] MELQEVLIAQPDEQKPRNFKKSEQEQLELINHYHKHFGSYVFFVAKILRIDLRKPGRKRKVNGIVAGILFLIATYSICFNIRITFSTRHLSAELAAQLLIILWAVQSLISMGFLVYWQIYGHLSEFRIKLALCQNLKGLESENGKKYVEVTTRCFFSAIFLTCSITAALATKYHMEEKHTEFQEKQSFIFVYPALRPLYTLVTTYLYIVFNMTLFILIHYTNATMLEMRYFNEEISNFNGSGEGASEKLMEHLEIYSNLVTVIRHLDLIFRLYTFIMIVITIPSMIFTLMMMNHRIHSLFDLFLCMPTIGLCAFSFFAVTIAPARLHDEICRSKGFLCQNRSIWFPYRKEVYLIANTLCSHMEQFDLGVSVWGFALLSRPLILGTLSATAMMLSLLTELAPKAELLNEV >CRE04112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:264829:265513:-1 gene:WBGene00057656 transcript:CRE04112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpg-7 description:CRE-CPG-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MMZ1] MQTVTILALLACIAVPIFADFDHLRARRDVVEASGEGSGESSGEKPIVEASGEGSGESSGDKPVVEASGEGSGEGSGASDGVLESSGEGSGENNTNAVVASDSPKDVKALTANEFAVSV >CRE04113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:269903:272481:-1 gene:WBGene00057657 transcript:CRE04113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04113 MPRTNCFYVSLLILVWAKTGEGALRVQLPDYDKNSWRNVLKRVAPDWVLEEFQDVRVSSAAENGFQLPKSGNARIEISKHGKKSVMANTPTDALNAINTYLRTQCLSQVSWSNSSFSSGCRKHSNDILNFESKQIRYFGNMCTFSYSFAWWEWPQWEKFIDWIALNGFNTVLMPLGQEAIWRDVFMGLGVERDELDSYFTSQAYLAWHRMGNLKAYGGGLSDAQMLNDFNLAKRIINRLLELGIVPILPTFAGFVPDQLEKDFRLFPTSKFNRLPCWNNFTSETSCLLSVSPFDPLFQKIGSTFLRHQKKMLGGDITNLYSADPFNEILPSDSSKFDASFMKQTAQSIMNSCRKVDKNCIWVLQSWSFTYDQWPNWAIKSFLSAVPIGNLLILDLYSEVVPAWQMTSSFHGHNFVWCLLHNFGGSRELRGNLQKVDKGYQLALMKAGSNLVGAGLSMEAIDQNYVVYQFMIDRMWSQEPIPLNNWLKSYSESRYSADFKVSHKFWTILAGSFYSQPEKWGNPRFSVFLYHRPAFAKKIEYWFPVEETFNHLQSLMPSLMHVLGDHPLFKEDLNDVMRAVIQFEIGNEAALSLTEAFLMEDKQQIGASCENLMDMFQKLESYSNRDFKEWIEDSKSIAPTSEERQVFPVTASDILTVWGPTGQNLDYAHREWAGLLSGYYGRRWQYFCDWILEHDVFNHTDFSISVFRDVERPFAISNI >CRE04048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:279355:282514:1 gene:WBGene00057658 transcript:CRE04048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04048 MYFSEYSRRPRLIFDNCQDGWFPFQNFKVGQQLEQVEIHIKNSCFGCDFDNKKSPKSMDLIDRFGSFVVRIDHKCNWRYWNFDVSNINVKKYDTHMRPDLIYTSAVDVISLKVKGFGTNLNLMNSFLVKRFRLDWIRSVGVTNFEYKNGCLVFGETDPRAAFQLKELRQLHYDKENFQNSAPFVINSSNMACIDISEKIKLYEKFGVVALHILDSCHDRRKVLILDGRQPFEYIENRGDAKFRPGDTLLFVRIRYFTEKDHLKKLDEWLMRLGYHNVIEVGESQKVPKVPEVTVRDSISTFNPLPYLKRAVRGHDSDGNSNITVSETLEFYARITKFFQLPIFYFRNNSGLDCGRRRTVNVLTRSIGENTATSLLDCECGQMTSSGFFWDHCLSHFGTIEIKGSTGSEDISKYERIREIRDGQLWIHDTETLTDLKSFKALKHIQCESKHNEGFENIKTSAIRIDGKNTKLENVELLNLTKTENCDRLVSIYGDKKILPIYSESQWMHSNSTNNLGGNKSKFTIVMKSLVIFILSACIAYSGRNGGLTAEFAHQLEGCVTIEGDLTIDGFGNDVPKVTAALRNIHSVQGNVLIKNLYAENYTFLKDVKHIGGNLTVQNNPVLKRMSLSLRTLGGEINILKSKLLCLEDSEMILLIERLKNKTSVMGCPYPDKNILSLFEDRFGDVLNLSHIGIALIFPIFVCYIMGAILLKQLKVLNITRWRKITDLDIRLKTDGNAYLNEETSDEEPDEMRLRKETLAWYGLDGNAYGGEQIQQEKKLFGENRIHKMTAWMGTKKELESDCEFFGQSWLRRKQKTKKQAKEEHPFESRRTRWHVRETLSKVEGEDVEMEIAFYDSLSSCIKEVSNDGCIDVRNRLMILLSMMEREGFMKKPHIVSNYNKIERGIAILETYAAKHDVESWKRLAELKTKVNKEALDVLRNEFQISSDAGDTKTAKEKRMTAGSQEIAKKRKNKGMFAKVIVETLHWIPPDEIEYERRKKTDHGHF >CRE04114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:282696:283448:-1 gene:WBGene00057659 transcript:CRE04114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pid-1 MSADREFSHITLSSTPFKYRMDQQAKKNDSDVEKDRNIAIKCAERFNYNTNLLRKVTLSDRFELAALGFEMKSKPSSYLDKNQESEEFDYIYRKEKKQDYNASPLPAGMSPTNPLPAGRGFLSPAIQATNSAFPFAGSPRSTPQKYSNSPAPRQPIRSLFDDIPANIA >CRE04115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:284623:287182:-1 gene:WBGene00057660 transcript:CRE04115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhx-2 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:E3MMZ6] MNLLQRSLILLIGLLLVVNYAGAECEEKEEEYPSRYPIAYFEWENVKIPMTICLWLIGASIAKIIFNLIPHLNELFPDSALLIMIGLIIGIIFKLAGVNKNAFFLESEVFMLYLLPPLVFDAGYFMPARQFFDNFGSILCFAMLGTTFNIVAIALSLWAIGLTGLFSVETPLIHMLLFGSVAADVDPVAVIVIFEELKVNEVLFIAVFGESLLNDGVAVVLYRMFLTFSEIGTENLITSDYINGGVSFLVVAFGGIGIGLLFAFLASLLTKYARGDEIKVLNSVFILILPYTCYLCGELFGLSSIMAIVFCGAAMRQYCRENVDPDTVRSTESFIKVLSLASETVIFVFLGLSTVSSNHHWDTSFIVLTVVFCLIYRTLGVVVMCYFLNKYRLNKYTKVDQFIMAYGGLRGAIAYGLVVAIPEFIPAKNMFVTSCIIVIYFTVFLQGITLKPIAEFLQVEKKNVHSKNMIEHIYSELIDTTMAGMEDIAGFKGHHWIRDSWNTLNNNYLRPILVNKSNMREMDKTKLVRKYKHLVDEDAKKIARGDYNSNLVFTRALIEHTRSRTNTVIEGVSPTGKIDFGKHMKEQHGITLYDEHDNVPVSTSNIFQETSEIEYSTRTEQIETVGVQNDGYESDESSNFHERV >CRE04116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:288518:290997:-1 gene:WBGene00057661 transcript:CRE04116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04116 MLNRPVHASNLVFRMFATYKNRLGLEKSPYLLQHANNPIDWYPWGEEAFKKAKESNKPIFLSVGYSTCHWCHVMEKESFENENTAKILNENFIAIKVDREERPDVDKLYMAFVVVYLNFCFTSSFSFFQAASGHGGWPMSVFLTPELHPITGGTYFPPDDNRGMLGFSTILNMIQTEWKKEGDNLRKRGEQIIKLLQPETASGDVNKSEEVFQSIYSHKQSSFDSRLGGFGGAPKFPKASDLDFLIAFSSADSCGDKSKESTTMLQKTLESMADGGIHDHIGTGFHRYSVDGEWHVPHFEKMLYDQSQLLATYSDFHRLTGKKNENIKFVINDIFEYMQKISHKEGGFYSAEDADSLPKNDSKEKMEGAFCVWEKEEIKKLLCERKIGSADLFDVVADYFDVEDNGNVPRSSDPHGELKNKNVLRKLLTDDECAANHSLTVEELKRGIEEAKQILWEARTKRPSPHLDSKMVTAWQALAISGLVKAYQATEDVKYIERAEKCAAFVRKYLEENGELKRSVYLGVEGNIEQGHQNMKAFSDDYAFMIQGLLDLYTVLGKNEYLEKAIELQKTCDQKFWSGNGYFISEQADEGVSVRMVEDQDGAEPTATSIASNNLLRLHDILENDEYREKANKCFRGASERLNKFPIALPKMAVALHRWQNGSTTFVLVGEFESELLVEARRRLNEKLIENLSVVHIRSENEIGASGPSHNAMSQGPQPAVYMCKGFACGLPIRSIDALDKLFHKL >CRE04117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:291154:291524:-1 gene:WBGene00057662 transcript:CRE04117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-moa-2 MALPGERFHVLAQLEHLQSKYTGTGHADMNRHEWVVNQHRDTRAFQMSHPGMNTYIAVVENESRARTRFNLINRMIQPCGPPPEKNPLDDV >CRE04049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:291781:294388:1 gene:WBGene00057663 transcript:CRE04049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04049 MSDHKESSHDEGELSDESHKRSNRASSSEEAKSTSSSKGLESKMRESILSRLSKRKQSESDDDTTEQRFSIQPKDAQKAKEERYREKERERRKEREHRDDRRGEREVETRQKDRDFKGRHEKPGRDVKSHEHRHHHHRKHEGDQHRRPERDRSSDRDADKHRRREDRNKDRHRKSRTPDEDQLKGSPIKKPRHTNNQEEAKLFDRGFSVLDPNYKTKEKEVLVVEDVEMSPIEILEEKDEKEIVEVIIDSPVGPKKYSKFESDPESDHDEQGKVESENDDEDDDVVEVLDDPLNSDDESDDDKYNRTPEDREWEDMTEAEQRLHREAMKKRHAVRQKALISQLPVFYPGLMGCRNIDEYECVNRVDEGTFGVVYRGKDKRTDEIVALKRLKMEKEKEGFPITALREINMLLKAGNHPNIVNVKEILLGSNMDKIYMAMEFVEHDMKSLLDTMSRRNKRFSIGEQKTLMSQLLSGIEHMHKLWILHRDLKTSNLLMSHTGVLKIADFGLAREYGDPLRKFTSIVVTLWYRSPELLLGTRLYSTPVDMWSVGCIMAEFILLKPLFPGRGELEQIKKIFMELGTPTEAIWPGVTELDGWKALTFEKYPYNQLRKKFLAGRLLNDTGFKLLNGLLTLDPKNRFSASQALDHEWFTFREEPYPVPPEEFPTFPAKSEQNKAPPPAAKPKQPENRMAHVDPETAKLLKQFEVRPEQVKPGGFSLKFDPTRF >CRE04118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:294901:297865:-1 gene:WBGene00057664 transcript:CRE04118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04118 MIRRRGGPNELRAEPSNISDHEDDQRTKRGRESIGFRHWIYFVLTVAVVYAGVVALHRRMPAVRDGSSFEEFSEQRARVLLKQLTALGPRPSGSANLEEKAFGMIQDRIEKVHSVVNDIGVNRMESDVQRPSGCFDLKFLSSFTLCYHKITNIVVRIGPKEGPSGNSLLLNCHFDTMPDTPGATDDAVACTILMDVLEVLAHSKTELQNDVVFLFNGAEENFLQAAHGFINQHPWRHDIRAFINLEGTGSGGREILFQAGPGNSWLLQTYLENAPHPFCSVLAQEIFQSGIIPSDTDFRIFRDYGRISGLDIAYTKNGWFYHTEFDEEWRIEAGAIQRAGENVLAVVRAILASPYLEKPASFDEENRWVFYDVVGLFTVYYSVSFGKLLNYLACFATYLLVFLRVRKGVYSIGDLTAAFKHHVVALIAMTVTMLVIIAFVVQFDLVMCWYKMPEIVGALYVLPMLIAGAIVHSHYADNNRIRNVEMVQYDTILLSFASILFLMTFYNLSSAFYVLNNLILPVFKDIIIWTLGIFGVIRRVTPRILFFTQLFCFLPTFVFAAYAISQCVDFFVPVMGRLGNAINPEFIMGPIGLVIASSFILFVNNLFYISRRMNYIIRVLFAIFALFILVLMTTKVGYPYEYSHENPRLRRIIALHANRTIYDFEGHLIQKDNALFVHSLDYRGASDLPSHSFLQGSSAPNCTGVADEYCRMPYYTAIHELFPPEQSLWVPVPSPVVLPYPIDLQMVSRQVVGNNLNLTFEIRGGYDKMSLHVTPLNDYDLLSWSFTDIDIEEFGRRQTYFVFMTYGHESPGVRRFWILLEHRNGAAPDPEKHENLELSVATHYAHGIYQETETLRQLRAMISSRRQTPEQAVGWWRWGITMVGGRSEIVVKLF >CRE04119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:298338:300034:-1 gene:WBGene00057665 transcript:CRE04119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04119 MTDQMRDMIAQLMGSQHVDHKEKPSMPFDHHSVCRAFLLGICPHDMVPDSRLQNVVSCRKVHEPAHKADYERAQKERDHFYDVDAYEIIENAVRLVDVEIAKVREKLEDDVKTQTSQAADSKAKQVAEIEEKIAKNVEDIEKLGNEGKIEESMKLHKYVEELREKIHEIEESQTEIKTAGPGSNSAKLRVCEDCGAQLNITDHESRIADHYNGKMHIGMVETRETYLKMKETIEERRKEREEKLGSQRGYQRRDTYGRRDRDRGDYRGGDRDRDRRNRDRSRSRDRSYRRDDRDRRYDRDNRDRRDRRY >CRE04120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:300224:301224:-1 gene:WBGene00057666 transcript:CRE04120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04120 MRSQLTFSTHRLACCFGHRCSVYATTSYENAFIPQKNSALFFQKRMLHVTDVQLVRARYPDEKQGKPQEENDGNQSNSEEKSSEQQEGPKKIDPATIRKLRMYVLAVAGLSFVTSFIMLSQMFTGDRNSTDGLASEDFTRPGIPMKTFVDNYLKHGEVKRIVFVPGNTRAIAILHPGAVIDGKPASDQSVVVEYPQNAQQFWADIRKAEAEIGIGLAQGVQIDLYQGMTTLKYVFILKRKFQLIFFRVVELLIGVVILAWLGTQYGRLLRKRLLENQAKNAKK >CRE04050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:301768:303221:1 gene:WBGene00057667 transcript:CRE04050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-1 description:CRE-SRE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MN03] MNLPFSPVHKCPPEISFHCQWMFYFIHFEMLAMVIEIPSFLLVIYATIKSPFHYNLTFIGLFMLSGYYVFLVGRFITCLYEIGVVTLTDENEENEVYPTSLVIGSLMQFFYMGCACGISLAVAFERFFATYYVDTYETKKRKWISVLICSELTVACGVSAIIMLFDLLPISVMAFLGVFISCASFFFYLVLFIMNKRRLQTIQQERVDDMYTLSVRFQLSENLRVMTLLRNVVIFSGVNNFIMAIILTLYMSKSFKTAYPVTCIYLHFAFNFCVIIYSFLMLIIMVFSVKQYRVYFFSLRFVRKFKLFNLFISISDFSGVTLYPLVGRCFQNEFSMPHVQELSIRDETDSYFTNLSTQWDEKFERFNRS >CRE04121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:303365:307780:-1 gene:WBGene00057668 transcript:CRE04121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpna-5 description:CRE-CPNA-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MN04] MMVPRLQLKPLAVGNGRSQSIAGVRVSPRISVAPSCIGSKHGVNMSNTIEISITIHDFATTETAKEIICELYENETESEENWSKVGTSSPVRFSRNIVFPEKFSYQYVFEKTQLVKAVFSRCYDRNKPSSSSSDILATSIFKVDELIGSFGLQLRRQLQKTGNIATALSGTLRQSDEYLGGIIVSAEMPEKEQPIVVQFHGKSLDRKDFLWDETAVFFRVFRLEEGKDDDSLVFLYESEALKNHSHPQWAEFRLDTQDAADNRNRLLEIWVMYKDVDGKEGYIGKFLTTYAKMKYGPGSDNVYSVINDVKKAQKKSYENSGKMELVKFTDVSFYSFLDYIVSGTQLHFEIGVDFSTPEPVHELDQRRFDGELHMAIRAIGSIIRDYTPNRLFAAFGIGAKIPPTFHESNEFFLNFSMDPICRGLDGVMEAYRKTQSMVTPLKESKLSPVINYVTRMSHRSGFRGLHYHVLALFTRGEVTDLKEIQQALNSASDAPLSILIIGMGDFDFTPLQKLCSKRKDGRRDVVQFIHLKSLLNGAEAPWLNKSRIAETALRNVPHHMVQYMHNANIAAKPPIQVCRSPLFHSSSLIPDKPTEFDFELTKDNRHVGIEIPSLMPNLILPPDLSPRPRQDRRGSDTQYLDIETMRGSLTVRVPERCHSVLQTSREQYQRRLKERGLARMKFPRVELSTLESSGGSTQDSSL >CRE04051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:315345:342590:1 gene:WBGene00057669 transcript:CRE04051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpna-2 description:CRE-CPNA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MN06] MNDYEIRDLPGSSMTSSCHQKQSNYSGQEVSSDGASDELISESDDTSSSSKSVSTPLTETENHLSGGGFVAKDLLSSPTILLPQTAIRTCTDIDTNNRSKDDYSILTSFLYSSCNIFLNSIIPDPLDLFAAAVGQSTAQALLVAQIGWMVCVCCRCCLCKCVTGGREVRGQKKQLQEPTIADDDGRDLPYEVPGLIHIPKPVYGKKLSKSRSSSVPHVAVPSLVSSSSNYKRMSMWETSLNVQSDVEPLHTPPLVVERKLDYIDKEGNNPSCCREKGKRKPGFRPLRGSGSPSPPDTLTSTKVAVTSASEVKKIKDHKKELKKEKEKKKKMDRKQASSGGGFFTRWFGGSGSNTSQQNLSEDVIDARSERKTAKQREQELLQRSERRSGGRTHSHEEYRRHQQPNLMVNTNLDDDDYATIDRDRRSNNREMSMPASPRNVHFVDESSGPLSRTMNESAFGDRAHLQYRPRAQKGATGPTTRGAPTSSVTKLDEATLDLLRLSTEPSPVPSRRALPKSASLSSVQQKQPIKTIDGGQLRVGNVYTWDQNSVDTATDDGRDFNRGDRSSRLSPQAERRNERQIQIQQRSASSGPSNRRETEIEYEEKRQGPPVVRTTVEGKLKMEKIVGADLITVDSCISSAWTVRDTVTNYKIKSTIGKKSLILEEMKDGQSKYKITLIENGETKMEREANLDVPDFVNKKDYLAEVSKKLLSDLREDSESVSALTHIEVEVVEDVTNILKTYVIGERADDVLAEEQLRLHFEQTADKTPSPIPLEKVEKIYVDELQKDKIELEDPKKADIHLIKDGHHFEGEGALRRIRRFETEESIENPTVIRMEPRCAHAFADCDVAKKEDTSNYTVRIAVPLVHTITFLLKQSKMMRQQKAAGYEMEQEGQRFEDETTLRRIKRYETEEEEEKHVAVVQHVEEVKVATMKTQREVEAEGGQYEMSQEGIHLRGEVAFKKRGKHLDSESSEERFMEREAEGGQYAMQMEGERLLGEKKFRSKGRHYESESEESMASWNGGSPTLVDLVKKESSSIFEATFETANNHSPIVTEIRRPKLKKENTTIGCTIANQKATSASAELTTKHVNIQKETGKFRELAEEQAMMLCGFENQKSSKEEVSTIRQQKNKSEIVFTAGSAETENTTLSTTIFHDADSFAVEGSSKSANSTATYGRFKEMSEENASNMVYLQKSESSSSNLSGTEARMKHGQRQSSEASFTEFKQVAESCAVMIKNTGIERGSTSSTVAEAATDLRIRRKDARGEITVFVLFKKVFGNYVHASMRLASSGGLREIRETRSSEKKSSSIMQQEQHQHREESHYAQSSYEHTSEHYSHSSFYHQERDENGLEPEVQQVSKQLSSIEKQLLKLDDVVVGAEGTDVVEVKVTIKKREQHANQLIVVLEEPYENAISEGIKQVKKSVVDTSLESRVRKLEQTSSYSIIKKSSSKESVVHTGKPPLERQASLEGRFKMEESWSAVECRKRSASIDRAALNLRASQEEVCTGFWNTTKGESTRRTLLQKAKSTESMSLKTKSASFTNIEMSSQQQKSAVTRSTSTEIASHRREIVAAAFGITTNSLERLLNFVEDIDWESITMMESQKERLSVNLQALTSSPVVLDIPSVLGRIVAPPEQEENFDLILRDRAESRVFAQLRASADEMTTREVSLGSMSQLEQAAFMSLLITSASRCDLRTIAPRNISSTTEIFYDVAEEKMRTSGVMRRESRREYESKKFTSSREEIVQGFWKGERDSERVVKTLKDQMETFKQSLTVRSAKYISETSTLGMRKADQKSETTRSQKLTPKEIVSQVFGVSESKTDQFFQVLEKMDWSKIEFGEKEHSAISANIRSLAPEQTICDGILGKLRAPKQQDESTDVKLIEIRKASFVLSVRAALQSTISSNSNFCKNISDTEKAVFSNLISVMASHNLSTIGTSSESTTASINYQDIPESLSASKILISKNLDNLRIEIREPVVEAVESFWETTNDQEKIAILMNEKIESIYSSLNTLAASMANEEISKDLVKEAETSGEVSVKSISPREVVSSSFQISKSDIQQFFNIMEKMDWSQINLPVPEHSIISKNVQCLAPSSYECSNILGKLKAPKMEEQSADLKIRQAQQSKVVLDVTAAMKNTINSTETFSKIPEQEKATFTNFVGIISTQDLSTMGTSSVSQTVQVNYSEAQEQLEASRKLVAKNLTILKSEIRESSEEVVQGFWNTASEQEKVGAIVCEKLKSIHHSLQTHAIRTVTESLSHDIRKEHQSLVGHHYVKLSSREVVQAAFGISSESVDQLLSLIENIDWSEINLAESVHSNISANVRALASTSAQSSGILEKLIAPKTEDASVNKEFRHLNTAKCVLNVISSFNSSVTSDSSLHRISEEEQAIFSNIIGVMASNNLTTSSESTSSSFGFNNVFELSEARRVLKQTNQQSLTEKIRESSEELFHGIWNTANEQEKVAIVVKERLETVHQSMKTLAIQMATLSVNRELAGNEENLASFRSVVLPTREVVQAAFGISNENVQQVLEVLAKVEWSNILLPEKEHRILTKNIKALAEPNFNCDSILGQLNTPEQESESADMLLNQKRKASIVVHVKSAVESAISSDSVFVKLPSEEKAVITNMAGLVVSNDLTSLCSSSETFGFQQRITRNQNANILLCSKNSQSLLQRLREPIENQIQGFWNTASSQGKSSLVVKQKLDTMYETLKTIASQMISETVNQNIKASEPDAESIKVIDKAAREIVTAEFGVRSESVQTALEVLSKIEWNAISLPEKIHQTISRNVRVLAEPTFNCDSILGTLNPPESQEASTDKSFADSRIIEVVSRINSAVESVISNDSELRKLPAEEKAVITKIARLVVSNDLTSMTSTSNSFGYKQTVSAPQSTEILLGTPESMYLQQHLREPVEERIQGFWSTSSPQEKTSFVVKQKLHFKYDAMKMLAMQIASESVETELKGAENNVELMKNLGSSTKEIISSAFGITSETVQNALETLSKIEWTDISLQEKEQQTVSQNIKVLAEPNFDCDSILGKLSAPEPQSGTTEKKFVEQRNIYLVSNVKSAVQSVISRDATLQKLPENEKSAIIKVSEIIVSSDLTSMSSSSSDFKLLQQSSEQGNVDFLLKSPNSQTLIEHLREPIENQIQGFWNTASSQGKSSLVVKQKLDTMYETLKTIASQMISETVNQNIKASEADAESIKVIDKAAREIVTAEFGVRSESVQTVLEVLRKIEWNEISLPEKIHQTISRNVRVLAEPTFNCDSILGILNPPESQEASTEKSFADSRIIEVVSRIKSAVESVISNDSELQKLSAEEKAVITKIARLVVSNDLTSMTSTLSSFGLKQNSSDLQNTEILLGTPESMYLQQHLREPVEERIQGFWSTSSPQEKTSFVVKQKLHFKYDAMKMLAMQVASESVETELKGAEDNVELMKNFGSSTKEIISSAFGITSETVQNALETLSKIEWTDISLQEKEQQTVSQNIRVLAEPNFDCDSILGKLSAPEPQSGTTEKKFVEQRNIYLVSNVKSAVQSVISRDATLQKLPENEKSAIIKVSEIIVSNDLTSMSSSSSDFKLHHQSSEQGNVDFLLKSPNSQTMIERLREPIEKQVQGFWSSASSTEKQEMFMKEKIETIHAMLKTFSASLVSETVHRDFMATAQSLAALHSIRLTPREILCSAFGISNEQMNETFRALNEVHWSEIEIPSSEREHLLANLRIVNADVPNVFGNLIGRPEENQEISTVLSEKQHVSFLLNLQKTLEQSIDNSSTFSRKNEHSGAQISNVISLITSENLGDLISQAVQLAQPNMSEETMKEFEIPQRILLDRVVPETTEESIQSFWNTSQLSEATISTIAQKLSTLTSEFVVSAAKQVSTSLTLDYRRKIFNQNSEVIFGDVTRDVVKAAFSVSDETLNQLFFYLEQSDWSQIKLTSRQKAILSANVKYVATSNLSTLLGHLVSKPEEIEHSEVSMAEKQRVEIENTFKISSILLESSLLSENSEEEKATLSNLVKLLTSVDLKTAIQKAAFDKKPDSLEANTSITPVMKIQEHVRQPEEHVVQGFWSNDRPQQETVDFVIRKIEVLKSILNCYSVAECRGTMNISEVVKDAFAVSDQTYYKILKVLGSMQSAEIPDTVKETLSKNLQILNLPPVESLINSVQQESSVGKTIRNQQLAQFLFNFKSSVDYEIGITANLSQSSEEEKIVLNNLVAILSSVNLSAIVPENGQLPTSEESVLDFSIQFPETNRSITTTQDYVNVLRHYLQTFAIQRTSTEVNRVIEIIKTSQSFHLVHTTVLEEIKTIQLRMEVLKKVLLNQATAEEILQEAFQSSEQQMEVFQRIIENVHWEETQLTEDIIRNLRINFSAIPNFEGSLGCLTAPEEQQHSVDTCVSSVRRAEAVMNLMAAADNAISTSSCLSMMESDERLLHKTMLKTMAVCDLTAPAASSEIETMTQGFYRKVEEFNTEKQVSEKLMSNAEMEAFETSEEAVHGLWSSRKEKETSQMILQARELEKATLATLASVEEENSIYTELASFGSKEQIEKLVSIELRDIIENSFGISEQSLDKLLEIVPKMDWSSLTMPITQKDLVVRNLTLLVPAEANTMESVGTIQAPPEEEAFAELDLKQAREAKVMMDIQECVFTTCVGYTEMNKPNDSEVTSFSALLGTMSICDLVTMAASNIQVDSKYDYYRRPAPKAAEVTITGCNADAFSLALQETGEVTSSGIWSTVSTSEAAKTTVSDKIISVSKTQMSTRASSEQVISHDHELKKDSAETIEKKIPDSLQETLQQNYSIDRSDSNVQIQGATASESFEVKYPESRDDTVSQTMRSQSQKDLQFGGTFGDLQPPLPQEEDTEMTIRQSRLYRSSSQVRAPSEESIHRTEALRRSESLESNARKTFVEKRRDSISMSQKASVERETSMEARVSRPEVSVPVESLQKTKPKELTSTSIAESKDLNVCGSWTTAKPPIGAKVSLQTKKVQKEVTSATMTVASASVNCEVGLESKRAQSGDATGSLVRAKSIEEVEREFGVEVASTEKILEKREQIESWIKSLPQSMEVEETAEFGDDEVKIGGVMGVLNAPKEHDEEVESSLVMKRAASEVRNLKAATKESIDESDEFSRIEADQEIHTVQKEMVKEKGSFNAAASMEISATAKLEYTKTPSEDVTELSMTESRRQSNVGQFHESKEEEFVGLWNTVAKGQSASMILPHKPPIDTASIKAKAARENSIEMTGSLQKSPSAEALGVMTQKVKMGADSKFGIAQGAADTTLTLSDQTGATSHNINISNTGTAIGTVNQFSNKETGVGFGASCLVPPNPEFAEIEFTEKVVPITQASLSKLAAGDSVAAVESKIFGTGETEADSSLLTKVASTETIGKTLQASKDSVVTLEAKQSRDAVSDEKSDLNLKTANLESQKLKLAETKEEESGVFIRSSHEYEETQKTLRHRSTSRESASRTVTAPTSQEVLVNFDRKVEESVAEGSLSIGIVRESSQSEVLKHTERTSDLTKSFMSEEVAGVRAVSETRAEEFRGRQQGDVEVQTGTAMGRIEAPRPQREETETTQKLKRTLSVERNAKAIETAESQMTANIQKREDSLTSEYSTRDNLLLKSSSVSHVAIQQMTEHLVMRSKSEHHITEKLQEILCEKESFSSQEFITENQGIHTHWDVIDNNGEALICWKSAEIEQKSLDAKQVTESTAGTTLDLSVRLPGGKDEEKIAEHVVVGISEALSVSETRADMEMTRQDAFSDDATYVASDILEEHSLSTVHEFGESEASTTFGIGKLVSKKPEKEEVGRSFSETRKASQFSDVAAISELTTEMDSEILRLPECDQSVKLLTSKTEQKDSKDLKATIETSAGKDVSLEKRDDREQSIDVKKVDKRSIADGKRLSEPRNENALSKYTSEIVDLEEKKIVPLTRTDSASMILKAPQTNRTDREISIDRGEANRMASKNIMQRGMSRASEERRFQIQMTKSEKMLKREDSFDESEFVTSVSLRDEVTSGTFHEYGDASVEVCTLFGKIVQKKFEVEDFEEVLPMIRRWAEVLSMKASTSVDIQADNSISKPDSQAESRKNLRTANVENHRINVNAIQEEVMDSTTAFQCKKTGEDVASIRLRDKSRERVEKKYQENQWNLLSTSAEWETLLNDLEESVTIAQSVQDSMAFSAKASATVNLNSEALIKKTESAFGIQKSISQSNVDKTVGQFSSANVENELLVTKLGMDLEEIEKLVDEINREQAVGGRIREFGKSETGGGIYLVRRALPKVKETSTHTVTVATSFRQIFSTMSAGDEISEANVELTIPSSSVGAEFQSSVARSDSMTFTTNHASEYTASTVADYARDIAVSASTAARKKAIPVERSSQKLKEVGSDGIEILSLWEGIETDLDASTQLVDLLRVKSSLQTIESSEEVERINQYLEMPEEKGLVIHLINIQNKEICERNFSVSICSLDTALSREFDIPSSYSVSKVLTDKRVLREIWRVIESGYVRFNAVINLHRYSLSKPTLAQETVLRDVVRIAAQPLFISAGDIESDVVWSTHQLEKAAVREETNRTIIGARKGENIKKKLEESGDEKVKLKVELIGGNQPNEFCEKSWKIPRFGDKVKLDAEEFELDECLFYGQINCKQTHFEDKDLVVNIPRVTSLQLTTLASKEETKEVHEAWSIPDQFEVADRLIIISNIGEPTYMRTKESTEDIVGVGIAYNVSEENLTSSIKIKDKASGGSYELTTKAAGDEYKAISSSLSRTQDVEKVKGKMVQKVIAKEEIRVLETTTESVNANVNYEIPEDNVKIGVTKTCANNAAPFTVRLHECLDFYFVEATRNPEFNRPSEFSDLEKTVIDFNRIEGTSLNTFEPTTLKITLSTVLNRENEYFRTEHIVKDKNRGASLKFRFIESREEKQTIFSAFEVDAENEKIERTIDLVRQEGHYKLSTDAAEDNEITLHREISKPLITHYDTLHQITLSNSAPHQMLSTTGASQDLQNISVQLSRPTEWLSTELLIVDKNVEQPVTWRVLECEECVENLHPIYRRPDDIFDLDETWYIARNGGKFEQRCKASGDEKEVLDQEIRSRGIKDDVIDKKFIVGNQGEPISFNTIQTSSIVASISQDMSRLGEKETVKKVLRNSNKGINVEKKMIEATEMRQTISEQFRRNDDFDKADLLIKDRRLGGCYELSTNASEQSSSSISTALICPRPSHLSTEKTFITAQTIIPAVLNCNASESIGHTVTEHWNRPNDHFAISKIITDCNKENEQFTFREAGEEYHTTNCFYTREQEEKVVAKTLLEARQGSGQTFETKHATDRSMDAVQHLEKERLAEAHAEKLFVIGNTSQPVSWTTRASSEMQHNQVVTLNRPSPYHQVEIIRQGANLGIPTYFNARETTSKIENVATQLSRKEEKLEVETTKTTPFLAEPVVFDSNASKSHSVSLDKNFTASGDSELDAVVVIVDKNSEQPQFFRCSCTKEASTSAAASLSAGSKSESCKLVRVSSNLGHPTSLVLRESSSVQETNNVHYQRDEHHEHISETKPWPRDGGSFKLETKASTANEVRLDKDLEKKSDRELETERKTIIRNEEKPVEIFVSATEESAAGVSANLSRANQYETTNITLTAANKGEPAYSRVTETTELTETNNVQLRREEEHQDTEKIVQIPASGGSTLLRAGFADEKFADIDAKLARDSEFQSTQVIRQIGNQDKTNLSIGASQETSVDFDETIKCNKSSSEETFVTKVAKNIEPHVIFRSTEASDMAVGIHYTLRSSDKVDETEEIKNVARNGGSATFSCFASGEESPDSVSAFLTRQPQEEITEKLFPTPMLDSIKFNSTAAEEFAVWNTTSFRRKDHEEEIEKVFNTSETGQNESFTSKAAEDISITLDADLHFGVGYKEHRQITKDEANQGEGTGMHSGASEETIFNLGYDYCKQPTEFTTVCVTEDKLLIQGAYGFRAAKEESITLDADLHFGVTYRDLLAMGLHASNNEIEGTGMRSTASEETVFNLAYDYCKQPTEFRTLFISEDHQFVHGAFGFRAVGEEHIETQVLELEARMVEVMVEGSVHNVARRHEDEPFVLYTEVLEETIIRVDEQLEKKTTVIETEQASEVKMREKGEERRKEEKR >CRE04123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:344742:345272:-1 gene:WBGene00057670 transcript:CRE04123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trx-1 description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:E3MN08] MSLAKEPILELTDLSEFEHLIRQHPEKTIILDFFATWCGPCKAIAPLYKELATEYKGVIFCKVDVDEAEDLCAKYDVKMMPTFIFTKNGETVETLEGGVEEELRRKVREHSTPAQ >CRE04124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:345889:346877:-1 gene:WBGene00057671 transcript:CRE04124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04124 MDSDWESTFEIVSESDEIRKRVNVEKEEEATVNVKDINDEVTKESSDTIKTIRRNTKNERVLCEIAAITCFFGTLIIVWLTDIIGLSMTEDFLRDQPNIAKEMMIKTEADKVLQSRVAEFAVKLKMGGRNNRKPRESQEDTLFYLLSEKEPIELAFRRKWMADPSFFEGVDEFVYGMDWENTFEEDLFVRGVPNFDHQFELEEMTLKTTLLNQNYDQKFFNFGRKEMIDKTYSVEYFVSQRTLVKLEKKNGVEVSRTEYFLKLGDLYVYDKRGNLECTRVYYAPTIGKELMNKVEKQ >CRE04053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:347618:348438:1 gene:WBGene00057672 transcript:CRE04053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04053 MFRFLLHFLVLFWFTVGAIISPMTASPKVENVSSVTIPKIPMRPNGFVAGRDSKKLAIQRFKLLVSDFLTDAQLSKSIDVAAVGMHKGKPLDDIIDDVYARLRRNLTTKQISQLAKAQKGLVEDLDEKSAKLVKARVKRMIVYSFDPAAEQIHKFATRPSMAFALIAETINERFVGSVKDLIRDVLTPKEYEIFRKHYHPTIFKLDNDNKSMEIVNMTTPKMFQSLIW >CRE04125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:348535:350152:-1 gene:WBGene00057673 transcript:CRE04125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04125 MDEKDVRSYTVTTSVDCDTASSTDFVCYKSFTFLSLKRGEKDSAKAEEPSRLAIEAIEQHRTVQYLTRENHFIQKFDDGMRLIHSRNLQRGILQSLSYALTVSYTFLNFAIGYRYGVYLVSANVTSPFTIFQVIESLNSASPSLLAFGTYLPEYVRARVSAGLLFEMLRERPRIDNSSVDGKKLLLDGDISLNNVYFGYQVSGRKMILNDFSLKIERGKTTAIVGASGCGKSTVIQLLERFYDPIAGNINYDRECLRDLNLRYLRSQISLVGQQPTLFNYSIRENIGYGLEKITEDEIIAAAKQAHAHEFIIKMPQVKNTRIILGYDTVVGERGNKLSGGQKQRIAIARAIVRKPKILILDEATSALDVESEKLVQEALERAKEGRTCVVIAHRLSTIRGADTVVAVVKNGKVIEQGTHQKLLALGGEYANFVQKTLL >CRE04126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:351492:352584:-1 gene:WBGene00057674 transcript:CRE04126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04126 MVKVGIIGGSGLEDPNILINPQTVSVDTPYGKPSDHLVEGTINGVECVLLARHGRKHDIMPGNVNYRANLWALYSLGVDVIIASTACGSLQENVEPGQLLFPDSVFDRTNSRKSTFFDGTYSQAPGVCHIQSHPTYNEKLRQVLISTAEKCQLVHHRTGFGVCIEGPRFSTKAESMVFKSWGASLVNMTMMPECILAKELGIPYATTALVTDYDCWKEEDHVTASSVMKVFAANVEKAKTLFVEAVAEIGKIDWSAEILKMKTEARESIMISPDVVIPFLTVRQS >CRE04127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:353181:354969:-1 gene:WBGene00057675 transcript:CRE04127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04127 MRLFVFSTFLFFVGGAHGFFDSIEEDNAPSYFINNFYNNEIPDMNSETVSKPRRLVASEGMPKAAEEMMTRFNRNFLNLLTSENQNNWNQLMEMISPSAYIETCMEPAFGLSIDQFHKWMTHLSRLYSKFELTNSVMEDNSITDITTKLIIRTETRGNVVGADQWTMSASLDSEKGYFVVNTLKMMNDCKSIPTSPPLEPANPFETFISRLKTKLVSDIFLNGGIRYKSLYESLNTYLDPKSEIQACDVGKLSLNQFVEYWYKRYGKVQTYTNHKFEVVKKGTELWIDFAVTYQGESGTVFQDKIRIILNISRLLWIGTLYEFNKIARRIRQRKMSKHDEAQAKLDLSSRRWDQLFHPDISWDTQQAFKELFDQNTFHGYACNACGACNDLGTFQDFGNWLGDQAKFYSNSVPIETRVYAAEEKIGFYAVNTLTARADNSTSNRRVYFEGFYKDDHWQLNHLGFSCNDK >CRE04128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:355615:357320:-1 gene:WBGene00057676 transcript:CRE04128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04128 MCRLYLLFFLFLSTSASDFFDFDWDSPYESTEDVRYLGRDDGRTPSERGAHEPSTQYLMNERFKEDMLAVLQKRSRAHFDDLARYFNPNIQIQSCFASGKNLNADQLYQLMIHLSAYYRVWSNVEFETRDLAGPKYAFAIMRHNATIHDGSQIAGKWKYEASFNRLWNSFLIDHLTFVGSCRGIPQTAPQDPLEDPDHFVERVRTKLVSDLFLPYGYFKKLENFEDFGDWITEAAQFVVCDEPKMNKKEFIKFMAERYHGIRRYSDNVFNYTKNQQNIEITFSTTWEAPNTTLYRDTYTFRVKKEEDYVPQLDNSFSYWRIYWVTKKCTVDRTRHPAILDGANNLMEVNKRFCGMIDGENWDVFQSFLDLFDPKDTKWGACVGARDLGYDKLREHMEKVAMRYAKCVVTQVNIRNLIRAEFATTFTMSRARDIQEQEEVDVGFSGFKDKDGYWRMNRMYFLCDETTQKRKFIEL >CRE04129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:357480:358694:-1 gene:WBGene00057677 transcript:CRE04129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04129 MSCNQEVSLLENPSFFRNLCFLLTVSEVGITSYTLYLLVFHSPSQMKEMKWYLINMACWTRAMDLMYSLFVIPYFFIPTLVVLPVGVFSLIGVPTQIQLLMLVIIITVTTSGLGSAVVMIFENRFNAIAPPHFRFKIHWRKSFHSVMFLISFSLLISSFMKLEDQNTAKNAYSEYFLCPIPQFFTTAFSFKPVSVPLLITTVLLFSLLILVQVITFACLSFYFLFSLEKSKMSQATRNLQRKFFLTAWLQILTHLAVIVMPMGYTFFSFLLRYRNQSMFKIVFPKEELYFSSRQSVHNINHISWDHYFYFNNFHQPSIQKSCQIVDVSKETSKPKKQHKPECFNGFF >CRE23472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:242691:244526:-1 gene:WBGene00057678 transcript:CRE23472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23472 MRETKTIVGLYDTDSEDEEILFSKEMLNRLRKREASMKNPQLPSSSAVTEAITVDTNSEKSAPVYFGSRMAEFNNMLRLLERKREESIWDEKYAQASAIDESVKDLKAREAGLRELIIEREDALKRKDLIVAQRSKDRFDKNMSDSLHLPTIRGFLSDEELKRLKIE >CRE23473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:245855:246061:-1 gene:WBGene00057679 transcript:CRE23473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23473 MYTRSRLIIGILSFFNFTFRMTFPLQFAGEIPPFDSHFKDEPKQKTMSFVELDRGILLPLKAKPVSFS >CRE04054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:365143:366370:1 gene:WBGene00057680 transcript:CRE04054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04054 MNVTSVTSEDGVKEYEKIVVEPEEIEYVEIASDAKVVDLTRHRLKEIGDYSWLTHVEQFYLRWNLIKKIENLDCLTTLTHLEFYDNQIAKVENLDALVNLEVLDLSFNRIMKIENLEKLTKLKTLYFVHNKITKIEGLDMLTELEYLELGDNRITKIENLDNNLKLDRLFLGANQIRNIENLEHLKNLTVLSLPANAITVVDNVAGLTKLKEIYLAQNGIKYFFGLDENLPLEILDLNQNRLEKVENIHQLSTLTDFWARGNKLSDWNILDELIRLPQLSCVYLDNNPIADSDTYRGKVVRFLPQIHRLDGTQCREKSILRPPKIEKTAVETAAVEFEHPTEESQVV >CRE04131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:366611:370105:-1 gene:WBGene00057681 transcript:CRE04131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mthf-1 description:Methylenetetrahydrofolate reductase [Source:UniProtKB/TrEMBL;Acc:E3MN18] MTNAGGTHVAETQETIKKIDSLSTMPYCGIDTDDNTGVEERATLETGKSWSPKHYELLHERIERLIDEKQPFFSLEFFPPRFVNGVPNFLERVERLSEGGSVFVDMTWHMGSDPANIDKVTSSSSIAASMLDYCGVDTMLHMTCVQYNKADTLKHLEQAKAMGLRSILALRGDLPPGTELQDTHQFRALDMIRWIREEYGSYFSIGCAGYPLGHPQAPSYKADLMYLKAKCDAGANFVITQLFFEPETFEKFVRDCREIGITQPIIPGIMPIMGYESIKRIATLSQLEIPQHILDDLEPIKHDDDAVQKYGTERCIAMCRRLLDNGSAPSIHLYTMNREGSIREILKALGLWKLEGDRVFPWKNRSQHPIRCLESVRPIYWSFRPRSYITRTRDWDQFPNGRWGNSSSPAFGDVSSYYLSNLTVRFSKCDYSCTITFQTLRNGDDRLSMFGDNIDSIEDVKRVFINYITQTPNAAGKKVTVLPWTEAETGVQPETSLISEQLVWCNENGILTVNSQPSVNGAPSTDPLVGWGKPGGYCYQKAYLECFMTAELSEKLIQIIQRDFPIRVNYHAIDKDSTFDQTNSEETTPIAVTWGVFPGSEIAQPTVVDPLSFRAWRDEAYQMWMAQWGDFYPKESKSYGVIKSIHDTFRLVTLVDNDFQKPSVLFDVLQTALEELRK >CRE04132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:371191:373105:-1 gene:WBGene00057683 transcript:CRE04132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snpc-1.1 MNASGVPTPFMGSGIKQDLHLLFNKFSAIESDKIRDFSDVFRELHFETIFHYRLNPIECLEFSEYLLQNAALYFDKYNEIGDSRSLKNKTTMDKNTLPRLFLNQIIATSFRNDCLVYTSVMPCTIYSQSITYARYRKIQIIFEYNFFQIPVTLAQFEELLTFQKILASKKMLEPLAALKSLLLQKAFRLKLFQSMYDPVTHKKYLSEEELPNYSRKPIEPFSRIKQIQSHQAFGELKYIHNMYTKVNRVFQGLSFKRKLGLTDIQLIDKMNPIEEIQKNLEHHEKELQSVKTKEDESNEKLVESAGSSRSALRSKAYSAGLKHTRQRRHLDPNMEENFKHLTFGQIAQECLEEPSSHLGYPSERPVKRKRRPRTFDSEPLEQLDGHAMAEQVLRDEYAARVKPEPEEEEHKFGLKIGKLGTSGVAKIRAPKRTNVKLIQVKTEPVDNHNTPITASQIDASFKSPEKKRPTLQQDVKPKISNEWANKLHAWTGQVEATDKQLKKLKSQIKLEMVDEDE >CRE04055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:373339:374991:1 gene:WBGene00057684 transcript:CRE04055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spdl-1 description:CRE-SPDL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MN20] MPDDDEKLQLRADVERYRKAIRQKDDMIEEMENELNHYGKPAISDGKAEAREKELNGRIRDLQFEMDEKDAAIHDQTDLISSLRSEIEKLEKTNRELINRSECNESDESNSFVESEMIRISEECEKFKEVASTLYEQNRELKKEAVELREEHDSAMGHVKNLESHIKTNEEEIARLEGEVFDLKNSNQGKHASTGNSIFAEAMEAEQKLEEDLKVLFREKQSLMSMVKRLTMEKDEAEERARSFMNRGLIVRNAINHIDVEEMRRLSARNRELETERTHFWERMFIKMKTVPKKEIGAIIVGYFESFKCSIASIKGGFDDLMKKNEQNLTIIRGQNQDLENQRVKIEQLQFDMECLERKLRSAVKAESEDSQLRAPLKPMNNSRPSFFVKPKKTDPVPPLETSMSNMMMTPQKPSPVITARSTAKKEDSSEWAERRLKAKAEKKSATPTPRYNYVTMSAPVPKFKAAVLQMPSTLPESQEN >CRE04057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:377404:377988:1 gene:WBGene00057685 transcript:CRE04057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04057 MPKKNNSKRPQASSMKPTTPPRMIQLTSSDGILLQADIRALILSSTLASTIKELGYDKEDCTDFKPLPVNNVIAFTLKLVIEWCDKHKEDDPAIAQAEKDKKNIFIPSWDRHFLGRLPMSNLFDLITAAYHLDITGLINYGCKTVANSAKGKSTEEMRELFGIPEPWEQPSTSTATWDD >CRE04133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:378224:379512:-1 gene:WBGene00057686 transcript:CRE04133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04133 MSGKSSKSVLATEKSEKGTKDDSKRGSPHSKKTITDSKPQSAEPNEKKLNSPTKRIGVMPRLKRPEPDSVPLLPIDEKFKTRWHIEGIIGKGGYGEIYLAIDMKLAEEVAIKAEPIVRKGQVARRMILEQEVLLKLQGKPHVPLIFGSGHTDKFNYIVLQLLSINLGDIRRISPTRKLSKSTVGRITVQAIAAIRDLHEIGYLHRDIKPANMCFGITPRVSETCFVRCWYLSIQTRHVLMLLDYGLVRRYKDSDGEWRPQRAKAGFRGTQRYVSTRVHRRLEQTPADDMVSLMYTAFELLAGELPWRNLEQSDDIWKIKEAVHFGHIEYFNGMARELFDFSKLVSGLDPMVDPPYATLQTCVKKLYSPKRLSDPYDWEENFKEAILEKTLSTDDSTK >CRE04134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:380597:381208:-1 gene:WBGene00057687 transcript:CRE04134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04134 MTSPASSSEVKYLIESSEGNTFDVSGAALRQSNTFNAMFLNIGADGPIPFTDYNEATLKKVFEYCEHSVNEENYSGPKHQDDLSKWDLNFFNEMDQKMFIDVINASNFLDIERLTSYCCERIARMLRGKNTQEMIKVITLPTAEELEAKQKVEEKKAAAAKKVAEKAAETAEKTETLEELPVQQLVQTTRNKLTRKYLSFSNE >CRE04135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:382708:390948:-1 gene:WBGene00057688 transcript:CRE04135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glr-4 description:CRE-GLR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MN25] MQEKCRLIGFSIGIHLLITLILCSDATADDRFHIGTIANRGSFAYEHLRYAIDRWNTEHGAHTQIKFSIVSPIRYDNNYEERMCEIMQQGIVAVVLSNEESEQDSQLIKSMCHYFNIPCLSLQSTSLRDSISDFVTLLGPSRGAGARATSEFLDSMRWTGFLLAYQHGSDLEDLSPLMQYKQIADTGGRRIHIKVRRLPNNTDDYEPFLKYVKTRLKQTNIIIHSNNITVLYNLLQQARGLNMAEPPFSYVFTNTDLSLLEDFLNNMYGASFHCNITGLQLVKNDPMMKIFQTQLALTSEAVYVVGMAIYRMRELGHAPRQSAVMCDSHDIWSDGRIMNEGIRKLKLRNQLTGDVQFKSNGERDDIMYHGVGRINSQFVKLGNWSEKRGWNFDSRYANRWEFDIDPDSEDLEGLHLRVVVYLEEPFVIKTGDNQYEGFCIDLLNEMTKVLKFNYTIIEVQDGTYGIEDESGRWNGIIGALQRHEADLSLSAVTITYSRAEVVDFTLPFMHLGISILLARTSEETDKGSLWTFLEPLSLTVWISLLISYCIVSYSMHILAKFSPYEWYNLERIDERDFENIKNQKNQFTVLNSFWFTMGSLMQQGSDVIPRAAATRLIAVVWWMFTQIIISSYTAQLAAFLTVERMSTPIESTQDLANQQKIRYGVLKSGSTMDFFRESKIPMYERMWSVMESSSPGVFVNSSREGIARVKSGGYAYMMESSMLEYYLERDCDLQSIGGLLDSKGYGIALPKGSPLRDILSRTVLQLQERTILEALKNKWWRDRREGPSCGPPPAEKAMNSKPQNIFGIFYVLLTGLIVAFLLACGEYCIESRHEAFRLKLTIVGKFIDWYRGNSNDTKTRNKMRNMQLDTAGQEISISTHPCEPPPIPVSTSRPDPTRLSISSPAPTICVVSCDDGTPISRDRPPSISRAGLRRLSRMLPATTHEQLSRFRQGSMS >CRE04058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:404993:405601:1 gene:WBGene00057690 transcript:CRE04058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cki-1 description:CRE-CKI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MN26] MSSARRCLFGRPTPEQRSRTREWLDKACKRMREQESQKWGFDFEREQPLPSLQSDFIYDCLPANYVPDFYRAKFITVDTSMSTISTLDISSTTLTPLSSPSTSDKEDHSLLDHNSSFEDEEEPKKWQFREPPTPRKSPMKRVQKITDYMTVSRKKISLSPNKISPKNVIYSPKSRRPTVSTRSPY >CRE04059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:409797:411503:1 gene:WBGene00057691 transcript:CRE04059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cki-2 description:CRE-CKI-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MN27] MCVLPHFSLVSYRFSIFLSTIYSRDEAPNMATATGDSKRKAARSLFGRPEPGEVTRKLDNSLQRMYREESRKWNFDFAGGVPLVGAEGDIDFVSIPASDVPEFYREKIIRTRKTITRRDVSPVSDTLEAPEEPLFVVEPSEPQLLLASTSHEIEEYIKPVTRSSSAKNQQEVDTLKQTKLTNYMPVRKRRSETLPASGAVLMSRSISIDSNFATQKEKRGIKLGNNNKGAPKRPLRFVPPNIPKSAQSSVSDSALVSSPRSPPAKKTTVTSRRSRRPIEAGDF >CRE04060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:417208:417472:1 gene:WBGene00057692 transcript:CRE04060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04060 MRLFFYFLVLCNVISTLLAYDVRDFENLRQNTIRNIASLENILEHRIAGAHRHHKIPKSRRIGGNIVMG >CRE04136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:417848:419679:-1 gene:WBGene00057694 transcript:CRE04136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cex-2 description:CRE-CEX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MN29] MTDMHPKAANPKEFLESKWKHAFTTFFDLDRNGLIEWKDFKDLIEVIGEVRGRRSDVFMTARLCLPDIWQKMTEAIGKEEEDIITLSDWIQLCESSRKSPREPAWQKAYVEYMFKLLDESGIEELIKKVLIWDLSFSADHLVDQAEYVQVLGYFGVNRKDSSHCFDQFAFNHQGQLIHAIDKKKFHVLWKQFFHSEDPSSPGNWLLGKKFKTQE >CRE04061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:428628:431443:1 gene:WBGene00057695 transcript:CRE04061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-3 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3MN30] MDSHVGEVDIFQQCKYIHEVELVNMKLQMRILETHIETKDRLLRNLEDIIDEQESRIANMEDFIQGRATTYTNRSNMLRGISVLSLDFGTLSEENLRLKSALSQLQKVARVNEILETDEDYESDMTSNEDRFALSRDSSCSVPRSVSPQPTGDVIKPYPQMVQSMREEGQIKKLQRCAEKLKNEKDELRRLAIDTKDAFSVCMAEMNMMLTSKTTDFFRVLIERYKAEMEKRKQLHNQLVELNGNIRVFYRIRPQLASESDSQKPVVVIDDMDNGVVHVSNSSGSRKTSAGADKVIPTDFSQDQIFNEVSPIITSCIDGYNVCIFAYGHTGSGKTYTMDGPVEMPGINQRAIMQLFETAKERTGDIKYDIKVAMMEIYNEKIRDLLNTSNTNLAIRQTEEGKSSIPGLEEVTVNSAQEVTETLARGRKNKSVAATEANIESSRSHVIVRVLVSATNLITKVTTVGESFEELGSPCLHMSFSGRLNLVDLAGSERVSQTNATGQLLKEAQAINKSLSELGNVVLALRQNQKHIPFRNCQLTRILEDSLSEFSFHVVSENIHFVPDGDSKTLVIVHLSPDAKSLNESISSVNFAEKIGQVFTKSGTMKRESTRRSMTGITTGQRREIPASPKK >CRE04137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:431723:435532:-1 gene:WBGene00057696 transcript:CRE04137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-7 description:CRE-ACR-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MN31] MVSLINIIISAFVLFVVFLNLDTVEGSKREAQLYRDLLANYSYLVRPVRNPKKALTVTMKVFIQQVLTVDAKHQMIEVNAWLKYVWTDFRLRWHPPDYENITSVRFYGEDQIWQPDILLYNRYIEDEQESFDITYKTNALVYNDGVINWIPPGIFKLSCKMDITLFPFDEQICFMKFGSWTYHGFALDLRLDTIKGQEPSADLSTYITNGEWHLLAAPARREEKFYKCCREPYPTVKFYLHLRRRTFYYVFNVILPTLLVSFMSLLAFCLPATDLSEKIGLQTTILLSVCFFLTILSEMTPTTSEAVPLLGVFFSALTFIVAISTTFTILVLNIRYRQITNHYLTPFFRSIFLEWLPWCMMMKRPDHKFRRGSSYRDSTADQCVQCAKNAELKSILRGTDNQKQVDNDTLYPFPAETLSLKRKVGDGLFIQRRCQVHEEARSEKFTRGMRACERTLREDGSELANVLVTIIKMYEVMVTQVERIRKRIALKRKRKDIQDEWKFAAQAVDRFCLILFTIVFITLCSIFVFIPPIKILD >CRE04138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:438616:439461:-1 gene:WBGene00057698 transcript:CRE04138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04138 MNVFILFISCNCKVYLSYLVKLAIYLPRFSFSICNRLFQMSIGANAVMSVQNGFTAKLIRFVPHFVALRLSWTHNDLKAEGLEKFVEEYLPVIRENNPQVKYFLQRSYTTCDPFVVGEYSWLRHRKKRVNWKSKEQVLSMVEEMSIGGDYREGYRRGVNRRLPRGQELWDTETMGHDVFQVSSKWKADVLEEDELPITAKTHPHFTYRKY >CRE04139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:439510:440178:-1 gene:WBGene00057699 transcript:CRE04139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-10 description:CRE-MDT-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MN33] MDPSSPLFQNSMQQQQNQQRIMELNERNERDKTARQKEKEREEERRKLEDEKILQLEKKLEEFQENARFIGDLASNFQAKNQDALNGRIYSLVRGLQDLDRMKGNFSDKQVPMDLLPYLDEGKNPLLYSKHCMEKTLEKNKAVGKKVLYQRYFFFQVNGKIEIYKKFRAHLMKEFSEEMPDLVMEYRNERG >CRE04140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:440457:440854:-1 gene:WBGene00057700 transcript:CRE04140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04140 MSDRHMSSIFPECDQLKQIYDKCFTEFFQKFITPNYRHQYAVNPCERFHEVYKRCVDERLATQRPFEIDLDEIRKEYLNTDDDKLKDRQNRQSTDSEHK >CRE04141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:441214:442563:-1 gene:WBGene00057701 transcript:CRE04141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cec-3 MGDEPREPEAKEGKSEEVFEVEKILDHRVTNNQLQLKVRWLGYGPDDDTFEPEDDIKECASEVVEEYYRKMKVNDKTELIERLQKEIKRTKKQTAKKRERSPSPDVDDDGSESDASYSSAKKNKKASRNATPSSSSKVAKVPTKAALKSYDSHGHVPPNKAKQAATRIRTSMFGDSSDEEDASPVRSAVDELQSKVKPKETKDVFQSPIQRPAETPKPKTENGSNVTSKQSSSPQPCSSSAVSSSYKVLYITVCLIFFSIQPSSGNLETNGRHRSLELPHKKKDEPLWSVTGIVRHKNESNVVDKLILMTNRDTGDRKVLNPDEAFNLDSWALTKYLLDRCEF >CRE04063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:443399:444654:1 gene:WBGene00057702 transcript:CRE04063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sds-22 MSEKPSAGVVDLPQEEEESKEPVLKNQFDLSTYDADSTEIDLTHTRADHIPDLSRFTNVEVKLKITYFPHRFFFLSQELRMRNNLLTSCSPTLSSLVTLTSLDLYENQLTEISNLESLVNLVSLDLSYNRIREISGLDKLTKLEILYLVNNKIEKIENLGSLTQLKLLELGDNRIKKIENIEHLVNLEELFLGKNKIRQIEGVGSLQKLRVLSIPGNRLVKIENVEELKDLKELYLSDQGLQNIYGVESLVNLTLLDVANNEINSFSGVEKLTSLNDFWANDNKVESFSEIELLSKLKDLQTVYLERNPFYFHDTNQYRRKVMMTLTQVTQIDATMCRQPTSPF >CRE04142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:444832:446779:-1 gene:WBGene00057703 transcript:CRE04142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04142 MSQSDLSVLSAHTATSFHEMDLEILKETQKNLELEMNNRMNVGKEIEVEEMMETPVVFVAVDDQNLKNGQDVDSFVFEKLEFRVKQVVEYGTRRVYLSVQSMTPAEWILLVQLRIKTGSQSNILNVVDKDVFKFDAFSYPLNVEIQNNDVKFLKFEMRVLNLMYLDYPKFEDGDEVIEFDDGSTIRVHSNILALLSDFMAGAEKQYASRSGCSAIRVTSSEKEAFLELLYQAYPTRRPIYSSFRRLTAASVAYKCDILIYHLSKHLIEYNFRPMTFIQRFQASIENRLEPAIRELAFRAALDGTWNRLIQSGFEPENFCGRQVYTKIVCPAILEARSARPDATTLQPPVQKLNFIELEVSEICLNSSHETGVFQEGDNTKSSILFRGTHFYINSGLLAAHGKEMLCIGQNGEYIARYTPEFHRECARENLIPGEVLVQLFTYMHPMGDVPHPTMVRACIVFAHDHGWNVVKENLEQEFEPPITPDEYMSQLVFGDKFDLKNLLRVNIQRAESSCRELAEALEKHGKLKMLKDRTREGLLDRMCSGWGLNPMVNRLSTRFPTTFHHRTVNLERGKAVVVGEGRAIDTLNSMASEHAFGEPNELIVLD >CRE04064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:447329:449224:1 gene:WBGene00057704 transcript:CRE04064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ostb-1 description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:UniProtKB/TrEMBL;Acc:E3MN39] MRWLPTLLLVASIGIQQSFADRVLVLGETAAVKDSHSVFLNSIKERGHELTVRAADDAQLALFKHGQLIFDHLFVLAPGVQVFGGSLSPVEISKFVDAGGNVLIAAGSNIGDALREVAVEHGFEFDEAGTAVIDHHNYDQTLDAGDHTTIVVGKDQIISAELIVGNAAKLGPVLFRGVGLVSGKSNNLALNIVKASGTAFSSDPKAARAVNPSIAGSRTLLIGGLQSRNNARIVFSGSAEFFSNTFLASKTNSVNPLVQGASSGNAELASAISKWVMKESGVIRVKSVNHHKKGETVPPAEDYFITEDVVYTIEIEELKNGKWVPFQGKDVQLEFVRIDPFVRATLKNNNGRLSAAFKLPDVLGVFKFLVDYRRVGYTHLYDVQQVSVRPLWHTQYERFIRSAYPYYASSFSMMAGLVLFSIVYLYHKDTPIKGAKVLDSEKKKN >CRE04143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:449799:452488:-1 gene:WBGene00057705 transcript:CRE04143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-17 description:CRE-ZTF-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MN40] MLRYQASVYGDSYGNDYHSPVQGPSKIASSIGLRSQQSKVIKRPLTTYKSIPACRLAPRRRLFVAEGTGVQKSMTSSSGVTFPPPDYMKKMKENYESGKIIYIRRNGGVKGQSIPGRLTTINTSIRAPSYVITENSFQSFLIRYHATSMSSGSVTLDTSGSAPKYVYRLPKPEFGSKFDENRPTSNMYVPAQEPPKQHFTTVPACMSMGGEVVFEEEVDDEIADLGADELTGDGFNADKSPKSESPDPYLKSSGPLVQPSSTLKSPNYNILQRNRLPGSRFACPQPDCYWRGANEMSMKKHMLVHGQKQPVMYDKAGETMKVQLVQPKPKGVKCSECDTFAYSRPLLLRHMYDSHGIEAPLVKRTFANREMLQSWLDSLRETHAVEFVVSSGSKKWGRGLQVHYLTCSRSGDQKERPNKKYVRPPRPSIKCGRNCMAYLKIKQNPTVSELQIEGCLHHSGHEIDHTRMVLEPNEVYSSSINFFQNILLQLASIGLLVDAVNEGLDVLGNVETIRSYLGSSGRFRLVTDNGLIEQMPIWFEQYHRDVSSYNGSGYRDSGPLHGSSYLSSMPLKHPINGHRRVQYSGINIKKELDAIATKAAVPIRFSGGQLQNVQLNPENQEYDESKVKIEMDDEDALATQSILKDEFEEFKPEDLFGLHGTDDEILCGGEEASGVTSNIDGILAANLPENFNDPLCGMDLNTDFSGSFDDNVFDEVIHNKSVFNIHCRFQFLGLSNFNDRTNAF >CRE04144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:453511:455883:-1 gene:WBGene00057706 transcript:CRE04144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04144 MAGGRPAAGCCCLINNVRFPVLILTTFSIAALFSTLILPNLLAILDPEVDIRPVPGLDSLHGSSYKGYDRFKRESEEPKIPSKVVRFDQSTEEEDGHPILPPTEKPAPIPTTTTPATKKTEKPIPVFITNRPETTTERFERATTTKIESTTTQDDVVARGISYISKLKNSNFSEVQRSLKKLRDAEEGKVEDKAEKGVEETFEYKTEPLSLRLRRGLLVSSPGIGIIFGSFLTINLTKKYGARKVFTTCLSQSALSIIIFLYVQKRYFYLLVIIRFIQGVLFSSVFPTMGSLLIHWGPLKEQLTFLTTMLMFISIGPVVAWPVANYFNALELAHDHVYYVQMAIIAILGVLWSIFYRDLPQDHPWVSGVELNRIVAGKVKELHSNRALSDAFSSLFRSLSAWSIWTSAFGFFSATAFVTIYLPSFLSSPDVFIVEGLGIHSSLPMQLLPLACAIFASLNLLFKPSTKIIRILNTTAFALAAFLMVAIILVAHLQTKAGLLSLLLISMLPFGLAIVTGFMRSLTVVGRVFAEQIVAMCAIPFGLAFTVLPLVGTYVINENKLAEWMKVAMFLFFVLSAVAIEFAVFGRGKSASWAASSWDPLAASTKMQSLALIDFNQDECGLYELRRIEPKNKSDHS >CRE04145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:456354:460743:-1 gene:WBGene00057707 transcript:CRE04145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04145 MPSEYIWTVSANGVPCRIPAPSDPRCTSETDWQELPCSDGSSICTIVASPTTAFAIDRTGRLLILVMPTHIAIRERVEIYNNQRWIGIWRGTFFIDRPRYSDESGQIAKDISLNEISSGWSWEDKWVPHLDPRKYDKEGWQYSLSFLAPKWDKQCRLYHLVRRRVLKRHMRYTSHDKWIEMTNEETRLYTELAIGGLDVMSEGECLLFALGSDGNIYRRDGIKTNCPGGTEWQIIPKITFKDSDSDDVTLISASPSLATLIAITWDGKMFHRKEITRKSPIGLTWQSIPTPKNKAVICAAIGTKTMWCITADGCVWFTRLEVDEKLKEHTMKNISSDGYQMVTQGGVSRLSVSKNDKVFCISTSEKIEIRSGIESNEPSGKRFEGIVDRGEPKDQRWISIHCGSVNFSQVPEYFTSSSSLQSKMEVLLFKKADWRLKILQQLQESTNRSWSAMNGIKGGDLESPDDNNDGSNSVKHIRCQMMQGDAFRSVNVTITNKTIEITGEQMTPKSIENSKIKSVLPSFQRLPQKYLLYFFTGSHSEFECFAFTDEKSRSIFQIDIENIIRNFICCSTRNSFGESMWSVSIGGVVRWHCLAEMSQESKFEKRVNPAETRALTVEGIFENIDCGAKGCVWAVNEVSGSLYALSSRYNPLSSHDETYDFKMTNERTFKMYEYQKHAVFRGFISFQGSQKGVSAWMCDGRPCPPNFSSLPSTNWSWMDSSWHLEDEEWKYSNEIDGTYDYNERGQGRARRRLWIRRARYDCNKSPWCHVEAPPMKYIRLAKQASTETIIVVALTKDGHILRRNGVTRENFTGTTWTEILTDSPISCIHYQSEGMKLWCVTSDGMISSRNLQISNDSSFLSNSDWRHLDMDLSPLTQHLSKKSTTDLELTGVSDILYARVGFILFRIDTKNETISDAYPMDNLQQAVVNAQGSICVRGTNITIVRDWRVVPYTDRKATIIAMRNVDRATNMGRGLKNMALF >CRE04146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:460824:462135:-1 gene:WBGene00057709 transcript:CRE04146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-4 description:CRE-VHA-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MN43] MAAGAILKTTATLTVITTLILVGTGLFYMLSGQGHRFDIAWFLTSTSPHMWAGLGIGFSLSLSVLGAGWGIFTTGSSILGGGVKAPRIRTKNLVSIIFCEAVAIFGIIMAFVFVGKLALCMFFLIILSIFFFQEFRREDLPEGQDGMTILARNLAAGYMIFGGGLTVGLSNLVCGLAVGIVGSGAAIADAANPALFVKILIIEIFASAIGLFGMIIGIVQTNKASFGNK >CRE04065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:462584:463210:1 gene:WBGene00057710 transcript:CRE04065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04065 MEWKNDILSFSGFDYGVSKRTKLIEESYCDYNQQSELKKSSSIEELKEYELDTQVRHALEKTAEDGGNKYQPEECEFDSMTERKIENLYFQNVEQAERKMKKLTILKSKWSEPWQVGDEIVARTYLKPKNHANPWKNGTIPEKEAAETLKNRQKRGMCTIS >CRE04066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:463614:466280:1 gene:WBGene00057711 transcript:CRE04066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04066 MSTKSRSSSKEKKSSKKEKSTKKESKKEKKIKEKKPKTPEPEPEYEDDFEEYEDDFEEDEDDQIDDKISKADEKRGNNKEKEVPFESNLMQRLATSHMRRTENATKPPVVPKISTAPISFHSTIDNKPYSYHNEEERKTQEQRAFGRLNKLRGLISIEVTKSQVFTDISSNIFEPLSSLPSNKAHAFTQTGKDVNTEEVQTEKGETEDKETQCPHSESMRLEDGAIERERTAIDRARLKKFFFAATQTIREILISDEKTEEDETSEKSVSKFSRGFNPFRLCQVVSKCKSTCIKKGESDSVLVAYEIFESPAPDLINKSLIAEFYVHKRRPPKRLFVVESVVSAMELSQSTQTLYVCLMDGTFCAFDLSITDAYFDDNLPWVDSETNIALRRPTFDSSFLATTISDATPLIGITVVKSNMGEDITTIDSSGTISYWVVNRIEKNELRVLLTAVIRPHPVLKRHSSTFAVSAFCYTTNPLRFFIGTDTGMMYSVYKSDTSQAIPKIFKTEKEKYGEVTMITANPSDNSVLLIGFSNGSISVYRASQTSAIVNIPTADLSTRVTWLTWSLTNPSGFYAIHNGNITTFWDLGFKLSPKSVDHRTDSVQLLAFDTWLSESARIGYMAFGLSNGDSEVHVLEDPARKNQNETILDVLRRIKNL >CRE04067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:467700:468014:1 gene:WBGene00057712 transcript:CRE04067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04067 MKYDASDERWANPPQPVAAAEQAPAFDHSMVSEEYEHDKKKNPAPKQGISFSQALLASGHEKSDGKIKLTASSFMEVGSYAVFLIVLVYGEHALGVVNIEKILS >CRE19095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2285770:2287465:-1 gene:WBGene00057713 transcript:CRE19095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19095 MFIYEYDYRADPFIYEDSYKVSSSITDLIHLVLSPITVLINLIHLMILLKKELRSTSFFILMIGMCASDTLQHVAIFYEKVFEEKRILEFSHWSKHSTCLENGFYLVDPFHGLKKAVLSSTKSITFWISILLVSKSLSSRTSIFLVSLIFSIRFFYYSCHFMFYQKLWYRDNGEASEVLCAPETNATKRWVFPSNLYGFLEARNSMDPLFQVIPIIFVYPNVVICLCQNRPGRLVLITSIFFILTETNATIFNILFIVLKPGMMSNYWKVSKESYLSDHSPSSDIFKTRSRLLSFTSSQSRTPIDKDVDPEVSATRVTELKMQLENMEEL >CRE19094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2282949:2284281:-1 gene:WBGene00057714 transcript:CRE19094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19094 MPNNFTTATTTTTLAPDYYILQDYEYEEDIYKIVFNISTRTNLSLQIATVIVNLLHLIVLLRKELRSFAIYIFMIGICISDILTNSLDFTNAAAEIMWIPILFPGSGEMSCLREDYLEINIGAQLVNTFLDISRRLSVWLAILMASIRLLTVIFPMSRRVQNISKPKGAIITLLICITFWVVFCTWQFALYRVFWLPDNPSRICLGMFKNLIKPRYVLGAPESLPGAMSHWGFIEVIMKFSAAIIYPFLTISLLMALRRVKKKRQNLQKKDSDSSDNTTILILFMTTAFMLSEGFAGVEALLLYNIGRILRKNEDLGNAILAAQYPISILRTVNALSHPFVCFLLSSQYRDAVRGIFVGKRKVKKTEFTRSTSNIRSKTTTTTTSF >CRE18752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2275682:2276878:1 gene:WBGene00057715 transcript:CRE18752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18752 MSEPVLTPNQHGPLAGSTSVESSVWTKGFSSEELKAVWKAKAYSILEMFMNHNSATPEATTSSRSRRSVDQLEVETTTSSRSRRSAKSHEGYTLEEILRALFDSSPAIHKSTIFLPLTTIRILTISLISHIGGYFLRNLAASLAVPYVLALIGAFGVPAYFFYFYPAPFRSELISLLAYFGSSVIQGLFVGAGLAHLKIESEPFMGLTPLVTTLSLFYMLAYAHHRVNLFYCTSISLLAHCLIGAMMGSLSSRPYQILTGLYTFSLWCFFQLDIYNMKNIEKERSYAEILAENVHVWLVIASKCIVYGIYGIPIGNHFNE >CRE19093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2273523:2275339:-1 gene:WBGene00057716 transcript:CRE19093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19093 MVSWSQIPPEIKRHVVQKLDFMSRISLKNTSHLDRQIVESTKLYIPRARFGYKDGKSLVVIYTGIEKFLRLEMEKCGNGVVIQKSENSYDHSKFARKFMPSIDTFSLGLFVLKSLLIDINIEVIEWDLPEESEEGMVERIVKFLSPARFQVKEMACLWNSTESFQSFCFQIVVWEHLKSIRRIGVFPTSGSLQPIFIHEDESEFNGRPCYKTSITMSYLAELFGMAFDFSFHHQNPICANYRLKNGTTPEKEKFQRKEEDDTVTRCYASECGTWEHKMLKENEDRLKQRLDSQKCGTSWLCENHADPFDYWYHHNFPLRLAQEPDWNAVICIGSDFLLGLDDLFDPMIKAAEEAEEKRLENVILDTSTSSWGFRRLDEGEVQRIPHVMGHKSDDVKKTGGSKAGKPKGDLNGLNMRDIMKCLEEVGIVEDIQWNSEDSEENSGDGEDPDVLKVSKDSEDSKNPEEFIAYSESSKQSLLQKFKLFSFIFLTPIVVSFVFYFVSQLISFCL >CRE18751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2270907:2272219:1 gene:WBGene00057717 transcript:CRE18751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18751 MDSIQSAIPYYTAPISVIANTFLIFLIIFKSPPQMGNYKYLLIGVSIFEILYGLLDIVTEAVKQPFRFYNSFFQTVLSIGPSFVVVVPYKDRFFGKEVARIFNCLYCGFFGFSMGMFVIIFAYRLLVATENSLLKKFQGAKILLWFGYPMFYLLVFGAVAWFPYSPSPEMDEFISFSLIERLNMTLDEVVYTGPLYYSPANDSIRYDTLIVSLLQLFLVVSSLILVLFFGIKCYFYIMKFAYIQDSISIKMKNLQKQLFFALVFQALVPLVLMHIPVTILYSFSLLNIVFSSFSVATTIALFPALDPFPTIFIVKTYRLAIFDFFQHFFKCLRKKLCEFSAGND >CRE19092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2268036:2270246:-1 gene:WBGene00057718 transcript:CRE19092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19092 MPARISLCEIPEVAMKEIMNKVDFRSIHSLRRVCRSIRYFIDQHKSTIEIAKLCITIHPEHVCFLYSSDGRSMETVDYWDTNFGCLVTINRKRKKIRNKNCFQTFMNDLELIIRQMSCITEELRVNVHSSSDDFFDLFKKILKSKKSQLRVNRFSIFAKDQDQIVSILSSLYPVFLKTIRICYHVGTQLETEKLETLDQWKGAEELDINDTHAITGNINNFTHFSYSKFRIQIISASDLNVLKEAFLKYASFEYCFITYKDFNNAHEIPTFFGQPHHVDPNNTTVQRWSFKYPNSCKHNLLIVEMTKQNDESKLITFNPSGNFTNCQFVVNIRPEASVPESLRRSSTFSKPIGREETKGEKARGI >CRE18750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2264652:2265759:1 gene:WBGene00057719 transcript:CRE18750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18750 MLLTLTDMPEDVIRVILRHSDFRSVHSLRKVCYYLRNCIDYIIPEANLNTLEIYGQPEKIYIFYEFGKDIETIEYRKHEKGCWVIQDDKEKFLDEQRFIDVFLNDLTLILKFQSTKLKKFCLRLDDIGEEIKGEVVNNLSEMLKSRKNKLKVQELNFDSFDQSDLLKVLLLSDCESLKTIEICATDPFSDPPEILKIDKLETLEHWKNLKKIIIGKYVVERTIQDFSGYSRVFVNVQLIKFVDLMNVRKVSLCFDLIYIILNTLSTSPNFEILNFHYCQFNDQRQFLIEFGPPWIGDQDPYGNCLKWFIRIPNALDVLEISHFSRKNIFRFKKIRAERVWWGTVQD >CRE19090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2263337:2264443:-1 gene:WBGene00057720 transcript:CRE19090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19090 MISSSSLVEMPDNILNEILAYCDFKDVLSIRKVCRSLQHFIDDVFPESTLKDLNISIHPKKVCLDYFSVHNTPYIVEYHKTFGNHCMVKCQTTIKVIENSNLLDVFLRDLEIVLMHQKSLIRLIRIVRYEDNSFEYFLENFKKLLHRPLKIEEFHMYVKDNQNEILAVLPHLDPQSIIGISLYQYGEDPKVLEIGEIVKLEHWKRSEQLHVDKCILKTNVRELANFSLVDTSLASVSAEEMMVLKEKLLYHPLLAEIYIRCTRFDDKQQFLESLGIKEVSSELYGITRRIWHFEIPNSDDVLRISYRSQNNSFAFNRVQRSEVTDHAEVLNL >CRE18749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2262141:2263248:1 gene:WBGene00057721 transcript:CRE18749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18749 MPEVVLNKIMNESDLKSILILRKVCHSLRTFVHTHHSGPNLIDLNIYIKPNEIEAWYYTRNGLYVVHYRGRNQVNNCVLVHENKEKVLRDMNFVDAFLLDLEIFISLQNSVMRQFRVTRGNADPFQTVKDVNLSLFNRILKALENVLKKRPRPLQTNEIYLGVEDQEQVLLILKSMDAKVLKNLSIYSNLKEEEELEINEIIQMDHWKQAKSLMVEDFILDVPVECLIQFSFVRAVLKTISTKDLMVLKKNILQSSIFKEFSLTYTQLKDESEISSVLGEPIITTDIFGRQDFKWIIDSPVNCQKVEITHFQTHRQFKITNIELLEDKVKRMIQERS >CRE18748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2260476:2261616:1 gene:WBGene00057722 transcript:CRE18748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18748 MVSLTEMPELVMNNILEKLDLRSILSLRKVCHDLRNFIDDGKPDPHLTEIEMTVKYSSITLIMRINHLKWINHEIKYKKEDTKGCSVVYEKREKLLENENYISTFAKDLEAVLTVFESKMKLEKFDLNWRYAQHQVFDEVSKKMESFLKPYKLKVKEVTIEAFEQSHVMSILPYLDPKPLYQIDISEACLSDYSVYKNNPLKLDEVVELEQWKDANEILIGRQVELPDMKNLENARCVFVTLDSIKLEDLIELKEVRFCRTVLISVHYFQQFLLSKTLGEYDMEYVSFDEQDQFFEPFGVPYLEYRDNPDSKQWFFKTEHPDQILQIHHYNDGVWHIKFMIFNKRRIPSETIIQ >CRE18747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2258252:2259455:1 gene:WBGene00057723 transcript:CRE18747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18747 MSTPASLLEMPDVVMKRILNYSDIKTLFTLRRVCHGFREYIDSKKPDVALTSICFHVTSNGFSCEMGSNTFNHLKIRYMDSRLIYLGPPREPVENEYLLKSENPMELFLEDVGRILTHQKSNLSFLSFTVQYMRYIPEYNQLLEFIKNILETRNTPLRAVSFRMSALEQDEVMHMLPLLDASHISNIQIVETIINSYVRTDKFLEIDRIIGLDHFKNAKAWESPVFTIRSNFGKIDDFLHFESVVVRFESISARDLNLLKEVSLTAFLTTSTCNQFDLHYKHFTDGHQFIQTLGEPILEFNIEEDLFVNKWYFGIPNSSDVILIAYTEPYRQIQFLRGQWIIPDDVVVVEGDRNEDADLEQ >CRE18746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2256191:2257403:1 gene:WBGene00057724 transcript:CRE18746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18746 MPTLLDIPDLVMRRILKDADFKQIFRLRKVCSPLRTFLDLTLQPDIQLEWIQLSAITNGMSCYMGTNVDDYKNKKYDKQRTASFVLVDTDMEQWQDLAMILRYQKSSLKFLKLMCSLEENMDGYDKFLEFFMTILSNNETQLRVSNLKMHAMNQTQVLRVLPYLDPKTLVSISIENSMVFDTRGGDMEIDEIVQTEQYQKAKGLYILGCDTNASIFQMSHFNDLTVSLKSFSTSGVLHAKEKLIDLSINFRYEIRYHEFEDIDGLTVSLGQPTESNDRQDIWIINLPNADQQILAINHCVESNYFVLNRRIKGPPNRLARFPLFYE >CRE18744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2248769:2253252:1 gene:WBGene00057725 transcript:CRE18744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18744 MAEEILNNEQVLRGCILYEFTQGRPVFQSFVHFSRSLDTNSIDYREFEFWFYRFYHGETDLTYDTSLESKKPTLFEIPVEIIEQIVDELDFRTQLVLRKVSTDLRHIVEKRVPSYKSITLFIEDYGAMLYFDQHEVLYNRSREGCWVRYLYRGSRFLPGVDPVKQAMIDLKYALSHPKMMLEELKIRVLLSSYREEDGKNEKELRVQHFQSIKDTLSSLNKPTINVSKLEMHVKNHEEVLSVLPYLTPGILSEIDFHCANTAKIRLQMAQIIKLDQWKQAEKLKIDRFFTQFDLKHLAHFKKFEVSLAKILIKSLAELKDTLIHCPEFDRCTLETSKPINVKSIDSNFGQEIPQDPPTEMYHYYAVPDSEEIVLEMCVEPKRIRFKKTTRKSMTR >CRE18743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2245544:2248496:1 gene:WBGene00057726 transcript:CRE18743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18743 MDFQPPDIPDVIRDHILHEVGLRTPELQAYGNVLRLYGENSITKNDFDYWYYRFLDDNDEMDVEVAPQAIEINQNYDLFIRMLSSYYQLRQLLPLRQICRRFRDVIDSNYTPFTDIHVAIGHDYVYVKFNDMRSLYSHKEVREYKYGHKYHDENKTFIEGEGYLTKAFDAVAVALRNQQNILRTFRLSWHPRAKQTNREQTAENVEFFYERVRLLLVNQPFQFAVRDFSIQDTNLPRVLAILQHLSPETIRKFEISDVNYGSWRLGVIGDAEQIMEQMVETPQWTSLSYIQIIHTPINIPLHNFVYCIEFEIAVESVTIEEIVRLKNTLLERPRFRRCKVMTRTEFNFDEIIPFRNMGALLNGYRHPADNCPYTYRCTIPYTIFQLAVTLRDVSFEINRVQQKLSNMTDNSVKSFSDMPTDVIEVIVEHSELKEQLILRKVSRSLRDLIDTQKLDYKQIIVDARPDHIFVQFNEHFVAYLDHQKLDIDISQMSSIYKYTTIIRMPPGGDWIQTAFADLELALKNPKNRFQMIRIHSFDDDAGKTVYKKLENILKPLGDRKIHVTRFCARVVEGPQLLSILPHLQPGILKSIEIEHNVDLAENIDFLIDSQQWKKAQDVEMYAIETPIPIECLVHLRRFDVWIESIEVQDLLKLRDNLIISPIFESCSIRSYSGSAFDIVEVQKILGLKQPSSEKPQSMLLYSIPNSDAILEIYVDNHYVYIEKA >CRE19088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2243457:2245051:-1 gene:WBGene00057727 transcript:CRE19088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19088 MTEILKTNRTARRACILYEFMGGKPVFEAYRDLCHRIGDDVIEYREFDYCFYQFAKGNKVLDQDISSELTNSPSLYDMPMEVAERIVENLDSMDRMVLRKVSESLRFHIDQTAPSISAITVEFLINYASILYDQNIVHYNNQKWYNNEMSEGDCLVEFCDWQKIIHDKFHYLAALDDLSIIMSNPKLRLKYFQVSNVSKKFFAAFVSFFERLPAKIHVENLKIFCQNGEEEFLILPYVEREGLDRIHLDIGNDETFIDVKIEKISKRIEKILKLEQTKGLEMLKIGLYFSNLEEFPLQTFVDGRCQNFALHFKHKRVQMQVVLLYIEILLKSPDLQLFHLSADYGVDTMNIDFELLNNFNFTRLSPDEYSNIFHFSIPNSKEFFYVDVDREEIHMQRVEF >CRE18742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2239787:2241264:1 gene:WBGene00057728 transcript:CRE18742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bath-28 description:CRE-BATH-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LJR1] MESSLPIQKWYERNKELMDFLAEQSIQSNNPLSISELSRVFVAKNTTTLSLNSMKFKIGRLRPRIPKLESFDKETKAKMMFVLSGEIDENYLNELRKDATVEIDEQRRITHYKSLTGTLEFEGDQSRAAKIRLGKEAIRKRGEETPSKGMMSEMLGHLVNLCGVTNENGVIKEEPPNEEEEEEEREENVIEGEIEEGKDIACSSESIKRKLTPEREDSAPPKKRVYEERAPRNVFFGDSLEDIIEETQLPASYSSIFKAAGQSNSNTTSVQEFLMMMRYVVEEMNSSMFSRLYNKINVYIEAFKEKELRIPIKEVLHTLLLTIRIVTKRDFQEDSIETNSTNLRDFLHTIQTASISLNSSELDNFEKHLEIAFGIVPAEQRISLEKVHSGLDFAIEYILP >CRE18741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2236216:2238979:1 gene:WBGene00057729 transcript:CRE18741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18741 MKNKGMMNAHDPNNLEEEGNENQQPLYGEDMLLKLLELNNVPGIETSDTVEKEKLEDRHDTEPSLSENERIMAILNGALLNGRSTAVPSSSSTNSMELSKPISNDMVTFPVGQDKKSSFKLDQLLEKKMNSSTTNSHLYSQSSSNSVPSPMVHKRVRIRDVPAVKYIHPENRGTPSKRKTIDEEDIDETDEFDFSISALAAPKPKKKRLSKKPPAQDVAPLYTKYPLIQSILSPLGISIEAVNMLFQKIPTLNKRQAQVMAQFFLLLKEHDLSVPDNRRLFMEALHTQPGFDTKVFYQIFNSMSNEEFLTHLCNIVPDFASVMSSPAVVKLLENFASQHPKIRTDMNAIKGNPVSMMQPSTSKAIRYNSNPPSSIPQQNQQRLPLDEHNRVSLNGPSGKSCELEFNISQASAPDGSKIDMSRSALNMQKVSAPHDHQTSSERHYRSTSINSAGEQVNPLKQNIKVPIKFESPSTSKSHSSVPNTGKPIQRLSESGYIPMLVERGPPPKVPINGSSLIQKINLRTSTMQPGIIVPQSGKNMQIDLLALCNQALLGKHRIQISTGREEVNNQQQTINISKEQPYTSASTSSTTVESRSLSNIQNASVLRNNQPSTNTYPSPGSTSFVSMPRNDQPIPREKIDLFLNTLRSFAEALASRVLDKFLALVNNNIRDCATKTITIPFDMSDVSLAFFVDNAVRDSSETIGERVSMRLHDFLIIFRAETRHLSRYDRFQNELKKAIENEENKKKRLSYEKMHIAFGRCLNYVIRHSTLKNPNLNSIQSS >CRE18740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2234167:2235543:1 gene:WBGene00057730 transcript:CRE18740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18740 MPKSTVSCSVCNCPSASFHFGAIVCRACAAFFRRYVNRKKMMVVCSCDKEKDSRYPCRLCRLEKCIAAGMQKSTILEVQAPRDSNSQFNNRMIEDRQSTSSSSPETFSRIEVHNIGKLNPTSYIVFQYGIRSRNMDVINLIARHYRNLEDTRASIFSRDVKREVNVYEFSLEVKTDTKFIWKLCETTFPEFDRLCPQDKKIIFYNFYTKWSVLEIAMLAVKFNDPYNFYTPLGSSAKPITDFYGTTAKEKEVLDGNGIKQIFGPIWSYYIQNVTNPLLALKFGEMENMALFGIMLWDPAYTNINEELSEVCQAMRKIILRELTVYFEDNQADAFRFFETLDVLRLIERAEHKCQEEIELCGVYNFEVDEDMRNIVMWDKY >CRE18739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2232189:2233440:1 gene:WBGene00057731 transcript:CRE18739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18739 MSSCQVCNSPPASYHFGAITCRACAAFFRRYVNTKKSLIYCNCFMRKVDTYPCRFCRMEKCIAVGMQITQVQGPRDPNNQLKRKMMDIPLSCEDHSIEVSFEVSILKLDFCNVQHGIKLKNLELISRACNNYRNLELSRNTIFNRSENRTNVELDLRDFASEIMIDVKLQYKFCELAFPEFNNLTPHDKKVIFYNCCIRWNIFELSIYSTKYNNTIQFHSPSGAVTKPVRDFYKSDPRVKLSGEEITRVFGPIWRFHFEKVIGPLVELKLDEVENMALFGLLLWDPGYANLSVELAERCHAMRKMILRELKVYYEEHDTNPGRYFETLDSLNLIEKSEEKCRREIELCGVYNIEIDQERRNMILWEKY >CRE18737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2227456:2228849:1 gene:WBGene00057732 transcript:CRE18737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18737 MPDRKSCLICNSPYAKYHFGAISCRACAAFFRRCATTKKFLIFCNCLTRRDTQYPCRLCRMEKCVAAGMQESLIRGSRDVNNQVNEDMKEGKSTEFVGPRVQCGIKLRSVELITQTTRNYSNLETIRSIIFSNAKQNVTLHEFSLEIKTESKLVWKLCEASFLEFDKLNKQDKKTLFSNFHTKWNVLEMGMKSAKNKDPYNNYSPSGARAKPIKDFYTSTKTNEKERLSDDDKKRWLFEPIWRFRTQNVLSPLAALKFEPMENMALFGILLWDPGYTNVSDDLAEKCYAMRKIILNELSCYFEENETDENRFFETMDALRILERAEEKCQQELELCGMYNVAVDEEMKNMAMSEKY >CRE19087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2224500:2226913:-1 gene:WBGene00057733 transcript:CRE19087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19087 MLCNRFPAGKIAGIETWQLLLSSLLQLSMMEFVSVKTKVSATGDNNNSVGELKTLPSPFLILHCSQFQMAVPSIPVDDDYYYDLTSAELDDLYNFDDSNYDPSDYSILDDYPELDYSTINILEDSVTVNVNLQALALLFNIFHFIILTRKPLRSNAIFMYMIAICLSDILNFSLCNYDPYLPYTLTAIPRKTVIDDETYYCLKTKWEAINAEGQILSTLFEITKRLSIWLSIIMSFIRTIAVIFPMSDRVQNVATVKWTLIIILIVMMIWIIFHTWFIFWFLRIHWLPDVMPKNLCPPQPKNETDRYVVVAPLALDEKLSKYLNIPEIILKFLPTVIYPILTIALLIQIHSYKKKRQKSVSTEKKSDNTSKLVLFMTITFMLSEGLGGVDAIIQHTLLFWMKLNMKNNNLLAYIEICRNQYPLLRTINILSHCIVCLLMSAQYRDDIKSLFCCCKKEET >CRE18736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2223485:2224392:1 gene:WBGene00057734 transcript:CRE18736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18736 MLPLIAEKPISLANPSGKEPVYPDKLTRILQNGAPSLEVQLKHAWNPNDCPKDLTVKTDDPLTFHRNPIAQSTHGIRGKQGYSSGFHVWQIDWPVNQRGTTAMIGVATKEADLHMGRYRSLIGKDENSYGWDMVRNFCHHDSNHYETWKYPNDFNDWLAPSKIYCLLDMDEGTLSFAADSEYLGVAFKGLQGKTLYPIVGCVWGHCEVTMTYQGSVYEENQKGRDTEDEDSDGGFEKLKEELRHLKTNE >CRE18735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2219917:2221735:1 gene:WBGene00057735 transcript:CRE18735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18735 description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LJQ2] MSIFFTFFLFFTSVTPHKYLPIDRIPVWKSKNDPNIGPAFGQPEQIRLAYGGDESTYSVTWQTYDDTLKSIVEYGTDISDLKNSVEGRCAVFLDGQKHSVWRYIHRVNLTGLEPGTRYYYHVGSEHGWSPIFFFTALKERESGGYIYAVYGDLGVENGRSLGTIQKMAQKGELDMVLHVGDFAYNMDESNGETGDEFFRQIEPISGYIPYMAAVGNHEYYNNFTHYVNRFTMPNSEHNLFYSYDLGPVHFIVFSTEFYFNLHLGYHQMENQFNWLTNDLKKANENRKEVPWIITQGHRPMYCSDFDGDDCTKYESIIRTGLPLTHGYALEKLFYEYGVDVELWAHEHSYERLWPVYNRTVFNGTQQPYVDPPAPVHIITGSAGCRENTDVFIEHPPPWSAIRSTDYGFGVMRVYNSTHLNFKQINVAQGGTEDDDFWVIKSSPKHHRPFKHRDLKRLRTYGTHVPKHHCHHKSHCDETRKKRTRRHQKRDE >CRE19085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2218217:2219392:-1 gene:WBGene00057736 transcript:CRE19085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19085 MDIREIREKLTIVNVTSITSESVAEYEHDVDECLRLLEIKMEMEMGKKIELSNRIGVVQSQVTELWDRVDKARRRESEISYRVTAWAQHLSELMNEVKLKRAQMGMTMDSSASLNAEIQQSLDLLAEKKDLVERMRKEIDHRRHLHKLQLKSLKLDCDYEEEKCNEWNQKSAEIEKVIEVLKEQRKLLGERLEEEKRMEQEQKKMLEDLETLMKKSGVAARKQRQIHDENANLVASYSALTGEESS >CRE19084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2215967:2217772:-1 gene:WBGene00057737 transcript:CRE19084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prde-1 MSRQYRNRKGHDLEKVVHRFTDDDLSELKPKKVFAKKWIIIKKLDKRGAAHCYQVCDTSFKIFGVLYLETGNDNVTSIANQVEFSLQQFSLGYSHRFTNVIDSNIINNHLFYMVQRTRPGPTLETLLKCIRSDDDRLKVSSITASFIAIDIISILELLNSSGHVLRNFDTKQWKLDVKTRMFYLDDITDVQVSSDKRHRSIDEIHIINAETLNLAWTSNDILYAPIEFIVNGEMHRMSELDQLEMLIYILFDWTRGKLPWKNSKCQQRTLEMKRDFLENFPDPLEKFEEPVDHWFSTALHNLADHLKVAKIAQAKLEKQAVRGGAWCPKGPRAGALLSIINYRRIVEDFQKIVCSGRPEWSVYWRDIKLDWDKEVELTPEQSSFLQKYEKRQKCLEVVDERARLDAIRVHYSVMEEHGKFEREKNQISIEQYLRGGDPNDPDEKEEIERQIAELRKKRMQKIQDEIKEEDEEMDMKIDIKDEIDDYYDYYQGT >CRE18734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2213942:2215593:1 gene:WBGene00057738 transcript:CRE18734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-14 description:CRE-GLB-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LJP9] MYRQLLEQHIEVNAQREPAVQELKEPEKPVPISEKEQIKAQMEKHTVVEKPGKISEKKSTSSEKENASPSDPIAQKIIDETSRLSDRQRDILQKTFTTIEQDCVRNGLKIFVRLFAEYPRYKLIWPQFRAIPDSSLMNAVELRRHASVYLKGLGRIIESMRDEEELRKSMSRIALAHIKWNVQRNHVIHMIEPVLEVVKECNGYQLDEETRQAWTVLYQVIANLIEVFRCRALNE >CRE18733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2201796:2209601:1 gene:WBGene00057739 transcript:CRE18733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-18 description:CRE-ACR-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LJP8] MLLYPCFLILWHILSPNRAHVTATSHPARTPMRNLYEHLFLDYVKEIRPVRNESETLKVEIKFWLKQILKVDERDQIVNIYCWLELYWQDETLTWDPKKFGNLTRIHVPAHKIWKPDVLVYNNANMNVEENEMETNAIVDYTGRVMLFRSMITDISCNLNLQQFPFDQQICFVTFASWSMDGSKLDLSATPKTDNLELYIRNTEWGLTDFRVKIYQKIYDCCPHPFPDVTYFMVLRRSPSYYIFSLVIPSAFITVVTIVGFFTPHSTTGENTEKVSLGVTALLSMAIIMMMVSDEVPATSEVIPLIGKYYIGLIFLIFMAAFTTTLTLSYQMRGNSGQEVDPRVRDFFFYQIAANPCISWAFSFQLPKKLLRSDNRKQSFFFANGNHVNGYSKGELQPHTPPAHIVKMEEITGGGSVKSFVMSAESQATSTLLTSSLLKIKVVLDDMKASIHAERELKRIKFEWQQVTRLIDRCIMFGYILITIAFALVMLASREPPIVLNDIIMDSVKST >CRE19083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2189359:2191744:-1 gene:WBGene00057740 transcript:CRE19083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acs-2 description:CRE-ACS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LJP7] MRSITISRRLAHVLTSAHPAPSTSSIPSTDSHHLTHPSVHHQHKNSYIHGTSNIPLRNETLGQTLRNTTERVPDKEFCAFLKYPLRKTYEEFYHDVRQMAASLYTLGLEKGDRVGVWGPNYYEWVVLQYACAFAGVIQVNVNPHYLHEELRFVMRKTGMKVLFAPKRHKHSNYVHTLIEAMPEMRRGKPGVGHIKSHDIPELRHIVLFGDDVPVHGAWVYSDLMKAAGTDERAKLEDMDRKLRPDEPVNMQFTSGTTGHPKGATLTHFGLNNNAYFAGIRLGWDREDHRICIPNPLYHCFGCAVGVINAVNHGQTVIFPSKSYHVPDIFEAIQNERCTTMFGTPTMFIDVLKSPLMKQYDTSSLRGGVIGGAPCPLALCEKMVKEMRMTDFAVIYGSTETSPLVTMSELHVDPFERIKSVGSVMPHQEIAIVDEYGVPVPTGAKGELWSRGYSTMLGYWADQDKTNLAITRDRWYKSGDTAVMNEDGTINIVGRTRDMIVKGGENVYPTEVEQFLHKLDYVADAHVVGVPDDRYGENICAWVRLRVEDEGKITPEKIIKDCKIGMAHYKVPKYVLIKKESEFPLTISGKVKKFEIQKISKELLGLGTVKSHFTEVVDN >CRE19082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2186763:2188708:-1 gene:WBGene00057741 transcript:CRE19082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-efl-1 description:CRE-EFL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LJP6] MDDFDQMGDDDYQQLTSLELEKALQMTKEHVIKQNMMLGIENDMDFDFVADFDEDEDLDQPQMGTRADKSLGLLAKRFIKMIQYSPYGRCDLNTAAEALNVRQKRRIYDITNVLEGIGLIEKRSKNMIQWKGGDFMMNVKDGKRATATSEEEERMEQLKMEIEQLNKEEETLEQHQRYLQQSLRNMVESVDNNKLSYVPRSELAEIYGTDLTIGIQSRIGTQVKMSDPEDIEMNGGPSWCYLKDASGPLRAAIVSNHELHDFVQREKAKQPGEEHVDEDDSMSEMQQSTSSGSGLFQPKRRTLADEIYDDMGIMDGDSHNRTNMPSLKHLNPPPSSEDYIYTSIGDEYRGDSIIDLFGD >CRE19080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2183498:2184626:-1 gene:WBGene00057742 transcript:CRE19080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atx-3 description:CRE-ATX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LJP4] MSGDDPIRSIIFERQEAALCAQHALNMLIQDHVFNYESLTMVATQMDLLERSLLGENAIAARPSENMNASGFFSIQVIQKALEAYSLQLVNIEHPSMAEYKASPIIGRAYICNLLEHWFVIRRFGNQWFELNSVNTGPRLLSNTFVTEYLRQLSAEGYSTFVVQGELPASEADQFITLCPVVLKPSPKKELGPVEKFVKSVGRRLGGSSDSRDSPEERELAIAMAMSMETSSGSNTEESEDAMLKKAIEMSLEKVSEAHSAPDAANGQELATSSEATEMMETPILSEPTPSDTSSSESSTPRDLNEEVRRKREKLMQQAEKKNEETE >CRE18732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2182609:2182884:1 gene:WBGene00057743 transcript:CRE18732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsm-5 description:CRE-LSM-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LJP3] MSTSTSTNPNTLLPLELIDKCIGSKIWVIMKNDKEIVGTLTGFDDYVNMVLEDVVEYENTAEGKRMTKLDTILLNGNHITMLVPGGEGPEI >CRE18731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2178437:2179783:1 gene:WBGene00057744 transcript:CRE18731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18731 MLFEIFHTWHIIIGCIGCFTNLLLCYVALFKTPPTTRSYATLIINFAVTDFAECFLDLFIMLRFVITPFNVTLIYVYHGLCQYTGPLSCKIGISLFYHCFPHTTWSLLLSFSYRYYLLHRSPLSRKMLVLIIFIIYTPSLFQAIIYWPTVVGREEILPLANKFFPEYNLSQEKGTLGGITTINEFASIYVIIHMAVPIFPIYVCMFVLRYKIVQKLMEKAEMLSNDAKASHNQILKCLIIQAFIPSLLMIGVVCYIVSQLGLVTHPFIEYLIFASICTMPMLSPFTYLVFIRPYRTFCMKLFHLQRRTLNSDSSAYYSTARSVGI >CRE18729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2171141:2174104:1 gene:WBGene00057745 transcript:CRE18729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ucr-2.3 description:CRE-UCR-2.3 protein [Source:UniProtKB/TrEMBL;Acc:E3LJP0] MRSSLVSKSAAALKANSQTAPIKEKLKNGLTVVAQDNNGAVSQLILAFRAGARYQSVTQQGLVHHIRNFVGRDAQSYPGLQLVWSSAASGAQMNSFASRDIFGVQISVARDDAAYALSILGHVASKPAFKPWETEDVLPTIRADLSQKTPYSRVFEDLHRAAFRNDSLSYSLLSSKNQIGAFKSDEMSKFAAKHFVSGNGVLVGINIDHNILKNYAEESGTISEGSIVMNHMAPFRGGDYRRFARGDNVHIMIAGAGAPINDVKQRAVQSVFLAHVGRSSPLKFATLPGTQSGLGLANLPGGVTGSSFQAAYDGSGLAGVYLISPAANSDAAVRAAVGALRKPKVQDIEGCKRRAIAEILFSSENSVLSAYEHATNALYKGPDSSNDLISEIQKVDVKDVEKFADGAFQRLAISAYGNYFRIPYSDEIH >CRE18728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2169033:2170649:1 gene:WBGene00057746 transcript:CRE18728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18728 MCQNASFFHFLDTPQFLSTTMCIICLIGLPIHVIGAICIIFKTPSQMNSMKWPMLNLHLWSASLDFSFGVLIVPFMYQPVLAGYSLGILNEFGVPMKDMFYLAVVQIGGVMVAVTILFETRFFILYARETFWKHLRRPWLVLNYLICLVYFVPTYLAVPDQKTGKEYQFGRYPCLPNEVYEDKVFLLTTWSTGVGYNSLLNTTPQQTLIFILLIYWNMKKSMSGLKMSKKTVDLHRRFMRSLVLQVTIPVVTVILPQIYNTIATYNSYYNQGLNNISICIMTTHGLVSSISMIYLHKSYWEAIHYSFCPQKFLVDDTAIFTKSIQMKHF >CRE18727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2167896:2168478:1 gene:WBGene00057747 transcript:CRE18727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18727 MIPTYLAVPDQKTGKEYQFGRYPCLPNEVYEDKVFLLSTWSSGVAYNMCVNTAGQQTLLFVGLIFWNMKKSMGEAKWSKRTMSMHRMFFKTLILQVTIPLITVIGPLIMNIFLIYNSYYNQGANNISICLMATHGLVSSLAMIYLHKSYWVFILQILFPNWFTVEERKIFTLVNMHNEFT >CRE19078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2163512:2165661:-1 gene:WBGene00057748 transcript:CRE19078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19078 MCFWNIGTPTPSYPCTLCIIKSIVFPPKKKKPFPLIYLPTVALHNVIKMMNPHELAKLSMCSYRLELHLRTFKRKIERLRIHFSPNGYILELFDANDRQFVVDFGDEHIVEPMDTVTKMKQFSGSYKIEYDTSLFLFQPFPLSWFFEVSNLLLSLYTSPSIEWVFYMDQLEIETVRQFLDTILSGRCEMLTFYGIEISCEFLTELMDKIPLDKRIIIDAHIPSDFRHPNALKFFGSQYKNGRWITLDDLKSVRNVHYVYLNSTIFNCNDINQFLHYWTNCDENMFEDMELQLDDSVEIDVDVLKNELITLQIVDETVETCFYLKVKNHHNRRFVLCCLQICKSKKAKFTVQQADDQQANIFEILELLEKKKNLEEKNKDSEVSEKSRRKISMEIEEFMCLIIKKNKNRYNFEF >CRE19077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2159296:2159867:-1 gene:WBGene00057749 transcript:CRE19077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-38 description:CRE-RPL-38 protein [Source:UniProtKB/TrEMBL;Acc:E3LJN3] MPKEIKEIKDFLVKARRKDAKSVKIKKNTNNTKFKVRCASYLYTLVVADKDKAEKLKQSLPPGIQVKELK >CRE18724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2151274:2159150:1 gene:WBGene00057750 transcript:CRE18724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-31 description:CRE-LGC-31 protein [Source:UniProtKB/TrEMBL;Acc:E3LJN1] MKKRRYSSVILNPHSLFFLLFRLNFIFLIFVGYTSSTTLQNELRRRQSHQVEDDLTPYHSHHLSTPPQEQQPHYSEDPDSEDVTSTSSSPFHHTESKKGIEEEEEELLPMERLKRAFGRNDYLLPENFKTLRRADIPVTYRLHDDLLRYYRKGTRPVTHPKKVISVSMSVFLYQIVKLDAVKNTISLSGSFELYWEDEFLKWNPPSYEGAEEIFLSSSDIWIPEFSLYYSLNFNDAVKLQSNNDIRVNYTGHVRYYIPFSSESLCKLDVKFFPFDVQQCTLLFGSWAHSNDSIKYSLYSQNLSLIDFYDNQEWELDTHNSSVHSDGFLYDYLDPPLFWEMIIITLVMKRQSFYYGQSLFQRNFDDKSVISVFNLVIPSTMITLVSVIGFHTPSTSGRMRDAKFRLGIMTLMSMSVILLAIVEDMPKFSMGTNRRGRGSFSGIPLIGLYYFILLAIIGMSTVTTSMFVYLERESRVKHNVPWYLKWLSFDISPSKIARKLSRYRQQTMSQPPSEHLLSNGHVRTGIRDKARGVAASLLSLLPRPGSGGPGNGGPDNGNSPFHMQHIHAYHQDNPTPARESIRRRLSMSQYDNMLIYQYYEQALEDIANGVTRMDRTIAEIRLTLLKIFWNFFVSRSEMMTLIPQEDINTKWQAVIRRLELLSLVFYVSVLFTTMYLFFYHDWYCAIGHNPCGQSNLKCPWMAHTPDDPHCEHNSYN >CRE19076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2153150:2154856:-1 gene:WBGene00057751 transcript:CRE19076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19076 MLRIPLLLLFCLWTTTIHAQTMSKTIIDFCSPTDPSSCGVGGKCVELSLGNRCECPNGFMGRQCQRPCQDVYKSCARWKSEERCHWTRPISPFFADNCALSCGQCRNNGKQLALALPPILDNIEWFVGRWESRTSAPYRFPEPMSGPYKEILDVQISEVPAFDRPPVNISIRAESLDGTDVHVEFGFLTSKPFHEDTGFVELNKPDTGDDLVSIELVTNTGIMLIEEGTVRGTQIRLETKYKKAMPGVFRNEIVKSKRMFNLINPNSLEERVVTVDSRGVTSKWLKR >CRE18722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2133183:2145637:1 gene:WBGene00057753 transcript:CRE18722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18722 MILRTLLLILIAEVTWCQIGQPGQAPGQVPLDPGYQQPLEPIKNILQGAYGSNVTLYFRNSPYRVMGDLTVEYGVTMDIETGTRIYFDTGVGLIVKGTLRAIGNEFAHIEMLPYQQQINYDSEMPKFRLVDGPTVRQGRLQAQFRDRWRSVCTMVTNWTSIDTGTACRSMGYSDGGFWKWYRRNNDTYPFVMPKPDCHGSAKNLWDCPAFSDPQKIRLSENLCQGEDDIGIYCWGPPTFTGWARHWKGIQILNSPFHYVNSDPDLVAVNRESNSRLEFVDILYAGYDGVNKNTTSALYIEGVPPIMNGLRIEHSARDGLQLLDTNGPAIIANSTFSFNRGHGIFVVNTTDARIFVNNTRIEGNWGDGIWYKQRTGVNLIDYGMREKRGVGSGRLDEEKPRIDMCSEHRIDDNHFFPHLISVNLKNRTFLDPSQPPSCWMTVSLPPRLPYTYSIQWLHIRDLNPVTSRTTLLICDSNNPDENSCSTQRFRIPIRNEIYPQSISLKSSGKPLYLALEHVLDGDQAGYVQGDVYLLFNIHASVLDKAYYGLNVTNCIIEKNTGNGVFANDIRERTALTNVTLDENQGYAGFMVRDGAADIWLNETRILRNWGDGMNISYAGGSIMVNGTRIEKNRWRGAAIHYNQTIPFFPLYNEVIFKGRPSNNKFYLPTIISENEWGGLLVGNYCAYGNESSWRWNSRNPPIQGLYPKLESKILISWVEFLKNQYHPAMEIFSCRDPHVTTNIVDITGNRVDGNLGFGMRISPAVNMHTLINSNQYLHNNDTALYVRNGQWPELVNLPAEVTISKNVFKFNHAKYIISIGMNEDGPRQFLTFNQQNEIRANTVFDPFPSLPPRSTPYAALVVSSSNVKIHRNCFNNERAKYEIATELERHAKWIDARENNWGFQEIPRFIDKFFDQFNRYSLASIDIDPYMAACNQRMPYISLLNGAFRQFKKTSEPNKLGGIIYENHDLLKGRYTVTEDLQVVPGAKLTIASGSVLEFNHGIGMIVQGDLIRNEYDQDEKVIFTSSPFTLAKRQNIRLVDVDGNDEVTEGRLEVLVDDQWGTVCNRSWTPQLTILACNQLGLVADIQYFENWRIFPEAGDLPMVMDNIRCEENEVDLTRCRHDGVERNCAAGCRSSEVVGLRCLEPRWAGVRYSLLANPPTVTGQTTMDNWRIEKGGLFNFRTSEFCAAFKIDWNYHTFHRLEVKNNFWDGVDVVYNDLVKKPAIRNSIISNNRNNGFHIRSAGITVENVTISFSGQSGMRYNPSVSALEQDDIVSWLSLKEQPELEANNIFRIPDQKLDLIEVMESNLNQRKFLVAAETDDCPDDPLQECVYNLMIRSVGYQYGLPSKMAIQIVNAPSNVSDEDAIFTEVSTGKSWSARKDQIYFPVVSTENAMRMRYTRSYGKPKLVILVLFLDTQEYVDRFIHLYQSRVEDNQYGFSAVHYSNLTFSDGRLSNRWNNEKIWLQKVNFTRNSEAVVWLHSPQHAVIPGTPIAEITYHFDNCSVVDNTGPIIESHRDLYASANVFHWILWSNTFANNSRSGIAVALPDTYDLLAKQTHSFWLTENRFERNDDFKILVDGYYAFANISSNNFTQNYAPKQFGMLELRGMEKKLICERNRFFFNWGHWMIKIDATSQYLKQVDVPSYVRYNYIEKNTFIRQRADYVDMWPRSYALGVFGSQKIDVHFNRFFNDLMDFELVSGSKKYKGKNGNQRLEIASTTIGFRWISRTRLPDKLYTDIYDTMNATYNWWGTGNEAVISQRVFDFDDWNTYTRAQWSPFYVSNDLSINFWWNPYRDGQLANATYIEPTVHDLHGRVYEDKNLTLITERWYEFPHYYRPFRPYRITRDVTIMPGATLYIQENVEVHIWPNVRILVLGNLVAEGSYWQPIRFKPINTTEYNEIKGRIPTEYRKRRGIVFDGEPTNSEKPRPKRASDRSKPDLVFRDFPTLHRDDPYYQRFTVSLTANGSDYGRSGFLQIYNATTGETIPSCDRQFTIRNAQVVCRELGMETQNVYHWLTPRWDYNPQLKILKTYMEPRECRGDEPSLDRCNLRLSGNDSQWMCMDSENFNYIYCGTNRSLSREYIGNWGGITFAQPTLEHEYGEKRGTRKEKSILQNVEIVGGGAGHNDSWQSAGLQIFHRSPILDHVNVTNCSVHGVQVISPNDRITLLNLNVTFNQGQGVNIMTTFVQAPSTSQDAMKKPMSIPYYSQGMMDMCAAVKRFEVKNRILVGLNFKTKATYFNFQLYYKYDSFPVDCVKIFTSHGRRVAFRIVQYHLYSSPTDLGRSDALRLYSSESFTPMSLLADFRSDYQSVDPSVAVSSEEIAVHLRATAADGVYGFIAEVSALPSNSEQHTVGEVVIRGSRMDNNDRGAIEYSNLGEMSPNLVIESSSFSYNGIHLFGNISTSSQAIQLHLHNTVFFLFRSNSIAHNRGGLYILATSSSPVVRLGALVKNCMFVYNSNSTTVALSGNNYQSISLLNNVISHNFALYHDTVVAHDVAINMTRNTLFSNTGLHTLDIHANSKISADKNVFFYNHFYDNLALGHGHQYMEKFGYQPQKENNEFLNRPRREIGKSEVNRNRKKRQVLTQQGISFDWWTHVDNETTRYRSTIIAGSSQEIFKFNTFNDPLNDYELTTGRQSQYEIGSIDAKENYWGYPGTIGVASGKIRDHEDYPELVKVDYTPVMESNTSLIEGDCPAGWFQAGHEEFKSCFLFVPSAVTYTKAVEYCKELGAFVPYLRIDDILQTQLAQRIEKFSIDMITDQERLKAYGVEDDIHLWISSVNIPNTQCGWLSARTRRIGDVNCNILLPFVCEKGTHPYSEPILWRPGIIIPLVIFCIVLALLVLLVVCWCCKSRKRNEVLIERKQAARASLKLQKRHQEHQKKKMQTGSEHTHTSAHASLDGGSTISAYDWRAGRQPPRQVARSPTDTLSTATSDHTYSYAAYTPHGGPTTTTGTFQSRRNNRYVSTNPNGYSEITTPTVTTVPYTGATSSTGTTVKMRLHQLRSDTSDATSCSTCPSDSERTSTATDISSSYTSEASESTLQSTVVNNRRSPLPPAKSPIPALRKGNSNGFLNMTNNFQPLPTDPPRSQPPALQSQSSLNRFVELHAPPRTGGSLKHKKPVIETSM >CRE19075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2130192:2131346:-1 gene:WBGene00057754 transcript:CRE19075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19075 MSSQIIFCSADYTPNFFDSPEFFLTVLRLVGFLALPFHIFGGYCILFKTPKEMISVKWTLLNLHLTSCFSDWSLSFLGTLYFFVPLMGASPLGILTRVGMGMPEISYLLEVAIASVAASVVVILENRFYILSSKNLWWHRFRYPFLVFTYLTVFTFFYPIYIDMPAGSDNKRDFILQTLPCLSDRMRELPLYLVVEDRWQFIKWTGSESIFLCACLIILFISIKWSLKQYGRNLSKKTLDLQKKLIRAIILQLAIPFSVIIIPTSYYSHFPYFIGSMNNIMFIIISNHGFVSTIVMLIVQKPYRDYSLKLLGVGGRGLKTRMGPPSVSLNIVQNNRML >CRE19074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2128318:2129452:-1 gene:WBGene00057755 transcript:CRE19074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19074 MAYCSPTDQLSYLDTPEFYYIALHFLGFIAIPLHLFGAYCIMFKTPSSMSSVKPSMLNYHFWTFIVDLVFSILVCPYFIFPVFGIVPLGILQQLGISVPIQMYITFTAAVFLFFSGVLILENRYVIITNSNKTWRRLRVPWLIGMGIYAVLVFLPVYLNIPEDQKSAKQVAYEKNCLFIQKLPCLLPEIRSAALFIIAEDFLVTYFSGMVMQLIVLMHSLIFSGYTHWTLKKESSRLSNKTLKLQKQFLRPVYIQMAVPVFTLQAPLVFIGIEYVLKFHTQTWNNLGFIAFSSYGFISTLFMLSFHSPYREFLFEAICSRTGVKKIRAVSSNIATRNSNLTVCI >CRE18721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2127074:2128286:1 gene:WBGene00057756 transcript:CRE18721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18721 MTSILSSLWNRFLEYNLTNYCPDSYHHTYLDSPEFYFLASHLVGFLVFPVYILGAFLIIVKTPKSMKSVKLTVVNFHFWTCFVDILYSFLISPFLLAPLFAGFTMGLFGRLGMGVEHQVVLIMASTAAMYVSIMCVYENRFFILALHKKCWKVGRIPWYILNYSMAFVPFLTLYFLVPDQENARQWILDKLPCLSSEIQSYPLFLINQNVFPILIIAMALCTIVSTEALIFCILAKKALKQYGSLSQNTVKLQNKFLRAMILQLLIPTGFLVIPLSWLVWSALLKLYSPMVTCFSFILISTHGFFSTIFMIYAQIPYREAAIGYVVFTLRFVGIRLKTRERKTPVVEQSLFHLRTSHFL >CRE19073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2125353:2126553:-1 gene:WBGene00057757 transcript:CRE19073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19073 MPYCSATDQFTYLDTPEFYTRTLHILSSISIPFHLFGAYCILFKTPQFMSSVQIPLLHFHFWTFMADLMFSVLVSPYVLVPTFTISVHGIFQEIGLNPGILACLIIITIESMLVSLYDHIYHDFSAMCFSINLILENRYMLLINGNLIWRKIRVPWLVLNYLLIPFFSLPIYLNIPVDQEKAKLTVFKIFQTLPCLPSEIRESKLFVVNEKLITINISSICFLFYFVSQGAVFSTRLRYSLRKTGSQLSDKTARLQKKFLKSLLIQIYTPALVIGASLIFLLMEYALGFYSQKANNIAFIYISCYGLVSTIAMLIVHNPYRVFIVNIFRGIINFFGFRSAMRNESIVVVSSVVHNKNRI >CRE19072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2122087:2124163:-1 gene:WBGene00057758 transcript:CRE19072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19072 MSQNYPRMKLNLMRPITSIGILYSEKTLKCELYYNKPYGKLSFEYKKSRKSRSQDDFLKSFIEDVEEIINNQACPVYSLKIKYSGLEENYKKNKLDPVINQIFNCLKESIKSRNDLLQVKRISIDAVDMSQAMSVVKLLDPSVLKKVEFCFENRDEDITIDDALALVEWNNGQRMKLAFKLHTISSEHLESVKKCLLHVSTFTEIFVYYQNCVHDTASLKEMIDVPLQHETPGTTEKFIKFRLSNRLLLSGGLAKLTLFNDVSAKVLENPLIMKRVIRSFGFWDIQRLRKTSRGIRNCVDFLKPATNIKEYSVDFSIDIHPCAHIKDGSCNSRKWKYGKHETSDDRDVLCQKAQDQVLNDFEVNLANQNTCLEELNFIFSYLDILRKREKTEPNIKEFDRLNRLTNQFCGKLKEILSRRNHILKVKKLKLECVTDDNVMQILPYLDPNCLKDIHLIDPGSIYGTCRVKYPESSLKPFVLDEICQLEQWKNAKELEVHSQPISTSIQEMNITHFSNIRWRIDVDTISSEDVLYLKDHFLLSTTFKNIVIRFKNRTIDYESLHGLIGPPYRIIGDDSRVWYFQMEVEPYQQFLKVSLHGMFLEFCLVYYIR >CRE18720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2120551:2121716:1 gene:WBGene00057759 transcript:CRE18720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18720 MQVKQKARTRGFVASSTLWLLSRKIQKNMTLCSPTYPISYLDTSDFYSRSLHILGGLSIPIHIFGAYCIIFKTPKSMNSVKFTMLNFHLWVALVDIVFSLIVCPFLLFPLFAAGGFGILDRYGVSRTFQTFLQLGSIEVMFISIILIFENRYFVIADVHKYWKAARKPWIALNYLCAFSVGIPIYLAIPKDQEKSKLVVFEKLPCIIPIVTPDSLFVIADDIFFYMVRGLIFNSAIIDQTLFFSWLTKRSLTKYGTRLSQKTLKLQKQFLLAMNIQLSIPFLSLVIPLAYVFFEYYLKFYYQKYNNIAFILFASHGLLSTIVMLMVHTPYREFISENIRNFGYLMGLKVCRRERLTSVMPSIVSTRSRNDVLIE >CRE18719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2119435:2120232:1 gene:WBGene00057760 transcript:CRE18719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-7 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3LLL2] MSRPNVFFDISIGGKAVGRIVMELYADIVPKTAENFRALCTGEKGVGKSGKPLHFKGSKFHRIIPDFMIQGGDFTRGNGTGGESIYGEKFPDENFKEKHTGPGVLSMANAGPNTNGSQFFLCTVKTAWLDGKHVVFGKVSQGMDVVQKIETFGTDSGKPKADIVIADCGQL >CRE19071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2117159:2118051:-1 gene:WBGene00057761 transcript:CRE19071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19071 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3LLL1] MSRPNVFFDITIGGKAAGRIVMELYSDIVPVTAENFRALCTGEKGMGQSGKPLHFKGTKFHRIIPNFMIQGGDFTRGNGTGGESIYGEKFKDENFKEKHTGPGVLSMANAGPNTNGSQFFLCTVKTEWLDGKHVVFGKVKEGLEVVKQVEGNGSQSGKPVKDCVIADCGQL >CRE19070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2114940:2115849:-1 gene:WBGene00057762 transcript:CRE19070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19070 MPRKQFENYKKAQTLRKYPSSGESETLGYALIQSTIQEQTPRPLHKFSIIAAWTSSGEPVDRYPAHYHFTTLLQRRERAREPRTLKCEQLNCYLSKTGFGPDGLIGTSDKDTFKPASCQTEQNGTISKCDIECDGAERDSVISKKPTDYRYCNRLVLFLLENETKSSSHISFRFFTYNAQKTSEGKWAIWRMGACALANITMNVHCAFPISGLK >CRE19069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2109453:2112919:-1 gene:WBGene00057763 transcript:CRE19069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plc-2 description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:E3LLK8] MSKVPDVLKDAKLIFFKYEENFTSRNSVRLQVDPTPYILFWRYKDYKVFNTKELAHEKNYIYLERIYDVRVGKPTDFELGAHEKGFERNFLTIVSGTSITNLKFTHFVCLEKDEKRLHEFGSSLFDTVQRVRKEEHGLLYHFRKKLAPKMYAAFTQRCLEEDIMRVYDKHKKNSTKTEWTAVGKLLSVNSRKNVEIDDQKLIRIIMILTKFEGSRLEAIFRKISEDEPVATKLEFGEWLRKNQHDHRLNDARFNQVSDRKISSILEAWQYSGDSAITPQIFTHWMASDEAGVAISAQRMKLDVASMREPINRYFIDSSHNTYCSGISFKKSTSNQLVYAIGHCSADVEMYRQALLCGCRCVELDVWDNKDKEGDPVITHGPTAVMGMNEIGLKEVCEAIKECAFKTTPYPLILSIENHLGRKQQEKMVQIFHHVFGDLLLTGPLPDYPLYAREGSGDIKYPSPELLKYKILIKAKKKRFDKQESRSREDSSIDLQVNQIGSSIDLTEDEAIENERFNELTEEQKAAQMLQLPEESSCSELSEIINYMQAFESSRGVSTAINLENATIDTVPCIVSADENTVWNCLRGSSVEKIQNLNKSKIMRIYPKASRIYSTNYNPMIHWLTGAQMVALNIQTNCSNTQLNYAMFERNGACGYVRKPDWLRMRDVQLKPFLSIPFTIAYTVEVEIIAAYFLSAMDSDKYRRRSTVSVRLFDVPDQISKEDISSPSISTSSKFETSCRRRTREETSFVTNYERHVYSFDKVMLNDLSFLQFNVHNESGSVFAQRILHIDTMNNGYRFITLRTPSNQCAGPACLLVRFDLFMHSDRKNLHDHKQMYNPHAFEKESDKWERRFSNPFADLDGFDGNEFLLVEGTRRGSVEHAPTTPTTPTKPMSFGKELLEKISFWKKK >CRE18717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2105588:2107401:1 gene:WBGene00057765 transcript:CRE18717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18717 MSDCSQETNYLASPEFMVLASHCLAGIQIPVFLFGSYCILFKTPDSMASVKWLLLNTHFWSSLSDFIICFLGLPYLFIPALAGYGLGVMNNPGLAIYLLITVTACEFFLSFSLAGKTKPCLDSGVSLLSIYENRYFILFGRNTWWRHYRKSYFISIYILVPFMFIPPYFNVPDQETARIQVFQSIPCLPKYTFGDRKMFILSLDYVVPVACVTCASLLLGVSLIIFLFLTFWKIWIDSAWTASRQTQVLQKSFTKGVTVQTVYEFFILLIPVVTLLAMIVFWYHNQIINNFAFLVVSLNGVGSTIIMLSVHKPYRTFTLSVFCVFCPKISHKDRGNATELRYLASPEFVTFSFHVITCVTIPVMTFGAYQNSK >CRE19067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2104042:2104660:-1 gene:WBGene00057766 transcript:CRE19067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19067 MRIFNFLIFLIAIIAFLVITCEARGGGRGGGRGGGGRGGGRGGGRGGGGRGWGRGGGGGGWHRPNHWGGGSRYNGGGGNSHYHTTNYYGNRYYGGGGGGWGLRRLLFGGLFRRPSYYPNYYNYGNYDQGYCRVAQFVEYVGSTPRYYCDCPPYPPNYQWDQCVPIPAYRKKK >CRE18716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2101074:2103910:1 gene:WBGene00057768 transcript:CRE18716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18716 MTLSYVHTPKFVSTSLHIMTCLEVPVHIFGVYIILFKTPESMKSVKWSMFNLHIWSMSLDLTVSLFTSPFVLFPAIAGFPLGLLKEFGVPAAAQAFLVVSIFAGVGVSILAIFENRFYVLFAGNTVWRFIRIPVLFVNYLLCFLFFIPPYLTIPDQKFALEEVYRKLPSDLPPSITNGPVFVLATDATYALISIFLITSFIIGEIAICIFFIWLNTKCLAKRIHLSRTTLKMQRKFLNALHVQMYTPLIILIVPLVYIAYSVYFNVYDQASNNLCLIMISFHGLASTTVMVLIHKSYREACVDIFCIKTQYKTNQIPESRRNSFVTYVPRSKIRDDVIVSVTRVSRIFKYFWISYTAGMNLSYIHTPKFVSSNLHIMTCLEVPVHIFGVYIILCKTPESMKSVKWSMFNLHFWSVSLDLSISLMTSPFVLFPAIAGFPLGILNSFGMTTSAQIYLVVSMFAIVGVSVLGVFENRLFVLFAENTVWRYLRIPFFAVNYSLALLFFIPPYLNIPDQKIALEEIFKILPPDLPSYIINGPVFVLATDATYAFLSIFLITIFIIAETLLCIFFIAVNTKCLARKMHLSQTTLRMQRKFLNAIHVQMYTPLIILIIPLIYIAYSIISKNYNQAANNLCFIMISFHGLASTIVMVLIHKSYREACIDIFCFRMQYKTTPIVESRRNSFVSYVPRSKIRDDVVVVAHQITY >CRE19065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2096864:2098095:-1 gene:WBGene00057769 transcript:CRE19065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19065 MLCCLFVKKIIPCFSIFVKKNFLLTHSVTHCHHFILSFISSKSETYFQKHTILMNNSMLFYFYTPRFLNDALNLMTCVEIPIHILGVYCILYKTPDSMKSVKWSMFNLLFWSVILDLGVSVLTSPFLLFPTFSGYPLGVLKYVGVSTKVQTYMIVMVYAMVGTAILTLFENRFFLMFARYHPWRRYRSIFLFINYILAAFFFIPAYFAIPEQTEALQKVFSVGLFENILSVPHSKFQVLPKLPEVILNAPLFVLATDLSLVLLSVLFMAILLVTENAVFVILLYCKMKIRTRRMSTSQYTLNLQKKFLRAIYIQVEFRFRKYILLILYFPGVHSFSDPHHSTHLHVLLCSIRSLQPSGQQHVYYSLCIPWTDIYYHCLIIPQILSKSLFWINNL >CRE19063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2091171:2091533:-1 gene:WBGene00057770 transcript:CRE19063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19063 MLDVWVYQYIPKIFCALAFLVNPVFIYLIFTEKSSKFGNYRFLLLFFALFNLTYSVVNVIVPLVSDHSLSIRYSQLSLLLLPDSQRWLVCGIVRIQFPFDDWKMFFGCS >CRE18715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2090662:2091015:1 gene:WBGene00057771 transcript:CRE18715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18715 MIQFHRSSRPEIDHLVLLHDNACLYAATKACTVLHSQGVEVLPHPPYSPDLPPTDYHIFRSLQNSLAGQKFDDRMQLKSYLDHFFSSQPAEFYAAGIAQLPQCWQDVTSTHGQYITY >CRE19061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2087560:2088751:-1 gene:WBGene00057772 transcript:CRE19061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19061 MDKHWVYQYVPKVFCILAFIVNPVFVYLIFSEKSSRFGYYRFLLLYFAIFNLIYSVMNVVVPLVSEPFLRGFDFFIKDIHSYGYCFYLILSDGWFVELSTFNTHILTVRCSLVACSYAVLTSHFMYRYLVIHNSTWTRENFHWFLTASFLLLVLYFGSWYSICFILGRVNVEIRKYIREEFQVTYGKDSMNFNMIGALFQIIRKLNKATMNLSRKTSKFQFELFRALIIQTTIPIIISFSPSVNYFEVSALGLFAFVDPVAIILCIPIFRTRVFCNTTAK >CRE19060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2085149:2086710:-1 gene:WBGene00057773 transcript:CRE19060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19060 MSASNSAPRRVIISCIFLSVFLNFYLYHDRSILEVNCIIDSETLINFTKNHEKRLEHACRIKKSVDRTVPQCVKITSFPTTKTLQIRLYAFPIGGLGNKLFELISLLGIASILQRTPTIDVVKPEYVTTFVKSIQPIFPKLLDQYDLRILPPNSTTSMKANDKACCKFDDPKKFLNVTVEHLYLHGNYFQSYKYFDHLRSKIREWLAPTNLATSLAGMLFPQNLRGSFVICPHVRRGDFKTDGVHEPSDATFTRSAVDFLVNQYKQSHKQITVAVLGNDQQFAYTIFQDKFGNSSSPIPNSYNFTIPTNSLTYQVLVSPSFTPELDLAFSRSFCDATLITAPSSTFGWWLSYLSKPSSVTYYRNIRETNDKVLLNRKFDLIGSFQVANEMIDEDFFLPNWIKLQTGENGVIIKVK >CRE18714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2082373:2083469:1 gene:WBGene00057774 transcript:CRE18714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-292 description:CRE-SRH-292 protein [Source:UniProtKB/TrEMBL;Acc:E3LLJ4] MYFETPNFVSNSLHLISIITTPIHLIGFYCILFKTPESMKSVKWGMFHVHFWCTLMDWSLTVITIPYLLSPVAAGVPLGFANVLGISTDFQCYFALTSVAAQGMAFVLIFENRYFLIFARNTSWRYIRVVFIIINYCAVFCVFIPLLTMIPEQTEARKAVLKILPDLPEALDVKLIFVLSTDISYILISAVFMESFLSTEAAIFVVLLWTNFKLTRSAQHSLKTMKLQKKFLLAMYIQAAVMFFNLVIPVSYFIFSTVSKLHNQTANNLSILVLSLNGIASTTIMLWVHKPFREACFDLFRCENKMSNTSNLSVIRF >CRE19058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2072964:2081880:-1 gene:WBGene00057775 transcript:CRE19058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-45 MFFPINQIFVSLFIISKVTSEDICDLNCHFGELTINSKTIRKWPKSCTMVCGNIYIDGNTDVSESELEKNFEKLEILNGNLKIEYTSFTSLRIFKSLLEFECSGGGFFLSHNSKLSSLDNMYWKTYNPCVYNIINNTQLDLTPYCDYGSIIYNTDMNAYGNLKDCECFNVRITPESLSYYQNCSYIHGGEKGVLKITKVTEYTDLSQLSFLKKVNGNIEIFDTELTNLSFLSNLETIIGERFQAFNITQISNNPKLKRLGWDSLKVKDSITSKLNNLLSFQKIYPDQNGFSLSITNNHPEFCFSTNELQTFAEGTAAFYDYGEISLCPETFRKDGQKVCKFDNLNTMESDCVHVIGDVVINAENESNSWKLQNMTHIYGSLMVKDTKELTNLSFLSNFRLVATLKVGSPSLIQFLDNKKLQNVSLPQMKTPPFPITEDSTLRIDGNSQDIFTNQRECLLFQKLTQTQIKYNDKSCNLNCHFNESFITTESIKKWPRTCEIVCGNIFINENTDLSEKELKELFKKLVTLNGNLRIEKSSITSLKFLSRLNDFYCGSDGFVIANNPDLSDIKHLDHWYTESECVWHIINNTKADLSKFCDYQDSNIFNSNLDAYGNLNGCACKNARISPESLPYYKNCTSITGGSNGGLKITKVTDYMDLSPLLFLKKVNGNIEIFDTELTNLSFLGNLETMIGESIQIYNITNIHDNPNLKRLGWDSLKNIYPKEAEYTFNLERNHPEFCLTTYELQAFAASTVHFYNLNAKICPELERKDGQKVCIFELLSNLDPTCQHVIGDVLVNSENENEVWRLKNMTNTYGSITVENTIELTDLDFLSNFQQVATLNLGGPAMIRILSNKNLRNISLPKMTVINIDNPPFPDTESHFEINGNSLDIFKNQKECRLFQKHTQSYLKYNGKSCGLFLTNKLVLRTLDTIFSKVGTSRSGRQRSWRKQEQETRVLNHQLFYFSAVIFFLFKTSIFILSIHFHMILFILLCILHLTSSQDEPWKIVTVETCVMIIIGHNTELTNITTFWNWEGITPFTWHIVGNLKLDFEPFCDRQIYSPNIDYNVYSNLKNCDCKNARIGANSLPHYPNCTKITSGGLKIYKVNGSMNLKPLSFLKKLNGYLRVYETDLEDLSFLENLKTIEFQYTGEYYVDIYGNPNLKKLGLGSLKKSLPVDGLPWVNLTNNHPDFCISTNELQAFAEAKVQFLNLQAKLCPDLIRKDKQKVCNFEDLSTMDSNCQHIIGDVIIHSENEEHLSKLEKVTHIYGTLTIEDTEKLADLGFLANFRQVTNLRMDKISMIRINSNKKLQKIWLPNMKTPPFPNGFDQIIEIDGNSQDIFRDRRECLLFQKLTQTTTKYNKKSCTKLPTAVTTQKEPTILATTPVVFDLISTKSYEPGLNFTTIPASSDLPESTTLFAEPEATTKSGYSADSRIFSFSLIFATESRAFPSEFYLIFFLLFFDVKAEMTSFIFILILFSEYANAQISPILDLTNQTDTVETKSPEDIGMKTCAANCIFTESVIDSASMNKFPKNCATVCASPLYIGNETDLTEKQLTNALKNMKHLIGELIVSGSKFKTGKFLAALETIDCDNTGYFQWTSNDNLTEIGLLNLKTIACRIEINSNKMLTKLNLPNMVSYQKVEDNDCFQPTPSLTANYSRIDVEISNNSATLCVTVQEMANLMSNQNVEIGIMPQSYCSMGINNSTEKTCGFENSSLSTMESGCVRVCGDVKIELNDEEHTHKLSTVKKIFGSLTIDGTNLTKIDFLDGLESVVALKDDEAAIRVQYNPNLSNVSFPSLKRVYADIPDSIVFQNNSEELLMDPSICWGIRNALNTTDASIPTIDGQQCGQFQHVWIIREIRVTEKIDEDAIVRDKSGTQYSPMFSISFFFVLFLFYS >CRE19056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2068455:2069877:-1 gene:WBGene00057776 transcript:CRE19056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19056 MILPFFLLFLGVFAQNETTTEAPNPIYSDARCLPECIFESNIIETRSIEYFPQNCTTVCAPHGLHISISTDYTEKQLIDILKNMKHLIGNLYVSRSKYKSCKMLENLETVDCYNYGSFTIEMNNQMTEVGMPKLTNVSCRVSISNTNMTRMNLPNMMLVKSPVVNYSTIDVEIYYNSPDFCITIQEMLNLMKPDYIALNRFNRMYGSYCEIPDTSVFSLKTCDIENSTLAGLDSGCVQLRGDVKIEEGDEEYVKKLESVETIFGALIVRGTNLTNLQFLSKLKNIMSFAKEDPAVLVEFNPLLTNVSLPSFKRYLSNAHNPILFNNNSAELLKNPDHCYSVRNGVTTEMTWIAKFDGKVCEDIERVSASPAAQDKSAIIGGSKIFSLFLMLILFMSKC >CRE19055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2066238:2067811:-1 gene:WBGene00057777 transcript:CRE19055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-58 MLENKLIFVFISCFFKIDASDFFSKRCGLLFKLYLILARLLFPEDNCIFTEEFLNSKTLKAFPSSCSTVCADIKIDQNTNLSAEQLTEAFKTIKIMYGTLHVSSTNYTSGKFLNSLEIMECEGIGGFTWEHNNEMTEMGLTNLITCFCDIEIYSNSKMIRLNMPKLMNFSLSNSTIRQVEMTIQYVSPEFCITIQEMQIFISNKNLYMSRMPDKYCKFTTYSDSGGKHCFLDDTTETTLAPGVIMPINRSTKFKDLESDCDTICGDVEIGAGDEEMVHKLDKLKLLYGRLIIRDTELTSVDFLSNLEYVASLEGFYFKVFQYPEILNLSIPTGCRFESQYKSKSYRYSATKNQLKAYYKDDRNSQEKPSSQENSSQNLLGCNIYFLLCFLLILFRQHFVIQTI >CRE18713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2065237:2065569:1 gene:WBGene00057778 transcript:CRE18713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18713 MNYICQVLVLVSILIHMVFSHPILPATGGATATKTEETEELTTKGYYEQYWEANGWKTDDAGNVYVGDDSSKMLLIQQGSYCPISICKGWFGIW >CRE18711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2056358:2063112:1 gene:WBGene00057779 transcript:CRE18711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-98 description:CRE-SRW-98 protein [Source:UniProtKB/TrEMBL;Acc:E3LLG0] METTTSIYDHATYLFPNADEFSRVKFYQLMLNLEKIARPCLQFQYYLSIFGIVLTLFHLVILTRKVMLMSSIITIMIGIGVCDLIAMVATLQSVGMFFDEEGTDCSPPVSLFSYKMFWVFVVIRDFVRRSSTWLGVMMALVRFIVIKFGTSMKFQKCSKPIFGFFVICGSFILSFGLSFIYYFRYDIVEKGVWQPKEYCTDISLTSKHTIYTQKRSDLYTANNEFFGKTYMFVNGVVSKLIPCVFLPILTFLLVLELRRAEAIRKTANFTKRISSEKTTGLVIFMAVLFFIVELPIGISWVFQVSYTDIGFLDSSRFSVDFQFYAAIFGTILISLHLLILTRKGMMTSSVFSILIGVAVFDLVVLVETIITSANDNLFFEREGTDCAPPVTHFALSLSWKTLVLCDLVRRSSVWLAIMMALIRLLVLKFPGNHMIQGMSNPSFGFKSVIATFLLSCPFSTFFYFRYDIVVLGEWKPKEFCENINLDSTFPIVDQRLSSLFTSFDGLLGKTYMFANGTVTKIIPCIMLPVLTFLLVLELRKTEKSRKHLSFTKRSTYELRTERTTGLVLFMAISFFVLELPMGFVYIVQVEHTDLGFIWWGTFIYHICNGILTLNIISHCIICFFMSAQYRKIATCDQQCIFNEISVNTETLKLFPINCSTVCGYLQLSSDSNVTEDQLTTVFKNMKKFYGLVEVLNTNFTSLRFFENLEVLECENDGVLLLRFNSLMTEVGMLNLRNTSCSFEIKNCYRMTRLNLPKLENCDSSRSTEGVLNFAIFDMAENFCVSVEEIIHLFRNRNVTIPKRGLNYCEWTIPSSISGNFCQNESSIETFNSSCQYSIGDIIVNGGDEKYVKNLNNLIWIFGKLIINETNLVTIDFLDKLEYVINLKDSFDEGEIQVLRNTKLSNATFPSLKRLKNRPSDPIIFVGNNQTLGTDPSVCWKIRNQLNEFYFKAPIIDRKHCETIQEEYDLRNGADSNCTFEHDRIDSETLKLFPTKCKSICAHLLIDQNSDVTEVQLSSAFKNMKILYGSIIVSKTNFTNAYFLAGLVTVECENDQIKIYSNDLMVEVGMTQFSSTSCSVNVDSNAKMTRVGLPNLKIVSTPSNELFVFKNNHENLTSNPSVCLGIKHSLNTTAWHIPMIDGKSCEEIEEVAAEKDSSGEGNGRFIAQMLALFLFYYAPKVI >CRE18710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2054557:2055919:1 gene:WBGene00057780 transcript:CRE18710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18710 METSTTSYDAATFLFPNFENSTRMKFYEFVNFLDVAAHPILDIQLYVSIFGVVITVFHLFILTRRSMLTSSVMSIMIGIAISDWISMITLIESNNKFLDTKGDACRPSLSLFYLQTYWISLIVRDLFRRVSTWLGLLMALFRYLVLRFVTSSGFQTLSEPRFGFFTVIGAFMLSCCFSIFYYFRYEIYQEGTWMPMKNCTSVDLSTSLPVYGQKHSFLFAYNSGIVGKVYMFLNGVSSKILPCIFLPILTLLLIVELKRTEKIRQAKNFSKASSSGTERTTALVIFMAVLFFIVELPIGITVALQVSYTDVGYWWLATYVQHFCNTVFAISASLHCVICFLMSSQYRKTFGKIFQRQVTFLYYKFEETKIFAEDYIGGEFSSVKSKLAKQYI >CRE18709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2053101:2054270:1 gene:WBGene00057781 transcript:CRE18709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-96 description:CRE-SRW-96 protein [Source:UniProtKB/TrEMBL;Acc:E3LLF8] MTSSVITIMLGIACFDMTSMLITIGTNNMLYGTEGSECSPPATLLSFKVFWFFIAIRDLVRRSSTWLGVLMAFIRFVGLKFGTQPIFQRLARPVFGCYAIICSIVLSFILSVFYWLRYDFVDSDTDTWKPSNGCPNYSPTDIRPIVSQKPSKLFTDYDGVVGKVFMLINGSISKIIPCLLLPLLTILLTFELQHAKEVRKSLIVPVKDNSTERTTILVIFMTLSFFIAELPIGTALALQVAYTDIGFLFLATFVTHMCNALFTINSVTHCLVFFIMSSQYRKTVQTYIGIPRQTLETTTRSTVFSISKKRSI >CRE09073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2394513:2395549:1 gene:WBGene00057782 transcript:CRE09073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09073 MIFCFILIFLLPHQLLTVEWSNGTLSRWKRVQKSRVTIVDPSLVTGPNSLLNLAELTTRNLEEYIGEMDHPTTTEKALEFVATYGLLANERECEQDWCSQYMSLVKDSSKKNDMLVWRCSTCKSDGMSSKVSIRENSFFEGLRIPLQKVLYIAADWIENPTKTAKDSAAYFETSENTISDYHEWFRDMTQQWWEREAGMNKNIMLGGPGTIVEIDESAMYKAKYHRGHMLRRPTIWIFGMLERGTGKAAMFVVPRRNRRTLFPLIQAHVRPRKLTE >CRE18708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2047576:2049783:1 gene:WBGene00057783 transcript:CRE18708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-91 description:CRE-SRW-91 protein [Source:UniProtKB/TrEMBL;Acc:E3LLF6] MPLSYLEVDLFPSINEDVSIYKFYDFLEKLSNDSIYVEFWISIVGVFLTIFHLVVLSKITGSSIVSIMIGVAICDLLSMIVNIASRDMILNFFGAACTPPNTLFINHVFWILMTIRDDVIRCSTWLTVLMALIRYLVSKYFSKTQFHVMSGFHFGFLASLVSFLISSLFSMFFYLCVKFVEVGKWKPSQNCTNIPLHTEMLLYEQRLSDMFSIDNGTPLRIFQLVNGSASKIIPCILLPFLTTLLAVELRRTEKNRRSTSVLYKNNTEKTTILVIIMTFLIFVASLPTGIATAFQVAYVDLGFLFIFIYVDTICNALLLSTASINCFICFAMSSQYRKTAKRMLRNAILNEWMACPGNINGEEINANSLFLSRDPINKDI >CRE18706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2042761:2043733:1 gene:WBGene00057784 transcript:CRE18706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18706 MIRTFADIVKQNDSNNQADKENVLPMVGAKGEEEQLTVCSLEPISMSSEESYVIGNQPYLSDIFIMSFSDISNEEKLESVRAEVFCVQKLRDQIKELTAKEKKITKMFVEYKDKSNALKYQLKISEQGKKELEEYVVNFNNYLDDVYKKLADWEQKLEKAEKQREYSWVAYRVLQGQMDYVENVLKKQKEYIKQLEILVKVKLEEWKDVVDESEQQDSGMEEDDEKNSPIKMELNDNIFNYAKQNFEDFDNFKSTANLELEKKNFELEAARKRIKELESQRIIPAHYYFILFLIGLYVLSTLF >CRE18704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2033508:2039375:1 gene:WBGene00057785 transcript:CRE18704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18704 MHTRECLAVEFCIAIVGIFLTVFHVYVLTRKVIMKSSIISVMLGIALCDFISMIMAISVKNIITNFYRDECTPPLTMFVYRLFWILMHFRDDVIRCSTWLGVLMACIRFMALRFVSRPGFKKVSNISFGFYATGLIFLISSAMSTINSMRTKIVDIGPWTPDPSCPDKSTETWILHELRASDAFAANNGILSRITMFINGLFSRIIPCILLPTLTILLILEIKRTRQTISSTSFAVRKKTERTTALVIFMAVTFFIASLPAGIFTLFQVMYTDVGFLHLSTFVDHFCNAILTANASIHCVICFTMSSDYRRMTISSHIYLNLFLLTVNNTNDLAPSDLQLINENFRILSRVTNAISFQAAVIRKEVTVHEFLSELLHVNATRFESIVNVDVKSARLELDRMYAESEKYKRNKSIIDMMKKTVGDVKFVDEVMKHLNSFTEQVESNPGDIVKLVKEEIKNVNNLNVFNNSCIEINEKTVDEFLDLFDRRKESPKAANMAERIKNFIKLVSTGYIQKCIEVLPDIGREFQTLSIWKAKEKYEELNELKNIVMKFATVVQFWRDIADERIDEIRNEMEATKSIWSGPQSSNLQSQYYKNVKSVFRTLHMSVSEKIEHKNDRSEQPFANTAGFQDTKDLIKVLNDLKSPWFQKNIARGSDIKAIADALKPFQDISKEVIAVESKWIQFIDHTDVLLDDSNVEYHAEKLRNTAAIASNHPDHIKILNDSKLILQMCLGSTKPVNLNNFILFESQQHKIVDFLDRISEAKDEIFELTSLLLRGSKSYEKNFKTVKDRLLEFLNKKMVNSTNEANAIKERNRFENSFNIIKRQRGVDVAKVLGSVMKFLKTMKEIRTSSKSLNVKTNVSIGEILKESNMTVIAKCLREKEFQTQSLLKTVESVRSVGKIPGKEDVGRIHEYLKSLEKVQKTLESIDSHVKAMHLNQKLDGSDLILSFKNSKSVSETIGLCTRALENFEKARLNKKRLLSVKSFSNDINGLIVDLDLKNWADHQHVLLHMFNQLNDVNKVARKVRNESILKMAMVFEGASEVEGIRGSQETLYRLYRSINNTIALDRDVALKYFEDVLPLDLDFSKHQAHLKSGHISVTSLMEYYDEIFGHSTTKIVEKYVGISWIAILGICFGLLLLVLIGMIALYGLTENGKTKYKNLYLYYFGKPADFEKRWRYSKFMDNVNDKNLVLDAIREGNKTNLLKALKNGAYINVYNIFGNTALHAATKLGHPELVELLIKNGADRKMLNIKNRTAEQMVPPNYRETDKDKIEQFEKIQTVYKRHKKKNYRIRVPQIFPSTSFHIYIEDRTDDALTEKFMKQFSSITSDEKLPTTTHCVVQTDSTGVLETDSLDLLVWIFSGVIIMKEQWMTACLENEYLIDQDYKYLVEKVKYKGVIYESIISWTEAMAKSTIPYLIGVYVAVAMNTCENLMTISALVYSQGGTMMDVFPLKEMFTINSRPYLHANLGPIFLIGDGSMDLTPYKNDPDNMYTVFTEEEFIIFMLKREIKRDTNQNPMSCLKAVQS >CRE19053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2018537:2031667:-1 gene:WBGene00057786 transcript:CRE19053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-7 description:CRE-SRH-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LLF1] MLNETCMFVEPFGYTVAHYICTSLSVPMYGLAFYILIFKSPSHFNNYRNYLISHIFSGLLLEVHMGTIWKVTVVLPIPIMCSNNFIAEYAPIVFQFLPICLIYTGTSILALFVYRMEAVIVHRSEKSRIRKCIVYLKYLFFVSIVVVLAFTVLIYPDLKYQKDYKLKMEQRFGTFQPYMWCDNCFFFNFDSILFQWFFRVAAVAVVLGTTSATLALFQTIKCINSVNIQLSAKTKTIHRNFLVSLVIAAVIHGACILIPLAGFLWAITLVISLSQFPYFPYILTMIIQEHGAASTITMFMTNNLLRKTLLRMFLIPQLSSSTQSQNLQSTTMS >CRE19052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2016934:2018117:-1 gene:WBGene00057787 transcript:CRE19052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19052 MLNDTCYFEEPFDFMIIHYIALSLSAIVYGIAFFVLIFKTPKHFSKYRNYLAAHIFSGFLLDFVMGVLWKVTIVLPVPIMCSNTFVSEYAPNVFQLLPACFAYTGASAISLFVYRMEAVIVHRSEQSVIRKVVKYVKYAFYVNIVIVLLLTILIYSDLKNQKEYKMKMEVRFGTFKPYMWCDNCFFCNFDSNTFRIFFYAAGCAVVLGGLTGGIAFHVTVKALKSVSLRLTVKTRAIHRNYLLSLSLAAAVHVVCILVPLLGFLSAINVLISLSQFRYFPFILALVIQEHGAASTVIMFLTNNLLRNALKKMFFCEELIPTVENSINNRSTFVT >CRE19051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2015367:2016709:-1 gene:WBGene00057788 transcript:CRE19051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-5 description:CRE-SRH-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LLE9] MLNETCDFDQPFGFTIIHYICTGLSIPSYALSFYTLIFKSPSHFNKYRNYLVAHILSGLLLEIHMGAIWKVTVVLPIPIMCSNSFTAEYAPIVFQFLPACLVYTGVSIVLLFIYRMEAVAVHRADMIYYRRKLVHVSKRVFYFIVVLLLALSVLIYPDLKYQKEYKEKMEKRFGVFKSYMWCDNCFFFNFDSILFKSFYVTGALTATIGSFSAGLAFHVTVRTLKSVRSSLSPKTAAIQQNFMISLVVMAAIHGICILLPLLGLLWAISIVITLSQLPYLPYLLVLIIQEHGALSTITMFLTNDLLRRTLIKMFSKKPMESLNQSENNRSTIA >CRE19050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2012013:2015042:-1 gene:WBGene00057789 transcript:CRE19050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19050 MLNETCSFEQPSAFSIIHILSSSISFPIYIIAFWILIFKSPSHFNKYRNYLAAHIFSGLLLELHVGSIWKVTVVLPIPIMCSNGPFAEYSPNLFQLLSIFLIYTGASALSLFIYRMEAVIVHRAEPSKMRKSVYYTKYFFYATILFIVLFAILIYPDLKNQYGYKLKMEERFGKFSSYMWCDNCFFMNFESKIFCFFYVLCAVATVLCLYSGICALVVTIKVLNSINTQLSERTASIHRNVLQSLVLTAVVHIICIFIPLLGFLLAISILIQFSQFPYFPYILVLIIQEHGAASTVTMFLTNNLLRKSLLRMFFIPQLSSCSQSLNMLRKTMRKILPIQFENSTHNTTGISYRKLMSSHAHSHDHSSYGIQTFSNKIQWFEQIFSKIGVILNLFHLIVLTRKSMTTSSVNSIMIGIAICDFLSMANIIRVEFETIDPMGTECTPPPSLSRVNFDWFLTSIHNGLRRCSSWLGVLIALVRYLVIKHVLNYRIAQPRFGFILTFISFFLSCFFSVLFYSQFHFDQISTWHPNPSCTEYSSEWSEPVYGQLCNKLYEMQEGLFHRIQLVVEAVIGKLIPCVLLPILTGLLICNLKTFNKNIGTEVFADVRHIRFNMIHPTFFQFFISFRAHQNERITSVVIFIAITYIITELPLGIVYIVDAIWHNDSDLQSGIKTVEFLCNTIFTANASVHCLICLSMSTVYRKTVMDILSIFPCLKRKQKITNSIVSKVTK >CRE19049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2010347:2011745:-1 gene:WBGene00057790 transcript:CRE19049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-90 description:CRE-SRW-90 protein [Source:UniProtKB/TrEMBL;Acc:E3LLE7] MISMIPTLFNHHGFVNIEEFTPQIFQQVVFYSLWYEFIFSKIGGALNIVHVIILTRKSMRTSSINVIMTGIAICDLVCMMIIVRNGFIEMDTMEVCTRPRSLSEMRLDLFLTSVHNAFRRCSAWLGMLMAVVRYLVITDITSKNNKFSSPRYGVKIILTAFFISFLFTLLFYLHIDIVQIDTWHIPLECQKEEEDESFPIYSQQFNEFFESNNAFLSRSRLILEGIFAKLIPCFTLPILTGLLLHGMRKSLTISSSTVEISLANKNLRKSRKDRTTILTIFVAASFFISEFPLGIVDMYKGIWRGDMNYETFSQNVVLLCDALFTINASIHCLIFFAMSYQYRRTVKNLINSLNCFKIKKQARVNTILSSF >CRE19048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2008251:2009909:-1 gene:WBGene00057791 transcript:CRE19048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19048 MSAGDSGSANQTLQEVATVVNGIGSYLHQTNFILSCISLVINSFHLLVLSRKSMKTSSTNIILIGLSISDTIIMLTTLYKHYVMVDMENSDCVTSEYRIKVYMDLTVWSILVVFRRCGCWLGVLMATVRYFVVKKITVSRYGNWSEPRVGWVMVFSVFCISGLQTILYQSRWMVVENRSVPLPINCAEYQNINRAPQFSTMLTPFFSFDNQIVLRSYVMFDSIVTKFIPCIAFPILTISLLRVLRKMKKSGGNSGRKISVSSEEKKGLTTKLIVILTISFFLTEAPLGFIYLVKVFFDRNDPILLLSTDFVIYFSMLVTINSILHPTFCVMMSSQYRDSIRKMLGVKRNPKMSSARNKTSVVSVQGIQMT >CRE19047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2006352:2007930:-1 gene:WBGene00057792 transcript:CRE19047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19047 MSLVADDDTLEDVQDVLTILESYIFLVNIFLTFISIFINSFHLIIITRKSMRTSSINILMIGIAVCDLFTMFTTVYKYFNLVDRENPECITGDSLMKVYMDVTSWSSQYHFRRSSCWLGILMASVRYIIMRKMSNVRHTKIGEPRMGFILAAIVFFASVLLTVTWQFECKVIENRNFSLPVNCAEHQDINSHPKFSIILRPLSNIAAVLITRTYFILDATISNFIPCLAFPTLTVLLLRQIHKINERRSEMRRTSVTEENEEKHGLTTKVIIFITISFFIAEAPLGTIATLKTFIDRSNPLFRLLTEFVVYFTTLVTINSLLHPIFCIVMSSQYRETIKSLLRIKRKTSVMSAQKKVSFGSTQVIHMT >CRE19045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2003216:2004306:-1 gene:WBGene00057793 transcript:CRE19045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19045 MNNTNDYSTLMELPQLYLEKLILTVYVMVSTFLFLFRLSEKKNLIWKIFPQFCVLMTFVPVTHFSVLIMITFQNFLFSSSLSLDAVIHRLVPVLISCYPILYIPPIPFSITMEFDMLKYIPVFIITVSFLRRLYHFKKSKKLISVNHVEYIGMVHIALFLLVYWFLTIPQILLSILTSHLNAYPYSTRLLGFQESCVLHYLFVYAIPLAESKSVVKLFNNINIHPSRF >CRE19041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1994913:1997514:-1 gene:WBGene00057794 transcript:CRE19041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19041 MLDVLSSLSFLFLILYRHFKKLQCDDLHAPIFNQLFGVSAVCVLINLLALTVRILWEAGVFDDNTALFVVCVPAMFQFYSHSGVFCCIVSFSFLSAVQRIIILYFPDLKFLVTGIYLKFVIALMWFPVVHYNYILFDECEDETFANLAINCTNSNDEVLLLTYQFSFITMNLLTVIFYIHINQVLSKLATVSPSHKPIVLYHFVPVLVLQMIFIALNVLAKIVFTYFHSNTGEIEEVLVLFTNLTRMIFLPLVPIVVSFSYISSRILYHRRKTSESEKKEFEYHHAPIFSQLYYISAFCLFTTNCCFALTIIGNWSGNGTIYKLLVIFLVYIETLFTIFYNVVLGSIIMFSFLAAFQKIVILYIPSYKWSVTGIFIRLEILSVYIILAFYGWMDYKCISENSDILMCPDQKLRVMKIFFNCIIFIMSLVTGYFYYHVYKLARNLSRQNNASQVHSFLFLISEGISEVFETNMVYIVCMMSVTFATPAVPVIVSLSYIASKENIRQIFMIIVYPMWQELTA >CRE18703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1993489:1994577:1 gene:WBGene00057795 transcript:CRE18703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18703 MALPVLAKKMKKFVALQFANMKFLLTLAASLGSSKFEKNYKIKAELGRGGFGVVYRAVRMSDNTTVAVKFVKAKRVKQWGEINGKKVPMEICMLMKCSEIDGVIRILDWYSIPEGFLIVMERPYPSIDMFDFVRTHGKLSEEITHFLFRQIVSTVYECAQNQVLHRDLKDENVVIDLATGTTKLIDFGAATEFQRRPYSHFHGTVLCCPPEWLRKSLYLGEEAAVWSLGVLLYASINGRLPFRNETEICTAHLLGPLLYHAKVSTEVKDLIDNCLSFDPFDRCSLEEIMNHPWVKKQPISWELLTEKKREEKVSIDLKADSDAVECF >CRE19040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1991750:1992921:-1 gene:WBGene00057796 transcript:CRE19040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19040 MNTSNPSFIVTKPIVGIPEYMHFQYNFNWVSISTAIKLFFDILCTVLFMKLVFFYIRNKSIIRKIGLRIEIFQSFLLMQFWSILLVIGEYLMFRIPLTGVFTSYCATENPQLLLQFILFFFYLTHFGSQLFTVLFCGLRVIILNSNSKQSKGKVLCVFTPIFIFISCLIGLPALLSGGTCIQMYEPYPFGSIIIISMFHINNKEELALGNVIFTATVTFVITALNCSMMFKIRNNKTRFESIIDVFTVGLLPYILSISSIAIDLRVNLVTCYFYYTHPVFKKQEMEPKQGTIAKISSASR >CRE19039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1990227:1991353:-1 gene:WBGene00057797 transcript:CRE19039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19039 MDKTSMALPVLAKKMKKLVSLRFTSLKIFFNLKSSNGLLKFEESYKMKAELGRGGFGVVYRAVRICDNKMVAVKFIQKKNIKEWGKINGKKVPMEICMLTKCSETEGVIRILDWYSIPEGFLIVMERPYPCIDMSDFVRAHGKLSEEITHFLFRQIVSTVYDCAQNQVLHRDLKDENVVIVLATGTTKLIDFGAATEFQRRPYTNFHGTILCSPPEWLRKSLYLGEEAAVWSLGVLLYASINGRLPFRNETDICTAHLLGPLLYHAKVSTEVRDLTDRCLNFDPTERCTLEEIMNHSWIQKQPLSWDQLTKKNVEQESTEAIKKRHSSEDFHSQQVVSGC >CRE19038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1986297:1987246:-1 gene:WBGene00057798 transcript:CRE19038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19038 MSEFSFSPYTYAYKSILGVQEYINYEYEVNWMSILTVIMLSYSIPCFFIFLKIVLYYYKNRGIIKKIGLRLEIFQSFMLMQFVNILLIIGEFAMFRIPYTGILSSFCAAENPQIVMRVIVFFYYFASYTSQMFTVLFCGLRVAILYSMKTKTTKKSVFYTRRSFQMILTFPSLIISFSFCAALPHYLSDGVCIQVFEPYPFGSVLIISRYHLSNIIGELFHFSFFSYILLLRPLFLDAQVHVVTCYFYITHPVFKKPQTQVSASPNFSRVALKTVE >CRE19037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1983689:1984841:-1 gene:WBGene00057799 transcript:CRE19037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19037 MSSSTTPLSYKNIMGNPEYQNFEFTVNWIFLCVVIMVCYSIPPIVVFGRIAFFFFTKKSKIKKNVLRIEIFYSFLLMQFWNIVLVFADFAMFRMPYTTIFTRYCATENPQTLLKCIVFVYFWAAYASQLFTVLFCALRVAILYLVSKNTTERIMQVLPPIIIIFGLAAALPHFSTDAYCMQLVEPYIYGSVLIISKFHSMNSYVIIFNAFIYVSVTLTIIALNGLMMIKVRRKKLINTLRSATQSVRIERTLTGTMIILLFPMIVSCLISIGEISRISYFSYILLIRPLFIDARVHIVTSYFYLTNPVFRSKSPHSSVSHMSRVTL >CRE19036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1981189:1982322:-1 gene:WBGene00057800 transcript:CRE19036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19036 MSNEFDLSDFSITEQAEYRRFKFQFNWLFFYVLGMVCYSVLPMFIYFKILFFILTEKKTVKRSIMRAEIFDSFLYMQFWNIVLIIADFSMIRIPYTTFFTKYFATENPQVLMKFIVFLYFWATYASQLFTLLFCALRVSVLYAVPETTTETISKYVPPIIVTSTLFAALPHLSSEILCLQLTEPYSYGSFLIISVLHATNKIVLVSNLSFYITVAMILIVLNMLMLLKIRRNNSMNAFQSTTKSAKVERTLTVTMIILLFPMIFGYLVSIGEIFRIPQFSYVLLFRALVQDFRVHIVTCYFYSTNPVFKATSPQSSVIHVSHVSRLT >CRE18702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1979921:1981068:1 gene:WBGene00057801 transcript:CRE18702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbxa-150 description:CRE-FBXA-150 protein [Source:UniProtKB/TrEMBL;Acc:E3LLA8] MSELTEFEWHKLNDDMKLEVIKRSSYLTRCRLRKCSKSDKSLVDRVPISEIEIGFAEDEYRHTEDSKPYLMVHDAVNDENWSYNKGDDKDRIADFMRIFNNRNTLVRRVYFDFFSDDSNSCPFFSKLLTELDAHPKCIIQSEHFDWRCHQASEQYLLQVLEKFDSHNLKKISLNGIISEEKVKALSETDQWKNTSKFDIATSPSFGELDNDVKVKSEYIQHAENVHMKVGNMKGDEIWQLIKNYRESDRNVASFFMLDVDQEYFEWKDVLLLFDVPVTNVSVASESSTTHTQRFEMSTRGRVLVVELSNYGVKGCVCREEQIDEDFNRVFNEED >CRE18700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1974966:1978507:1 gene:WBGene00057802 transcript:CRE18700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-130 description:CRE-NHR-130 protein [Source:UniProtKB/TrEMBL;Acc:E3LLA6] MDTNSSLMQFDVSSIPSSSIFYSPSISPLEEDMPCNDDGQLVTLNYFPCQVCGQPAHGNHFGAISCRACAAFFRRAATGAKTVYKCKKENNCQIWENGRFMCKKCRLDKCNEVGMDPGRFQFDRDPISATEQFINTKQAKKPFFLDSLPEVLISTTLFILLFLFQTIEHFLGRPHFIMFIEPPSYTDKNFIDCQYLLEKATQLLVLGAEKPLFAKNNLQKLALGLNLVRDIPANSQDIHILTKLGKDEAMTWWETDFLAVARWLTYFDDFQQLPQAQQVSRSILNKHEHILFLQVLLLKSVWHVWGRLDKLSLTATSRRRNLCEKRQMMLGWNSVCDPKTVDLDISWFTKYPREQLAFFGFPDEMEDWMAGSALEPLMELQPTDIELTYMLCQLCFHYAGKKWQGEILEVTEKFQECLANDLHSYYVNELHMPRYVGRLNQMLKINNMIQQDIWEKRAKQEIAKIFDIFCIEFSHPEIRGALRKFEYKCKNRKLEQECLIFDAISQQYPCKKCRMDMCLKLGMSVKRFQFGRDCIGPSKTSLTFRPNYLHFVKRNQNKNFVELKFLLEEGEKILLNRVFCLLFTSVLKIDIFQNRSISTSSTLLKLSHGILAVRDLPSFHRYRLVLKWGVNEKLKDWETSFLTVANWLTYFDEFQKLPISLRMKILTGMWHLWVLFDKLALNFMARKHKFGSKDILVAGYNTLFNLNNLEINMAGFSNHREANVDKFIRAIDWNMINFIKPLLDLNPDNVELTFMISQFCFNYVGKRLQGTIVEISDRFQEILANDLHDYYINGQNIVRYSGRLAQLMKFVKSLENDILEKQRKVEVGEVFDVWKVEFSHPEVFKDNLS >CRE19035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1968573:1970053:-1 gene:WBGene00057803 transcript:CRE19035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-131 description:CRE-NHR-131 protein [Source:UniProtKB/TrEMBL;Acc:E3LLA4] MDTNTDFLTCLICGQVGQGKHFGVFSCRACAAFFRRAADSKWSRMKCLSKHCNGKSYHCKPCRLKRCYDMGMDITKFQHDRDGRQLIPSNRKRKIPDSIEIVLGKPHFLLMNEMGEELKEKKPYVDLSFLISQASDIFKMGPPAPVLATSYLQKLNYGESCMRRTENRRKLDLITRKEVVSFWEYNLITTAKWLTYFDKFQELDHDLKMQVLFAVWHVWGRLDKLMGTALYRIRNKEANKADRLAGNGIITDMVDVKTDAEWMSSYPIEKLRYFLDGVRISDLFPLIDELQTLDITETELSFMLAHLCFQYAANRLGGKLADILEGFVETLSHDIHKYYVEEKRMPRYAGRLSKLLKINKEILENVREYRSRAQVARVFGVFKLKFSHPEIFRDTGYV >CRE18698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1967048:1968496:1 gene:WBGene00057804 transcript:CRE18698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-212 description:CRE-NHR-212 protein [Source:UniProtKB/TrEMBL;Acc:E3LLA3] MHETPEIPCQICDLPAHGNHFGAISCRACSAFFRRSIIDKSGESFKCLRGNDKCLLKSSGKFFCKKCRLKKCFEVGMDSKLIQHGRDKIKTPPSLPQTMSTLIGRPSYIIHCSPVANASKKSIVDVTYLIDKANDCFDFGPALLNKQLKILEKMRIANDFLESFENSELSKIEPSSSMTQIPVFDKQFFMHYWEVDFLKTAKWLSFLDGFQKLPRTVQIQILMTTWHLRARMDRLCRTAKLRRKMKIGENDFMIGSNSCFDLKNCKLDVSWCTDYPNEQIQFFLEGSDDWVHNEVVEQLEELNPSEIEISFMTCQFCFHYAGKRLQGYILAEMEKYLDLISDDLHQYYQEQNIKNYSERISKMMKINNRIQKVIWEKRWKTELAQKFDIFHVQFSHPEMFYDCC >CRE19034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1965091:1966239:-1 gene:WBGene00057805 transcript:CRE19034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19034 MKLCMKVGMKSQSLQIQQRFNINKSFEIFVGRPNLILFTTNSIKKRNYIDVKNLVSEAYGILKIGAPTPFSKNLSQLEKMAVEISYSTGKCTKLEVISQNSFSKVWQFDFFSAAKWLTHLEEFTVLPIAVQQMQFLQSIWHVFGRIYKTGKSAELRKKQANDSKILNISDQYYLEMEKTEFDMSWLSPYPFDQVKLFLFGGEVDCNADELIGAILKLDLSQIEICYMTAQLCFQYAETRFAGTNFSGIGDRLLAVLDNDLHQYYMTRPDRNRNYAWRLAQMLKMNQSIQRMIRLQREKSVIAHTFDIFIADFSHPEMFIDTGC >CRE19033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1963439:1964960:-1 gene:WBGene00057806 transcript:CRE19033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19033 MWFMPDSFLFHCSIVLLKTKHCTLITYLFHSQTKIVYWKMQKFCEVCGASAHGYHFGAISCRACAAFFKRALLAKQQRKSCKYGEGCTDFSGISSPSCKSCRLEKCLKVGMNDSNLQSNRKPIQAVELYAGPRNLRTSGSPTKQRTYIDVKHLIYQGLKILNMGSPCTLNQELTQLQKLSLCSLKPPENPKQLHFIGKNTTVGMWELDFLSAAKWLTHFDELKKLPPGIQMQFLQTIWHLWALINKLIRTAGQWENGWQDSKRLQLCDDSYIDMDNAKVDVSWATHFSFDVIRYFLHGQDSDLRIGQTINKISKLQFSEVELTFMVSQLCFQYAASRFTETEFSEVCEKFQEILANDLHQHYASNSMSDKNYAGRLSQILKINQEILKDIRSLREKTLIANTFDLFKVDFSHPEMFVDTGYK >CRE18697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1959214:1960307:1 gene:WBGene00057807 transcript:CRE18697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18697 MMEKAQPIWQELPTHCKADVVSYLDFESRCLLRSCSKSDYDLVKSCPVYLGKVELFPPTRFALSEQSYQIDEFKQVMVFLQVFQHPKSYAREVSINFDRFYESGDIQQFFILLLDSMKTKTDFKIKSASLYINDHAKTVNGREFLELLQFFDSSKLKKINLSSQIAPEYFEMVSKTEQWKNATELKAFGYYNYNIPIESLLHFDRLNVQHINRLSTEKAWKFIENFLRRNPALGSCFQVQTMFALDIDGILENFSVFPNNEPTEHEYSTYYKHTQLFELPNPNHICVVKISEHGIYAAVCRITHLEPDFRWYLFGVRIE >CRE18696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1955996:1958874:1 gene:WBGene00057808 transcript:CRE18696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-210 description:CRE-NHR-210 protein [Source:UniProtKB/TrEMBL;Acc:E3LL98] MSEIPPTWNDLPFHFKKDLVSFLDVKSRFQLAASSKDDQELVMSCPFNLYALSILFPTSDPEASDYCLVFQDHQKSPKVILLLDRAEATSNVLLKTFYHQKSSVEEMTICYNYSTEHYSHTMQEFTAKITSKMGEIGKHQVKTRRLVILTDLSIPDSFIKLTGCFDSTILRKIRITGEVSNEILEAIIVTEQWKNLDDVTLQGRNTTPILQLLPPNVIQFSLNRYDLILSPNDVVRLVQRYINRNSRHGSYFQVLTTPETQFDEDATLQQLRSIAMGFDRTDEYTDVMFEMPSTDYVFVARVFGVGVQGAMQPCQVCGSVGPHGAHFGAVSCRACAAFFRRAAFSKWRNLNCRSKNCDRKIYIQNYSYFCKPCRLQKCFEAGMETSNSLHDSNNSLVPHIPYKSKVPQTMGTFVGRPEMILFWDSQKPTHKTYIDVNYLIDEATRIFGNPVERVYLASNRLQRLSLGLNVVRGNVKNYRFVTELTQKEVSDTWQFYFLAVAKWLTHFTEFEELEMSVKLTILQYIWHIWSVLDHHSLVSVHQRNNPNAPRTQTVTRRGVMVDKTKVHFDSTWLSDYPSRQIGGFLRNPSSYNVTGALVALQPTDVEMTFMLAQLSFEYAGKRCQGEVQKILERFQDLLADDIHQYYTKELRMHSYFGRLSKLMKVNNAIQKKIWEARPRMELAKIFNLIKLDFSHPEMFTDSGYN >CRE19031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1954042:1955456:-1 gene:WBGene00057809 transcript:CRE19031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-90 description:CRE-NHR-90 protein [Source:UniProtKB/TrEMBL;Acc:E3LL97] MTKSPIRNCLVCGAENNHGNHFDVQCCRACAIFFRRRAGSKYADLKCQTRHCDEKYKLCKPCRLRRCYAVGMNIEKFQHNRDSLKTLEKLPQSFTNFIGRPSLVIFCIPEEPYEKTFVELKDLLKKASEILHFGAETPYVGPSQLRKLTMASCFSISKDCRMYRTLSYGDMSYFWEYYLLRTAKWLTYFEEFQSIPHKMKLQLLTSFWHVFGRLDKMVTTASARRKKICNNEKMWAMSNGVIMDLNYTKVDFSAISKYPLEQILYFLNSITIFDLSTEIDKLVQLNVSDVEFNFMLAQLMFSYAGKRFQGDIMKICDRFQEVLSNDLHNYYVNEKKMPRYSTRLSQIMQINNAIQVRSHCSHYCFFSLFQNDIWRNRPRGELAAIFNVFTVKFSHPEMFVDTGLV >CRE19028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1945052:1946614:-1 gene:WBGene00057810 transcript:CRE19028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19028 MKPISLSDIPTTYESTDCESGLSSEYSSEFSSGEVISIPSLGAYSDLPAAAPQVPVVPKPVEKPLKQFKFWKDLPPQFQKDVVKKLDYKARCCLRKSSIANRDLVDNCPLPMSYITIKTDRTYNPKTKQLDEQVVFSVFADNWKSVNLHGVDDFLSLFKNRRSVAQSCWFDCFDENKPCVASFMTELEKEIKIRGAQSKIRARKIQWNNNYKISQPKGSISNQKISSSPDPGVHFTNILNCFDSKCLKSLDLVKSNFTSSTINILAKSSHWWLLKEINLELQQKTMIDLFLTAERLLYTTQTFNGQDIWKVIQSFQSRDLPRGAHFQLTAHKPWDVEEVLKSFQVPVKDEPVESRRSPFIKHTQRFTTRSGELVLVVKLNSEVVRGVICRANCIKEDFGSDS >CRE18695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1934680:1935898:1 gene:WBGene00057811 transcript:CRE18695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18695 MNSVDFRFRLGSCSKSDRALIDTSPVFLHGIEVYIASGKNQETSNVLKIKDGGNSIEIANNIIVHCLHIFKQKRSFAKNVAIVIHYSDTEDTTLMKEMTETTKSCGGNFKIRAKRLYLKTTGISDQHVFQVFQLFDSNLLESIEFGHKISDELLSRIPKTESWKKAKEICFNKAQQLQVDDFLHVNKLRFFPLLITPEDTWKLIIAFTTRKTLLRHFGFRLNFLNDWNPSHFPPEFKASSIKTYTSATGSVYIFPTQVTHFMFVVRFSRRRCEGYTCEEGYEISAFRSISLNPYPMSEFLNSFHVKKTFRYPNFPPYRPTVAVRYPQLIPEPHIVPPPPIILNYFEYGPFPIVPLNLTYPTNDVLDDTPTVTSNVGPDDSLYIVPDKNQ >CRE18694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1932856:1933984:1 gene:WBGene00057812 transcript:CRE18694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18694 MCAEPTWQGIPPRIKQDIVTSLDYRSRFRLRVCSKSEQYLVDNSPIILEGLTISWRPSGFALAVKKDGKVSEIHDNSTDKLINLFFDVFKVKRSFVKNVGVQFLLYMNEIRLEPLITRIQLMTDHDLKIRTKHASLIVHGLSNQDFTNFFQLFDSKVLESFKFENKHSQDQLKEISSFEVWKSLRQIDICRIKSVPLDSFLHMNRLKYFSSNLSSEDIWKLVSTFLKRADLLSGFGFSVTCGNNLTKNEVVSGLGEQFKSLSISLNGNQYELSQLFSTLAADLVFVVTLEFKKLQGYVCSRRTINEDFEEFSKWDNAPFAFLRSNPSN >CRE19027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1931549:1932648:-1 gene:WBGene00057813 transcript:CRE19027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19027 MVPADTFWQNLPTIFKQTVIEHLDYKSRCCLRKCSKSDRELEEQCPVSLEKIIFKLGFNRTVRMMFEECDSELDVFYPAVDIIQDFSQIFQNPRSKCSMLEINGFARGSDPLTTDIIQELAENLRHFHRVKIEKLKFWVNVFHAEEMFVNLIKSMDPKHLKSIILCHEISKNGMELLAATEQWTRLKKIGFGEIEDPDFDSFLHLEKIRFVVKTINPRDVWKLVQNFVTANRPNGSYFDITIAHDANVPSMLKFFQERNIELKNEPIRSGDLHKYIHTQRFKFTKIAVDEENTVEEVMLILKMNNWNVYGFICKADKYS >CRE18693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1930934:1931469:1 gene:WBGene00057814 transcript:CRE18693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18693 MFKFIILYILIVATIGEIQLGCSSGTLPISDKGCQPGYTATKDGCCKDSDVFIVTPSTTATPTTITTAPPCKDKVNPSTGVSDCPNRKYLCTDPNYKKVMKDQCPKTCGFCTTGPTTTPPACSDKINPNTGVSDCPKKKYLCTDPSYKGLMKDQCPKTCGYC >CRE19026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1928886:1929735:-1 gene:WBGene00057815 transcript:CRE19026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19026 MIALLILLVSGVSAEIKVDFNCTNYVGDGTITFGYAPSATICNNVISDASCDALYAPVTAGEYPGPGLDIERPFNCYTASGTSGGAFSADMKKAAIDSCPKSCGYCCQTSAYNCRNVQCEFLKKVTETEQCQIFQFTVPRLNCNTITASQCRDVNWRVIIAADCPSACGFCNEGGCVDGVLDCANDMSICNTVGLQDFVNTYCQKTCARCSSTTAASAGTGTGTCTSFIADSSTSCRAWAGNGFCTNTFYTSAQRRAYCATTCRIC >CRE19025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1926697:1927905:-1 gene:WBGene00057816 transcript:CRE19025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19025 MVDLALVYKHIPKVFGTLAFIVNPIFVYLIFTEKSTRFGNYRYVLLYFAMFNLVYSIANVAIPIDIHSYRYCFYLFISDGLFVESTDWHLFLVALRCALVASSYAVLLSHFIYRYLVIHDSTLTRGNFHIYMLMSFGIFLAYTSVWHASCYFLGSANQETKEYIREDFGKFYGIDSMDRNMIACLYNEGTNFTVIRSWSTTTLWTTISAISICVFLKLAHMIMRKLENITGSISRKTSKLQIELLRALIVQTIIPIFVSFFPCHQLYNPHFQHGFGTVSDYTFERKHFSEFFRPINYVEVIALGAFAFCDPVAIVMCLPVFRQRVLCKKKAIEKPLPDRPITTVTRTTATTNLGPQN >CRE18692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1921845:1926494:1 gene:WBGene00057817 transcript:CRE18692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18692 MIYVNWYHHNMPKIFGILSYIINPLFIYMVVTKSRSQMGNYRYLLIGFAVFDMIYSTAELLTPIASYKICRAVLNSGYGFVTFITEGPFFEHSDYGLHAISSRRCFVSMSYAVLIIHFVYRYLILFYPHHVDKMLKPLGIIVMFLFLIAHGAFWTWICEDCLAPNEEIRDIIRPAFLEVHHVDSDHISLLTGQYRNASDYVVYKSWIGITALTLFSMYLHDSIFRVGLQNNEKNEGKLQHDESECQSEPSTFQSTCCSNLYSDVCKFSTNYYCLVCSNIFDQLDMVGNLSKTPKEICFRWNNYVCNIALSAFPLIDPIVVIYFIPNYKNTLLIWCKLRKPKVVTITTSMISAPIAVFYLTPPSTNGLHRDFHSFDYYNQFGYTMIFITIALHGINSTLILLWVHHPYREVFNSLIFLKD >CRE18691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1919808:1921350:1 gene:WBGene00057818 transcript:CRE18691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18691 MRFPHSSYYSNPKKTNYFKKVLTFPGTWAVLIVFTYHYMTWKKVDLLIIPYPPAKIQFNTSRKYLSSNLASIAQLGNHIFEFAGLYGIAKKLNRIPTFFIENGHHKKMLERAMNTMPGLVDKFLIINGSVPDSIRNTSFHGVCCTYDNPIRLEKNPDEYLHLTGIFYQSWKYFPGMREEFLSFLSNSAENSNFGNLPRSDANTHVTCIHARRGDFLEVGFYGADPVFIRNAMKFIDDREKDTRPNKKIVIFGDDAKFMKKVFDGSVYSTDGVKEANHYVSKNTPTADFIYSKYHCDVVLISAPHSTFGWWMGYFSKGQKVYHMDIRFTNDWVYEKGEINIKDFYLPHWQALKFQNSDNLTVVESL >CRE18690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1915295:1917527:1 gene:WBGene00057819 transcript:CRE18690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18690 MLSGVLKILVLFFSIFIISDAKNICSGESLSAFNMLDVKNLTEMAKKPHCTHIVGDIIIQNLVDVELPVQIYKRIRVVFGSIIIVNNTNIVPPIYFQSLSVVNASLLPAITILGNKNVMVHVGNYFKKAVTQNKEKVMFAVLLNSNQILDTVRYCRFDLPLITTLDFRINTMSGIWLVIRIAEFLVSSLSLITSDAHQSIHKLASRVFLRMRRHFISLQILVYSNFIMCSSGGSHPDTRYLASPEFIAFALHCVTVVEFPVMTYGAYCILFKTPARMNSVKWLMLNLHFWSSLSDFVISFIGIPYILLAAPAGYGLGLINAPSLLIYCMVTFIAALAASVLAIYENRYFTLFGRNTFWKNCRRPFFVFITFLVPIIFVPPYLDIPEQTSARQIIISKIPCQPPFTYKNREMFVIALDYTIPVYSIAFGTLILAVSITIFGVLIGINLVKVRISSVYSSRTFMIQRKFAIALVIQSLFMLSVVLAPVITVLWIIELWYHNQVLNNFVFITLSLHGIGSTIVMILVHRPYREFTFSKFSLCCKDVETYKNNVTVSVVL >CRE19023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1914248:1915014:-1 gene:WBGene00057821 transcript:CRE19023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-3 MLSKRKNSSFAKHTSPSSVQISLAILSILVIFIHAETVCNGTELSVENDAEVGLLTEKPCTKVYGNIVIRNLHSPKRMPNYWTVEELYGSLIIENTTNLGDSVNLQNLRAIRADAFPAFVVRGNQGLKLAIGARLGLVSTKHSITYYFADNWPAYMTESQHYTLFMASEKRRPIFLTDNHFLTKQCDEWYYSLWTFVFAILCFVAAVALIGISIYGRRDEKKKIL >CRE19022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1911180:1913755:-1 gene:WBGene00057822 transcript:CRE19022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19022 MSFGTLANLFVFLAAGKMGSMNSSFGIITKNQAICNMVMCLIFLLYVCPMQLSDSQPLVNYSRFLGLSAMTVYEVSNQLHLLLALNRLCAVFWTFHYDQIFNKFNTNLMKNVACLIAITMCIGFYEVLGCHFSYNDISWTFVFLNTQNCSTITWYSDFVLNISMVALTLIINLLTAYKAGKDSRNLLSSVGVQMSKEQKQRENSFIRQSFFQGASIFAGQVTYYVTAPLVSNSILLFLDASLWAFMHAVEGGIILASNKEMISVVKKKRHSVRCYASIQEYNFLLVRDSGPAYPCLQTDILFRRHCLVAKVLQNYEAVMFIDADIGVVNPNSRIEKFMEGDVDITFYDRIQNWEIATGSYIAKNTKYISQNYETELPNDSLHGSDNGAIHVYFGTFSSDNLYRFQMFLAEKLLPVGALSKSQCRQIYENSKTFDDLFSFEACIRKLMRSESKFGKVRILEKGNGWVRDDPSQLLGINGSFNPFIGEFDLKKCSPGNKTWNYDSRLIGTVYGIQKSLKKIENEVEVMKKKSLMRVDDIL >CRE19021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1906045:1907656:-1 gene:WBGene00057823 transcript:CRE19021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19021 MTNETEGADNDVAEPTVSDMKSRFLKRRSKQNSESEYHPSHKKRSLAIIPDHVEGVSSEDDREYLVMASPTEQLDERDLSRLKQATTHLPDDVVLQVLSFYEYDVDTTINVTQQMHSPDMMPQLVKDFVVANIAIERHERLRKNHQLKELYFKKQLKQSVDTKTIIDFYYSEKNKLHGNWRLNTVDEIETPEENERMEERMKAAGLRFVTREPSVPKQSIKSTRSSARVKYQEQEVNGSNGTPETSSSSSSGPSEAGTSSATPSTSQPRINLRIRFNPAKLNSSNPGITISTSPRSPRSPVSESRPSTSSAPPSASVTTRSASSSPKKKRTYSRRSLEQQRNGPKKTYPTRKSRD >CRE18689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1904679:1905517:1 gene:WBGene00057824 transcript:CRE18689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18689 MSIRVFISKNQYKKFVLFYKSECVFSNFYPVEFTASPIQEKNSRIEKVLTFNCSEQYFMYHKALLVGDGVIAEKIMNETDPKKMKMWGRRLEMSEQHLQQWSKMSREIMYCACLAKFSKDASCLKTLFRTHGMKLVEASPGDRIWGIGLDKNDKRCEDERTWKGTNWLGEVLDRVRDELWERKEFKNERELIEKESLETRCQLLEQFPSGAA >CRE18688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1903253:1904194:1 gene:WBGene00057825 transcript:CRE18688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18688 MHTKVYVSEDRSKILVLFYEAACVFSNFYPAGFTASALPNLVKKFENEKEEEKKKLTFKCSEQYFMYHKAFLVGDEEIAEQILNESNPMKMKLLGRKLTMSKEQLYQWSKLSKDVMYNACLQKFANDEKCRKTLFRTHGMKLVEASPTDKIWGVGLDKNDKRCEDERTWRGTNWLGEVLDRVRDELWERKEFKNERELIEKESLETRCQLLEHFPC >CRE19020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1900425:1902260:-1 gene:WBGene00057826 transcript:CRE19020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-64 description:CRE-UGT-64 protein [Source:UniProtKB/TrEMBL;Acc:E3LL53] MTKLSTLCIFTFLVSSNLVEPAKVLLTVMDQGRSHATSITPLMHRLQKDGHTTALEMATYKKDMDFGMEERFIDMSAFENNFISKDFFKIAFEDEFTFVHQAVPFIFGCISCDRILKHQRERYLEIANEDWDLFLSDSLFSPCGYAMAEITGKPHVMMHSSDVESAHGSFKGFSRNYALMVPNFLPYSMSDFTTDKWWHRVISTVDWFGSAFITGGVAGFAQKWALRSIIPFPFFSFYDYNRRSAFSFTDMPDPLYPVGARTNDYFSFGTYCNPPKNGLNEEWGRFVNDPKSKGTILVAFGTIIDWRFAPEEKFEIFLNVLNKLTDYRVIWSMKGDRPEGLLDHVKVSSWVPQQQILNHEKTVLFLSHGGLKSVKEAVCSATPSIFMPMFAEQMRNAWLAKSKGFARILNKFHLSETYLENHIREVVEHKAYQVNAEHFLSTFADLPMPALDEAAFKFKRLFKYNGKMPKYFYPKAIDLSYLTALNLDIWILLPLVLGFVVSK >CRE18687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1899470:1900280:1 gene:WBGene00057827 transcript:CRE18687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18687 MKVFAALAFFLALWILVAPAPTSSPKETLKTAAEKVLKDVEEPIAKQTHQALTVETLDGYIGLLKMFKDMLPLDLVTTMDNLNVTQKGEVVNFLSNWFQDRLHRPNTTTEIVELLRDNLPSVYDKITSLNTTFYTKFNNLKPETQTLLREWRTKAVDLLGDQRPAGETAAQNLQLLRDFAMSIRDVKPEIRDDLKTQFPQAVSLTEGLGFTVFTTMIMVVQKIVETAAAVKNQGQAVLATGLPLECAIPLD >CRE19019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1897665:1898953:-1 gene:WBGene00057828 transcript:CRE19019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-33 MKGAIFLFLVLISFSDTCLVVRSPSPVCKCPPIGLFNQSDVERNAGGYYLGNRPTWHPVVNRVVNNENVFGTQPRNIGNLKCELHTDGTYQWYFHGYPMFDMSLGCQEDTDSCSCPEIKGNSESGVTLTERFPDTPDQCYFYEGSCSNDSKEAFVYSKNEIISTGTVTREVRFEDLLCVKDYDNNFDWYFFNEKLENVSIGCSTIKDAFGSDEVCACGAFPIITTVEQLEKYDKTNKYRGRIIGANSWTPSCAFNVTCDDGYVGVVFSDSNEPVELTISSYITCTYNPITNYLRIWVVNSVRVLNPAAACLKINGSFN >CRE19018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1888581:1889807:-1 gene:WBGene00057829 transcript:CRE19018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19018 MNELSPGLIYWCGGRETLAPAGVGQTGEVKWWDNNKGRYLTKALLGGTDSEQFINYYSSLSERLKSNTNGTEISIANRVYLKHTAQINNDYLSHVAENYDAGAESLDFQNPDSAEKINEFIRVSTQGKLDNLVSSDSISDAIALLVNAVYFKGKWDEEFTLDFTSNEEFTTKMDGVKKIPFLKEIMTDRGYSSDDIFQVLTLNYVDSSFKFVVFLPKESNGLNSALEKLDSERFQKLLQQSKRTYMNTEIPKFTIEKELALKSTLQSLGITDIFTDQADLSGIAEGIKISDGTHKSLIEVNEEGTTAAAVTVVKAVPMCLRMDDPVEFKANHPFLFALVRDGHPLFLGVFHG >CRE19017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1886690:1888003:-1 gene:WBGene00057830 transcript:CRE19017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19017 MYYQAESELGLNFLKTLPAHNESLVFSPVSIALVLALVHTGALGNSKKQIENSLLNKANCNNCQFVNHFSNVYRNLRQGINGVEVNMANRLYLKSGSTAQRKFLSAIAQNYGSEARALDFRTSDALQKINGYVKTATKGKVERIITCQDSIRSANGLLINAMSFKGGWEDGFPQLPGKQLFHKNEREGILMGMLADSSIRGYSSDDYFQVLVLKYVDPRFQLSIFLPKQRNGLNDALKKLDNRRFENLLDNVKPTFVNTEIPKFSIDYRFSLKKNLKAIGITEIFSDFADLSGITANAKISEGIHKSIIQVTESGTGTGCSFASPAGGIGSGVSPAAAPIGCGATVVGARTIKVLPIVRTGNPIQFKADHPFLFALTYLNHSVYMGVYRG >CRE19016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1884693:1885690:-1 gene:WBGene00057831 transcript:CRE19016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srp-3 description:CRE-SRP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LL46] MFLQAETQFGLNFLKTLPAYNESLVFSPISIALVLSLVHTGARGKSKEQIGDVLLTGASDDQYVNHFSFINENIRKGENGVESYIANRVYLKKGFTANPSFLSVALKNYGADAKTLDFTTLTAVREINTFVKEATKGKITEVATKDTVKDALALLINAIYFKGDWQDKFDGFSVGDYDFTTYSGAVKSMKFMREFSSDRHYSSDDIFQVLHLKYADERFRFSVFLPKEKNTLKQALGKLDAKRFQYLIQNYKKTFMNTDLPKFTVEKEISLKRSLEILGITEIFSDSANLSGLAENIKISD >CRE18684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1881980:1884388:1 gene:WBGene00057832 transcript:CRE18684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18684 MIIILILLFSGSICLSTAEGFKCSTTPITKDTGLTGVIPAGATSQVKIPAKTNCTYSFEIPKGFALKVDTTTDYMISPGDMVLFDNFFISPPAINKVDYAMNSNVTYQIISVTGNVTFIAKYTYLDLSNYQQVIKPTGTPFNATLESNKYYTVKASNANDQVFVVFSPKSKRSSFQVNLQCGTLHGSAISYTSFEVFLFDGNDIFNSNYVGRVPQAFGRHYEYYSTSDTLTLINLYGGPSDSLFLGNDASVVENMDTYGVYVMDANTDFNGWMELKQEINILPDAWYTVICNGCPSFSIEAMLFDTQCDYSTEKGYVEIQGMTPTQKLPPMLHYQSVQLSMWTISQNNDTYRYSTNNNDSFPQLIPSPIATFHLHNASIHFFFQSRAQQQDFVTAPGTSRSISSPQLWNPDATPAFDYTFSDSSKVCNFSIDLQTIQLENDLDVLSVEVGSVNGLTTLDKKYTKTKLENENISGLGNYLKLKYSGTNTSKVVLNFETIDPLNPAGTTSLPSDITSVSTRATRVSTVSVQSTVITSKNSPIVVTTTPLITTKPVITTTPLTTTEPVITTTPLTTTEPVITTTPVITSTITTRQTPDTTKLMSSISTNHPTSSLTTVSSMPSGSTQTTVSHPETTTKGCSSLLQARIFILFLSTLLLWL >CRE19015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1880366:1881383:-1 gene:WBGene00057833 transcript:CRE19015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19015 MIFVYWIIFLCVPLVSSIDKMLTAYGRVVGLESSQIISTSLDLCLTDCYRQSNCILAFFNSVGHCSFFYNNTTRIIDVEETSEEQNQVVGFKCFLPDQSCPRVLSNANLELPVFNVFWQKASNGWKLIKCLDGWRLFDRSNNSYVCMKFFELSFGSSRLVAENVCIENNSKLSGVANSKETLWIREFVKPFRTGDWDGAWLDGERKCDTSEPNCHNYTWSDGYTIGNEALANNLYSPNNTATKQSCLTVFAEKGPHLNAVSCTNYKMAVGVMCGYQLI >CRE19014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1875949:1877115:-1 gene:WBGene00057834 transcript:CRE19014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19014 MDSVILMVCLLGIICALSTIFLNINLVFRIVLNKSKRNVDMQLFYYRFVLDIFFGTCCEFIFKDMNNEDLFSVLFYIVFILLSIEAPMLMEEYRDLIVYLAIPWSNFAACRSIIALSISTERVIAAYFPISYRNIKNSVPTWSILLVAISFGLSEELVLFEFCSYNMVIPLSCRVFGCAVNKCFYNFWTIHKTVIFCMIVILSVLLSVKIFIWNNLKHTKTNSQLSKANRLALLDTITVLIFDFLPSFCGSMWPTAKLFSFDYVGPYNAVGKVTGCAIEAIVVSTLLIFRKTRISENISTSIRRAIRVKNSKSSRLA >CRE18683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1874737:1875893:1 gene:WBGene00057835 transcript:CRE18683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-47 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LL36] MSLNVTVFMETNKSDRILKWIFIQMIYGFLTLTLMIYFLFLFGLSKKYTNSFYRVLQLDLLTNILCYINTWYSFRLENTLSLIPILNFVEDKLPGFLTFSKYFNFWFLHMQFLTAVSMSFNRLLSVYYPEGLSKWKIKYKVIIRILTSNPYSRIFLQIVTYWYVIYGLLLCVVSFLLLLLIRRPVSEIFLLDNCLMVTAYRENLSWFIDLSAFFSAAYFIILLLAGLIIAFLISKKVLEVTSSDKGVGKKLTRITVAYCFVYLGILLWSIFSSINTHYQLIRAADVDLQSLYLGFSTDMMTLSLPYILLIFDENVRKSVIPKRFKKIVIKTPKICWIT >CRE18682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1872492:1874142:1 gene:WBGene00057836 transcript:CRE18682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-33C11 description:CRE-CYP-33C11 protein [Source:UniProtKB/TrEMBL;Acc:E3LL35] MIIILIFTIFLMWLVHELYCKRRHLPPGPIPLPFVGNIVPMIWESPGYECFRKWTKMYGDVYTFWLGDVPYIMIGSLEKMKELFVRDGDTYTDKLQQPFTEKFRGGKFGIIETSGHFWNTHRRFAISTFRDFGLGKDLMQQKILIEIEETFRVLDQDLGEEQDVPKVIYGAVANVINQIIFGYRFDEGKEEEFQKLKDLIDIQEKSFLSFKLCVQAFAPGIGKWLPGKSLDDIITEKREDYYSFFNSQIAEHRRKINFESEEVFDYAEAYLKEQQKQELKGEIELFSDKQLVNMSFDLWFAGLTTTNTTLNWTICYVMNYPDVQEKMHNELDRVIGGDRLVTMADKNSLSYINAVINESQRCVNLLPLNLFHATTKDTVINGYHVKKGTGVIAQISTVMLDESVFPDPYSFNPDRFIDENGKLRKIEELIPFSIGKRQCLGEGLARMELFLFVANFFNRYQFQVSSPSSGPPSLVKKERVGVFPRKFCVVLKRRNA >CRE18681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1870802:1872144:1 gene:WBGene00057837 transcript:CRE18681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srbc-40 description:CRE-SRBC-40 protein [Source:UniProtKB/TrEMBL;Acc:E3LL34] MLLPFVVSSVGVICGVFIALINCYLIFMFRSQKHHRTHDMTQFYYRFHLDIVIGVATCLYLGHVLSFYSFPEFFESTRLLVLWFGLLTSNLQMARMMFQLFISCDRVVAAFFPIEYRIYHSRVPVWPFFIFSLASGLFQDFVFFYCCDFVIYVPKNCLALGCVMNVCFKTFWSTYQTVILSTIVLLSCAFCIRLFLWSKSASSLTQANRLAILDAVTTFLFQFIPPFCVSLWPDFELFQFSYAGPFNLVGKLFGNTIESVLMWRLLRRKHVDQKVMATTLAVKGFSHVTHSSEHNR >CRE18680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1869091:1870330:1 gene:WBGene00057838 transcript:CRE18680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srj-22 description:CRE-SRJ-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LL33] MYINLAQFLIPKISSICSFLINPFTVYIVWNDKKLQLGNYRYLLLYFAIFNMCTSLMDMLVPMCVFNYRYAFSVFISDGFFEQFSEFNQILIAFRCSFISGAYAVLHAHFLYRFFVLFNNQFLTRYFMPYGILTAILYCYFHIIYWTIYCYYYCGGDYSRRLYIRDSMFEHHGKDVINMTIIIVQYFVRSNFLKDFRIFTFKEGTPEAMYKSRFGIICLSVLSFISLALIFYFGYKIWYRLSKQSSDMSEKTKKLQTQLVKALIVQAAIPTCVSFAPCILSWYQPIFALNFGRWLQFAAGIAVSTFPALDPLALIYFVPTLRNRFIDTIMVFKFSKKYHTSTSRISAVPSTA >CRE18679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1864581:1868529:1 gene:WBGene00057839 transcript:CRE18679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-icl-1 description:Malate synthase [Source:UniProtKB/TrEMBL;Acc:E3LL32] MSTGAAAKNFYQVVKSAPKGRFKGIKRDYTVEDVLKLRGSIDIDYTLATRGANKLWQLLHTEPFVPALGAQTGNQATQMVRAGLKAIYLSGWQVAADANSAGDMYPDQSLYPANSGPDLARRINRSLRRADQIEACEAEDYLATRDWYAPIVADAEAGFGGALNCFELMKAYIEAGAAGVHYEDQLGSEKKCGHMGGKVLIPTAQHIRHLNASRLAADVCGVPTIIVARTDAESSRLLTSDIDPRDHPYIDYEAGRTIEGFYRLKDSTAIQYCVDRAIQYAPYCDLIWMETSHPTIADAREFAEGVHKQYPDKMFAYNCSPSFNWKKHLSPSQMEKFQKELGAMGFKYQFITLAGFHANSYSMFDLARNYKEHGMLAYSALQEGEFAAEKHGYTAVKHQREVGTGYFDAVSRAITGGLSSTTALSGSTEEAQFQTAVASEDEEILSLTAQNVAGDEKILTPDALRFLHDLNTEFNPRRLRLLSKRRQVQDDINNSIWFPDFNKETEVLRSDQGWKGAEIPRDLQDRRVEITGPTDRKMVINAMNSGANVFMADFEDSNSPTWRNQLEGQINLYDAVRKNISYTHPTTKKEYTLNKQHAVLKVRPRGWHLPEKHVLIHNQPTSGSLFDFGLFVFHNAKALIAQGSGPYFYLPKLQSAEEAQLWADVFKYTEDKLGLARGTIKCTVLIEHLLASFQLHEIIHSLKDHIVGLNCGRWDYIFSYIKTFQSHRKFLLPDRFQIGMTAPFMRNYSLEVIKACHQRGIHAMGGMAAQIPIKHDPAANDKAFALVRADKEREATDGHDGTWVAHPGLVPLAKGVFDQMMPKPNQINKLLSRSPCTKEDLTVIPEGTRTEVGFRHNISVTLGYLDSWLRGTGCVPLYNLMEDAATAEISRAQLWQWLHHDAKLEDGRTIDAGLVKQTIAAESERRLIRAGSVVNRIPEAAELLEKFVTEEKLSDFLTSDAYDKLVSEGY >CRE19013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1859113:1860623:-1 gene:WBGene00057840 transcript:CRE19013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-4 description:CRE-SRI-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LL31] MIHGSNFCPLECPVYYEPIIHTIGFISTLCNLLGIYLTLTKSKKTTNYRFCQLYVQVTSLLTEFDLSIINPAYFFFPMIGGMNCGFMREYQVKYGVTSHVCITFFAFIFCMQVPALLTCFMYRHQAAAKCSPDKTWSLSKFQCASVLFVYHMFPLLIAFSLYHSGLSMDEKKMSLEFNYPDCLPSLQDFTFDFYDYKLNPTFVAFGILISVFYVMAGVVGIGLAWRTGQVLNRYRYIMSARTYQLHKSSLITLTAQVTGPVLVLGVPVFAVYVVVASQMAKLHGLAATAPFFICMHSAVTTGCLIINTLIYKTFIIQQYEAVKEKLLCKKTPVSTAATSPVGMMRPIASAVHTFF >CRE19012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1857465:1858814:-1 gene:WBGene00057841 transcript:CRE19012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srp-1 description:CRE-SRP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LL30] MSFSLVNSTFVEAQFGLKLLSDLTETQLAPCVFSPVSILLSLALVHLGAKGHTRNDIRNSIVNGSTDDQFIEHFSFVNKLLNNSVNDVETLIANRLFVAPEQAIRKDFIEQLNEHYNARTATVDFKKTQETAQLINDFISESTKGKITDMVKPDNLKDVDAMLINAIFFQGDWRKKFSDPTESNFSTSASENRLVPMLRETRDYFYNKDDDWQVIGIPFKDKSAWFAIILPVQRYGLAENLRTFNAARFHNLVNNVYQEYIYLTFPKFKLDYQINLNKALGKFGLSDLFTERADLSGIGPGLQLASATHKALIEVDQVGTRAAAATEAKIYFTSARSDQPLNIRADHPFLFSIIKDNSPLFMGIYT >CRE19011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1854974:1856887:-1 gene:WBGene00057842 transcript:CRE19011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srp-2 description:CRE-SRP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LL29] MEDNNISQNEMDFALRLLATLPHSGSVVLSPLSISLGLALVHAGTRGSTRKELEKALVGSDEYDGSEIQEHFSNVMDTVTTAENGVETNIVNRVFVNQDHTIKQGYIDEAEKYYKASAENLDFSKQEQAAQIMNSFVEENTAGKIKDLITADSVKDAFAFLVNAVYFKADWQGQFEKEMTADRDFHVTEGESRKIPFLNEFCEHRDYTEDSLFQVLSLKYMDRRFSFAIFLPKKRFGLIDALEKTNGDYLQNLLNDVKNSYVNVHIPKFKIEQELELKETLEALGIKEIFQEGGADLSGLADKKTFISSGIHKAIIEVDEQGTTAAAASAFKVQLEMMIMAEPTTFLADQPFLFVLLFKNHPLFIGVHA >CRE19009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1846166:1848540:-1 gene:WBGene00057843 transcript:CRE19009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19009 MAVFIYRWKRILTVLFIIVSLFVLLQISWKTKGRKNNQKSSININVLHSDRNGGKLGRNNERKPFDILKNEKCTIPKLDINGSEVIHFFHTPKPLACQISGNIEEDWVFLDDEGVIRFSGNRQKAKCQIQYFWRSSDDDNNYSEMIDIKDGDRFNGSDFGSVTCYQGFTKWTTLLWTITDNEEAHKRARKLTKLEKRKSYNVYFLGFDSLSQMSFRRKLPKTVKFLEETLGSVVLNGYNIVGDGTPQAFIPILTGQTETELPLSRKRFPESKYLDEIYPMVWKNYSDKGYVTMFGEDMHQVATFTYRLKGFHHQPTDHYPRTFFKDVEYRGDSTCINSQPVHKIWFQNCEKFMKVYEDVPRFLLMHQGLLSHDDINLVGVQDIDLSDHLNHMNNEGIFDDSFVIVMADHGHRFAKLRETHQGQLEERMPFFSISIPKELRSTEEGQLMEKNLRENAEKLTSPFDIHASLMDILNLSSHESGFDEMQDASSERSLSVFRPIPTNRTCAQAGIEPHWCTCLSWKSAMDTSEDRLLTKRIATAVVNQFNKEISAEKDLCAPLSLSTILDAKKLIPDKDLLAYTNVKDPDGFVPDLSGNTKTAFAHYQLKIQTTPGNAIYEVTLFYDMINEELKMDFGAISHVNKYGDNPHCIIGRNFFLATFCVCFDRISSQ >CRE19005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1833776:1836575:-1 gene:WBGene00057844 transcript:CRE19005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19005 MPLIAVIVSVLSVVFAILTVFINFDLINKIFISKQLNKSSSLSLFYVRFVIDGLLGIQNAIISMFILIMFLNFDNFLAPVVFLFYVSWSTMNFFNMRAILVIVISFDRTLAVYFPITYHNFRRRLPNSYLSFIPLSWPIVNNIVLWIICQFEVNIPSGCVIFTCQMNKCYINYALSFEVVSHAIIAISSSLLAIKLFIWNHCVSGSKSKDLERANYLALIDTFIIIIFDILPAGVTVKFPNIAAEFGSLILVCKMGGYALEGYLVRRALKRTNDIASVTNGRSSAMKTSHPTSHQHQHQNHHIEIYQEIIQLNIILHKICTRWIVKFHKYTSSATRNKLNNSFSALIVLLFMFFNLSHFTTFLDSYPVISFYVTWPSANLISMRALLVFIITADRTFAVFLPVFHHNNRNKVPIFIIFIILIGYTTFENYLLWIVCEFELIVPTGCINFWCYMNPCFIQYFLTYELISHVVIALLSLLLAVKLLIWNKCSKSAKSESLTRANRLVLLDALITLLFDIVPAVLMTKLHTGKLEDIGPVIALSKMSGYALEGYLVIRALGRINGTQVGVIGKSLSRVKPSNSAIAIEI >CRE19002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1827403:1828444:-1 gene:WBGene00057845 transcript:CRE19002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srbc-64 description:CRE-SRBC-64 protein [Source:UniProtKB/TrEMBL;Acc:E3LL19] MLIWGIITASIALVLSEITFLLNVCILSAIFFLKKVQKKPEMSLIYSRFVVDAMYAFANSLNLTYLLIRVISPDIVVKNLSFFISWPTFNLGSIRVFLVFFITFDRVLATYFPIHYHKHRSKIATHAILLFIFFYTLFEQFILLILCDFVLDVPNSCIHLGCTVNKCYHNYWLWFEQVEYFLLKELYISIKFQIGYFSIGTVSAFLCFRLYIWNYCTKVQQQNKRISRATKISLLDTVIIFTFDLLPSFLFAHFPAVNFETVGPLSALCKNFGFVVEAIIICKVLIGKKGVAPLSTSKYTPNAT >CRE19000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1821722:1822999:-1 gene:WBGene00057846 transcript:CRE19000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-25 description:CRE-SRAB-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LL17] MSTPNSSGCDERLVLDVQNSIFLHASELLMLILSCISVPILVITLRKVIKDSYYHINVKMIIAVHCFSILLHCIGRIIQHSSDLYLWLAPLPVCDKRQFFGICVVSRSLFTFGVYCSSFTTVFMAIERTIATNLSRKYENRKSKYGVFLVGSQILLSLLIIFFLFFGGDLPDRPVYCMFYSRKNFSTIVEIVTITSNIFSFAQCYRLYNINMTLRTTNAVANLSQKYQIEENKTLIPILLSFTSLDFVFMVIYFMSLLIMDMLRIEKTDSTYFGLFELIQCVPVYAIVVIFVMSRVIKRIHHEKTVKLNAEVQIKDEAYFTYLKQQWSHSK >CRE18677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1819050:1820545:1 gene:WBGene00057847 transcript:CRE18677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-270 description:CRE-NHR-270 protein [Source:UniProtKB/TrEMBL;Acc:E3LL16] MLAVMNPSTSNTVFHPSYMPKLSEENCAVCGDQVKSSRLGCPACLSCMIFFRRSVIKNAEYKCPSNKDCPIFHEYRSSCRYCRFQKCFLSGMKSSAVVTRDKLGPRSPTQIHSDVPKNLMGPLTYLQQKIMEEHQEQTEEPTRRATVSDVNRMFKWSFNDAVEWASQFEPFLRLTNEEQKCVISEYGFAFFIIDQGVKSAKDWRSGYWILQNATFLHVDYFQGLENISCVINEDKIRFHSEFVAYLETSIKRQIQILQIDEFEIAALKTLLLFSSELIKKLQSGKSVISGTFPKRNIFSECQDKVENFKNKCLEELMTYLMEKYPTSHETRFGKLILFIGDIRAAIKVVYNQTKVSDLFDTSKFDMFVRSFFLS >CRE18999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1816022:1816630:-1 gene:WBGene00057848 transcript:CRE18999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18999 MTEETYEFITLTNENASELSEFLMSHFLLEEPLNRASGMTRQNFQPFVDKLFERTLNIPFSFALVEKESRKIAACAMSSLWKNEKNDHEGAGDSGNHGDEFTFGNEEKKEIGAIGKILTELHAKFFQLRPDIVQVLHLYVSNSSRFAISVIKFEFVIKHRSSNLVKYKITEYCS >CRE18676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1815556:1815867:1 gene:WBGene00057849 transcript:CRE18676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18676 MASLKFLAIFAILIICCAMLGAAQDDMDTVGVRVKRQWGGWGGRGMYGGGWGRPYGGYGGWGGRGYGGWGGRGMGGWGWGR >CRE18997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1812126:1813279:-1 gene:WBGene00057850 transcript:CRE18997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18997 MAPPKQLGALSNDITLGVYIFILTFQGLCATINGYILYLFAVRRDLRKNMHMRLVIFLSLGDFLLAIGELPYIIYMTINWSHKEIDYDPLYIMITAQPLPLQLKVSATITVGIALGRNIALFFPSIYRKMDQGDFSNGVILMAVFLALFDDFLYWYTTKLEHHLNCGTIGCFVSDEFRYYWGISNMILGLMAVVLSITIFLKLRLVSKAKDSVSTQQGTNRYAKANRTSTGILMSSLLFLTVPSVCVGVVELTGFSIFKLIGPFYSACLLVSGCCNGIIFIASNWDNVKPKKKQSSSILVHKPSTGLSVANSGGGWQ >CRE18675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1810720:1812049:1 gene:WBGene00057851 transcript:CRE18675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18675 MATQQEIDILLAEPTNFTYDQYSLIGSSCQHIHIPRITENEFLLYLHLITFISTIFTLFAVYCIIFHSSHSMGQFKWCLLNLQSWTYITDFTLSSLTAPRFFFPIIGGRPLGILINLGMSVPLQIYFGFGCFGVMVASVTFLFLYRHQVTVNPDSLFNFNRPFQIAVMVLNYLIYINTTLPALFTSPESQVATKIDIMRTERCPPKDILHADSFVLQKSITLLFPYFCFLVIFVGAQCGLMALHCSWILFFSTLTRKLSKRTRRMQVKFLFALLAQIAIPTTLCYCPIFYYAITTLIDHYWQFANDLCVLIISTHGLISATCVLLFYDCYRHHLISLLCFRSFRKSALTTGITSRNSIIMTNFNVTVMT >CRE18996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1806446:1807800:-1 gene:WBGene00057852 transcript:CRE18996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18996 MSGEHSVYMGAFSNEVYLGTYFLILLLQLFCAGINGLIIVFFFKLPALRKNKHLRLVSYLSVGDFVTAICEAPYIIYMILNWNPTLLDFDPLFILVSSIPLPIQLKVSATITIGIALSRNLAVFFPGRFRKIEQSYYSEITLLVGVLLGLFDAALWFALSPPTRMPNCGTSGCFVSDQFRYYWGISNMILGFAVVSLSITICFKIKAVEKKTPAINSSVQHQNKFQQANRTSTGILISSLFFLTAPSVCVGVVELMGYSIFRLVGPFYSASLMASGICNGIIFIGCNGDARRLIASKPRHSMTQTVSVAGRSVVIRY >CRE18995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1803944:1805083:-1 gene:WBGene00057853 transcript:CRE18995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18995 MEDESIRMNTAAVTISVVGVVSSLFTCFMNMYLLKTFKKKKQDMVLFYYRFALDVILGALVTSFLAFVVLYSFFSEQLSQYQNFIFYLSLPSSNVGAIRSIVTLSVSIERIAVCFSGAFLISATSFQAAYIPILYHNYRRLCPTFVILIIAILFGLTEDVVLYGFCKFQLSIPKNCAALGCAINSCFLLYWTTHKSTIFALTFFFAILLCIKLFILNKFGKCEGSQLSRVILYSFILNYLTGFQVNRLALLDAAIVCIFDFLPSFIANQFSKTQFFSFQNIGPYGAVAKLFGCAIEAFLVFRTLRQNKGTSSAENSKSTGKKRKTNEVRVR >CRE18993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1800301:1802150:-1 gene:WBGene00057854 transcript:CRE18993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18993 MKKNLSSPLFTTFNMFFVLFITSILVYLGYELYWKRRNFPPGPCPLPVFGNLLSLNKPPPGYEAFERWTKQFGDVYTFWIGNKPYIMINTFDKIKETFVRDADTYVNKNIPLSSVLFRGGEHGVIDSNGATWRDHRRFAISNLRDFGLGKNLMQEKILIEVQEIFKRFDASEGPEVEIPPAFDNAVANVINQILFGYRFIGAKQDEFLELKRILLTPTRLFGNLIIFLAMNVSLIEKILPDSVYKKPFIDFRETTFEFFNKQIENHEREVDFDDLDSENSDYVEAYLKEQRKRELQGDTETFSDVQLVNMCIDLWFAGLGTTTNTTTWAISFLLHNLKVQEKIHEELDRVIGSDRLITTADKNDLPYMNAFINESQRCTNLVPLNTQHATTRDTVINGYKIPKGTGVVAQISTVMNDEKTFPDHKTFNPDRFIDENGKLKKIDELIPFSTGKRQCLGEGLARMELFLFIANFFNRYEVKNIRKLISPSKEGPPSLEKDSVSRIEPRMFSAVLKKRR >CRE18992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1798046:1799780:-1 gene:WBGene00057855 transcript:CRE18992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18992 MFVILFLTVFTVYLGYELYWKRKNFPPGPCPVPIFGNLLSINSPPPGYEAFEKWTKKYGDVYTFWIGNTPHIMINTWDKIKETFIRDADTYTNKVQLPATELFRGGPYGVIDSNGAMWRDHRRFALSSLRDFGLGKNLMQEKILLEVQDVFAKFDASEGTEIEIPPIFDNAIASVINQLLFGYRFDKVLINYLICSFYIFQTKQDEFKALKRIIDAPPELFGKLHMFLAMNIPIFAKILPTSIYEAPLKDFRDTAFGFFKNQIEKHRDEIDFEDLDSESSDYVETYLKEQRKRKLEGETETFSDIQLANMCMDLWFAGLGTTTNTTTWAISYILHFPKVQQKIHEELDRVIGSDRLITTADKNDLPFMNAYINETQRCVNLVPLNLQHATTRDTVINGYRIPKGTGVVAQISTIMNDEKTFPDHNTFNPDRFIDENGKLKKVEQLVPFSVGKRLCLGEGLARMELFLFISNFLNRYEISPSKEGPPSLEKKCATMVEPRIFSGILKKRR >CRE18674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1795681:1797900:1 gene:WBGene00057856 transcript:CRE18674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18674 MDVVNFENCRPEIFKRIIRHLITPEHIPKNWPSSGSNSLTSLQQLIDFSESLSTQYGNAENMMKSLWKYASFPGSASYFKIRKTEYYFQDTKYYRGFSEELYVYKKEYYLRLYDRVVDCPFSGFAGSLAYSLISYFLKIQEEKLANGSEMAAINMFLFAKVQFKIRDIGVKFVKGGWENLNEKKKLRFEYMSLEEIFDAFKNVLHQMEKEETEGNEVLEVLKGFNVVAPVKDNGKTYFKVYVWIKEVIEIFRTFIERNKFLMLPRSETIGTTGSVKAPVRLFQFENNKIVMAHELLHNMKVEQLDVSQFENKILALPRLDTLTFRKVFQMIPAECMKNVDFVKVDIECRLIFILLDTETLEFIPTEYNETTTNGVEDNKEADLVTLEKQEIVTTQGLDQELQKEKCEEVPKIEIDGKEKKEKKKNPSLKKKESADERESQTCPKCYRASTFTRKSNEKLRLANIENKQLKKKITEIEKDKDARIALLEKLVEDQKNELIEKDAAIQNLYIEKQKLEKDKEELEIHLSVRNDKVQALEVITSSESIEGFNKSKDESEKIRNVLFNLFDVREIIHREDPVSKCSELADLLITKTNNDLVRRNSKIEKTKFIKECNTFIKAVDNNLAMIRGRHQITVEEVPELPEFPILSKQFKDAYKKTMKSDIPNICKSLLKVKEIDSNELIDNECLICLQDMNPEEETIKCECKRRYHNGCIQQWLETKRTCPACSASLLDDNEFPPLS >CRE18991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1792256:1794096:-1 gene:WBGene00057857 transcript:CRE18991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-33C9 description:CRE-CYP-33C9 protein [Source:UniProtKB/TrEMBL;Acc:E3LKY6] MVFNIILVAIVAFLFHQLIWKRRNWPAGPIPLPLIGNLLSLRTPAPGYQAFAKWTKKYGDIYTFWLGTRPYILVSSYSALKETFIKDGETYADKKPMAFQEAFRGGSYGVVETNGPFWREHRRFALHQFRDFGLGKDRMEQRIMMEVEDIFRNCDKTLGDGVNLTDVFDRAVGNVINQMLFGYRFDETRADEFRTIRAFFNFNSGEFASFSMRVQFFLPWMGYIMPGPTILDRFKKYQKGFTEFFGTQIANHKKEIDFELEENSDYVEAFLKEQKKREAMGDFETFSTTQLSNMVLDLWFAALMTTSNTMTWCFAYTLNYLDEQKKMHDELDRVIGSDRYITTADKPNLPYTNAYINEIQRTANLVPLNLLHMTTRDTVLNGYKIPKGTGVVAQISTVMYDEEVSQLENLGSTSYCIFQVFPEPYIFKPERFIDEDGKLKKVEQLVPFSVGKRQCLGEGLARMELFLFIANFFNRYRVVPDASGPPVIDKAVLGGMHTKEFFAILEKRHEK >CRE18990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1789479:1791932:-1 gene:WBGene00057858 transcript:CRE18990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18990 MDTIDFFQPKWLLRYYDVIGVCSLVLNSLGLYLLLFETLKLGRFRYYLMLLQISCALTDVHLTFLMKPVTLCPFLAVYTVGALSTYFNISAHICVIMVGFFALIQLESLIFCFAKKHQALAFVLRIHILPNIMLYFYYLMCIICPFVLCGVLHHLYIPTEQQLDYIEKNFPETLPGFLSLSHFVLCIKSDNLTGFYFCLFIGGFGLCSLFLFFIIDMLGLMKDLKLRLSTATYQKHHEAIQSLMVQFFTSLMCIGPPCILLVIVFMELNHGQLASQILIAWFTSHSSVNMVCLCLFFPPYRRFFLAKSKKFVFKKFFSNYWKFSETKSRLSELLRHQLLQGITKINSFTFVTFRLRFICFLLETYDRWRHVACKYFSFDGLTSFDSGRISNTEAEMNEIDFSKPEWLINYYRAIGITSLVLNSFGMYLLVFETGRLGYFRYYLMFSQIICTLTDVHFTFLMQPIPLYPLLSIYTVGVLSSWFDISSHFCVVTSSIPTLKSPLFKIISGFIALSQLEGLVFCFGMKHQTVADILKIHIIPKPLLYFGYFKCLIAPFILCSSLQYMYMTRTEMLEYIDKNYPQLLSNYSHLTHFVLYLNTPNLSWFYICLFIGGFGLFSLFLFFIIDIFRMMKQLRLKISISTYQKHHEAIQSLLVQLFTSILCLGPPCVLAVIIFIEIKHGQLVSMVLIAWFTSHSSVNMIFLFVFFPPYQRFFVRKIRRK >CRE18989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1787660:1788764:-1 gene:WBGene00057859 transcript:CRE18989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-125 description:CRE-SRH-125 protein [Source:UniProtKB/TrEMBL;Acc:E3LKY4] MRIACSANFLNFISSPDSLYLICTIIAVTELPFHIIGTYCIISKTPERMKNVKTNMLIKHFSTILMSLSMSFFIIPYMMLPALAGVPLGILSKLGVPVPAQMYIAVTGPAVTGVTILSVFENRFALLTEKIEWRKIRFVYVLLNYLSGLAVFVYPMSQTPDQNSARKELIEWFSNSSHGIPLQNSPCFLELLGSYTNSIFIITRSPMTTAIPMIIEMVLIISQVTLITILIFRSLSNLKFRLSPNTYQLQRKFMKALTVQFILFITALGAPVSVFTFSMLLADYDQGINNLCIIGLSLNGFISTTSMILLHQPYREWIFGVMKNNRVKKTPDRSIAPF >CRE18988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1786119:1787303:-1 gene:WBGene00057860 transcript:CRE18988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18988 MSQLCHEGLFHFLSSPDSLKSICHIITFIEIPFHFFGVYCIAAKTPRRMRNVKRSMLIMHFSIICLKLIMSCLLIPFMMVPALAGVPLGLLEDWDIPLSFQMYLAVTGPAVTGITIVAVFENRYFLVCNNFYWRKIRIPYILFNYLTAFLCFLYPILQVPDQKTLTTAIPMIFVIFLVFVQAIIVILLIYHFFYTERFKLSENTTQMQKKFMKALFGQFLLFVMILGVPVSVFTFSMFLDDYNQGLNNLCIIILSLNGLISTSAMILLHQPYREWILGIFM >CRE18987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1784527:1785933:-1 gene:WBGene00057861 transcript:CRE18987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-28 description:CRE-STR-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LKY2] MFSSFLIILFPILFVFIVMGQFEVSSISDNISRCIYVSTIFFNTLLIYLTVYHTTQIVGTYRNMIVTFALLGMWFATSDILVRPLMHSYNGCLAYFTLGSTFRHSKVAAEKALLLYSTMYGVIIGFLAVQFKYRACVLAKQKWIKYFDGWNLAIWTIYTFFTGFIWASGVQLSYPEEYTYQYLKNEMMFHYGVNVRNVALYAELAYVSLQFVYSIEYRWIQSEDNKLRWKSVCTLVMFITLLTIQYSICVVCGVIMYRRIQGNMSTFSSQHEKLQKQFFVALLYQVAAPFICFHLPSFFIFVFPYLDMKMSFHSTLVIYGFNIYPLVDSLILLSVVSEYKVAMKKPSLSVVMTRM >CRE18673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1779322:1784362:1 gene:WBGene00057862 transcript:CRE18673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18673 MSRPPDIVALKEKYKSCIKTANMLGALEALDAVTEACKITNEDVPIFDIAHNCFLYLSPDLKYENLINQYHGRKISDATLFYLNEEIRRRTFLQSFAPARTLLTPHRKRQLIKTMNAEPRVFGFGLNFKKTLGLDAEDCAYVDIPTQVNIPPVYKMKMSSNHTIFWLHDGRFFGCGDWKNYMIAPQPPETIDNSEPHRCDFMVKPGERATGFKVLEFGTLFETNQRLYFVGNYDLSKEYLKTIHDAEFEKVQEYFPHNSNFWVCHSRPYTTIMRHKLDDGKNAVTIKILGLTHLIQIYPGYWTELNPSHVKQRPVCYLLDGQEVKNLRIENITNNTLWALHENALYHGTMMMMLKENNDGDEHNLDNYILFVELLEYRTPTPFVATGIAASGRDSVLMKCGDFPYIGFDHFEMLENRFRGEHVHKFMFETVEKYIENCYDQSQYTICQKEKSMELNIDYLAGEANQLLNSGLLDEELKIDFMGRTKKQYRYANFISFLCYAVTPRLPTRPPKCFDMDIDVLIETDNMEFLARHRFKQLIEEFERVNYPGTNIWIPLEFRKAHSDPEDDVLTEGQYRATALKWCLKDFIRQIIRWKSHSRFDSNERSNLIMIKYLINTIWYIHDQWFVINNPAVPIPKDDLFLSFLRESQYLIPDDELIYPSLNPGELLENRLREGYLLNPGELEEQLDIRMTFLLEHRDPTLKPTRLDIQTCRTILNAQYPALLHYINEHNVLDLNKVYPNDKRIHRLIAQTHFIGNGVTDLEGCEKYRLTVFEQLGMLLVHTQSYMRRGRTRYRGYVKPINLGALAHLAGHTPGSNYDIPVVTSDGSLIYCHRIIPALYSTLRPDEPLPNILSPILTVSALRGLYDRRCWDSIQMNFRVKIVDFYFEAKMNEAFIDLLKQCVIDATTKEAPQIRALLDDYPTQMQRIIAKHRPAILLWSRVPVPSPRIQHITIILEMWPKNEYERHTRLPRKKLVHKNGVVEDSVFHQISNKTWRTYYTNAEERQSPRFTKQYEKDFPLGYKYKPFIPSIVAPSPPTVSSAAPMAPDKRKGTTMAIAQPNPNPPKNTPLVQPEPQKPVLTPAQLKEERMKSLKQQLTRLSAGRGKTGRKGRKK >CRE18986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1777560:1779141:-1 gene:WBGene00057863 transcript:CRE18986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18986 MEKASPRPGRGWNRVNEKKWKIPLILKYTKLVLCLQSIFQFARVSSFENCKKLPVSRKKIDRFMPPFRSHLLCASMKRGDEKGGEVPRTIATVNRRCMCQLPPLLGFTSKSLENFQGSPKILGFYKKQPGEAPLSGLTKHRTPIEMVFCTIHDDAKSLHSHLACQGTANLVVDACTHIWNGSDVIPMSKRLKSSVKDFYLRHSMTGHFLAISYRPCFSKLASSVDGKFIEVPLNQENPKIETALPRSHSNESFDEADYIKTVRTATSAIDQYFTGHILCGVVVLHYEPIPKSVTVIEKLDQICVRPVYFSKENRLRSRVLLKNWESKQVGIVTFIGRGGCSTHEKDGRGS >CRE18985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1772564:1773756:-1 gene:WBGene00057864 transcript:CRE18985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-89 description:CRE-SRH-89 protein [Source:UniProtKB/TrEMBL;Acc:E3LKX9] MAKTLAEYYAIDYPKCTIDNSFLASWEGLAYPCRVIQCIASPIQLLTGWFIMKKTPMMMNSVKVPLLIFHIFCSIQDFHVLSLANPYVFLPSYALFGVGLFSWIGIPVTAQMIAMALSIFCNFLYLNISVITHLSSFSGTGAAMTFLFESRASVIPNNKFKLTKNGTRIKYYFGNVIFNSVTSLFFVTRVPENQEREKLEILKIIPCPAAEFFSESTFVFLDSYYADIVIVYVVPIFLILALSQFLFFAFCSVYYLFISPTSEVSPQTRRLQRGFFIGIVFQTLIPFAVMALPYIVLTVALKHDKLTQGLTNIYFMVYGMHGLMESIAIIMVHRHYRRILFGFFKLENKVKPSESDLVRK >CRE18984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1770996:1772221:-1 gene:WBGene00057865 transcript:CRE18984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18984 MAQSVAEYYSTNYTHCNVDDSFMGSWKGLAYTSHLTQLFACPVQLLTFYLIIFETPRIMKCMKWPLLVTHFWFSALDFSFGSLGTPYLFYPHGALFQCGFLNVFQIPIIYLIVPGLLVIFSMAISLIYLFESRSSSIINNRFRIKRTRTRVIYFVLNYLLYSPIVLILYNIPENQEAAKLESLKSSPCPTREFFTEPTYILISNRGWADFIVFFYISSYILVTTVQIVFFVVCSVHYLYVKPVFMSLLTRKYQINFFIGIVIQAVVPLFVIVLTYAIAIVAILMNRLTQSIVNMCIVTVSVHGLVESLAIISIHAPYRAAVKSLFRNLKYQRDNRVTSEESGVQHIISLSIHLNLVVEN >CRE18983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1769442:1770578:-1 gene:WBGene00057866 transcript:CRE18983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18983 MAKTIAEYYATNYSRCEPDDSFFASWKGLAYTSHLTQLFACPVQLLTFYLIIFKTPSAMKCMVCPLLFSHIWCSAMDFSFGTLSPPYLFYPHGALFGCGFLNSLGIPIVVLIIPGMFVIFSMAISLIFLFESRSSALHTNKFRIKSRTTRLAYYTVNYLVYTPTFLILLNIPENQDFAKLEALKTMPCPTREFFTEPTFVVFSDPDFSNFLIFRIFSSYILITTAQMWFYIGCIVYYLFINPSCIISARTRQYQIHFFYGIVVQTVVPLILIVLTYSILIAAILTDGVTQGLINMCIVTVGVHGLVESLVIILIHGAYRRAVLSFFCKIKDLKSELEFVSCQN >CRE18981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1764994:1766390:-1 gene:WBGene00057867 transcript:CRE18981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-try-8 description:CRE-TRY-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LKX5] MVSFLFPSALVAFLLISGFHAFRFNDEENKALQETCGSNMKEVGHYSRKVLNGEAAHSGESPWSVAVYIPDHLNHTVYTTGTLISSRHVISYDRLFFTNTTGGIVYRHDMEQFEKDLCGAAGSDLVLPRKYARQVSVYADTLKADRFSGKQELGVKSVRILNGCVTPHHLNRAAVIELQKPITDDLRAQPICVGSEERPSAPFTFYGFGDNRGEVIDATLRHTEVTEIPCEFPSDELFCVKAQEPLCNGDFGGAAVKTISGSVRAFGVYVDGPYECTKADENTVYTFANMTRLAEKICEDSGVCAGYVPKSTTPAPEATTTQKYIPTVPFTPPPINKDDEHTFGPDDGSTGGDRGVTSAPGGVTKNPTYTTAGGDDNNTGEGSGPNGGVALCEVEDDDDIHIHIKLGKFRKSGNENDIEVVRRGPNKQGKRAI >CRE18672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1763661:1764911:1 gene:WBGene00057868 transcript:CRE18672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18672 MTSQLVFCFLLLAATVTAWVDYGDRQSPKKLSYSENLALQQSCGRDAHYRTKVLNGDGPQDYPWAAVVNVKGLLTASVISPRHILLFNVFEMDKEGNRTILNDTKVIETGYCDDDNDWVLPKEVHPLFHVKFVNEQFGEASANRIRRIVALSGCDFIDPYKPLILELENDLTFDKTHGAICIPKKQEESSVSLVEDDEPFTVFGLGPKGKILTAAQFTKKECKKDQVKHVYNHVFCGKPVNASRGLCAGDFGGGAITNIDGRNVIVGVYAEGNVRCDNAPQYHQEPEFIDITAYTGEICRHTGVCPDAVDILGTSTDGVYIPGEETETTEESYATEPSTTESTESPETGSTFSLETIIAETYEKMKLNNCSANEHKEEQPKEIHIHIHLDKDAKINVDNF >CRE18980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1755168:1758947:-1 gene:WBGene00057869 transcript:CRE18980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apx-1 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3LKX3] MTNSIIAIFTIALYFLVPLADAFGTIEMLVSSPRTILLESTVCANFECPAPDDLSTPRKVQAGTALRFGTGRYNGEARERIDLHIKVIEPITNDVLAIEHHRPLADNKWNQDTPIVVKTSKGFNVTVQLRNKCESFYYGNRCGRYCIPSRDQHWECSSEGERRCSVGWTGGDCSNPICPGGCSGRGKCVAPNQCSCINGFNGTQCEQCLPRAGCVNGGCHNGVPNTCKCRDGFIGDRCDIDIKICSLQKPCANGGQCSIDSKSSTGYKCECPFDFIGPQCKTALNEVRCAASAQHVCQNGGACISMDEKTIQCKCPKGFTGKFCEYGTHKDCSMMKCSETATCHMSGDLAICVENEKTTVIPPVRTPTERVKAVEEMRKTLTRKEEEEIAMKAFQDNILTFALLLVCIIGVSFSIFLFYTRIYLPLHQRANPSTGSLPTTSTSSRPSPVYKVCIIDTEQMPSSSSNSSDSEPDHLCHPHRHSPPPAYSSPVMSQKVYKSIPTMDDDSSFRV >CRE18979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1753291:1754420:-1 gene:WBGene00057870 transcript:CRE18979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18979 MIASKRLISTVNTTVRASKLHEKLIQFESEKGKIVDLKAVYEDSLPSGSTLGTVVGLHGSPGSHKDFKYIRHRLDDMGIRFIGINYPGFKHTEAYPSQRFGNVERQRYSDSLLNELDIRGKVIIMGHSRGCENALITATHRNPHGLIMINPTGLRIHKGSRPKGKLESLNYMHKQLPKKIGDKVMYQCEFLKSFSSILNLISVLKTVGFKIQDGEEAVAVIRTIQKCDLEKQLDYILKLNEMPTKTMITFGGRDHLIEKEIIFEALQKYQGLKHFDFKSDISTDEKQEILDIFKSHKGTSVFVARDNHFQNKKRADLLADGVKSMLIH >CRE18978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1751518:1752959:-1 gene:WBGene00057871 transcript:CRE18978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18978 MEETPAPRARISEPKLQKKVVKFQTEIEKDVELEAVYEDSLSSGSSLGTVVGFHGSPGSHNDFKYVRNKLDEMGIRFIGINYPGFGNTPGYEGQEHGNPERQNFTNALLDELKIEGKIIFIGHSRGCENALQTAVGMPSHGLVLINPTGFRHHKGIRPVYRLEYLNSLYGILPSFMGNAMMLGIYKAIGFKVQSGEEAINAMRSAMRMSLEDQIEYIEKLNQKNTKKLIIFGGNDHLVEEEIVLEKLEKHDGLEHFRFGDNINEDEKLKIMETFTGNRLGASVFVAKDTHFQNKSQADLVAEACKKMLEA >CRE18977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1749831:1751065:-1 gene:WBGene00057872 transcript:CRE18977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18977 MTTMTEANLCRKFVNFETENGRKIELEAVYEDSLTSGSPLGTVVGFHGSPGSHNDFKYVRNRLDELNIRFIGVNYPGFTFTDGYSSQEHTNIERQNFSNSLLDELAIDGKIAYIGHSRGCENALQTVVTRSGYGLVMINPIGLRIHKGINPISRMEAIDTLYSWLPSFAGNAMMLGLYKLVGLKIQNGEEAINSMRSMMKVSLGGQLEYIEKMNKMDVKKLIVFAGKDHLVEEEIIFESLEKHEGLQHFNIEDKISDEEKQKIMDSFGGSQRGASVFVAKDNHFQNKSQAVLVADACKAMFEVNETVKNKL >CRE18671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1746996:1748466:1 gene:WBGene00057873 transcript:CRE18671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lag-2 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3LKW9] MLLLGLFIFLPLITCKVEVRQEFVTSERVSMRFEIITNDGSRPNPMNFSLFPRAPKTNTVHLNTFDSAFNYSIQLLQPFTKQALGDRIYRQVHFSNINQPWFNDTFTTSSGIVLSISTRIGCTPHYYGSQCEVFCDGHLAKAARKRCDVMGRLRCDIGWMGPHCGQAVDPRKCSCQNNGICASSMIHSLDKIQNNTEQLICECTNGYTGNHCEVPGFNQFQFTAPQPDACSVKDACLNGAKCFPNGPKVFCACSVGFIGEFCEISLTTTTPSVEITASTSDYSVTIYSVVGIFIGLCLLIGCCKYKLTHRREHALARGLVPEPYPMPETKSMLVDQEACEAENQKNKKVFTIGDNVKQVDEEVRYTSAPRISNEYAVIQKPPPPTVCLPRIPVTCVYV >CRE18670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1740853:1742048:1 gene:WBGene00057874 transcript:CRE18670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18670 MKKLQMSTEDHCQIMQKLSTSLLLRFTLIFNLATSLIAFPMVIAASIALWKARVAKLFHINVIIIFQVHLVGFFLHCFSRIVLHCLDLYNYAMLDYCDMTPSTIRCFAFRIQYVFGLWLIGATTVPLIIERYIATIKSSSYEHMGCSLGIVMASLQVFLAVVLTSINFRNFSFEEPVMNYCMALKPGLVSNTEKAAIVSLCIQIIARILFHYLFKMNENLRRKQLTSSLSNRYSLEQNLKSMRTLKRFADLQSIFMIIHMALFIFILQIGPEVEKSTYISLVEMNAPYPLYAVISIIVLLKKAHLNKVKLKKTLENHVNADQNVYFENFKKFLH >CRE18669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1737816:1738846:1 gene:WBGene00057875 transcript:CRE18669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18669 description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3LKW7] MSKANVGINGFGRIGRLVLRAAVEKDNVQVVAVNDPFITIDYMVYLFKYDSTHGQFKGTVSFDGDFLIVQKDGKSSHKIKVFNSKDPAEIAWGSVKADYVVESTGVFTTKEKASAHLKGGAKKVIISAPSADAPMYVVGVNHEKYDASNDHVISNASCTTNCLAPLAKVINDNFGIIEGLMTTVHAVTATQKTVDGPSGKLWRDGRGAGQNIIPAATGAAKAVGKVIPELNGKLTGMAFRVPTPDVSVVDLTVRLDKPASMDDIKKVVKAAAEGPMKGILAYTEDQVVSTDFVSDPHSSIFDAGACISLNPNFVKLVSWYVSGPEQ >CRE18668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1735300:1736503:1 gene:WBGene00057876 transcript:CRE18668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glrx-3 MPIQEIKSGEEVAAFIKDPSPAVLHFYASWAPSCDQVNDLLDDLVAEIALPLRAAYIDAEALPGISLNFKITAAPTLVFFSNGKEVDRVDGFVPKEIQSKVVLTASRSLSQNSSSDSSSTLSSTQSLTPEQEKEALNARLKSLVSSNKVMLFMKGNPASPRCGFSRTIVDLLNSHNIEFGSFDIFSDEAVRQGLKEYSNWPTYPQLYLDGELVGGLDVVKEEFQDQGFIDALPKVGGSASNGKNDLEKRLKELVSSHRMMLFMKGNRDTPKCGFSRTIIELLNNARADYQTFDILEDEEVRQGLKEFSNWPTYPQLYLDGELIGGLDVVKEELLDTHFLRQIPRIRNE >CRE18667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1732547:1734917:1 gene:WBGene00057878 transcript:CRE18667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-figl-1 description:CRE-FIGL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKW4] MYSPKRVKLNLTNKVRKRPETEENCEELYPPTALARNGISPYFIGKPRRKVISNERNSEAGPPPPFPLRIQRKVPEDDPESIVIDEDDEDITDVPVQVKRDPQKTYNRPFFGEKSSINSEEVSSAKSKEEKRRDPFTMRGFDFGSDEKVVKIRDKICDIVDPTGSRRSDPKFIQQMHENTLKGIEVASNPDFKKKRGPIKNRAAIQSTLGSIYPNFLTASGQEPQKSKFQVPLDRQSSTQSNSSIPPTRKTIAPELPKRSSNSSSLIKKAMGMDTEGGGKDEKIDGLRAEPSLKHFDENIISLIESEIMSVNNEIGWADVAGLEGAKKALREIVVLPFKRPDVFTGIRAPPKGVLLFGPPGTGKTMIGRCVASQCKATFFNISASSLTSKWVGEGEKLVRALFSVARLKLPSVIFIDEIDSLLSARSESEHESSRRIKTEFLVQLDGVNTAPDERLLVLGATNRPQELDEAARRRFQKRLYIALPEPESRTQIVQNLLKGTRHDITDHNLERIRLLTDGYSGADMRQLCTEAAMGPIRDIGDEIETIDKDDIRAVTVSDFADAARVVRPTVDDSQLDAYAAWDKKFGCLPPPLLH >CRE18975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1728780:1731444:-1 gene:WBGene00057880 transcript:CRE18975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mcm-7 description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:E3LKW3] MKTKTYNTDWATEKTKVRSFFDDYYVDNEDGSGKVFPYRDQVFELARREKQAIVIDIDHIKDCDIPDALELSEAIVSNTKRYETIFKDTIADMIQDYLGDKPAPVVDALDAHMFQRIQMDDTEGAANEEVSLQDKRKKYPPQLLQRFEVYFTTEDQVHQTCVRNIKATEIGHLVSMKGVVIRATEVKPCVQVMTYTCDTCAAEVYQPVKGMQFTPPLNCPNKECVEAKANGRLHMQLRGSKFVKFQELRIQELSEQVPIGSIPRTMTVYVYGEMTRRCNTGNVVQVSGVFLPIMQTGFRPTGGLVADTYLEAHFIHNLDDNPTYNGVQSEELEVLRRKGDNYEALAASIAPEIFGHVDVKKCLLMALVGGNDNTSNGMKIRGCINVLMMGDPGVAKSQLLGYVNRLAPRSQYTTGRGSSGVGLTAAVMKDPVTGEMSLEGGALVLADGGICCIDEFDKMMDNDRTAIHEVMEQQTISIAKAGIMTTLNARTAIIAAANPAYGRYNPNRSIEQNVDLPAALLSRFDLILLMQDKADRENDKTLAEHITYVHQHGCHPNREKKDLISLETLREYISLCKTYTPTVDPALRERIVEAYVEMRRDARYSSDPTFVSPRMILGIVRMATARAKLRLSKIVDESDVEEALRLMQFAKDSLRPEQTRIEKRMAPVDAAFSVLREIYHSNNEPIALSNAIQRCARKGISEVALQKCLDQYTANGVLVMDRQNIVFAMN >CRE18666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1724283:1727102:1 gene:WBGene00057881 transcript:CRE18666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18666 MSFSTERTKKRSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDLLESSGRMESDMYEALKATCKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENAKKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWSSRDSDDEFSMHGRRGEYSDSERSWGEDWKSGRSSRYSAGNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDYELVAILEERYLKGAAKSLFKSLGDRQERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQRAPGQKFWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKEKMGRLGDQGNSVGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVENAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRRASPGDVGEVFKSEGEEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDKNSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVDKVAKQWKEWLKTSANVEVVDPLMPIGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNADRRPQLKKDLGKGCPIAERRGKWLRRSSTFSKPSGREETKGEKARGTWTTQATSKADVKLNFP >CRE18664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1720961:1722472:1 gene:WBGene00057882 transcript:CRE18664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18664 MTDSASPEIEIGCVEGKNKDIPSKLKNLWNTWCESCFSRCERELDEETNKARSYCLWNTLFAIIALLLILCLTKSVFELITSLDKSWIRYIYGIEVFLCAAVIIHLFCERQRIKTEFLAKTQDTENAHYDAEEIMPVIKQTPVYKHNYNAWMAFLVTIIVSCLWIETAILFYKADKKEEITAARMQLFLNLPITFVYVNEMMPMIINSYVDSLDISSI >CRE18974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1715882:1719996:-1 gene:WBGene00057883 transcript:CRE18974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18974 MQTGFRPTGGLVADTYLEAHFIHNLDDNPTYNGVQSEELEVLRRKGDNYEALAASIAPEIFGHVDVKKCLLMALVGGNDNTSNGMKIRGCINVLMMGDPGVAKSQLLGYVNRLAPRSQYTTGRGSSGVGLTAAVMKDPVTGEMSLEGGALVLADGGICCIDEFDTMMDNDRTAIHEVMEQQTISIAKAGIMTTLNARTAIIAAANPAYGRYNPNRSIEQNVDLPAALLSRFDLILLMQDKADRENDKTVEEVYRHITYVHQHGCHPNREKKDLISLEILREYISLCKTYTPTVDPALRERIVEAYVEMRRDARYSSDPTFVSPRMILGIVRMATARAKLRLSKIVDESDVEEALRLMQFAKDSLRPEQTRIEKRMAPVDAAFSVLREIYHSNNEPIALSNAIQRCARKGISEVALQKCLDQYTANGVLVMDRQNIVFAMN >CRE18660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1703801:1709991:1 gene:WBGene00057884 transcript:CRE18660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18660 MKKAKKGPNNPVLLSNIPECYHYLLVALFAGFVYSNTLKADFVYDDRPAILTNDDVLGRSSWRSLLTTNDFWGNPIGLEGSHKSYRPLITASFCLQFILHGLKAELFHGVNLICHVINSMLVLRLARQLRIMENGVSLFSSILFSCHPITSEAVCSIVGRADLSATMWILLALTTHISNPNCIRAISFAVFAILSKETGIILIPLIVCYDVLFKSISTKQFRKDVPNYLVSLAVICYLRLSINNFQSPKFSKNDNPIAHDSSILTRTLTFLYLPIFHANLIAFPKTLSFDWSMDAIPRVESILDSRFVLSVICYSALFKIIGILIMDFKNTHQNQVLLFLIALLTTPHILSSNLLTYVGFVAAERILYLNTVAYCILIGFGVEVARKKFSTCTESKWILSFVILILSIFSYKTMQRVDDWKTEESLFKSALLVNPTKAHMNLGYVFTTQKKFELAKYHYQEALKSKGNLADAWYNLGILVSKSSNSTQEAIHYYQKALQSRSNFAAAHLNLALLLHDVGLHQKAFSHLDECLQKSGENLKSYRNHQRTQATCAYNKGRLLQKSKEFHVAIDTFKLALNIGGKHFEHTSSVLNSIGTCYNEIGDVESAEKYFEAAISENHVNSYLTMAHLKIQQNRSYEVEGLLRKVMTLAPDSVTVLQNIALAEYHMQNYNRSLLFYRKALSVDAKHVESLHGIANLLQETQNYSESEEFYRKILEIQPHSYSAHANYGAILHLNQKYDLALKAYDTALILNPNDDVARENREKLIRILRRKHNF >CRE18659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1700698:1702816:1 gene:WBGene00057885 transcript:CRE18659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fipp-1 description:CRE-FIPP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKS4] MEEIDDNVAVVNLTDDNDFPQDAEDVAHEDPDSDHLYDDEVPAGENEANLNNEAEAGVAENPIDLGDDDDNEAEISAENGNENEEEREVEEVNNPFADDEDSDEDGGGVQVTIRKLEPAEKPAARQGKLDLDSTAMINDKPIYDLDLAQMEDRPWRKPGADITDYFNYGFTEETWNLYCERQKKLRTEFAGNQQQANTALFSSIKISNPLANPVMNTSSSVVKVLTDNGGRFKQPAQHHQPPPSPMQNEQVIRTVISGNTQPTSSLMDFTRPPPGMSVPPPPLGVATPAMLAAPPSSVADPIFTEAPPGVDGSSSSDLPPGIESTPIASAPPPSFGGGLDLSLGLPGGFNPNLPPPGMGMWPKPIPAPGFSMPPPQFDHSRAGFGPGPIAVQPPRSLLASSAFGAVSDDDEERRGSRRKRSRSRSPRRDRDRDERRDRDTRRRGERESDRLSSRRHRSRSTSGDRRRRRDEREREKRRERRGDEDDRKKRSRRDDEDDGSKKDRKEKTTRSRTTEDDEPTGTSGTGNEDSSAE >CRE18973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1698901:1700172:-1 gene:WBGene00057886 transcript:CRE18973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18973 description:GMP reductase [Source:UniProtKB/TrEMBL;Acc:E3LKS3] MPRIEFEPKLDFKDVLLRPKRSTLKSRADVDLDREYIFRNSKATYTGVPVVASNMDTVGTFEMASALNNHKMFTTIHKHYSVEEWKAFAASVPVETFNNLAISSGISENDWNKLNEVIKALPQLKYICLDVANGYSESFVDFIRRVREAYPTHTIMAGNVVTGEMVEELILSGADIVKVGIGPGSVCTTRKKAGVGYPQLSAVLECADAAHGLNGHVMSDGGCSNPGDVAKAFGAGADFVMIGGLFAGHDQSGGDLIEHNGKKFKLFYGMSSDTAMKKHHGSVAEYRASEGKTVTIPYRGDVNCTVQDILGGIRSACTYTGSKQLKELAKRATFIRVTQQTNDMYVPFEVPTLPSQSK >CRE18658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1697690:1698001:1 gene:WBGene00057887 transcript:CRE18658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18658 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE18972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1697039:1697449:-1 gene:WBGene00057888 transcript:CRE18972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18972 description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:E3LKS1] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE18657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1695879:1696262:1 gene:WBGene00057889 transcript:CRE18657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-33 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LKS0] MSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE18971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1695250:1695741:-1 gene:WBGene00057890 transcript:CRE18971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18971 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3LKR9] MRRRMTVCPHRSPAPPPENNSNRNGDNPIPYTCHQVQSLIIMPPKPSAKGAKKAAKTVTKPKDGKKRKHARKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE18970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1692918:1694168:-1 gene:WBGene00057891 transcript:CRE18970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18970 description:GMP reductase [Source:UniProtKB/TrEMBL;Acc:E3LKR8] MPRIEFEPKLDFKDVLLRPKRSTLKSRADVDLDREYIFRNSKATYTGVPVVASNMDTVGTFEMASALNNHKMFTTIHKHYSVDEWKTFAASAPVETFNNLAISSGISENDWNKLNEVIKALPQLKYICLDVANGYSESFVDFIRRVREAYPTHTIMAGNVVTGEMVEELILSGADIVKVGIGPGSVCTTRKKAGVGYPQLSAVLECADAAHGLNGHVMSDGGCSNPGDVAKAFGAGADFVMIGGLFAGHDQSGGDLIEHNGKKFKLFYGMSSDTAMKKHHGSVAEYRASEGKTVTIPYRGDVNCTVQDILGGIRSACTYTGSKQLKELAKRATFIRVTQQTNDMYVPFEVPTLPSQSK >CRE18969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1690296:1690962:-1 gene:WBGene00057892 transcript:CRE18969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18969 MLKFFVITLTVLQLGHAFECYTCNTELTKSGPCIDRKTICENSTSCSMAVMYHSGRSIVRKFCTPPNTPIYQYLMMMPGASMCQNIDMTELSPPVRQRRHVGPPASPGEQASLLCVCTTPLCNKGVYSKVLENTMLNNLPRRLLPPLPNYEKEPKPEQNIEFDDNMAQQMADAGF >CRE18968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1688539:1689611:-1 gene:WBGene00057893 transcript:CRE18968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-13 description:CRE-GRL-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LKR6] MVHILILSMTLFGFSHCLFFNGGGGCGCRPQQCGCAAPLPVARSYCPPSPCGRPFQPYQSYPPVSFRPPPPTIFQQQPQFYAVSQSQPIPIQTQFHNSYQQTPVFTSNQSEVFFSKFKFSGSYNAPFNTVPSYMSAPQNSPIQVTSAKETPVDTFDTVIQKSRTDTSPVKNDLDVDVIENNNNPQNFQNALSNFETSLQAYKSMNGIRGIRRAQGSKTEKPEKCSSARLQQIMEESMTSNVSVSKLRISQGAKKEFGYNFDVVCSQFDFSYLISSNIFCRVELDGQICLAYEN >CRE18652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1679066:1681347:1 gene:WBGene00057894 transcript:CRE18652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18652 MSTANSSFSSSSSPSSEKLEHQEPTINRRRIRTINDVNDESIDTISEVGYLYYGLNKELTSEMVKKDRKREEAQKNLELERSKESESDEVDMVAPQLEDVGPSEEEEEACEEIGERDSEVIDVVGTSQGDEIMEVTDDEMTYEEKVLILSNLKKTNITPSPLLPHQPLAPFWQNSMMQRPLLSLFPPVAAPIQMDPMDLSVNSAPMEEEEDGEKLEEDNYDAENMGNGDQILDFAVGFGDEEEMDDHYEEEQEEGNFGAGASSEGEEKIVELFAGHPDGLKAINRAMNYNFLDATNGLKTRNKYKRFIMKNTESLKAIESILEPMYEKYFVQKQKEKERRRKVRTEARTFNNRPERLLFRPF >CRE21786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:154014:155002:-1 gene:WBGene00057895 transcript:CRE21786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21786 MTVPLAFDQPTRPLDDQLPYFSVLIRKEERRNHHSALLCSEAIDCNRRQRSMVSTAPTTVSAPNPAPKSASTSGNIPMEQIYTIHKIQTSTFYIPASSHSKESKKFSPQMMTDLMEKLTQVSEKLDKLDNTIQHLRPMKHKLGAHPEAPPTKREVICVFCSGNHQATHCMDYATSDARWARTGVLSICKHCGRRGHQPESCYKRGRKCNQCGDNHLSAYCMVLVGPNQ >CRE18648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1660047:1661656:1 gene:WBGene00057896 transcript:CRE18648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18648 MKVIYAYGDTRSQLLGDVPEVIVIDDDIPDFEKLDDSFELDDMISFVLKGEHPLPKREVIDSEPAEKEHAAEIEENMAEKDISDHTVEEKKVLVDLETVATEGSKHLVYIENGKTVSGLFDISTSLGITQLAELLKEKSPYHFNALMGAQEPPMEINSMENVRDENIEQESDGTVDEEEGEDIMMAEDAADTTDEQTPSPQVHVNNVKIESILDPKTDEGETQSESESTPMSVSFHIDEMRSTIEFNSILPLNPQEKSAIVPTSPEEDKTHFLPSPLPEKKPSIILPPRTPPSKRRNRHSNRHIKTDASSRSYSPVPFRVIDHRGPWKRNKHYREQNGHRRRERREYNAVGIRREQGRKRSYKKEEKIPTYKCGSRYGFVRNN >CRE18647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1654489:1656973:1 gene:WBGene00057897 transcript:CRE18647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18647 MKAKKRVQFSDEDKSGARPTPSQPFGSPLRPPSIGKKSKNDAQMGNEPVGHNNKLKNLLIVGQANSHHSEVGSDLQHLYEPKTTSPSIAPIEYNASMFSLSFYAPSFPLAPLFAPVLGHEYQLWNYYGNSPIYNLNVAQEPSMPISSQTSNDVVNILEKLVELRGIKNFEDLLKAKEEVERDLKQRKRGINKENDSPTVETEKKTDESVAKKMKKEGNKKKKSIDETEPFPRLVRRNARRGSCVGDGQTWTEEVIMF >CRE18645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1651529:1652640:1 gene:WBGene00057898 transcript:CRE18645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-5 description:CRE-GRL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LKK6] MRTALLLAIGFATSQAFFFGGGSSCGCSAPPACPPPPPPSPCGGGGGGYARAYSAPTFAAGYPQQPLQQPLPSYQAAAPASFGSSYAAPAPFAAQPQYFASQPAPPQPIVAPPQGYAAPHKRHIINDMEDTGVDQTEGYVARVKRDEEAVFDPKCNSEDLKAIIIANINESTAVAKRQIQSAAADAIGGRVDVICSKGTFSYIVNTELYCETEKDGTTCFAFKQSS >CRE18644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1649504:1650023:1 gene:WBGene00057899 transcript:CRE18644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18644 MRSLIISSALLVILVQCSYPVAEDTYPTTPSYQTPPPTYPTPQTYPTTPSYPVPKKYPTAPTYPTKPSYPSPPTDYAQKPSYSAPPPPAPSGYTSSDSEVVASEPSYSPAATTYSGSAVDYNSAGTFRQRAKARTAHNRQFQRRALMPIRRFQQKKQ >CRE18643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1644806:1645212:1 gene:WBGene00057901 transcript:CRE18643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18643 MRSFIISSALLVILVQCGYPVVENSYPTTPSYPTPPPSYPVPKKYPVAPSYPSPSTYPAASSTSSSSDVSNFFNEEDNAVDTESSSNSEYRKRAKARTAHNRQFQRRALQPIRRFQQKKQ >CRE18641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1641974:1642441:1 gene:WBGene00057902 transcript:CRE18641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18641 MRSFIISSALLVILVQCGYPVVENSYPTTPSYPTPPPSYPVPKKYPVAPSYPTKPSYPSPPTDYAQKPSYSAPPPPPAPSTYLSSSFTDSDSTSDSEYTTYAPTSEYHKRAKARTAHNRQFQRRALQPIRRFQQKKQ >CRE18640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1640817:1641474:1 gene:WBGene00057903 transcript:CRE18640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18640 MRFFILPTALLIIGIQCGYPVAPNSYPTPPDSYVVPKQSYPTAPGSYATKHSYGHRSPVAPPPPPPPVGGGGQVSSGYTSDDESITVNSAPAPPAPPTDAQVVGSSSSSSNYGGSSPTYGGTMSSGGIVGGNSLNYGTYNSAVSSPSASESVTGGGASSGYRRRAKAKARARARARTVVNRRFKQRRHLTPIRRFHKKAKH >CRE18639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1638054:1639485:1 gene:WBGene00057904 transcript:CRE18639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18639 MMKTVVLLALFGCSNAFLFSLLGLGGGGGGGGCGGGCAPPPPACGGGCGGGGGGGGYAVAPAAPAAYAPPPPPPPPAPIGGGYASSGGYGGGVGGYGGAGGAGGGYQAAPAIGGGGFGGQGGYAGAGQGGAGGFGGYAGAGQGGFGGAQGGSGGYAGAGQGGFGGSQGGSGGYAGAGQGGAGGFGGAQGGQGGYAGAGQGGFGGASQGGYAGAGQGGAGGFGGSQGGQGGYAGAGQGGQGGFGGASQGGYAGAGQGGFGGAQGGSGGYAGAGQGGAGGFGGAQGGQGGYAGASQGGSVGYGGAVAQSIGGGQGGSGGYNQGPSAEFSGGQQQYQQQQTSVISAPVAVAAPAQYQEQAPVQQETVQQQTFESAPAQTQEYGSQATEQVVETSASSSSGYRKHLSKA >CRE18638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1635548:1636811:1 gene:WBGene00057905 transcript:CRE18638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18638 MPYIQDFSRNIAEFGFLTTMCGNSVLIYLTGWMTKQIQGPYKRMIIIFACLGLSFSVVEMLARPFVHSYNGAFVYFSLAKEISGMQGIVTFLLALYAGLYAALISFVAVQFIFRYCILNNQDMATMLFKGWKMALWVSYALFFGALWIFLCFFCGKFDEYSMDYTREEMLNVYNMNITETAGMIIVAYEPSELDPSQIQLRKSSAIFWCGTVSILLAQYIVMVFCGTSMHFQMVEKLKHFSLTHQRLQKQFFKTLVCQITVPTVLFHMPIVPVLSAPFFNLKISIQSGIIYSFFSLYPPIDSFLLMYIVSDYRNALSKLVSRNKQVYTGSNAFSTHVSVRMT >CRE18965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1621521:1624048:-1 gene:WBGene00057906 transcript:CRE18965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gnrr-5 description:CRE-GNRR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LKJ8] MSYSNENLTLQELQEANALKEAEEISEILRIKIEVCIYVIVFFIGGPLNLMALSKSLRAFSRTHKAKSQILLLRITLNLADLMTLFLYVPKQIIWLITYQWYGGEFLCRACAFFSTFSFYLNSFVIACIAIDRVFGAYNISSLNAHRKAYIRCRNLLGCGWILAFLLSLPQAVVFTTTSPYEKIDFKQCATIFMIFLHEKRIEYQDPMTTDARREEIDAEASTMERWEKMYAINHFLFVFWIPCIVIVGSYIVVLLILQGHLKQDKSSTSCFNFRVKSSDLTLDDTQSTTTRSPTRSTYLLTQEESYALRSSSSYDFESHQSHPTRQGSFRSRCSNGGGTKFGAMAVTTIHRAKQHAKRQAALIIVAYLCIWSPYNLFTVLNLFGMPIMEELRHFLSFLNAAICVNTVVNPIIYGVFALPGRK >CRE18964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1617118:1619523:-1 gene:WBGene00057907 transcript:CRE18964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rft-2 MGCSATTFILVALFGSSSWMGTNSVWMQLPLLTSELPEHWNLPSYLAGVVQIACIVPLIYTILHKGVKSFTIPTPPLIIALLSLACCCQLGLAFFWNNYSEVFGASRSWPLYSLLFGLAIVNAMSNVLFMPFMAQFHPAYLNAYFVGMGLSSLMPSLLSLAQGTSNFKCDDNGVAERFPPNFSVSIFFFIIFTFTCVALIAFIILYRSGAHNHSANSNRRTTKEPNEGTPLKKKLVTTSSAPDENDDDETPIDIHETGAPAIDGIVSELDETYRETDRVEFREAWNSERSSICHDSEPHSVDYITGVKFTFLLFTTALVNAQMNGIITSVQSYAALPYSQATYHFAVTLSNVVSPLSSFLPFFVSVRSIPVLAVLTACSSAVTAFIVYLAALSPNLIFNSVTAGSALSIGGSLVAAGLHSYLRVVFASLLREGHQSESRLFWCGVFIQIGSFVGSAVMFPLVNIAHLFTSAPQCRSIST >CRE18962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1609904:1611043:-1 gene:WBGene00057908 transcript:CRE18962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18962 MKYLVFVALFGCSNAFLFSLLGGGGGGGGCCAPPPPPCGGGCGGGAPPPPPSGGYAVAPAAYAPPPPPPAPIGGGYAQSGGFGGAGGAYQAPAIGGGGSYAGAGQGGFGGAQQGGYAGAGQGGFGGAQQGGYAGAGQGGFGGAQQGGYAGAGQGGFGGAQQGGFGGAQQGGYAGGAQQGGAGGYQQGPAVGGGAGGYQGGAPAQGGAGYQQGPAQGPIGGGAGYQGPAPAQGGAGYQQGAAAVVSEGQQGYQQQQQQQQPAPAASYGEQAPAQPAPAASYGEQAPVQTQQETVQQTFESAPAPAQPAAEYGAQQPAQTEQVVETSASGYRNKFHLKKAAKA >CRE18961.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1606693:1608939:-1 gene:WBGene00057909 transcript:CRE18961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18961 MTVDSVPLNTGAKLPLFGLGTWQVKDETELTVALRAALDGGYRLIDTAHLYQNEHIIGEVLHEYISSGKIKREELFITSKLPFVAHAPEDVANCVESQLKALKLDYIDLYLIHCPCPFKHQEGNLLPLLEDGKLVFDEKTSHMDTWKELEKLYHAKKLRALGVSNFSAKQLEELYNQAEVKPSNIQVECHIYWPQEHLRSVCKKLGVTFTAYAPLGSPGRKAARPDGVWPEGDPLLEPVVQQLAAKYHKTAAQILIRHLTQHGISAIPKSISPDRIIENISTFDFKLSDEDMHALDTVPTRIRLFIFDFAVGHPLFPHDDVDETTTPKSGFKLH >CRE18961.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1606693:1609026:-1 gene:WBGene00057909 transcript:CRE18961.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18961 MTVDSVPLNTGAKLPLFGLGTWQVKDETELTVALRAALDGGYRLIDTAHLYQNEHIIGEVLHEYISSGKIKREELFITSKLPFVAHAPEDVANCVESQLKALKLDYIDLYLIHCPCPFKHQEGNLLPLLEDGKLVFDEKTSHMDTWKELEKLYHAKKLRALGVSNFSAKQLEELYNQAEVKPSNIQVECHIYWPQEHLRSVCKKLGVTFTAYAPLGSPGRKAARPDGVWPEGDPLLEPVVQQLAAKYHKTAAQILIRHLTQHGISAIPKSISPDRIIENISTFDFKLSDEDMHALDTVPTRIRLFIFDFAVGHPLFPHDDVDETTTPKSGFKLH >CRE18960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1586820:1597914:-1 gene:WBGene00057910 transcript:CRE18960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-62 description:CRE-UNC-62 protein [Source:UniProtKB/TrEMBL;Acc:E3LKJ3] MSGDSKIWAHASADTWAAQSGISTYDLDTSSIKREKIECHEQFNDGYGPPPGSASTDPVSYAADPTAYFNLYTNMGAAPTTTPMMHHEMGEAMKRDKEAIYAHPLYPLLVVLFEKCELATSTPRETARDGSTSSDVCSSASFKDDLNEFVRHTQENADKQYYVPNPQLDQIMLQSIQMLRFHLLELEKVHELCDNFCNRYVTCLKGKMPLDIVGDERASSSQPPMSPGSMGHHGHSSSPSMAGGATPMHYPPPYEPQSVPLPENVLGGHPLEGSSMAYAMAGMAAAAASSSSSSSNQPGDHPLVNGGTLHSTAGASQTLLPIAVSSPSTCSSGGLRQDSTPLSGETPHGHANGNSMDSISEAGDEFSVCGSNEDGRDSVLSDSANGSQNGKRKVPKVFSKEAITKFRAWLFQNLSHPYPSEDQKKQLAKETGLTILQVNNWFINARRRIVQPMIDQNNRAGRAPHMNVCKNRRRNRSEQSPGPSPDSESDSGANYSPDPSSLAAATAMHYPGAELYMQRTMNYGGFQPFPNPAMQFMNPMMGFPVAPAVDALSAQQWVDLSAPHE >CRE18959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1581580:1583575:-1 gene:WBGene00057911 transcript:CRE18959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18959 description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3LKJ1] MSKANVGINGFGRIGRLVLRAAVEKDNVQVVAVNDPFITIDYMVYLFKYDSTHGQFKGTVSFDGDFLIVQKDGKSSHKIKVFNSKDPAEIAWGSVKADYVVESTGVFTTKEKASAHLKGGAKKVIISAPSADAPMYVVGVNHEKYDASNDHVISNASCTTNCLAPLAKVINDNFGIIEGLMTTVHAVTATQKTVDGPSGKLWRDGRGAGQNIIPAATGAAKAVGKVIPELNGKLTGMAFRVPTPDVSVVDLTVRLDKPASMDDIKKVVKAAAEGPMKGILAYTEDQVVSTDFVSDPHSSIFDAGACISLNPNFVKLVSWYDNEYGYSNRVVDLIGYIATRG >CRE18958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1579067:1579654:-1 gene:WBGene00057912 transcript:CRE18958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18958 MVPPPSTTPIKNITLGKVEWEESMNELIEIGSDIDNVEMEPTTTMDSSRSMSRDTNELSSLSKTRDDGVRTEKTQDGDERKKSKEKKSKKRIHRVRSHRRRRRRKRIQMIEDVLLITGIWDWFVLDGGLGTEGKSQNIQIEQKTIECKFWNVEIKK >CRE18636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1562691:1578924:1 gene:WBGene00057913 transcript:CRE18636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sos-1 description:CRE-SOS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKI9] MSISLHSASSDTVSLTSRRTISHSKHWVYIFDERIYQILNVVHPGLPIDNDAVEHIRFFLQSIVFELIEARSTSVVEVDKTAGRLFGRGLDSICKDAWDNMHQQLQKHKFQKLEKKVLESQHRLAAVIKETLGPREKEKKDREKKEIERIAVFIYYICDSVTEDVLRLTGNYVKNIRNSEQKITMANLDVALNADRALMELRTKLRNEEEAESPGGFGFLAEFEEFVAEETEHSEKASTSRQTYESVAVDFLRDERRFIRELNRINVFRRRIESIATSEGDKMIVANLFGNLHEIHDLALKIERTLEDAIELNDTQCIGMGIWEYGEAYEFDTYTFYIRRDGEINESRHATYVINDNVKALLESERFAQLFQTAEHLCASSLDGQSFRLAVQYVLPQLLHIPIYHIYQYHEYINRLHQLSSSEEDRRDLNDCRAAFERVVGSVSDIPPELKSRIASFLDQQAKSEKIYNVKRLNEIQSSIDGFVGSPIGKTCNELEKDGDLGMIRPSLQFSNGEVGLIFEFIYNRSNFRFVYVLDQMIILCKRHKNSLKFKDRLAVHSIDVFDIPDSEVTNCFKIESRDKSSLPKTYHFVCKNPEEKRQWMAVLVKVTTKSVLDRILDNYEKEEAKRIPLVVPGPDQYRFSEPDTEDNISFEDYTSSSGIPVIKCGTVLKLIERLTYHSYTDSKYIQTFLISYRSFCTPNDLFSLLLERFNIPTPKKLLQPKQGGGPLAGRFDTVQSHGLSTMSTSSGINPLYEQKFRKEFQQPIQLRVLSVINQWVKQHWYDFQCDSVLLDALELFLNRCCDPREGLTKQHKKFCKTILALIEKRVKNPPGIMQPPTENGDEGHVNSAFVFGDDQQHSPLLKQLRHRQVYTNESPNESNHYSSRRPEILWHTAQKGDVDHYDLLTLHPLEIGRQLTLLHFDLYRAIQPIELVEAAWTKSEKWRKSPQLLKLTDHSTLLTYWVSRSIVETESLEERMAMFNRVLEVMSVFEELHNFTGLVAFYSALNSSCVFRLKWCWERLDSEKQKCFDRFNTLCERRWNEMQKRLSSINPPCIPFFGHYLSNIYFLEQGNSTFVNKSPANAKQKDDMKSSDPDSKQFKQLVSFLKCRKISDVIREIQIYQNERYSLTLEPTIRQFFESIDPKSEFNTNEDLEEYLYNKSLEIQPKGLETPTSEVKPKHNSSTLRSPGIKPPKPGNHYSANHPLGLHLHSQHSHSAPHGMSHQSNTVPSTPVTAHETKRSLSQNQEESQFAMVDVRYDRKGTHQKIPVLQPPPLLPRSRQFNQPINSVHLPPTTQAPMPPAPKTSTIMSTASSPTSTSTTPSSALGPAPKLHPRRMTQPMSPLAKSPLTPSKDNSSTAFQFPVVYEVAPPPLPPRPSASSDASSPSTSGATKENQEQLRVIFDREESHSPTVRLSVALPPALPPPRGSAVSRAPPPLPPKSNRRNSSSPTSSSDPFDDPTSPSIFVNTPPPPLPPKTYRITKK >CRE18635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1560368:1560979:1 gene:WBGene00057914 transcript:CRE18635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18635 MLVFYFLSALLVVTVTSGPCKMSPLTTFLTDSQQQTLHQLIVSARDSGANEEMVKESMDEYLAQILSPDRLLELKRAHDEFEAQRRGKRSSDKPEPKKVFDIIDQFTSDYQKFYEESSAVRNRNNNKN >CRE18634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1557135:1559849:1 gene:WBGene00057915 transcript:CRE18634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sut-2 description:CRE-SUT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LKF3] MSSQTGTGTSEVSKKLKAAIRAKLEELGVYVDDELPDYIMVMIANKKEKVQMKDDLNLFIGKSTGKFVDWLFDLFDRLQNASSKQGETSKKDEEKEKQKEQEAKEQEEKRRKEKEEREREQQKEKEREREKERQRERDREKRLEEEKRREEKRKESQRTKRHRTRSRSNTYSDDERVPGNDRHTRNRRARSGERKKISAIDGRRESASPEKKLQSQVTVKRNIRPTGDTNVKGRGTMFLRAMNEASVSAGYGSSRKESRDDAHDEDDMSDVEALPSKSSKPSSSPKKSIRERLSRVNKGVIEPIDDDAVVLGNQPQSGGPQMILKLSGGKEAIKKTRIQDRIVVVDDSMRRGLLKRRIETPGVSEEPKSKHERIKFDVAQSRDSTPTDDSPTMQKWNGQIRLGEDSESEDEEAEIDAFVAEARGIVRKDSFMEEDDVPPTHQLSGAAYPIHITDGYPSTIPSYIPTPLSVLNEQQNQMGGTRDHTKERCVFWPKCTKGEACQFMHPSTPCKNFPNCTFGTRCLFIHPPCRFDRFCTKKHCPFTHQGTGGYQPGSGSAPEFKNPLTSNRIPPTTTVVASSEEPPKPLVRGTLGSLAEKLAASIKKKPATAILTEKKEEKSDENETATTSNEVSPKEKEGSLSPPTSAPTRSLPEFAPLQNMIPCRYAGGCRNPVCHFKHPKECRFGATCHNPSCYYYHKPTNASSAPIVNPTPVADSSAAKYKWTATAN >CRE18957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1553389:1555202:-1 gene:WBGene00057916 transcript:CRE18957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18957 MSITTMKPEVRFYFVTGIVCICNNSLAIYLLIFKSGNVGTYRNYMLYFQNLIFTLIILQITSLLCSFIKKHQSVAAIDQKRIMGSARKYIIKILLHIPPFLVSVSFGFSNLSKEEELKVAKQLYPDLVPFISLPNAELYSTSSVSIQVTLVVMIVTFVSFCSLFLFYYIQILQMLHGHRQFMAAKTYSKHLSAVLSLVAQLIVLVIWLALPLAFLLGDVILHIEGYEVYCNILICLFSTHSIVSTIVMVFTFPAFRRVISCQEKLVQVQKFSRFVSLQTNVLSSYYDGSTEKKRCVIEFSNHECLLFHK >CRE18633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1546307:1548684:1 gene:WBGene00057917 transcript:CRE18633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18633 MSFGEDNPTFEELMEVVQRQQEVIEIFEQQMEASREECLKIAEESEKKSEIIKLMETSEILLKSEIESLRNEKEEATDQKAKKKREESREDDELETVEVLRMKCKEMTYKLEKQKTQQTSLEVEIETQKKNNEFIRTEIEEERRRHIEEKKRLTENLDSLEKEMYQKCEELQKNLSKTQIQLAEIKTELEVKEHREKQLERLYEDVMTEINETRKEKSAVMVRCSSMTAENAELKAKVQQLRARLPRNDHDIEDMNKLLGDQSHLISALREETKLLARKLEIDSRDYRLSALLNIIQLLILFHVYLQFEPIENESVSETINFVFLVHLGVSSAMIFLAFWNKVITPNMIGFSLYSFTGSLSLYFISDQYLKEEVILKNWSILLSMCGFCSLTTCWWSVYKEKFCNLW >CRE18956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1534047:1535875:-1 gene:WBGene00057918 transcript:CRE18956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18956 MNLNDMPDDVLIRLLNTASPTDVTRAKRLNKRFNQIVETFNLGKPRVKEFCVESRVSSVLPTKIGRLRLGSTPMASKRRIVVTMRRDKGVKRSERLEDPSCSNSTESANLFVQENLRRVELQEKIAFDGLTLDEDFYKMLTAKKDALRHVTTISLSLCHIRLSWTQFADLLSRMTVKHLFIDFCTFDPSLISDKVLASLPHLETLQIQSRYPCFLNELTDQTLINWATNSAVPKVIQLRNGCASRITVEGIKMMIMRALSTPTPPQPAPKVDWDFGLLLEPTQSDSSLLSLLFCPGIDVKVTDDFRSRRITLTRDQFALQFFIPSPFPAEPISAAVPA >CRE18630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1529166:1530828:1 gene:WBGene00057919 transcript:CRE18630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18630 MKKALLLFLIVFGIFLLILNVLHSEKSYFNRFKLSELNSGRRLDSQSDENMNTSGLIDGMDRFEWYSMKLNNSLKTADKVSTLYAYEFEHEITITITSWNFIGYRVFCRYIDENDIEIEEPFESFTYPEYIVACKKRKGTRKIGLSVEKNGDFQPLPILNRMLKKPTYELSMCIATLYGDEPKWLMFIEMIEHYKLQGVQHFYLHIHNASNYDLKVINEYVRTGELEVHYLLERDRRADNHWHMVNIADCLIWSRGESKWTIFADLDERILMTKYPGTILDYVRDIDDEEVGSLQFRQQWVLKTELMPEKYKGRDQLTEWMPTHRWHTSTGIGPTGHTAKCIVDTSKVFKMFIHYVVEFFPPPDGNFVEVDIAPEEGLVRHYRDQSLGNWGEKWLKEILKFGSLRTTDYPSQYLTNLTENVYRRAHYVYGNRFD >CRE18629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1527047:1528709:1 gene:WBGene00057920 transcript:CRE18629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18629 MTKSFYIAVIYLVVILLVLDRMNTGQLFSTGDVRAEVSRSLVDHSNVSQSRFQYNSSTSSFSSQYLINSIDRFEWYRQQMYSNLNIKKPETASNISTLYAYEFEHEITVTTTSWKRLGYRVYCRYVDRNDLEIGEPFESFTYPEYIVACKKRKGTRKIGLSVGKNGEFQPIPIVDRMLKKPKYELSMCISAIYGSEPKWLMFIEMIEHFKMQGVQHFYVHIFNASNYDLRVINDYVRTGELEVHYLIERDSRTDDRWQKVNIADCLIWSRLESKWTIFADLDERIYMTNYTGTILDYVREVKNEDVASIQFRQQWIMKTELMPEKYEGEKQIDTWMPTHRWHNSSGIGSPGHTAKCIIDSSKVFKMFIHRPTQFFPSLNRPYIQKVVNPEEGIIRHYRDQNLGSWGRKWLKGILKFGPFRNTNYPERLIGKLTENVKRRIKYVYR >CRE18628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1525028:1526742:1 gene:WBGene00057921 transcript:CRE18628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18628 MSKSFFAIVVISLMVTSLVLHMINTGQSFQSGILETSILMGTVETRNETKPTSTDSSPSTYTINGKDRFDWYREQMYSKMELNEPKITSNISTLYAYEFEHEIIITTTSRDRMGYRVYCRYVDENDIEIEEPFESFTYPEYIVACKKRKGTRKIGLSVEKNGDFQPLPIVDRMLKNPKYELAMCLTPLYGIEPKWLMFIELIEHYKLQGVQHFYSYIHNASNYDLKVINDYVRTGEVEVHYLLERDEREDNHWQMVHIAFFFPQDCLIRSRGESKWTLFADLDERIYMTNYTGTIRDYVGEVKNEAVASIQFRQQWILKTELMPEEYEGDGQIMEWMPTHRWHNSTGIGDPGHTSKCIVDTSKVFIMWVHSVTEFFPYPNGNIFQRDYFQRDVEPKDGLIRHYRDQNLGSWGEIWLKESLVFGPLRNTDYPKKLIGKLTENVKRRAKYVYDNVD >CRE18626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1517673:1519355:1 gene:WBGene00057922 transcript:CRE18626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18626 MNKWFYIILTYIVVLLLVFEKAPHAPVFSSRIPMEQNRTSVENGPLTSTTPAFSSSSSDSYLMDRTDRFEWYKQQMYRDMKINEVCVILKINEVCMYSKIATNISTLYAYEFEHEIAVTTTSRDRMGYRLYCRYVDENDMEIGEPFESFTYPEYIVACKKKLGTRKIGLNPRYELSMCVATIHGSEPKWLLFIEMIEHYKLQGVQHFYLHIHNTSTYDLKVINDYIRTGELEVHYLIERDYRIDKHWHMVNVADCLIWSRLESKWTIFADLDERILMTNYTGSILDYVREVKDESIGSLQFRQQWILKTELMPEKYQGDKQVRKCLRKGIIIISRVLHQIIEWMPTHRWHNSTGIGSPGHAVKCIIDSSKVFNMFIHNVIQFFPKQNGSYGEVGIKPEEGLIRHYRDQSLGNWGVKWLKETFKYGPLRNTDYPENLIGKLTENVKKRAKYVYDNKYDD >CRE18955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1513652:1514890:-1 gene:WBGene00057924 transcript:CRE18955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hint-3 description:CRE-HINT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LKE2] MTSMLTSVTGCKFCDIVKNKKELQFKERNTCVVINDIKPKAKHHFLVLSKQHISKPTDLTVADVPLLEEMEQTGRELLREQLKKAGEADTVEDMLRIGFHLPPLLSVHHLHMHIIYPISDMGLISRKLTFRPGKVFKPARELIDQLKEDAGVPDPLEGNPAKDDVHDKVPAQAIS >CRE18954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1503911:1505362:-1 gene:WBGene00057925 transcript:CRE18954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-3 MFIFLFSSFFLFLVNASHQFGRILRTQTNNKTQYYFSYPRCTVEKKESKDLKNSLKMLDLLSRVMVTVMTMMCFVGIVTNFLSLYIYTRKTFRKKSINVLLSALSATDLSVCLLAIPVFASTQLQQWIPPEVTAMIMVYLYPVTIMFQSMSVWLLVSITIDRYLAVCHPFMVNTYCTKNRALVTVGVVVLFSSAYNAVRFWEYTINFDVAPENRTVEQMVKPMLRSNPYFLLWYQNVATMVSQFAFPLVMLCVLNIQVARTIIEASEQRRELVASVKREHSTAKMMIMVVIVFLVCYIFSFILNITEIYYEEIFSSPFGYFLNDINNVLVVVNSSCAFIFYYKYSTRFRNQARTLPGIRWFASMSKFNVYDTEVTPNRSMTTRYKESIITIRGTSSSRLSSSHNLLYKPSYSKACDI >CRE18953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1501891:1502948:-1 gene:WBGene00057926 transcript:CRE18953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-10 description:CRE-GRL-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LKE0] MKHSFTLVVTVLISILIFDVEGFFFGAAGGGGACGCSRAPVCPPPPPPSGCSGGGRAVARGAKTMSFDQPIPQNTPQAPRVYTVPDELDLRAAAFGVPIQPQPQYSIFDVLHNLGESSDSPAQQLVVADGSTNTDYFPKENDEDLTVSEMTGNSDVELYKSKEIRRAPAEPTVHVHRDGNVETTTISSDNLNGAAEEDNFDKNKCSSSVLRKLMLEHITDSSAESKRNINIAAEGKFGGNVDVICSRGHFSYIFTSNLYCETTKGLTTCIAFRQSDKVRRRR >CRE18625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1501380:1501751:1 gene:WBGene00057927 transcript:CRE18625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-39 description:CRE-RPL-39 protein [Source:UniProtKB/TrEMBL;Acc:E3LKD9] MTLHPISMLLQCFRPFSSYLRSFLENLVKIVPIFQVMSALKKSFIKRKLAKKQKQNRPMPQWVRMKTGNTMKYNAKRRHWRRTKLKL >CRE18952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1500233:1500997:-1 gene:WBGene00057928 transcript:CRE18952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-30 description:CRE-RPS-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LKD8] MQIFLLGLDNTTHTLDVDASTTLSAIKGAIGAGEEFSISYGSKILDEGLTLGECQIDNLSTLSVNGRLLGGKVHGSLARAGKVRAQTPKVDKQDKKKKKRGRAFRRIQYTRRYVNVASGPGKKRGPNSNS >CRE18951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1499213:1500197:-1 gene:WBGene00057929 transcript:CRE18951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18951 MFGFRMSEELLQKFLTSIEREDGITEIGKKKKCKSAARRDNVKNILNAASKGQVQLSAEESYIVQKPSTSKGSDYIDDRLASQGFSLIDQARSFKPKDLKKRNLKYMKYQEGRRLDSKKGRVLVASHLKTKTDLKNLRKEKKKVIGVKEPRRLLPGQKKKEKNKSVFSDADFAQVAHVAKRINSVSDHSFL >CRE18950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1494353:1496650:-1 gene:WBGene00057930 transcript:CRE18950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-32A1 description:CRE-CYP-32A1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKD6] MIIVLSIVIGYAVYLFIVNLQQILELWRINRKCAQNLSMVNGPPSLPLIGSAHLFKWHPYDFTFQMEHWAQKYMFSRAKYGEIAAKNNEVDGIMLLWIGPVPIVFLGISECIRPVLESNTNISKPSQYDKMSEWIGTGLLTSTHEKWFHRRKMLTPTFHFSIIQDYFPIFARHADVLVEAVEAHVDGDFFDGFPYFKRCTLDIICETAMGIQVNAQLGHNNEYVHAVKRISEVVWNHMKFPWLWIKPIWYLTGLGFEFDRNVKLTNDFVRKVIQERKELLKEEDEASQRKRKAFLDLLLTIQKENGTLSDEDIREEVDTFMFEGHDTTSSGIGFTILWLGFYPECQKKLHKELDEVFGFATDQTPTMDDIKKCHYLEKCIKESLRMFPSVPLIARRLSEDVTIDHPSGQKIVLPAGLAACISPIAAARDPRAYPDPDTFNPDNFDIDAISGRDPYAYIPFSAGPRNCIGQKFAILEEKTVLSRFFRKYEVESLQTEENLRPIPELILRPYNGIRIKIKRREAADYVVL >CRE18949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1493082:1494112:-1 gene:WBGene00057931 transcript:CRE18949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18949 MSAQIDNSTGEVVLFLDAHCEVNTNWLPPLLAPIKQNRKVMTVPVIDGIDSNSWEYRSVYGSPNAHHSGIFEWGLLYKETQITERESAHRKHNSQPFRSPTHAGGLFAINRLWFKELGYYDEGLQIWGGEQYELSFKIWQCGGGIVFVPCSHVGHVYRSHMPYGFGKFSGKPVISIVSLFCALIWKCLNKAPDTVNITHYLYNVSMCWQSSLRLLLPAGLAACISPIAAARDPRAYPDPDTFNPDNFDIDAISGRDPYAYIPFSAGPRNCIGQKFAILEEKTVLSRFFRKYEVESLQTEENLRPIPELILRPYNGIRIKIKRREAADYVVL >CRE18948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1490881:1492483:-1 gene:WBGene00057932 transcript:CRE18948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18948 MHRSLTAFSLLLVALPQATAFLFGGGCCGAPVMQSPCCGGGATSWAYAPPQVIGYERIPLYAKVPMPAPPTYYYPSAPPPPPPTPPMYQTPYYPTQSAPPMDNFAPGPATPTVSSVSTPIRSVIPPPQDKYDDGDVIVQPPPQGYASQPAAPQGYQQQPQQQFIGQVEQGVEVTPDQINSGYQPLQQQQQGQFSSVADSQIPSNYGSQSSFGSQQSFGSQQSSSFGSQVSGAVENVANNAGFEAAGIAPSARRLRKFKN >CRE18947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1486278:1486838:-1 gene:WBGene00057933 transcript:CRE18947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-21 description:CRE-FLP-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LKD3] MRLFILLSCLLAWVLAAPYIDQEDALRVLNAYLEQFGPGADKVYYVAEDDHGSMKRGLGPRPLRFG >CRE18624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1478441:1480737:1 gene:WBGene00057934 transcript:CRE18624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18624 MQTEDVYKSGIRKNLNRVLRELTDDGKLDFESVPYQNLKLEIGKKDEELTEMLCEVLMETSSRSGCADRYIVLQLISDFFMSSRLFRNTILDDPTEFLELMVETNPIRNPLPGSKEDSNKLKAEAILVVKKWEEKYWQSDCRMKCLVVTLKKTKFVDYDNLNKKIEEERRRKDLMEERKAMIIERTLSLYKSKFNEIKEDVERLKMELETTMQMLVPSYTDSDEHSALLPSEIPSTSSTSTKSLEIVISDLAPIIKVSPENDAIVETFLGAKLLLIHRVQTLRKIAKRLIPLKEPGESLAQKIINYRDSIKQLVLKADEIRIKEDKNKAKSMKQKKEKARFDDDFIDVEISIDDILMLQYAEKIDEDDEDISEIQKVEKKKEKKFKEEPKLKSVPFGLDLKYWGEERKNVEVPKNNADCHRFWRSADERYYSESVRIKFFFTVSGTVHQTIYTQRQFTFVGEAPKIDKECRAKLGNGYLCRRKDLHRCPLHGKIVDRDAAGIPVNPEDRHNDNERNERKRLKEAEEYSKKIMKDYESKTKRKKKYEVESTSSQDIRSRLQRKLLDPKTIQRVSADITSSQKNRLVKNFSHQFSHL >CRE18946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1473421:1476723:-1 gene:WBGene00057935 transcript:CRE18946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xpo-1 description:CRE-XPO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKD1] MEVLAQAKLQFAQNDRIDVNLLDQVVRIMNQMSGKEQAEANQILMALKEDRDSWTKVDAILQYSALNESKYFALQILEAVIQHKWKSLPQVQRDGIKSYIVSKMLELSAEQSIMEQNQLLLHKMNLVLVQIVKQDWPKQWPTFITDIVESSKTNETVCINNMNILSLLSEEVFDFGSQNLTQAKEQHLKQQFCGQFQEVFTLCVNILEKCPSNSMVQATLKTLQRFLTWIPVGYVFETDITELLSANFLSLEVYRVITLQCLTEISQIQVETNDPSYNEKLVKMFCITMKHISSVLSVDLDLAAVYKDASDQDQKFISSLAQFLVAFIKEHVHLIEVTEEVIPENKRIVREAHDFAIQILLKITMIEEMEVFKVCLDCWCWLTAELYRISPFIQPSSLYGMMSTNREHPRRRLYREYLSALRTTMISRMAKPEEVLIVENDQGEVVREMVKDTDSIALYRNMRETLVYLTHLDNKDTEMKMTEKLASQVNGGEFSWKNLNRLCWAVGSISGTMIEEDEKRFLVLVIRDLLGLCEQKRGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVINKLFEFMHETHEGVQDMACDTFIKIAIKCKRHFVIVQPAENKPFVEEMLENLTGIICDLSHPQVHVFYEAVGHIISAQIDGSLQEALIMQLMEIPNRTWNDIIASASTNDSVLEEHEMVKSVLNILKTNVAACKSIGSAFVTQLGNIYSDLLSVYKILSEKVSRAVTTAGEDALKNPLVKTMRAVKREILILLSTFISKNGDAKLILESIVPPLFDAVLFDYQKNVPQAREPKVLSLLSILVTQLGSLLCPQVPNILGAVFQCSIDMINKDMEAFPEHRTNFFELVLSLVQECFPVFMGMPQEDLSTVIDAVVWAFQHTMRNVAEIGEFIHKKEKNMRTNTKSYWLLLFNGL >CRE18623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1471932:1473248:1 gene:WBGene00057937 transcript:CRE18623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18623 MSFSRISTAAVDTAVLGEPLIFRHGKKANNRIMKSPLSEKMYNWKQHNLETLGLPTEEIINLYSHWGQGGYGIILTGNLGVDPKYVGEAGQGLVTLENTNEESRKLFAKQAQVMKATGALAIAQTNHVGKLARENYTNELGQKHTLVESAKNPLEFSVEEIEENIFKRFAHAASVLYESGFDGMEMHSAHGMIFNQFLVPENQRNDKYGGSIENRTRLLLDTYKAVRGVVPESTGFLVGVKLNSRDFQECGMSREDIIKVCQLIENAGFDFVELTGGAMESAIKEAQKRQTTIDRENFFLDFVAYTSEIFKETVVYITGRWQTANAMVSSVNMGLTKGVGLGRWSCSEPEFPRKLIAGEVFSCPDYKFTPSEFGQMKNAAHWQMKQLGDKEYDSNIR >CRE18622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1470088:1471598:1 gene:WBGene00057938 transcript:CRE18622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18622 MPNQRYDAECVDTKVLTEHIHFRNGRVALNRLMKSPMSEKIYNWEDPHESKRGVPNAGLVHLYEKWGFGGFGIIFTGNLVIDPKHPYEAGQGIVSKENDSPVMRDWYAKMARAMKANHALAIAQLNHPGAWAFNSYTDGSGRKHIISDGADDILNAPKSVVKTELIDRVVYAAKLLSNCGFDGIEVNTAFGNLFCQFLGNNNKRTDEYGGDSLVKRTQFHIDLLNAIRKEVPAAGGFLVGLKLNSADFQNNFSNDEVSRLCEILEEAGYDFVELTGGQMEQCVQEAQQRASTIARENYFLEFIETVAKSFRKTVVYITGGWQTASGMVNAVKLNITQGIGFARAAAAEPDLPRKLLSGVAHATLDNKFSPADYFTSKHAAHFQIKTMAGRSINDVVRPTDGLPDFTDEKEAKNFAEKAGEYMKFVATDGKPDTFAEVIKYTPIHS >CRE18621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1467660:1467872:1 gene:WBGene00057939 transcript:CRE18621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18621 MQDMTKPADPKDTRRPPKVVITQPSSNDLMPRVSIDTVDSYHREVSKSKCCTIL >CRE18944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1462111:1463222:-1 gene:WBGene00057940 transcript:CRE18944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lbp-4 description:CRE-LBP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LKC6] MSVPDKFFGRYQLDKSENFDEFLSSKGVNWFVRQMIKLAGLTKVISQNQEVGKYNMENLTSKKNTNYQGWELGKTFEAPGLDGNQHQITFDFKDEVLSEHHIRLNEPETSAETYFYTIDDQNQLVMKMENNGIVCRRWFKKIEQK >CRE18943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1450140:1456385:-1 gene:WBGene00057941 transcript:CRE18943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-6 description:CRE-MIG-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LKC5] MRLLLISAALLLCSVPTWAFSLSSFFGSNAPQKPYLHPNSPPEREPSHRMKRQAYQVYVDGDVSVTVDKSGQKETGNWGQWVPENECSRSCGGGVQLEKRQCSGDCTGASVRYISCNLEPCEDGTDFRAEQCSKFNDEALDGNYHKWSPYKGKNKCELVCKPESGNFYYKWADKVVDGTKCDSKSNDICVDGECLPVGCDGKLGSSLKFDKCGKCDGDGSTCKTIEGRFDERNLSPGYHDIIKLPEGATNIKISEARKSTNNLALKNGSDHFYLNGNGLIQVEKEVEVGGTIFVYDDAEPETLSAQGPLSEELTVALLFRKGSRDTAIKYEFSIPLEEEVDYMYKFDSWTPCSVTCGKGVQTRNLYCVDGKNKGRVEDGLCEENNATKPEFEKSCETVDCAAEWFTGDWESCSATCGDQGQQYRVVYCHQVYANGRRVTVDDGNCTVERPPVKQTCNRFACPEWQAGPWSACSEKCGDAFQYRSVTCRSEKEGEEGKLLAADACPTDEKEKFDTERTCNLGPCEGLTFVTGDWNLCTRCNDTEETRDVTCKDSQGRAYPLEKCLVDNSTELPTDTRSCATQPPCVYEWTVSEWSKCTTTCGHGHKTRRVICAIHQNGGLEVVDEGHCQAEKPEGKTNCTNEEKCTGTWYSSSWSECTAECGGGTQDRVAVCLNYDKKPVPEWCDESVKPSEQQECNVDACPTCGDSEFGCCPDNTTFATGEFNFGCSNCSETEFGCCADNVTLATGPNSKGCEEFVESPLNLEADVAAADDEASGDAPEGLCSVTNENGDAADVECATIAPITALLDDVFGNDTDASNETLHCSKSEFGCCPDWFTAASGKNNEGCPSFTLGGCNETQFGCCHDEVTLARGANLEGCGEPSCAASLYGCCKDRKTIAFGPHYSGCERSSFPCELSDFGCCPDGETAALGKNGTGCGENCLTTKFGCCPDGKTTAKGSHNEGCGCEFAQYGCCPDGKSVAKGAGFYGCPESCAQSQFGCCPDGKTRARGENKEGCPCQYTRYGCCPDGETTALGPRNDGCDNCRYAKHGCCPDGETKALGPEGAGCPATTTPPFLMGGTVAPHKIVACNQTQESGTVCGSGYKLAWHYDTTEGRCNQFWYGGCGGNENNFATQEMCETICVEPPSKGRCYLPRVDGPLRCDQLQPRYYYDHSKKHCVAFWWRGCLGNANNFNSFEECSMFCKDVGPYDEPTTAAPPPPQNIQQRPQQQQQQQQYAPTPEAQQIDIVSDDQSQQQPQQQQPAPPQQPRQSMEDICRSRQDAGPCETYSDQWFYNAFSQQCETFTYGGCGGNLNRFRTKDECEQRCFFVHGGQPSSRQSEAQQPAQQAASNIVSPPQQSSSPVVIPSNNKQREVCHLNVDQGRCKGAFDSWYYEVATGSCVTFKYTGCGGNANRFASKDQCESLCVKPAAEAESGSDGPAGSNSVCDESKDTGPCTNFATKWYYNQADGTCNRFHYGGCQGTNNRFDNEQQCKAACQNHKDACTLPKVQGPCSGKHSYYFFNSASQQCETFVYGGCLGNTNRFATIEECQARCPRK >CRE18620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1447386:1448321:1 gene:WBGene00057942 transcript:CRE18620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18620 MIPFQPTSDMYCLRLLLLSLFLFLTSATADDLDESVLNDVHRILQKRSILDNVALDDPRAQCRNGGIYAGGICHCIKGQTGDHCEHFECVKGLSVGFRFDPESLLFNEPCICESGWKGEMCDYQPAEKCGNKGEWKKDRCECVGSYFGSECQYTSRCIEGFLRNGRCICNDGFEGDYCDKIICVYGSPDFKNWTLACNCPDKFAGRRCEQCKKHGPLIEPFPHCELDPSKKKHIEKAAEHRAKVKKGVREFKL >CRE18619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1446733:1447250:1 gene:WBGene00057943 transcript:CRE18619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-33 description:CRE-TTR-33 protein [Source:UniProtKB/TrEMBL;Acc:E3LK92] MSQLLCISSIFLLFAASEAVFTQSAGVKGVLMCGDKPLANTKVKLYDDDTGPDLDDLLAEGTTDSLGQFLLTGHTSEVMTIDPKLNIYHDCDDGIKPCQRRVTFNIPKSFVSSGENPKTFFNIGTINMQIEFESESRDCLHRK >CRE18618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1445545:1446286:1 gene:WBGene00057944 transcript:CRE18618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18618 MTIQRLLLFLFSTILISVCADNMTWSEKQEYCRIGSNDLNTCETCVGKGSNCFWCGGKTKKCMPFDWYYPDCNIKNVKYNVCWVSTSAAAIVIAICAGIIAVILIACLCYCCCKCKEYNRIHKKAKAQKWNEKRMTAQREMDERQSVRSDQRKAELEAYRMKYNIPAKSADDGKV >CRE18942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1443170:1448994:-1 gene:WBGene00057945 transcript:CRE18942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18942 MLPEQRGSCYDNILRWKYDSERSQCVSFMYSGCNPNANHFTSSETCERACGKWRNVAVCDMPVERGDCQLAVPRWYHDSKTSQCKMMMWTRCGGNGNSFSSKADCESLCRVETSWTNTSDFCSLERSAGPCTDSISMWYYDSKDEDCKPFTYGGCRGNQNRFVSKDQCQQSCKETKIEEVCTLRPEPGPCRMAMEKWFYDPVTQSCHVFHYGGCDGNANKFDSELDCFRQCSSVKVEAGEERMGQLTSASTPVIYIVDKAPLFVGMTFRIRCNGYGVTPITWYKNGGLLQFGSRITEENDDTLEIVDSLTSDAGIYSCIAGQESQMSDGVEVVIKRANGVIQTPPPMLTPSPNFSMGTPPTLPPTTTRPSTTVAPRIPPPRYTTVSVSDAYSRRPTTKACVDVGNTSTCDLIVKNGLCEKKRYGTFCCHTCTKVHNFKF >CRE18941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1441455:1442860:-1 gene:WBGene00057946 transcript:CRE18941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grd-8 description:CRE-GRD-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LK89] MTSRLPFRITLILILLISNSPTAQRNRRKQKFVRLPSGFTFPADAASNFQRDSYIPATFAPPSEKILQAPPRYVTGDHEPSGGGASSQNSDSHGINYAEYKKVMQENYPAPAAPVSEGTSHNYQASPPPVAATAPTIPPVTVVESPGMPPDQATTIAPGPATTAATMGLRKENIGGIAQNLNNRYSSLSPENQQAQRGHTYTALGGGQFYQSLLGGKGGFSPLSFFLNGGLGGSGGHNNGFFVPVPVVIPPPPPPPPGPKCFTNPSGFLCCNVTLEKTMEEAYIAAKAEGVSSCNVQKMATAVQAQAEKKFGTTFESVAAHGDFVAKINFAGDLNCKIEIDGKFIMAYATPLDEQEVNIVDASSFFSGSADQDLEGVNGTKPTYIVYGPIK >CRE18617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1437180:1441119:1 gene:WBGene00057947 transcript:CRE18617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-memo-1 description:CRE-TAG-253 protein [Source:UniProtKB/TrEMBL;Acc:E3LK88] MNQRSGLIACPYIFFLRNASVIGGSKTTRGGPTRRRRPAQDQRGPPLQQHHPPRRDTSEANKSTCVTVFSFQAFILRVFQSSLALFLRTFGLLFARYLTFILPTEAINMSINGFGEHVRSASHAGSWYSGNQRDLDRQLTKWLDSAGERFGTARALISPHAGYSYCGETAAYAFKQIVPSAVERVFILGPSHVVALNGCAITTCSKYRTPLGDLIVDQKVTEDLRATRHFDLMDRRDEESEHSIEMQLPFIAKVMGPTRRYTIVPVLVGSLPGSRQQTYGNIFAHYMEDPKNLFVISSDFCHWGDRFSFSPFDRNSNLPIFEQITNMDKQGMAAIETLNPTVFNDYLKKTQNTICGRNPILIMLQAAEHFRLSNNHTHEFKFLHYTQSNKVRSPSDSSVSYASGVLFVHPK >CRE18615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1435218:1436636:1 gene:WBGene00057948 transcript:CRE18615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18615 MRTHSKVQGASFSRFGVNSNFPVNVILMQPTTSHETFIDRSDYVDFESTSKLRNWPICVGDKQYYVDSSIFSRNSDYFRILMGNSFFIEGAVRQLKILDESSKDILTLITAISPNSLGLYPDAIDERNVCTLLRLCDKYLMTNLKHNCIDFLREYRPNTQEPSEVFHLFYQLCFSLNAEYEHDPTLADAAVEAVGDTKNKLSKNLSCFQMFSCLAVLSDPMNIAEFHKFLLKLQRKSNDKDSENVKRVADSILHGGAIFRHRISFNEEVQGLGCHQCSMRPPVRQSRSKNRKPFVLSLCRSCNREVCVQCRRSLCSRLFEEWINELRS >CRE18940.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1431318:1434024:-1 gene:WBGene00057949 transcript:CRE18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18940 MALNVNRAVADPFYRYKMPKLSAKVEGKGNGIKTVITNMFDIAKALERPPMYPTKYFGCELGAQTNFDAKNERYIVNGEHDANKLQDILDGFIKKFVLCKSCENPETQLFVRKSCIKSKCKACGCSFDIDLKHKLSTYIMKNPPKIDVDFSKAEEKNGKKTSGADAATVNDISHNNSDKGSSNDDDDDDWEPEPVEPNGMLSAGMGKLVLDKDLEKSEEQRLDMLHNFFVKAKDEGRISDAKGQNGLRDEAERLELKQKASLLLANVLFDEKVVADKQISAHRNLLLRFVMNDKKAQRYLLGGIEQVIHKHNDELLSKSAHIIKSLYDSDICEEEVLLAWGEKPSSKYVAKSFAKKIIENAMPVLTWLKEAEEETEDESDDEIAFGGEVKESEFLRQQREQAAKEEQQKAASAAKVSNGAAAPADDDEDLDIDDI >CRE18940.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1431318:1434032:-1 gene:WBGene00057949 transcript:CRE18940.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18940 MALNVNRAVADPFYRYKMPKLSAKVEGKGNGIKTVITNMFDIAKALERPPMYPTKYFGCELGAQTNFDAKNERYIVNGEHDANKLQDILDGFIKKFVLCKSCENPETQLFVRKSCIKSKCKACGCSFDIDLKHKLSTYIMKNPPKIDVDFSKAEEKNGKKTSGADAATVNDISHNNSDKGSSNDDDDDDWEPEPVEPNGMLSAGMGKLVLDKDLEKSEEQRLDMLHNFFVKAKDEGRISDAKGQNGLRDEAERLELKQKASLLLANVLFDEKVVADKQISAHRNLLLRFVMNDKKAQRYLLGGIEQVIHKHNDELLSKSAHIIKSLYDSDICEEEVLLAWGEKPSSKYVAKSFAKKIIENAMPVLTWLKEAEEETEDESDDEIAFGGEVKESEFLRQQREQAAKEEQQKAASAAKVSNGAAAPADDDEDLDIDDI >CRE18939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1428904:1431011:-1 gene:WBGene00057950 transcript:CRE18939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18939 MGTRRVRRKDGEFTLFYRKVSPFSNHHPAEFDCGESINFEETKHFSCSEQYYMYNKASLLEDRQLMKSVLEADNPRAMKSMCSKGALNGWSDSVWNEHKENVMYIGSCAKYRASQHLRYALFLTTGSKLVECAPFDNVWGIGIDLKEAVSNESVSIRSGMNLLGKILDRVREELWEDKEYQDERKEVERRLNQDKYYLMNAMKHIDLIYKDRATRRYLMSKGHMSSDDQSYLTTSVRSLFPEWALPPPIEKDEPIRPSPPQIINFPRTPPIRSTRSITPPPKESRLFGRLSVGDTRRRESRSSSRFTWERSMSRSRSRSRSRRRQNRKRSRSRSKSYERDRKRRRRDSRSKSRSKSPKDRKRSEEKEERKHRKKKKSHKKRDRSRSRSRSRRSESKEERRRIRKERKRAKKEKKERRRKRRTSSTSSS >CRE18614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1428015:1428736:1 gene:WBGene00057951 transcript:CRE18614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18614 MKSFSFSLIAMIFLTCIQKTSTYTFPNTHIDWCLYFQRLQIYKPECKFYDDVDLHVEREIRAKPISQPRQYSLIQMQMQQCSAHYMYCTANYQCGDGQLRCIDMIRYRECCAPTRRECPPVTHLNFRCIVSEPVSWCDEDSDCHTTPQQRCCPTGCNYNICI >CRE18613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1426426:1427252:1 gene:WBGene00057952 transcript:CRE18613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18613 MMAANSIVVQKPPSTYMCSFSLYASTVIMAILQTVLSALLAVLYRVKIEGDSVIVRILFWIHVSCSVSALLFSLFCLAKRKIGSTYEVVLHGYLLSVLINGLTALFGVLYVPLFFLQTSHSLMEGLDYFICFSLSGVLLFLQWAVKQVTEQMLPVMEHDFKV >CRE18612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1421291:1422917:1 gene:WBGene00057953 transcript:CRE18612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nipi-4 MDHTQPPSVLNDNCSASYMTPYATVIAMSGLYLIVIYFFCKKSKKMCQPMSDSMYPYQKRLKQLERELKNFLIDEESIEVDDYQIGQTADGFIFRGGVFPKTRNRFNAKVTTAVKISFPIVTKSISLLEDALRLSKLDHPNLIRLLAVSQLSFTVFRPMIALEWLPGGTLADYFIYKIREKEDSDRSPIQLKDMLSILYQVSQALKYIHSRLDEFGQELTHGRILTRNVLISEPDLKKCEVKLGDFGEAPSGLEYATPIVAYMPPEILCCAEKIPPHRPENDVWMFGVFIWECLTLGAQPHFRKSVEDIKKSFRLPDRGLSCPPTCPLDVWTLVIDCLSDPHVRPRFASTTNASITMRLSELHHIVSPALFLYPIPNQSVCTCIEHHCQSIPQY >CRE18938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1416235:1416870:-1 gene:WBGene00057954 transcript:CRE18938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18938 MSRMDSYSASIREEQKAILNGLLGIIYDNYDTTRKIQQTVDGIYRMVVALLLIVIALIIIAIFLVCFFHCRRANEERSPLHSNRKSHPIEI >CRE18937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1414436:1415353:-1 gene:WBGene00057955 transcript:CRE18937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18937 MVVLVTRSLLPALFFASRAPFAVTTTASRVQRGLATMAAEAFTKHEVVPDVLASNPLSKVVSVKFNSGVEANLGNVLTPTLVKDAPEVKWDAEPGALYTLIKTDPDAPSRKEPTYREWHHWLVVNIPGNDISKGDTLSEYVGAGPPPNTGLHRYVYLIYKQSGRIEDAEHGHLTNTSGDKRGGWKAAAFVEKHGLGTPVFGNLFQAEYDDYVPILYKQLGA >CRE18936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1412027:1413726:-1 gene:WBGene00057956 transcript:CRE18936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18936 MLRRILLSTILIVLVASVLRKKKPCINGTPEGDRCFCVEGWTGTLCHRKMMCAGFERLQNGSCIECQLGWAGADCDIIDCHGNGMPNYDLTECTCTVPYSGKYCEIADTKDIYKWYNNFTSSIGPIGILTIIPLALIYMCCEHFAKKRQLRRVEQHLNGVYITSGRKAVDKELVKGLLEASDDEKSVKSVKTNNEEKEEKDKDGGQNGE >CRE18611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1404173:1405373:1 gene:WBGene00057957 transcript:CRE18611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18611 MSVQQSAFAYPTRSPTQPCKFQMSEYLGWRNRKPGLGRDRSSDFDRDVIPGGAHTKSYESYVRNIFHPTNRLKPRDKEQLVRDHGLSATSILRAEREFGKHALDEKYDREHFFEKMPLENLYQELGIKNPASTNYRSFQVYEPKSSSEYFAATYEAERERRKKDLDRELASYDKEEYEEYTGEPKKNLYSSLSSYRAPLSSYKTRADEEYEKNNTTTLIDYKDFASSPLSPYLYQSHPYVRSQDSRIIGSNFVQLTSRPKDKFLSKIDETLAMVRDMPRY >CRE18935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1401805:1402203:-1 gene:WBGene00057958 transcript:CRE18935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18935 MPVWRNAFTYVQVPGQLNKTQQLRLGLTGYDSRKTQVEAFAPLKIDVDWRWQITRDGCNNFVVRMSAMSMINEKMRPKLERFCRLFDKDHFVDCTNL >CRE18610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1397929:1401453:1 gene:WBGene00057959 transcript:CRE18610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18610 MSANFSHLCLTDEQQRMSGTTLEYNLQRYFYPALAIFGILGNVLNLTVLLNRSMRSRANSFLAVLAFADIIFLFLLFPNILANYSFFTFNWYFRWFYLHSKVHLISLANWCSSVAHWCVIAVCADRLLGIQNPLYARATWRWWKLPLVTTVIVFTCGLLTCYQHFEYFCLVRSYCRETQLYSRCLPVNAEKWFGHRPNPFSDRYQSFIAMCKLAHIFLMIILPIILLLFLNLTLLWALRKRQKHLSIGKDFNADRRQNDVHMQKTEHRVTLTVTFIVTMFTLTNGPSALVHLVMYATHEELYDLTMISSTLVICGKASNFILFCLGSKHFRLRLLKLTRKKINRKIDSITGSLVHTTKLSTASSRRTSMPVQEKKRSSSCAPLVAKNRALSTVETIYQPLLNGTHRKGDL >CRE18934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1375547:1379727:-1 gene:WBGene00057960 transcript:CRE18934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tam-1 description:CRE-TAM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LK75] MDIPGTSTNDTYQQWAHVVECPICYTIYDKPMQMGCGHTLCSTCIGRLVEQVKTNMAEELGRLPPQMPRHPQMDDNGVLVGLGGQQDQFEEQQFVEIPNLDPRANHNRVFPNIIGFPPPRRREAANGATEIKCPECRKPTIVPVDGLPVNYRVQEIVQKVAPLFKDRHLVKLCTQCNEIFGQGVYFDCTQCEEKGCKICSTCAIRLHNGHRLVEKKALTSDDVRLMKQKINEASVRAFGALESIKPQFEPVGGTIEKKVVDRLESLVKIFDFMLNSFDSKIKDTSTMDELMVEVQKAEHVAETYEKGRKTEELMAAIERAVEEYMKPNSNKRWTFKRMFLILLLHLLRLQLLLLLFKHHXXXXANVGIASFPITSTSKKCPDAEYAARMNNMMQQNVPPPPQMPNFPQQQPNQQNNQNHQHMHQRHAQQMHQQVIRRGPAPQEMMQLGPQGVPQGMPAQMRHNFHFHPQNIQHMHLQQQQQQHQQPHQQPQQPQQAQQHQQQQQQQMHQQLQQQLQIVQQQQQLHDHIQQQEQQQQQQQLQLQQQQQQQQQLQQLQQLQQEHDQQMQQLAAQPPQMPEPREAGWNNEIPEENEARFAIFEDQGPVDAEEEEEEEEPNIMAMGNPIHPHAGNYGENVVIPGLPFGDNERIHHLQVVDVMGGADLNNIEPHEQFGDGEDVEGVEEIGGIQEVDDEQNFVQFQDMRQVVDAIQPEFDQQQEDQIIEEVEQEQEQQDNDVVHVPINRENEEVPEEDEEENDVVEDEEYRDAGARRAQRRELTTPPEVENRRTTRRSMRNSHGPEEPSTSSGVSVAEPSTSDSQSSQDSTSSATTAKRRKLDKKIKIEEPEPMDADEMADPVPRAPKRRAASGTSSSSGTSSSAPTTRSQTRS >CRE18933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1373706:1374906:-1 gene:WBGene00057961 transcript:CRE18933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18933 MFNWEDILLTVQITSFFIAIINNSILINLIINHSPKDIGAYKYLMLLISIFEVIYAVLDLTVQPIFHSFGATFTLIVNTTNSGLDKRVWEILAVVYCGFYGSSMAIFSIHYAYRYWVLIGKERNLSWFKGRKVLFWLIIPFSIGLIWAVVGYFPCYPRASTNDYLRESVLMKLGLNIDRIVYFAPYFYEKNEFGQNVIYWPSFVGIMLDSLSINLSLLVVGYYGVKCWNKMSRLLSTTSIDHQNIQNQLFYSLVAQTVIPIFLMHIPALTMFMFSFLEMDAGHLSGFVSMSIAMFPALDPIPTILIISNYRDAIKRFVLIRYQKLGKTKLCRCLIFKKKSIHPSVSSSNVL >CRE18932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1372511:1373573:-1 gene:WBGene00057962 transcript:CRE18932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-205 description:CRE-STR-205 protein [Source:UniProtKB/TrEMBL;Acc:E3LK73] MLSVSETIQTEVQKACTSIALFLNLLLIYLIINKSPKELGAYKYLMIFISVLEVVYAIVDVLVCPIIHHSEFTFLLITRLESAWFGPSIQLALSGIYCGLYGSCMAMFGIHFIYRYLVIKGNKMLKTFRSWRIFLWFSIPVIYGVVWGSIAVLFCGPRGITNRLVELDILENLDLRIQDIVYIAPYLFDDDHEIYWPTVIGLLVDCMIINSSFVTVLYFGVKLFNELRVYTEKNNNLSDRNKTLQTQLYYSLVTQTLIPIILLQVPVTILFITVFFSANFGEFTSLVSMTIAVYPAIDPLPTMFIVTSYRNAIVGKN >CRE18609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1370702:1371779:1 gene:WBGene00057963 transcript:CRE18609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-19 description:CRE-SRH-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LK72] MDCLQPPPTIFRILTHSIHVLSVPAYFIALISLIFVKSKVFTTYRFFLIWHVIENLCFEVYASFLIVPTVHPPFTLIRTTGLLSQFGISQMFQFYAFATAIEFNGLSVSEMFYFRYKAGLLNYREHRFTYYLRTFVYLTRFIAIFNICFCIFTIHDASEFQQNHKTVIFHNNPSLSFLNCSNLYLVIPFADYVSSIILTTWIVQTASLIASVPGTVVYISHNVPKSISAATWKIQQQLLLSLIIQTAIHEIMLGIPNAMFIYALFFGFTNEYIAYSSFVCLTYHGFVSTFAMIVFTRPLREYVLSAMKIRKASIGTGIVTSSKTTG >CRE18608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1367989:1369778:1 gene:WBGene00057964 transcript:CRE18608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18608 MFGCSQPTRNVCQLGIVFFFNFLSFSTLAALSQTIIESVAESEGISRHAGYYSGFVSYLIFTFGHFVSTPIVEIISPKWSIVAGLLGYAMYEASYIWINEYFLYISAAVSGFAGSLMWTGQFDYLVQNCQPQTLDRNSATLWGISTISSIVGGIYLLILYRFQTGNHFDMPLIRLVVGPFLGCTLLSTVIGCFLPKPVYKAEKYKLSYFKHLSEIVKISFDRNLLFLLCTFVYTGMEFAYFSAVFPTMVSFTKSLGNTRNLNAMCSISAGTGNVFGCFVLSTLGPRVREIGRKNMVLLGAILHLTCFVITFLMFPDDAPLQPTDGFGYFEPRPYIVLICGFFVGIGDTIINQQCYTILSDIYEHDKRVEAFAVYRFYQSLASCIVMFYSAHVLLKTHIIVLTIFCILATTTFFGIRIPDRCPVSPSLQTLETKGSEQEETLIA >CRE18607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1366357:1367506:1 gene:WBGene00057965 transcript:CRE18607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18607 MLPYDLFESHTFPLNPLQMNCLEPAPTTFRIFIHSIHLISVPTYLLALVSLIFIKSNVFTTYRIFLIWHVLENFFFEFYSAFLVEPVLHAPYTLMRTTGILSKVGIGSLAQFYMLALAIEYNGTSVSEMFWFRYKASIMHYKEHCFTYFLRIFVNFTRFIAVFDTVFCIITHQEALLFQSEYKSALLKLNPLDTFLKCDSVYLVVAFKDYISSIYVAFWIIQSVVLFISVPGTAIYINFNIPKTVSEVTWKVQQQLLRSLIIQVSIHGIMLGIPNTMFIYSFLFGYETETYAYAAFACLTYHGFASSLVQIIFTKPLRQYLLTICKLKKQETSKTSVTSFNKRTSVF >CRE18606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1364574:1364820:1 gene:WBGene00057966 transcript:CRE18606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18606 MRVFIIATSMIAAASAFLFPSGGGGGCGCAPPPPPACGCGGGAPPPPAYAAPPPSGGYAVAGK >CRE18605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1363051:1363422:1 gene:WBGene00057967 transcript:CRE18605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18605 MRVFVIATSMIAAASAFLFPSGGGGGCGCAPPPPPPACGCGGGAPPPPAYAAPAPAYAAPAPVGGAYAVGGK >CRE18604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1359063:1359360:1 gene:WBGene00057968 transcript:CRE18604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18604 MRFFVIATSMIAATSAFLFPSAGGGGGCGCAPPPPPPCGGGCGGGGGGYAAPAPVYAAPAPSYAAPAYGGPVGGGSYAVGGK >CRE18931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1356831:1357716:-1 gene:WBGene00057969 transcript:CRE18931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18931 MNPTMSAAPPDYCETVDETITANSKDEMPPVYVMDVNNLTWQRSFPPTYDDEERQFDEPLRSIEAEIDSEQTRMYRCGPCVLSETKSFLLCFFTVFLGLLILLPTLFFVTVLSKDQDPNVSPSI >CRE18930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1355963:1356429:-1 gene:WBGene00057970 transcript:CRE18930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18930 MNSTLLAILVSLSLVTMISARFSCGHDPIQSGFAELLVKNDCKGRMNKVDTCCAQHTSCYAKKTPRNVCDEAFCKCAKNAAKNLPLCNFQMDNFCNTAKNFGGFHFKG >CRE18929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1353844:1355439:-1 gene:WBGene00057971 transcript:CRE18929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18929 MRMHLIFALTAIPCLSASYSFEEEFGKSSASSDLLNPEFLMKAPESGRIEFFSIVDNDRLSQFEKSTLLTIWSQEFNVTNEHYEWAEKVKEQKEFVMQNVRAVVANISDVYSQLDSILVNITMPRRDQHSQIARLEVRALYFIAHHYRPESSAPIFGKEKLFGIPESTEQQYDSSPLADFLENVSRKDKTSFFNIVSNDNISYYEQNRQLTLWADQFNITVSVSFTLFFEKRLLQNKLHNWNEQVQEQKDVVIDNVKAVVANISNVFYQLEGILSNTTLNVEVRALFFIARHYRPESTSPIFGKEAGNGETSQNHTVASIS >CRE18603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1344897:1352817:1 gene:WBGene00057972 transcript:CRE18603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18603 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3LK63] MNLILCISLLLLVGIEVSGKNILIYNSVFGYSHVKFISLMANIIADHGHNVTLFQPYHILHNTEGLVKNKNIEIIDYFPDHYDELVNAEAETFPMFWDDPLVNHPILETIIAPKLISGAIERTATQLFKDQEVLNQLKSKKFDVVIAETFELTGVYLAYLLDVPCIPIMPAVRFTNTQDVFGQPSLLGYVQQPGSKMAPEAGFLDRLNDVYRHVLMNLNTDWNSKYQNEIFEKAIGRPIPYWKDLVKQCPIYMTNSNPYLDFAVPTTATIVHIGGITVDLKKKKNVDPLPDDYEKILQERESTVLISFGSVIRSFQMPENFKNGIIKMFESLPDVTFIWKYEKDDVEFQKRLPKNVHLKKWVPQPALLADRRLKAFVTHGGLGSTTEVAYSGKPALMVPIFGDQPHNADMLARHGGAIAYDKYNLKDSQKLTSAIRSLVYDNKYKTNAEALLDVLANQPIDPKVNLMKHLEFAIKFPNHRSQVPAINHVGVIAHYYLDVVAFLSILAVTMLYLNVEGGSLYINYRTSASAASIAIDRIKREGLLVGYDFKFTILFDQCDENVAAGMAVKLFRDYNIDALIGPTTNIPAIPVFNLATYYNIPVITWGMTTAAALDDVNRYPTTGILSIGSRSLAVAFRSIMLEYGWDQFVYAYSLEGDDEKCETMRDDFQDMIAYYGDIVLSYTVQIKDQSEKGMLEVLKDVSTRGRIIVPCFHEGNSRGLHRRWMLVAARNGFVSDEYSSEHISKGYAIRQTDGSYRYPWVDSTGPQASDQEAIPGFQRSIFIVDMQGQGNVGSNYTLFEDEVIRRMKQSPYNCTDACSAPEYQIAATYAGQLHDSVYLYGMAMDKMLKTQPSQYRNGTAFPPYLIGTFTGVGGPVVMDDSGGRSPTLFVLTLSPNNSASLIMTIDVDQQEATIHKEYTNEATAVWYHRKGIRPLDEPVCGYTGSLCPANAFFEYIGLFITAIAVITLTILGAVLAFVFLFHAKRQEVERQNALWQIPFKSMMTVAKKGRGEHSMRSISSVPSTISSTRSSTLSEVGETKNYTFYQIQNDVELEKVAAKKYTSRIMFDSKTCANMRQMRLIDHANLNKFIGMSLDAPQLLSVWRFCSRGSLADVISKASLQMDGFFVYSLMKDIVNGLTWIHESYHEFHGMLTSKNCLLNDRWQLKITDFGLRNFRTHDQYNKADRLWTAPELLRNDDLVGSREGDIYSLGIISAELITRKSVFDLENRKEDADEIIYRLKKGGLQSPRPNLDHDESLEMNPALLHLVRDCWTERPSERPDIKQVFSQLRSMNTNRNDNLMDHVFNVLESYASTLEDEVAERMKELVEEKKKSDVLLYRMLPKQVADKLKLGQTIEPETFDVVTLFFSDVVGFTTIAGKCTPLQVVNLLNGLYTIFDGIIDQHDVYKVETIGDGYFVASGVPRRNGNEHTRNIASMSLAFVKALADFRIPHLSGEKINIRVGFHCGSVVAGVVGLTMPRYCLFGDAVNTASRMESNSKPGRVHISDEANHMLMTLGGFTTETRGETIIKGKGVMTTYWLIRMADSAAPKKPDEKTD >CRE18601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1340002:1341958:1 gene:WBGene00057974 transcript:CRE18601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18601 MHVNSLLFLLSIFGTCVFGKNILIINPIFGFSHVKFMTQMANVIADHGHNVTLFQPFHIPLKNMDGLVKNKNIEILNYYPDHYDELLNTESPTFPMFWDSHLMNNPVLQSVMMPRMIVKELQRTDEQILLDTKLHETLKNKKFDVAIAETFGISGFYLAHFLDLPCIPVMSAVRFQVFNELFGQLSSTGYIPQIGSKRASEAGFLDRLNDVYMKFFDKITVEKMGQLQNDNFENALGRPLPNWKDLISESPVYITNSNPYLDFAVPTTATIVHAGGITIDLKKLRNVGPLPEEYEQILQERDSTILISFGSVIRSYQMPDNFKAGIIQMFESLPDVTFIWKYEKDDVEFQKRLPKNVHLKKWVPQPALLADKRLKVFVTHGGLGSTMEVAYTGKPALMVPIFGDQPQNAYMLERHGGAIAYDKFDLQDGDKLTKIMKDMVTNPKYNENAHALLEVLSNQPIDPKMNLMKHLDFAIKFPKLRSQVPAISHVGIIAHYYLDVFAFLTSVAVVLAYCTFKLLRKIPVRLAAKKTKND >CRE18600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1337525:1339374:1 gene:WBGene00057976 transcript:CRE18600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-12 description:CRE-UGT-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LK28] MNVKFLISVSLLVLFNSTDASKILIFNPIFGFSHVKFISKLADIIAEHGHNVTLFQPYHNAMKNVEGLVKNKNIEILNYYPTHYDELLKKETQTFSIFWDSQLIGNPVLGAFMLPFVLGGEFKKTSMEVLGDKNLINDLKERRFDVVMAETFELTGIYLAHLLDVPCIPMMSTVRYPIFNGLFGQPSFLGTVPQQGSLGAPEAGFLDRLNDIYRFIFTGIAQERLNKYQNDFIQEAIGRPVPNWKDLVSQSPIFITNSNPYLDYAVPTTAAIVHVGGITMNLDKMKNTGKLPEEYENILKERESTILLSFGSVIRSYEMPENFKAGIIQMFESLPDVTFIWKYEKDDVEFQKRLPKNVHLRKWVPQPALLADERVKVFVTHGGLGSIMEVAYSGKPAIVVPIFGDQSHNAMMLARHGGAVAYDKFDLQYGQKLAGVITELISNPKYKENAKMLLEVLVNQPIDPKINFLNHLDFAIKFPALRSQVPAIQHVGWIAHYYLDALVFLFILAIIFLYLLFKLLRRLLNRFIGKKPKNE >CRE18599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1335913:1337071:1 gene:WBGene00057980 transcript:CRE18599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-32 description:CRE-STR-32 protein [Source:UniProtKB/TrEMBL;Acc:E3LK27] MAWFLFYPFAVQASKVVFASTVFINIYLIYLTIARTKRITGAYKYMIIIFSAVGILFTFMKATLHPYLHSHNSGLMFFSLEPDWGSFEAVEIALMVYTGVYSAMISLLAIQFVFRYWTLFNDEKLKYFNTWRCVVWFIYVFLIGMGWSFMIYFCAPSDRYSRNYMRDSLYDTYQMDVEKTVGFFVVVRSEDQSIRWHNVAFIAGLLLDLVIQYVIVIYCGTTMNQKMHEKLANFSVANRKLQEQLFKTLVLQITIPSIIFHLPFVPVLCAPLLNLQFDFESGMIYCLFSLYPSIDSVILMCVVHDYRAEIIRFKKLPSAISSSVFKRTTQTVTSVVMF >CRE18928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1332813:1335126:-1 gene:WBGene00057981 transcript:CRE18928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18928 MESQLGNVEIYPPSPPPPPRNDDDTKRGMLFDVPWIIILIQFIVILALVLGLFAFFLTRSESPTPSVYSTRTYQNFELLTISPSSSPLTFRRRYKSSTESPSTIDFDFSEDISPTIAPVPIIPIEIVTSTTKSHKSTILESESAHSMEIFENDENLRAAVFTSSQACSEIGKSILVRGGNAVDAAIASCFCLMGSMPNKASLAGGMMVTVKKKNGNVTTIIARESAPMNINIEEIKKNPELTHVGPKASGTPGVLNGLFRAFQKFSSNRVQWKHLVLPTVQQCNKGFDLNEDLKNRSNAPALASFFKANMRNNKMFCTGLATILTEISEYENPLDSFYRGELAQKLVKELGGYLTVSDLEDYESDMNSAICTDIDVDTKVCGPGPPSSFAVLANSYLATKSRIVENMSNLSKVNDVVKSSLGLTSKIADPMFVKSSKKYAEELSKTSKRTSAENKELNINFKENGSTEILVIDEDNMTVSVTLSLGDDFGSLIYSSSGFFWNDKMRYFDLKNDNVPLSIQPGKVPTSLISPIIVVKNNSVSLYILLNTDSIIRTFEDLTVLAVSGGSDLLGLVHLLRDITHRNYDASKVAPSLFVQNSAISLKSPSSNKYSITGY >CRE18598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1331150:1332682:1 gene:WBGene00057982 transcript:CRE18598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-33 description:CRE-SRD-33 protein [Source:UniProtKB/TrEMBL;Acc:E3LK25] MSFWRTVAAVVAPFTSDTYMNTADSLFVIIVTLLTAIGFCLNLLLLFLIIWKSPRSLTPYRIFLANTTITQLLFALFSITSQPRVLSKHQYTIVIYLGPVQYFGEWFSYMSYVGILHLSLNSFISLMLSMIYRFFSIRFHRFSMNVSLILCIIGYSIPFLIYASCSHIVTSSSISVNKAILDGLVENLESYHMVVSTNISNQLPLIILILAVTFGLIPIYFVMYWCRHQIHKTLKQTRSVHSPSTRDNARRLVHALTIQSIIPLVSVFPASTFWCLSQLGIVEPTAYSYFIIPCLSLGCIADPLVTIRCVLPYRRWILKLCHRTNSDMTTSNQDKSTIFQRN >CRE18597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1327849:1329967:1 gene:WBGene00057983 transcript:CRE18597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-32 description:CRE-SRD-32 protein [Source:UniProtKB/TrEMBL;Acc:E3LK24] MFVDIYDQLYISYASVVSTLGVLFNGFLLFLIFFKSPSCLTPYTVFLANTSITQLLYSLCFILTVPRVISINLRIVNIYLGFSQFLGHWWSYMIFTTMLHFAVNSFLSIMLSMVFRCISLKTLRFPTSGAFAMCILAYMIPLSMVISIRDIEITSNFTINSNYTLWQLENLDRYRTVVGTSVAQLSTLWVACCVSLLCIPIYSVMFYCRYRILKMLERPGYMFNTTTTLQIKRLVKALTVQSMIPVFTLFPASLIFLSTQFHVIETTKFGYIIISLLSLSPTIDPLVTIYYVQPYRKYIVDLLWFEERPIVSPFLSNNDPRFYRSRSNSVLMMRNTHFV >CRE18927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1322053:1325935:-1 gene:WBGene00057984 transcript:CRE18927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18927 MLVGCRIARQTISTTARRLTIQQQKRFESVNTTGMSSSTHTNTTPVVQSHFAHRPQHVSSQPSRSMEMDDQNGLPHYPSLLNVTHIDMTSRAEDIRAGLIDTFLNLERVDKNLYLARHLLKGRNSLPVVYGGQVIGQALSAATATVDVGFVPNSLHSYFVQSGNVDRPILYQVDRIRDGRSFCTRLVKALQDGEAIFTVQISFHRPEVDSIVHQLPMPEVPAPDSLEDLSDTFERIKKNANIPPAALAMIGFKQKEIPPAFFRIFSFRPVDIDSYLCLKKDDNHSAGHGHPTDAYRSYVWIKANENIGDDPRLHMAAAAYISDATMIETALRPHSKRGFIPSMALTLDHSIWMHTDNFRVDDWLLYENHSTIAGGGRSLIEGKLWTRDGRLVFSTTQEALIRAQKKPSIASQVSPAK >CRE18596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1320388:1321806:1 gene:WBGene00057985 transcript:CRE18596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nex-4 description:CRE-NEX-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LK22] MIYQMYESQYEDLRGTIYGPSSPDFHPVQASETLRSSLHGLETKDQTIINTVLYHNNFQRQKILGAYEDMYSRKLLEDLEEECGGFFFEMCQALFKPAPNYDAQCVYKSLSNRHGDRSVAIEIACTRSPRQLRALRDTYQTDYRKSLDKDITVKVEGVVGKMIGLLLCNNREEGLGVRLNDELVDKHVKILLTTTIDDIAKNVNLFEELFIGHSWKHIGAVLDKVDDARKDMQDIETVIRRNKNIHSEIRLILATIARVSRNIQIYFAEKLRAAMTAERVDQSTIIRICVSRSEIDLQDISLEYKRKYQRPLEHDICQTTSGEYTRMICTLLSGFNNMENSFAPTPESIE >CRE18595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1314465:1315720:1 gene:WBGene00057986 transcript:CRE18595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abhd-5.2 MTIFQKLQVLRFRLPYFSCPSKSQRLAEAEGRIFSALGIRYLARLIQIPFKNTEISTVTVNCEQPSPKTAYPIVLVHGFGAGVALWGSAIKRLAQFQTVHAFDLPGFGRSSRPKFSTDPETAETEMIDSIEQWRDKMNLEKMNLVGHSFGGYLATSYALKYPGRVENLVLADPWGFNEMDPEIMPKLTSRQKSIFWVVQQFNPLAVLRLVGGYGPTLMKRLRPDLALKYSEDVYDYIYLANSKNPTGEVVFKCLSENLGWAKQPMSKRFHALDNTVPVTFIHGERSWIDWKMTRTMFGELDHVESHVMESAGHHVYADDADKFAELVIGSLKEGKTKKGPTVIIAEDDVVTPL >CRE18925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1310510:1312689:-1 gene:WBGene00057987 transcript:CRE18925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-9 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LK19] MKNSFQFHFFMILLLYFLPFCSSQIIPELLAGGGPRGFGRGPGGFNRGFDEGFDGVGPDGPRGGGGGLGGLIGNIAANIGQQMGLNDADVIGDLRGISRGPRPSASEWGAKARHFCRRYPGHPKCQQGQLPQVTDVPTIINTIIYNAGDLLPRVPMLNIRDPLAGLNSELVGFIKSLQSQFGQLSSQQRNEIQNSCRSFKCDQQSSQNTQAKQELMSKMLQFDQAVGGKGAPAHDKVNLRFDRTQQVKQAMLKRANLSHIIVPADNGVFDRDVLLTEHQANFLLNELGEAGRGAEVPGGRAPRSGVFFSENPVQKWDIWKPIQYTLDDSLEESDKKDIRDALHEISINTCILFKYNATPTGYHLNYMKVDSTTFCGLSYVGRTDPANPIYLSFQCGDNRGVAMHETMHALGVSHQHLRLDRDKYIKIDWSNIDPQHYDTFAISDAKLYTSYGTKYAYDSIMHYNAYLGAKDPNKPTMIPLVNPQENTPKLGQRAKLTRADIRLLKKMYCRPGCDDQNVHCGTWALHGYCKLKEHMKWMNDNCKASCDKC >CRE18924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1308611:1309805:-1 gene:WBGene00057988 transcript:CRE18924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18924 MKIKFDAEIVGDYWTSCENRWNIELGKHRHKRLFMNPMAIGASLEEGYSQFENEHGSERLEGILAFILKTARFAMPLKEMIQSDFVCRRGLLRNISINKNSTTYISFYAVRHRGVIFLCEDKENDTPDKLRRAMYYSLKFEQLMTLPQTSKVTASKTHETKAVIQACLKKEGEEDVRIYYAAEIDCLNEAGSPVEFRTISKPLESGWDKNRTMAWYMHCFLANVKTVYVGERQRLCLRDIKSIDTENIYTHRTQPWDRESCIEHIFTTLTFVRHYMTQDGMALKFTAINGTNYVSTTEFGAYIVPENFLRHFPF >CRE18594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1305510:1308240:1 gene:WBGene00057989 transcript:CRE18594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18594 MGFASASREEKLKMMKTNKTRKTTKNLNRLAKRKKLSREIRDGMSDIKARKSADLRQRAVNIEDDFISDRQTHYENEDEEDLPLDMMDADIDWENSAFANAKRRLDRKRNGNDGSDSDEDNDMELKKRKFAGQLEKGHEELLPIKLKDGTLIRPTREKEVVEEEEDDEKSGDEEGEEDEPHREDFSHLSASELITKRRELLQEFKDTIASHCNMLLANPQVNIVRLRDLYNLCNGEKIHSLVREPVQKLALASTLQVLLDIVPGYAIREQTAEEKSQKQKKETRNLVNFEESLLRYHLKYLQLCEKLSNKLVGKDRHNDENTFTFKMGILSVKALARIVISAPHFNYSTNIISSLVRLSLAKNETVIREVCEAIKTVFKEDIHLRITLFTSRSISTLVTKRKGRVPPELLKTLLSMNINEVKDEDKKSGKDALIAKKYQIKKERASKTAKKYKKQLARLEADLLEVEAEESLTKKMKHATEAMKFAFQTYFSILKRMPTSALLEPVLEGLSKFAHLLSIEFYEDIVSTMENMVTNDNLKALDQLHCINTVFVILSGDGQLLNIDPSKFYRLAYRVLNHLPFEKRPEQRKNQIVMAAKTLETMLVIRRKAVPLSRVAAFVKRLLSIATVLDDFPALCIVALVRSMFIVSWLFEFFQTKHLFSQAHPKLSSMIEDEEGGAPGIFRQDIDDPDVANALATDVRDELSMLARRRNVELSRFANNILYGVPSTGIFKLNPQLSSLKPWILMGQLMENAKDAYDRVDCKYLDELEKTAKKRNQTVSPKNINFHISNWLAVSK >CRE18923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1301087:1303567:-1 gene:WBGene00057990 transcript:CRE18923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-6 description:CRE-HSP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LK16] MLSARSFLSTARTIARSSLMNARSLSDKPKGHVIGIDLGTTNSCVSIMEGKTPKVIENAEGVRTTPSTVAFTADGERLVGAPAKRQAVTNSANTLFATKRLIGRRFEDPEVQKDLKVVPYKIVKASNGDAWVEAQGKVYSPSQVGAFVLMKMKETAESYLGTTVNNAVVTVPAYFNDSQRQATKDAGQISGLNVLRVINEPTAAALAYGLDKDAGDKIIAVYDLGGGTFDVSILEIQKGVFEVKSTNGDTFLGGEDFDHALVHHLVGEFKKEQGVDLTKDPQAMQRLREAAEKAKCELSSTTQTDINLPYITMDQSGPKHLNLKLTRSKFEQIVGDLIKRTIEPCRKALHDAEVKSNQIADVILVGGMSRMPKVQATVQEIFGKVPSKAVNPDEAVAMGAAIQGAVLAGDVTDVLLLDVTPLSLGIETLGGIMTKLITRNTTIPTKKSQVFSTAADGQTQVQIKVFQGEREMATSNKLLGQFSLVGIPPAPRGVPQVEVTFDIDANGIVNVSARDRGTGKEQQIVIQSSGGLSKDQIENMIKEAEKNAAEDAKRKELVEVINQAEGIIHDTEAKMTEFADQLPKDECEALRTKIADTKKVLDNKDNETPEAIKEACNTLQQQSLKLFEAAYKNMAAKNSGGDAQEAKTAEEPKKEQN >CRE18922.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1297398:1299479:-1 gene:WBGene00057991 transcript:CRE18922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adss-1 description:Adenylosuccinate synthetase [Source:UniProtKB/TrEMBL;Acc:E3LK15] MTSSNKNVSVLLGAQWGDEGKGKIIDYLIENHKINVTARCQGGNNAGHTVVANGRKYDFHILPSGIISPTCFNVIGNGVVVNLDAFFSELAHNGILDESGWEKRIMISSEAHLVFGVHSQVDGRQEDSLAAKNKIGTTNRGIGPTYSSKCFRNGIRVADLMADFNEFSEKYRRLVEHYKKQFPSIEVNVEEELGRFKVHRQKLADLKLVGDTVGFIHDQRNSGKQVLIEGANGALLDIDFGTYPYVTSSNSTVGGACTGLGVPPTAVGNVIGVVKAYQTRVGTGPFPTELFDSDGEKLQSIGKEVGVTTGRKRRCGWIDLFLLRRSAMINGYTAIALTKLDILDTFPTIKVAVGYKLNGQVLTSPPAQANAWEKVEVEYKEFEGWNQPTVGVRKYEELPEKCRVYIQFIEDFIKVPIVYIGVGAERESLIVRQK >CRE18922.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1297398:1300245:-1 gene:WBGene00057991 transcript:CRE18922.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adss-1 description:Adenylosuccinate synthetase [Source:UniProtKB/TrEMBL;Acc:E3LK15] MTSSNKNVSVLLGAQWGDEGKGKIIDYLIENHKINVTARCQGGNNAGHTVVANGRKYDFHILPSGIISPTCFNVIGNGVVVNLDAFFSELAHNGILDESGWEKRIMISSEAHLVFGVHSQVDGRQEDSLAAKNKIGTTNRGIGPTYSSKCFRNGIRVADLMADFNEFSEKYRRLVEHYKKQFPSIEVNVEEELGRFKVHRQKLADLKLVGDTVGFIHDQRNSGKQVLIEGANGALLDIDFGTYPYVTSSNSTVGGACTGLGVPPTAVGNVIGVVKAYQTRVGTGPFPTELFDSDGEKLQSIGKEVGVTTGRKRRCGWIDLFLLRRSAMINGYTAIALTKLDILDTFPTIKVAVGYKLNGQVLTSPPAQANAWEKVEVEYKEFEGWNQPTVGVRKYEELPEKCRVYIQFIEDFIKVPIVYIGVGAERESLIVRQK >CRE18921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1295021:1297307:-1 gene:WBGene00057992 transcript:CRE18921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18921 MSEEEDNLKSERNENAEEDKENLDDSRVNSSMTNSTLMTTGRVDNEKAEVDGNGTLDLSNLSLTHLERNFSEEYAKVKNLIINGNSIQKFTYMKLFPKCEILDAQDCQMNSFVADYNNNLLELYLAKNQLKELNQLGRFHNLKILDLSSNLIDNCQLLFSFNKLEVLNLSNNSLNQLPDLLKCTSLHTLSLADNMISNLTTIADLICPTNLRKFNISSNSIADLSQFSVLSVYKNLEELSVAENPCINEVCEEETFDYRSYIVACCTEQLHTIDNEKIEDQVQTEGEWLALQGGMKKIGPGNHQILCELIASHFPKADSGPPTPAQKTCHKALEKRRSYAAELLETPGKERILPSDSSMRSEDTDRTVNSIYSPFREWNGKIGTMRTPGSSGRSGLKNKTNLRMCSPPGSKKNKSFTFPNKSSPSKFMENESLRHHETTRTNSTETIICSARTELSFTVDGRSESTPLPIIDSTPTKESSPSTSEANRLTPSVADVSYVCDDSEDMKNRIKSLELKVTELQKQNENLTAINDGLVDTLEAFKSEQNKMWNAIRKQLIPTPQNMTNSFVCETEDGHHVHQVKWDMPLVKGYRIYVDGSSCGQIIGKNNSARITDLSANESHVVQIQTSSKVFILYLQPVGVNGEYGELSKKLHINPQK >CRE18920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1290456:1292475:-1 gene:WBGene00057993 transcript:CRE18920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18920 MYMKSDKFIIFQDPMSSADATFSLLDEEEERDEVGPSSNNVPPITKGRPSLRSISLSQAPSAPRDLENGNGQASCAELHKMAAGSTTSLTSQSKNAKKVNKFYKKQNELLENFKNDSEQIEQFNRTRRRTTSKEEDDIDDIIAAAIPPVIPEDRAVVAPLVKHVDGTDEPEVVIFDVPRSPRNPRRKPMERNDTEEKSFDEKKEDETNAAARMAKITLFVNFLLMIAKVVASVLSGSMSIISSMVDSVVDITSGLVISMSERMIKKRDPYLYPRGRTRLEPLALILISVIMGMASIQLIISSVRGIHDGIQYDLYGIGEEPKLNVTIISVVIMVSTVLIKLSLYLFCKRYKEPSVNVLAMDHRNDCISNTVALLCAWLGTKYSYYFDPAGAIIVSLYILYTWVQTGREHLAKLSGKTAEPEFINRIIKVCLDHDNRISHIDTVYVYHFGSKFLVEVHIVLDENMILRESHDISETLQSNIESLPEVERAFVHTDYDYDHHPNDEHKVV >CRE18593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1288561:1290201:1 gene:WBGene00057995 transcript:CRE18593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18593 MKQLAISFCIILPLACFWVLNAEDAQQAPELRDANTTVFRQKRQFGCPSNCYSSCSSSSQCQRYSVSAVCVQGCCCPGNNNLDTACSGGPAVAACLGGLCGQGFFCSSNNYCCRCQSGNSTGPCVNQVCPTGFMCNTNNYCCPLGSGGVLGSCVNGVCPTGYTCGAGNLCYLSSGK >CRE18592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1282193:1285825:1 gene:WBGene00057996 transcript:CRE18592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18592 MLDQSGLSNLPKVHVVTSFKGCHACFWLFNVCNPILCTILCFDSLASAMMFHCLKLLIGLFLISQATCQRIPPSTSPRNIRETFLAGSEFEESLITNLLNSSAMVTLNDDITTLLSSSNLTYTLASPEKPFVFVDRNYFWNKEDFVSYADSDNTTTFSYICVFNVSQDNGIFSQIYFPNGDPITEIVFGCETSKECCGMKCCGDDVLINIIIVGAISLALLFLLLCNIIIGFKKRREKSKGAGKAAYHATDTTNAIENDIVSPEQITYETRQPSNGNESPAIKSIPIDKRI >CRE18590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1279709:1280414:1 gene:WBGene00057997 transcript:CRE18590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18590 MHRLTLILLSLSALFAFDVPSPATSRNETKLNVTVIHDPAVPFARFGMLYYWHGHYKHSPSTPKKCIFLKNHPDWPFGEYLYSNGTIVSGVEFGCETDELCRKYKCDRSITLGLIWCVLLLIASIISIGIIIRYMIGRTEEEDERAQVNQDTEMRSVYIPIVVVHQDSNGCLDVPPMHPTNFE >CRE18589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1277340:1278641:1 gene:WBGene00057998 transcript:CRE18589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18589 MKFLITLFLVFALLQQALADTDTICEGCHSCTYKGCCNVRYTNPYTTCHIEGWTSEVYKVIHRNFMRFLYLLSVLVIIHVAPFEQYTEYFRFTGEGIAYIEDPDVPLKIGSTNYYWDGHFKPTIGRPVLCEIKIDSYIWPFGDVRYENGTKPIALNYACPENYNCGVMTCLSDDIRSPLIISVIILLCVVVGILNICYCCRKVEEEEYLEMAERNGMVLSAIDEEGIVDDGVTESFIDEDSKEHVFIPPTDLADRDTP >CRE18588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1274642:1275466:1 gene:WBGene00057999 transcript:CRE18588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18588 MIFINTRPVDENAQCERRPSKAPSHSGHGHPHDGAAHVLRLSLILILFYVLVSIFPAILFYPVSLLSMLVPVLALFFALCSIRGDYQSTQWPIFFIALIGILLKIAAIVVYISLFPLKDYSRKPGRGPQRTEAEMKHYRTVFYIILSTVEFFVLIIGICLKWQLVTLHQREQIQKRRSTLVSNRPLIEGAETSSSGPRRSLQV >CRE18587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1271098:1272615:1 gene:WBGene00058000 transcript:CRE18587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elt-7 description:CRE-ELT-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LK06] MLPQNSVDHQPLPSLSSIINNPISGNRMEDFYLYDQYAPSEMKNPIPKNCSPLLNYPVYQPQPVFFDTWHYGTPQPFDVFQNYPLPNPYTEQPIPPEGVPPQVFPETFPEQLQEGPLEVTSSCYETSYETGPENANDSSSPDTVVEVKQKSNSAKPSRDVVCSNCSTNETTLWRKNENGDLECNACNLYFRHNKKKRPLSLRKEKPATRKRQKRKELKN >CRE18586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1268263:1270029:1 gene:WBGene00058001 transcript:CRE18586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18586 MFTCRTTYLVFFLAFIFFVIIYLEDVKKSLGSYYTEVEEEEDVLNGQINSSFPIIFYHNAYVDHRSNPPRLRIFSLNGCTDKANFLIVDVFYEGIKTPIKLKMYSDSLEGNCPSTYGPAKPCFYVAHTFFAELTATGGITKVSYKRGVILRMGRREVQLSIKDVDRRYEKGITLCLQPVYYYTQWQNIVLYIEAWRAQGATRFIVFYHSSTQDTRKVLDYYQNLGLLEIRSWPNFGDLPIKAADKYPKIDESAFIFSYFLAMNICVLDIKTSVGSIADFDEIMVPRNGTTLEYALKEMVDTDVGALSFENNYVAMEPSIYSSDFSGVSKPIFFERGGPRKYIFNASVIDLCQVHWVRSFIDQSKKSKNADGALIHLRFNAKDFKEKRVSKPFQFFPSNTSQHIQNMKTTYLKNLNQIRNIFGTSPPEVPLNVIDVINKCVDRIGEKGLCHSTGGLCKADMDKAYDWVYDETKGLFL >CRE18585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1266054:1267799:1 gene:WBGene00058002 transcript:CRE18585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18585 MNLLKTYQSPLIIISAFLFIFMMIQFDHLLESNYSINLRNVLQIRTEPPRLRIFSLNGCLGNNKFLYVDLYYKDKITPTRIKIYGITLDENCPADWAPTKLCFYSPHTFVSNLSVTEGLTKVVIELGLRKVDLVIREIHKPVQHGLTMCLQPVYYYSQWQNIVLYIEAWRAQGATRFIVFYHSSTKDTRKVLDYYQDLGVIELRSWPSFGNLHKDIVDKKPNIDNNTFLFSYFLALNICVLDIKTTFGTVADFDEVIVPINGTMLDYATKEMSGTDVGALLFESNYVAMNPSIYTSDFSGVSSPSFYKKGLTKFVFNVSVIDLCEVHFVKSFIDKSKITKDAAGLVLHMRFNVKDFDDEPTSKPFHFFPNDTSQHIQNMHKTIQTIFGSSPPPVPMESLNVFVECGQRMFKQGMCHGAICKPDMDAVHEWVYDKTEGVFLAGEY >CRE18584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1263218:1265343:1 gene:WBGene00058003 transcript:CRE18584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18584 MVILKTFKSPLCIVSVILFVFFIVLNDNLLNENYSNSLKNMLQIGASERNVDDLFPIKFYHIAFVDYRTNTPRLRIFSLNGCLGNSRYLNVDIHQKGMRTPTRMKVYGHPMETRCPSAYGPATPCFFSSHTFETYLTVTGGLTKVVIELGLRQVELSVQEIHKPVQKGITMCVQPVYYYSQWQNIVLYIEAWRAQGATRFIVFYHSSTKDTRKVLDYYQDLGVIELRPWPSFGSLPNDIADKYPSIDNSAYIFAQFLALNLCILEIQTTIGAAIDFDEIAVPLNGTTLDYATKEMTGTNVGALEFENNYVSMNPPIYTSDFSGVSSPTFYSKVGPQKFIFDASVIDFHYVHYVKSFIDKSKITKISDGALLHLRFNVNSLKANTISKPFRFFPNNASHHIENMHETVKSIFGKTPPPASLKFLDTFNMCEKRSLNEGTCHSATCKSDMDAVHEWVYDRTEGVFLAGEY >CRE18583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1258804:1260177:1 gene:WBGene00058004 transcript:CRE18583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18583 MLYPFVFGILALSAVFYLSTLNEFYTESELVITKESSFRRYISSELEARARLGNHLFELSSLIGIARSLNRTPVFFLADAGYKWCLKDTKKTAPGLADQFLVINRAVPKTIRNTVFHTRCCIYEDPRVLSNIEDEHIHLTGKFYQSYKYFEGMRDEQLSWLKESPAEFPGLPKSDAETHVMCVHVRRGDFLIAGFQASDAHFIRNAVEYIMEKENTEKTQRTVVYFGDDHEFMKSIFDDTNLGKDNLSKNITHVISQNSPSDDMLYSKSNCEVFLLSASQSTFGWWLGYFSINNKVYYMDMRVNYVGAYKFGEVNIKDYFLPSWTPLKFANDNSTIIVGDY >CRE18579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1250939:1255019:1 gene:WBGene00058005 transcript:CRE18579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18579 MLQVFQKIWKCLLLLVLLWILFFSFFEENHGTSLSEIPIVFYHDAFVDFRYDSPRLRIFSLNPCLQNKSFLLVKVESKFLRLYGEPLETDCPWSWAPYCYYNSYIFETSLIYFTVPELQNIQKISIIMENRNIELSLKMVYPKTQNGLTVCVQPVYWYSQFQNIILFIESWRNQGATDFIVYFHSSTKEVKMVLDYYEKLSIITIKPWPTFGDLPPTFPEINSQVYRIGHTMASNICILEMKTSIGTIVDFDEIIVSNIGFPDIFSSSKIRLTQVGTGALEFKPTRIQLELKQDRRGFDSNSLKNPTLVNKQGPVKLIFNTSSVSIINTHSIRKFIRNSDKTVESSEGTLLHYRYNGGEEKEKKKKRNFLLFSKNFDEHLTNMEKVNSIVGEKNDVFDPRIILELNKCIKEITESNIYLMKDFRGHYILLFSVFSVFTIYYFISSSDRQVQYSVDIEDKVLENQINSSFPITFYHNAYVDHRYSLPRLRIFSLNKCIKKKNFLLVDLSYEGISDPIRVKLFGESLEGNCPSTYGPARPCFYVAHTFYTDLISTGGMNEVLIHLGSRKVKLRVKEIDRRYEKGITLCLQPVYYYSQWQNIVLYIEAWRAQGATRFIVFYHSSTKETRKVLDYYQSLGIIEYRSWPSFGSLPQSISGEYPKVDDSVFIFSYFLAMNLCILDMKTTIGAVADFDEVLVPRNGTMLDYATKEMTGTNIGALSFGNNYVTMEPSIYTSDFSGVSSPAFIDKGGPSKYIFNASVIDIAQVHWVKSFTDPSKKTKNGDGALLHLRYGASGKKLENSKRPFRFFPNDSSKHIQNMQEMTIRIFNGSAPVFSSNYIDTLNECVKNINKKGNTCRSTGGMCRADMDAINEWVYDKTEGIFLSDSS >CRE18578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1248414:1250452:1 gene:WBGene00058007 transcript:CRE18578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18578 MGHPVKSCLFVITFNLLIILYALSLCRKNMNETSVVAPTVLQIRYTVSREKLEIDDKFPIVFYSTAYLDHRYPIPRLRVFSMNACVSPREFISAEISGIALSGNKTTRKRVRLRGEPTEGECPWHWATQCFYNSYIWTAELFENGEERKGHIDGITILLNNRRISLTVREIHPKRRGGFTVCIQPVYWYSEFHNIALFIETWRSQGATRFIVYYHSSTKQVRSLLEYYENIGILKLKSWPSFGSLSSTVSAHFNFPSIDSSTYRVGHTLAQNLCALEMKTEIGAIADFDEIMVADRGLLIEYIEETLKNKTFGAISFNHLLVKFDPKISSMDYSGVMNPIFLNRTGPSKTVFNSSSVDILATHSVRRFIGNETTITAAGSLLHYRHNSYTEIVDTVKKPFKMLPSYPQLHIKRIQKTIITVLGSPIPPYNSTLLHTLNQCISKIVGEGKCRSTVAYCKQWMDPLADWIREETVGVFVV >CRE18577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1244375:1246766:1 gene:WBGene00058008 transcript:CRE18577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18577 MPRDNSRRRNRHRRQELSDFELAQQKVRELEEQLAEKDIRLKNEAKQLKRKYGLSVNPEHDDLSPSPSDEHYGPPVMIGVRSDRSGGSSERSDDSSLIRINEPNPYNGSPPRFEYPQNFRNSGYQFDGPPMANNPGLYDRPPEYQTFEHLVPPHQFCHENIDVRVDEMPRWPMQESPHSEDYDIPEEPRSFSPTPIWRHEQDVQVEPMPIFPMDGEDGEEPAEFLTKRNREEKVRLQMIMIAQQAEEAEREARLARHLPRASRKTEKDKELEESRKARETVREYREKALQEIRQKEKEKDLKRREVEREKRHELKRKQEDAELEKRKLDRIKQDNLLKQAECDEFFLKYLTPAIGAIESKSSRIEGKNPTEYVSKCHAVNIPKELLYGSKIGETRGDGYKGAIMNYMEKLIGFGVITEQTRKLMKRIEKLPKFVAELVEPLCNDIAIYGTQISVTDTRDMRSKFESFAKEKSTEFWNEATNKKKLADFLKRYDRKRSRSRDRDRDRDRKKNTNDRDRVRPQKTPSPSNEESLKMLFATAGNGISPLTSNSSNLNLNTIPVVNGPPVVNHYLSPQSTIPPLMSVSVANMSAANQQFPQMMNQFIQPSQTFYPPQPQHFDTQLMNGYTQYQQTHVAMMHQQMPQHQPEFIYQLPPPPQPPVIPPNLPTNRDDDADLMDEIFT >CRE18919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1240680:1242422:-1 gene:WBGene00058009 transcript:CRE18919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tig-2 description:CRE-TIG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LJX7] MTNWLHSTHRSDISFVFFQFSLSLSHFSFFQNVSLSACLTESAWGKQRIDTCAFSPLSFLNFSSSPLSFALLLLPQIILSANSKSHFVGQCSSQITSVFPLLMRFFLLILILVTRGIQSKGIYFVEERDGKTYTQPLTDQQSTKKVGEQIRELFNIDINPSEPPVKTNSHVSSYMKTLYKQLETYEHGETHEEDEVNAWLSADRIVSHMAQEVSHRFDDGSYRIRFAKEHVPSKEGQSILRAQLRVHIQGIVSPIFFYIEDTNQAGDTVLVSSEDPTVVSDVTTMVDRWSHLQLSTLPIITARASTENELKIEAFLVIALKDEEAGPPKRRSRRAAAASPISSSPMRQKTKRSESAYFEKPDANALCQRKGLYVDFDTLGWKQWVIAPEGFSAFYCAGACSAPFHKTMNATSHAIVQSTIHLVRPNTTSPAKCAPSHLTSMKILFVDQKKNVQIKRYRDMVVDECGCH >CRE18575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1238319:1239612:1 gene:WBGene00058010 transcript:CRE18575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18575 MNEIIRSGNIQANPLYNCSFYSPEEWSKRLGITRPIHGIAEGVFGILIAIAYIPMINLMLEKDHYKMSCYKIMIFLAIVDVLSIVLICPIEGWLAYHGAVYCTYPNLIFLSGMSAQSLWCCSCVTALILVTNRLFDLLSSKASSFLFDGKRTYIVIFLAICYGLYFFFFTRPVLFNSKFHSWQYDPMIYEGRSSEYTNVATIYNNVLVVFATCLMYVVFCIALGSKLKSVSGPSESRNASIQIFFQSAMICTVNFVASMIFVSMNYIVVPPWLIIVGNLSWQLGHSAPVFIYLIFNKTIRNGILRKLGLKVDRNVVSILRDRFQKAIGFSKKQTKVMFSTSIGTGH >CRE18574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1236555:1237639:1 gene:WBGene00058011 transcript:CRE18574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18574 MSSDSRRDRSSWNHFDIVLSITHFVGFVTICLNGYFFNTFKNGLGWPVPLNTTGTMDGNTMGIIGKKGDQLHAFLMVLAFIYFQGEALLAYRAYRYDAKMISKLLHTALHCTAIGLAITALVVIIMSTNYTGYNNFTSVHSWIGVCLIAVYLVQFSFGFITYLCPCSPGSHRARLMPVHRAVGVSCFVVACVQCCLGFGNVLLEGQPACFGDLSCKNRIEYVGAFCVLSIILYTLLVLALIIPKPWRREKTPDELK >CRE18918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1231212:1233651:-1 gene:WBGene00058012 transcript:CRE18918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prx-6 description:CRE-PRX-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LJX3] MVTKEEFFKYYFSIILSENGLRRIRKLIWNDSRNTPLKLRIDQLGSSANVISIHPLTCWSLGILDCQLVKTSFTTTTEHGHSATVERIMKVCITDSVKIGSVQMSRIDVFNFFGGEESIEIVTVNDITPIFKLYGAPIPIAEDAKLSLIRQPGVPKNIRLDKHHLEQILKSSNIFYKNDIVRITDYNVYNDTTINLFYKLDVSSSPCILDSSTSVYETSAVSSMIPFSNFLLQNPLTSSMKSTVDRMTHIYFAQRRITKRALVLLVTGASGSGKRLLSQVFASKTHRNFYEVDGYEMVCDSASTSENKWTIWWEKAKLLRNCLLFVRNAHVLAIDQFNSLGEISYFLLISILAFSDRRILQHIELKLAESSRITVVFSCNTDMLTSMSPSVKNLALYTFSADYMDETDRKTWLEYHLNEKIAVHVAKKTSGFTLAELQELVKNGKNINDSERNEKAYEDLIDIRNSNFADAIGAPKIPNVRWEDVGGLEETKQTVLESIRTNLFGSRALKRSGIILYGSPGCGKTLIAKAVATEFKIAFLSVKGPELLNKYVGQSEENLRKVFERAKQASPCVIFFDEIDSLAPNRGRNGDSGGVIDRIVSQLLAELDKLHNSPLTKVFVMGATNRPDLLDNSLMTPGRFDKLVEVKPGEDVESKTKILEAVSRKMNFDEDVDLREIASRVDEKMSGAQLFSIISNAGMAAIVETIQSIEDGKPENQTVRVAQRHLLESVQKFHETKI >CRE18917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1217759:1220635:-1 gene:WBGene00058013 transcript:CRE18917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18917 MSFDTNSTTMLDQLDEETPMKSKKIIKFWMILAAICVIGIIFNLGLLLRRKFTSTRTSSTTRSSLFLLGTMAAADTLCLFSLLFLLCLRFFGLENHPYVLTFVCKLSVFVMHTTSSFSIWCWLVLSAVRYVAVYRPYTHLKLNKEPRLAVLTVAIFCCVSEVWVLWDIEYDETFKACGGTETEISRHLQIFEIFSSYFLPVIIITVLDLKVILCRTWRFSSGPKRSVKAVKQKMSIYSEGCPSPESSVKKYSTANVTIHKSKSNGELTTSSSSRSKRRQHLRVLRRCLCITICDLSMNLPSYLLRLYVSLVDDTAPMSDEYISMIALIEEISQVMYFAQFALNALYLICIIYDAPKRKFTLPSTQLSAVGLTPRASRKSARSTFETRLV >CRE18916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1215898:1216563:-1 gene:WBGene00058014 transcript:CRE18916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpg-8 description:CRE-CPG-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LJX1] MDTQFLGGVVTTLTSLFALIKKCKNASGLCLFADLFLFFSLLPTFFLAQTSIQLRLASWMTQNQYTYINMYHFSDTSLLVMRPLILLALLFSVTIAFNIFRDEVVPEEQLLTVRRTTRDAKDSSDSSDSDEKDAKVTEGSGSGDTPAEPEEQLRRVVRDVEGESSGEEGSGDAAQVTSAPIRFVRSVDVEGSGSGSDE >CRE18573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1214193:1215649:1 gene:WBGene00058015 transcript:CRE18573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18573 MIPYRQLARMSHLAARFVGGSDVHVIDPLVDLRNVFEQTDQLKIALESRNVTLDVEKVKSEYHEWFEVYQKWKSADKETVSSLKKELRAKKDGLLNALALPNLIHHAGKKQTPLLKPSKHAQYLAQQGLMRIDKQNHVVHLVGYPVVVQKMIREQLVDLFPGCQPISPSYFARAAIVEALNIDKSTLLPFTDGSSHFPLTYLVGNSLAAITSPFLKTEFGTKNEWPISVQCTGASYTEKKNQVDLCNSRQRMKHCALWMSKSPKELEGIVNDANVRVGAYLDEGLELEVKVRELRGSELKNYESQAFVVENRGLTLSRISRIGDYVSKRLNIQYSGSTATEKSEAVEGGFVQMVYVETDIDRILARLVDDLIEGKEPPKYIRDAIKKSF >CRE18572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1213224:1214072:1 gene:WBGene00058016 transcript:CRE18572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18572 MPKPIQHADDYDSDPEVQAEKEMAKKDPVGYAIDANSLVSQKKAAVAKEEMSTTPPNLVIAGNGGAGSIANVPRSKSQDEIAKKQKTVNQSKALKKQRSRGISGCSSRKYSGYDDEDYDMDDEDYDVQEVFDEDEEDSYIKKN >CRE18571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1212130:1213127:1 gene:WBGene00058017 transcript:CRE18571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-10 description:CRE-TAF-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LJW8] MNDPEQHEGSSFDSAMMPPPALPQRPSSSQVYSSEPSVQTIRSTLHRPLTANQQQFVQKTKEKVEKNVNHDDTHEFINQLGDYPPTIPDSVTMHFLKSAGVEGTDPRVTRMISLAAQKHISDIILDAMTSARMKGIGQTKKGTKETKFTLTEELLDEILKEYGHENTRPPYHI >CRE18570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1210531:1210912:1 gene:WBGene00058018 transcript:CRE18570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18570 MRFLAAALLLAFVLIATFDVTSAQSRIDRSAAWFKPSPNVRSPSYSRSRLGGSRLLPRRMYRSLPQNDYQGMWDKLVRLERSRFARCTLLTDRYCGRTIGC >CRE18915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1203529:1204884:-1 gene:WBGene00058019 transcript:CRE18915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18915 MSTVFPLFSLPFLPLKEVFDHFEPQEILIISLCSQRSKNYAISYRGPSKNIRIALNSGANSFLSYYEDSMFFGLVDVTEISKLPKVSTLETVKIGNYPKIPVTMGSVRGLTCLKTYWENRIIGMKEMINYARKIFNRDIYHVTLGKQRTENDHRRVVDLVMKIQNSISTIYCDFPLKNHADLDMILERCKYTQGLSLFVSNTKDYSPSKQPNFNVDSVHIYHSYWIKPIHFMAMNCKYIILQESLLTNEDINTFLKHWMNGGCFPLKNLFIRNEEPENYEDVLNGWEYTEIDDEVKRDFVNEGDDLQSIYGGSDIKRPIDDVIATIKNSSRQFRMIVWPDIDGNSHLQTGSEPQL >CRE18569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1200958:1202474:1 gene:WBGene00058020 transcript:CRE18569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18569 MSLFEPADGILETHVTWEDIETDLQKKLGTKATFGDNKKATNISDLKGFMSRIALVEPDWQNVEEGKELPNKFALKVSFETEKSETRKVVFEISSQLALVAVSKIMNFEDGKGFSDEKMNKLSKLTRDCHNREVETYKILSKFNHPDVPYTKVYSLKSFEEENDLKGYMIIDFVSNVHHVPVFRTIPADELIPLVRGIATFSALAQSLSLTETSFVMGKDYLEILFKDFFNDTELNKKFEGLRKQFSEENQEKVGKVIDTFHHYKTLVPKYTNISDILGLKLVLNHGDLWQANVIHSMGEDGKLKLEAMIDWQGVSRIPPGLDLSRLLLMCLSGKDRRQKGNEIIKCYYETFTKVYGKELFSLQELQDSYKLYAPMTAMMLLPDINSFIESSGVSEEEKDEARKESKKNMVAMMEDILDIHEYNLNKYSDFMKV >CRE18914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1195841:1197209:-1 gene:WBGene00058021 transcript:CRE18914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18914 MNQSATGYDISNWTNAVASVMMFVPNPKLKLSQNSCFGMFFNVTIIYNFVKYKNQRTSFNFICTIRALNNTFILLVNFIGVFLPATVLGYSIHNPMVETVIITTALNCNIYNNHQSIYMAVNRFVAIYFPVKYDTFFGMKATVLVHIIFYLDRIRNVTFENLERYEKQNFLVFNVEWLAYGGLIDSQDIIFYFAIGLTLIPFVINIFTFLEFYYLKKRVTRDDSERVKQARRNMRQFVQTVFQDSMYPISVVFNSKLNVLIRHRFWTFFCQTFIWQTLHVLDGLIMGVFNDRIAFLKKYMKRKIHNLSASKEVTPPLVVGVQVVPSVAGSPN >CRE18913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1191481:1194944:-1 gene:WBGene00058022 transcript:CRE18913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-77 description:CRE-SRX-77 protein [Source:UniProtKB/TrEMBL;Acc:E3LJW3] MEMGVIEIKNTFLSGILSAMNSSTSDTYTISDWPNAVATGMMVVNTIIGLFFNCLIISSFITDNKQKTSFNLICVFRAINNNLIVLIMAMIYIPATVIGYSIYPKMFETVLLTTALNLKVYNEFQSIYLSINRFFAIYFPLKYNLLFGIKATLAFHIIYYLDRVRNLTFENIGRYEKSQFMLYAVKHLAYGGVFVIPDEIFIWAVVLLIFPFFINAFTFARFYYLKSKTSHSSESFKNAKKNMALFFQTVIQDSLFSISVTFTMKMNTLIDHRFYTFFSQTFLWQSIHVIDGFIMLLFNERLSMKKMKRISPHENSLKQTSTAAVGGQNQLTTVH >CRE18910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1185372:1186663:-1 gene:WBGene00058023 transcript:CRE18910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18910 MDSLNKIASVLILLNGLIGVIFNCFVIYIYFYGSQEKSSFNLICLTRSVNNIIVLIFDFLLLSFPVFLLASNFYPDIVETIIITATINVYLVNQLQTVAIALNRFIALFAPFHYKKLCSNQVTWVILGVLYAQRGYETFSKLYDLICEFIVAWKFLSRNLEHTGRMRHYVFLSSKPIISAKNCLIHLDSESFGNAFNNRNCSNIVLVDSDLIVLMPFIFLSITIVLNLMTFAKILKFYFSNNTNMESASLARKNIRLFFQTVFQDSLFLIDATFTFKLSSLSASRIWAFVSMVFVWESIHTLDGFIMLMFSDRLSILKTRFNRIRLSSNQVSSSFDRGATTRRANDSSTLPGVG >CRE18909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1183970:1185004:-1 gene:WBGene00058024 transcript:CRE18909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-139 description:CRE-COL-139 protein [Source:UniProtKB/TrEMBL;Acc:E3LJV9] MDMELQTRLKAYRFIAYSAVTLSVAAVFGICFTLPLLHNYVEGMKSHVDKELSHCRHTTADIFSEMAHIKKAKNGTRFARQAGYGGDAAEYDDDAAVEVAPSRVSGGSCSGCCLPGPQGPPGPAGRPGRPGKPGACGNHGNPGKPTGLPCDPVTVPPCKPCPPGAPGEPGHDGAPGAPGKPGAPGVGGGSGAPGAPGPKGAPGGPGQPGRDGQPGQAGQPGASSSEPGQPGQAGQPGPRGPPGQAGQPGGNGQPGAPGQPGSRGNDGQPGNDGQPGSPGQPGQSGGSGEKGICPKYCAIDGGVFFEDGTRRRR >CRE18568.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1182463:1183393:1 gene:WBGene00058026 transcript:CRE18568.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18568 MQKLAIAFLTISATSAFLLPSGGGGGCGCAPPPPPPPCGCGGGGGLPPLPQLPPLSLPSLGGGGGCCPPPAPACGGGCGGGAPPPPPAGYATAPAAGGYAPPPPPPGPIGGGSYAGAGPIGGGGPIGGGYAGAAPAGGAYAGKK >CRE18568.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1182849:1183393:1 gene:WBGene00058026 transcript:CRE18568.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18568 MQKLAIAFLTISATSAFLLPSGGGGGCGCAPPPPPPPCGCGGGGGLPPLPQLPPLSLPSLGGGGGCCPPPAPACGGGCGGGAPPPPPAGYATAPAAGGYAPPPPPPGPIGGGSYAGAGPIGGGGPIGGGYAGAAPAGGAYAGKK >CRE18908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1181067:1182304:-1 gene:WBGene00058027 transcript:CRE18908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18908 MSRTMLLLGLMMVIGSVHSFLLGGTGCGGCGGPSLSLCGGCAPRPRVAAPPFAPPPPPSPPLPPRCECPPPTCHSCAPAPSSCGCGGGGGGGYASYPRGGYSRGGDDDSEEYSEETTSNEDDEERK >CRE18567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1178007:1180226:1 gene:WBGene00058028 transcript:CRE18567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-soc-1 description:CRE-SOC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LJV6] MSIPDDDVILEGSLKRCKKSKLFKSKWIERYFVLYCRDRGRSLFAIDEYKSSRKNELKKRFKLEIVVRVESNLSLSDPPVLCTSGHNPRRDDTLHSIFGIGFRVLNVLKPLYLVAKNDEEMALWVNEICKICELHKQHDEGDASQAGDSSISGMSMSSQSLDMSIIEQQQQFAENPKTSGADLSNIDESEDRMGLFNFGGYRMRPISTRAYHSSVRKKSIARSETVAERRKYSSLPNTPSEDTQNAFVKNDKLYANAPVTNQQQQFKKTNSDNKKYIQMNQFKSVNSQPSNPNYNNLPEPLESRSETSSMYSSRRTEDDSVSYTSGPPIPPPRSRYAGNGPARFVKLFHNGQVNRLHMIPASTSMSQVIRVEDAEDSSGETLKIGPSSHTEKYTASFEGIPIYEKNGRTLIRRAPPPVDRSNKPKNLRGEDEGTRYKKNNAKENSNYSNSNFSTTKSNFSNKTTNYISEASKRRNLDYFEPTQLVENSSSSTMAAASTRSPTPSDIEYISVDVDRTLAFKQLRRAAQSTD >CRE18565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1166823:1172023:1 gene:WBGene00058029 transcript:CRE18565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sumv-2 MDLLDLELDLNVEDSSSPGRKTRRSQNNAAASTAPSNVTPKSNKIAKQSTVTPKPKTATKKTTLTPKTPAKPRSKTTAAAGDVVEEPMIEVKIEPKDETVPNEGTSEERQARSPSTDKRSRHKVKKRFSPSPVAKKRKLMPIATPTPTTSKKSSKKKNSTVANEEQFGEGLRDYGDKISLESHTDFDIPNEWKTGTSSEAVEQTMVEKKARSAEDGSNISTAETATSQTPKQRRKSAASKKVQLTTASSSSLGAKSSTSPTIPNTVDSLIDESRASTVSRELGIDDDELELTPAPKRQKKTSTVKTPKEAANSEKKLNRRVSRKVEFEQEKKEESEEREGSMEPLEVTSEATSSRRSSGNRRKSYLKSASQFKVSEEQPPTSSSSNETMETAKPNPNSALAIKKRLMGEATASPGNKKTKAAEKKIKKEQDPTTSEPLPTAEVLPTETEEEEKDTKVEEVPVPESPAPSTSAAKKAITPAVPPTRASARVSKPNRLYNDESFNTEVLGRTRVTEQNPVKEVSVKRNVKAQAKETTPELDIPLPASARRRSVAVPRAPPPPKPVPVINGVLRGYVPSVKVPLEDYEVDGTIVKLNEDVMETLDVILFKVCKDGLVKHEDIDPKVVKAKTKAKIDNAKRLKLESKKLDIQMKVKIPTSSEELGKRKRQAPKSIDEIYWTPSVNRQKVKSEQRDEEREETPLEDQDTFPTDERRQQKARESISNLFDAEMDATMKEAKTNFSVRFEDYIRPKVKGAQKHAKIKLMSRRRQSADPEDFYYQELFTDQISPSASNIENVEEAEEIDVMGMDDEMEPEEEISQEKAIYIRTEALDIAEQISTIIPRPVNHDGTPMTMEHIQMKTLEHLESRRNCLADTMGIVLNQLSHEMSPPTRGLEEQGCIWHTVTSANAQVLKNIYTVCDELREDAITWSHLFMIENLPVHILASYLSLLRYAKKINPPRIGYIINSTVDIDQDWIQITGLIKSFAHKRGIEPGTEVLRNTIPFRRFENTVFLLVPPTLTIGDNQRHRQHGRIFRWLQSIGHPDSELIAFNDKIDPTCSVAEYLSDLVVDRICEKVRQKNTEKPHCNIVVVGYGASTYLVHRAANLVGGISAIISIGFPVITPYGRRGTADDEILLTYCPTLFIVGAEGQRFNNEAMTELRASMISNTGLIVVGHASDLLMLPTSFLIRLGISQTVVSRIILEKILDFISLPAVREIPSSELVPIELNNVYDLDSSLLKSDKALSGLAFAGTPSATSSAAPSPVGASGRRATVTGASGDDAAKKRREVAFHPIHSISSSNGGVGGSITPTPRSDGNYLAAFQNLVTSTVATADDMPRRASMGASPRIVERGDFRDHRNTGPRHQMSSSSVSQQNSSHGPIDPASISLN >CRE18907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1162329:1166206:-1 gene:WBGene00058031 transcript:CRE18907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18907 MPAPPAPFEGQKNKAHNVHKSGGKAKKRDEKEPKAKGNNVKAFTFHSAVAAGKAIRRAADLNEKKKHVLMMDRKPLEAPPIIVAIVGPSKVGKTTLLRGLVKYYLRDGFGEINGPVTIVTGKKRRVQFVEVKNDINHMIDIAKVADLVLLMVDASYGFEMETFEFLNICQVHGMPRIMGVLNHLDLLDGISRVNKTKKILKHRFWTELYQGAKLFYMTGMVHGQYKYNEIHNLTRFISVMKFRPMVWKDAHPYVLCDRFEDVTNAETLRTEPLVDRHVAMYGWVHGAHLKNHSSIHVPGVGDMRISNVTSLPDPCPLPDEIKRRALNEKERKVYAPFSGLGGVIYDKDAIYIESKNAHNFNRKRDHLVEALEGVKSGIDDKLKKSSLQLLGDSVALDVDMESDAEEGNVEEIEDEDEIEDEEMDDEEDEFDDEEESEEEEDDELDVKKEEVVENEWSNLASKAVAQYRESKNLKVNWMKLVYGGEKITKEEKEETIDDLFVVRKQSQKSEDDQEDGFGYGVLPTICSTSSNDWNLEEIRQSIADSFVTGNWSEEDAEEEQLKKEIGSDDEEDDEDDVDDEEEGDEEDGDDKKGDDSEADKTRKQKRLEAKIKLKQRFNDDYDETCKFYNKAKNELTEQADLNRQVFEGMDEEEREKIEGFRAGRYVRIEIESVPCEFVTHFDTTAPYIIGGLLPGEQNMGVVQARVKRHRWFERTLKSRDPLIISCGWRRFQTIAIYSVQDHNMRLRFLKYTPEHMHCHASFFGPICAQNTGLLAIQSIADKTPGYRIVATGGVLDLDKSTQVVKKLKLIGHPEKIFKKTAFVKGMFNSQLEVAKFEGATIRTVAGIRGQIKKAIKAPAGAFRATFEDKILMRDIVFLRSWVTVPIPRFYTPISDHLQASAEPWIGMRTVGKMRSELGMGTPQNKDSDYKPVVRKEFESAPIHLPPKLQKSLPFKMKPTYQAREEKEKDSLIAKHTAVVLEPEEAKKERFMDMLRTLNEVDLKKQENIKEGYKKRKAEEVCCL >CRE18905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1161063:1162002:-1 gene:WBGene00058032 transcript:CRE18905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18905 MNILLSSSYFQTVFDTFESTKSYLHRFFFSQNAVRFQTMIGLEAWFANFTQISSRWINAQSLADIPRPHVEYAIFATFKAAEVMSVLGNDEVLFNVLTIYFLGGLVAHPLYRWYLSRKLNPKFMTPNSEKVIKNACRKLQGRFLIAGLVTAPFLSRLETHLSGTTESELKNRCYQIRCNSETLSLDRAVLVCGFIGWYWRRFQGAVDGVNVGIAYAMVNSQFIAPRTSPVLKNSVEEAERFETVEAMEESKSKLNKFLAEKERSESAESKTEPVKASS >CRE18564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1149555:1160501:1 gene:WBGene00058033 transcript:CRE18564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18564 MPKLPVERSPLNDSRESRNQVMPSLSIHILSIALCLSSGFQQGYIASVLNQPYAQIEQFINSSWIERTGHPISDSTLHLLWSLLNVCFPIATIFGQFLAGWMCSQFGRKHTALMASFLYIPGALLCAAAKWCFPAFELLFVGRIIWSLANGVNTVNATVWIVECAPPQIRGRMAAMQEFFMALGSLLTQAVGVPFSTDELWPYNFLPNCAVVVVSMVMFSWVAESPQFIMEKYNDVDRARKALAQYHGVSEDDPSVESEIRICEQSLGKNKEKKKTAGGGIETSHTGMEIMFMPWRAKDQTSRLIRYCAWVGVMVKIAYVFTGARSLRGYSTFILYTLSNFTYSQATWLSFATGLLRLPFTLVPVFLVDRLGRRPLIVVSMLVSFVSILVMIVAIDINGEWKYATFIGLTVLLLINTCGIGSVSRFYAAELVPRNLLLSSVSTLTMFEALTKIGVEFAFYPTANVIGAQSLLLFLVPTGIFTVMCYLMCPETSRMTVNEVLNNVAAKKKMDVVFPM >CRE18563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1143325:1149330:1 gene:WBGene00058034 transcript:CRE18563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18563 MRRAQYEQHVPNRIIPPQMPTSDSRRAEYERSSRILPAPTVRQPLLGDAPVNTYYAQHSAYNGPVIDSSSSSRRRGFDKGPYGEDLTRRADGRQPLLRNPRIVSEHVGGDMDIARSRSASPEVRLINVAARSVRDDRKRDRGDRNRSRRSRSRSRSHDRGDREYDQRLKSRDREVISRERIVHSERYEVPHEQIRDGIVHTNDTFYEFFNFFIASLNEKTQKSADRDVQGTRKATEIITDASNLEKDRITLPPDFDHSDREKMLHCDTTVTGLPKSIFFGSGYGPRAHYAKFSAAKDFIDKCNRIGIISPSLHAGITDWQRIDQSFKSQFSNLISKAENAIILLLKRMASENLPNGFMQMRNIPPDLIDRFKILIRMVVLDVYVQGKDNAAPVIPKILETGGYEVPPSRYRDSDMRRYENSGRTANEVNKGFDAEEIIRQKEKELKEKMEIELENKRLEMEQEIRRKILEEERAKIRAELEMEKKIEAMKIQQQQYQLEKEKQEAEEQNKKAMISKQVEDDFNKMVGKLEECFKEVRAKNFNHILEMVYGSATFKTIQLYSSTLTSLSDEQKHQLSQDLRSLLNSIADAMNSVQPVINPMSQMMPNSMIPPMMMGTYSAAPGIVVPSMMPGFQMHQQQPMMPQQHQQQQNQQQAMPSTSRNGEVHRLTLGDMRLRQLTSSEQRRLQNKDKVIAQDAKTGNWCLATVSKIRSDRVTLQVDNGTWKKRIDELYKEDTGRSVIVRLRMVRPGTGSFLTNLKKHYNQFKSSDEERPVVKYSIPPRNSMAWVDLKKGSIITQERMRNIALPASVCKMFANDAKIQKLKKKTENSVKPSLMNLSLSPPVLSPLINKKTSPQLPKDEKEILDVLIRINKIFGVVSNLFSLSLCACIERRAVDNSMCLFTYFQYFPWALIGGMVAIEEESLNKPLAPGCCYDAWRSQFLNSLQFMIPPAIFRKFKRCVDYGEHVDWCIQVVSGEDFRLVVQCIQNTRMNPMYSILWTTKPMDFDPRNEQFSNARSALIRQVEAEVKTFWTYERIGVYLKMYRNLTSN >CRE18562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1141666:1142599:1 gene:WBGene00058035 transcript:CRE18562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18562 MRLFVIIRSIFFTVLLAASWMLVFNSQLCINYVPHQLEDCEQVVESISKINHDELNVSEKINSNRAARSGDEKTNEFGNSSLLNSTNSDKMQSGNVTMVDKWHNLHKNDESITINVKSCFDFSVGDIISGSKLEYSSVLTPLRLILILIVTSFLVAILDLSARMIVHPLSMIQCVGHSLIQFVLWGKILVKIEMYRRGWIMSWLTANQPSTEIPMYPTEWATFELFAFFIMLLSITDSLFFKHLLKKVKLVKSEGVYRCIDQDST >CRE18904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1135983:1139311:-1 gene:WBGene00058036 transcript:CRE18904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srj-32 description:CRE-SRJ-32 protein [Source:UniProtKB/TrEMBL;Acc:E3LJU6] MHLIPNCFLLLFLIKTSESKVKVNLMTGTLVHNLNCDDLSKSLDDLSQNEVLSCAALCGNNETCEVFYWKQETCFICPYGNVKTVEINEDGFPIGIKSQPEDESVCPTSNITLPVRLSTFSNYSSTSPHFNFSSLVSSTTIPLKTTSRLTTKIEVSETLSTITKDQGIELCEKVGGRITGPNTIQERDFLRDTTTQIFGNRLSPYGVGVWIDGSRTEECDGGNAIFISTCSNITVSFLPLTKWAGSQWALDMRPTYIIRKLIAFTFSDSTLKDRFGYIFIPGQPDGAYSSQNCLQLMVTTNNINGLVDDLHIYCKSIFRLSNIHGEGQLGKLSIFVLIFRTFQHISFIDGHFCAYRKFVVVTKVCKRYIFQGVHGFRYCFLIFLSDGWLFKQSELGMFLLALRCSLIGCTYAVLISHFVYRYLTVKGSSLTQKYFPIYMIASFFLCAFFSIFWIGIAYIVAVPNLETRKYIQEDFLELYEADPLKLNFFALMYKEAPLEILIKSWFGAIAGTTVSVGSISIFMTFSYLIMKNLKQKQLGMSEKTAKLQRELFRALIVQTAVPICLSFAPCMLSWYTPMFNMKLGKWLNYTGAVPLSAFPFIDPLAVILCLPGLRKRIFGKGKARISSLAVIGINKLF >CRE18903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1133676:1134987:-1 gene:WBGene00058037 transcript:CRE18903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18903 MYIHWSHHYLPKLFGILSFVFNPLFMWLILSEKKTSIGKYRYLLIGFAIFDMVYSSVELIVPVSIHGTGAAFVIYLADGPFFGTGHLGQLAVSIRCGCISLSYGILVIHFIYRYFVLFNTHIIDMLLRPSGLFGLFVFFIIHGVAWSTVCEMFLYGDKEVYDYIYEAFKKDYNVDSHDLSMLMALFFDASPEIKRRSWMGILILTGISTYAVSLYIILGWQIMKKLADNPGVSKTTQKLHRQLFEALTVQTFIPICISFSPCMVAWYGPVIGLDLGMWNNYLGVIALSAFPVLDPLAIILLLPNYRNKLLGVVKKPINYFNSVTSTVRPNVDSSAATQVY >CRE18902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1132072:1133397:-1 gene:WBGene00058038 transcript:CRE18902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srj-7 description:CRE-SRJ-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LJU4] MIYINWYHQNFPRILGAFSFLINPIFIYLVITKTKSQVGSYKNLLIMFSIFDILYSISEILTPLGVQGNKHGFVVFISEGIFFNHPEIGQRAMSIRCGFVSISYALLIIHFVYRYLALFFPQKLHLFFKPIGITLLTTFLLLHGSSWTLICQNCIGADEEIRKIVSKCFIKEYGVGTDGVPMLAALYWGVSPGIQFRSWLGITLLTIISWYSMSVYFILGYKITMKIKSITFEQTLSSTSIRLQRQLFLTLVVQTCIPIIASFLPTVISWYAPIFDINIGWWNTNVSTVALAAFPCIDPLAIIILVPNYRNALLRRNGVISEAHTSGNIVPPNSNVMFERRER >CRE18901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1130217:1131419:-1 gene:WBGene00058039 transcript:CRE18901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18901 MSAELLNLSREKKRAFRAGKFPEDIITKDMIREVTCTIDCCPGTPNYKEFKVTEGMLFTRVPLSMSPPIEYCDHLLKINGKSVTKKREMQEELYKLAKTNKPHYLTLTVRRIISVERLNPRDVPSNASIKKPDSKDMNQKPNSGYVYFKVVLIYFPRSKLGINVKSYANVVYVESTDNSWGSTTRRFLYLGDSILKIDDTEIYDVQATQNAIRSGFQKNGIVKRNSSVSLKTGFFQITMIIERAKGQSACNFTHGVLKFAKALDPRMPQDALLKCREQLAHYEKNGFSEPVPIFKGHTKDYSTASRAVVTETVEMKQILQEHYDPEILKSVSTMYDFDLK >CRE18900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1125263:1126623:-1 gene:WBGene00058040 transcript:CRE18900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srj-8 description:CRE-SRJ-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LJU2] MIYISWYHQNFPRIFGVFSYIINPIFIYLALTKSKSQMGNYRFLLVAFAVFDLFYSTNEFLTPLAVTGNSHGFVVFLTEGPFFDHPEIGAHAISNRCGFISLSYALLIIHFVYRYIALFHPELHSKFFHPVGVLIYALFLFIHGASWSIICQQCLSGNDEIRELIRDEFMEEYHADTRNVPMLAALYWVTYFSLQSATRFCFQNAPYYIQFRGWLGIGLLTVISFYAMTVYFVLGYKPEKPNYFFLFQIMIKIRAIQASSTMSKNSIRLQKKLFLTLIIQTCIPIFASFLPTVLSWYAPIFGIDLSWWNTNVATVALSAFPFIDPLAVIYLVPSYRNAVLRRRDPTVASGSATQGKHVSKIDSGASVN >CRE18899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1121698:1123517:-1 gene:WBGene00058041 transcript:CRE18899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srj-9 description:CRE-SRJ-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LJU0] MIFISWYHQNLPRIFGIFSFIINPVFIYLVITKSRSQMGNYKYLLIVFAVFDIFYSISEILTPIGVTGNKHGFVVFLTEGPFFDHPEFGQHVMSNRCGFISLSYALLIIHFAYRYMALFHPEKLPIFFRPIGIITVVIFLLAHAASWSLICQQCLAGNDEIREIVANDFLNDFGADSRKIPMLAALYYDASDYIRIRSWIGIFLLTIISFYAMSVYFVLGFKIMRKISHMQSTNKLSKNSLRLQKQLFQTLIIQTCIPIIASFLPTVLSWYAPIFGIDMEWWNTNVATVALAAFPFIDPLAVIYLIPSYRNALLRRKGHAIDDSSTAKTRATSKILSYTQEIHSHH >CRE18560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1119255:1121237:1 gene:WBGene00058042 transcript:CRE18560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cfz-2 description:CRE-CFZ-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LJT9] MLLRISLPLILLIGSCQSLGKRQKCEPITIPLCKGIGYNMTSFPNSYGHEKQEEAGLEVHQFYPLVEVGCFQHLKFFLCTMYTPICQENYDKPILPCMELCVEARNKCSPIMAKYGFRWPETLSCDALPKMSDQMTTGNICAAPPDTPKKGHKGHHSQKHQDTSRPEIGISKIDNEMVFPDSLPSTECQCTCNQPFQFVASEKSRVGNVTNCAYSCHSPALAENKKLVSNWMAFWSITCCILASFTFLTFLIETDRFQYPERPIFMLAFCQLMVAVGFMIRYFVGHEEIACDSMRIKGSDDNSGSLCFVVFLLTYFFGMAASVWWVILSLTWVLSAASKWSPEAISSFSFHFHVVGWCLPAIQTVLVIVFNAIDGDPITGICYVGNTDLQFQRIFVLAPLVVYFMIGVLFLIIGFFNLWSIRNEVQKQHPSLESAHKITQLMSKIGIFSLLYTIPSLLIICVLFYEQNHRSLWEQSQLCSCSPKQTIGDSSLIISLIKTCCMCVLGWTSGFWVCSTKTLSSWKNAICCLGSSRSLPKYQPADILYAKSDMSSPQFYNTSLRHNHIYGGIPDKL >CRE18898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1105427:1106801:-1 gene:WBGene00058043 transcript:CRE18898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18898 MAKIYTYSDFEGFSNSTKDTLISLTEIIGSAWMVCFQICPALACISYVVILFHLVILCRKSMRIHSVNLIMLGISICDFAKLSYDTYAVFSILTATKCTPPLSYPFKLMDFWSPAVADVARRLGTWLTFLMAVLRFFILRSSLNPRFNKVSKPKYSLKMMFLAFVISSLMSLFYWGRFEIVEDKIWIPPIDCEGFASNFSIQGYKSILRSEYLNRQGPIVRIFNLADGLLKLIPSIMFPILTVLLIRELRRADDSLRKNSVSKKEESKSDHTTKLVIMMSITFIAAEGPYGIVYFFQGVVTEPRGLVDMSSDLLRIFEVFMIINATSHCLVCFAVSSQYRRTVKDLFCCVKEPTIMRSSASRISGLGGDNVIKVVSKMGK >CRE18897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1101899:1104048:-1 gene:WBGene00058044 transcript:CRE18897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-28.2 description:Sodium/nucleoside cotransporter [Source:UniProtKB/TrEMBL;Acc:E3LJT6] MKVSDIEDNSAGGESVLQRSYSLTSKSLENNFNIIEKFVQKVKPYCGIGSLVVILLAYHGFLIAAGIHNYQKAAPLIYITLFLWLCFLLNILVSTEKFQSVYDDVAHKLDELSKQKRFVPLGLKIAFIIGLLAFTIVDSLKDMSRLSGFFAYIFYILFMFVFSNNRMKINWSVVSSALIMHYCVALIILKWSTGQWFFQQVAQLIVGFLEFAQVGAKFVFGFIAGPPNICDLAPVFIFTSLQTLIYFSAVVALMFYFGIIQVVLKKSTWFMKVLIGTTPVESVNAWACTFLGMTEAPLMIAPYFEKCTDSEIFAVVTSGFACVAGTVFAAYVALGACPIYLLTASLLSAPMSLACSKIMFPETEESKIKEEDFKLDHSHKKGFFDTLCSAGVSMVPTVFAIGATLVVIMSLLALLDTIMNYIGDLIGYEGWTFQMLFGYAFFPLAYMMGVTDDVNQTLLVAQLMGTKTAVNEFVAYNNLGMLQKEDKLHKKSVLIATYALCGFSNFSSMGMSIEFLGGMAPSKRSTISNLVLRALCAGSIACFMNATVAGILVSDPIVCTASQKITNCTRIPGF >CRE18896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1099439:1101535:-1 gene:WBGene00058045 transcript:CRE18896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-28.1 MEEENGKKNGILEQSFTVTKGTFENNANMLQRLIQKCSPYFGIAALLVFLLIYHGYLIAAGIHNYSKAAPLIYVTLFFWLCFIINFLVGTRYFKIIYDNLATKLDDFAKRRKFVPITIKLAFAGALLAYTVIEALSQPTRLTGFGGYVFFLVFMVLFSHRPRKVQSYLLKRSVKAQIISCFQINWNIVTSALIFHFCLAIIILQWSTGRWFFEQLSKLIVGLLEYAQVGAKFVFGFIAGPPNICDLAPVFIFTSLQTLIYFSAVVALLFYFGIIQVALKKSTWFMKVLIGTTPVESVYSWACTFLGQSEAPLVIRPYLEKLTGKSEVRFRCISSYQILNYLLSLQVDFHVPEEDFELDHENDKGFFDTLCSAGVALVPTVFAIGATLVVVMSLLALLDEVFFYIGDLIGYDGWSFQMLFGYAFFPLAYMMGITNNSDQTLLVAQLMGSKTAVNEFVAYDKLGQLQKDNKLDPKSVLVATYALCGFSNFGSMGIQMEVIGGLAPGKKTAASKVMLRALCAGAIACFMNATVAGILISNPVVCSSASVNSTCFKIPS >CRE18558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1098591:1099340:1 gene:WBGene00058046 transcript:CRE18558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-33 description:CRE-GST-33 protein [Source:UniProtKB/TrEMBL;Acc:E3LJT4] MAKYRLHYFDARGYAEVSRDMFHMAGVEFEDVRYNLEDWIKEGSTTKETMPFGQMPVLEVDGEMIPQSSAIARFVANQFGYAGKTAVEKGWADAYADLYKDFLNEMKPWAMIAFGYPGQAGDRDELKKTSLDPAREKYFRLLTKGLEKSKSGFLLASGISYPDLMFFETTTSLNVLEPGFLGTDFPEVTAYFKRIGEHPKLKPYLETRPFQPK >CRE18895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1096533:1097770:-1 gene:WBGene00058047 transcript:CRE18895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18895 MHIRWAHRYLPAVSGYLSFVVNPILAYLILTEQKSTTIGRYRFLILFFAVFDMLYSTVELLVPVGMHGTGSAFVIYLAHGPFFGKDRIRLAQFAISVRCGCISLSYGILIIHFIYRYIALFYPKFVEQVFRPFGIFCIFTFFLIHGIVWAGICELFLYADDEMRDYIRETFRKDYEVDSNDIAFLAALYMDGSAEVNKRGWTGILLLSGISVYAVSLYVILGRRVNEIVGKLRTQNALSQITQNMHKQLFTVLAVQTVIPVCISFSPCMMAWYGPMFYLDLGMWNNYFGVIAFSAFPFLDPLAIIFLLPNYRNRLTRKHIIKPFVNILFNRTTTVS >CRE18894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1094889:1096175:-1 gene:WBGene00058048 transcript:CRE18894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18894 MIYVNWYHYHIPKLFGVFSFLINPIFIYLVLTKSKTQMGKYRYLLIFFAVFDIVYSVAELLTPIAVINTGYGFVTFITDGPFFDDVMDGQHGVASRCCFISLSYAILIIHFVYRYLLLFNPDYVRRFFEPLDVFVIFVYFIFHGVSWTWICEHCLAPNDEIRDIIRPAFQEVYHVDADTIPCLTGQYMNASNYVIAKSWIGISSLTLFSSYCMTIYFVLGYKILTKISRNCAMSKTTLLLHRQLFKALVVQTCIPIFASFLPTVIAWYAPIFLINLTWWNNYICIIALAAFPFIDPIAVICFIPNYKNTILGWLKIRKFTTTTTPSTTDAASTISRKQTTNNSRNEQ >CRE18893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1091311:1093724:-1 gene:WBGene00058049 transcript:CRE18893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-205 description:CRE-CLEC-205 protein [Source:UniProtKB/TrEMBL;Acc:E3LJT1] MNHPRCKLFLLFSIILFYSMLKSSAAECPDKYLNAISLEEVNGKCIAVTKGTWKGSGTTQCASVTSIPNQYTLLSIDSETENDHIQQGLAMTNYTFAYIDLGFSGSTWSWMNGDTSAYANWANPPVTQPPTTGPTTVPFLSTLPPSQQRRSTAVVIGIDASLFSTNSLTLAQVNFARSLTTYIAERGPSEFAIFAYGCTQATSYITQYPNFVNAFTTTNAMISNVDQMINIDCVRSSPLDFRSMFRDQTVYYNRYSTSYRPFKSKFLSMVYFSSSTDSDNIKLASALYPMANSSIITINVGDSSIDISRLSIPVGKYGLRVKTADDIMALVPKVDSMIFGATAFSSAAVKMDNMREPIWNFGIQTKKEPSVYATGYTDCAYMNATDGLWYSDGNCDTKRQVLCQYVLPVPPAPPTVNPTISWQDPCYIETTNFTYFQSLSNAKCYRMSASKKQFAEAEDVCLTDHPLFLHTPKLTSIETKAEEDQVRTMLSPLTSEGMFWFGLKRDPSNSSNWNFINGDIYDSSYSNWRDGYPRDADGCDCVALVVNDNSWINTDCNKSLYSICAFRFNVSEPQS >CRE18557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1088346:1090824:1 gene:WBGene00058050 transcript:CRE18557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-13 description:CRE-DHS-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LJM9] MASSVATKFLTDRVALVTASTKGIGFAIAKQLGAAGASVVVCSRKEKNVDSSKKTTDFQEAVAALRLENIDAHGTTAHVGNKEDRTKLINFTLDRFTKLDILVSNAAVNPHYGDLMSVSDSQWDKLLDLNVKSAFELTKEAVPHLEASGRGNIVFVSSVAGYSPMNEIGAYSVMKTTLTGLSKSLALNLARRNIRVNTIAPGKIKNHLKEMVSPLESPKRHNRIIQTDFSQALFSDEAEKQKWLSQIAQRRFGDPDECAEAVAFLVSDEASYISGETIGINGGMQARI >CRE18556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1085933:1086465:1 gene:WBGene00058051 transcript:CRE18556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18556 MLLPIFFLLLLPLTTTSLECFTCGVFLSAPEPMCRGEIRNNSCAPENLGCLSIIGHKSDGTYYVEKRCAEKTDDLTDGCINIGIRGIAAKQCLCRDNLCNSSPGHLSHTCHGLGLLGFFSYIVLRVF >CRE18555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1083293:1083700:1 gene:WBGene00058052 transcript:CRE18555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18555 MNFLKTLFLISLIVATVFSAPSKKCGENEEFRECGTACEANCFEGHVMFCTMQCIVNVCQCKNGFFRNKDKKCVPKNKC >CRE18554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1077280:1081903:1 gene:WBGene00058053 transcript:CRE18554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-25 description:CRE-GLB-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LJM5] MAVFKKLRGKNKDKNLPLPEPEAVKPLDKRVGIDSYRDFFTLKNWWKTVDRKRVEASGYMFSKYLNDFPENKNFYPKLKNVNAATVDMSCSDPGFESMALQYLKIFDDVITAVEEKPGDVQTACDRLSAVGKMHRQKVSGMTATQFQNMEEPFIQMVKYILSDRFNEKAEMLYRKFFQFCLKYLLEGFNG >CRE18891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1064619:1066551:-1 gene:WBGene00058054 transcript:CRE18891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18891 MESLEMRGANRRKLIILVLVVFFTWLLFLQRTRLKEDSEDSYIVEGLGHSRIVPRKCMVPEWNKKTTNSLPHAAEFEQWRTRRIGSHVEIDIDIISIIHSFQHNILDAESRLLSAFVYPDQISIVTTAFHTYGKRATCLYYDCNRREIPSSRFKSRVVPLTVVTCPRRYGAEYVSLSFDDDVESQEPIPLIFRAYEQPVHELSVCVGPLYGPESKWLEVVEYVEHYRLLVSLHVFKKFEIRLIQGTSMFYFTLFNMNDYDRKIVDDYERLGLAESTKYFMEYVKLGWMFHLIQTHECHHRSRFHSKWVINMDIDERLIYNGPNNFIHFMRSIPPTFSEISLSSNRVLKFEELPEKFKSEEQLLADMMFLKYNQTTEISWYNLKGIVRPEMVALLFYHWSCRQFDETKVMSVSKRFAYVRHYRSVDENKLNSNWRTFYNGSLIETRLEESFEKRLTAAVLKRVKYVYDQRMIHCEEIPPWIFNRFERRLLDCNFRNESQIIDNENTGISGF >CRE18553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1060641:1064177:1 gene:WBGene00058055 transcript:CRE18553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nphp-2 MNMSLIDALDRHENDKIQQILEENPDEVRYRNEEDKVAIHYAASDGDLNALKLIFLADRTLVDVRDGTKQTPLLCAVMSGRIENAEFLANNGADVHAIDENGRNAVHWSVVSGQLESLNWSIAKGVDLQAQDNDVGVPSKFSIQNCSFQQECTPLHYATCNEDTPPEVSQAIIVTLLKHGAKPNPVDTDDRTPIHWCSSNGNLEAIKALYNSGGDLTCRDKQNLNILHCAASHGYHEVIEFAIRNVDRPIIDDIDKAGHSALFYAISFGHYEAALKLLQNNANPNHQDQRLATAAHSAASKGQMRMLKLLKQFNASFDIQNYRGDLPFHEAVMVGSKDVVEWLLAVDESVLDIPNHSGRTALHLAASVGNLEMVILLCTKKCFVDPLCTRDNEILTPLDLAVRQRHEAVVEYLTKLCRAKRSSEFSAEYVENWKMNFEAMIAEAKRKRNEFIAEQKSKRRPSTSDGVVASERKREIADVGVNTSQRSIKSADSNTVKRKYSKSTSVTNLMEVPSIPKKELEALKESIENGQRLVDDDDDDLQNIDMLDIDDEFENLPPISDSSESSSSSDSEPNDTDDDADKSNDEDVARKVTVQEVKSAVSANKKPKKEVRIETGKNGKKKSSTASHGTVVMRVRREPEIGSDGGDVDIYDDGEGQPSDDEEKKKEKEKEMESTSTVSNRRYVHERAIFQELTHLKRMQIQYGKVVIARKNAKALLRNYYHPTEQVQEKVLVRSLISNFCKMHNLDVRNFKFTSFYAWERFLYDALSEQLKIIYLEERERLAETESEMKPSATMKLNKFDSKIRNSVPINDKFHDMQRIYTHAAISHKSTSSKPRGSSAAPSKEISSRKRCDCLDKHKHLFL >CRE18890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1050273:1051816:-1 gene:WBGene00058056 transcript:CRE18890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oig-6 MLRGTTILAVLFALYVQNDAATSSRYIAMGNLQTVIHGEELFSTDIDPDRQVTGFLWCQVREGTIHYKPTWARFIRIRDKKEFAADIGQDNKAYLHFGKSKAEASGKYRCEVKVPDNSIITGNMFAYSHPVVKNNESWPLKKSDEPFTVTGSAVYASPERTARISCPIIGYPEPNVIWFKNDFPLEIEGRVSFDKTSGVLSIEKVEEADAGIYRCEGTNQFPVLIDGPEQEFIVKLKQELKIGDNYGWLLPLAIILITLILLFIIIFTCQRCAKYKADQYNVAERERALHNDQVPLKNSV >CRE18552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1044441:1047205:1 gene:WBGene00058057 transcript:CRE18552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cct-7 description:CRE-CCT-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LLI7] MMRPPIILLKDGTENKQGKGQIVSNINACMVVADSVRTTLGPRGLDKLIVDSKGHTTISNDGATILKLLDIVFPAASTMVDIARSQDAEVGDGTTSVVILAAEILKQMKPFIEDGVHPQLLIRAIGKACEKTLKELANLEIKISGEAELREMLVKCAATTLSSKLVSQERTFFANMIVDAVNTLDAHLPLNMIGIKKVNGGNLHESRLVKGVAFQKAFSYAGFEMQPKKYTGVKVALLNVELELKAEKENAEMRLTNVSDFQAVVDAEWNILYDKLQKIADSGANVVLSKLPIGDVATQWFADRDMFCAGRIPQDDLDRLMAACGGSVLTTVSQIEDSVLGKCGKFYEQQVGSERYNFFEECSKHQACTLLLRGGAEQFIAETERSLHDAIMIVRRAKKNDSIVAGGGAIEMELSRLIRDQARTIEGKDQAFWMAYAQAFEMIPRQLCQNAGLDALDVLNKLRHRHAQGEKWAGIDIHREAVGDNLVACVWEPSIVKRNAITAATEAACLVLSIDQTVKNARSPSGGQMPGLPGM >CRE18889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1042926:1043796:-1 gene:WBGene00058058 transcript:CRE18889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18889 MTDSELKFKTGLLEHKDVVHKFLMEHFRVTEPITTSLSCSEEDVGEFFVDLTMSGLEDEKSSIVVFDGEEVVAVCLNAIKHCSTSSDTVSFDPHRDYSTEISNGTYERENANKLAAFVQVMEQDLAFLTGNSKKIFKIDVLCVSKSCQGQGVGRKLVEKSLENAANEGCDYVATVATAVASQNIFAKAGLNILLEMPFFCFRYNGEVVFENLRDGGISGKLMGKRIAKSEKNEEEEEEEDLR >CRE18551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1041487:1042709:1 gene:WBGene00058059 transcript:CRE18551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-knl-3 description:CRE-KNL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LLI5] MSQEGNKTFMARASLFASPADSAAVPKKRVAREAPLPAPVFPDESTIQHESPQRSHNRSQRAPPTKVVEPAPEVIADAPEADEDDVEPMEVDTTVVPSPEKAPQSSPPRGDTPCSKSTTPRRKSGRKSMPTPGKRLFFSSRDTETSECHAADQSTQLELLMANSGNEIPKALKNYIQDKKEMSEYDMQLRREIEEMEAIRKSRETMLKNATEVASRSHILSTVVQEIAPLDLTLRREQAPVDVVKRLYSKFLSITEEAGQKKIANRKALMEKETTKKLKSHLERLENIKQEKDQFQETLLEKYEQESTIWNETAKQMEQLELLSDFLKPLPIF >CRE18888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1039022:1040967:-1 gene:WBGene00058060 transcript:CRE18888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18888 MAREKKARKSNVAVPIPEKMATPEKVEKVVEAEMPETNGKKHVNGFLRNGKPRLSESEKPLGDREKEPSTPTSDSKKAKASGPAGGSQCVLLTQGIMANDSVKIDAVIRIMNSEIIHATLRDLQPMQVLPLLKIIESRLKTRNAVDIRPTIRWAQIAFSIHMPYLSSLPNLEKEIGGLIGWLRSRVGHHKELLALHGKISTIADLIKRRTNNVVIVQQPLVVFNNDIDSDSEDFDTIASDDDGESSEDDWWEDNELKEDGENQDDDDDDDNGSDDSEMDSDSADSSASGSDNDDNDDEEEDMEVG >CRE18887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1031765:1033967:-1 gene:WBGene00058061 transcript:CRE18887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18887 MTTTTVIPDAIMRTGLAPAPNIPNPRNAGRKKSNPVWEFFTDLRTHGLAGVRCRFCHWVTNDRSPTTMKFHLKRKHDTGPGGLWAICEEKINSQAPANYAPRMKKQPEDMLLKALSQPSPFGFNPFATAEIKMGAPDDFLQALIEQAKQYSHSSTSPSANSIEGNASNENESLTSDSVFLSKLNNTYLITKEEDSSSSSVSGSTHTSTSSSDFHLNDGSSIAALLQIATDSDLVFTFNARKSGEYCFENNAKNHKMVTLTDCGNEIRVTQLENEEEIRVEMWRKSDWQQFTWAVRGSCLHFLKNCTEISMPTLKMEPPTPKEEVQ >CRE18885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1026178:1027970:-1 gene:WBGene00058062 transcript:CRE18885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18885 MDIQPLLSLLRPENMRSMAELATELSSMATNNMKENERDVQRTIAAPKPPTPEQRPSQMIGGAIQNLAFQGRNAFLDALDKMGSQNANPTPPPPPSTFPTLPPMTFGTPPPPSTPSTVTMPPIFGISNSQDSSSRDNTFDTGGRDVLGVREFKKNVAMGEKIREIRYAEPIGEALPEAQDAVPVKVAAPASASSAAAAAPTLSRPPAPTNSFDGSPFMQIARRFLQVGTGTQPQGASGKPEPLPQIGIQDLVPNADSNFGLPRGKGCLPFISEFMQIAYGKCQDQADEKTFDAWGEELRRAIMTGEIDLLKASQETCRRGAERQQCDSLREAVATCDVIASLEIGTQLQRAMKRCEEVSGMMDQSPAAVLGQLNNLISGEVAQGFFNNFLKNG >CRE18550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1024472:1025831:1 gene:WBGene00058063 transcript:CRE18550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-39 MKVPVRSILALRKASTAVAAVEKVTPVTLSSEFFDHIRHEVYGDSKKVDKVVVTVKTEESSKPVLVNRNVSTAFHCLNHINKQFADDAVLVEVIPSVGGSYFSSVNQPLQDQAEIRKIGFDTLENLNLVNEAYWRSCSLVTAAFLREALDVDVDFKFSDGNIKDGFFSVVVKGLDGNIFTPDELGTINRFGKSYIREEKQLEVISIPSTIAEESGINGDNLIRIGRQVFSTNGPVIRSTRQIGRFLILRSKIAAKSEKDVIVGGVSIPTKQPTSSYSWSLIAKNANQKFSRNL >CRE18884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1021783:1024159:-1 gene:WBGene00058064 transcript:CRE18884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-7 description:Polypeptide N-acetylgalactosaminyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LLH9] MIIARKKLQLQRLWRQRGCRVTAYICLGVLVLFGFVYNNKGKTFSSLDSNSAALKFDDLDELTNKELPGGPDPNTIFRDGELGNYEPKTPEIPSNQPGEHGRPVPVTDEEGMAAGRAAEKEFGFNTYVSDLISMNRTIPDIRPKECKHWDYPENLPTVSVVIVFHNEGWTPLLRTVHSVLLRSPPELIESIVMVDDDSDKPHLKEKLDKYVTRFNGKVIVVRTEQREGLINARSIGAKHSTGEVVLFLDAHCEVNTNWLPPLLAPIKQNRKVMTVPVIDGIDSNSWEYRSVYGSPNAHHSGIFEWGLLYKETQITERESAHRKHNSQPFRSPTHAGGLFAINRLWFKELGYYDEGLQIWGGEQYELSFKIWQCGGGIVFVPCSHVGHVYRSHMPYGFGKFSGKPVISINMMRVVKTWMDDYSKYYLTREPQAAHVNPGDISAQLALRDKLQCKSFKWYMENVAYDVLKSYPLLPPNDVWGEARNPATGKCLDRMGGIPGPLGASGCHGYGGNQLIRLNVQGQMAQGEWCLTANGIRIQANHCVKGSVSGNFVYDRNTQQIQHNTKRMCITISEAGSDVSLETCTEDNQRQKFVWKEYYQSS >CRE18883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1018546:1020161:-1 gene:WBGene00058065 transcript:CRE18883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18883 MRHLLLLISWLFCMVTWSNCAPLDSCSRVDSCSKCVNSTDGFGYRCQWCSTSNSCASEQTTTNNCHVDDSTLHEYNCPMDVSNVKYDESFMRETVLPLIAATHALSYQKLSRSLETCFGENDKIEIINAYEIYCDETEITTCFAYSAYLKDRNAMVLVFRGTTTLFQLIDEGISFFLHPKVQFNVTKGVVDGYYLNAFYSLWEKGIQKDVEKILNEKQDVKMWFFGHSLGGGLASIASSYVAKTYGIEGSRTKLVTFGMPRVGDIDLAEAHDELVPDSWRIEHSKDPIPALPPRTFPDEIDKGSFHHNTEIWYPQGMALGANFKIGSAPDTTVGRSVFPFNIEDHFTYFNVYLESWYLKGCNKENVAP >CRE18549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1016071:1017035:1 gene:WBGene00058066 transcript:CRE18549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18549 MPRFSGKTVIITGSSNGIGRSAALLFAQEGANVTITGRNSDRLEETRQILLKSGVPEKNINSVVADVTTSSGQDQLINTTLAKFSKIDVLINNAGASIPDATGATGIDQGIDIYQKTLQLNLQSVIEMTKKVKPHLIETKGEIVNISSIAGGSHAVSNFISCFHEPNCFQQPDFIYYSCAKSALDQFTRSSAIDLIKYGIRVNSVSPGVVATGFIGAAGLDNEAAKKFYKHMAAHKECIPAGVAGQPEDIATIILFLADRKLSSYIIGQSIVADGGSTLMMAMQFGDAM >CRE18881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1014292:1015365:-1 gene:WBGene00058067 transcript:CRE18881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18881 MCNTKATSKTISKMSKKYSQESTTRKRFAAHHEQLSEISKTVDDVAKRLEQKIERMENNEGSHKMTSELIESLREQLASKTLELSHVTAERDHAVNALNVKENELLALKKNQLKRSRLQEKNDCDSFKKQIADLELKLSEKNSELKEKNEILENMRISRKQAKKDHQKKIKSMAKEMETKIEEIDKFCQENMLESQKQVQQLEEDMKDQIKEIEIAWAEKSNGRQNVDSDCASEISMESGFFELSGRSTAEPTNDFDAIPASTYGRMCSMLNDSAERIQYLEATLYEQNNWMENYLSQTSFLISYFFMQNSLPVVENVLMTMRNTAEEELKWQDQIRREIDDIESRIRDIEFWESLL >CRE18547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1008466:1009868:1 gene:WBGene00058068 transcript:CRE18547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-41 description:CRE-SRT-41 protein [Source:UniProtKB/TrEMBL;Acc:E3LLH3] MARFNGKVALVTGSSNGIGRATAVLLAQEGAKVTITGRNSERLEETKQEILKSGIAADHVLAVVADLATEEGQDKLINSTIQKFGRLDILVNNAGTAFIDSQGRVGIDQDVSDYDKVMRINMRSVVTLTQKAKEHLVKAKGEIVNVSSVAAGPQAQPDMMYYAMSKAALDQFTRSTAVNLIQHGVRVNAVSPGGVTTGIGEAMGLPAGAFEKMASFWESHKECIPSGKIGEPVDIANVIAFLADRKLSSYIIGQSIVADGGSTLVMGMQAHDMMSILTSP >CRE18880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:982390:1004934:-1 gene:WBGene00058071 transcript:CRE18880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ketn-1 description:CRE-KETN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LLH1] MPFKQPLGERDAANRVAPTFIRPLADKRAVVGETIILECQLEGHPDPAIKWLKDGHNVSMCPDYRIEEDGLKHRLVIPQVQAADSGRFTAQASNAAGTKQSTCILICAPAPTPVPGAKSAVASPAPPQTPVGPSAPIFLKELRHQPLKPGAGVTFEGRVIAVPPPNIEWMKNGKPLQNYRAKIEHDAKSGIISLIIPQMFNDDAGEYTIKASNVHGEAISGAQLLPREQYDRWFANEQTRLTKDRKQGMLSQTLRPSSVAQKQMQKQGYDTDQGSVDMHWTISESETEPELSALDARGVGTKPIIRTPLRGLRLTEGTDAILQANIVGNPKPRIQWHFNGRPLQVSGPRMQMTYKGSMAVLKISMVTTEEAGDYTVVSENRFGKVESSARIEVYPLNVPDERRKENQLREQQERDRQQQQQALVEASLAQQRQRDVENRRIREEQDRLRVLFEREKAERERLEEERRQLEHEKRLRQQQQQELFEREKAEKEERARLEEERRRLEHEKRLRQQQQTQPYHLQYQQQQPQPAHQTWQDFDLVRRPQYASDEYQEPHYAQIRPQQQQQYQHQQQYHQQQQQLQRQEVSHQNLYEQHRRQQQLNREQQLYQQHQQHQHQHQQQQQQQQQEQLAPFHHFNQYQQHLREHHQNNMPVFRQQQPTQVTNGGIKAANGSAKTANGSAANGSANGSAVHAANGGPSSQSARGHEHGAALVNARPPQFLVHPQSVAAKAFETVTFSAKVVGTPTPSLTWQKSDGTVIQSGGKYKIESGPDGSGRLIIEKVDAHDADMYMLVARNEGGSFQSRFSLNVLQAKSPEAPEFTGKFQSTTLYDGDSVKLYCKAAGEGVSFKWFKDNEPISSGGNYAVDTKGNETTLHINNATLKEGGWYRCDATNKHGTTTLKGRVVVNSRQKFTGPAHREMITLRKVDKVERSRTPVNQLQDVSQSKSAPKFDGSLQSQQLIEGQSARLEIKYTPVDDPNLRIAWLLNGKAILASSRIATLTDFGIAALEINPVTVFDQGEYTIVAVNPLGEARVAANIAVVGHGNFIQQQGDSGTQFGGTTYQSKGAQAPAGVQLDLPNFHSELRSQEIFEGQPIHLETKLTPINDQNLRVIWLLNGKELANSEKYRQSLSHGFATLDIPQTSKDDSGYYACRASNQVGQSEQEATIIVHPKVELHQFEQNRQLDVDDVREIQFAHSAQDLTPKFLSQLKPFHCEQELGRSIFEARIQPINDPSLRVSWLKDGQPLPNANRIQIFQNFGVVSLSLHPTYPEDAGVYTCVLFNSHGQAQSSAELTTVWIDTLQLDSKHADSLPIIGYLDSHQIHIGPQSVERPEEFNSLEAPKFARELTGKIEVMENEPVHFEARIQPANDVKMTVEWYHNGNPLPAAHRFRPMFDFGYVALDLLYAYPQDSGTYTLVARNELGEARSNVELVVGTEKVLYLEPHHPEGLERIKELEQDRRQGIAEVEDRTCDAAPKFLNDLPDVQLNEHENIHVDLRVTPVNDPTMVIEWFVNGRPLLTGSRVKTLNEFGFIALDIKGAIAEDSGCYSVRASNLLGEAIRQCQITVTPAGQIMSDTQHQESLGKINYLENLNKYGRVEIEDKGPEGPPVFVVPLQADLGDIEEGEPIHLECQVNPINDNSLKITWLRDGQPIPHGHRFRTFYDFGFVSLDILGFYAQDAGTYTCRAENSLGQAETVATIRCAPKDAILGAVQHPRSYARIQEIEAPKLPPQEVPDLPQQPPAFVKQLGPAIQCMEGDNVYLEAQVTPTDDNTLTYEWLVNGQPLMKAHRFVLSQDFGYIALNILYCYPEDNGTYTLVVRNRAGEAQSSVDINCGHTGGNFTDSFHPNSLGRIAELEAPIQRADPLPDKEKELPKIVKALPPTIDSVHESQTLHLEAQVTPIDDNTLRYEWLFNGNPLKASSRYRVLNDFGFVSLDIDYIIAEDSGKYTLVVYNSAGRAETSCEFQVDRLKSILSDTAHPESLRRIREMEELQPAKPSDDDWPAQPPVFTQQLTGPTEPLKEGQSVHMDCVVQPINDPSLKIEWFHDGRPLMFGSRIRTIHDFGYVGLEFLHVHPEDTGTYTCKASNLIGEATTDIFLECKSRRNIYLDTHHESSWVKIQEIENRVDEREPTPELTFQPPTFTENLADKDDAQEGQSIRLECRLIPVNDPTMRVTWTRNGQPLPEASRFMPARNFDYVNLDILALYGEDSGVYTCKAVSAFGEAATSCTVKCAATKSLLLDTMHDASWKRVQEIENREKLEAVDAEPEKIAPKFVTPLNSSLGELQEGVPIHLECQVEPTNDNQLTVQWYHNGQPLANGHRFRTRHDFGYVALDILYAFAQDTGDWACVARNSLGEAQTVANFTVLPRGTIYTDTQHPESWQKIQVLEAPRAAAPEQPDADHDAPQFIEPLESLERIEFQPAHFQTKVTPQTDPNLRIQWYKDGQPLRNSNRFKLTTDFGYISLDIGHTVPEDSGVYSVKASNAKGEAEVQAQLTVRGNASIIGDTQHEQSWQKIQLIEAPRAPGEEAPDVKHGPPKFVTQLHSLEGVVEGQPSHFEAQFIPFSDPKTSVQWYLNGNPLSASSRRILRNDFGLVSLDLQYTLGEDNGEYSVVVKNSEGEDRTSGNLSCTSRAAILGDTQHAQSWQRIQEIEAPRAPGAEPEGPVYEKPSFIQPLQSIGDLPEGSVALLEARLVPVNDPNLRVQWFFNDQPLMESNWISTSNDFGCVSLRIAPVYARHTGVYSCKAWNESGNAVTSANVGVQGSEGLLLDTSHPASLQKIQELEAIDKYARLDAPEREFDKPQWVQGFENYENVGEGQTVTLHGLVEPSGDPTLQIEWLLNGTPLRNANRFRQELEFGNAILTIVHVLPNDSGVYTCRAWNIHGEASTSATVTTAGYEKILYDSQHPVSWERIQELEAPKIVEEIEEVIQKEKPQFLTQLESAENVPEGVPLHLEATFQPARDSELKAVWQKNGQPLGASQLVQTKHELGWATLDISSVNEDHNGVYTLTISNSEGEAVSTASIRVAGTGPIIGATRHEESWKRIQILEAPKEAEPDAPAPVYDHPAITTQIDDKECNEGDHVHFEALIVPVNDPRLQVQWIRNGVPLAHGSKYAIQQDFGICTLDIAYTYPEDEGVYQLRIWNPEGEAVSSATLKCHGKDAILGDVQHQESWKRIQEIEAPKPKLEEADPEPKGPPRFIQQLSSPGDLVETQPAHFEATVEPVDDPTLTISWFLNGQPMSASSRVKMINDFGWVIMDIAQTEPRDSGEWKCVAKNAAGEAVSTTTLGVQGKEVILHDSLQPQSLDRIRQIEAGKPAPEERPDQEFEAPVIVNALQVQGALEEGGSAHLQTQFTPVADPSIKVEWLKDGQPIFHSNRYKMVHDFGFAVLDILHLLRHDAGEYTFRVSNRNGDASTSTSFQVAERSGLILQPQNEQKAKAVEILEDNLRRRPEEIEQELKEATPVFIEPLSAPVETEEGGRAHFTARYEPVNDNQLQVQWYHDGRPLKNGSRIKTINSFGYVVLEISPTYPEDNGEYTCRAVNRIGEAVTSTKLTCTPKEGIISATQLPERMANAGRRIAEIEAPRPAREDAPDADHGPPKFTSALAGPPELQEGQQAHLECQVTPVADPRLKIEWFHNGQPVNHTNRMKVIHDFGFVVLQLTPAEPQDSGTWTCRATNQHGSDEVSTEIKVVGGGGVSYEWQSPAQRKERITELEDWIHRPKEDLNLPAVDYPAPSFSQDLTDLGQLNEADATAFVCVLEPIGDPTLRVQWEHNGHPIPYSNRISCTNEFGVATLLIKHLIAADAGEYKCIATNAKGSATSTGTVAVESATQIDAPQVVQKLVESVDNILEGDSIHLECRVTPINDPRLRVEWLRNGAPLPEASRFKPTFEFGFVSLDILYAYSEDNGDYELVVINDKGEARSKTKITVLPRPSLDTPLRXXXXRSKTKITVLPRPSLDYTLRPTETNKILLNLTSNNTPRPNFNLPPMTSTMRVTNVLQNSVLNSKTSEFLKENSVVSRLKWRQSTIHTSRSTLDFGFACLDLLYALPDDTGEYHCVATNRHGQAMISAKLACQGASHVITDSQMPQGLRVSNVKKDNANIYWSEQGGPAQPKQKQPPQFTIPVRNLQVTENQPARFECAVTGYPRPKVTWFINGNQCLHGHRFKLNFDGLHYLTVSKSRISDAGEVVAIARNTEGETISSATLDIFQNDDFRQAKLRPANFKTSEELRERELQWQRDTLGSLGPAFEAAPKPDAQKLMHVERAQSPIEPLESQELIQKFTRPRDDQFYNKLSYVELQKPQFKGMELEAVNLKAGKVEKYQPPVEEMERVNLKAVPDKDQKEVEYWERPDWAGQEGASKLPGADEGRFKKLPTPPPELDVPARDQVKLKTAKPTRGKDLEAGERVKLKTEKAKIKEIQQKPEQPKEEPVVHKDTVQLKTQQLPKTGLKGDHFTVDREKDLKETPAVVKPVIEETRISNKSISKNLDIKESHSHETSSSEYTSSFASNQGRVTYQAYREHKESSSSDVYLSVETADSFSQVQRLEYSPRSPRRERVIGFHMIRPQPTKIGQSKQAPPTISQQLKPLQGELGKAAKFTIEFAGAAPVKVTWFKDGKEIKSTFRSQITTTPTNSSLHIGRLENSHAGEYSVRLENAAGTVESLANLTVAPPSTTGKAPDFTARLNDLRIQQNGPAEFSCQIGGEPKPTIQWFKDGQPLPNDDRFQVVEEGGAYKLRFASILPTDAGIYEIVAKNGAGEARCKARLNVNLQKTGKGAEEGPRYEAPRFTSQIQPIVVDEGKGAQFSAKFSGFPEPTIRWYRNNEPVKHSDGYEISQSKGEAILRISAARNEDVAEYKVEASNPAGKASSVANLVLTPRSGRIAKSTISRGGSAAYQSTDKAAADAPHFLAKLSDISARQGHTVKFSAEVDGNPEPSVIWQFKGKPITASNNVKISRDGKRAILELARVTPDSAGEYQIVIRNDKGAATSQAKLTLSA >CRE18545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:980138:981356:1 gene:WBGene00058073 transcript:CRE18545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srj-18 description:CRE-SRJ-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LLH0] MFVNWAHFLIPKVFIVLSLIVNPLFVNLIHTEKVFKFGDYRYLLYFFAGFNVTSALSDLLVPICVHTYRYAPVVFITEGLFENRSHFGSFLIACRCAFISGTYGILNAHFIFRFLVLRYNQFVVQYFKNSGFGAACGLVVFHWFSWAIMTDITMGADGEVRDYIRESFEETYGSMENVNTKVVIFSEASPDVVFRSWVGTIYVTFLATYSIILYLVLGYKIMSSLNRGLTTMSHKTTQMQKQLFLALFVQTAIPICVSFVPCTISLYGSAFRLDFLSWINWSASVAVSSFPFLDPMAITLCLPALRNKLLLRIGFRLSPNTIQTISCL >CRE18544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:978446:979746:1 gene:WBGene00058074 transcript:CRE18544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-56 description:CRE-SRH-56 protein [Source:UniProtKB/TrEMBL;Acc:E3LLG9] MEWLDYNESKCRENYTYFGSADYLRNAYHLTAFLTIPLSLFTFYTIIKVTPKRMRSMKIPLLIAHAWSTNLDLMFTVYSAPYIFFPSASGVPLGLLGAVGVGVKWQSYWGQVSVTMMGVNFIMLFENRQSQITTIKLKIKKKRTRLIYFTLNYLFAFIVMLPFYLDNTDQIEMRKIVLARIPCPTIEFFDTKTYVLLKGGEVIPFWSITIGFSFVILQSFFFLFHTIYHLTIISSAKVSEATKNLQKKFLSSVSLQISIPWVAIAFPVAYSMYADKMNYYNQGKTKSGIEMCRVLDQKVQPFDIQIPINKFSLVSAYNNNAMLIMANHGLLSTCCTLFIYKPYRDFVKQVLTGQKEDRRQSITVGTVASRIGSISN >CRE18542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:974252:975485:1 gene:WBGene00058075 transcript:CRE18542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18542 MSIYWSDYIESSCRQNVSFFASSEFLMIAYHTTAIITIPLSVFTFCTIIRVTPNKMIKMKVPLLIALAWSTNLDLMLTINIAPFMFFPSAAGVSMGLFKSTGIPPKWLPYMGQVSVMMIGVCFVMLLENRHSQITTIKFKIRRQRTRLIYFGANYFLAFFIILAFYLDDSNQLEMRQFVFKRIPCPAVEFYDEYAIVLLKGGEFLPFLSITAGLAIVVIPSLFFSLHTIYHLNHVKNAHISEATKALQRKFLSYVAMQVMIPWTALVCPIMYSLYANKYDYYNQALNNFSMLLMASHGLMSTTCTLLLIRPYREFVMSVFRGNREYDAKMMWATNIRSQGSVMLGSATE >CRE18541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:972158:973500:1 gene:WBGene00058076 transcript:CRE18541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18541 MQNGLVSAEIHMKVWRYTHLCSLLYWTDYVESTCRQNYTYFSSSDFLLTAYHLTALFTIPLSIFTFLTIIRVTPRKMKNLKIPMLITHAWSTNLDLMLTVYSAPLTFFPSAAGIPMDFLATLGVSPKWLAYLGQVSVINRNDKNEIEKKENQNYIYFIFNYVAAFLFILPFYLEDTDQLELRKFVLKRMPCPTLDFFEKNAYILLRGGELLPFVSISVGLLTIMFQSLFFSIHTVYHLNYVKNATVSDATKALQKRFLSYCRKNNFSTVCCPVTIPLTVLCSPIIYSLVADRYDYYNQTLNNMSMLFMASHCLLSTACTLFVIKPYREFVRNIIRGNRNYNANDLWATQIQPASQIIGSVSG >CRE18540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:967876:969114:1 gene:WBGene00058077 transcript:CRE18540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18540 MTIYWPDYVASICRQNHTYFDSGEFLLSAYHFIALFTLPLAIFTFFVIVRVTPRKMKKMKIPMIISHAWSTNLDLFFTVLAAPCLFFPSAAGVPLGTFSALGVSSRFVAYYGQVSIVMMAINFIMLLENRHSQIPMIRFKITNHQFRLVYFAVNYTLALLYIVPFYLDSDDQLELRKFVFKRIPCPVVEFYEKGTFVLLKGGEFLPFLTITGGLIIVLGQTLFFSIHTVYHLNYVKNASVSESTKALQRRFLRYVAMQMTVPWAVLAGPIFYSLYADRNDYYNQAFNNFSMLFMAIHGLLSNYCALFIIKPYRVFVRNLIKGNIDFNSTGTTHNAPQISVRLGSVSD >CRE18539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:966240:967485:1 gene:WBGene00058078 transcript:CRE18539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18539 MTVYWPDYVESTCRQNYSYLASEDFLLSVYHFTALFTIPLSIFTFYTIVRVTPKKMKNMKVPLIIAHFWSTNLDLCFTVYGAPYMFFPSAAGLPLGTLRALGFASKWVAYIGQVSIVIMSINFIMLLENRHSQVPLIEVRITNQKVRLVFFSINYILAFLYILPFYLDEDNQLEIRKFVLKVCIPCRIPCPTIEFYEKYTIVLLKGGEFLPFLSMIVGLIIVLSQTLFFSIHTVYYLNYVKNANVSESTKALQRKFLRYVTMQVFRKVKGLSVLKRKIQMTIPYTVLVGPIVYSLYADRNDYYNQTLNNFSMIFMAVHGFLSNSCTLFIIKPFREFVNSLIRGNNEYNASEMWATHANAPPVSARLGSLVD >CRE18538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:964558:965852:1 gene:WBGene00058079 transcript:CRE18538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18538 MNLTWPDYIESNCRQNYSFFCTTDYLRNAYHCTALISVTLSLFTFYAIIRVTPPRLKNMKTPLLITHAWSTNLDLMLTVYSAPMVFFPSASGIPLGIFGSLGIPVKWQAYWGQVSIFCMGLCFVMLYENRQSQIPTIKFKMKTKRVRQIYFGINYIMAFTIMLPFYLEDSNQIELRQYRIPCPAIEFFDPKTYVLLKGGEIVPFISITISLVLVIFQTLFFLLHTICHLTMITNSNISDATKSLQRKLLGYVSVQASKVNSKEIRSIDFQISIPLIAITGPILYSLYADQNNYYNQAYNNNSMLLMAFHGILSTTCTLLIYQPYRDLIKHIIFGKKEDEDRRTSMATASYIGKGRRSTGTRIVPPVETSI >CRE18537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:961386:963610:1 gene:WBGene00058080 transcript:CRE18537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18537 MYPVSPTFFFSLLGHNTTLKSQTFQHVPFFFPSLIDYVTSAKYWRRRTTPEQDTFFPPLSDPSSSQFQQFFIEDLRSFSRRHSEHFVHFSIIRWKKMDTFLHPIAYSLLSLRKYRYKLHPLRICKLVAFVFFVVVVSLILITPLLSHNEILYSTPKEVPILQKTHAFIHSAYYYPVSKSLGNNAVALVTTMNKRTVPVITDYTINLHGSHLKKRVANVATLTTEHLLNDRCDYSLVLVQTNLLTGMTKLEIESEGVLTEIPFKTPKYSAPKPVVFCVSPQFAAEQWQTFLTQIHISKNVLGKTVFIAFRYGAHLQLYVVSMVESYYKLIKEYEKLGLVSIEPWLTIKFPVTDGPYLEPNRNVELRNQAAAHTDCILMYKEAVSFVGILDMDDILIPNNANSYYEEFEREYGGSWFVSALQYGKADFETIKVAELESQSISAIVRNARRLPTKDQGKSFVRPERFNSSWSHYSRNSDNKPIYWTPKQTVPLMMRKKQMKFNGIFHMKNMYLKNLTDVRDGAVPLNPGDNITQLISEEHLAEIDAEMKSILSLEPIAQLSTTLPKDDFYMPIIFKCYNDSFYHLRDTKTLMNDITCVNAFDCELPQREDMPCIHSDATYHSGPSMFPITFHWATDAFFTNEIGCYQ >CRE18536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:957438:959362:1 gene:WBGene00058081 transcript:CRE18536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18536 MEPYFLPMTSTIVSLQKYRFKVHPIRVVKLLALLSSVIIYFLLKIMPRFQSQSEPEVQLFQNTHTFIHSAYYFPVSKSLGSNAVAIVTTMNKRTASDITNYKMNLIGTSRTARWLKEATLTTEHALNDRCDYSLITAQSNFMHQMHTLEIESEGIYVKYFQIPFKTPKYSAPKPVVFCVSPQFAAEQWQTFLVQLHVSKRYGAHLQLYVVSMVESYFNLIKEYEKLGLVSIEPWLTIKFPVTDGPYLEPNRNVELRNQAAAHTDCLLMYKESVSFVGILDMDDILIPTNANSYYEEFEREYAGSWEISALHYDKFDYTTIKTGELKSQSITSIVKNSRRLRTKDAGKSFLRPERFNSTWSHYSRNSDRKPIYLSPHHEPIFWQRKLVSNNGIYHLKKMFYVNSTSVPGGALPVNPGDNITALISEQHLREIDEDMKKMLTRPEIANLSASLPKDDFYMDIVFSCYNESFYHIRDTQWLYNDITCVNAFNCELPQREDMPCIHSDATYHSGPSMWPITYHYATDSFFSSDIGCYQ >CRE18535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:955813:957172:1 gene:WBGene00058082 transcript:CRE18535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-13 description:CRE-SRAB-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LLE1] MYNNTTCETMLTYSTSVELRISLLINWFILLPAFPIIGYSFYYSITQKMFHKNTQIQITIHLAALLIHCTGRFILHTADLFNYFYPTTDGCKLLPNFYRCLFFRFLYNSGQAVVSMTPISVTLERLIAVRYNRAYENCSMNYGLILGVFQIFIAACYLTTRYIHAAFSPSSFTFFYCQTLVSSTITTAETIIPLAMIIFSQIISFVVFRFLEIKNKRLREVADINLSTRYTLDQGKRSFTALSSFVHYNCLMVSTIFMGIIVLYFFSSNFSKPNHMAIIELTHALPLYGIVVCIAVWWKLRILDGEQRKNITVALRGGQSVHTDLYFQMFEKQLE >CRE18879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:951468:952166:-1 gene:WBGene00058083 transcript:CRE18879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18879 MIKMRWSPASAKTAQAYANTCSDGASGQGNVGENIYLDWSEKNPSSLDKDGINAAVSWSEQFSYFGWSSTYLDKETLESGIKDAIQMVWAKTGYVGCGVKNCGPDPMSLQNNGEMCWMRIFTKRARVARTVLETGCVRMRPDFVREATPLQHLAKLENILYV >CRE18534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:949780:951373:1 gene:WBGene00058084 transcript:CRE18534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-23 description:CRE-SRAB-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LLD9] MSPSLTPDCAQMEAFASSNNLKISLAINLLIATIAIPVLFYSIFFISTTHMFHKNTRLQILVHLFGLLLHSIGRLLLHITDLFNYITRNGNSCEIIPDFYRCLVLRGFYNVGLAVSSMCSIALVLERIAAYAISEHYEHCGASFGVLLVLFQIFLSMCYLFSMYFHAAFSPGNFVLYYCQTIASSTGSVWFVIGPLYCVMAAQILSRVMFQVLMAKSKKLRSQKLTLSSRFNLEQSIRSLAALKLLVNANTIVFILISVVTTTLHFNAASLSKPDYMALVEAVHILPFYGIAVCYGVHLKLRVISKSDEKSLWTAMKLDGDKYFDQFRQQIK >CRE18533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:947491:948812:1 gene:WBGene00058085 transcript:CRE18533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18533 MSDINGIIFSEKYTKDYDKTFIILYIVEGLFIILANLFLTIRLFTNRQLRTQKEFIVIGACLFFDVIFGITYFSAGVYRAIVVFVYEKFPFVSMWDCFMTIHNQFFIFITIMAGIVLVFTAIDRFICVFFPIRYIKLHVEYAYMLMFLPYLIILPLWIPAAIGAYENYSVKNFTMNCLMNQALTIDYYVLYRTIRIVSTIGCIFLYLPIFVKMCVVSFSNLSCFILIVQRTQSIYNHTKIAPGSTKNGKRLLSMTKTVALITGSTVCLFTVPDLITYFSPFINSNVMYLMNLNKGVVNLIIFLTTQKCLRDLMFPRSARKTSAMFASRTTRTNHTIIVHQI >CRE18531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:945027:945557:1 gene:WBGene00058086 transcript:CRE18531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-78 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LLD6] MAQSVPPGDIQTQPGTKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE18530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:943892:944836:1 gene:WBGene00058087 transcript:CRE18530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-comt-3 MSTVAKSYNKSADSVVAYCSERTVIQSPLQDELQKETLANAPMSMMLGAPEVLSFGQNFIRSFGGKRVLDVGTFTGASALAWALAVPDDGEVYTFDIDHTNYKKYGVPIISKCEKTFKKIHAVEGSALEAMDKLIADGQSGTFDFAFIDADKVNYSNYYDRSITLLRKGGVIFVDNCLWGGSVCNPDTRNDESTRAIHEANDKIYSDDRTYSALLNLGDGTHVAFKK >CRE18878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:941102:942026:-1 gene:WBGene00058088 transcript:CRE18878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-comt-5 MSVVAKSYNKSADPIVAYCSEHTTIQIPLQVELQKETLANAPFGGMLGAPEVLTFGQNFIKSLGGKRALDVGTFTGASALAWALAVPDDGEVYTFDIDHTNYKKFGVPILSKDQKTFQKIKTVEGPAVESLDKLIFDGQSGTFDFAFIDADKTSYPAYYEKCVTLLRSGGVILVDNSLRGGKVADENQRNDPQNAAIHATNDIIYKDARTYSALLNLGDGTHVAFKK >CRE18877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:940422:940854:-1 gene:WBGene00058089 transcript:CRE18877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-53 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LLD3] MAQSVPPGDIQTQPGTKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEEKFLIFLFQDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE18529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:938397:940104:1 gene:WBGene00058090 transcript:CRE18529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-86 description:CRE-NHR-86 protein [Source:UniProtKB/TrEMBL;Acc:E3LLD2] MTTKLEEESSPFSLPVSPMESNSFNSSSSTAEKKNCAVCREEGDGYHFGAEACRACAAFFRRSVSLDKKYLCRGSNDCDIAANIRCMCRACRFTKCLEVGMNPAGVQQRRDTIGKRDIKHESPNMNIYQALGAMVETTPTTSIPSPLVDDLPMSFNEEMTILTKMRVNYRKMDNARLVIHRKDGQSLFKEKAPKAVNYKEAAEQSIREVNLVADWIAWCFEDFVELPIDQKKVLFRNFYTPFSMLEGAFLCHINDTSNAIIFPSGDYIDIENLDKFFYDPDAEQPMTADEINKCCRMFQPSFELHRRSLILPMMAEKVDVFEFFALCTILFWDFGLDDQTDECMIIGKKVKDRVMRELAFYLRHAKKLNEPAIRVATLLTLLPAVQRSVRRFQEDIEITSVFNIYAPGKAFYDLVNGKFC >CRE18876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:935900:936546:-1 gene:WBGene00058091 transcript:CRE18876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lbp-9 description:CRE-LBP-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LLD1] MFAAFIRTAHCAMKNMPIQTDIVGKWNFVSSENFDDYLKEVGVGWAVRTIATKTKPTLEFAIKGDEWTMNSNSTFKNYTITWKLGNASDEKTADGREVSSVFNIEGDKLVQLETGKGGGKDSRIERYIENGKLVIVCTCNGVKCTRVYEKA >CRE18528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:932360:935711:1 gene:WBGene00058092 transcript:CRE18528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18528 MVNNKNCLLNLLFLFFSSTRCQHIRNDDFLTRTEEKLTTDRDFYDQWMELITLQNSQLEEQQTDYPLDYVLTDLAQCGPRKTAVPALNDVHHLQANSIKIYGELGQLSSYCPSNYTLLQKGILGPCNLRSSEMSLPSLPSMLQLRGAQLQKIESNQLDESLADQARDIGENIRRIEGFENEWKMIVILATIQDGKASETGQTAVEVLAAIEELQKLIPEKTFIVVLRSSGSGIWRDASHQSLACKSQLAQWKVHNKFNYNSVWDQVEIIVEKNYRKPQFHVEVLPLLKDPGLTNLPDGVDLSVLGYDCAHFSERGLSLLHLAVWNSLFTRNSARESQYRPTASQVLCPDPTCPFIRTPSNSDMCIWTGTMPDDEFYWVDYLIFIGIWVLLMVLLVIIFYCICVTRRVASEKTPTKAFGASFSSIKFIDEDVV >CRE18875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:930607:931114:-1 gene:WBGene00058093 transcript:CRE18875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-142 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LKF8] MAQSVPPGDIQTQPGTKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE18874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:925408:927008:-1 gene:WBGene00058094 transcript:CRE18874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18874 MFLIVISLAIFGISKQSSSFNSTCPVEYWNLINTDSIPNGDIHAEWMRQNWSRRDNMFQSELRLLNGYLYADFIAVTTTVQYKTGKPAFCRYFDCNQREISNSTWQSSFFPLNIVYCVRRAGAKFVSISFEEDGFYAKPAVPLMFRAFEEPIHEIGMCVGPFYGPEKRWLTLIEFVEHMRIYEVSMFYFTIFDMDGYSRLALDEYERQGLAETTVIQTEYTQLDWMFHLIQLHDCFHRSRSHSQWVINADIDERFVMFHPDLTLIQLLKSQHHNVGELNFQARRIQKTQNSPEKYTNLLETIDNLEALKFLKTAMTRIWESSKSIYRPEKVAVQTYHHTHLQYPGVFVKNIPRDVAMFRHYRVTTVRNSIGNGWVYGDNFTDTGLEPELEKLLVHKVANMVEHVYELSK >CRE18526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:922713:924629:1 gene:WBGene00058096 transcript:CRE18526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18526 MLPKTNKNWKQVKSQLRLPWGILFLVLSVISVLIWFFSGDEQPNRLFYDEMKLMETGEENAFIHSAYYYESSKSLGKNAIAIVATMHKGAVTDLNEFVLKIVGTNSTTRLVTDARLSTEHDPDESCEYTTVLIQANTLNSMTKLEIETRDGLVPLPFSEPKRDSPRPVVFCIAPLFAAEQWQSFLMQLHVTKKFGAHLHVYMMTMLEHYYQMVRELGELGVISTQPWLSVKFSQVGKPFLEPNRNSELRNPAAAFTDCLLQYKEAAQFIGFMEIEDLLFPVNADSYYEEFEREYEGSYQISALYYQIIEQQSVKYSSPEKQSLGALLGSAQGGDAMKNGRSIVRPERYNSTWTHYSTQAESQPVYLTEQQEDPHYLNRKKISTNAFLRFKNLEYSPGETVNSTVIPMNPLSHDQPLLNEEQMKEIEDGIKETLLLPTLQDYIKRLPTEDFYSTKLKECLDNQRHGKGHCVNTKSCNLPNNDKIPCRHSDGLYHSVRVMKPYTYHYVTEYYFTRNLGCYE >CRE18525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:920728:922194:1 gene:WBGene00058097 transcript:CRE18525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18525 MRKIAAVCCFLLVFLAENGVSGAVNDTLEMELESNITVVKLEHGLKFQAHSEFGLPENMTDLFNSTAADSEGVRIEIRQTIILRNRNKTGANNSTLPELLEHPRSRRSNKDDSSNSEESDHQKSHKKGHDHKKDHEKKDDDNDKEEGDNEKSKDNNQKAEDNNQKAEDNNEKSTEKDDKKEEDNKKTDDKEDDNKIDDTVHNSTTTSSNSTQSAGPDKTLQTFQRFPPEFPQQPATEQKFSWTVPTRHVPRQPTTRRVFVMYEDYELEKKTPKKEKDFDFDFDRRSP >CRE18523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:915376:915667:1 gene:WBGene00058098 transcript:CRE18523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18523 MADKSAYMSAGGYSSGYMGSNASSSGYAREDYASGGSGGGPSNNQGSGGNTNPGAQVFKARTDQSCYLGP >CRE18873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:909880:912415:-1 gene:WBGene00058099 transcript:CRE18873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18873 MESNFSNSDSTLKPINNISKIQNKVRKDTVFSENDEYGRRPTYAKKRKEKKDVVFYTNFEEVLQKVNAFGPYQIFCFIVILYASIEWAGNSTFMHVLGSFEPDWNCTLANNNTVKITAPTNDSSCAYIKKNCKSLSPIKQNLEFFSIVGQFQLICDDEDKVEYIEVIMAGSSLIGSIIGGHMGDHFGRQTIFFTGELLIIITSMMCTAAQSWIAFAAIQGVNCFIYGVIETTSLTMMVEFTSNKYRVIMVNAFQWPIAYMTSALIAFLTKGWQVYFVFLNLVSSPLAIGFMLFLESPRWLIARNELSQACDVLNDIAHQRWNNTKARFTTKDISAIHKTEKQGFYWFYHLFRFLNIQVSNFSTKRLAKQSCLQIISVLTYAMVSNTYLFTVTGLHGSILCVSQIISPFSDSAIMFTFLDGVFRLFVPIVIVIFDLMVPSFGRKIQFLGSLVIEGILFGVIIALVATGTCTYDSKPVTILVIIATMINDCIFWINIVQITTQRYPTVIRCIAFGFLHSFRHIGAIIGFIILKPLLTSNWSTGAFVIPEAMIILTIIVGFFFQPETKGKALMDQMVEANYGRMENELPRALMRLAAGHRVDQSEMRQIHRKAMESAKAAELMGQKVESPWVFKEKEGEKEDKKED >CRE18522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:907785:909607:1 gene:WBGene00058100 transcript:CRE18522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18522 MRPLLFFLLPILSLSIPLGKQTGYDDAEARRLVKLAAAAYGDRHATCISKAFGNGENFHIENMEQKSCDHLDSTCAAYAVVSDSTKRIIVVFRGTKSKSQLFLEGWQSVNHGIDFFDMGDVNRYFLNAHLVLWPEIEKVITNPRWVDYEITFTGHSLGGALAALAAARTAKQGYRPGNMIKVYTFGQPRVGTRLFARNFDALLPNTYRVVFRRDIVPHMPACHKNQTFISEHEGGAKPCHADHLDYYHHGTEIWYPDEMTPGAHYVECLGAPKNEDFACSDRIKFFIDQSESYTWDHRHYFAVKVPPYGKTGCDDTMPEGKPSVFENVVKRLNLLTRTIGLD >CRE18872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:898819:903850:-1 gene:WBGene00058101 transcript:CRE18872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18872 MGRRSNTKSDEKSDDNSAATSVKILKRAPNGEHSQETLPAVEGDDSNKENNIVISPKRQKTALVPEVQQEEVVMILSDEPEQTSMDHDHWWQDYCSEVMNLRKDVAESNEKLQSLIEMKQTDGIPDFITEVADLAGKVDEGMTALSDHKAIVETAMNLNSTLLAKYDQLAQNFSTLAKAQQKWVEEQDHAKKFNSIIELISPLHEKINTIGTFVSGVVAASQRPLTDGKERDPSRIPLKKKYCVFCDKLTHTSEECKTVTGYDDRRAMAKQKNICVKCIGQYKEEGQGHVNCPQQNIVCPRCSAKVENKAMSAHSETFCFLKKEQNTAKDRPNAPPRNGLYQCFRSPHLRVKTLFISTVQKAFADSRSWTNKYLSAVSCSCRSQRQSMLPNQQNRSRSTSPEDLTSGNSSRGNLLTRMRHNSPPFQATSLLQRIVGPLKTSITKKCKTAGELIESSDHAIALFEDPHAEHITTHQQELVDAEEILEDLHKTSAALQNLGEYIKSKFSDPEMQASPEKEEYMSDVKNHLAQAQMDEIILLINHNANKLEIILATNTPSIENISPNPENSTMSDDRDHGDKHQSPNENSVHSEDAVPTDHRESNSSQSSTYHETSSDLPNQLPEPISINESMLKQAETGNRRLQEEVQRLKLNNEKKLLAQMATEKQRLELEKERLLRQETQMDLATARSKNSSEILRNIEHTARHSDPTAISVQTDSNQNIAKDAIVQSAVTPIAPTPTSQPNPSYIKDKIQANPTSQETLLINVMNKLSSIEITQNKTNTAIFAEMAKGQAKLETLVDKKLEQRLRDLIKAEDASVTPDGDAENEEHFIEEYEKQGCTADEPSGTSRSNSDKRHRNCSRSRSPSHSHSRHRSRSSHHTDISLDTLIQHIKAFDGTGKLDIFEKTFANSVMKHPKLNDDVRYAILTTLVKGEATPCIDQSTDSKSAIETTLKNLRQVYGKCNDKYNLLTKLKQLPFHQSNTRQMRLDVVTHSVVLRQLTREWLTQCLEIHNMMYIVGKLTFP >CRE18870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:890443:891922:-1 gene:WBGene00058102 transcript:CRE18870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18870 MRNNSVNVWLMGTAICDLIMLSWIPYREIEEFSITNAEVDYECIPPGSFFKTYFDNYCNAIQSMTRRLYTWIGIIMATARVLIVSNPMNPSYDKLTKPYFAILSMLIPMIFCSLGPIMFLVPAVTSNDIQWKPDESCGYPKNFTMIKYGGAIDGWMFNYTMMAVVVAIEMILKIISVFSLPIVTIILIRLLRKAEASRKKLQRNSENSSNDHTTKLVTVMTISLLVSEGPGSIVTVILTMLEEDIFLLKIVTSIFSSWNLVVILNSAIHWLICVSMSSQYRNCVKEMFPCLAKRQNRRIEPSLDSGVRRSPSSSENNGSLFTRTQRY >CRE18521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:887713:889720:1 gene:WBGene00058103 transcript:CRE18521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tnt-4 description:CRE-TNT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LL94] MSDEEYSEYEDEEVEEEEVEEVEEAEAEVEEEAEEQEEESGENLNEAEKAMLAAKKRHENDEQAKLDDYEKIRRAEREKEEEELKKLKEKQEQRRLAREQEEREAAERKKLDEERRKREEEDRRARAEEEKKKKEEEKLKKAQMMGGGFPGQQQGGRNFVINKKEESAGVGDRFGNIVQAKQEMGMTKEQQEEAKTVFMQGIRKNIAEASTILPNDMKAKIKELHQRICKLEAQKYDSEKRHERQEYDLKELNERSRQVARANNAKNGQINSDDTGGRHPPKVQIASKYDRQIDRRNFKERRQVYENKVAFPCFPGVPPPPAIYEKIIKKMDYEIQQELDAAEEEEEDY >CRE18520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:884752:885580:1 gene:WBGene00058104 transcript:CRE18520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18520 MADDKKLLAEFEIISLDDIVVVQDSEGTSQKEPPERTQNKNEIEIAREKLAEKLKILKEKQKEQKLEREQIKRDVDELKRSNEERRRREDENRKVSAKQEKGVEHGEESKSTIEAKHAKFEDYEKARRAELEKYLRRYRENHQNPELKRSQTSSLSRGNSKTGTSGETSKASKLGKPNRRVRRVQSERVWEKKRIQQCEEREANNQTLEE >CRE18519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:881392:884350:1 gene:WBGene00058105 transcript:CRE18519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18519 MELVDEYQLGVSLAGWYKSIETMNEKHSKKNANETEKAMLAAKKRNENDEHAKRLHEGRRLKEEKERLRIHEERLKKQRLQTAMFGNGNFTADEQTRFILEEIGKQVEEEIRKKEDERRSLTEEDRKQMKRENKKQKHEEQKFRMAQMLTGRSSGQPQSARDKKMRAKLTAKLAQRSKTEYAVRKAAFEKETNRVMLEKTARQVQLEKEEMRLKLQEEARRAQKEMEATLVQREILEERLRLFQEKLVREQQALEAAEKKQKDEMEMKRQKVKQAEEEARKGAAVEIRKAEIMARIAGYELIRYAALRKLKARFLENEKKLESRIQQEELENEEERKKKVEREKECASDDQLESVEESDYLESLDFETEEYEDESMVEEEEENGNSTQHTYRLTIVATEVIKKRDTSVERSLKERVLRRLKVKLQNRILARQQEKREEYNSELKRQKTQETDVNLRKEDDERLALVEEDRLRDVQYMRRGYRGDF >CRE18869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:876463:878912:-1 gene:WBGene00058106 transcript:CRE18869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18869 MVQKKMLAHPEQFSRLIDGPERIRESVQVSKPITGCPDGITKTVWDVLTRSAQLNPNGPLFGENVNGRHVFTTYREAIEEATIIGSGILATMQKLHSTNKLIGIAGIHSRNYMHTMHAISGFDLTTVPLYHQSKLETLCDIIDNCKLEIIFCENASRAEGFLSSKTPERLQTLKTLIILDKTTTLQKHDDVEIMSLDEFKEIGKKNKRNPVKPKPETIYVICHTSGTTGRPKGVEMSHGSLLAAVSGIFTSWTIAYKWMFGQEDTYFSFLSLAHIYEHLMQTLAIYFGGRIGIYDGNTATLIPQIQKLQPTIVSLVPRLLNKLYEAVHNGVAKKGFIARKLFEYAKRTKTRQLQNGVLRYDTFFDKIVFKKLKLMLGGKIKVLTTGGAPVTKEVKTFTRYAYGCPLVEGYGQTECSAAGTLTLPWDTTYGNVGGPAPWSQVKLVDVVEKNYMAVNDEGEVCFRGAALMTGYFQDPELTAKTIDSEGWLHTGDIGKWLPTGALQIIDRKNEMFKLCQGDFVSPIQIEAIYANSPLITQIYVTGNTERSFLVGIVVLDLPRFKQLPVVKALDGKEGIEVIMEKKEVKNAVIAELNKYAKENGLQTIELIRNVHLTLQEFSEENGLVTSTLKNRRKILEDYFAPQIAKMYKEIESL >CRE18518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:874985:876345:1 gene:WBGene00058107 transcript:CRE18518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18518 MYIHWTHHYLPKVFGILSFIANPIFIYLIVTEQKSSSIGKYRFLLLFFAIFDMTYSTVELLAPVGIHGTGSAFVVCLTDGPFYGRSSVRIGQIAVSIRCGCISLSYGILIIHFIYRYIALFFPKLVSRIFQPSGMVCIFIFFITHGIVWSTICELFLYADEEMRDYIKEAFKKDYGVDSYDIAFLGALYMEGSDSVKRRSWAGILILTGISTYAVSLYIVLGYKIMQKLRNNPALSVNTQNMHRQLFRALSVQTFIPICISFSPCMVAWYGPVLGLELGMWNNYLGVIALSAFPFMDPLAIVFLLPGYRNRVLRRIPKPQISIATIVTKSWNRGYTTVTFLHRQL >CRE18517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:872924:874397:1 gene:WBGene00058108 transcript:CRE18517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18517 MMLLVVILILIQLQDCTGFKCLCSGNIGSACPDGCDAGSYCVTTWIVAHGAVRDQGCKTTRTDLSDRQCQTNRKGLVSCVCSSEKCNDASFAIPTDVALIVPPVIKCFSQDLNEDNFCFGHYCTYSAELVMNDFGDVFPTPYKPTRGCSDDEYSDDLNSVNVCTSSNNIINCKCNTEFCNRAQPFPVPLGNVLCYVSSAYSDNYPVGLKYCRGHLCYIMQTDYEGKVGRGCLSVSDGAPEELKKPGAYLSYKYCDQDLCNGDFMADAPSVINGEGSATTPQP >CRE18868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:866742:868167:-1 gene:WBGene00058109 transcript:CRE18868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18868 MPSHTFTVNTEEYRPAEWDDEDFCIKVPRTSRENQQLKYGHVEDDNVDIAYLNFPILRASLIFTFISLTAFIIAVCAAFQTNYTPLHDTELIDNSIIIMYGAKVFRCRTFLKYPEDGLLSILNLLELNVWGNVIFRLATCLTIAVRFFHSIVFRNLLLNGFWRDVPNPIFRWLCDLMPMITLIETSALAMFSVITMHSDFKEINHFCKSTFAIVSVVNMCIPTVFHFILSINSSKRSEASVVFIRAVCTIVFGYCAPQYFQFHIGWTKESLCHSYIPRHFAIMEYSLLLAYITFHLLALKDLYGLQFICYPRSCSGECEPLKPCNYEKGEKYEHCRAFEHNQWRLRNNYKF >CRE18867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:865326:866303:-1 gene:WBGene00058110 transcript:CRE18867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18867 MLRFSGKVAIVTGSFRTVHGIESSSNGIGRAIAILFAREGAKVTITGRNIKRLEETKQMIVKNGIPEEHVLEIVTDITSGEGQNELINLTIQTFGRLDILVNNAGTGFLDAEGKTGVDQNISDLDKSINLNTRSIVTLTQKAKKYLIEAKGEIVNVSSKAAGPQASPDFIYYGMAKAALDQFTRSAAIDLIRHGVRVNSVSPGLVRTGFGETIEMSDDAFEKMVQSMESNKAYIPCGKAGQPEDIANLIAFLADRKLSSYIIGQTIAIDGGSSLIMGMQAFDMMDVLKSQ >CRE18866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:864057:865073:-1 gene:WBGene00058111 transcript:CRE18866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18866 MTTRFTGKVALVTGSSNGIGRATAVLLAQEGAKVTITGRNAERLEETKQEILKSGISEDNVLAIATDLATEKGQDELINSTIQKFGRLDILVNNAGAAFNDAEGKTGVDQDVSVFDKIMQINMRSIVTLTQKAKKYLIEAKGEIINVSSIGAGPQAQPRFMYYGISSAIDLIQHGVRVNSVSPGAVTTGFGEAMGMPAGMNEKYFHFVESHKECIPRGSIGKPIEIANIIAFLADRKLSSYIIGQSIVADGGSTLVMGMQAHDMMDILKS >CRE18865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:862066:863148:-1 gene:WBGene00058112 transcript:CRE18865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18865 MGRFSGKTVIITGSSNGIGRSAALLFAKDGANVTITGRNADRLEETRQLLLKSGVSEKNINSVVADVTTSEGQNQLVNTTLKKFGKLNILVNNAGAAITDGSGVTGTDQNIDVYHKTLQLNLQAVIEMTQKAKPHLIESKGEIVNVSSIVAGPQAQPGAIYYAIAKAALDQYTRSTAIDLIQYGIRVNSVSPGIVATGFIGAMGMPDDMARKFYDFYPSHKECIPARVSGQPEDIANVIVFLADRKLSSYIIGQSIVADGGSTLVMGMQAHDIMDILKP >CRE18864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:860116:861113:-1 gene:WBGene00058113 transcript:CRE18864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18864 MSRFSGKTVIITGSSNGIGRSAALLFAKDGANVTITGRNADRLEETKQLLLKSGVSEKNINSVVADLTTSDGQDQLVNTTLKRFGKLDILVNNAGAGIPDTTGAKGTDQSIDTYHKTFQLNLQAVIEMTKKVKPHLIESKGEIVNVSSIAAGPQAHPDFVYYSLAKAALDQYTRSSAIDLIQHGVRVNSVSPGAVMTGFGEAMGIPQEGFKKLYDFMAQHKECLPSRVVATPEDIANIILFLADRKLSSYIIGQSIVADGGTSLVMGTQAHDMMSILTAP >CRE18863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:858613:859634:-1 gene:WBGene00058115 transcript:CRE18863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18863 MPRFSGKTVIITGSSNGIGRSAALLFAQDGANVTITGRNADRLEETRQLLLKSGVSEKNINSVVADLTTSNGQDQLVNTTVNKYGKIDILVNNAGAAIPDSQGATGTDQGIDTYQKTLKINLQSVIEMTQKVKPHLLATKGEIVNVSSIVAGPQAQPEFMYYAISKAALDQYTRSCAIDLIQFGVRVNSVSPGVVATGFNNAMGMPDEATQKFYDFMASRKECIPALVAGKPEDIANVILFLADRKLSSFIIGQSIVVDGGTTLVMGAQAHNLNVLLGLSTV >CRE18862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:856055:857482:-1 gene:WBGene00058117 transcript:CRE18862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-58 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LL82] MASNTTTTPTVSIPLTVTASMETVTADTTAQIFTIVQLIYGIPSAGLLIFFFFFLFGKKYSNSFYRLVQFDLLVNFLTYINSWFAVRIDRHPIAIPALKALTYAFPGFLTWTRYFAYFFMHMQFLSAIVLSFHRISSVFLHSQYNKLWNWGLIPFCILCIIYCSACNLLIPGFITEVYIYNGTLTKKMFFSVIGVALNLTGIFSAIYFILLVFVGLATSHLVTRKIQAASFSNGGIGKKLTKIAGTYGIIYSGILIWSCVSALNSNFKFLPTIVSQISSNLLPFASDMMTLALPYILFIHDTNVKQDLWRRKTESRIISRINSTSFVVSR >CRE18861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:851240:852447:-1 gene:WBGene00058118 transcript:CRE18861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18861 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LL81] MENYTVYMENVTIDSTTEIMAIVQLAYGIPSISLMIFAFFLISFGKRYKNSSFYRLVQFDLLTNILVYLNTWIAIRIEMHPNFVFIVKFVESRLPGLMTWLKYFPYWFFHMHFWTAELLTFHRMTSILFPYNYENFWNRYYPFIFIVICVVSHLPKYIWTGFLYEVYIVNGQLISINFPKTLDTAINVVALFSTIYFVLNIINGVLTIGLASRKIEAATTSKSNIKKKLTLISITYSLVYTAEVVWSVLNSASSYLHFLPPWFTKLNNSLLVFASDMFTLSLPYIMLAFDTNVKKDVCICCKKRPVSNQGTIVFVSQS >CRE18860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:849311:850515:-1 gene:WBGene00058119 transcript:CRE18860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-61 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LL80] MSSNVTPDNIFSMEAVKIDSTVQTLATIQLSYGFPSFGLMIIFLFLFGCSKIYSNSFYRLVQLDLLTNLLLYFNTWLGIRIEMHPACIPALKFIEKTLPGVLTWSKYFTWWFMHIQFLTAAVLSVHRISSIFFPLRYEKTAVILIRTFQFWSQYYFIFGLVFFIYSFLPTLLWLGFGNEVSIINGILSKKRNSENIVKATNVTAVFSIIYFIVILTLGLTTSILVSSKFKAVSSSYENVAKKLTRIALTYCFVYTGILMWSVITAMNSNLNFLPLFIVAINQNLLVFSSDLMTLSLPYILLIFDTNVRKHVFRRKTPVNSNNFGFTSFLISS >CRE18859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:847379:847684:-1 gene:WBGene00058120 transcript:CRE18859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18859 MNVFLLLVGFGLVSGFPSDENGPPKRPRTESPENVGKSATRTVSKPTSTTIKTPSVSPTLSTQQPLATDCYFSKIRKSNLEQLERSFNAKVSTQCDGATMK >CRE18858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:835134:836295:-1 gene:WBGene00058121 transcript:CRE18858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18858 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LL78] MSDSITITSMEIVSLDVWTIGLTYFQAFYGIPSVFLMLFFFFFFAFGKRYIGPFYRIVQLDLLVNILCYLNTWLTMRLEKIPIGIPFLKVLEHYLPGLQTFSRFLANYFMHFQFLTAAFMSVHRIIMMKKRNTTKLFWRKMLAGFTFFAVIYSFLPNLVLYHGFITKIELVGGTLSRTKNDALFKKGANITAVFAFFYFLILAFLGSYSVFTVSKLKKMNAVVSITKTLTKVAYTYCFLYVGILLWTVFTAIDSYVDWFPKIIKENNTVILGFFSDLMTLSLPYLLLRLDGNVKRDFFNKSNEIKKVIVIDIS >CRE18516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:832212:833831:1 gene:WBGene00058122 transcript:CRE18516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18516 MKLLFLVFPALVTVCFSQELIDLSDFSGNNIKNQLNTVPAPFGIYISAHSDSANLLSSMFIVTGNGKQISLNELKNRKAADNPSELEPYTVDDTAYLTTALTKYQLSQLNGVMYIGIFNQLLNNNFFVMDISNAMNIKLQRLGKINSTILFLNTNMALNPYKSSVISQWKQNGDSSAYLYANYPMDTPETKNSQIFSNPMKTDQTDVFFSNVEKFSIIMKSFYLKTFNGGPDFTVTPGYCKWGIMFFASLREQLSSDSIDRTTTSAYTTTGFYMKQSGKIDSTMTINTMRDTKYSGVTGANIIGSLPVGGKVQVGEYDGASKNEFSIPPDDSIVPWSAPVIGQNIQISSENGAPGQYYVQYFVFQGQLSTGATSLPGRQTTVNPGNPTVPGKTTTKIETTTKSSGLLNTIGSMIIMTVYCLVLK >CRE18857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:829723:831017:-1 gene:WBGene00058123 transcript:CRE18857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18857 MNHLYCFLIFSSLISSAFSQQLISLNGFKGGNAKNDLQVTEPCSIYVSASSDDEITLRNIILVSEQTKISLFDLKMRRLPLNTGQLQRYPIKPSAYLTTTLGTDELKNLNGVIYISTLKQTQNNYFYVFDVFDAQNINMQGLGNVNVVSVFLNTNNNTNPVRSTKISNWKQGEQARALMYAGIPMDSIEPINTQIFSNPMHTDITDIYFSNVEQFSLGIVAFYLKTYSGCSFRIEPGYVPLAGSTTTSTTTTGFYMKPYGVLDAALTINTLRDFTKTGYTGSNILGHLPDNGVVNFDVYDGSLHYSQATVPNDFFAPWSTPHIGNNFVISSANSRDGQYYVQYYVSRKFLFPSMAGELSSHRKI >CRE18515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:825175:829469:1 gene:WBGene00058124 transcript:CRE18515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18515 MKIPITEQIIIKFMTVVFPESFFQTFFVEILLQSWNRTIPALIVLFVGFLCLASLCSNYLIINFTFICMKHDFSDGYIEHNGTIQSKYDYSTSEKKWILWSVAAGTIIGTIPLNTLYVKFGARNPFMIAGLASCASTALIPWSAKINFFMLILLRFIQGFAYSADFAAIGLMTVRWAPLSETATFLAVLTCFNGIASTITNFGTGLICESSLGWKWSYYLHAIAGLVLFALWFLVYIDHPQETKRVSDQELQKIQKNKSEAHLSKKCDVPYMKLVTSPIILCVWANAFFDLTAAIMFSTYVPVYLHEVLKFGITETGFYASLILGLSLPVRFVFALVSDKLKFISETAKIRIFNTVSVGVSGLFFASIGYVSKKLLFNMKIAEPIRFIPMEQRGWSLFCSIMTMCCIGVNSGGFYKSGVLHSRQFAHVVITAIQWMKCLALFVAPALVSVFVSEESNRLQWIWVFLVLGGCMIAINIISLFILTDQPAKWTETEEINEKL >CRE18514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:822638:824656:1 gene:WBGene00058125 transcript:CRE18514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18514 MSLWGNYYRLIVIAVGFLCLASVCSNYIVINFTFICMKNDNSEVFVDGNGTVRSIYDYSSSEKKWIMWAVAAGTIIGTIPINLLYVKYGARYPFLVAGVVSSLATAFVPLAARVNFFILILLRFLQVSTLLFHRYFCNSIFQGLAYSADFAAIGLMTVRWAPLSETATFVAILTAFTGISSVVTNSLTGLICESSLGWKFAFYFHAIAGFILFVIWTFVYIDHPEDTERVSQKELGHIQKNKSEAHLDRNTSVPYKKILTSPVILCVWVNAFFEMSAVIMFSSYMPIYFHEVLKFGITETGFYVALVLFSYMPIRFVAAVFSDKFRFISEKLKIMIFNTFAVGGSGFFFACIGFIPAEHNMLSLSFFILTMCCIGVNSGGFYKCGVLHARQFAHVVIAAIQWMKCLALFSAPALVAIFVSDESNRLQWMWVHLVLGGLMIITNFVSYFIFTDEPAEWTNSEVTERFEKS >CRE18513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:819829:821494:1 gene:WBGene00058126 transcript:CRE18513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18513 MSKLWGNYYRWVILFVGFLCLTSICSNYIIINFTFICMKNDMTNAVADSNGTLHSIYDYSSGEKKWILWAVALGTMIGTLPINVLYVKFGARFPFLLAGLASVVSTALIPWAAGFNYWLLILLRFVQGLAYSADFAAIGLITVRWAPLTETATFIAIMTSFTGISSTATNSVTGVICESSFGWKWSYYLHAAVGTFLFFLWYVIYIDHPQDTKRVSCKELSKIEKSKSAAHLDKSTDVPYRKLLTSPVIWCVWLNAFFEMSAVIVCSTYMPIYFHEVLGFGVTETGFWVALVLFIWLPVRWVSAIMSDKIKFVGERTKMLIFNTIAVGGTGAFFAIIGFIPAENKYWSVAAFTMTMCCVGVNSGGFYKCGVLHARQYAHVVIAAIQWTKCVALFSAPAMVALFVTTESVRTQWIGVYLVFGGLMQITNLLSYCIFTDKPAEWTNTDEKPVSKA >CRE18856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:815701:818587:-1 gene:WBGene00058127 transcript:CRE18856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18856 MSSESKKKKAGLGGKLKPSESETSMKTKEQQQKKKDAQNSAKVVRLLGNRSVYHVPVEDFVRVSQLYKQQQASGNMMMTADLHLYSDQSIIAFVNFIQNREIKSALTYHAIAELVQLAHTFQMKDLKFCLEDCMVEAAKSSEADLLQALLICDVAHVNPDTEKSLQNLAIETIDKLVTLPDFHKIPFHQLFQILSSCELPITHEMFVADVVLLWLNGQNHVNPFAPALLSCIRAKFLSPVDRTIITERLNQLNMPYKLVRLATRMLESPSNQRVCLEPGHIRKNLARYDNLLQSSIDSEIISRCGANVNPASFNSHTSLPLSRPTFNMKMKKSKEPKARPAFDVSLYSEGYSFASNLQPSTAPRVIDLINPHPKPIQVEKTVPKKEMKKKQKDAKSKKTPIKKTKKSRCACLDYVKSKISKKNYKKGPAFKDPNAVKVPPPGNSSKNLTSTKSSITSKMSSSSKTNPNSSKLDKSLEKRRARKRGKIQGAIKTSKSNTELNSSKSKSVGRNGSSMSKSMSSRKSTF >CRE18512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:813345:815589:1 gene:WBGene00058128 transcript:CRE18512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18512 MSDVTILVETVVKEEMNETINPDFRIYATVLFSDHSLQYYATIIVNILATPISFLSFYLILRHSKHEKMSYKFYLFASHLSFYLAQLQMGLLGGLVTLNPFPGVYCQGLLSKYFDAFTLVVSFLYMIIVFSIKIIDVLVFFCNQSYYYVVYGIIAFFVYFPVPGLMFLSRRTPEEMSDFKFPNNLQVLEHNGIHIFTELDKTSFVNFVMVGTIVGAFGYLVGIYICIYRATRVHAIQKSSRHIKEHLRSLYNMIIQTTFIGAFMTISALLILKSFFNDPSVDSRISNTIAYSCLCGGSIPSQITMISRNTAYRNFVLRRKAAYRNSTSTQNNRRSTIVKV >CRE18855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:811775:813072:-1 gene:WBGene00058129 transcript:CRE18855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18855 MNESVEPAASSQIQRSFVTLAIFVIAFIGFIGNLAVFMYATNLKIFQNSFGRLSASQSFAEAVLCAVFLCFYCPMVLLDISSFKTASAHVGLVLLFCYDVCIFSHLFIAINRLCAISFPIAYNNMFRQVIVVRNTRILIGLAYAIPCFTSIYMHLAHNCLLPYVDFGWYFGVNTTVDCDVIRFYVDFCKDFSVVAAIAVIDIVTIIMIKVTAVETRQTSVALAESEKKRQREITFVKQCLIQGAVFAAELVLFFIVSSKQTHPVLIFLCTTVAWSMVHTIDPLVLVLLNREFRNMLMKNPMRWSRNNSNNRVAASG >CRE18853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:807776:809299:-1 gene:WBGene00058130 transcript:CRE18853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18853 MENDTSNSQNFRKFTSIENSNRSLQQPLMDCINIFSVSINFILFYLIISDGRRRESKLYRSILLIQTFSLWLSQLHWGSFHGLVFLFPFPGLYGIGYLTPYLSSYVLVITWLSLFAITVLTKFLILIVRLRGVARQDSYFRFSTVTYFIFTALLSFYILVPMPFCWISSGSSVLETQTFVKNNYPNAMKVLDIPGVFIYTDSWKFRRILIVATVLMVTGGCLYLVLCQVILYEIHQQCKIWSEKVLKYHRKALKDTVIQTIILTVFIAAAPVFHILNAFRDPEADIITLTIISNAIFVSSPIPYAMTILYQNTAYRRFIVSMFRFRNKQKTPRIGATIQVSMVNIKNSPRTSNSGN >CRE18511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:806294:807468:1 gene:WBGene00058131 transcript:CRE18511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18511 MEVDPRIYYSVENPDRTLQIYLMPVVSSISTLVNFLLFYLIFTHSEKESVSYRSVLFFHNVTVYSIGPLKDYFNCFYLTGLWFALFGWSALMMFWVLLVRLRALARQDSFFSVSLTNFLLSTPLYIFLVYIIFQFTQKTYCTVIIILTFYVFVPIFCAWIPGYSSEEKTREYVMKWYPNCLKALQLTGIFVNTSPAAIIAVTIVSFGLLGSGAIIYILLCVIIVHEIQKQSSTWSNKKRNYHVKVLQDTVIQNLVKCVFLAVAPAAELWNIFLDPSRNTICEYLVPRFVSSFILSYSDNYGSQCHIRRRSYPVHFADVCPKSNLSEIFHEKVSLFIFF >CRE18510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:804288:805897:1 gene:WBGene00058132 transcript:CRE18510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18510 MCSISLEIDERHYYIVENFEPKPQITVMLFVNFISISVNVVMFYVTILNSKNESMMYRTVSFIHNFSLFLAQFYWGSILNPIPLLPLPGVQSIGVLRGIVSTFMLLVFWIFLFAVSIFMMYMILLIRLRVLARRGNMFHLRDSTYIIIFLVIFIFVFFPILSRIIPFHVSEESMKNHLINYYPNCLNLSNYPGFFVFVNTCQSKKGVFVVLVSLFGGATLYLIVCAILIYEIKMQSYAWNVNKTKNHIKVLKHTIVQNIFRFLFLAIAPAIVLRNTFLPPETETTNTFSKNIILSVTDITLFANAMFTAAPIPCAIVILIQNTTYRNFLISKIYCCDRKTPTDNNASISSKSLAPSTVLQKTTTIL >CRE18852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:800630:802022:-1 gene:WBGene00058133 transcript:CRE18852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-114 description:CRE-STR-114 protein [Source:UniProtKB/TrEMBL;Acc:E3LL07] MSNVYWLQVTDICSSIGLFASVFGNGTLLILLCGRSVHGIGTYRYLMITFCVFSFIFTLLEKFMRPLMHHYNNTIMVLQRKRFNFSDSTARVLSASYCGCFAMCFVMFAVHFIYRYYVACQPDKLSYFRGKNYIYWIVGMLSIALSWVTVAYVLFEEDEETTKDLEFILSTCYDLKHENVGYVPYSFYQTISSERTLRMDNLIGVVHHLTVMQLSITAVFYYGINTYWKIGHIKGKSQRTRDLQHQFFTALVVQTTIPLIFMFIPNSVLTVAAFVDGTFGAWANVTVVMNHLYPAADPFVILFIIKGFRNTVKSEFCLNKLVLNLKFSDFLLCRGAPQTAIISVNGTTKKQDHKSYSSVIY >CRE18509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:797192:800531:1 gene:WBGene00058134 transcript:CRE18509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-23 description:CRE-ACR-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LL06] MKMHWIYSTVLLLTVVPSRLTLNANLPIQYELANNILENYQKGLIPKVRKDSPINVTMSIQLYQIIQVNEPQQFLLLNAWVVERWVDQMLGWEPEDFDNETEIMVRHDDVWLPDTTLYNSLEMDDSASKKLTHVKLTTLGKRRGAMVELLYPTIYKISCLLNLRFFPFDMQKCRMTFGSWSFDNSLIDYYPRHFKNGPIGLANFLENDAWSVLVTHVDRVEKKYACCPVNYTMLHYDVVIQRKPLYYVLNLIAPTAVITFISIIGFFTSVNPFTNFCNVSSSVHDLRQEKITLGITTLLSMSIMIFMVSDKMPSTSTCVPLIGLFYTLMITIISFGTLAASSVIFVQKLGSIGTPPASNTMKWTHRIAPFVWIQMPLVMKQAYAKRAKEEKHRKRMARRDSMWNKVYKLARDHSKLIATSQDGTQKFNQISDYKNNDINIIDSPPSNMEESGTLETFAAPMDTSYTESLNIPDLNREPSHNSIHSVYKPTEIQLSPFNTRNIVELEWDWVAAVLERVFLIVFTVFFLFSAIGINLVGWFYWYMEKEDIQAFDFF >CRE18851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:787984:789564:-1 gene:WBGene00058135 transcript:CRE18851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18851 MNSTNETFHFLGTEVTVEPAASTQTQRFFVTLTIFTISLIGLIGNLAVFMFATTLKTLQNSFGRLSASQSFAEAVLCAVFLCFYCPMVLLDIPSFKIVSAHAGLILLFCYDVCIFSHLFIAFNRLCAISFPIEYSNIFNDRNTRILISLAYAIPCFTSIYMHLANKCLLPYVDFGWYFGVNTSADCDVIRFYVDFCKDFGVVAAIAIVDVGTIVMIKVTAPGMRQMSANCLQSQKKREREITFVKQCLIQGAVFATELVFFFIISTMQTRPVMIFLCTTVAWSLVHTIDPLVLILLNREFRNMLMKNPIGRGRTTRVTYSTQPIDNTSSHN >CRE18508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:787115:787960:1 gene:WBGene00058136 transcript:CRE18508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18508 MLNRKTLVVLAVCALLFISSIDCKKPAKGEKKGGAKAEKAEKAEKVDKVKKVKAEKIVVVDEAETVNETAPEAHHYVQEDVEEADEVIVQPQTLQRRNVSPPKSLKVASAYETCKLECRKQRDAVHAADYVEQLRSELASAEAALAAENAQVEAQHAPVVNH >CRE18506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:783274:784735:1 gene:WBGene00058137 transcript:CRE18506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18506 MSSETILLYPPPKKSTYPIVSIVVEKKGDETRTSMRQSEIYAYSPWIRIGGGCMIMPKINYDEFQPGDWFKSKPVYATDRSGEVADERELTCLQKISPSDHNICKIPPTRVKNGNVQIVCAFMLCPKFIQNLTTKNSFGCYKKLYLLDIGQGFIHSNHPFFRQLDSMIYFGVFEFRAKDNGAVNFSSRSILRNQPNSLLERDCMWELVDILEDVVGEEVKEEMENKIGKLREAAKSYHEELKNRPIDESAVKKEALNERGFESERRPSPCSPPNKNASPSVPTTSNEIEYLDVESYRSRIIVSGKYREADGVVVEKWKDLETGEMSTSDDILVFKFNARYGVIEHSTPVTTTPTTSHSSNKAVILNSESEENQREEPQPVEEDLDVTMYKLELRGDNNANPTPKSQNDPRR >CRE18850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:780561:782197:-1 gene:WBGene00058138 transcript:CRE18850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18850 MLSKSPSILENGQGLFGTHVTLEDINSSIREHMNTESQLTSDSQMDVIGDGNGFSSRVILITCNWSIPSESLPKKIVLKIVSFVHIRQLVEKAKNEGYFKISEEDEEKMSEEFEKSIQLMHNQEIRFYETLKSANTDSQRFLTPKVFFYQKFDDNNSTKGFIGMEFIERSDIRHTYEVCTPEEIQPVLRAIASIQALSFTIPEEDFKRIEDGSVYIQTMHGMMAEEGMKGIFEHTKRTDPERFAERITRIQSHGSNLLNLEKAFDLNKFLGIPRNVLVHGDLWSANILWRSGENNVFSACKILDYQMAHTGNPAEDLVRLLSSTLSGSIRQSQWENILEQFYGYFVEALGKSQKEIPYTLEQLKQSYRLFFPMGGLALLPLFGPAIDMKMKSMEKEKAAEHRQVVVEKLECLLDDVEKFYLESI >CRE18849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:775185:776435:-1 gene:WBGene00058139 transcript:CRE18849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18849 MTGFRLGSNKKEKLSPPGTAKPSALVDEVSAPRNFGIKNYLHQFYVTPTGDDLEGGAMGGPMSGGAWYLLPPPPAQRRGLLICRICTIIGLLFLIAGAVTICIGYTWKEERNIEQSIERFVLFQDENGGLYVPRDKLEIILQDPMRLWKSVGFGLFTLGSLLLAFSLAIPTAAAMVGTTRFAAFASPDNSPNEPPVRVFPHSSNVTGMSSGPVPVMEEIAKVQPSEKRSPTVTTDLLDQEVH >CRE18505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:769332:774360:1 gene:WBGene00058140 transcript:CRE18505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-40 description:CRE-LIN-40 protein [Source:UniProtKB/TrEMBL;Acc:E3LKZ9] MTTPTTATTTATPTATATTRVTYAVGDFVYFDDPSATDAPFQIRKIDELVKTEKGSVDARCVIYLRRRDIPQHLLKIADQAQRRFDNYYEVDKKKPENFTTKGFIVANGSPPEEIKEEDPEDDGPPKIDQEEGVAPAPINQGRTSSEDAPENTSDDSKLKEKAESESTKLIDWGDGGLPLGIEKLTPDQRLRLRQHEIFMTRQSEILPATLIRGKCRVVLLGDCEEAENYLPHEDTFYHSLVYDPTAQTLLADKGAIRVGEKYQAVVDDWMEPEEREAKEKAEKEAKELAEKVKKEEEEARIQQEKEDEDFENGRDQLRIDEDEEMPEEKEKKEVDDEIKIESNENEALQTEKPKTSDSREVPVWHPYHDLVDRDIDQYLIVARSVGLFARAIDGASAPKLPTLQLAASFASRDVTILHAHAILHQANYDVGQAVKYLVPVASREAYPCQVDDVSGLQTKTLGGPILCRDQLEEWSTPEMNLFEDALDKCGKDFSEIRADYLPWKSTRDIVEYYYLMKASNRYTDRKKNKPSANASDEKFTNIYIPPFNKAIAASIQPFNTSQNLVRSEVPCENCGTLDGINWYQWGGVEKKVLCSTCWVKWKKFAGLDQKHELERFDKTRPPLLDQPGAAPGSNGNDKPPVLQQQQQKPATPTGLANQTPQQRAAAQLLTFRNGSGGTGTVSKQNLLSVAKEALARGQITQEQFIQLNRNFAMHVMAQQQQIQQQTQQNPATPAQSAGPQKKKITPATKPAVVFYTTICRRAVRRILPKSAFNLRRLSRRPQTGVDEEKILRAMILIDKKTLLQSANAAAGEKRHLIQEPDFFKGITHLQHTLTSAATVKRSASQMTNPGEPTAKMPRLEPSGPPPRQVHFARPHPPIVGRSGLVAPSLEDVGNNLLAMKSSHHRPLATAQIVTNQNSSPLLRYYLTQGVPSEGATSSQYSSASSIMPPVTPTNQMTSPAPVQKPTYRERIRKLMRTKTDSRWFEIREEDVKLGIGPKLKSKRRQLMSRHQTRHVARHPCKFHKFLESYHTIISPIFLEEIPETLKKPPTET >CRE18504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:762766:765425:1 gene:WBGene00058141 transcript:CRE18504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-132 MPPARTAGPAQPVQPMVASPPTGSMIPPTQSLSSATAASASSSRRPSPIYPQNHHRKYRTSPVKTTCSRSMSLERKVYKIVLTGGPCGGKTTAQVRLATFFENLGWKVFTVPETATILLGGRVKFSELDAQQSYIFQRDLLATMHQIENTFFNQASAIKDRNVLVICDRGCMDPSAYSSPEDWSQMLKDLHYEEFDLRCSRYDQIAHLVTAADGAEKYYTLANNATRSEGIGHAMELDKRTRSVWIGHPYMDIIDNKNTSNFDDKVNKLIQVVCDRTGIRSGDRLAKDSKKRKWLVEAVDWANFGKFEEFEIEHFYLLSDESNIQHRFRRRTQKGRSTFTLTSREYFKESGDSIETRMNVLTRDYNTYVNMKDKSRASIFKKRRCFMYGNMYFNMDIYSDPLPPQANGNHLIFLETYTTVPKGTPLPDGAMPPFLTIQKEITGESQYSMYSLSKYTKTANKNEFAGADKYKDD >CRE18503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:752902:753888:1 gene:WBGene00058143 transcript:CRE18503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18503 MFLPEFSTTTNALSPFRPFKMGVIGLTAVEVLATCLGLGLVLAILIVICNCVSTCWETISHRRKKRNVEKLPEDYVDEIHRLLHRNQKPCDYDCVVIESRRQSANLEYISDSRVDSTPPPQPVVIPRGKSVEKQELSTRKSPPSKNVRMESEETINTNTTNSQSTITASENGDLTGPCDGKSKRRTWGRLSNSLFDTAMMPSLDTTTTEDTMGTACVFLYGVKKIACL >CRE18848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:754378:754803:-1 gene:WBGene00058144 transcript:CRE18848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18848 MKFSTQLILCLLLCILFVTVESSLPDWWHLRSPFPKDFDEWSDSRPYHGRVIRPMRVTNE >CRE18502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:746589:749346:1 gene:WBGene00058145 transcript:CRE18502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18502 MGAECSKFIRDLCSTIFCCCRKRKRSDLTVLPPVPRHPDPYNLSTQQYYSTNNRFQDNDFQRQMAENRQRFDQRMDDARRQVGLPPSGFSADLESQNYQIGREERERREEAEHQKRLEKIRAESREKRERTRRLNDESEREENRRLRMEREVQNDRVQEMIERAREDNKRKDRETEYESERAIKRIREEGKFDNEEHEREKERLQLRSRLVDDRIQNNDLNFRRENIEREQELQRAQDEQNKRRMEIDKDFERKRERMYEEAERRRTEMNRQFEEIQKMMLRKVWNEIIERNWTNRLNSLRNANNDSKRMESRCLRATPNPSDVEEWKEVLKTLRRTMHDESSNMSQMYSNTGKSFLIDIKDSVNLVAQKCDALLGALHNGENASHLRQLSNEVDRSCMSIPTLAELKNNYYTEMRNHSSL >CRE18499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:724708:725895:1 gene:WBGene00058146 transcript:CRE18499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18499 MATDIQQKYWIKRITSLTRSVRKGCTTCKRRHGLPYTYPFATSLPTVRTQSCRPFQHIGLDYFGPIGYKTETGQTGKLWSMLTTCLVTRAVHIEVVPDNTTASFLLAMRRFIGRRGSPKTIISDNAPAFTLGYTMINADINTLINSSQTLTCFLASKAIEVKQITPFAPWQGGVYERIVAIVKNMFYKSIGRLQLSFLEVETLLIECEGIINSRPITANPVSISDTEAIRPVDFISPQAELSLPNHSGDTTTAHMGLTEKQTREYLKHLDNIRLQLWDQFYNSMYTGYNAPTYKNKSHCTIAPEPNQVVLVHTPNLPRYRWPLARIIELLPSKDGQVRSVVVKCKNKLVERTINQLIPLELSTAPVETTPDAPPVASGQPTSAPSTSCAPPVSRN >CRE07561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1789067:1791504:-1 gene:WBGene00058147 transcript:CRE07561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07561 MQVNTPPEQHFLALCNDFLYKTKDFIAPIDPVLNAIRGMKMNQDNLDGLSSFILEESANLRKILCNLCEENKESPCEELKDKISASAAQATVIHTKLKNLIKDGILKTDGISKDELEHFQIFVQLVSKRFDHSLCKLLIVTVKRPDEQKEFDNEIEKLFRDLRMKEKTWSFACVQNYLMYIDHLKRTYQPKEIATSLTEIFPDLGFLNGKSFIASLNHFATLAKKVDELLSNKFLKAVENVHSFVPLNASMAKHQCFQLLQLIDLKSEISISTYSHLLYRYNVFADDIFMRIIENRENSNYADALKNEFTSFGFAFRNTLFRKSNLNNHSLDSPNIDVVFGSLLLANFYEDFCKFQQRHCMFFIVKPVTVIYKFSDKDGSIFLTNAIRQYSVKAHGTQVLFSILFAIERGKHFLKQDIDDFKSYLTKASDIFEQTEIIVSLKVFHQMACVNDPIRTNSVYETVRKTFNIPSDTELVNFYHMPRDENKTEHNLDIYNWFQAPHTNHEMRRNYQPARPVQRFQPARPVHRFQPAQPVQNVPHVQNAQHGPPVQYAQYAFPVQYLQPVQNVQHFQHVQPRQNVQHVQYSQHGPPVQHSQYVFPVQHLQPVQNVQHSQHVQPIQHVQHVQYSLHGPPVQHSQYVFPVQHLQPVQNVQHSQHVQPVRHVQPVVRNQNDPDDIITID >CRE07560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1783636:1787774:-1 gene:WBGene00058148 transcript:CRE07560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07560 MYSVIASLNTFTTSIILIIITCSWVVQSVPVSTSSYRTLLYGEKLKGFLTISPADENGDTYIVSFDIKEKPNDTLVWAVHTSSAPYDMAVMTDNCRRVKKSPPVVKNMPVTDSTINGDGLAGNTLVVSGDNSEVLACATVIIPGKELMHASFHQEPIEGHVHIIPIKNNAVRLVPDLKYMSKFDYVEPEKKIMTWAFVDSCFNTNDSTKQTDYSEVGVDSRATFVYTLPENYTLEALALYREDKIFACADLVNVEPRTLKSLGVTLRQNHYFEPVVGLKSPPKELHIRDDCLDISTEIIHKSYMSYYPSVNIFGSESIMLKVTALSLVLNTSCSALRPQFARPAAIATFLHPIIGRIALVDTDDKILLTGEIRNIFDEMSTRATVLVYKYSFERFSFHICFQVSNKTAESTSCTHTTCDTCHRVENAAVVVGKNEKAVTMTGVFNWFNISEMRSAIIDLEWMKVCANLTILPSGALTMHAMVKRVAPFSSATVASVVALEYPANNYTEILINKKQKFTDVQAHFRPLDRSITSGPPCGDQNLGGVTKVSWINGLKRNLMSQENDSSVIFDENFVTGATSMLGTSILLKEGKEVFCGHFEPPCDRTVAFAEFGHPFDGYIKMTQYVNSNWESGFPTEIYYSLKYSNQTDPTVNPVYFLLLLQKVILLSHRNLSLGTLYEFCPIGSAANRSKPLLMHARWHLTAQSFPLSGPYSVVGKTLRLRSIKNPSQVGCYPVKKVSEATFRWVVYTNTSLSTVQKRISEKTKVPTFKIEVDYTRELYQTRCTIFRITILEEDEKLEQILDSFDVEARKFINDTELVCSGTQALSPEATNAISQNIITSSSVSHSFVSIPLPKLLIFIILAIRFL >CRE07559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1779637:1782006:-1 gene:WBGene00058149 transcript:CRE07559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07559 MRSRLWPFWFSLLYFLSLSEVVAQRNATCSGTVVLNATKDFQYLTTPNYETSYKYPAFLDCKFFIKAPDKSRVVIDIIDLEMEPRIFEECSDFVGLSEDVTEKNFSRIITLCESLRMRQFISTSNTITVIFQSDELFEFRGARLSYRYYELPSCPPGWTELSNETCVRLETDQKTDWVRAQNRCLDQRSNLLMLENDAKVNELEKLYKAVPTKLWTGNNDAAIEGKLVDISSREAPHLISQTFSSLTDNNDDNDCMTLQFGDTEMLRMDSCASLNGYICEMKKDGTSVLYDPPIEEIKGGSFSKTSQYTLWILLFLIGLLFLTILAFLCFICWKQKDARVHTENATIQQNAFMSDSAHRADQPRVAAPGQNTVHIANDTNRTARSSPVVVPIENNRPPPKKFPMAPVPNRLPMHEEPSGSEPAVETAILGGDNDIETVSAAPQPQPRTLPPMPTREGTFQSINTRFVIPSDLHIQVIDFRDGSTMRTRRNKELFERPIMHVLDNVSAISLDEFWSNKKP >CRE07383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1777296:1779343:1 gene:WBGene00058150 transcript:CRE07383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhhc-9 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3M2L7] MCNERMNRLCDLIDRKIDDGTFVPMMNVANSTMRQLGKFLVLFVYFISTFLAFTSFFIIIPYEQLYKPVWFLVTLGVMGLYFLFNIQYHYYKARTIPPVVNPGEEGDAFCEKCNYWKSDRAHHCSVCERCVLGMDHHCIWINQCVGSHNHRHFFLFVANLTLAAATIIIAGYQSFYDHLFLVRDAINAWFSTVIFRTTLKQLIARQYYNMHLFRTLFAIMTVSGFARTSVVFCYLLSAILLIMVGGLTLWNVYLISIGCTYIDYLKLTGSKKKLSARKRLNKGFKSNWKNFLGLRRNRTFFRCVVLPMALPPVKYEDINSRQDVFDVV >CRE07558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1772564:1775164:-1 gene:WBGene00058151 transcript:CRE07558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07558 MEELRQLHEVYLSLLAQNQQLTSRRLNYLFNRNSPYLNRRATQIVLESAHRDDDRYLRALQRAIPYDIKRQERINIVTRIPRFFKNNAALWQVVARYVAFVITEPDLNHYELNKFEYAQFLLCFPRCRFSKYLIVDTKFFLAACTQILDEFINDTHRIAVLAYLELHVKPTWGLYEMQQVSNAYGIIASRVPPHAMECFVRLILLSENYCYHSMMAALTPHAESGQLRLVDAIDYMRTKCVPCSDNIRIGTVDDDLKFSIEIQFVLALLNRLNVYGVDRVMRLLQKQDLVDSDVLQEVAKSHIRALETTTDNQPESHAMIFFSRQAALTKYAPEYKDRVLERVLRFGEDRLEVMNDTQCRVFCSILCTVSYPKRTSRKEGSDELFEFLFNRLMESLPKLGTNDVCNTTSTYDTFTDLFTKSLNIALTKTQTTPGSPVAFFHRKAFGIVELQFDADGEIIPEDPTMDGENNNENDSDDSDSDDEDDESDEEEEDIEEILAENEENEGEMDFEDEDDDDDASSVASEYTIGSTDDEDDLEWEQFVRDGEAGILHSQWEVRRQENLLIGNGSDNTAFYVGVSSFVVFMASAVSTIQSSLDINDDYRLF >CRE07557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1771542:1772031:-1 gene:WBGene00058152 transcript:CRE07557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07557 MSVAWNVQNGELDAVKQTVNDQNVHEVYNGRTAVQIAADYGQTAVIEYLISIGANIQDKDKYGITPLLSAVWEGHIDSVKLLLQHGADRTVQAPDGTALIDCTEEESIRELLKN >CRE07556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1769336:1771046:-1 gene:WBGene00058153 transcript:CRE07556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trpp-12 MPDSKDNLRMLNYDYDDKKHLLYWPESARLKSTKPETRGSMHYTMPGIKEEFVRSPIDHRFQDSFNKFFTSYDLDLNQRGLVWFIKKGILEGALNGTSDMLTWLGQGKDKSGRPSEVTQITMELWTLRFQLLLNLRMHAQLLAELAAFEELDAPDLFYQYKTSNKTGSLVPFAMRIIHAEALRFSPFPWSSLIRIEALRLQVEQIVNIFRMQSAPTSHVEDWEKRLECVKLLYVRVLHELGEYKISIKMLDKVRQETKNQEEKIILTRALMRMAMQAGDEKAMNYFAEKANNISSGTNEMIVHKAMRSIFLGSNNHAYEYISRVPITDDSAVTPQFLNTKAMIQLYSGKAVDAVRTVCLVKPIIPGPTTTNLKTIAELCYSTATKDELMI >CRE07382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1768788:1769108:1 gene:WBGene00058154 transcript:CRE07382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-15 description:CRE-HLH-15 protein [Source:UniProtKB/TrEMBL;Acc:E3M2L3] MLTEDGGLDTTSEEYRKLSKAERRKRRRATPKYRNLHATRERIRVESFNMAFSQLRALLPTLPVEKKLSKIEILRFSIAYISFLDNLLR >CRE07381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1764339:1768395:1 gene:WBGene00058155 transcript:CRE07381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glr-7 description:CRE-GLR-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M2L2] MSIPAKNAYAQNILLTLDKNDSFAKSAIRHLNISKMFFLDKFRIDTVTLARFEFNDTSDINSICSQMVNVSFVISVCRTPSSIGLVSELARISQVPTIQVDLNYWSLPLYFNDTSAPTITSPSYSTMVLSTLVFQNVITDIFADLNITPNSTVLYDNIYPPDFTAWRGAFSVLPGVRFVPMETTVLKMRAQITQLRFQSINSLILVAKTENVERFTLEAADYIEQRVFNIYVLTKDITAFKCDSCESALMFWLRPFPVGKIMEVRDLDDYLFRNEIGLELDYSINGWDSLNVAFYMNVMGYAFETIREMNATFDVLPTFTCEAGPSESNITTTVREVILNNPAHEYGNYTETENNVFFQSVEVRIYKIDRDRDHEDALFNKEVGVGIWTPINKLSVMYGTLQVDVRSLNIFRVVTLIQPPFVQRTGDPNTPYEGYCIDLINMIQVEVNFTYTIYEVEDGSFGTMDDNGNWNGLIGALVSGSADIALAPLSVMAERENDVDFTVPYYDLVGTTILMKKADVEYSLFKFMKVLEWPVWLCIVAAYLFTSILLWIFDRFSPYSFTNNKERYQNDIEKRQFSLKECLWFCMTSLTPQGGGEAPKNISGRLVAATWWLFGFIIIASYTANLAAFLTVSRLEQPISSLDDLAKQYKIEYAPIKGSASETYFRRMAEIEETFYNMWKEMSLNESMSPRDRAKLAVWDYPVSDKFTNMWRYMQESKLPPDMDAAVDRVLNSVDGFAFIGDATEIKYAALTNCKLQQVGTEFSRKPYAIAVQSGHILKDKISSAILMLLNQRRLETLKEKWWTDNPNRVNCPDSSDESDGISIQNIGGVFIVILAGIALSIITLAFEYYYYKRKARLASEKEKEQIEMKIQQVIPNGIHQNGLKQDAPKTTNGVNGNGNAVQRIKRSNSVATYENTAFQY >CRE07380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1759358:1763877:1 gene:WBGene00058156 transcript:CRE07380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-31 description:CRE-GCY-31 protein [Source:UniProtKB/TrEMBL;Acc:E3M2L1] MVHSYSGFRYKLNEQEICLLNGSSCPFSHLISSHIFVSPTDMYGLIIDHIATYIKEKYGESTWSEVKFVSGVTEDTFQMDKKFSEGLPHKLIWACHDVTGDPVDELMANIGTSFYKFLGKYEFNKVLRILGRTFPQFLNGLDNLHEYLRFTFPKLKPPSFYCEHESRTGLTLHYRSKRRGFLHYVQGQIRNIAKELFQTEVVIELLDVEHDMNLEHVIMRLHFNNLDFNRQGTAYRNMNDSILEKVKITSDIFFDIFPFIIVFNRGMRIRNIGIGLLRVMAGVVGKKINQTFLLMRPFIRFRWEEIMLHSNNIFELISCEPIQEDEDGLLVYKHTDIDQMTEERHRMGDVEREKFLSLKGQMFYMEEWESICYVGIPVMSHLPQMYKSGLFINDFALHDSSRDLVLASTQQAAELKLLLHQEAQKSRNMRENMNRLKKERRRTDKLLYQMLPKSVANQLRNGESAVACCERFDSVTILFTDIVEFTKMCSSLNPLEVIEFLKVIYTNFDKVIDVHGVYKVVETIGDAYMVVSGAPTKTEHDAEFILDCASQFLIEAGKMVNMNEKIPRIDIRAGVHSGAVVAGVVGLSMPRYCLFGETVLVANKMEQNSSPMKILVSETTHNKIEESDPGLYQFEMREEIEIKDDQTIQTFFVVSRHGPPRVPSPRNCESRQDDSVNEEDDDDDLIFTGKPGRKSPTSEAEDELKKKGQLSFTPVSDAGIEIHSRNSNRTPRHSQDMTPRKSIT >CRE07379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1754555:1757074:1 gene:WBGene00058157 transcript:CRE07379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07379 MRKSQHERIKLKLKTLEAKTTLLQTEFEKIEGNSLQYLKQIEERLYKMRDALFLTKERDTVSVTGQIIMENIAGKAMQLTKDIAEKHRTFHLPVVRIGRCVERQFDKEILTPFYGPLDLEKRKKRRTLGYSMIYDYAMCTGLSSVGEMLKKYTKVEPKYYVEEPEILQRIVVDLREGDIGSSLDYLETYQPADERILRRSLQTQMITDCIELGLDSYDRTVRQLKRFKSPFGDDKQRATRLVGALLVGKGAMEDDRYKHLFDYTSREKLAKKMASFFIPYEAPFKTTLVLNIEPFILKTILFRLKYGFRGMTEFTELYCMGYESPCLLDCELPVDSFFFGNHSVFACPILKEQCTSINPPMRLACGHVISKDAITRLSTNYRLNRNMPRRV >CRE07378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1748154:1748582:1 gene:WBGene00058158 transcript:CRE07378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07378 MPHCRRVLVLLLLFTVITNCGVDALKCRLYHRIWEDGHLLRINPDVCHTSSQYCVRATYSDPDVRKKNGYSMGCDKVDCQGIEDPNFTGWVQKLNGEYCRKSRDYGKKGEICCCPNDMCNSSKKSSFVILTIFFTVFIVYFL >CRE07377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1742587:1746482:1 gene:WBGene00058159 transcript:CRE07377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fox-1 description:CRE-FOX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2K8] MQTLYQLSASGAAQQNQQIPIGLGNSLLYQQLAAQQQIAVQQHQQQLAVSAAHQSQNNIMLATSAPSLINHIENPTESKVKDDPNSDYDLQISIQQHMAAAAQAAQLGPQMGQPQIGPQIVGQQGQQVAVTTAGPTNGTSAVTQPDPSTSSGPDGPKRLHVSNIPFRFRDPDLKTMFEKFGVVSDVEIIFNERGSKGFGFVTMERPQDAERARQELHGSMIEGRKIEVNCATARVHSKKVKPAGGILDTMNPLMAQSAMAAQAQMNRAFLLRSPLVAQSLLGRGAGLISGIQQPNAFQLQAALAANPLAQLQGQPLLFNAAALQSSALQQSAFGMDQATVQAALLANEQARLQFAAAAAQGNEYLMYHQAKQQELPGRIPSAGNASAFGEQYLGNALSAASLSSYQINPALRTLNRFTPY >CRE07376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1730870:1732904:1 gene:WBGene00058160 transcript:CRE07376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07376 MYINIDQVCSCLSNQGSCSVATYRYSSECRTQYNSGAQTSHQGSYTGFLGAHVRARAYSERGISSDYAKKDAELHCLSAFNCTWQNTIEDDLDWVLGEGHVDPTKLALITGSQSLPGSFDQSFLILASDPRPSHQNGQLVSLAIGCQQSTGILSFRFWRSRARTIGSEPKLDICTRKVLEANLENCMTVVPTDNHTIIASIPPVMEPFVIVLKGYNFENEPEGGLILLDEIEYFAKLDQPENCIVKEIGGRELELFKSGSSKEVKDDEHVVSGDTPDFPPEPHLVSREVKQQAAPTKVNASNSINELILLNESVDNDCQSLQCLMDQHNAPCDYKRSGTGASGEGYLVGWRITSVKRNVANKLTGIHTPPDSSKGNSTLSCTSIMLTVSEKPFLVANFVGFSRGKTADRFVLEAPEFTISDAPGAYLSFRKFLSTKGLYLSVCEDGFATRCFWNVYENSAKPFAREWTKEVVLLPGEITTFYIVAWQNTSAVMNVGQVGITEVGLFTDSQAITPLC >CRE07375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1729054:1730414:1 gene:WBGene00058161 transcript:CRE07375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07375 MRFLLYFVFLTLNSILFVNADDKNVPVVITTWGSDGFAKATKKAVDATLAGGRMYGLVEGLSTCEALQCDKTVGYGGSPDENGETCLDSLVFDADGMRVGAVANLHRIRDAAKVAWGIMNYTKHTLLVGESATQFAKSIGFQETELATNETKSWIESWKGQKCQPNFWKNVSPDPGTSCGPYQPKPLLSREYSSNEIGYNVEKTNHDTIGMVVFDLEKKFSAGTSSNGARFKIPGRVGDSPIPGAGAYANTFGGAAATGDGDVMMRFLPSFYTVTQMELGTKPSKATHKAIQRILDVYPKFSGAIVALDAHGRTGVACANIPKFGYNVAFKNGTVVTYHQPCLPTQKKLSKDHDKPIKFA >CRE07374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1726633:1728870:1 gene:WBGene00058162 transcript:CRE07374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07374 MVLLLRLTFVWSFIHFSHSCLPNPFFGYSPGYGGYYAPQVPFNNFGGFPRVGPFRAEPQRGPAAAGSAAAVVSQNPVQPRFAMQQDEIRSVSSLNCASFADKCRWSNTQEEELDWTTLTESPQAAAFLPTLDVQNLPGQSAGSLTSPARNGWESGQLISDALPCMTSGLKVTATAWRSKVGPTSEQPKLQVCARNSNEEKFPLINCNEFEIRNGVPMSADIPTPNDPNLPTQIVFSGNNFIAKEGGALFIQDIVIEGSLKCNGESLDSHPTLISNPPSRANDNDKRSFAESVGSLKGIEGIPGLEAIDASFPANLDEQRIAIANLQRSLQSNSVVEQQPKPAADIQSVISAVPQPAAAQPAPQAPLTSAESLSSVPSLFESCLALSCNPSDLSCKFWRSSGNNRWEIGTSGRVANPLTGINLPPGTAQKFLVAPFFDSHISSYTLVSEALNVPLLEEVYFCFYEYYATEGLSIAVCTDKMDCFYKKSGLTMGDSLRENKKWNVRCSKLPPGTYELRVIAENAGENKGEVGFLPIRLARDAKGQQLIC >CRE07373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1724826:1725561:1 gene:WBGene00058163 transcript:CRE07373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07373 MCPASVWRKVRPNPLVFIFCVSSCCFTFGLCIAIYDINSYGSGLYLALVSIVTVVVVVAFCLVGTEDQTEPTVAKKVWSTTRQTMRRFKKEPSSRMPAGIEIRIVNIDLEAEGARSCSSSDDHHSPANDSSV >CRE07372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1722227:1723418:1 gene:WBGene00058164 transcript:CRE07372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07372 MLILTLCTFLAVFVPVNLQRNLENQDEEEERPINYFFQRTRSLNGGYATDGDPKINDEVYRIFMLTILDGFNIFKIKMYNDRSHIYLTRRDYWLGDRYYYLDNYYYLPTRDTCAYRMNETERQHLFYEEDNMPIFDIIYQCQRYQEYCCGLNCCKIDQIGRHEEPRKPPKYPWEDPWHHNSASSSHILSAIIITSFSILL >CRE07555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1719774:1721439:-1 gene:WBGene00058165 transcript:CRE07555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07555 MISLLPPFISFYFLISLVNYATAQAPTCPNGQLPELDSSLRPKQCLPGTSENLMCGANHNCFFTGLNYMCCPSNEPSIDNQPSCPYPKLTVLDPHGLPLKCSQIARSCPGENMYCADVGLGYICCEDPSFQRKHPEVPPPPTQQPKKKYRVKTTTPLPEETLECPADSIGLLNSNGTRVICNSRNPCPGTETFCFGEYKRSICCQKYLYASDILDEPTTEKPRRGLQSINMAMRHPLPGKMHDGQYLKPTTSTTTTTPAPTTTTTQSAPIVDQEFPQIAINSVGVRRKGPVTVNQLKSAEVGGNAMVTRTWIPRQSFTFPTPPTTTTVMPITRPVPLAVARRSRLNGVPNFAGSADFKPATLNLERNQQNDPNRQALAQKLLSYQIRNGWPYDERFYRPDVDVYTEEQKSEIARMRFLPQ >CRE07554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1715599:1718928:-1 gene:WBGene00058166 transcript:CRE07554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hid-1 description:CRE-HID-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2J5] MGAQGSRVDFKQAVLDLTSKPGKDDEQFWDQAWWPDSVNDIFAMIPGEDIRKLRDESPKNLATLVYKAVEKIQLSRNHPGNIDQKKTINAIRLLTRMIPYMLEDAEWRGYFWSPIPHGDATKPLAAVLLETLSDLLFCPEFTITHANGQKVNDLSTIDSCEYIWEAGVGSGNKPPMVAQHYQNRSEILKLLLTCFSEVIYAPVSDENRLRWICHFTAVTNPHVLPIFTSLLNIVCAYDPVGYGLPYNYLLYNDSREPLVEIALQVLIVCLDKESQPKSDDSGYQDNYFINYLSRIHREEDFDFMLKGITRLLSNPIHSSSSYLPNSTKRVNFHQELLVLLWKCCEFNQKFMFYVLKTSDVLDILVPILYHISDARNDSARVGLIHMGVFIILLLSGERNFGVRLNKPYTAKANINVQAFTGTHADLLILVFHKLITTGNYRLQSLFDCFLTIMVNVSPYMKSLSMVAANKLVHLVEAFSTPWFLFSSPTNPQLVFSLLEVFNNVIQYQFDGNSNLIYTIIRKRNVFYQLSNLSTDAASIAKTLSGRKNKSANRDEMVDQLKSPTTATPPEFPGAGAGPGTASPAAGPSTTAGLAATPALATMTGNVGSWEEKPTAPEVPEEWIATQEWAEAWKSKLPLQTIMRLLQVLVPQVEKICIDKGLTDESEILKFLQHGTLVGLLPVPHPIVIRRYQTNVGTNHWFRIYMWGVIYLRNTQPPIWYDTDVKLFEIQRA >CRE07553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1710950:1714119:-1 gene:WBGene00058167 transcript:CRE07553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07553 MSYNHLFKIVVVGDHNCGKSCILLRFAENNFRMDHISTLGVDFKLKTIKLGRDKIRLELWDTAGMERYRTIYNSYYHSAHGVMCVYDMTNEKSFENLEKYWLKEIKQHAPANAVLMLVGNKADLDQERKVDFDRAEKLASRLGVSLYEVSAKTGINCEEAFHTLTAAMRERLTASSMNSDESDDNEEFSSAFHVDGVIKSDKGKFTSCCASAPEPAFV >CRE07371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1707667:1710221:1 gene:WBGene00058168 transcript:CRE07371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07371 MKMECKFLSNPELDKPMGVLNRCMSNELNEEPKQIVKKPAELKVTCLFGVMNGTGVSDRIASGRFGTMYIGTIMDTTLQVAIKEYHPTFNDLMLTAKNEGTDLHKLINIKHPCLLQSYTVDKFRDIVYRVMELCPTTVHADLLTAGKYNEDRIKVVLVQVTRALEYLHAQGVYHGTLHTKNIFIKDNMVKVSDIESNRLLKWVPYARTPLFILPFIAPELHGKLNKLTPECDLWSLGICLFVMATGFSPFRADTRGVLVKNIQNGVIRAPVLSSLKIRDLFKGMIRVNASERTSLNELIKDKWISSENVWDSLIPFHKDLMDELKKPTIHRPLAKGISKVSLQSNDEGYNSVVTDQPGKPGKDGGEPDESPAVAEEEIPKRYYKNFAVFAMENNREEWDPNLLRLERCKLSNGSNCLKIVIPAIDDPTPSLMSRIQEQIRQRFRRLRPSQENNVSDADYGDYALMSFRRNRTTMESMKNAPKFANARQAQFYYCKQEADRVWASLDMSVCMRCRRLGTDSIEHEEERCLYKMFADAVDTNEVIFCGREDQYNVRYFTLVYRNDGGRLGSLARFRRGSMKVLSSGYQRFLNKIRRQPAKK >CRE07370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1697394:1701286:1 gene:WBGene00058169 transcript:CRE07370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syg-1 description:CRE-SYG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2J2] MVRWLLWPLFLLQLAVCQQLQQRIVEAPKDTLAAVGETAILTCRVEHQQGPVQWMKDDFGLGTDRDKPLPGNKRYRMVGSAANGEYNLEISNVTLFDDDDFACQISESDHAKAVVSSKAKLTVLVRPTPPKILKSHHSLKAIAGDPITQSCLSRKGKPPPTIGWAIASDEHGKHIISWLGESRSKFGGIHAKPEISQETVIAHVNETTQVEGGEHNSREDSSIYSIMSNVSFVPRPEDDHKYLVCISQHMTFPNKIEVDSVKLALQYAPQINLTIASKVPLRENGSALLACNINAKPLDNVKISWYKGNQKLRETGDTLTFETLKMEDHNRDIHCEATNAIGTSRGSIKLNVAFGARIMSTSQDKEVNEGDNAFFHCATLANPAPAIFWTRGDSDEIIGHGENLTLENVRTWQQGNYNCTATVDGFRKQILSHYLHIRGPPTVSMKDEVAASLDEATEIICEISGRPKTNNVRWTLNGKEINFNNGRITVHQYPKPYGKESILKIKDLKEEDFGVYNCSANNGLGFDNRGTLLKKRNLFDWIGMSHLSNVIFRIQFLVITAKFDRAVAYAIFGAGIVLVSLLCCICLCKTHCRSKKSKFIDDQSDVTVKCEALDGQYFPEMYSSSPVDNVHLSTKDYISIPQNNPDLDFLGANGSFGPPGGLYPKCFNNSANEYIYNRYEHSYGSFGSGLSTPGGVSDMYGVAMGDKLPVMETLQEVETPKTSNYNFLSSPEVVRPISRTSTHV >CRE07369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1684855:1689429:1 gene:WBGene00058170 transcript:CRE07369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-236 description:CRE-TAG-236 protein [Source:UniProtKB/TrEMBL;Acc:E3M2J1] MVPPLYSMTVNSFSDRKLAGRSCSQSSSLATVVENLPLSYNTDTKSLDRTPSLGCQGTESPSTTQETKFRRGHIRRSSYESAQIQLNFARRITTANGTPALAPSAAKCLDEPLCSLNINTRADCSSSSSEQDQYDSGIGCTESDSKRSSLDRRSELSDFDEPPPKPETSSKSRFFNFPKNFFSRNKEEKQGWKMFGSRNKPSGVMATTGLILEGRPSGLPSKSADEAAQHKQMYLDILEQAKKKEQRAEKERLQAKAEQKRLEEQAASHCRVWMEQILPKWEEMKDSKRCRELWWQGVPAKVRGELWSLTIGNEIEITRELYDGLMEQAEEKIAKQLAEQQKNSVDRKETSVTQIHLDATRTFTSLGMFQKDGPYYDHLLKLLSAYAILRPDIGYVQSMTFIAAVLLIQMEPYPAFISFANLLDRPLQSAFFGLKQPQMTEYFIAYDRYLEQELPALHQHLDKLDVRPDLYLIEWTFAMYAKSLPLDVTCRIWDVYFRDGEEFLFKAALGILRMYEPKLLTMDFDDCVEFLTRLPDTLTGAELFRNIEPFMRPYNGESSRSKKRFSQIFQEIDERVNPGGTTARTQITHNVQELKMSKSLSGFIKDLLSSPNH >CRE07368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1678661:1679368:1 gene:WBGene00058171 transcript:CRE07368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07368 MAELDQHLPTTSTFHRPTMEEAVVKMKRIQRFTRQFSIFVHCEDENGKKILSSKTEKPAAPKKDENNEDRLFKYPGDLRAVKRKRNPTTPSVKNVSIGAKRKMMEHQKSIREADSEGPPEIAKEDNDKEKEEEEK >CRE07367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1673054:1676408:1 gene:WBGene00058172 transcript:CRE07367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07367 MLFTDYILLFSCMIGISLYGLYKTRKSQKKEQTAREAMVGSDISVPSATLAMCSGFISSISVLGFPAEVYYQGGMMLWYAPMYFISFPLVAYVFLPVLYKLKLTTIYEYFERRFNYNCRFVTTLLFCVQMMLYNSVALYAPSLAIATITKIPITISILITAILSAVYISVGGAKAGIHTSAIQMALIIFTMAFIVSISIHQMGWRDILDSITTGKRLILNDFRINPTIRHSVWSLVIGGTGNILSLFGANQLSVQRCLAMPSLEKAQKVLLWTIGCNSVILFLYVSVGFSMFAFYKDCHPTIGNANELLPQFVIDVISTTPGSVGLFAAAVYSAGISTLSASFTAVSSILINDVWNVFRKHKKQAPLNSADVHKAMRILPMLCSMLQGIILQVSFIVFGAGGGPVLGSFVIGLFLPRVKGLAAFIGLLASIVACFSISIGSVLVKVKPVQLELGQCGNSTMSFYDDSHIGEVTSTPLAYGFDRIFAVSYQYYSVIGIITNVFVAYIMQLFIDVCSPIRNHSEICLELVSPLLASSAPHTTHHDPEPEQHNCTQQETLPC >CRE07552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1666741:1669058:-1 gene:WBGene00058173 transcript:CRE07552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aat-3 description:CRE-AAT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M2I8] MGKQEQSEPLNQPPNEHHDEDVGDKGLEKSMTLFNGVSIIVGCIIGSGIFISPTGIQAQAGSVGLSLIVWVLSGIFAAIGAYCYAELGTLIRKSGGDYAYIMEAFGPFLAFLRLWIESIVVRPCTATIVALTFAIYMLKPFYPDCEPPPLSTELIAALLLVLLTAVNCISVKWANKVQDIFFVAKVAALILIIFTGLWNLVSGKAEARDSFENIFENTAKDFQTASLAFYSGLFAYQGWNYLNFIVEELQNPKRNLPLSIAISCSLCTIIYTLTNVALYTSISPDEMLASPAVAVLFAEKNYGWFAFCMPIFVACSTIGSANGVILTSSRLFYCGAREGQMPNVLTMVNKQTKTPIPAVILTLQGLLSLFYLLLSNNIYSLINYIQVSYWIAIGGAILALFYFRKTMPDAPRAVKAPIIFPIIFFIGCVLLVLVPVLGNPKDTAIGILIMLSGVPVYLIFIAWKGKPKFIDSLTGMMLDLLIFIKINQPFTDSVTLFTQKLFMVVDANKED >CRE07366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1665180:1666324:1 gene:WBGene00058174 transcript:CRE07366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07366 MSTVMGQVNKDPLRLVIILANDKSEKTYCYLRKLRVFVEWIAKKFAELFLSAREDFEFRGFEVVTYSCTIAEFRKQLLSLERNKPDIFLNVLVGKFSHFISSNRNFLNYFLVPDRFIKSLPADLENEVEWSNKMRCNLSELLSHLIHEICHSLGGFHAEEGIMGRHFDLLSDDDTKLGEIKFIKFIDKKTMTIICESMSIITTLRPQRNLTFNEGELTYYTDQSIAAVFFVNENRYTSSYKEFTYLDAMKTYTEKVPETWDGFVVIHFCGHIIYYSKADVTTTRRCTKKMEF >CRE07364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1660543:1662795:1 gene:WBGene00058175 transcript:CRE07364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07364 MNLIDEDDEKPTRWEKFVEFIMFHFRWVFVVPFLLPLSFLFNTLFEIRNKIIHAVNSAPNAHVRKVGFIQEQLKEWNSNGRKTKLVNARPGWLTMSFRFPLYKENATKIATDKLFDILELDVEKMTVKAEPGVTMGQLSRYLIPLGYTLPVLPELDDLTVGGLINGCGVESGSFKYGMFQHICTGYEVLMSDGELRNIYPDSAAKTEQAKQDNSLFFAIPWSQGTICFLTAATMRIIPCKKYVKLTYKKTETLDEMCEQLTEDSDRYSDKVDFVEALLFTKDKGCIMLGEFSEGPDTQDEVVNPIGRWYKKWFYTHVEDLINKKHESIEYIPLRDYYHRHSKSIFWELRDIVPFGNNVLFRYLMAWMCPPKIAFLKATTPNVLRKLYDRSHVIQDMLVPLDTLEKCIELFHKEIEIYPLWLCPFYLKSQPGLMKLRNATHKMYVDVGAYGVTAKDGYHHERTTRRLESFVRSVNGFQMTYADIYMTRAEYAEMFDRTLYDWKRSTSKCLDAFPDIYDKICRSGRR >CRE07363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1655437:1659243:1 gene:WBGene00058176 transcript:CRE07363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07363 MLIPVCNERLPVRLEICVQSAKALPVMNKSINSTDAFVEVRFLETVDKTEVITSLNPVWNSEQFVFDTDEKELTEEWLQLRVMDHDTYSANDAIGRVNVDCNVFLERMRLAKSEVFEETFTMRVYDTIYGNRGELTLSIKMHLLMQYNPKNYVQIMSTSFVPTCLRVSDIFGLVDNIRCEKDPDYEWLDKIRTPRATNEARQNAIRVTMRDAMMGIAQKAYKMGGNAIIGVHESVDMEGSASDLLTCRVIGTCVKVVHGNFQPAPYGKPIKPILTIDKLPAERYEHSCEYQFSKLYFFRQVGLGSLLTCRSIQILNEDENPETVRRDYWVALRAEIFQQARSVGCNLVVGYSESVNVNDSVALLTCTGTAVVLSDGSEPANTNNGPNESSARNSPVVIRDSSEKEIKEKKALFKFNGSEGREKRSDSAPRAFRCNQFHCPNHETKYHTPSKTFACSYCKNGLVGEFILSTQQCPPPQYYAGPRNFIQVSVCKKIASDPNETSDYAADIGNALPYSDHELIGNLLTEAKSVYPLGNAIFAVDITNAVCDDSLVCIITGVLVRLLVIQKTEAASPSITNVLSFSSLQRQHDARRFSWGTVRDAIRFKPTVQSNLTLKILNIKQSMDDNNSSSAKSRLRLNTIVDKVRRKQHKEYVSHVVFERHMSLSIGIHENQSAGNATSIAGVHLSNSLYPHFVNKDVIEGMLPIARFCDVFVREDLTTAVRDSTTVDGFVTNALEERITTIKSILSLGGSNAVVANMKISCPQFNVSKEHAHIILLVSVDFYNLP >CRE07551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1652875:1655055:-1 gene:WBGene00058177 transcript:CRE07551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07551 MILEFCFVTLFRFLQNIDKLYFSLAPGKMKWGPDPDAELDLRIEKNKKILEALKPVAFERLVFERDTILQVFSLLFSILKEKFRGFPVKFVKLRFPAYKLLNCRTPDIYFCRHKKHEKCFGFARIHKNHGLITVSCTYAYHGHEPSVGQSRFDGIQFACIRLFIGLYWKHNSDINWNVHHTIKCFKHWFTESHPLHFISTYDFRAIAAELEYPELADFPVGFVFFHRPPLKSYPTTEELLRLDELNRKEPHKRHVHPSTFPRFHGDPDFVASKLDPSVENNDPDTDHSWFVNRAHATKMAMHMLCHAVNLRDIIKEEEKEKSKTKDVTEEWFKYFIEINNMVEHFEPILMKFFDEVEYGNSSIDVPPSPERFPTTSSDGRTGPNLPRGWPEAMMPGITPEGTFDSEVVKNSLNEKLATTMRTATELNRARASWTAVRNTIGDIDHANYLKYTAPGKVEPSLFPALSDGGRGLLQPVFLDSDSDQTDSDDSEQEELRLTKNQKKRIRRKRIKQRERKEPEQKRKRNVIEIREQELWLAGINQHFKNTVLSLVLKPII >CRE07550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1651810:1652287:-1 gene:WBGene00058178 transcript:CRE07550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-42 description:CRE-TTR-42 protein [Source:UniProtKB/TrEMBL;Acc:E3M2H5] MKVLLLLFCVLSLVESISRIRTTVVNAQITLRCKAPKSPDATVFLMERDFDDSAIDEDDTMDFSTYTFGKSPEMKLTLEGEQFEFSGLDPYIYVTHNCAKSPDSWRTYTVDLMSSMYYSRTFDIIIDLDTETSIINYKRIQT >CRE07362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1650298:1651506:1 gene:WBGene00058179 transcript:CRE07362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07362 MSQEATVSDSNVQNRYKSVMNIFRRAFNFRKKGTKQDVEENTPLEIEMQPIAKPPTPPKPRAKSSPSTSNVPSTSAASTQPRRRGLYEDPFVAVLTDGTIYVKNYYNFNELAEYQMSEFRYAYVKRNSCVIEAKDIDRVYYTPGATYKDSTVCKNWGICMNDVWWASHIDRLEDSNPHTTVVLYGRFGLHAGLSVVNIDAFAESLQCVGLPRDAPFQSGLPNPPLKSIPLPYIDDDVEEEVPRVQTTSKNLIETPILQ >CRE07361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1646379:1647495:1 gene:WBGene00058180 transcript:CRE07361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07361 MIVFIAFLILRTVDSCTPTFSTPLIPPPAAQPINLLSNPQLPPIAFQVDEPIPQSRDYSDEPVDIQRDSIIPLHTEKLKQRFSLFDMFRPLALPATTPPILITEKLTDTVSLNITFSPPVHWTFCIPSCGVNDQAVDADDAYEKAKDDVLEAVQSSCSNAGVKCKMESVELQYYPESVLIEDFGPYFDLSGNRYKISGKTVPYKINNLNPGIIKNFVLPMKINFKLTSPVPEATTKNLKQKVIDYLAARKFLHISSESS >CRE07549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1631940:1636423:-1 gene:WBGene00058181 transcript:CRE07549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-40 description:CRE-NHR-40 protein [Source:UniProtKB/TrEMBL;Acc:E3M2H2] MLVPPVSMIMYHELPSIKNKKREKIPEGTLCVVCSDLASGIHYSVASCNGCKTFFRRALVNKQTFTCQFTGDCVVGKSVRCVCRSCRLKKCFDMGMDPKAIQHDRDKIRYTKALKKKREEEKRLKEVIIKEDIGSPQSIASDTYINTSTPSSSTMVNCMVEHDHPSLDPDAQNDVKSIIDDLLRIETKVKSLRNSFRFESHPSATSCMYSSCLLDDVSYMEENTMPQESTVEPFECSLENLREWFVRDLSLMLEWGKCLPIMEGLLLNDKLALMKAFAPIFPLIQLAFYTKNEFECDIVIKLEPDCTPKPIPERLNYPDGSFIEKGGKPANSWEEMHAMLIDGCYKMMRQLKIKESTFVLYKLLLFHNPDAEGLSSLGKKTIECERMRLLTQMYCYLSTERGREAQTIFSNLLMMSATLSKTASFIKRVFDFNHIFNRTNDLIDQLIIVGL >CRE07548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1629088:1630456:-1 gene:WBGene00058183 transcript:CRE07548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07548 MLHSTALVDIVNKTLPYQALGDSLLPVNNNAEFMSHTNALTVTFCHDEQPVAFGSIVKCNERQAYVMLGEIDKKFEDKTIWIEEVSVENKLNYVYKASLTGLISSRLVLIKLVYTALFQITKSVPLIDYSGECHFEQTFRNREEKPVQLHLLDLLINKSVGLVDMDISKNITVDFEKYSNFASWRDTVGFVVGDKHLFERITVNRFELLSFASDNTDVTVEDVNVEKLTDVLDYDGEVSIYDREEFLTALLKTGGVSAKVAKENGQVTGYIVNTKDNVLQCYGENEKAQKALFSSAAANMSAKVNMYVRKESSPLIEQLSQAAETKIPITRLNTRVIINTVKFSKVACLNMGLHLF >CRE07360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1627888:1628912:1 gene:WBGene00058184 transcript:CRE07360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07360 MTSNVHNRCSCEYDEADASSLLVQSETVPNIIIPAMLRLTTERTNKIQTYVFFPLDDRIYKMVNHSSTEYEQFAKSILQAFENAAIPQTNLVRDCEKVSTKQIFQFGIFSRRTVELDCIMLGTKEGIFYGVEKRELVHKRLRKYCKIHKPYCDFLCKYMPGNKSYKLIDGGFAILYLPNFEHDQTHLRRARYIGLFGGNAYFLELDSGNICFIKSRNECRIISQFFHSFAEPSCITHYMLNKSETMTPSEILVRYLSILYTKTVKILRHEPLDDTRWTPIVEKTEVEEETRIFINSQ >CRE07359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1623857:1626978:1 gene:WBGene00058185 transcript:CRE07359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07359 MSFFFFFLLREPKKSKLPSDFSHASVNPSNRHIRLNSGVYLPRSHHISLFYIFCVPFFFFFFFVFQHRVLSDNKMAVHFQMDLLLVLLGLVSGAAARDPIGQNLIVILADGYGATLLNNTKQDATFGIRHLATHGVQVDYVTPSFPTHTWPQWMSLSTGLYTENHGFTADYMWDRKTNFTFERGTGPNDTDDVWWNDAKAPLWYTAGKAGVDVHCYWFAHCHRAFYDMVVQVPEKRWANLDDAHQTDNLRDIFPEIANRISKYQVYKQQMFLIRYANIGNAQKEHGPESDEVEQEVAKFDLYINELQQLLEDRGLFSSTNLVVMSDHGYTPLQKEEQFFMEQCLPDFSLVKKIVNSHSMIMVFTNPEDEGTVHYEFSVCEVWSPMGDYDENDTPFVKTYRMSELPDDLHWKNSRFMSGVVLITKPGTSVVTKELPTVPHSGDPTIDAKQASGWEPTHDDMKGIFVARGPAFRENERFGPIEIVDVYQMLLNILSIEPAHPHNGTWGNVEHMLSEGWENRGPTENSSFRSSCTLLCFISVLLRFFF >CRE07358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1596746:1620911:1 gene:WBGene00058186 transcript:CRE07358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07358 MRQTQSLIDALRLADQVSCPYERRYKKNRKYQKPQRNSIAKSMPTLIEDKKFPVTSYAPRVSIGATDFLVNDEEAARLRAMENRTGSVGDCTKLASYRANKPAFFRRRSTRRRISNAQRLADLEDFINTRVQASDGNFFEKVTAPSDGDTSRDDDLYTIKNLSINGDKKQIEALYVEALYTITHKLGQGDVEESQESLYKYVRNAFQGDTTLHTALMEKAKQNKPPSVLLNILLLEAKDLIAKDVNGFSDPFAMMGVVPGTRKENQPMTDSAHPEKDEQEAKSPRALHGKKDGLMHRFGGSFRRKVGKKGKPQDTGTIPAKLIKASSVQKKTLNPKWSEKFQFTVEDTQRDQFHIDIWDHDDEEQSVFDAVSSLNQISGGFKGIGRYFKEVTQSARANSDDCTDDFLGCINLKLAEIPPEGLEQWFTLQPRSEKSKVSGQVKLKIWLSTKEEGRAGDDDETLDVKEHIELLRQFALYEIRQTGQPVRFWDGVYPERAMIILRQHAIQGDLTDVHLAMCRWLAFHSMIHIDISFSLLYKTLQKIIDKWQPLTLDKEEEDMLTDSFSSFDSYCKRMMIEHREKFTPSKRNSGEEFSSLIKCMRALRESPFYQKYLPYKRPFHAHLESLVVKSAEDYIDRTIELVQDPDPCKELLKLLNIVNTQCSRFLHYAAVIREVARIDYSQLTLNTFDRLLTEYLTSELMSEKKMDLKSQMRLSAAQDPPNEDDLIDLMRIHMAFVELRNYRLANRVRVRDESEWYAIFNKGIKKFLEVAKEKALARVHLSCQLDMPISTSSNDMRHSSSHIDICHIIEQFTVFWERVDINDAGLKIEYTRLLVEVICDIVTVYTQKIISGLEAEGFTQELTTFIPSQLLHLLCAAINNCEQVRRSLNITEKLHMDDMSLAYERDSSRLNGNHLWKSEIENRLETCENSICAEIDRIVGLLTGRLLPQMKKHVFHLAWSPSAQLVEDSLKPLTDMASYRTLDIELSAVHKNLLHRNFLRVMSAQVSIVVKLLRECVTENIGMEPAFYHRLFEAWHVLVEFFHAGGKGLSMEALETNPDHMVKLKRVGYRSTKLVKILSLNQTPTEQLIEKYYKDLLKQQNEVSECKYGILNVRAYYNGNAQTLVLDVIGAKQIIALDSNGLSDPFVVIEIIPKFRYPAVPVVKTKVVSKSLNPIFDETFEFHIPPNPPSTAMLHFTVMDHDYLRSNDFAGEAFLELNDVPGFGATGGNTLRQFNLILIQPVSNTKDVLDVLNSRKEDKDAVEFLRSISTVY >CRE07547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1587213:1594042:-1 gene:WBGene00058188 transcript:CRE07547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ser-7 description:CRE-SER-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M2G7] MARAVNISPFASYTVVPITSAWPPDDPQADRVQFAGMTTGKALLAIAIVAMIIMTTVGNALVCLAVLLVRKLKHPQNFLLVSLAVADFFVGLVVMPLALIDLLFDKWPLGSSMCSVYTTSDLTLCTASIVNLCAISVDRYLVISSPLRYSAKRTTKRIMVYIACVWIIAAIVSISSHIIANLLDDGTYVDDTGTCQVIPHFIYQSYATIISFYAPTFIMVILNIKIWRAAKRLAAQDRLMSHCNSVDASERPQNGSAETKDFLQDKETIDVPKKERANSTNSRLFKLERKYLHRPSAFFSAAKGPLIRQTEKSECKARKTLGVIMSVFIICWLPFFILAIFKSFGMQIPDWLDLLALWLGYSNSTLNPLIYCKYNKEFRIPFREMLACRCATLQTVMRQQSFTSRYGPPVSRRNDSHEASDV >CRE07357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1583544:1586767:1 gene:WBGene00058190 transcript:CRE07357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07357 MMETKVFLIVLLLPKILKCLMYHARHDARLDVNITSGGSEDYTIQLAHVLSESKSQNYFTFKNEFHCFIVYLHDDIVFCIENQLNTSVYVDLWDFEKNNTSRFIQLDKKLTFVELNGTSSFLSMIQNGLNITVRAENSFVSGFLIFKRCRENVFTSMEGELTSQWIPFKNWDSTIHVPFSIIPRESTSRYVDFSTILPRRVLAGNHWPRTAFCINATLEGSIDIRMSRCKNWKTFNINLVQTNRRQIARYWNDFISLKEKCADDEESFPDHLWLEIESVDHSGIGEVIIYNCHHTWVRGENDEEVIKITSTLDKIESTFWSFDYVVLPHHQNTYFAPIKHMIDTALVTGSDVRLTFEKELPSTISFSACYSSMKYTIPVDANHKKMNLTRAALEIISHFLNDLNCPEEKIYHGVYVTIESGEIEVMGTTYFRVVGYSGVNTPEGRLKGDQHTTVAFNLNKGDTISRNVNIQDFIKAGKNNAHVDLYEMFNCTGLVQLYISRCEFSPKLKIFKPMKSGTAIFEKPTIDFISKFFEDSSCLSDFQPIRYEYESEDKFGLYLHIEAVENTIGYVAIYESFRAKMRLYELNEEYIQEPYERRVEETMRVFKGSESMVEMVITHLLERARMKPAVSLRLYVSSSAPIEFSITKCEDDDRTEWNTLTSELNGSATAWYTFDGEGLDLLTGYSESRNCKDKFHSKLFFHAKCDRLAYVRVEFSIVNGTDLNQVSTCTVTEQLHLRARELHQKVILLLVEIAMIVVIILGKKSFIEVQCIIRHFPGTLLWLYVLGRHRRQNASEIVPTQLTSISMSIADPMAVEV >CRE07546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1574956:1577070:-1 gene:WBGene00058191 transcript:CRE07546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07546 MVSCIDETMEDDTDLSVPDSTDQSSARNAPKSLVVCVAPEPDWQECFEREQLMNIIRDTEKKFDDEYKSRTHTPEEVEQSKCMYFEAQQEFGYHVETWPPIPVSSTLEISDDEGERWSYLVSRITDFKTRERLCKKDCNDTVLVVVDSEDILLKVANDAVLSPYIAVIKSEDDMTPRVTNRLKPAMLPSLEGVVYVCTLKTLTCSKSKLMPFAKLCIFHKINDVVAFKSIVAERTEISRLAKMTSTIWAPFNIFDKPEIVECAAMVGFNLAIGLRTFLFLQLLGARAETCDKSFLDFVEKHCWNQKSNERAAYSAWADRVVDNNSRKNEEVEEIIGIRVEFWWNNDLTVANVKRFVEPTKEGPFDVARPWIVEQAER >CRE07355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1570863:1574396:1 gene:WBGene00058192 transcript:CRE07355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07355 MRKHDCKLFAARRENVSNCIETISQQDLERSSPTVKPSCSSTKSISPTTTTCRKSGGGKGLALVGAAVAGAGGVVGYSYVDPEFRYKVEVAVPGAEQFFGAVLGESPLNKSKQIEPVKDISLAVSKTNRNALPPLELTPLPPVLPKEPVHVDPVDVRKDVTPVQPKLAPEIVAAKNKQLEDKLKIAIFSAEGKVRVATEAKLKTINAINEHATILKQTVDDAKNANWENVTAALQRAEAEARVDSGQEVDGRNYIDSLRKIVNDGKKDAVTATNPLLLNAQETANKLSHQLDEINALVNKSRQESAVLNQYKDLIEKSRQQFALEMKSILPNVDVHAKDKNLNEEELNALIAHAHLKVDQLRRQLSDQQVREELHISKALEEQRLADERIASEKLGIEMSRVGLQKELEIERAVSFQRFLATHQTYYFQLVESRSSWEGELEDKLKRTASAHSEHLEQVIRTQRQLFEIEQNQKVEEAVLRERNHHSKQVGEALSRLEGIEEALGSRVALDNENRRAKQFWIACHNLIDTLKHGNKAGNNIDERRLPLNESLNLLKEVNPEDAFVNAIIGSFPQQATTVGTYTEQDLKNRFEQLYKVGRKTASIDENGGTLGAYFWSYVKSIFLVDLPKQYSEQELIDVNNTDNYEILSRAKQYVHNGDLDKAIRVVQLLKGQPAHLARDWIVDTRAYLESRLLAQLLVAHAAVSSIRSTY >CRE07545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1568253:1569883:-1 gene:WBGene00058193 transcript:CRE07545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07545 MFLSKLGALCTRMDFREANLPAASFHTVAAILAIFSMMSTDWILIEDMSAKNGTFALDSLEIAQMFMKNSEPCRAIGCHDFWEAVRFGGYVDESGKSHVAFHTPSRVLVDCITPSTANYFYILIALCFVISATSSLCATMSLLPPPAPFLAWLRSNSILEMSNMLLTLCCCTIAIVAQTDISDQRPNDIVAIGAGVFLVCISGLLSFLAAMASIRQSNRKTRMRRIDNQRLLCARSLRSWRDAGRRPEDVRPIVDFERYLDEATELEQTSSQSSSQSNPAV >CRE07544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1561100:1563930:-1 gene:WBGene00058194 transcript:CRE07544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07544 MGDVLKLLLLFCIVTAVAGSAFPRKNKCPEGQYKFKNACFQCTPCGDFMYEQEKCSKTSNTVCGWCGKKPNLDNLSHEILASYQTKCLMSSLEFIGMTKLKDEMINVFNDDTEKFSSRDTIINGENLEVIDAEEDRFKIAKESLNGFEDSEEQELPDPTYEEGKDSDSAENEESRKVDPSVKVVKLDKEEDFDDQMEDFEETDAEYKTRLEYEQENEDLFGSLYSDYYKKKSAREEDQKRKVESVYPSWVRKYDMSHEVVVKVLKDLGPKQPILPHPRHSFSSSEESNEREIKWDNWVDDEVKILGKNVEIVEAEEISSDDNEKYDAYEKKPMKRLTDIEKRDIISRLTEKFSADHELEYPINARPPPPFFSPVMFACMGFVIMSSFMTFGYIQLRQNNVFNGVPTDAEDYQMIIDASKRIEELEKKEKQANRHVHINPVFDV >CRE07354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1554796:1560603:1 gene:WBGene00058195 transcript:CRE07354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-igcm-3 description:CRE-IGCM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M2D9] MGLPIVILLALLGLSSAQMMSQVMVAQVKITDAPYPVSNAEQLNQVVWTMHGTPTKEAIYCVSEAPVSQLRFVCTDCLEKNITDMVNILNSAQDITRRVGFPAVTLKDIAVNTNWTGATIMCQAALNGGTVDSSPAIVDVRYLRQPHVVDSNGQSPVLIGNQGYRFFVECVRGADGLCQQSGRRKTLKCNVKSNPPATQYQWLKNGSPSGTGAEITIGTEMIGQSIQCQANNGLHDDSNMPLSQAVQIDPYSSAKLLKDNFQQLQSSSPFLANNRIEMNQQVNLGCQVEGNPRPIVYWRMRKQNGDVVDAACPQGLEGQYQEMAPEGSRSANIVRLTALCSLRISNYSYSGQYWCAACSQVSQGEPECSPSLETPGTSSLNVNVIGAPSNSDAPPSIEQRGNDATVLVHYCAEPMPRPPREIVFSIDQNDLQVGQTWENFHFDSAVTNNTVPNCYIARLTISPVREEDQYRQIALKLQNQFGSKQIPVSMDALLGGDSTMVGRMMSPVLIYIFAAAGVILVFVCIIAVCVRRRTKDHADYKEPKSKANLNSREEFFDPTQDAPRLFAQHPTEKKRATVAAFHEGVNYAELELKRSQAQPTSTISISSINSRPHRGTASVQSSV >CRE07353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1533375:1550899:1 gene:WBGene00058196 transcript:CRE07353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-2 description:CRE-UNC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M2D8] MGHDGDGAGGASGSKPNGSVTRLLPSLDRLQPWMIPIAASEIIPSPIQPRKISINDRLFEIRTPRPGEMIKEAVQMAVWPALPRLAAEEARREQKAESGAFVRKTTLSSNAPVKEKGPSSLFIFAEDNIIRRNAKAIIEWGPFEYFILLTIIGNCVVLSMEQHLPKNDKKALSEWLERTEPYFMGIFCLECVLKIIAFGFVLHKGSYLRSGWNIMDFIVVVSGVVTMLPFGTVTQTANQPVDTVDLRTLRAVRVLRPLKLVSGIPSLQVVLKSILCAMAPLLQIGLLVLFAIIIFAIIGLEFYSGAFHSACYNERGEIENVSEKPMPCTNKTSPMGVYNCDVKGTTCLQKWIGPNYGITSFDNIGFAMITVFQCITMEGWTTVMYYTNDSLGSTYNWAYFIPLIVLGSFFMLNLVLGVLSGEFAKERERVENRREFLKLRRQQQIERELNGYLEWILTAEEVILKEDRTTEEEKAAIMEARRRAANKKLKQASKQQSTETEEDFEEDEDEMEEEYVDEGGAVEDEFADRKKRGCCHSVGKFIKQLRIQIRIMVKTQIFYWSVITLVFLNTCCVASEHYGQPQWFTDFLSTYITCFIFVPSVNLFFFSEYAEFVFLGIFVVEMLLKLFAMGSRTYFASKFNRFDCVVIVGSAAEVIWAEVYGGSFGISVMRALRLLRIFKLTSYWVSLRNLVRSLMNSMRSIISLLFLLFLFILIFALLGMQLFGGRFNFPTMHPYTHFDTFPVALITVFQVSSKNILTGEDWNEVMYLAIESQGGIYSGGWPYSIYFIVLVLFGNYTLLNVFLAIAVDNLANAQELTAAEEADEKANEIEEESEEIDEQYQEGDHCTIDMEGKTAGDMCAVARAMDDMDEECEEEESPFGGPKPMVPYSSMFFLSPTNPFRVLIHSIVCTKYFEMMVMTVICLSSVSLAAEDPVDEENPRNKVLQYMDYCFTGVFACEMLLKLIDQGILLHPGSYCRDFWNILDGIVVTCALFAFGFAGTEGSAGKNLNTIKSLRVLRVLRPLKTIKRIPKLKAVFDCVVNSLKNVFNILIVYFLFQFIFAVIAVQLFNGKFFFCTDKNRKFANTCHGQFFVYDNQNDPPRVEQREWRLRPFNYDNTINAMLTLFVVTTGEGWPGIRQNSMDTTFEDQGPSPFFRVEVALFYVMFFIVFPFFFVNIFVALIIITFQEQGEAELSEGDLDKNQKQCIDFALNARPRSLFMPEDKNSTKYRIWRLVTSPPFEYFIMTMICCNTLILMMKYYNNPLFYEEILRLFNTALTAVFTVESILKILAFGVRNYFRDGWNRFDFVTVVGSITDALVTEFGGHFVSLGFLRLFRAARLIRLLQQGYTIRILLWTFVQSFKALPYVCLLIGMLFFIYAIVGMQVFGNIWLNAATEINRHNNFQSFFNAVILLFRCATGEGWQDIMMAAVQGKDCARAGSAEINFEKGQTCGSNVSYAYFTSFVFLSSFLMLNLFVAVIMDNFDYLTRDSSILGPHHLDEFIRVWADYDPAATGRIHYTEMYEMLRIMAPPVGFGKKCPYRLAYKHLIRMNMPVAEDGTVHFTTTLFALIRESLSIKMRPVEEMDEADEELRLTLKKIWPLKAKKNMVDLVVPPNHELCFQKLTVGKIYAGLLILENYRARKSGTEIGGQGLFGGGLRSLVAAAKAAESQHSSHTPQPPEETTPIITHPQQYASAAPITVQQQQPSSSQQGQGSSGGGGQVMLQMELDKEPGIIQRPYSLFNSFVDTIKSGKADGDITPVQYQSVDPQHDKMNSTGRRLSDMFSKIRRGTSADHNPHQTEHLLAQDNRSPSSPRYRSMARASPPSPAERYGHPPRYRTESPPSSRSEYQMSIRDPIIRRNRYNTMEHSRSSHDPQYHHQDHQPPIVPQHSQHHQHSHHKMYQNHNQYSRSPIYSDDSSVAESYRREREFRRYQDSTPQDVSEDDDPMPTSIRSRRLPLISTMPTHYESAFQPSYNQHLNDSYGIIAFHSLDLIKVLGLGTGYQRDYHTSHPHSHHSTSQHQPMYSTSPLISPRSSHSYYTPRSSQYYEIPSPSPDIYPSYRGSASPRRYPTSTVVVAPDREGSSARVIQAQPGSIPLSDSETEDDPRWAIV >CRE07543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1501791:1506298:-1 gene:WBGene00058197 transcript:CRE07543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07543 MQAAPSCYGSMVASTSEEVTTSLSKGPMTILEDSHKGFVIIIDRRSDKWSSVRTLLLQISSFFPGKICVTFVIKPEGVLQRALEVGYRGAADTCTFKVIQLESSAELRKYIHHEHLTMDVGGLIKYNHLEWVQHRMVSLMMQVLLNDTAYPSHAFFLYEKGGKGRRKKTKKEKRCIRSPAAR >CRE07542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1500312:1501129:-1 gene:WBGene00058198 transcript:CRE07542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07542 MKRNNWEMGNRFHSFFSSAGLIGTSLLFSFSNLFFHCLQDIERMKASATAIAASLTEFGKTLRETELPNDADSTARILELQTVEKMAIKEEFKIAVRKGFTLLKSVRQLDKKPTPEQLSPTRLHNVTAIERMLVQLGTYHQMAVISRS >CRE07541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1487240:1497741:-1 gene:WBGene00058199 transcript:CRE07541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cgef-1 description:CRE-CGEF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2D3] MLYLEEHREVGNCTEKALHLAEQHRQYAEGAMEEVEASRNLKKTGEDLINSNEAELSGSLEPKCEELERMAAALTSALERRGDCLKRSATMHEQIAQANSWCSRGADLLTSGMSDFTNPSPTTSLSALDAFIEEGNHLNIEFLKDTTSPMNQLLLLTTIETSTLLNLIEERIGDIRRMSLAKRDQLTKLHLQKPPPVQVVTPEKKSKKNSSKSENDGKDVPSCSGESTPAGALSPRRETREVTNAYDEMIATEISYVADLKEIIMHYLEPFEAVENQNSLPEALRGKPDCLFGNVRELYKFHHRTVLEDLVAARSTAEMCRVLMQHRNQIYLTYRTYCQIHGSNQKVRDSVKNHPFFKDCQRKAQHNMDMSSYLLKPIQRIMKYQLLLGNIMDDCPADVRDEVAMTRDSMVELLNQIDASMQQLHISGYNGDLKSLGLLRLQTECDVFTYNRKKKAKLSRAQKRFLFFFDGAVMFCKKRVSNPASAMNAEPEYFEHKFCIPIISLGYDASSRTGATRFEVWDEAKTDAYVIETVDQSARTKWIQRLGKSESSQDTWLENNRQRPKSWASTVSNESSCSSSTRESDSTDSTMDTNGNTQTTQVDVPYSPTLDGSLDLTVSLDSISEVFNKNALFQMDTTPLRAAEISNEVELVDSC >CRE07540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1482316:1483304:-1 gene:WBGene00058201 transcript:CRE07540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07540 MEDQIQKYIIAKKKAKTEDLNLPGALSHGKFGPSPSALNRNMKDAFVLRINPLHLDLPTSHLPTAHFNHNELNSRLNRHTSREEMTLSEEFGGRAPFFLQNMSYVDDDNEPRKLFTLYDIKNDETSKSALDAKRRLRYTNVNLLICPQEKMFLTTACDYFQAIYDELIEYVEAIGELRNKQIIVDHSYYKLYMHTLKMFYYTDKGWRFVVEFDEFFSGYMRKYKEPIVNQMTQNWNNAKKAAREAAKQLALKRAALEVEADEV >CRE07350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1479303:1482123:1 gene:WBGene00058202 transcript:CRE07350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07350 MTVRIKMEVPQDLVTKMKSLNDRSLQEQCEKEIEKHVFYLETVLKGWKTYKNVKKEHSRILFLMLKTDNEDALRDYEKLVLYPKMLERVTAEQKEIEKEHVKATNVESPSSVVELGAESTNGSIGIESGSQSPMEDLHCERAIVYERVDLPEVLVQNYEEQLAIRKMITEAEERIARNEQTTYVSSAAVTTTVSVHDIPLPSTGDPTPPTQLQYLRHIVHHVEAEIEPCSSEDLSVTGVAFNSALSENIAKAAAQVRQALDNVEKMDAESMDDVKNTITKMNLLKLESKLKQSANEVQQRASKEWKHNENLSKGMKKRMKKAAKKAADALDFERKLIEDKEERIRQCHLAAEAVNVLTHKKTAALAKKEKNPLEAEEKVEKKVEKTVEKKEEKKSENHLEELPMTEVELKLLFEILTRKSADFEPGSEDERVYQKYRENQSVLEAHIIKNCSLEYIANTRLLGQLREMTDEVYVPTKIPTKSKEQLMDEAEKYIIQKLNYWKNSDHSQAQHYVNMYKYFLANCGYTIANIIVGYMPYENLPNAEFETTMLLQYQAGKFFPDEGKGSS >CRE07348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1473263:1475715:1 gene:WBGene00058203 transcript:CRE07348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07348 MNADLAEMAEMNIELTDMLNDLTNSFDRMQEAFENSGDQWRTATLQHITQLTTEITRVRARRMRHSFEASLARQAADRRRRTSSDSSTDSDNSDIDLDFAYPIDLCQFTTTSTNDLKKEEDQSMEVGDTEENNSAAIFGDPEQRLGGHLFNYMFRRFFDNKKLLNHLIYEMKFFKRTGTPQVTDEGVDIDLDSSDDMSESEDDMTEITDISSDGTSDDDEMADQPDFRGVNAGRQRSPQPQNLRRNPACSSSRCRGRGGGGSWGPGRGRGRGRS >CRE07347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1470422:1472538:1 gene:WBGene00058204 transcript:CRE07347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07347 MSKPIILGWKNVELTEENTTHLYNLFKKCKKPEIRIFEDNNFLGDAETVETYELITKKLVRVDKNSNESEIGKGQVETNKPTKSQCKKKQKKKKGAESSTSTLNSTNEPEAKAEYTILISNNRANSENTNETTDEKQDEASHSSPPPNADEVIFKAPLIPKSKSDGKSRDEIEEYAEQKPTEEEEELMVALGNKYISQESTKLRNSLRRLASDISTEEELIRTRMESGESATVHDSVLQDFISRANTVLKETEAVTVRKIAEAETKKFLASINVPAGDTLETISNELMHDIWPKSNRWRSFARVENYIPVTLDEEELKNRKKQEELVERDVKTAIKSAGESLNKRQISQIRKAAVTYREFDAKEEKELEYRNSNTLKLVQLVSEYEVVHKMDEFILLRLGIAFCTKRRDYYLRVRPPNFMQFSYMYIFFLEKINSDSASLCACLNYLCNNSTDFLEIEEVLLNLFHEDYFLEMDL >CRE07539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1466161:1467209:-1 gene:WBGene00058205 transcript:CRE07539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07539 description:Tetraspanin [Source:UniProtKB/TrEMBL;Acc:E3M2C7] MVQGCGNKCVKYFFWIINLLFFILGAVVVGLSIWMLVDKNSLATVASTVKVDLSQVLNQVNIQQLNTFLYVAIAIGGALLILGFFGCCGSCCESICAISVYFILVLILFVVEIVAIVLYFVNKTKLQQGFVTIWRDELVSKYTTQQQIHQVLDQIQSSVSFATSSQPYIIIRLFLQCCGAAGCSDYVQYGAFPTSCQCATIQQPGCATLLWTAFENNLIYVAFVGIIILFVEILAMIFSCIIIGAVREKRAQA >CRE07346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1463653:1465484:1 gene:WBGene00058206 transcript:CRE07346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ssr-2 description:CRE-SSR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M2C6] MCEERYRLVVLGSAKVGKTNIIRRYLYNEFSSKYKETIEDLHSREFRIQGVPLPLDILDTNFNFPDMRRLSITSASAFLLVFSVDDVTSFKEARHLNTMSDIWQEICSRRSDLNELPIVVVGNKCDVENKKIYEETAKAFTSRLSSDVRYIEVSAKDNIRITDVFRTLLELSGFPRCKAGGGRLDDVVEDEETRSSSSIRRSATVRAKSTTKRDPRRALMDDENKSTPKNGSQSPREQPQLDKQASHPVLAVPLHIGDLKRNLSLRMRSPRRDKEQVERKLSDEPKISRSSSLIRRTKHLSLKMRRHGEKSNHEEVVDESDCKIQ >CRE07345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1455469:1459832:1 gene:WBGene00058207 transcript:CRE07345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07345 MRMLLFINIPQLSIIKMILSSSLTISIACDTQFLCLHRFFFVFPSANKTRQWEETHFVSPLFFCCAFSFFPERGLTEKLFFSFNKCSSLCLLFLCFLISYIIFSIEIFISYRLNRIMSADRSFYKRNPSERVFVNRSLRLEKITHFGFDMDYTLIQYKTPDMEYLAFNMAVQRLVDTGYPEEILNFKYDPIFPVRGLWFEFQYGNLLKVDGFGNILGGIHGLRFLKNHEIEEMYPNKYLPLSDSRVYVLNTLFNMPETHLICQLIDFFDKQDNYAQLTDKTGVKGGEVMMSYKSIFQDLRRVIDWVHVESTMKKQVMDDPEKYVIRDERAPRFLSQLREHGKKTFLLTNSDWAYTNVMMKFILGDDWKKYFNIIVVDSCKPRWFAEGTVFREVNIETGSYKLGIHTGPLREGVVYSGGSCDAFQKMMKCRGKEVLYVGDHIFGDVLRSKKSRGWRTFLVVPELVNELTVWTDSKPLFDEMGRMESSLADIYKNLDGASRHKPVVKDIVDQIRKLTNQMDEEYGCLGSLFRTGGRTTFFAAQVERYADVYASSCYNLVHYPSFYYFRAPMKCMPHELTVDHASTLRSRSNTLERQQSVGQQVRGWNKKTLNDQETFCHEEEEDEDQTNSSSSDGEATKRERTKSGSDESSNAGDISAEPLHQDVVTPAPAFVDHTA >CRE07344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1447792:1454050:1 gene:WBGene00058208 transcript:CRE07344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-29 description:CRE-KIN-29 protein [Source:UniProtKB/TrEMBL;Acc:E3M2B3] MAAPRRRMGLEKIGLYDVGRAIGKGNFATVRIARHRIAKTKVAIKSIDVSALDRENLVKLEREVRIVKIIDHPHIAKSYEIMRVDNMLYIVSEYCMSGELYETLIEKGRVAEDVARNWFSETASAVSYLHSQGIVHRDLKAENILLGKNSKIKIIDFGFSNFQTGDQLLNTWCGSPPYAAPELLLGNSYDGMKADIWSMGVLLYILVTGGFPFPSDSVNKLKRSVLSGVVKIPYWVSVGMWPPFLEEGPGWNDVDLFFLECADFIRKMLVLNPGKRYSIQNVLQHRWMYIRNDAKKTQVQLLEAIPASSIDIRQQSSKLNPTIMMFMQQHGKWSEEQIIDAVLGRDFESPIFATYELLADKVKKGSLEGTGEEYPRRGSRGSILSGKANVDEQPLTPTISAHQLAQLNLSSPDCDSDDSSNSDLCDDSPLSSMEPNHDRQFGLPRGLEVFGNRFENRYVSIYCTQILNSLIFRRHTLCASEQLLSPNMMGQFPPPNLLLNNFMMNPIGFPPMPEGQAAEFPLPSLHPGLGTFPIADYSKMLPVPKSERRASAGETLLPTNIDLQQHLANLSANPASFPTVEEEGRSYLAKYGGKRNTVHCLGNQLGGGIQNPIPRYQRTPYAKAPPAERRSSWASPSLSAQQQTHLEKIFKDALQTNSDISRLHKEFKNLSHGCAQSQITNEGSSLACPQISITDEYNRQHNIAPSASSFDPVSIFQKNAQEVVFGQRPATAIGFSSTSFSGMSTPEQTTKPTDDRIKSCVTILPFDEVVHTLKSSLNSMKIPFQETHEVVPESESTEMRRLSLPSGVEIGVAVLPPEHKSHVEFAIINNDSPNSDFLCDQLISHLRYIDPTLISE >CRE07343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1445851:1446695:1 gene:WBGene00058209 transcript:CRE07343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07343 MHSNKKSLHLSTTCQPSKKVSSRRKFWILLFLLQCKVFDTKKASQAQKMSHVFTKTFACHFCEEIFISNPKHERVHTGIIKFECKVCNFRANRFTTMEDHKNNEQGYICPICQERACEYSDIKHHVFESHGEYLAVDEPIGFVDSASSWMFFKGE >CRE07538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1442055:1443550:-1 gene:WBGene00058211 transcript:CRE07538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07538 MEEEGNDEFMKQRLAFVKLLGDSDPEETWRLFCQVITDEQLDAWSQSTQKNNIKEIINNEPQHVPVTVSDTGNDSNQNSHTLATICGDMIKEIADHVDIETKRALRLTCKKVVEVIDKSWKLEDVHIHLDYHKVIFRTTKIKNLEFYDRKRKLQNDDQESNSSQKCFLQETADKFEEIIRNPKTQLGKLFITRNGERDLAKKQEKLLHLVFDKIKKPIYVESLQIELPCTNALESILKKVKVGSLTKLDLLYFSTNGSDDSALTNIVENPHWVHFKAFEVIGAPKTRMLPYKDKTTIFVRIKDVTVDEIVEYKNALVMGTGYLLHQFLGLFDVEEIVKELKPYKELLGEMNETKGMFRREDGTQLIFTLKENKITFSGKRFQ >CRE07535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1434954:1436353:-1 gene:WBGene00058212 transcript:CRE07535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07535 MSENGKDPFLKQRLAFVKLLVDSDPEETWRLFCQALPEITNEQLDAWSQSSQKNNIKETINNEPQHVPVTVSNTGNDSNQNSHTLTTIGSDMLKKIAELVDIKTKRAQQFTCKKMLNFIDENWKPNEVHIHLDHHKVIFPTPENKDLEFYERKRKLQEDDQESNSSHKCFLQETADKFEEIIQDPKTQLRKLFITCTNKNDVAKKQEKLLHLIFDKITKPIYVESLKIKLPCTNALESILKKVKVGSLTELDLLYFSTNGSDDSTLTNIIENPHWVHFKTFDVLGCASNTRMLAYKDVPTVAVQIKGVTSDEIVEYKNALVMGTGYLWHKFHGRFDVEEIAKALKPYKKLGEINRTKGMFLREDGEKLEFELTEIYLSFSCSRF >CRE07341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1431692:1434189:1 gene:WBGene00058213 transcript:CRE07341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-comt-1 MRLFIPIILTIISTKHVHGFIWLPFANLGSMFETLKQTAFHEKMDFNEPDSQYSFLEFGKDLFNNIGQYLTSAIGNDDKMKIASNLRELFNLTTTTETPKTPKRFRPKIIPVDKPESTTVPTTRPLPTVFTFPYTTPHFTTSTPPPEITTTYDPRPRFRYTTTTEPTIIKFVKTTKAPITTTEEGSTTEDDIIRFTTTTERVRFATFSPNIPKTTTTIVYTTTTAPTTTRTPTTTTTTTTSTTTPSTTTTTMPTTTTTQFTTTHTVSEPPKLFTKPQYQIWPTTTRPFVQTPETLISSTEHFIRPSSIIRNNIWKKVTPSVTPEYTTSVFWTTPMPVPTDLPIPEEPFTTTMTNPTPPSESQLVFSSTAGIPIYNQSAQKSSHDHQIMEKIAVTSTPQVHKYDTCGNQITQNKLLLHNEFSVPDQRCSIKLKSFETSDPLFQYCYSHSTMIDNSIQDLLRDTQTATSLPQHISWHSSVTPEVVQLVQTLMSLYRPSRCLVIGLLIIRVFTGLGLLGVAERVDSRGIVVALEHPAYAVFWDKIGQKHARKLTATQMSRIQMRTSESIEKSLPRLATNEPNTFDFVFLDDFKRENYLDDYEHAIRLIRSGGLLVINQALNGGGVMSSIDYMTNDDRVIRNMNIRIKQDPRVSASLLPYGGGTWIVTKN >CRE07534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1428943:1430011:-1 gene:WBGene00058214 transcript:CRE07534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07534 MNYDRRFSPGENPDYSNRTMNYRDSRSQQLTPRGGIDARANAYGREYPPLRSRSQTNVDQDYGDRFSQPAPFYDNRGLDTKSRSKSLDNREFRGYDDGLYRNEYPNDGGIVIPIRRDNGRDQPYDYHSSPPMRQREVEPYGFDDRRLPVSQEVHIPYNRDVREPIREPIREPIREPLPRDFPPRRSFDGRMGDSPKSFSSRFKDHSTRDRRVLDYGRNGFADDFDREDYGAVQPYRGPPGGAVSGAAAGGGGYRSSSYHRSHHESHGGGGHESSFGGGATRAITHQPQVFHPDMRPARPIQHHRVQCCCFNFVWPPWSYEQSPPPQQIYRNI >CRE07340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1425025:1428346:1 gene:WBGene00058215 transcript:CRE07340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07340 MDRNVNSPRLLADQLRRFIQNPDSTPSGSGISLSDAGFVLLSLKDQILADSIKAQHFSHEFVSKPVNGIELLGKVVIVLQGIVNSVEGSGSKISYLLNRNSTNANRKRKAAVAEADCIESIKLLLEKSESSWKSFLETSTGLDAIMYSIHSPQLDSKCYSLEILLLLLEQPQGFVILLRALTVLAARNRDYLRFSIFVAQLKHGLHTKKLHIQILVARLFNKLIASAPTPVHRALVKSEVSLAQYNPDFVEKLIGYPNSSYGGMDTLIDELNAWKSLNQYVGGSGSVEHNHIYGTSEVDGSSISERSRRHTPSSKMVPITSLAKNVERQRFRKQGAGSGGRAAGSNYYFEGGAGPRLTYDRRSQTLSHGSYAEPSSPLPPSRIGYATERREPFGAMRRAKSESAMVFRENREEFENDSPEMAPRGLKRFGNNSQKEQVHYHPIQQVKTLSRSVHDLAIRDDDPGTLLRPVSARPPSSASRTPYRAVSPTPVSPIIKQDSEIPSRRLSSALSPRARFADPPIVEAQHPHAGFSYLFPQQPVVSSVYNKKITSPEPRDPSVRSFSKYPQSNHQLLQSSTLNRPVSAPLYATAGNHEGTSRPLSPLEIQNSMSGTQQDFDMPVAYSNENGQVVYVPINIEGGMGAGPRRMSKNSKYDYTPNDSRSNSRAKLRSPSINGEDVRNALSQFDYLYDYEADQNPQQKTREATYHL >CRE07339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1419446:1423885:1 gene:WBGene00058216 transcript:CRE07339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07339 MLISSPLYLSTAAVFSMVGISLVVLAVVTDNWVEVQVNRREILNSFKREPELGLRLQNALGHNIIYFSRNFGLFNLCFPDTVPQDIGSFIKAGSICIWNNDFMVPESKKEHFSNNELYRHYAAKTTIVGYLIGIVFVVLSFIMGLIGCWNRSKKFIMGTGILMILAALSMSIAMLFWHYVAYSERYTLNVEPYYQSWEPVLKLTSRHNYGWSYMVSWTGIGSIVLASIFFFFAYLAVKKEEENALTAKHGAYMMPNYYDKGAGAIVPYNYNTYAGYGNYPYYNQYNTAGYYGYMTYGR >CRE07533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1413933:1416430:-1 gene:WBGene00058217 transcript:CRE07533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vang-1 description:CRE-VANG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2A2] MSYLDQRKLPKDTRSCVGGFRYEGHKKQLRPRYAQSEIGEPFIPKFSAIASEGQKIAPPNEDWADNTTVLTGMTTDSYTMEEKMIYQAPMGRVMGRRCTRFVWLLASSLLCIISVVSAPIMSSLPIIAPQFGFSMPAIQCDVDCEGLLFLMAIKTIFLVIAIGVLYWRKAMADMPRLYFVRAALTFLVMFILFAFWLFYIVRIMLERYDNYKYIVSFSFFIFVSYSTSLLDALLWTHYLSVVLLELRRLRSQFIVTIVRDPDGEMHTLNIGAGSIQEAATEILRFYTTRFSSFNIHLDNARQTAVAKQSGMQGGTAGFKMYNIEQFGGQETVSEVNTRALMEAAARRRIGGYNEVMQEEVDFEKRVKKRKYRLMAAAEDAFSHVQNTAESTGVQKTGINNQMDSLTAAQNVFTWIVRPLTKYLKTTRLQSRHPSAEVTRHIERCLTLKLSHRTFLQRFFSDRLPHRENVGESKWSVICDESVSSGVQHGTYLVLKSHNSDVDYGVQLVCTVSSIPFFNLTEQAKSGSDKFSLKISNESVV >CRE07338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1412944:1413342:1 gene:WBGene00058218 transcript:CRE07338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07338 MLAQILRQIIFFNLIVAIVSSMSLLDAYRSEKLTRRRIGMQNTDFRPILHLRGEDDWQKQINDNFSPNQFMDENGIVYDMDPTINDRISTFFNSQRITNSRFGRKKRSLIFPKLFV >CRE07337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1408587:1412178:1 gene:WBGene00058219 transcript:CRE07337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07337 MESLFIKIVCIGCLITCLTVYYEEVLEFVKFQAYGNPYWLNVSQLEECICDGGKTRNCYPHPDDSGLCGKCFATCPEVKTEFPENIGKRSDELVFGLSASMNDVNRLLVLIASIHRNFPTTKLIIFDHANGVRSVSVIPPDFYHVEVILCQGKPSNPVNFLRVTALESQLISIRNVEVVNFKNRVDGKAANTPFYLQEILAHYSNVLWLTHDVEILNTKLMQAGPFRRSSKFDVTYISHDKRRKVTSSGYRPYFPTSSLMPHFPMVILLKNEARNLIRWVEKCALTPECWKCDTSSGMIEDCTWMVLHQVAIDAEQFRFLGDTNGSINKQTWGPPECDFYCTLWLVSITIVAVVSFGVLALVLLKNSTKKTK >CRE07532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1394191:1401633:-1 gene:WBGene00058221 transcript:CRE07532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmy-1 description:CRE-NMY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M299] MGDLQYLQVQRAAVADPATLAAWAQKKLCWVPDQNEGFLFGSIKKESNDEFLVELCDTGRQVTISRDDVQKANPPKFDKIEDMSELTYLNEASVLNNLKERYYSSLIYTYSGLFCVVINPYKKLPIYSEDLIEEFKGKKRHEMPPHIFAIADTAYRSMLQEREDQSILCTGESGAGKTENTKKVIQYLAHVAGATRNKGMSAAVAQTIVQKGELENQLLQANPILEAFGNSKTVKNDNSSRFGKFIRINFDMSGYISGANIEFYLLEKSRVLRQSPDERSFHIFYQILRGCSAQEKTLFLILIKTFYLSAILRVVSAILLLGNLEFTQEKKSDQAMLQDDRVIQKVCHLLGLPVIELQKAFLRPRIKVGREFVNKAQNQEQAEFAVEAIAKACYERLFKWLVNRINKSLDRTHRQGASFIGILDIAGFEIFTTNSFEQFCINYTNEKLQQLFNNTMFILEQEEYQREGIEWDFIDFGLDLQPTIDLIEKPMGVLALLDEECLFPKANDKTFVEKLQKTHIKHPKFIPAELRNRTGDFAVVHYAGRVDYSADQWLMKNMDPLNENVVGLMQNSTDSFVAGIWKDAEFAGICAAEMNETAFGMRSRKGMFRTVSQLHKEQLTKLMTTLRNTSPHFVRCIIPNHEKKSGKINSNLVLDQLRCNGVLEGIRICRQGFPNRVPFQEFRHRYEILTPDVIPKNFIDGKESVRKMITALDIDSNLYRIGQSKVFFRTGVLAHLEEERDLKLTALIQNFQAQCRGFLSRRLYTRRQQQSSAIRIIQRNGLAYLKLRNWQWWRLFTKVKPLLQVTRTDDEIRAKDDELRATKERLLKMEHEFRENEKKLDQVIVERAVIQEQLQQEADNSAELEDIRGRLQTRNQELEYIVNDMRERLSEEEQQNEKNNDERRKQMETVRDLEEQLEQEEQARQKLLLDKTSVDQRLRTLEEKFVELQDAYDKLLKEKKLLEEKVENLTNQLLDHEERAKHGIKAKGRLENQLHELEQDLNRERQFKSELEQHKRKLLAELEDSKDHLVEKMGKVEELNNQLMKRDEELQHQLTRYDEESANVALMQKQMRDMQTTIDELREDIETERNARNKAEMTRRFYSERLSLNWKKSKEMYLTKKDEEVNTTKRAIEQIQHTMEGKIEEQKAKFTRQVEELHDQIEQHKKQRNQLEKQQNVADQERAEMAQEIALLQASRADIDKKRKIHEAHLMEIQANLAESDDHKRTLIDQLERQLLFNFMYFQSRDELDHLNRVREEEEHAFANMQRRLATAEAAVLELNEQIQEETRLKIANINRARQLEDEKNALLDEKEEAEGLRAHLEKEIHAARQGAGDARRKAEEAVNLQLEELRKKNLRDVEHLQQQLEESEAVKERILQSKKKIQQEFEDVAMELDNVRASHRDAEKRQKKFETQMAEERAAVQKALLDRDSMSQELRDRETRVLSLLNEVDLMKEQLEESDRVRRSLQQELQDSVSLKISNKDDFGKNVHELEKAKRSLEAELVDMRAQMEELEDNLQMAEDARLRLEVTNQALKSESDRAISNKDVEAEEKRRGLLKQIRDLENELENEKRGKSGAVSHRKKIENQIGELEQQLEVANRLKEEYNKQLKKNQQIIKEYQIECEEARQAKEDIAAQLREADRKYRAVEAEREQLREANEGLMQARKLLELENDELEELRSKGGGISSEEKRRLEAKIAQLEEELEEEQSNCELAIDKQRKAQVQLEQFTTELSIERTVNQKTEAEKQALERTCRDYKAKITELESGAQSRARAQMAALEAKIQYLEDQLSAEGQEKTTSNRAARRLEKKLNDMTQQFEDEKRANEQAKELLEKSNLKNRNLRRQLDETEDEISRERTKHRNVQREADDLLDANEQLTRELMNLRGNNRRRADMRLRRGFDVPGSSDNLAREDENESNVSGSEHGMSVN >CRE07336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1389173:1393386:1 gene:WBGene00058222 transcript:CRE07336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hst-3.2 description:CRE-HST-3.2 protein [Source:UniProtKB/TrEMBL;Acc:E3M298] MKYRLLLIFHLIDIISSGVIPNTAKKRFPDAIIVGVKKSGTRALLEFLRINPLIKAPGPEVHFFDKNFNKGLDWYREQMPETRIGEVTIEKSPAYFHSKMAPERIKSLNPNTKIIIVVRDPVTRAISDYTQSSSKKKRVGLMPSFETMAVGDCANWLRANCTTKTRGVNAGWGAIRIGVYHKHMKRWLDHFPIENIHIVDGEKLISNPADEISATEKFLGLQPVAKPENFGVDPIKKFPCLKNDDGRLHCLGKTKGRHHPDVEPSVMRALKEFYNPENKKFYQMINHWFDW >CRE07531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1381193:1383276:-1 gene:WBGene00058224 transcript:CRE07531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fard-1 MAFQVKDVYAGSSVLLTGGTGFLGKVIVEKLLWTIDEIENIYLMIRTRKGKNPNERLAGLLHDPLFNRIRQIKPDAFNKLVAVGGDMMVENLGMDPEDMKLIRDNVNVVIHSAATVKFDEHLRAAVTMNVIGTKRIIDLCHQIKDLKVLVHVSTAYANCDRFETVERIYKSPIPPQKLVDAISWMDDETLTKITPKVLGLRPNTYTLTKALAESTIESEAKDIPVIIIRPSIVGAMWQGPLPGWTDNINGPTGIFTAVGRGVLTNMCGSSESKADIIPVDVVANMIIAAASHRVSINPTEIPVIHCSSGEINPLYWGYIVVFLEQFYKKYPMEQCFSVPSTYFHKSRTLFLLSYYIKHHIPAAISDISARFVGKRKNNVKLYGKVWKMIETLHFFTTRGWSFNANGMPALYERMTPEDQKEYNFDVRQVDWDSYLFDYVMGIKKYLLKENLENLERSRAHLCRLRLKRQIIAALVYAGAISTFGRKWKKATQYMTWIGAMLATYTYTEVSFRRRIPLKSLKDYAQTADYSRYLHRN >CRE07530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1376368:1379225:-1 gene:WBGene00058225 transcript:CRE07530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfk-1 description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:E3M296] MAEDTSMISPEELDFIRQRALRRFDSIVPTAGREGNEIASDIFKGRTLAIYTSGGDSQGILNTEYRNYKKTHTNFPGMNSAVRSITRMAIYCGCKVYLIYEGYEGMIEGGDFIKEATWNTVSDIIQQGGTIIGSARSSEFRTREGRLKAATNLINRGIGRLVCIGGDGSLTGANTFRLEWTDLVQELVRTDRVTAAMAKKIPYIQIVGLVGSIDNDFCGTDMTIGTDSALQRIISSIDAVVATAQSHQRAFVIEVMGRHCGYLALVAALASEADFCFIPEWPAPENWRDVLCDKLSQMRSEGQRLNIIIVAEGAIDRDGKPITAEDVKTAVKNKLKYDTRVTILGHVQRGGAPSAFDRLLGCRMGAEAVFALMEMTEDSEPCVISIDGNVMVRVPLLKCVERTQMVQKAMADKDWTTAVMLRGRSFQRNLETYKLLTKMRTVEKDNLSEGHKFNVAVINVGAPAGGMNAAVRSYVRMALYHQCTVYGIEDSFEGLANGLFKKFKWSDVTNWAMYGGSFLGTQKSLPTPETMPQIAEQLKKHNIQALLLVGGFEAYHSTILLAEMREKYPEFCIPMCVIPCTISNNVPGTMVSLGSDTAINEICQMIDKIKQSATGTKRRVFIVETMGGYCGYLATVSALSSGADNAYIFEEPFTVQDLSDDVDVILSKMEVGAKRYLVVRNEWADKNLTTDFVQSLFNSEGKKQFTTRVNVLGHVQQGGSPTPFDRNMGTKLAARALEFLLIQLKENLTADNKVVAKTPHTATLLGLKGRKVVFTPVQDLKKDTDFEHRLPNDQWWLGLRPLLRVLARHRCNVESSAVLESVEEESADTHMF >CRE07335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1375310:1375989:1 gene:WBGene00058226 transcript:CRE07335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07335 MSSLLKSVTFILLISLTSAGILTRSKRGSYGPPSNYSPPESQYGPGYGQNGGGGYGRPSGYGNGGGGYGRPQQYGNGGYQQGGNYPQGGFQQGGFQGQNGGFQGQNGKFQGDQSGFQGGQTGFQASPPVPQPGPSVPVPGQGTSNVGPDYSTVGQTAPVAAPGAGDSGLFGGGSASTGFDDPALAADAKPTHTTNDKVTETSATGTATRK >CRE07529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1373255:1374844:-1 gene:WBGene00058227 transcript:CRE07529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07529 MSKFLVFLSVLFAVSESSAIPTRFRRQYGWGGQPYYGAAQYYETQPQQWSSQSQYQNNQNYYSPYFYGYQRQQNWQTSNQNSYQSQYNRPSWISDNNNGYATAPPTYNQANVGGYTRPKYLATMQPKPTLSPVILERSTLFVTAEPIKPTEDGNHPTFLERLGVATLPVVPSLTSSEEESLKSAEEAVHETLGNGALLPERSSVEETTVNSEEEEGDREETTTVPETTDTIGSSTSETSVLEKDEIASSEFFASSTETSTEVTTTEEVTTTSEEAFTTPTIDETTELFSTTTEIIVATETSTITAETSTVTDTSTEASTTTSTEVTTTIPTTTTLSSKPSSTKAPVKEVKPVTLLAFQEVTNEVTSNIMNVTQPTVDQSTSVGDLNEIGEGSGTTDSAATTEENTNRSTFVTGIVGLRAPPVPKTTDPEKEGLAVVEWTDSDNEVRKVLLTQ >CRE07528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1363875:1368621:-1 gene:WBGene00058228 transcript:CRE07528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07528 MFVRSSWLLLWGSIAWASAEPVTLHIGGTFPMESGSGGWAGGEACLPAVQMALEDVNNRLDILPGYVLNMTNHNSQCQPGLAMQQLYDFLYKPPTKLMLLTGCSPVTTVIAEAAPVWKLVVLSYGGSSPALSNRNRFPTLFRTHPSANMQNPTRYLSVRSLDYSSNLRIHIMEKFKWKRFTILMSVEEVFVTTAKDLEALARKKGIRVDRQSFYGDPTDAMKTLQRQDARIIVGLFYVTEARKVLCQAYHNGLYGRRYVWFFIGWYADTWYYPPPEEHLNCTAEQMAAAAEYHFTTESVMLSRDKVPAISDMTGMQFQERLTQHFQKDTANVGGFPEAPLAYDAVWALALAFNCTRNNLPPHIRLENFSYDNKVIADTLFQCVKNTSFRGVSGKVMFSDSGDRIARTQIEQMQGGKYKIMGYYDTTTGDLEWYNKEQWMNGRGPPPDSTVIKKHAMTVSNEFYYPTILFSVLGILACILIYVFTQKHHERLVIFQSQPECNNILLVGCSLCLFSLFLIGLPSDDISVPESLFPFLCHVSYRAKTNCGIRMLQARVSILLFGFTFAYGSMFAKVWIVHRMGATENQQLASRQKDEEENTPWEGIRTLISTMVGRQALMRKSSGQAYGALLEKRNTVLNQPISPTKFYVIVAALTAVDVFVCFVWILIDPLHLTEQKFPLFTPADSEEDEMIMPVLQQCQSNQQEVWIGIIMGFKCLLLVFGTFLSYETRNLKLRFINDSRFVGLAIYNVAVMTLVTAPVVTLLIHGKVDANFAFISLTVLICTYISVGLIYGPKIRHIIKVPPSADEVQQNGNVGPAIMSKIDQKRYDMLKKENETLQKQIEEKERKIHECRERLEALTTSSETEDMNAQLLNGNNKPLADENMTYSTATTLTTTIPLIDLQLNGNPGIFEFYNTSLAIVLFFQVKYMRLKTTMIARRRHLMKSFYELVPMYISFVCIIVIR >CRE07527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1354893:1357029:-1 gene:WBGene00058229 transcript:CRE07527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aakg-3 MNNSTGRLRRNKATTFESPSIPKAFFDLQHHFIFSKKKAPVDGIEAIQRDGASISEHAIVKYANDDRPDEKERQDLENMFKTVLRIGVQNSDIVYTHLLQLSQCYEAMARNNKLIVFTNDISVRKAFNGLIYNCMRTGLVADSKTLEITGVLSVTDFIMVLMMLWKYRENLDELKGTPLSHEDFRQMDIAYMPISRWKGCLEMKGQLKPFIHIGLKESIFRAVELLTKYRIHRLPVMDENTGDCAYILTHRRILHYLWKHCALLPRPECLSSRVVDLEIGTWQNLLYANEQTPLIDCLDMLIDNHISGIPVVEKTTLKVVEVYTRFDAASAAFSDNIDLSVTVTRAIQDRDYQCGIRRDAVVTAHYTTTLWSLIEIFIDKNVHRIFMVDDKTILKGIISLSDVIEYLVLRPTRKTVNGNSK >CRE07526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1353551:1354574:-1 gene:WBGene00058230 transcript:CRE07526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07526 MIRFLTPVAEKSDSLYTQQSSVSGEPFRKPTSLLNMFALIPLALLHTVNGTVPRWFFIPNFPILFPAIGYTPLETNSLMRNVVGYGSTCDAQAENKIAQCSEELTNMGVFSALSGKQTTLSDMKTHSQLHFVQMCGAYQRYNSCLGGSYIKQACYPHEPLKSRFSVVDSVLEYVCGEGYQSMLNNWNCYLSVADSREIALCEASFTQLAKNTEQMYNDYSSGAGACFALQSYTDCIRPAIETTCGLGSFLTVIQAVERPIQIYLPFCTLSSSMISFVPSLIMSFVFFILYF >CRE07525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1350097:1353308:-1 gene:WBGene00058231 transcript:CRE07525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osm-5 description:CRE-OSM-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M290] MHQNSFREDDDDFYGGFDSYDKAYDIQNITQNPQFQQAVARSSHGRRPTASQMGFRDNSSSYGKPPPTMINQSRMGGRTAMANNNEPARPMTAVRGAGYTSFANKVQATETSLNSENLGENGEERCKQMENKVMDMLRESMMAFEKKKYKEALDKGKEAGRRERAVVKHREQQGLVESMNLDLTFTVLFNLAQQYEANDMTNEALNTYEIIVRNKMFPNSGRLRVNIGNIYFKRREFTKALKYYRMALDQVPSIQKDSRIKILNNIGVTFVRMGSYDDAISTFEHCVEEQPNFSTALNLILVAFCTQDAEKMRESFVKLVDIPGFPDDEFLKEKDDDDVLLNQTLNSDMLRNWEKRMRTEAEKAIITSVKIISPVIAPDYAIGYEWCLETLKQSVHSGLAIELEMSKAGEMMKNGDIEGAIEVLKVFNAQDSKTASAAANNLCMLRFLVCDEIRKALNLSNFQQGGRRLVDAQQYVDQALAIDRYSAHALVNQGNIYYMNGDLDKAMGNYREALSNDASCVQALFNIGLTAKAQGSLEQALEYFYKLHGILLNNVQVLVQLAAIYESLEDSAQAIELYSQANSLVPNDPAILSKLADLYDQEGDKSQAFQCHYDSYRYFPSNLETVEWLASYYLETQFSEKSINYFERAALMQPNVSKWQMMIATCLRRTGNYQRAFELYRQIHRKFPQDLDCLKFLVRIAGDLGMAEYKEYKEKLEKAEKINQLRLQRESDSSQGKRHSANSTHSLPPSGLTGLGSGSGASSVARQYSAHVPLLDSSTPFTVTQRDMKAEDFTYEDPVVMSSRPKTGTRKTVTDTSDDFGEFDESLLPD >CRE07333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1346505:1348091:1 gene:WBGene00058232 transcript:CRE07333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07333 MTKYIRVKETYCGCISVQYFAIIASCVTLVFQLTFSIFFIIYGQLWGLIPMAITIGSHLFFMFTLHQFSSPMSMTIYSGIEVMPVKYVISKYLHFVQIAFAFTILGFSVWILSWVLDPKVSFVYEFCLDKLQYTSCYGGVQLVSLIWGCLFVIFFFASVLLMPVFRNTWRNIKVTRKSSSVYIDDDNRPSAAVQPIFNINSGPSPPSQVPQQFVLPPQLFQQLPGSQQQQPQIHLQQQSQQQQIPQRPQQLYPGLQNIMTPSGVIHLNLYTIQLPNGNNTYVTSSPIIDQLSTDCFDSISQTGQTIQERL >CRE07524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1338239:1342792:-1 gene:WBGene00058233 transcript:CRE07524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-igcm-4 MGAVFLLIITMVNSAIIRPHVVEAARGMPPRMRNVETKFRIPLGQKQFKLVCPIIATEKDNTMIQWSKNGEQLDWDSQYKLSKDGKELKMKSVKFEDSGRYQCQATNGFGHKTMEFIVHVHDQNDKGTSVKDYLVLSNTTASPSWLIDMNSEWRSPIKINTGGKLELRCPAQGNPLPEIRWYQNEMEISEKTHKHVSSIGVEPVDSSHSGVYRCVVENSLGSLSFAFDVTVGDFFDPPTTDATEYQETAMEPVIDQPYNISVYAGHTAQFQCKVKSSENTMIKWLKEISDPISIRRKDPNATVIHANGMDLLVLDHIQTESTVPLEDMDNVYTNRLTIHKVDYHHAGKYICVVTSAQGQIVYKSAELKVLSSYDFTFPFKSDSFLVLLVVVVSLFIFVVVLAIIWLKKNQESTSSQILKPPPPPRMPPPAAPQENDWSSDRTMHSSKPLLLQNSMFKQPIPTKFHAATMDRNNLIRAERRFDEMSNVYDNSTVHPYWTQQRNNNSIYNGGYRTLEVQNHRNYPTSDDYTDQDTFYYK >CRE07523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1336285:1337390:-1 gene:WBGene00058234 transcript:CRE07523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07523 MSTIGLRNRWDSPDTEPKNITSLRIIEKLLSDAIEAPRLVFFEKRVNPIDPSFYDCVYSIVDSSNTVTERCYRDIGCCASGCCTNTAWQEKYGWAVALICIFSLVVIMTVICWMGIWLCNRRKDKNQRKELLKFGSSSSVSNMSFAYPMANGHYHYGTGPFQSPPLNYPTKY >CRE07522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1334275:1335605:-1 gene:WBGene00058235 transcript:CRE07522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07522 MLDIRRFDIYPKIPKDLTQPTTAGAVISMLCVAFIAFMIFNDVLAYIFIDLRSEFFIDDPGREGKIDVQVNVSFPHMACEYLGVDIQDENGRHEVGFIDHTNKVPIGDGGCRFESRFEINKVPGNFHLSTHSAATQPDNYDMRHTIHSIKFGDDVSHKNLKGSFDPLANRDTSQENGLNTHEYILKIVPSVHEDYSGNILNSYQYTFGHKSYITYHHSGKIIPAVWFKYELQPITLKQTEQRQSFYAFLTSICAVVGGTFTVAGIIDSTFFTISELVKKQQMGKLT >CRE07521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1333327:1333823:-1 gene:WBGene00058236 transcript:CRE07521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07521 MNSLQLLLLAAFVSFAATQWNLDCKIQVKFRNDGHKKVRVDLLVPSLSIMSDPVILNDYKQEKSVNIKGKNCEKKPWVFMIYGWQNDKWVLKKKTQSKFTGNGWFLTSVDDEYTLNVLDRQGIACSEGNCGK >CRE07332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1330777:1333299:1 gene:WBGene00058237 transcript:CRE07332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07332 MVDRDRDYLNPNSPTRNPNVQRSTSFVGARLHFSYSGNETKTSNSSRQDPSNPKVSDSSATRSSRLPRQSTFDYSHLTNVTPSSTFLSPETSRRSTYVYGDSAPRENTESPAVYEPRTNTSHITREVPYLYGEASSAPKSHLSKDITLTTSSTLLPSSSSLHSPTRNLIETPPLSAPTSQYTRNYGNSSYTAYSAYDPTKRVIPADTSPRIPAPPPPSIPQHSKALQPVPIRRSPSPARRTQPVIDRTRRQTTAVFPSQTTFLSAQEYNPTSSSTRLPVQTTARTHYPALKPQSLNTQMQNTRLPSPQSRNLTIGYSESQRQDIERAQEQFRSQIREKEIKAKLEAKRAEEQARLEERKRIEEAAKLEARKRVEESARLDAERLALEAVMRAAHPDEDEDVEKFIRNLEQRIQDSRDSKNLKGALVGRQSSQNLAKNAENLTNALNEIDETVEGRDADDQRNMKTDENQNRRKYAETMYDPEDDDSELNSLNSSDSFLSTVPEEDPDVTSLDNQSFTCDPQPTTLQPRVISYIHNMVDGILSSLDKTDFANIIDTHQMPQLYDQDLYSNDASYNYNYRAISETARQFFTPKSSPVEETRNDFYNDSL >CRE07331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1321864:1330242:1 gene:WBGene00058238 transcript:CRE07331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07331 MNSDCDDKIKSSSRYHHSESCRKLNYVYTSRQCDEYLDYLEELRRIIFGHNYRRTMSSGTAFASNPDSIQYHTQNGKILVYQIGDTSDYGITLSPVPSETETLVTETFCAEEHVLNQREVSKIIPPSLCHQMPATIEERMQNCLHSSSEAISSYFTDSKELLNSAKLVSTDYVSQPIAHSQFQKGQLDYYHHESLDYHDFKDVENLSEGIDDSFAVFEEFANVLEETDSVFEELVNESFDDTYTISDDKVRRIVEIVKRHGEYTAADTGNDMEQFPDSDVTFSATPVETFSASRAPTPTIHFSEHLQPEGTSSTINCEDFESNVFQLPCIFNNRSFTSSSSTGLDNDFQPRTSVDLTNFSENKHIFAQNSSQPFLDSKEPDKADATSENTSTNLESGNSGNSVLVNMIEDYVKMVMDASKQLNQCSRNETSQGIKKSSFCVSFLFLPDNVSTNTSSSSSNMCVLNVGEMELLKEKGTKIETWQQRSDKTEIENEGLEIMKRNRFDENCEDKPLEDVVFLPIAPRKSSVEKWESATLKAEMLGNMDSSLSSKPEKHEDYKSDSDSICRMTGFIGNEDKECRTFGNEHSSPDQTDCTETDVQQQNKEESTSGPILDTECSSLLLFSEELCNSLPTSSYSPFESSSNNLPETHDQQIPSKKVNDKGFDNSNMLSNPTYDPSSQPKKSSLASIDLHLTKLDDSHHWKTKQPLFLSSLKTVSSDSEEDNAGLIDTHPNIAEENVEEQLAKTLPFSDANEILVADCNSKEVNDKEKVRMNQEPGNVTIEPECLTSENISCGSNFPYRGSLKSSIDTIGNRITSSKRNVSESEKCQNSMCPEETTHEGYDTCSPTKDANSSSIFEGTISSEISPKTETKVETSDCDCSGGLAILIEASTHSDQEVFEVIDLLVSTVSHNMSEFTVELPSEPATSIIISNVSDCVLLDDAENSKLGIPEDSITKVDEWKGNGKVEDDSRNRDIETNIVQEVKGHTENGTNNFETSEAKVVLLTLAENDPEPQRETSENEVFDFNKEETTNSGNQIDAKTIETSIQFDILEEVAIEVNKFEETCSKSDVTRLENKRLADTESCEDAGIIVLISNNVNVENTASLGQDYEIVALIEQIIDIVSESDSDRITTALVGSLSLKSSETRTSCANAVSHDTIGTVAEEVKEVVDDLLETVSSFVIQESTHSLDYWFEDTEKFSNLYVNNSIQDVFCDTFDSASSLSAENSFPCSQIVDSLETNYISSIIQFADSNAEDTLQIFDLVDELTRLADNLNMQENVRKTISDHENKFGIETVREELDTIIEGLLDVVCNFVVVEEQDEDHFDPEVVNVSIEPSEELIEERPFEHLQEDIIDENGSFEEDQELLSEDDVVDVVDYLLESILEEPHHNHVTDTVFLENSVNENLDDITDVSQNDQIFTDSNEGDTEVTEIVLNLLEKIVHSDNTDDINETTLDSHSSDLNERMENLCSSETEQEADENKNELESHVKEVVKMLMDQMIDFLKQSESCEDEILANETPISDADVETVLVTEPENNSAAPSFQNGFQNDTETADVVALIASTEFSTSTIDSKSKSGKEIDRDVLEVVNTLLSAFNSTIEQVDNDSNDAIYSQHKEYPQEESFEQLNDNGVSSELDEGKDTIQIVNDLSCAITSSISCDHQTVSHFNEPNNSEKLQDNVDFTAINPETTEVIESLLDRVSGFEDSALANQLCQGNMDTVRCVLNEIMNSVENDIWMVGTNDDTDDESCISEIIEQLLADVDQAISSKREEHYDKYQNFEDMPASTEHQESSNQFHNAVDECFKLIEEEMISKREENRSPENDVIPTKEEMGRDFENGDKTCSKIDESSTTEIREKVDVSKDLFDFEDSTNESVRNMENMKSSTILIPLNAEDDSVVVLDKRPITATDATEHFARIEQSSSNVWDESSLEIKREETKSEQYLDMNTESCLNYNILSVIGEYVECLVHDQVSLAMTDAATFRLSDLVTDTRKTSEQLDVYLDLPDSVSLIYEKLTTGEEPSLENYELGGCCVLEKGKHKATELSDTPLFTNSEEISETLDNVISQLPTVPCLCVPLSLTNGEAEDLTEEDLLMQPTSGICTPVLVFPSENTLDTERGATAEVLLNGDTDIVQRLEVDNLLEVDDNQNFESSLSKCDIFEDKDQNSSSVCESTPSISHTLLSELSKVSHNTTILDYLKINVNEKLCNVYANTPNDICTSSRTLMNEILEETKHDDTAGSKTNDLNPLDICQQSTSESMLPSQHQMVVELSRNPPSSYSINRFNYDAAAEASDFSLCADMCDTVPTNSSLKLFTESSSTESSLKSLEQPSTSSVLEEVSHFFATACVAALAYMSPSESSTSLFNSDIIEDASKYISNELESSNKGSGK >CRE07330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1318313:1320836:1 gene:WBGene00058239 transcript:CRE07330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07330 MPVQSRDDRNQLLQQQALDRPKTAHRNSRPPTSNFALPSFGNGRLPTTSKHIKMAMKRSNSETRPLPKIEYGRSPSREKLTDLRDELFQAKKKVAGYETDLKKLSTEMQRLKRDAEKRETFLERIVTAQYHPTELNDTNLARTLTSIKRKEMAKENLIDIQAKELDRLRVLLKDAPTAVEQKHPTQTMQIPEVPETEADNDDDGDSDYPEDDEFENVFEETNTKKEIKSKEKSGSKDKNALAKKYAEQNALLKQKLKEVRTNYVSMVEKNKKKGEEFVKQSENSKAVVEKTVEISTVLGNLQKEREDLSEIRRKNEEKIEDLSQELIEAYGEIDALKMHNEKLQENLRELESRVGNLNIQPEYEGQPPLNIPRLDMTDIDNDENCTLHEFTERSISSSISEYEHEILAVVLAEIAGAHCERLRLITQQ >CRE07520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1301433:1304053:-1 gene:WBGene00058240 transcript:CRE07520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07520 MSRFHVPVPDYDFNDRHRNPYIPTPDYTLKNHKQKNRPSLNNIGLDFAPPNVPEFREIEVQLPPITIPIEVSQPVQKQPSFQRPTNLNRQPASKLPDENFNYPEIKQHSYMEDRNEEERHMSTKEHIKQIGVPVLPGMATPNFVNQSFELSAAPVASDQNKAFLRHLENHKKFDTLRGDRGIEDCEICQIMRKQLEAEKQDSGHHSWEQPRNGGQQNSNSGSGKIRPDVRNIFRIEEQPEPEPYFRSISARARHNYEKRRDEEASIHAMEQVEILHMEREFALCRKSDNTIGWIPSSVFEL >CRE07328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1298985:1301051:1 gene:WBGene00058241 transcript:CRE07328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07328 MTLSQPSTRTVVLIIVILAALTCTLFSVVCLGHDATVAALTITAILLSGVGILMLTFFITDKKSSRQPAVILNTVRSNPHDLFQLTDRPHLPSYPPTFIPPFPIPPPQIVLPDSTVLIQPPPATNPPTYDESADIEDRRITDNSNAPPAYNLTNFVSDNNIASFSPPPYYSPPSPFFGTSVQTTTMFLSAEEISDSPVPTSPINPLRSNTIRAPSPVRRHPARKPRRQSPRQPKPQQTAVVIEDEQSGASTSTAVPKTPTRTPLPQMFIDCSKAEPTLFTINGEESII >CRE07327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1292350:1296364:1 gene:WBGene00058242 transcript:CRE07327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07327 MAQQDEGLSDENVHVKELKRLFNSCNSDRNGLLDKDGLLILCEKLNLSIFAGSIIDRVLCNDHSINFNQFKDRFLLFLPEIIDLASGNQDELLIAAYKSSSSLGIKDTQRLTRYDVHTICESTSELDLLSLGDVNGIFDKIAISGKVSLNDFVSQYRARQKMSEEIHFIADSYRISSINLFETLDVNNSGEADHSAVIEYLTTSGLKLEEAISLLKEFGQPTSAMISLVGLGNYLETTLSHLLNNSSVSARAAFFCMKSLLENYRCTVREFEMRCEHMQKQIHIANQRRTMLIEELDQNQQSIEASYNNRLKEMEERCRGRIAAMEEKFRMERQEMQKEIEAIEKDLSLVRHNETSLKNKLQLVERHNKRITTELEDQTEAVNVLEQENRELRAELRKKQQFRVSEDNAKIMAWKQKVELMVAHNKRLREKLRDISKNSKCDLSSESYIQWTPPFRSQLLLIRKRRVQKGDTLSEMDSEPESIFYRRRRKRLHKKRERMRRYENIHNIQSGSNRINEDGNLTAFSENSRKSLLSPRNRGMLTSLNDNEQNMSSDRLLINRAKNTDRTKKSTTAFDELPHVNDYEVQIKMLNSSIAAQKQMYEGQILNLTRKLSQIQNAEESSSRLYSLFDRYRSNDSDSKSPRPMGMEKNGSANRMSSNVCARCVKVEPKVNELNVIVGGSVKNTIEDPLPTIMNHDHLHTEIGRLKARLTSARCKVAEIVAVMALPTSRHHLMPSSDFQSSKSQPFSIRSQRSFADLRERTPLSEKSESSRDLVIDPSQFNSSSIFTKQL >CRE07519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1285198:1286878:-1 gene:WBGene00058243 transcript:CRE07519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sfxn-1.5 description:Sideroflexin [Source:UniProtKB/TrEMBL;Acc:E3M276] MSSSLSPLTFFFSLSLFGFSEINKSLFFFSRMSELVKTLVLRPDISKPKWDQSTFEGRAKGSQQNFLKEILKFSFFRKGSVPDDLTLSQLWKAKHVVDSAFHPSTGEKMFIVGRMSAQVPMNMAITGGMLTFYKSPMAVIFWQWLNQSFNAVVNYTNRSGDGGSVSQLLVSYCAATGGALTAALGLNSMVKKAPPLVGRLVPFVAVCVANAINIPMMRRGELTDGIDILDENGQVIGQSPGVAKSAISQVVVSRVFMATPTFAFIPIVVNALEKRPYFKANPKMFLPLQTILCGLVLSVSTPVGCALFPQLTPVTFDQIEPELAQKLKNLPNPPKQLYCNKGL >CRE07326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1284154:1284643:1 gene:WBGene00058244 transcript:CRE07326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07326 MRVPVIYNKPGACFPIVDNHIRLYAYETDHTGKVVRASIHSIHYNAPLIKLREKYAAKYNIFPHEIDMFYDDKEVFDDDTCRAIGIQHEQIVRMQVTFDTIYTKDRDDNDF >CRE07518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1279468:1281908:-1 gene:WBGene00058245 transcript:CRE07518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07518 MMSKWIRFLLLFHLGGYSLNAAHIQGTWNPSEQKVLVLTKFGYQLTDLKNEKDSRGFLYGHFLPQATFNYSNPVLLAIVSSENINIFRKTSGDYEMSCGSMLRNLTTKGFEPRCYPNGTSDVFRWIPCPDGHLCKGEDNAANVIPGYQMTMQIEEKINPEHWFVLLIACNLDVNCEWKDSSPNIPINYDIWLTNGRPNSAAVSFFTFNFSFDEQNTVQLFLLTVVAYAILSIIQSGANFKNRQIPPRSILLSRIVNMKLIGFSLQCFNVLLFAYDGQGFFIARIAGEVLRNTSVQVHCLLLILLAKGWDISYPGTEYPKKCIILWGILAGLDTMLFFYNCYLQTFVYDVLHDVDIYSAWPGHGMILIRIIYAIWFLIEIRELIDSEQNRHKAEFLAHFGAGFLVWFVSLPMIGTVASFVSQLWRFSLILALTTFSNFVALSCLVHQFWPKSSYRKFFADYTNGHRRLGRDDSHDYTDYDNYLFYEEESDSENDFPDCEEVIRTQI >CRE07517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1274813:1276748:-1 gene:WBGene00058246 transcript:CRE07517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-11 description:CRE-CUTL-11 protein [Source:UniProtKB/TrEMBL;Acc:E3M273] MTSRLEFIILLMFGFSMAIQDDNELIGQPEIQCNADTIDMQFRTKKQFNGKVYVKGSYNRPECRVDYSTKDQFGRPVGGIKLNHGACNMDRQRMIAPEGMMFSTVLIISFHPLFLTRMDKAYHIRCMYKEAARTVTAAIDVSNLPTEAVQADLPMPTCSYTIRRDQLDGPILKYAKVGDQVVHRWQCDSEDYGLLVHSCYVEDGQGEKQMIIDERGCHTDRLLLGDPTYVEALNMAYRESFVFKFADRIAVRFQCEIRLCLKDDGGCDGITPPMCSFKDNNNLEKHVVKRNARTFKPKDADMFSQTVYVMDKDNEDNQSAHPAELRELDPQTICLAPKILVVGVTFFTMIFVFFVTIILVIVYHRFCKNEIKNVVREYY >CRE07325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1273911:1274523:1 gene:WBGene00058247 transcript:CRE07325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07325 MRTTVLLGLAALVGVAYCGGYGAQAYGMGGGGGGYGRPMNSYGNGYNQGGGFNQYGNGGGFGGNNYNNGPPPFDGGFNRPYGGGGGGGYGRPQGYGAPPPPPPPPPQPEPEPEPHPEPAPEPAPEPVPEPAPEPAPEPAPEPAPEPIVKPYNSYAGPPRNSYVRYGGH >CRE07324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1271406:1273450:1 gene:WBGene00058248 transcript:CRE07324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sto-4 description:CRE-STO-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M271] MQRQGTVRAPLSRIVDPHQKVNYTVCGWIITIISYLVVLFTLPLSAFFCLKVVQEYERAVIFRLGRLKHGGARGPGIFFIIPCIESFKKIDLRVVSFDVPPQEILSKDSVTVSVDAVIYFRISNATVSVINVEDAARSTKLLAQTTLRNFLGTRTLAEMLSSRDAISMQMQAALDEATDPWGVKVERVEIKDVRLPIQLQRAMAAEAEAARAAGAKIIAAEGEQLASRALADAADVIAQSPIAIQLRYLQTLNSISSEKNNTIIFPFPTELIAKFIQSAAS >CRE07323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1264357:1266316:1 gene:WBGene00058251 transcript:CRE07323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sto-6 description:CRE-STO-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M267] MPNQPQPRKPTRGRAAPRFMEMSDKVDFTACGWILTIFSYILAVLTLPISIFLCVKVAQEYERAVIFRLGRVKPGGARGPGLFFVVPCIDSYKKIDLRTLSFEVPPQELLSKDAVTVAVDAVVFFRICNATISVINIEDAARSTKLLAQTTLRNILGTKTLTEMLSDRDVISLQMQATLDETTIPWGVKVERVEMKDVRLPYQLQRAMAAEAEATREAMAKIIAAEGEKNASMALAEAADVISMSPCAIQLRYLQTLNSISSEKNNTIVFPFPIEMMSRFIKNQRKRVTKKYLISALKYS >CRE07516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1255945:1260903:-1 gene:WBGene00058255 transcript:CRE07516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-44 description:CRE-TWK-44 protein [Source:UniProtKB/TrEMBL;Acc:E3M266] MRISPLLLLFTLIIFLMKNSHFAAVNKCDFCVKVLQCVYGQLGKSVGSKRLLEHKLVGTCKRYPEYRKTCLSMAKSNIPKIFNDLQPGTYDPVKTCTDLKVNITSGKKKQVSFSVPDENDPPPAVVVVDTTEMNGPASQLMNYPIVRRDTIIDENAMQHLLLHESIWSHAFKKKRKRGASVNRPSKLKRFVSLLQIIYDKSRVHYIVPIILLIAYSVLGGLIFWSIERPNEELMLADKRNYIAALTDDLVEVLMQIHNRLIDFNRAYSNNTYLLMIHYRGYRKFALNQIHKSVYWYTLSTFYLTEHEMHKVVALRPKNPEQLWKRHFESNFGRIRALKNYTEQLCLRCWELGVEGANHGWTRYNYSLMVNQSVEEYNNSVGLGHVLTPVWTFWNAMFLAVTTYTTIGYGNITAKTKLGKLAAMVYAVVGIPLVLMILHKSGRLFLMGLEHMWDFILRITDSFCVGSGKQRVRNTGEDRISEMPLILAIGVAFGWMFLCAAIFLRFEKDWDYFKSFYFFFCSLTTIGYGDVTPTNSEDMFIIFGLIIIGLSLVSMCINVIQLKLEKLFEELLLTLMEELNADPDGTRLKAGTIGAREMWRAWKKRREKLSNGLEKAKGARNAANKASENFVKMLPFSRKRERQHLIAELQKKLQRKEKSTQTDFGYPHCEEAYTETSDVDSCITDFRHSVISDKCNALYRSNETIWNTFSATHHLLLIPDGPICGPSRCESRPVVAMAEASLCSSSSVNPSSYPSSHRLSSTIPSSSPGSRTSAPSAASSAKSAPAASPSRQPPVVDFDPSRRWTFVATNRNNQRGYPRGLVVPYMYTRPLSSTVHTTEVRRLLAEIEARLQDCRSLATPTNSIRGTVSERSFSRSVVSDTSIPEEL >CRE07515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1253779:1255002:-1 gene:WBGene00058256 transcript:CRE07515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sto-3 description:CRE-STO-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M265] MIGREYQKYYTPTFFDFIALICSWVFLVATFPISIFFCVKIVKEYDRMVIFRLGRLWHDNPKGPGIVLVLPFIDTHKTVDLRVMSYDVPTQEMLTRDSVTIGVDAAVYYRTSDPIASLTRVNDAHLSTRQLAQSSLRNVLGTRSLAELMTDRHGIAVQVKHILDSATLFWGIHVERVEIKDIRLPREMCRAMAAEAEAQRESDAKVVTAQGELDASMSFQKAADELAGSPTALQLRYLQTLVKISAHDNHTIIFPVPMEYIKRKFRK >CRE07322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1251921:1253486:1 gene:WBGene00058257 transcript:CRE07322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07322 MFHLFQKLVFYQRVVMTNECPSPPTSWALAFDGPISFVVIVGGIVGNVYSLKQLFSRSINTSMLVSLTGLAILDIVMLLAGLWHHSLWATMHYFSIRDKPWDRKMADSNAVMECGHITSTWMLIEVTAERFFAVTRPFHFAPVHRKQRRKSYARVVGGDCPFGWCHIFEKFVGLIRIPLIMTIVACLICLPCTVEYTLTPCVYKGIESEQVMLETPLMSNMIYKVLYRTVFLSIVKTFGPFVIITFLTVSTLKSMRQSMDSRASILIAQGQNHLFQADKDKTKSLQAISIMLLGKFLFLRCLPTAMATIQILIPFGSSTSFLPVYLSHFFLLFNSATNSFVFVVVKSAFETRRLKRIRQRHRQLVAQHAEQVLSIGKALAGDKLLLFSDVEFENQSSEEDTLEMQPMMPTSSTSNPV >CRE07514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1239647:1241403:-1 gene:WBGene00058258 transcript:CRE07514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ftt-2 description:14-3-3 zeta; CRE-FTT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M263] MSDGKEELVNRAKLAEQAERYDDMAASMKKVTELGAELSNEERNLLSVAYKNVVGARRSSWRVISSIEQKTEGSEKKQQMAKEYREKVEKELRDICQDVLNLLDKFLIPKAGAAESKVFYLKMKGDYYRYLAEVASGDDRNSVVEKSQQSYQEAFDIAKDKMQPTHPIRLGLALNFSVFFYEILNAPDKACQLAKQAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDAATDDTDANEPEGGN >CRE07513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1225583:1227454:-1 gene:WBGene00058259 transcript:CRE07513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07513 MPFLGSTAQSASNFFTLPFRKKKQRYTINPPEESYNVIYLGNVLTIMARGENCYEKPLSLIWKAYCSRARSDLGMNLEITRSGLKAETKQQGLTEYWAHRITFSGAPPEYPKVFCWIYKHDGKRLKPELRCHAVLCKKSAEPGIINTRLQAFLHAALQEYKREKLSAQNARLTGSAGCPRRKLILQTGTLNFRPPVSRSKSAPRLGSIDEEQEEDEEQFGSDDNESVCYRAAPDVDSNSSFPVSDAYKSDAGPSSSSTTGASSVCSDDERATLRLPKTDPDSLSEESGYHEEGKLARESSEEIYASDSDLVILEEDYEDDEEVEQVTSL >CRE07321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1222294:1225460:1 gene:WBGene00058260 transcript:CRE07321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abts-2 description:CRE-ABTS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M261] MPELLCRTERVEHARNFKTEVRGLMDVDHLIERACIHRNMAHSHIHTILLTLFSELSSRFPRERFDLALFKNAVFSVSDRDSRAPRLHHKLQSYSGTDFFDVNQTWIVTYAQLDGLKQSHMALATLEDATNLGLEMEEARIVKHTKSAAEIARTFGTLLMNDSLRQSVNDAADDDQIRDLLTDSAKSLSQQMTIQINGVPITDDDDDKNYKTSSGFCPYYPFRGLIKDFQRRMANYKSDYLDGIKDRRSISKVISTAIFLIFTILPTSIAYGMLNENNTSGAINVQKVILGQWIGSLFFGFFGGQQLLILSTTAPLSIYIAVIYQVAQTNGWDFFQMYASVGIYAMGILILSSVLQLASLMKFTTRSTEEIFSVFIALALVIKVIMAIVHVYTHGYLGCINNVAGDVCDPAEPLLFIFLLFGTTWLSMTIISFRSSPYLSRWKRDLVSDYALPIAVLVFAFISFFGFSDVRKEQFQIFPRETDAFFVPFFYLPAEAQLPAIGLAIPLAILFFMDQLLVTNTVDNKENKLKKGSAHHWDIFVVAILNIGLSIFGLPWMHGALPSAFLHLKALSDVEDRLHNGYIQTVIVHVRETRLATLIAHTIMIPIYFFLVPYITVFIPTSIFNGVFLFMAFSSLTGNEFWERILLIFTEQVSNLEKLLNNFNFYSAPTLQPIIFVVSRKELSINLLLSNLSNLLFSSPLVSLSTIMSKWFSRLSLQLSSHSGYQTILGNNITSHFQTLHLAFVHPKTIFGSY >CRE07320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1219354:1221573:1 gene:WBGene00058261 transcript:CRE07320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07320 MSRSTNDTTELTRFDSSDSGGVSIGDPTAGSSDSLRLMKRDHSSEYQLRHDYAVVMKTSQSTASVGTPSKEIEMSLENDIDNYAPSCSSEYYITRKDFGKPEPQLANVLKEFYWKESFFYKIKEHVADCRFLKELFGSLKELPPIHQPFVNALKESMNEPDDSKASMVAPVLLATVHNLTPLYQSLVQNFPIYISALDQLYRSKIAFRTIMSKFESSKECYTQVNWLLLKILNRLINWQPVLARVIEIQLSECGNDTETTAFGVAMDKIIEFAKKTKATRQSLEEYIHVLQVERDTGLVGILTHPNRKILRVGFVLRSARRAPCCRIMVLCSDRILFGHRGPNLDGNFFTVHAEFKLKGMMIDEGDTYKVMDGEKDVITLHNADISIVFAAPDRASWIEDITEAIKNAARAKIDLPSLVMEKKEENDIDMTKVLLPEESPVSKMSPLQICWYRKCSFGRKDVNKMITNTMCGYLKRKLRNSSGWQDLWVVMCCHTLYFYRNHNESEPLAHLSLMDYGVGLPTVADNIDNHENCFKLFYGSHTYFFRTGSYYFFERWVDSIFQAAISRDSLDVVTALALRI >CRE07319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1212132:1218182:1 gene:WBGene00058262 transcript:CRE07319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frm-3 description:CRE-FRM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M259] MNSSKRGRLVCIKVRMLDDTVAVFHLGHKAVGQTLLDEVCRHLNLLECDYFGLSFIDMNGNHCWLDREKTILRQITNGSTDAKFYFVVKFYTPNPIDLEEEYTRYLFTMQIKRDLALGELHCSDNTASLLSAYLVQSECGDFSSEDYPDATYLSHTRFVPNQTLEFQKKVMDNHRNFIGMTPGESDLAMLEVARRCDFYGVKLHAAKDIDGNDAALSVMHLGIKVFRQLQLDTTFSWARIRKLSFKRRKLLVKLHPDSYQYLKETVEFSFDTRDECKNFWKKCVEHHAFFRCVQAEEPKKETRFFISKGSSFRYHGRTQKQLIDYVREHHKRREPFTRPLRSAASTRKGTYSSTYGLVSDRPTKHRNGSVYEANQTDPYSSKHQNTHSSMPHIAHISSQPADHSFSGTLDARVGTSVTRDPSSTITQHRLRQLKRSERCISDVDTVERPQRSCRPVSNAINVSSVTNSKEQPDLSISLPNVLSDDLQMVCKEIEMEQNDPPKSVSGDNFQQRRSSRDYDNVSEDSYRLSDHERSTRSEVGVSSKYAAATIFNSTFVARKPGSNVVKRVVAHSKSTPNSTDDEEGALKSASEYQTFRHIKEYPFARNANIVPIEIDGPNVDLSSRRSPSATTTTTRTAPNITTTVTTTSKVLTSSGAILMKPKVISNDHQETSSGTHSSRVSPESGTYGALGPLPGRVITKDNMMITPEGFKEKKPKPNPPPKPSFPIQAVHAETEIVREVKTERAQSHPLVHMQEEIPFSRAPLKFEEQKERKSSLSRPALISVQSEDNPDVQKCHLFNSDIPYTLTMRNVESTQSLPYSTFKNVADAKKQAYESNSLKRVSKSPEFKRRKSLDLVPRKRLPSPGNFSAQDHTISPTTPDSDVLEYLLRRRSLLGDKSVIKTKSKRTDPRRQTQPVRFDLPPSPCSPTAGGSTPFISILNDDLFDECVSESRSLHEDMDRLDKTTPHNQSISSFMSQKSRRDSEDSDVMPPPPDEILNRALISSSRPGPPPPPPKSLAAAVKVAEMKAAAAGKMHFSGNSKSCHTTDSPPFIDDSPKNSSASDAPSVPSPQKDRLTFSHF >CRE07318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1199028:1206250:1 gene:WBGene00058264 transcript:CRE07318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trpp-9 MKSSTSDFLTDVQTVNIVIKQIGPNKALPAFKRIVERCSRQRVIQVSDNPRRLFHCSFTQSSSYTEFSELQQHRRVFAVIGVAFTNGHGEPDRSTRASRESTDSEVSEIEQITNAYNKLKSDYSNIICGRCILIGGKDEDFNEVERHERLCFPSLEDATQLESAIRELMRAIYIVIEMKRVDVSFEKKQEIVCPTLPDESRWQVGVETKSTKSYRKKCLGRYRKQHADYCLLTGLPQLALEAYEGAIESLKSAQDHLWLAAAYDGWASTVCILHAEQMGEINCGAFHRVASMHAMLTIPSIEINTQSGESLSVSNDSVLRYHLGNAEHLDETQVKTQHFSSPQGHKRHHSDEHIRVTSSLSNSNSIERNESFGNESGIVSNGSSPSIREEPAKVKASKGLNPLSNFLSDRREKPTKERVMENFKNAIDEFAKFTLAGWLEYETVMRAIMYLILEREYIKLEQFHRDYTGKYLDDANSFMDHRMKAQICLNSAAMYKEIGFLRKQAFYARLSVLFELHVTEGRVRQAGDYKTVYPVLFKTLEGYGVDLSEPHDMKNKKIGPVKLQIKSLHEIFTAANRAGHRDAAIRHLCFLLQVYYPHLDSSMTTRLFDDLDNLVKATNTVHQLNQTIVVDDGKIIIPGLQLTRFPLIQNPTVLALQPNLAPTIVPSRSQASIFIYTPFGKKVDNSLLWVTDCPGEVEVTVKNCRGLELVVRELCLIVEGVNFEPVQARLILPPEDEENNSGSTIRLLGVPKEPGDLFITGYSCNIFGLHNECRFLSHNSQKPNKIRVKVLPKLARVYLECSLPRAPIDEENDEPSAEAVVYSGRLLTAEFIVRYHSDITSEDGHSFERKCKLPIAISIIPAVTVSAWHVLPGDSPFSRYIVVDVTNSTEHDAELVYSNSRRMYVLPKETCRVPILSPCCSDVTGGAFHQAKQRGSHMMQKMETERLRLILENHVSKHLDIRWAIPALNLDGQVPVGSLLSSVSLLKQLVLPAISLDFFVNGKQYVSEDDVAVGIGQFVEVEVSIISSLAAEYNGILSLECEQEISHLLGSNDTSTFMLVTGLKKIPFAVDKENKQSARFSVTFIVEGSFRVRPQITPAPGQNALLAEDMFATPVAFSVSTKF >CRE07317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1196478:1198692:1 gene:WBGene00058265 transcript:CRE07317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lev-8 description:CRE-LEV-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M257] MWIPQRIDCNSLAVFIFIINILTVRANKHVSQLLENLLTDYNKAVRPVHNASDALKVKFGANLCRLIDVDEVNQVLTTSLWLEMQWYDKKLTWNPSDWGGVEYIHIPSDQIWIPDIVLYNNADGEPHITITSLAKIDNHGTVVWQPPSIYKSFCPINIKYFPYDWQTCEMKFGGWTNDGETLDLYQIPVDVNDVPQPKQQEDGVEFLYLEKGLGLSFYHESAEWDLLSATSSRYAQIYPGCCGQQYYIDIKYRIIIRRKAIFFTVTLTIPCMLIANVTPFVFLILPNEHKMTFSISVLVAFSVFYLVLIDLIPPTSLSLSLIGVYLLFTLLMVSVSIILSVVTINMYRKQAFSSEMPHWQRWLFVQRLPKLLRLKTLEHTLEPEDGSETTTPRTGSVSVTASVTQKHPNPNNSSPVFPPQRLRLLSLVQVMDEALKQRCAADNLDLFRKIAGHLKIISAHFHNQQMESKITEEWQLMSLVIDRIFLILFLAVSMAANIMFVYNSPTLFDDRPSLIPTVAHKPLSGGTINVLNT >CRE07316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1192330:1193679:1 gene:WBGene00058266 transcript:CRE07316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07316 MYDVIWELAIGGIDSSIQGNGGEECMSYLPSWQRFLESIVFIPLSFWAAYMSIPLDCSFTLPVKNVSRYVILTVYSLIFGAELAYKMISRTGIFLLNPCHVTTTMQLMLLTMEGTSKKACFLFRLMLYFMPGAWFALAFPILNTRNLPGEVFIYYAQHIAILVVPVYLMYIQGAFEPEKPYDFGWTVFGISLFSLYHFVILQFGAMFHSQITRVNLNNIMCPAVSDPFQSRAYRIIAVGHQCILIPILSKTYSAMSLATVDFYKTVCETATAPSSMKLCERSVDDTICLKPAKLKL >CRE07315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1185225:1186637:1 gene:WBGene00058267 transcript:CRE07315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-2 description:CRE-MIG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M255] MSSPSRQIKCVVVGDGTVGKTCMLISYTTDSFPVTYVPTVFDNYSAQMSLEGNIVNLGLWDTAGQEDYDRLRPLSYPQTDVFILCFSVVSPVSFDNVQSKWIPEIRQHCPDAPVILVGTKLDLREDPETIRTMNADGKFPISKTQGLKMAQRIKALKYLECSALTQQGLTQVFEDAVRSILHPKPLKKKKACSVM >CRE07512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1182384:1183718:-1 gene:WBGene00058268 transcript:CRE07512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07512 MSRFGVFIIGVLFVMSICDVLKSEEHPHNEDHAHEKDDFEAEFGDEDAGNSFSQGTEEDHIEVREQSSFVKPTAVHHAKDLPTLRVFYCVSCGYKQAFDQFTTFAKEKYPNMEVEGANFAPVLWKAYAAQAVSFIKLALLVIVLTGSNPFERLGYGYPGFLQHAHGNKMSSCMLLFMLGNLVEQSLISTGAFEVYLGNEQIWSKIESGRVPSPQEFMQLIDAQLAVLGKAPVNSESFGEFQQTIQ >CRE07314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1179102:1182062:1 gene:WBGene00058269 transcript:CRE07314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcp-2.2 MKATGVILAAVATVAITVVVSNSVHKAYFSPSKLVANTSVASAVRELINVDNIRTTLHALTKKAHVAGTENNLQVAEMIKNQMISQGLEGVHFNEYNVLLSYPNWTSPNRVEIFDENGKVVHQTSGRSIALIKEEQNDPFSEIQWLAYSAAGNVEGDIVYVNRATPKDIEHLESMGIDLKDKILLARYSSNFRGNIAQMAVRKGAKGCLIYSDPMQVASLGTGINETYGRTDKMPPHAVQRGTVFIGFGDPQTPAFPSIGDLYKEKTEKELINEKKIPTIPMLPIPYSEAQKLFENMKGDAVIADFQGKLKVTYRYGPGLINNQKLRMIVHARNEERKIQNVLGYLRGNQEPEKFVLVSNHYDAWTYGAVDPNSGTTTLLEVSRALKEYQNKTGWVPARSILFAHWDAEEYGLIGSTEFCEEFRTQLMRRAVALINMDLIGGNQTLLGLSNPTVANVLREAAASVDHPNPSEVEKGRMTLYDSWKYFEPSRNNRSTHPYQRIPAGGSDHLPFFDYLGVPIVFFITSSLDAPPTYPLYHTIYETPYLIEKILDPQFQMHKAIAGMFIEMIVKFTESKILPYDLKELMDDTSFDYLPRIQAHINKAQSSGNVTEYLKQGQKQLDLLVKTVQELSEIVVRRNISKLSSLPFDARVNENNRLIEFEKCFINPHGATGNPQARHLLFHPSPDNWYDGDAISQVHDVITKIETSLDEQKLMQLSRQLAKEISLVHLAFICAKHSLNDFFTM >CRE07313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1174543:1176105:1 gene:WBGene00058270 transcript:CRE07313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07313 MTTKHTTVFFQFLLCCTVLIIFSDSKITQSIVYDRLPKELLNEARKFGAKAYKNFLFATENATSRECMNVYEDYFMECNTLGHERAERVFQSVYNTKLTKDMKLLLTLGFNSFAARFVSMDADIFKEGLRQLCEKYEMQLQCQYGFGESRTAIYWRLDDLKNTDGNLRILLDRQCPEPDIDNTVYHCFSSGVEEYTKPCFEEMLAYNYTRYSAGRRIARVHIRATKEVAELTANKDLENDDDQFLSMKEHVQSVFGKALRMIADIEGEKCEALEKVLKCVMPRVEEKCGREAVDIMQSSILVGYLSIQRREPLASQFKGFNIESSKKCLKLHDHIE >CRE07312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1159272:1173549:1 gene:WBGene00058271 transcript:CRE07312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdc-2 description:CRE-SDC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M230] MVLLLDINKRYLALASRNNFKLKPHLFTLSLLFRPRGAATKTMPKRKASVRQNSSNPPENQLAKRKDQADTSRSEPENNISVTVKESQVQPRVIPPTQEDVGPMRTNEDQTASGIEHQENAQDGVKKPEKKLIQRYNRPLYICYWDPRINVANTSEKYVTVHEEIRHRVVMEEEDWCSALDSYKGHYYKKMIKRMEFHLGRSVQQEILPNYFEPVLVKEHVYSAPELDPSVKVVTDRTVYVTWKEHTHPDLKELENQFQHVFEHNYSKCPTEEPVEPVEPEPCEFTDIDTDSEYEYEIRDDTPPGSPTILDRPIGKWPLQILLKLHQRFKKSEVDFENSNNYLMADHTFEILDHEHVMVTKFKEDARKKAKEGNIARLTPPLISNMHPTDLDPGIFHRYARIQTYFSGNLDSTKSLKEANEDRAIRLITSPQTMRRFYENAKNFTTASSWNYFFGLGPSKEQQFEEPIIQTQLCVGKLYSGRSPKTNPTKEEQRIKTNLPASSGIQNLVMSTISESRLPAAINPTKSVSSAKEQHADELSSVKSESLSEQTVRIGTFDIRRLVTPAQELPCGAPFTDKRVLEEFVEKESSMKAVSEIKEQPTEMESSEGHDVENTIPIKPDEDATSSELSRDKNILDDLIVKESSLEFSSEGRISEEDKEREMSEDSSKHSFSEISFPPNHAECSSTPPKITKREWPDTSATPRRGLMYCKQSSEKPQSYEMSSEESFVKKVCRHPVPSNGSLIKSKEREKSIELFSHESEANDSEGYRRYLAMRAENSYEGNMHHVVITAKDLERNSYDIKKFKDKQKSSDLDSESMEREWYHEPFSRRNSSRELSREPPCDRKFSGESNVSREKNNENSYDEQHDHDSTDDNNSDEKEETGSESSIDVENQNIEELIREYNSNMYRESSLERFQEEQYLSSEEDEEDELDEQTSKGRSVDLMGADFTADIEEQHYMSDEQDKEDRFRRRRSSDLIEEDNNADRKEEHYMSDEQDDEERFRRRTPDLIEADYTADIREEHQMSHEQDDEDRFRRRSPDLIEADYTADIREEHQMSDEQDDEDRFRRRSPDLLEANYSADIKDQQYMSDEQDDEDRFRKRSPDLMDMVYTADREEECMSDEQDDENRFKRRSPEFIEADYTADREEEYISDEQNDEHRFRRRSPEFIEAEYTADREEQHYMSDEQDNEDRFRRRISDSIDVEDIAVRKESWNESQNGDEDNEGMSGWSTPQPVRQENVQRASGDDDIQFIEHLYSPEQLANKNFMDARLAAAKERLKREKEQRRRAQHAIRELKEYEADEDLLTGKFAPRKVVPVQPSELADAAVFAKHPRRKLPPKNTLEFTRHRQKMKRFVEEAYDLPRPVIYRMRGFNSERIRQFHSKRTRVTRQICNNKITQFHLEDENTDIKTVPDKSLAETIDSYLEESKKHIQKSTRFYDHVLATAVEYDNTVKMLHFGRTLKKHSCKQKRLKFQSSWWFSPKKYRTKKRPKGFFKTPKYFCYKITYDKLLFRNHGTFFDNQPSPIHKIYQELKPRLMRRRTTNDIILDSSYFVREFFLVKSSISLRITRSADIPSCFAPPTLRCGYFPFSAVSLKSKKHYLAERFKEAQMEYLNLTYRKIIPPKGFKAGTITKNELWTFHKLGKHIHGFFVVWMDRHNYYEDGKGTTVNRRYLVDMFSHQHFPLHIKYRCWETRLKMAFDKVTAYNLNLAEVIRANRPVFDTLSQNPSLLKPITLGEIMCSVNIFQLTEQDINPKYYINTVGKQQLYDWGRGSTNADYLSAFAIICGGTKVARPIRNFDLERYRVFKTNAMETGVLTKNGKLFLLHTAHKPKDFLIHIDNFEHEVECEKLPIHPPLSAEGANITRIISDTPQNPTSVPKPKAKKAVREKRICLNRTELIKVRKRIYNLPDTAPVKKEKKKQRKPPGRKADTRVEKGLRSNNFDSAYIASDIESEYEGYLSNEEMTNEVRPPRLVRSQSAESFHLDINYIDYHVNGLSAMHSTKFDFVDHPIAKKKKRKMKRKVTKHTGRFRELQKESHAFKELMECYQGNFPRGVKEVAVTKSSIRNGTYDRNNIPRAYVKGDQPLIDIVFRAVKDLVSSVVGEMHSSARRQIGMARLIQQTCISRIETVENLPTNNSLPLPDAPYLAMEMLARKKIIGRFSLEQEKTNLKKTVGKSVKKYFSFPSYQREYLFELSMLKRSDFEFHAHWKHLMERDTQFDRTLKLLPFDPQSLQALNDKEEKRRQSRKRHAEKIAARKKLVKANATESDFEALNLEIERREKVRAKQELIDKIHAMKLKDKVKKLQEKAERRQQMLENQRMNSYIKESNRRERSLYLAELALEKKNEEHVAKIKKMAEEAEKARQEKIRKQKEEELKAAREAARKLAEEKEKQRLAEEAAKKRKEEERIRKEQEELRKQKEAEKKERQLQLAKERATSMKHARDLNDSRLLKLTEMKIKDIEEHQRQKESKMKLKELRAQRRKRRSFAPERHEKKPSAEPGSKNKKTEEVRKPPAVLGLDDLSIKIAEHRIIRRKFKDSDLLQILREAGEAFYLALKHITSLSLLFFYLQNYVNVSFNLYAMIYIFLFFQTEKRSIDVSENLIHLFDNIAKSFKWHIAEKRWFFDCNLTFLSKNVNAIIKTQIENLMKDSAILHERNVSIRESNDHNSTNQEPRDLLQRDKCRIQPGDVDVNFSNRLNISVIGENNESWVMGPPWVPPVSTNTKFWELMNQSRNAKSLEEEVRWMTLLEKHIFGNQDLPLQSVQWVYAIFGVSCVLLTGKAEDASIAIDPDKTFFLESETLKIPENTSSIESILRHLVTASMYFQEANVYPINTKALCIHSGFKEVITCVKKVYRHIIYIFVRFNVINRFKSGTSVEDALCEVFLEIGNELQSMIETTFNLSSLEVEKLRQSEYHASLNAFAGRGFAVLGAKHSEEQKEYTVMINSVLNWYTAFRNHHNEEYNTLKTDILEHLQCELKAREKTPPGDVFESITPYTEVQCDKSTMKNCEDENKDTGNKLPVVINDDTLHKENRPNRETTIVGEDDPLSPIVSQCVERYDIFPDEPAVRVGARFIINRETDNEYRNLYRKLRAIEIKKENAAPSNLPKFENSYEQSMWRAIERSFSIITEKEKEKMEPYEYIPHVNKTKNRFHMLIRKTKNQESMYPRFILPSDWTAFEKFYVEDDVELFRKVEDDVLTSFKNTVLTKEEFGRMQWSVPQRRGTPMLAIEFFCDLDKYRSQRLFIHQVSTGALPFNFKVYKHLWFMGNLLPMTYNPDSHEHVPDNACAGCTEGSVVIVHKCCCVFQRQDKFDNFIYVDTTMDCENEDVERLVGRFVCEHGPSSVLVLVNKIRSELSGRSFFEKDIDLSQHWDFDCKLRIIKRRTMHETIRSMFANMPPTSTMISESIWKEQPRESEDSSSTRASSRSSALENSPSVEFVSSKESSPISSSTESSSDIHSKSPAIKLSRSLPPQPMRIMSPLPRLQKIAASEPPRPLDNHHQASSFEKISFSSSTQSSPESIYGSDTDSDTELSQFLDTSSSSPQKYPPIVSPSKEKTKNETSASFDRRRNQQTPVRRHGFRMVPLRTFRFFEEKPRFRSKSVECSSSVSKKKQPVFARSLSQCSLNDINKYARRRMENTIKKYDDFDLTETPGVRRTRQKRMRAELLDSRMFEIGGISSCDVRKKCGLITSMLECGSNDKDHEMIAAQPRYKIIVDAASRNSFQSVRGGDKKNLPEYDERGYYKDGDVISLVMNEYHRYLSYVKEKLAAAQQLMEIVLKDAKKLVNSSATMKLYTAHDKIFNMNLFLFEHLLHLICQHTFNPLAIYYAEKNQDVNYIRKSLETQKKNVPMVMDAFFNNEPLKRQLREIAQLEVHYDTETMVNLALELSRIAIERVSFHLTLNIKKIIFFKIRIPQSVRLNRLNNLPWVNAHCRYHDTVDLMRLFPTHWSVSYVEEFKFLAEANHFDANQLLMQLTNFDYTKRAPMGNFFKGFIEQSEQFFGVVADLMPSKCIDIKMKAFYQHQAITKVFEVIKTRKTMLTSSDLEGMSIMNVVVLYNALISNPPYQRSILDSAVYLDLHYKTLKKKEVQFLIQPALIGITFACLEERIHVSLVKEDELITSNSHPLQNAILNKSEIRMVSKAENLKLSMIVDNATNSLSIAFHSQSDPDSYDRAPFGFLPARPRGLPTPWKYVLEKVINPNFNLLSRYLKQQMSMPSKTNLGRVEQPGFNAVEQKENVEQEAIDINPEPLETVVRTVIPLRKPREKEERHVWSISPSLEMKLNLMRFRYF >CRE07511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1149238:1153593:-1 gene:WBGene00058272 transcript:CRE07511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-34 MMASRNKSDSLMDQVFSAVTLPTVGQTTIHEESHTVYHNESHHVESHNEHFEYTSSGVTVGNVVGHQIENNRRPTVDTEDQRSEVSALSKATSVSVHSSVSQKSAQHYDLPPIPQYDMPPIVVGEAVIGKAHSTASSKSGSHHSAASHHSRHSQHSQHSHHSALTHDSESTITEGTLVNQPIIVGGAVGGGAVVGGVVGSEAPTPLAVGQSFEHDAVIEQFKNLHGRDESVVSSIVPASVHMQSDSEDSIAPSKLTHSIAVGGVVGSQNGINVLQRSHELEYSDLIAPEYYQPPSVQGGFDARSEDSFGFEKVEYVDTIDHHYSEPEEQVKQVVHDHVIEQQQTYEPYQIVHENHHVPSVVFDEDQHSVSEHHHHDHDHHHHHDSHVSHTFTSDVKQSRSNTASTYQSRQIYAHTIGPKITEELRPAAVLEEEEVEVRQPVMAYVAPFPTKPPRTHEESHMYNAYEPEVHHHKDVVKSTTVVQEDVRYGSEGIHIRADRPSSVDLIGVSALRRKFEHGAPPPMSPVLDLKKYEHQEHHHQHHHHHHENVVVVEQTQTQVGDSFISSSSVENHYDVPFESREKSSSSSSSSSSSYHQPPPVEHHVYDTPVVENFSHSPAFYTDDQRHHYVPQIKVITEYRHINPRHHYVDTRIKKADGHEIAQSPQVQSIDYFKEIDRVHHFVPSTPTSPLKEVRHPFHHHNYVPNSLETKRESRSVERTHHYLPDSPARTNEHRQIIHEHQYVPLEKRSHSTESKHTLHREHQYVPLVTRTTENHQQHVSRQHQYIPLEKKNKVIQNVAHEHHYIPLAQTQRTEDISCHVVREHNYIPLKMETVQQRDEVEWHNQYVPLTTHKETKSFDSGKSHSYIPLGGNIEHSKTEIHREHNYLPLVEKKDQTVATINQRHNYVPAHSNVAISDKVSISHDHSFVPLANKTAEAPRHSNDLSHHQYMPLPAKRNMEKTASGDKVGHEHHYYPLAPKTEQVAVHTGQEHNYVPLVSKQRKVTAPKLLVSISIFGLLCNATVFQDFLGSKLYSLVAQANSNQRMIXXXXASLCPLTTDPKVYVTPNTLCPSHQTPVNVHEEINTHHGFLPHIPKKEQTVYAPLDHHYVPQVPLKEMAPDYDHHHHYVPPVQHKELVPAVDYHHHYIPSVKKTKTSTTTDMIRQQRKKILKSTDDDEDQENQPVSRPVYTNANLKPYKTIPDEPSNYMLKNGKSRQNGVSEEPHFPVPKPRSFTSPQPDYY >CRE07509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1146098:1147616:-1 gene:WBGene00058274 transcript:CRE07509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07509 MKENKEVEVLELKKQVAALQQKCSAKTDTIVRLGQDLEKSETEKKAFAARIETLERNLERTERELQLVCSCQNDMKIKFGIEIQDLIEDVNKFKRENQKLKSERQELLDEKADLKKDCKTFRQTIAQFEVEKMGGSIRSSFSTDEDELLRLGAHEKLQKKCKELESDLRSMLGIKEELLIERDEMQKKVVRLSNELSYLLNGDPRRVAEDLDSLVAENRFLKAKLNTAEEESESIKMTLAKYKQMAETVNVQTMMNRSPKAGDSEEKPSVAVINMKQIRELLASHAIELDESDYRAITTILLDLCNDKQMALAHSRRANKSVLGMRLHEVESKLAVLDVKSRSSSPRHDTDREIELVVPNAASTSSM >CRE07311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1141037:1145996:1 gene:WBGene00058276 transcript:CRE07311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07311 MAMPPLPPNCDCPSVIRPVCGTDNITYNNLCVLRCVQRTNQDLLFFYNDTCCEQKECENGGTPLCDNFGKTHANKCHFSLFQCIMERSMGLFAVKLHDGPCSAKDCNHNCTHTEFDPVCDSNGSVYRNLCVFEMRRCELQLESQRIQLAEDRKFCLKNRGSQRFKLAGVESYSSYAEEPYEQSTETTTSTTTVITTSMKPEEGFLNKVIAKTNAQKPSYQNNQSPLTRNDLNRVCSITECDKAWDPVCDTRNRTHKNVCQFKFFACKINKIDGSIIDIAHTGACKARKSTCIICPKDEKRIPICDNQNMTHSDLCSFIQYNCEARNNDDEERVLVHIKSCHARSPQFTLKDEMCPRTCSRDVKPVCDEANNTHQNLCHFQQYNCNMRKLGIRSPYLRYLRPCVKNRKIDTAVENVEMRASVSNIEIQTNKSRESTPASPTISKPESSEKTTFIVSAFEKVMKSTTSTERPTTTITSITTLSTTPLSTEPAITFTSTEPFTETTTLPDVAFFDCPKPNCPTDGQPVCDSAGNLHGNLCEFTYYRCIAASKGHQIHIATEENCISKEACEMPCTEDKHPICASDFSTYENLCQFRKQKCLDSELEVLFKGKCNECLDSPCALPAENSPDESFVCLEDQSTKSLCEYQMLSCIFERGYGVNLTVQYIGTCCPPVESCDNEKPDPVCTDSGATFLTECELNIENCKLKKQDQPKLVVVSKGVCEKDMLTDDFSSNRNFQKPNIEFKRENSFNCSMECDNSYDPLCGTNGVTYTNACSLQKEICDTANSTIEVAYTGMCCDTNSKAALIRLENKLKTSKMHVVQNICHFGIKRCIAERTFGDVLTIEKFEVCNQIAACNAQCPKEYSPVCASNQQNIVNECELNKIRCLVENNVTTGEKLVKEYDGECCRLENCDFSTFSPVCDTEGVTHANMCLMNHNACIQIKKFKKTIQVSYQGQCCNQPCDEDDAPVCDGDTTHPNTCKFRIAQCEAERVNKTISIAYSGDCCILPKGECETSGAVCDSEGQTHSNHCVYQQKRCIAERISKKTLNIVHTGECCALASCPKTGQPVCDSRGRTHDSLCHFHNSKCIFDKIHTQNTTLTLDYQGKCCPAGCTDELSVICDQHENIYRNSCYFNLKACETWRRTQDVLLATPCPLLRT >CRE07508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1130919:1138905:-1 gene:WBGene00058277 transcript:CRE07508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07508 MRLLLALFLLVTAVAAAPNHDSAFQNLPNYYPELKHQEPIHIRAKRAIFAAIGISNTCEDGWTGDGCKNPICTDPRPAPSSGQSELIELLFLKGSCAGSYYIPVDSDVGKTTQTIQIHVSASGVPYVNLTDSKGMVFTPTYTNNGDGYALTVFGDLPSGGYSLTVDNQNVPTTECIIEVNAGTSLKVSQGFVYSPQSDYTPQGESGIDGVPMYAVVHIASQQAPAQVHSITIRQGNSLEPIYRAALTRRYQCGYEFYAGQWQCQLKNSYYYHIDGVDSNGFNFRRTGRIQCMEHLTSPVPPTTTQAPLTSCFNNGTLLNVADQGQTCFCPELFSGRQCESVNCMNAGFPDPDMNECVCAAGYHGTNCQDVTCPLNWESFLTNYKTLVVVIRNTVSMNKYLDAISTAIYKELTSQAGNNYEVYKGFVLVKFGNGVYTNTYYPAYSQDKLLSDIKIASTTVGQCSDATFDSIASIFTEVAIYQKSPIYFFTDAIASDVEKWQTVIEMNTRQKFPIYTHYFVQDNCGFDDMSQGFQAIEYASYYSGGLILQPAPDALEFIFQNVIKATAYKMNSVLIDDLASCSTPTRVFFVDSSTTELMILAIGQSLTVSVTDPNGNTNTALKIVDSGTTQLYEISNPVIGEHLITVVSNVQNTPCSYRVQARSEYDLFIGTSTGVNDDASDSEPVVGQSTHIVAQLTGLKGKVADPFRLFSEISITSNVNLDNTYQKPMYYSSGKYRDGCGFHMYFGAASFCDFMSQPFYATVYADDGNGYTIQRTTTGFCSGTPTTPYPPNSCQNGGVTDPTNNATCICPPGFYGKYCENIQCTNGGTARGGQCVCPVGTAGTFCEQYMCTTMNNNPDVSFNGQSIAFVISTRSTMKDSVAKIAANVQTMTRDMQIASDKWINTWILIAVNSNTSTLLVKSNRPEDFVAGVVNLSANFSNYAADETSCQIQIEQAMLGAALLSEKRSSVWIFADSDGPNDSSYIQLFDVAQEYQIVLNLVGVGSSICTAAENNGQFPYYLKSLTETTLGSVYMTDKLDQVMLFIVSMYKSAVSHRYYVPDCTKATSYYMPVDGWTQSLTLSVIGTDLYNVEVMFPDGTKGQNSDYELVSINDPELKLNQYVAACEGSFWNHQQQNCFEFTATKYAWLDGWDFCHSQKAYLIHIDNADVNKFVFSQVNGYRAWIGLAFNAGQWYWDVPDGNFPQPLTGYTNWAPDVDPANPKYNHAVMNSNGFWEPADPNEENFGACMKHRYGQGYYPGEGVNIVPAGLWKVTVQSNSGSCEIQARSQSDIQVFFGFVTDPRNDKPSTYANIQSSNNYLIAYPTGVLPYTPDTKPSMEGKLNYAVLSSNRTITNSLPLGNRVCTYATISAPFSCPVTDGSISEFSIKFTGIDQYGYAFERYGDALCTKTVISCANGGFVNNGVCVCRAGWVGTTCSTPVCQNGGIEKNGACDCSSVPQFTGQFCQLAHCEPPYPTSFNDKGRTLAIVLETSYNMGSSIFQLKRNLKASLDSINNDATLQGWFNNFVLYPFDSTSNQASWYPPTISRNSDDIVAAVKNISTMSCPGSAPCSSQCPRPIVSVLKNVLDMDALASPNSVILVITRSSPEDYLQVGQIAQKLQDKKAYINFAFPAIDSPCGEGWNTPNVDSLYQIISYSQGNTFTMNAVDLSKNFLTQYIPTLYSSGGIAASSGNCNNDEIIFQVEHEMYEFSIDFYHPLMENIRVFDPSGDELTKPDSVIASDTNYIGVFPVNETGATRAGTYRILLTGTGGNNCFATVRGRSNLEIFLGFVDSAADSNNGATIDAAHHAPINLENNTIVVHANGLGQGIVRYVQIVMPGFGLMHTTEMRRRDAECSYEWYATTPFSFDYDSYYVIVYGSSEFGSNWKRNFYVSTVGSRPPLPPPPASCDLQQVKQDTLFLIDSSLKDTNVTFTILKQFAVTAMQPYNYMNGLAQVASILVYGQAQGGFSFNAGENSYDRVSGLLNNLTYIAQPGQNVTAGLQYALDYYDMPSQGYRTDPDVKHLLVYVTNTNPTDADPSELIRTMKRSGLYDVVVVALDMQPSEQLTNMVSSRCYYYAQDYHDLMNYGVNLVQGQSCMRFNFCNY >CRE07310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1129181:1130161:1 gene:WBGene00058280 transcript:CRE07310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07310 MNESYPTAQENFNYENLSAIIAFEYRENGLRQFLETPIEQQSNEWLEEIWNSGWLNATKILKSFEANNSLNVSVDHYKSVCQMARKYMESFHGEFAEFMRDSKTSVPFGPEIPFSIDRILTDRPLSPKVIPSNSQRRVKFFHRRFKKISRISNLLGNSFDELCSMDFTRKPFHELDFNWLNNLLLAAINVAIKTMNWNEMKLRKRLLFNIDSEAYLQLNNMLQQSIFSKYMDVYIKFCWKLINPPLNENVPTSLVIPRNLNRNKRRRGKMNCKKTVKKFKKCHRL >CRE07309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1127929:1128295:1 gene:WBGene00058281 transcript:CRE07309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-9 description:CRE-SPP-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M223] MKTLLCVVGLFAIASALVLPEKRTSSLDCFLCRLAVNVTDPPVDGEVHKAEDKFIAECKKELAGIPFLEQECLNYAHSELDPIIKELESGTAPEDVCREIKQCPQ >CRE07308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1110573:1125739:1 gene:WBGene00058282 transcript:CRE07308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-14 description:CRE-LIN-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M222] MNLLQCYPTPTVDEMSCEEWGNGTERTQSVTACQGCVELRKEVQDLRTAVNLILPMLPLYPPIGNGFNTAAGLAGQTTLHHVMQQSLLRNKRPIAHTPTVPQPECPGQLRTVLSSPASALQNAILMNHLMMPGKPVSPTLPNGHIQPAVGGEASLQNTDEQPVKWSSPSSVDSNGQKTDSSAASAGDNQNIDVIGDGSESPTSSNHSAQELALLTTHQSILNAFKDSQFMFNQVQPQQEGAAPLRVAPVVNGNNVNGASKIGGPERKPRKPVNDDIVKIVRNQDLSEENINTFEIPVPKAFTADPTFRPVSEQQIIQQIIQGKKYEEMEVGECMIQLSKKLAEKRVFGPRLMSQTTVAGLNHSNYSNLPIKGICYIQHVCRKVLGDKFENEEDFWDKFREAMRKLAARCRRVRHAKKTKHNREEAQAEMLSKRYSDFLFLLCITFSFRFGEDMPFNLNGANGLLKPKIEPPQESPTPANGDMSMMTMGQLGNLLTNLQQDRKPLQGSEFLPQELITNFFNYLSTRAKQEVQSPPPQAQQ >CRE07507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1096455:1098007:-1 gene:WBGene00058284 transcript:CRE07507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07507 MIATSIFVLMMMHIGTASVQSCLFLPNVVLHGGTVDEFQTVDITQCCVQCSNSACCIAYTYDTTKKRCYLKNAIGHSTEDFTMTSGLKPNSRYGEGVTLKNVKILGDQTNRLTLRSEEECRQYCSAYQVFSYGPVTGDHLSKTGECICTMRIKSLGYEYGCTSEINPSQG >CRE07506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1090352:1091976:-1 gene:WBGene00058285 transcript:CRE07506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-igeg-2 MSGLFNMNCHILIIVFVYLSVLFQITIAGEHILRLEENIHSGTTLIGVEQGSSVLIRCEHPKDKTGSLRWLRGGTAIDPAYVKTKKDASYVEITDYQPDKDDGVYECSAPGMSASYRLKGEKKHILPDGFRLCYGEEMASCKHADQCHVETSTGHFSCVCEIGWMGAACDMISDPVRVHPVITPPVCAYWPPVVTLLVFIIIIVLLAYCLYKFKVRNPHHYSKYTTTTINNNSVAKPPMVSGEYTAVHQQPSGPKNGDVANMV >CRE07505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1077804:1088996:-1 gene:WBGene00058286 transcript:CRE07505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hum-4 description:CRE-HUM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M312] MENLIEISDQSEAGIAQNLHERFKNGQTYVRKTIVIVEVSTAIFQTKASNVLVFVNDFNKSPENSSNDLEQQSSTKCYISGVARSALDRISSMSSNAESIVLGGESGSGKSYNAFQAFKYLTAQPKSKITNKHSNAIQSVFKSFGCAKTLKNDEATRYGCSIDLLYKRNILTGLNLKYTVPLEAPRVISQKPGERNFNVFYEVYHGLNDEMKAKFGIKGLQKFFYINQGNSTENIQIDVNHFKTLEDALHVLGFSDDHCISIYKIICTILHIGNIYFRTKRNPNVENDVVEIGNMSEVKWVAFLLEVEFDQLLNFLLPKSEDGTTIDLNAALDNRDSFAMMIYEELFKWVLNRIGLHLKCPLHTGVISILDHYGFEKYNNNGVEEFLINSVNERIENLFVKHSFHDQLLDYAKDGISVDYKVPSSIENGKTVELLFKKPYGLLPLLNDECKFPKGSHESYLEHCNLNHTDRSSFGKARTKERMEFGVRHCIGTTWYNVNDFFTRNKRIISFSAVQLLRSSKNPIIGLLVESYSSNTSDMIVSQAQFILRGAQDIADKINGSHTNFVRCIKSNNERQPKKFDIPLVNRQIKNLLLAELLSFRIKGYPVKISKTTFARQYRCLLPGDIAMCQNEKEIIQDILQGQGVKYENDFKIGTEYVFLRERLADRYETQQNRICSDAAVVIQKNMKSFVAQKIYKRKRAAIIKLQAGLRGWKARRDYVSKREEMFKAIGRSIKRNKRLDAYHQALGNENSGQLQHTLVGYIDINEDAKKCLERPTSDSEAVETLTHYLTIPVKNFISNMKSMSLEQYAEENFKGHLLEPRREPIMTPFLHKESEYDFRLSVEIFKLILKYMNDRKLSKKQREDLGRYIVQQGISNPCQRDEILVQIINQINKNQDKTAVDNGWKLVHMAISVFPPTENIIPMLIGFFNQEPAPMREQLFATLQRRLKIYDSEIARELPPSNLELQATPNIPNTVAEINCYDGLCYDVHLNPWTTTTEIAERILKQRFLTLSDLWKTLLNSRGVGIALGWTLEVETPNRVFVPTGNHFLHDVFSQIEGANINSEDQKTLFFNFPPEKLVKKVAEEPKKEVEEQNLVALENSTTPKLPRKYPTPEEWVNQPPLRTESRNTPMYSRNTFFLVSELNIRFRDHQKLDEESDESLLREYNEDAGYAYTLPRKIKPNTQKVEESETSDSEEEEEEEEDNRVGYESVHPKENMKMTETIQDHSHILKSPILPRKTYSRNEHHEEYTPMNFAPPPTFTYPQQMPMMQYVPVMMTPSMIPGQQIAMIPQQMMMQPQFSYVPQYPQIPQYRPPEPILSPQSVRSDVPPMMASVMYDGHESTRSRDFRKMKRGEVPSQYSTIRNMPVPEHGKDVDQFLDAVFDQVLSKDERRAAEFTPHQLANTIKGGKLRPEGYYEPPPQQTYSPIPPRYPTLRRVDDSPARSRAKSLPRIISPRHDHYIRRPHSRNSYSNESTSSDDQMNYRTRSRERSLPRFHSNNGYNYDPSQPVYMMPVQMNGHGEMILLSPVGSEQKGQTKHGTHDRSRRHATSGVERYMAKRSPSVDILKPHLSRRTPDVMLEQTHIRPHLAQSPVGRHTGRFEEFSPLPRGDSRARERMENPLLARQYQRTFPYANGTVVPPPPSSYRSPSPAPVPGDRKGLNRLPPETYVEPAVKNTKNNSEYLSPHRFNLDEQKAVIRQEKETAKNALNMLSNALKKLPPPVDNVRLIRPVTPAQRTITPSPVPSEPIVLRTPSPPPQPMPPPPQPVRQEWVVRDAVERNPETQERIRGSFRSKILYCQDQLNPIPFSEEPLVSQPPRAPVIAEKPAVKFVKAPWKLTIRKEMFYPGEVLNDIQIIDQVFAQVVEDCKKSYPYRIRLEDRKQVEDVLRAHQIPPSDLNNQSNIHPDVKVAIIERARLWPLYFNQIYEVTEKRPDESVSIIFAISEHGIRLIVHTPHDLEHPLKIQDFFPFETIADVSLEANDILSVHVRHENEEIAYSAVRIKTNQAPQIKKTLEKCLSGGVVPKRKFVRALEDYVTSEVNHLSFKQGDVIELLPTPEAETPPVGNWLYGRIENRFGYLLAQYVDSSDGDNIPPIRYENSAERDERVKFFDDEVPFSSERYTMLDFASKYFRKPRDKKKQEDWAWEDLSQIVRYSDRPISHSLLADLGSEESKYAVETFHAILKFMGDEPLKKSESMTDVVFKVLIICHRQPTLRDEVYCQLIKQTTSNNSAKPNSCLRAWRLLTIITAYFPSSMTLKPYILQYLGDNADEWQRPYHGTARICQTNMIQTFKYGGRKVLLNALEVQQITDGTQLRRQAFFISREHSVSQTLRPITVAEEMIQELCNLLNVRSLHEQQEFSLCYTVGKDKRLNYCKNDNYLMDIITENEHKKLPFQFYLKRTVWVHPLRYDNAAYIDSMFDQVIDDYLRGSLISTNSLGQLTAATTEEIIKLAAYLFLLLPDNPKGLTVKILPQIVPKSVIEPKHRHQEEMVTRINRQLKMFGGRMRPAEAKSHFLELLSTWPLFGVLHYRLKSVVENGNQLPEVILTINKSGIQLLQPKSKEVFKERNYDQIESVESIRKTAYKYVRLVINTPEGEETLDIKTDEADEISHLIGQYMFVTVGIEDRGSTEL >CRE07504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1074328:1075965:-1 gene:WBGene00058287 transcript:CRE07504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07504 MSIHIQSASNSRGYVTSKEMLMTYIHNMAKADVRKLLRPVKDGLLTENTMFHMNTQNFSVDHVVVPISITDFLKELDPEWMRWIHKAPGEGDLEERRYAPHATYDYHGDHVDSDVILDYVTGMDKHQKRALLNLIREGVLTDECFINISTHVGPERVQVPMPRVVEAMRIIQEGEKDLQREKPTLLKIVTMKHYSSASFDNHYRADLQVRSLTAARFTMPIWYTILFSLMTLATFASHIWSQVTNFSGLQENLSMTLPTIILVVSSLLIGMLLYFNIYMVGFLCCCENNFSNCLVIKDLDYKTLYVHFCELNKVEIRIYFVKGLQFILLWYTVLLTVYAHENDLNIYPALVCVLTNGFGLMLFARLVSIMAEFFRRCRRTRDGTAIAAR >CRE07503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1069908:1073525:-1 gene:WBGene00058288 transcript:CRE07503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07503 MALEEDNTPPWHNLLRAIFLENNTISSAHRIILSIFPTVTLEEVQAFHANKHQSVIQQNASDLLHNTSQQPKTDSGIDTTKQEMKNNPSSLLNVPEVPMKQILSGINYTDQKHLRRTCKIVQPFLDEKVNVHETIGLHLILDDVKRCFKEARANGEREPKKRKLESDEHYPWIDEAVELFQKLINKPDLKVKMVYITHKVSGEEPTEDQRKYFYQRIQEVFQGMKTKLNAERVELYVESPDFLLSILTKLQEGTLTDLVFSQYPKLMPVFDMKDIVVLPQWSGLRYLHTGAKLRARVTDFKHIPSVIAGLEDITINEIKDVRSHIFNGQNVRMLSLTVDINKEEVEEAMQPFTLNNTISSAHRIILSIFPTVTLEEVQAFHANEHQSVETKTNPNSLLDVPEFPMKQILSGINYRDQKHLRRTCKVVQPFLDEKVNVNETIGLHLILDDVNRCFKKARANGKIETPRAKKRKLESDEHYPWIDEAVELFQKSINKPDFKVKMVYITHEVSKEKPTDEKKKYFYQRIQEVFQGIKTKLNAERVELYVESSDFLLSILTKLQEGTLTELVFSQYPELMPVFDMKDIVILSQWSGLGYLHTHAKLRARVADFQHITSVTAGLEDITLNEIKDLRNKYKSFLLSVDFDMEEVEDAMQPFMLERSGGIIDGRFLRANNETAVFLLMNGCIKINS >CRE07502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1064374:1068413:-1 gene:WBGene00058289 transcript:CRE07502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pek-1 description:CRE-PEK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M309] MSVYYLVLAVFLLFMALVPYNSGHQYIDDDSDIEVVRSCQSGYVHDSGCEQNVPVNIIIAATLNGAVTALDGETGEMIWRHEDAPLLQGTLTSSEPIDIGGTSLQLMPTLDGRLFSYTHNTNLIEPLPITTDSLLESTIRLGQDAVAGGKSVTTKGIDVLTGDLKYECSVESCGNQDAELPQNPILLVKRITNSIRAMDTRRGIERWNLSTAEVDVSLAGGVSVSSIPPTNSKVLLQPPDGILVAVNKHNREEWKTDVGGHIVNVWHIYGNNIGEISLFDPSNIFTTQFEVMHREQHNMQSQSSLLYMGTSGGFPFIIQSPKAKKNLNKRMNALPELSTMAEFPSPRFCSANEDTRSLAYDIKDETLRTVLQHAFRNSQSKAIEDKTSSVNRPKNLQILAHDSDKSAQKIGTENLRSTSLSKSGDHGYLVLDVTPAKPKFKLPSPVNIVQSVFRYMFHPSTLVSFIASFVGVAMTTVYWIRKPSQMMIEQRPSTDSTESENTSQRTRNTSFAPADDEIERFMEEGSDVSTPSTPVRRALLPIEKSNIELRTTPAVKTPKRQTKTDVDTDESSFSNDEKKKLLRDRTISRSSLEGFTSRFANEFEVKKVIGHGGFGVVFRAQSITDMNEYAVKRIAVADNEKARNRVLREARALAMFDHPGIIRYFYAWEERPPKGYQEKEDENLLGKMKAEKLAKLHEIKQKKPTSEVHNVKSADTASFAGTFEMPPVIGHTADTGDNWSNSLKPKEVGAKRTTSESKRGLHGESDTTAELQKKESVNFSESDDESDTTEDTSSSSSSSGSSISHSAKKQTVYSSSGGIVFGDGSDVGENEEVKKAKMEIAVMDEQLSIHNRAMIVETENQELEVRERNDTGDCAYLYIVMQLCAERTLEDWIRRSKTVESRSLAVMKDWIKQLASGLDYLHNKGFIHRDLKPGNVFFSLESKPDHQILKIGDLGLATKTDGAPKITVRQDSDSSAKHTRNVGTRSYMSPEQIGHQLYTEKVDIFALGLVATELIIPFSTASERIHTFGSFQKGEIPSILDSCPESREFLLQLTSLNPSDRPTASQVASHSFLSQ >CRE07501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1061972:1062396:-1 gene:WBGene00058290 transcript:CRE07501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07501 MNSFVIFALVLAAVYAMPVEQGGLTGGRGVPHPNSAAGQALSNAGGMTGGSSVPHPNSAAGQELTNKNLEEKSVLEGGTQVKPWLKNQPDLAAIRQQNQNQ >CRE07500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1057924:1059195:-1 gene:WBGene00058291 transcript:CRE07500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07500 MSDKVQYSDLRRIPSVSNLSEQDVDDIARTDSEAEGTVYKNSYASLMNELQPTTSFDDFKQSITHLTDIFRADPYIFMMTDEKGKVD >CRE07499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1050784:1056629:-1 gene:WBGene00058292 transcript:CRE07499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slo-2 description:CRE-SLO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M306] MHDEDNQLGELLRKASPNGISPAPSTQQILDEVARMQYGEKKNIKHKLQQWFIENPRISARIRSCNVLIKLLTCILYCFRVVNDERSYPDEFPFSEAEAAKEDVTLYKYLIWVDFDFKIWFAQTMFALISMVYTILVFYLSYSGSVVKLLINIHFLLELITSFPFILSIFIPSLTYLYVPVFLNCWLAKGALQAMMNDLNRKSFISSSALFRQLLLLFSVLACLIFTGMCSIEHLQRARGKRIDLFTSFYFVMVTFSTVGYGDWYPDYWASQLCVVILICVALGLIPKQLDELGQTWSERQKSGTDFSSWNGVESHVVVTITTLEVEFVRDFLEEFYAHPENQVLSTFYFLLFGENVFQRIQVVLLSPAELDNQTRMLLKIPLWNNRVHYVRGSSLRDEDLERANVATSKACFILSARHVNRKVATDEHTILRSWAIKDFAPSVRQYVQIFRAETKMHIEHAEVLICEDEFKYALLANNCICPGISTFITLLMHTSRGEEGQKSTEPWHKVYGFHSGNEMYQIKVQDSRFFGEYVGKSFSSTSFHAHKEFGIGLIAVAPEGDTSRMKLNPGSSHIIQSSDTVYYMGLTNEESLTDFRKGIQSQQKRANVASTIANIGTVAVDVPRTEKTELANRKKKKRKEKAADEIHLIEVGEHIQSSRRPSIAMVTEGKIDSSSDSDQEEICDKCRGPCIQHKLQRTYPQVRTYIGTSNTVCHMMKERRSLCCLKLDEKCAHKSATSAHEYQWRNRPIILAADRTSSGMYNLVIPLRAYYRPVHDLHPIIILLELEEQDSLNDAFLDAISYFPDVYWMKGKIGNLDCLLRAGVSSAEHVVVVKETAVIAEEHTADCNTIITVQKIHRMFPRLRMITELTHATNMRFVQFNPHNAYSLAQSRFEKKERKRGSHMPFMFRLPFAQGGVFSANMLDRLLYQAIIKPFVVDLVRLLLGIDQHSDGGYLTSFVITSDDLWIRNYGRLYQKLCSSVADIPIGIFRTKKMDTKTVSLDLQEQCKDFESTEMGRNKDMYDHVKNRMRLLNIKDSHTLLEGSDEKSQISYVIINPAQDLELESGDIVYVIRSPIRKDATNARINPRRGLRRSKNVSETMDSSNNQVPTIVIDENNL >CRE07307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1049354:1050679:1 gene:WBGene00058295 transcript:CRE07307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prx-12 description:Peroxisome assembly protein 12 [Source:UniProtKB/TrEMBL;Acc:E3M305] MSTTIRASQLASSIVSPVTEEKQPSVFDIIAQENLATSIRPALQHLVKYLAYFKPKTFLSVHRNFDEYYLLFDLILQNHYLKNYGASFTENFYSMKRVFTKTGSPPNDSRERILSLLTLVGWPYVEDKLNQLHDRLKEVYEIRSWASIHDIKSKCQKMFVVIWPYIKTIIKAVKSVLQLAYILNRSSIHSPWLYFSGVILKHLTPEDLEAFNAVPLHLQTGYVVSIRFRFFNRIWRFFLGLPGIVSRLFAYGLFFVQFLDYMYNTDLAKLTKTGLSEAIPAPPHKMIIKESEILSLDTNKCPICMKKRVNDTALFVSGYVFCYTCINQYVNTYQKCPVTGCPANVQHLIRLFV >CRE07306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1042108:1048448:1 gene:WBGene00058296 transcript:CRE07306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prmn-1 MNPTLLIYLAICAGSSLADLVFAPYKSAEQYKCGSFMEDRNGRKADVVLSFYYSSIDQFITLISSPFPHQDLLNDKALTGDVDELQSSVEKKYWQWIEWQKGWIALSVGLVAISVLFVVFYVFYKFCVCICSRSSKKQNTDARYDGCKRSMCNLFLFALVSANAFVAFTLLMTSQYAQIGLDKLPNRMNQCIDDLQSYKRDSDMVPFIKNSSMPFFTIQKIRKLLIDDYQVLNTTITRQLSTAGHNVVDRVKKLTGAHVIDVFMNVSKVAQEMHDGLESVHGKVRFLNEEGARFEAEFSRLRNTANEELVKCLENELEPVRSMCAKAERLLESLAVTQFKIDQKFLPDATENGLSEIVNANITQVLAASNAQFEQLENRIQHEIDRETHCEIKNCNKKKTEFTAAQNMLKQIGDDLFLVAETISTQLRKVTFETLYSSVSYISDPKKTPTMKFIQYSWYVSLVVTSLFILLALIFLFGVLYGICGRRPTYYNDDCCVRSTGGKFYAFGIWAFLLIFIFLAVGTAVLMFSIGNFSNLVCQPMRNPLSHPDSLSLAERYINLWKANHTPENDIEVTLESKSPAEVIRACSRNETLYNFYDFDKKYHLNKLQDFEKDAYNQLQIFLRTTMENMPEIRPLDAFISRGELKDLEKLAAVNVSKVSQQGLNAIKHAMDELDLVSKTREFEDSLDANTGRPKAVTLVLEQIRELEAQFAKPLRSRLDALYTNITSLDEKLSQLQVPVSSLLVKLQHAQALLAENMKEHFGNAAKEEFQQMVNNIDQYINHVKNQMEGEVTSCQPLTQIARQTTAAICNYTIDPYNGTWMCMLICLVLLVPIVMISNSLIGLYSKMHAFPKYIVEPPSETHHMSSFITDTYDTRPKPGYANYTYTDDYQRNYR >CRE07498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1035462:1036534:-1 gene:WBGene00058297 transcript:CRE07498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tni-1 description:CRE-TNI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M303] MSQIEENIRYGGAANEAEGEDAQRKAQEREAKKAEVRKRLEEAGQKKQKKGFLTPERKKKLRKLLMIKAAEDLKRQQLLKEQERVKILAERTIALPNVDSIDDKAKLEAIYNDMFSRLCSLEEERYDINYVTTETETSINQLNIEVNDLRGKFVKPMLKKVSKYDNKFKKMAEEADKKDDNTKVLRNNLKTVKKESVFTQLSNLKKSEKPEWSKKNKEEKKEEVAPEPVAEPVEVEEVEASEEEDEADEE >CRE07497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1033753:1035074:-1 gene:WBGene00058298 transcript:CRE07497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07497 METFQFESYEAMVDSSSPLVKQFPGMDINSFRKLHYFELLTHVIGMLNSFYIFSVLHIVLLISGCVFYSFYLILFLVHGKTFFIAPHTFYAIFIIQIISFGASLAIQKFSFAVNNVEYATYSYIPYLPKFIASVCDRVVVAQMPLYWFVITAFLIIQIAQFSSQNPRSIRFAHIYLFPIGIVIFLIAITTPDHMKHFMIVVCFLIWWIYRKHMVAQLYSQEMSAKDILNMRRMNIWLSCQIFATLMFHLVELGVTLAIKSSVMDTNRQTYYGFYRNEVFRGIMCIATYINALLFVWATIDFVKTNPNKEITTLPVFVGGSLHMESFSRRPSAISAFSLNNVAPLTPRDANMLHI >CRE07305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1029133:1033401:1 gene:WBGene00058299 transcript:CRE07305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07305 description:Protein tweety homolog [Source:UniProtKB/TrEMBL;Acc:E3M301] MTFASFLINLYSHVPRLNFQFHWTKDIFNFDWSSEYFQALALVACLGAAVSLLLLVTIIIVWICQACRKNETTGKTRRLVRRLSTVLFIISVLCFFMLGICLFANEHVNRGVTISIDSVTNTKKSYQISMTQISLFQEAALNATVNLKTLEDTVHLESKKTKNATIVQQIDQILTNITDEIDIIAKNGKLFQAKHDDDIGTLENIRKFLSLYESERWAFLVLFLSITMCIMFAGVVSFCKQSKQGAVVFSSVGFFIFVVTWLLISVSLPLTIALTDFCRDGDQVTRKNLGNMYETVQFYNTCVPVTTHDNLPLPIASHVALLNNIPASKSQLDRLMEVALNSSAAVIGFATNYKNTGYFQITNSSSAVGNDITKLLKLAGGVSSSSSCYVFHDNVVNFYYGICNQSVAGMNIYMMSIFTLGLLLFVLLIVVSKTWKLFSRLPHEYVEVDEDDPFFPRGLNDANIPVDIYGTHVMNPRTRDRTEPSTNTTSGTADEATAPLWSQNLTSSLVNNSMSRQPFMSDHQYNNFEDRYNM >CRE07303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1014705:1019436:1 gene:WBGene00058300 transcript:CRE07303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-3 description:CRE-PGP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M2Z9] MKKTKVNPEDEITLGKMTPKPSQQDAYQGNFFDVFRDSDYKDYVLVAGGIILSALNGALVPFNSLIFEGKDITLLGALYRNMFVGIANALMEGEAQYQNGTLNMPWFSSEIKFFCLRYFYLGLALFLCSYCANACLYSLCERRLHCIRKHYLKSVLRQDAKWFDEHTIGGLTQKMSSGIERIKDGIGDKIGVLVGGVATFISGVSIGFYMCWQLTLVMMIAVPLQLGSMYLSAKHLNRATKNEMSAYSNAGGMANEVIAGIRTVMAFNAQPFEINRYSHQLGEARRMGIRKAVILAICTAFPLMLVFICMAVAFWYGATLAASGAVSSGAVFAVFWAVLIGTRRLGEAAPHMGAITGARLAIHDIFKVIDHEPEIKCTSNEGKIPNKIQGKLNFDAVDFTYPTRPELKILKGVSFEVNPGETVALVGHSGCGKSTSIGLLMRFYNQCAGSIKLDGVPIQEYNIRWLRSTIGIVQQEPIIFVATVAENIRMGDDLITDDDIEEACKMANAHEFICKLSDRYNTVIGAGAVQLSGGQKQRVAIARAIVRRPQILLLDEATSALDTESERMVQAALDKASQGRTTLCIAHRLSTIRNASKILVFDQGLIAERGNPPYCEHHKICFPGTHDELIAKEDSIYANMVRAQEIERAKEDTTLDDEEDEKDHRLFHRDSVTSDEEREQQQSLARDSTRLRQSMISTTTQVPEWEIESAREEMIEEGAMEASLVDIFKYASPEMRNVAIALVFTFIRGLTWPAFSIVYGQLFRILSAGGDDVSSKALLNSLWFILLAFTSGISTLVSGGLLGKAGETMSGRLRMDVFRVYSPFSVSEIEFHDCFQNIMQQDASYFDDPKHNVGSLTSRLATDAPNVQAAIDQRLAEVLTGVVSLFAGVGVAFYYGWNMAPIGLATALLLVVVQSGVAQYLKFRGQKDMDSAIEASRVSLVTESISNWKTVQALTKQEYMYDSFTAASKSPHRRAIVRGLWQSLSFALAGSFVMWNFAIAYMFGLWLITNGWSTPYTIFQVIEALNMASMSVMLAASYFPEYVRARISAGIMFTMIRQRSVIDNRGITGDTPPIRGNILLRGVYFAYPNRRRQLVLDGFNMSANFGQTVALVGPSGCGKSTTIQLIERYYDALCGTIRVDDSDIRDISVKHLRDNIALVGQEPTLFNLSIRDNITYGLENITQDQIEKAATLANIHTFVMGLPEGYDTSVGQSGGRLSGGQKQRIAIARAIVRDPKILLLDEATSALDTESEKIVQEALDKARLGRTCIVIAHRLSTIQNADKIIVCRNGKAIEEGTHQTLLARRGLYYRLVEKQST >CRE07302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1010023:1013297:1 gene:WBGene00058301 transcript:CRE07302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oct-2 description:CRE-OCT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M2Z8] MGLFSGNILNTSSLQPCSRSLDTIILSLTLLSLFIFTFHCLFSSRMAEQGSAPGEELSVEEPEEEKQKTVVEDHQNTQIEHYEPHEVERPFLATTHLPIRSETDLMTTTAANVGTTLENHPLIYSNPEFRTAKFLTPQVSVQTVNHDTPSVAPSEASVTTEASRKPSNARLKSEMEIRDQHYQKQKQYASAKEPKTKRLTDIDFEGILQLIGGCSYWQVIIYLIISVQQVPHAMFNLSVVYMMYQPDHWCKIPFFNDESFSAELGYTNYTWDQVLNSTIAFPRTFNKQRNELHHDQCHYFERDYVHIKLSPWSQVKDMNATGKIMRCKEWEYDKSVMDRTVVTEWNRVCDNNWSRAHVHMSYSLGYLVGCFVGGFVSDRYGRKTAITLFGVLTMLFGFLLTYSKEFEIFLVVRFLLAATNEAADLAAYVLCMEVTGTKYRSIVGSLIQAPWACGYAFLALIAYLTKSWTMIHLICVLLHIVSLMLLYFLPESPRWLILNNKTKKAEKIIREACHYNNSRLPSDLGLVRHAEKKKWMRHNEKPSYFHLFRSSELRFRNIVLFIVWIATALVYYGMVIALSDQSSPGRRVFDGNFFLNNAMAGAIELPTLVFCVFLLRMGRKRSQMLVLFGSGLFLLTSVVMVYRKQSTLALIFMLLSKACIQGSFNILYIFTSELNPTVVRNSAVGISSMVARMGAGASGYIAILSDVTMPLVPMTIFACFSLLAGCLVLLLPETQGLPLPDTILDSVQMVKRNHKPCGTLSGTFLGPVDEDTQPYGSKFPRSSTEEDEEESDESIPQKTA >CRE07301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1004209:1007342:1 gene:WBGene00058302 transcript:CRE07301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aco-1 description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:E3M2Z7] MAFNDLIRDLAIGDNVYKYFDLNGLNDARYNELPISIKYLLEAAVRHCDEFHVLKKDVETILDWKNSQRNQAEIPFKPARVILQDFTGVPAVVDLAAMRDAVQNMGADPAKINPVCPVDLVIDHSVQVDHYGKQKITLCDSLPLSHFLFNEHTNIHTITQELGIEQNFFLFQWGSKAFDNLLIVPPGSGIVHQVNLEYLARTVFVGKDGVLYPDSVVGTDSHTTMIDGSGVLGWGVGGIEAEAVMLGQPISMVIPEVIGYELVGTLNDTVTSTDLVLTITKNLRDLGVVGKFVEFYGTGCASLSIADRATIANMCPEYGATIGFFPVDKRTIDYLTQTGRDVEYTQRVENYLKAVGMFVDFTNDSYRPTYTTTLKLDLGNVVPSVSGPKRPHDRVELSSLAQDFTKGLTDKISFKSFGLKPEDATKTVTVTNNGRTAELGHGSVVIAAITSCTNTSNPSVMLAAGLVAKKAVELGLNVQPYVKTSLSPGSGVVTKYLEASGLLPYLEKIGFNIAGYGCMTCIGNSGPLDDPVTKAIEENNLVVAGVLSGNRNFEGRIHPHVRANYLASPPLAVLYSIIGNVNVDINGVLAVTPDGKEIRLADIWPTRSEVAKFEEEFVKPQFFREVYANIELGSTEWQQLECPAVKLYPWDDNSTYIKKVPFFDGMTTELPTQSDIVNAHVLLNLGDSVTTDHISPAGSISKTSPAARFLASRGVTQRDFNTYGARRGNDEIMARGTFANIRLVNKLASKVGPITRHIPSGEELDIFDAAQKYKDAGIPAIILAGKEYGCGSSRDWAAKGPFLQGVKAVIAESFERIHRSNLIGMGIIPFQFQAGQNADSLGLTGEEQFSIAVPDDLKPGQLIDVHVSNGSTFQVICRFDTEVELTYYRNGGILQYMIRKLIQ >CRE07496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:997651:1002759:-1 gene:WBGene00058303 transcript:CRE07496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-9 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3M2X5] MILYFFILSLLFVAESRRSISKISHDEISQHVRTTLSSEGIVRIGHLHPANPIIAHEPDVLKMCADDLKTRNILPHNYSLNVFTMESCNKFSGVEHAAFLHYIKNASVYFGPGCNNEMLVIGRLAPRWNVPIIAHMSGDDALSDRVQFPTLGSVALTSASEMAKATVTYLNLNNWDQIGIVRPSIGFERLSVFSLQHQIKKRDINLNVIVDIEQFSSPEEIIASGKLTLLRNQARIIVVELGMDIHSVTNFMLAVHRSELKTEEFVFVIPWLAHQNDHYPWEAANVDKQEVKSAFENTIIITAHGYDKKFFDEFQLKFSTATGVLANHVCRFLFFFVVYSVFQYATLSYMSLYDALFLYGLALRDAFEDGGGYNVHMNGSLIWSRMTNRQFIGMTGQVLMNNKAIRVPSYATYHAINGTLKIVVELEAKNNDRGQCEKNEDMCSEHVAHETIQYYWPSDSGKLPPAVPKCGFTGAECDYRPYFIGISLIAFILTVGPLSYFIYLKQKERLLYDMTWRISRESIKLLEGKSRSEHSLASKSQSSGSFSGSMNSKQNGLIAAKQAVSNGVKLAIKRYQQVRNITFPKSELKLLKELKILENDNLNKFYGISFNQQNEFIVMWVLCSRGSLEDILFNDELKLGRNFQVSFAKDVVKGLNFLHTSPLFHHGMLCLQNCLVDSNWTVKLTNFATEQIIFEKLDHNELRPYVNADSESADDVPDPTKDFARKKYLQQAPEIIREIVSTKTIPEGSQSADIYALGMVLYQILFRVEPFHERNKSINKLMEMLAMANDDDQLIRPTFPSSNTGEGYNLQLLSCIEACWLEIPEMRPPIKKVRTMVNANLKSTGKGSLVDQMMKMMEEYTANLENMVRDRTALLEEAQKQADRLLNSMLPKSIAEDLKVGKSVLPQLYSCATVLFSDIRGFTRISSTSTPLQVVTFLNDMFSGFDAIIAKHDAYKVETIGDAYMIVSGVPTENGNNHAQNIADIALKMRAVTRNTQRHSFLNRFQFICNFKLAHRPEELMMVRIGFHSGPVAAGVVGLAAPRYCLFGDTVNTASRMESTGVANKIQVHLSLFHESFLNYIFQISEGAYNLLHCFFPQFQMVERGKIEVKVCKERFIRFLV >CRE07300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:995191:997236:1 gene:WBGene00058304 transcript:CRE07300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-9 description:CRE-NPR-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M2X4] MEFENLTKEELEHLQKIYDDTINFERKIGIIIPSIFAIIILVGVVGNALVVIVAFGRQMRNSTNTLIIGLAISDLMFLLLCVPFTAVDYAAPTWIFPEWTCSMINFFQHTSAYCSVWTLTLMALDRYLAVVYPVESMTLRTPRNTVIALCIIYILIIASQIPVGRMHGIYVYDFIMEKRSTCAILTIATAEATPAMARTYFMTFNVFGYVLPLGISVILYGLMLRKLWDMPRPGNSQVVGGRTLANRDSGSSIRRRPEATAAKRKVTRLVLCVLITWALCWLPLNVCFFMSGIAYPEPLVISHGVIMVIVQIASQVLAYTNSCLNPILYALMSQSFREGFIRIMKMFVNKLSRGKFCTNYRRSALRTELTHYNQTPSHPTNTVVQVSNGERSTLLKDNSSPTTTVQPLRTSIQAKKTKAVCDTMLTVLPFWLFSQLNHCLLCFPVHKTADFS >CRE07494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:987971:990596:-1 gene:WBGene00058305 transcript:CRE07494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asm-2 description:Sphingomyelin phosphodiesterase [Source:UniProtKB/TrEMBL;Acc:E3M2X2] MRQSLILLGVALAFLTSVESGVLRNHEFEKWTSASGNENAPPPPKYKMLRYAKKAVNEPENRKMSCFFCTFAVDGVQALIAQNSTDDEVAAFLVNLCDLFDVEQPHVCKNIIYAFKDEVVFVLERSVFTPEEICGAFIANCGHSDKPLTHMWNITIPGGKPPIRPWPKIPDNKPTFKVLHLSDIHIDHQYVVGTEAYCQLDSALGTYAMCCRDYSQDSAGKPTNLKDKPIYVPAGPWGMPYLCDLPYQTFDSAMRHISKTFKDLDYIIITGDFEAHDSWDYTEDLTRENMNNMTNVFLEYFPNVPVYVSIGNHEGVPQDAMAPHTMPEYDQRGPQWLYKIMSDMWSHWIPKEALDTVQYRASYAVYPKPGLKLISLNTIYCSEFNFYLYVNEVDPDATLEWLIEELVDSEKKGELVHIISHIPPGDNYCLKGWSWNFFEIVKRFENTIAQMFYGHTHYDQFMVYYDMDDPKRRPFHFNWISPSLTTYDFLNPAFRIYEIDGGYQGATYTVKSAQTYFANVTEANMKNKEPEWVLSYDTADHYQMTDFSPQSWSDLSDKLWTNTTMFRDYVRHFYRNHYNNECYTDYKCRYTFVCDIKKGRSYDESFCDHLTR >CRE07298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:984139:984780:1 gene:WBGene00058307 transcript:CRE07298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07298 MRFLLGLLIAIVAFAASSPIHGIWNNLPAPPQKRVYGFYNYLPKEEDDRDKRNTIFLLAPNEDYVD >CRE07493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:980670:982290:-1 gene:WBGene00058308 transcript:CRE07493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07493 MSIRIKTFFIVLVLVIGASQADNGCVQQENCTLTVDDPGINTKNCKGFTMHECDCVQRRSIHFEFDCHCCKTQTRERRNVPLEAMFGLKTYVLPTDPPTERIGTTLIRTTTTGHPTTQKSVIQFQKLRKAKNDCGKLKFASSMTYKAGVATSMDIISSKTVRATHATTPINCWNLCRYNILSGNETCTAVGFIEENAQTFKNDCYILSESPVNSTGSDISVDIYEVCI >CRE07492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:978812:979990:-1 gene:WBGene00058309 transcript:CRE07492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07492 MYGFFRRFIPKDKEKAEKRKVEKNVDESEVCVIDVETLRCVICLNIFQGIPRSLTCGHSFCPRCIDEVAHSEQMNEQRNAGRNHIQCPICRKRASMHKLVHNYALKNILDSINELAKEEEKARTAFDNTLEASNEQLRSKCIEFEKINDGLKKEMNERRRKEYYNYVAITLFVIFYIVLTTAFGN >CRE07297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:977179:978438:1 gene:WBGene00058310 transcript:CRE07297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vhl-1 description:CRE-VHL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2W7] MDGNDSTRLFPDLGASPLVSQEIRVRFVNRCCFPIDVFWLSQEKEPTKYATLKEKQYLDVRTYKNHPWVARRAVDGCKLQMNTNPIYWPEPTAHANLIVRSYCNITLKIQSLREIACHTLLHETPSEIKEQLVNLPRELQDEVNKAIDTKKEYCEVVCRFPTVRAAPPREEPV >CRE07491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:974929:976440:-1 gene:WBGene00058311 transcript:CRE07491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07491 MRRRTESGSCSEAPGAINSDIENPHKVYEKAETFWSRCTQDEDGMLGGIPSLHGPDVVGSTNFLKILKKNLIVTKFDNALDCGAGIGRVTKHVLMNSFDSVDMVDLVDELVATSADYIGTDDGIGEKFVEGLQTFEPPIQKYDVVWIQWVSGQLTDQDLTSFLQRCIKGLAPNGTIVIKESVSAGGKTLYHDSDGCWTRPESLLLKIAQNAGLHVVHKTVQTGFPKGLFAVKMIALKPVHPVEEDDD >CRE07490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:971461:974546:-1 gene:WBGene00058312 transcript:CRE07490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07490 MFSAIRKKLGGDKDEQKPTVMPSGVQRVDAELQRKFARGVQYNLKIVIRGDRNVGKTCLWKRLQGLSFQEEYVATEEIQVANINWNYRATDDVVKVDVWDIVDQSTKKRVRDDKLKLANNGVEIKGDALDYEDTACDARFVDVYKGTNGVIFVFDITKTWTWEYVQKEIVKVPNKIPVLVLANRRDMGHHRQVTDLQCSTFVELFNSSHPSPDGSPRARFAPASMRYAFGLKFVHHFFNIPFLCLQRESLLRQIETNKSEIVSSYHELDCYQETPEADYDTFIEMVNQKRREYADKNSVNARQGAVQTSGRVMGGGQPIPGQKLTPPTIPRATADTSEANTTTSASDTTRKNSFEIVGDDDEDGINNFLGEKQEARHNGAVKSKHNESDRYIYSRKSYIQNLNCFSETENNMVWKFEEDFSVDDDLMVKMAKIGEQRKVQLNLKKLTVPETVEREIETPIAYHVKVHDDDVDSPVTEQPTAATEKFGSPIVQTPLSDVVNPMTVAMTSDDLDAWLGSDDHSPKSVATVADKQNSSDEEFGVELPESTSKPTVDIFSLITEDKIQQRTQSPAVVEDLEKESEKAEKIVKKKKLKKSTKKAKAEEEAEQEEKLTKKTKKVPKKKATEKTSQSLLEDFLGPADETVASGDYDPL >CRE07296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:969463:971185:1 gene:WBGene00058313 transcript:CRE07296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07296 MDFGVNGMFPMFQNPLPWPAIPFTSLAFTQPNLVHPPVPSSSNASGILSSLNTEMNGGISSLLDQFKNWNLNEQSSGLQQNPQMPVFHTPSTTPIEAIGLVSSLNNGALNVTPHILAQQFNILLPMINPCFFNLYGFGMGNQIQQFNSTLMTPPSTCSPGSQMESSHSFQEQAYLQEQKTASSIELFNQNQILHNQRSQCEASKSGMLFRMQEDVRASNQEKFPFMAAMTVNSSTLKIDVTKTPKKFGGYGRKHKYKIMFQNDDETDKLRLDYYCCTWEGVQELSFVINEIVSQHFQFFDLGIRYGDFSILLNAPIPGVTAIEAAKWFHQFMRHRENIPGFSFLTPDKTMIFEPGCQNKTGGKAFYNEKRVDDVLAAMEGTVNTKTYEKQTRKTRQKRSQQMRNRYY >CRE07489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:967570:969020:-1 gene:WBGene00058314 transcript:CRE07489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07489 MALVTSTGQQLVASGYPQQNVHRFSNLMAPTMVLQGHEGEIYTGVFSPDGTCLATSGYDQKIFFWNVYGECENFSTIKGHAGAIMDIKFSTDSGYLVSCGTDKSVRLWDMETGTCARNFKSHTDFVNAVHPSRRGVTLVASASDDGTCRVHDLRTKEPVKTYINKYQQTAVTFNDTSDQVICGGIDNVLKVWDMRRDEITYTLTGHRDTITSISLSPTGKYVISNGMDCTVRQWDIRPFVAGQRAVGVFAGHNHNFEKNLLKCAWSPCERYITAGSSDRFVYVWEVLTKQIMYKLPGHMGSVNCTDFHPTDSILLSAGSDKRVFLGEIDMS >CRE07488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:964775:966283:-1 gene:WBGene00058315 transcript:CRE07488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-2 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3M2W2] MLGFFGPFYEQLVGMLRKQQGQLAFDTIDRVNAWFTPFVLIAMTLAISCKQYFGQPIKCWTPREFSGSWDGYVHDFCFIENTYFVPNGTEVTDQARGDRHINYYRWVPLVLLLQAAMFVIPYNIWNMLHKRTSINLKGSLRFFEGAMKKSEPAKAVDAFAGEIWNKICEIRESRNKFQGCQATINFFLLKLGFVINCVLQMVLLKHFLDVDDYFWGFFHLWNVEFKGTAEKEDSIFPRVVLCDFKVRNLGQQHQHTVSCIMILNMIIEKLYICFYFWLIFVFILTTAGMLHFAFQMLFRRHSLVPTNLNNKPAMNPTRSHRFIRKYLNFDGILLLTYVDAQFGAFRTSQVIDGLIDRFVAEQQPDSSTVTSLHEENPERYVAFNTDTIPMDRLNRKVHSLIEEVDGPSAPPASEEKKEM >CRE07487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:960369:961533:-1 gene:WBGene00058316 transcript:CRE07487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07487 description:Adenylate kinase isoenzyme 1 [Source:UniProtKB/TrEMBL;Acc:E3M2W1] MAPTVERKNIDLAPLKAANVPIFFIVGGPGSGKGTQCDKIVAKYGLTHLSSGDLLRDEVKSGSPRGSQLTAIMESGALVPLEVVLDLVKEAMLKAIEKGSKGFLIDGYPREVAQGQQFESEIQEAKLVLFFDVAEDTLVKRLLHRAQTSGRADDNIDTIKKRLHTFVTSTAPVVDYYESKGKLVRINAEGSVDDIFGVVVANLDKVTSKI >CRE07486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:955064:959094:-1 gene:WBGene00058317 transcript:CRE07486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07486 MFGVHLISLVLQKKKDFGAMRQRAYLLLFLCILAWTSAEPEMDTEEEEEAFENAIETTMMLNTTTTPTTVPTTTKDYCHCDLEIYGTDILEGVLKSPNFPGAHCDSGKCMYKILPHPNMTVRLNVETAAFSSGTKLKIWNILDVDGQEYNVYQADVSQTYESYEEFTTAVNVGFKIIFEMSRNTYSYSGFKIKFARMSNGCNFYLTPSVEGKMNHLEEMYIEIETEDRIRVQVKSYDDEGMFVRETALDINRGLLVDASKVEVYFFAFSSNLDGYKTPKITAKLMNRSCICPETLMNITSSISSVTVRSPGFPDLYCPSKSCHTFVRSEKINRKPEDKVPLLLITTNCTLNENDYLRLSGESGRLIFRYDLQKTSVNFKFRTNKKELIPTKFLRPIIIAQQDLKISYGSSPEVQKRYFEMNITRLMTPKDCVCSLYDDKEYKNSGWLSVPIPPHCEFIHCHWKFSAYSSYSYPQKYVSIVFKMDNPSSSDVVFVITEKITEEYDAELLKHKQSITSAASPIEFTFSRFGSKYNNSDATLNVTWFMVEGCTCSDEKYFVAEDEPVVITSPNYPDMYCPNLICRHTFYAPKGHFLEIRIDKADIERYHDFLKIYDGNSSSDPLITRVSGLVKNEVHNSTRDTVFFLFMSDESTSRMGYHANVIAHRIPVTPVPEKSHLVILVAIIAVIIAMVSFAVFFVTRRKSENYRQLQSLNNPTVSYTASETGLVQESCKQCHKFIHGWITLSFIHLGTVNSRYLDEGRSVAVESLELLEKSEEFIDDAIVGAKSFEATEDTGDEPFLIFRPKVFDLAPSESDYENEVKNADEDF >CRE07293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:938440:942631:1 gene:WBGene00058318 transcript:CRE07293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifa-1 description:CRE-IFA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2V6] MSFSSTTPSARAPLGGRTTGNVTSQDRMLKIVTEHRSGAGSGLSPFGSNAASTIRDSREREKKEMSDLNDRLASYIEKVRFLEAQNRKLAADLDALRSKWGKDTHNIRNMYEGELADAQKLIDDTNKQRKDMESQIKKMQDDLAEIRRKYEEATKGREQDRAKIDGLLVQLSNIEAEINLLKRRIAQLEDEVKRIKQENQRMLSELQRARTDLDQETLNRIDYQNQVQTLLEEIDFSRRVHDNEIKDLQTLASRDTTPENREFFKNELASAIRDIREEYDQVNNVHRNDMESWYRLKVQEIQTQSARQSMEQGYAKEEVKRLRTQLADLRGKLADLESRNSLLEKQIQELNYQLEDDQRSYEAALNDRDSQIRKMREECQALMVELQMLLDTKQTLDAEIAIYRKMLEGEENRAGLKQLVEQVVKTHAISQETDTETMRVVKGETASRQSFQRSAKGNVSIHEASPDGKYIVLQNTHRAKDEAIGEWKLKRRIDGKREIVYTIPKDFVLRAGKTLKIFARNQGVASPPDQLVYDGEDSFGSGNNVQTILFNKEGEERATHIQRQSTA >CRE07484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:935380:936629:-1 gene:WBGene00058319 transcript:CRE07484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07484 MSFTHDKLAENIYWIREEDTRESYPLMYLILGSEETALLIDTGCGCGNIYHYLRSLSFMQNVKLIVVNTHNHPEQTGGNWRFSTTGSNGLAHLVEDLCAGRKNKYYTRLMDSSWHWEIQTYKVTRWLNDGDKILLGDKSNLQNIVQVMWTPGHTPDSIVLWYPYANRLFVGDLFYRFDDILFTYQYTDIRQYENSVRNILKFVQSQKVNVKYSSAKNDTDNKCLPTFKLYHRFLLAVIAGTHVGTHLRIDDAEGVRYETRDKSMKIVIGRKIVQKLNEAREKATQVQ >CRE07292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:932226:934289:1 gene:WBGene00058320 transcript:CRE07292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07292 MQSNTDSSGASGTYSQTVGLLYVFNLIVGTGALALPKAFQSAGWLLSITLLTFSAFMSYVAATFVIEALSVANAVLSKKRRVEYDDVVVADGPSTFEIAKKVEVSEMASMFLSKVSLVFSYFAIIIYLFGDLAIYSTTVPKSAMNIVCSTINASIVKSSDPCHESWPEILTRMTVYRFFVIIFVVVVCLPMVIAGITKTRHIQIMTTLSRWAAFILMISLATMQLSSQGAAAHPPAYNFHGFGSLFGCAVYAFMCHHSIPSLITPMRTKENVFGKIAVVYGIVGVFYFTLSLTGAFAFEHVQDIYTLNFLHDDNTSFVYSIIDYFLALFPIITLTSSYPIIALTLINNFKVVKDILCPKTGQENESLLEEDNQVEDNDTDDERDTRNARSERTVFDVLVPTLVLALPTFLSLLTDDMLLLASITGSFPGVAVQFAIPCLLVTAARKHARSVLNFPVPRKNNSPFQSPIWIVLISSWAGFSMIMVLLNLVGVKF >CRE07291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:927795:929667:1 gene:WBGene00058321 transcript:CRE07291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07291 MIPLLADFKLDNDILYMIPHALIGGLFLLSVFPRISEPLRLKFQISAHLVLSLLFFFAAPFFLKATIKGHFTNVHGFIQAFCASLHVGAGFFMWKTQKLGKPERSVIFSRLLSSLICLIFRLFAYMHISAKSAKGLELSNQYLYCVAFTDGLWFFSEVIRMYRTTKTNQDEIEAMVKRTTLWVEGKGSFYIENAFYLDAGVTLVYAIIHISFPQHVLSLILKPDVKLDSHHYLWCRLYGALNLIPVITSMNARFYSPEMQTGYIASRLLSQCTVFMLNIYGHWLLMIYSPNHITAFMLSGFFTSFLFSAFHRIHKNYYGTEVEEEIYEDVVESDKKTD >CRE07483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:903012:913821:-1 gene:WBGene00058322 transcript:CRE07483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07483 MATLYPVSVSAQFITPPPSTSNDLHNHNDSDSGSSSGVSAADEMKSSEDDAVSTSSQQSPPEHPSPPPPSNSPPESMASLQAESPIGDEDTEHDVGEFSPPLSNEGTSSAASMTEEPKEWNVGNGFHTLPTASSIPPNSETVFLHLMDGRLDLSDPNGEVVGSIDGPATLVYAAEATKLSGPLPIALGPNQKVHQYLDEEVPNSGEENQSENSMNESQPSPPGPSRGGHHSNGPRNGNIRNYNGNQQNWNQRNGNHRNGNQRNGNGHGQNGYRQNSHGQNGDEQNGHGQNGYRQNGHGQNGYRQNGHAQNGHAQNGHAPNGRVQNGDGQNGDGQNVHVQNGDGQNGHGQSGHGQNGYRQNGRGENVNVQNEHVNNENGYRNNNHNAGGNRFNGNRYSKRHRGDFQGRVRVEHQGRGQGEYQERAHRDQAYSNVNSLQEFPELSANNSQPMPAMAHQNAALMMNPQNDVNANQMFYGPPIPVPQQHASLLGAPNGPSVSDLTQGGLGTGTYMPGPPLFDVAQQSMLMNMPLQEMSVPPPMNGQMMMMTAPVSQDPSALHMALPSHLYGVPPPALPGMMTVPEESLINNNNLWNEELEKENEALDSELLQLLAPQQANALATELEFTFHPLMLTPKVKQTFNLSTFDIQYKATVMVNNGQFDRSFIVEEPTVLTDGRFLHREKNFAPNTSYQIYMEVYIPTRSYVGKRSEYGFFRTAPGRPDPPTRVHVFSRGLHFVTLRWDLINNNGANVYQYQVSIDEDKPEGRMMQATGPEVTITTLEPQTCYKIKIYSISAIGQSLSPALFDAWTKPDQKPFMPQNITVTALSPHSILVTWDSNPNDTFTLELFSVLGGKTNMFRIDPPGGNTIIGQLMADSEYQLCIHAMNKYGQIQTEFFNVRTQRKPNANRLTQNGNIDERNKSAYVDEAPSKPYFLQYVNDCPEMAWNEILSPTQEYSFIVEGSISDETDSFVQLHRGNVLSYIVLDNTINYLRVIKVNKREMRSLPSPIAPIPRDITIHRPERVSNVTVSAGPNGNVLVCWTKLDREIMNIPTSAKIVYHVQRCDLTENPVVNVGDAERYTFEKIPGNTKLAVQVRASVTFRNEMTSGDWCTPVPFTTPRGLPPPVGNIKFDSRSSTLSWSCADQSQDLQFTATVVHLSTKRNVLKVTTKSRNVVLDSVQPGEKYAVDIYSSTAVGCNPQNTSLEFVVPALKPSTPIDTFVSQIHTQGFKITWKAADSNGAPITSYTVRVLLNSEFVVEDLITKSQADGTYKAEFKNLSANTEYLVTVSAKNNVGIGQKAEIVARTHANPPTVPEIFCEPEPFSLRFQWEKDESNPNIQYLLVRQNLRGPHVTVYRGDKALVRVKSLQESTKYYFRLQISDTATGASTWSDTFSFSTSTAPPPPIKQVPTTTPVPGKTYLYKIEWLDCLIEQKRQNQFYRLQTADATVKKAKWETVYEGKTPSYELKTDQFPGAIHVRVLVARQESEDNELRGSPSPVGYIANLPPDVDDDDEEEESVVPFYRNFSPTSVMSFIMYILIFCIVMCISCTNNVLTRIASSICFDTSVSHLFSPEGPASQPPPSN >CRE07481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:893656:898460:-1 gene:WBGene00058323 transcript:CRE07481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07481 MSGVVSWQEERCEKDKTTAISHQLLNQCQQADSEWCYRELLPSGVLSNGEETQVSEYLRALELYRQNRSGQASPEKSNGYSEQNAVTTSSTTEQHNTPNCFQNSRETESTNQSARATQENATQYFSTSNSLMGYTQLYNIPRDMKELDCKNYGKDQLERNHSPESTYYHQAPHHFVPGTDTLRCIEQEHENQSTKETPTSDAHNVLHPECSMTDSASESHSAQKLCDGFQPMTENALSGSESPDTSLNKNFTKLEPSNIFVANNMSINILPTPPPTPPKASPGSSTLQQEHEGTKPKFQQHEKKGDVSPGQLTSKNQTQKQEPQGNKAKSQLQNPEQFQQQESQLPYVPTQLQSPPVLQGMQQDHTIPEKEHELSVREKIPSDVRTDPQAAVKPTAPVNPLEQFSVDTILMSLLSEPLPYESCSFLRPTYELCVETQAKIEAKKELATNKDFDENVVEIEEEVEKQDQKVVEDVPVQPIIIPDPGQPIILPDSVQPIIVPDLAPPTMVSDPVRPIILKAEVPQMTPPKTTIKRGRPKGSRNSKPRTENKRTKKHKRDFVDDDDFYEDYSSDSEDYTEVVRKIEAACTKGRTTMNSKKCNAKDNHGASTSKDERNSFFDESAMTSESDYAETLESSRRKKYHKTAATSSKTNLENDETPIESDLKILKPIKHIQTKRNNRNVHPPAKKNRNDIPMKDYKKISDKVIHSLFNQVLTPAKSERSQFSENFESPIIRRAIYNQSSHCYAISAVQLALRVPQIYSMIRRHSHKKKKTRSECTSCKLGELMSTVPQREDFPVFIDILQTKWLNMEAQEMHCVMETMEYLFDFLDKEYMENLSRCGRRNSKSSTPLRKMFSIQQIDKSKCKACLRESTQTNTFLHITVETKRKFDGTMQDSIDCLSRESGWRDDHCLQCSHLLYSTLSFVKLPKVLLYFVPRAQPRRKKDLTVITIQDQIVVKDRKREHTYLLCAFVAHYGPRGNSGHYKTFEVDRSEGVQYIEYDDGKVTYRTFGKQPKDAFQVTMNSALPNDIHVVLALFRREDAVAKDAHTDMIFNGNGNMTFAHEKYCEKD >CRE07290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:891351:893210:1 gene:WBGene00058324 transcript:CRE07290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07290 description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:E3M2S7] MLSRLNPRNLSLVRNVATAATQERQKIKVENPVVDLDGDEMTRIIWKEIKNKLIFPYLDLDIKYYDLGLEYRDETNDQVTVDAAHAILEHSVGIKCATITPDEARVKEFKLKKMWLSPNGTIRNILGGTVFREPILCENIPRLVPGWTQPITIGRHAFGDQYKCTDVVIPSGSTLELVVNNPDGSKDIHKVYDFKNSAGVGLAMYNTDESIKGFAHSCFQYALMKKWPLYLSTKNTILKKYDGRFKDIFQAIYEKKYEAEFKDNKIWYEHRLIDDQVAQALKSSGGFVWACKNYDGDVQSDIVAQGYGSLGLMSSVLMCPDGKTIEAEAAHGTVTRHYREHQKGNSTSTNPIASIFAWTRGLHHRGVLDNNEALKTFSLTLEKACIDTVEEGKMTKDLSICIHGSKKGAEKGAYLITEDFLAAIDTRMAELMRQKN >CRE07480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:888174:890800:-1 gene:WBGene00058325 transcript:CRE07480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07480 MTSIKRGTWFFIFVLGVFSAITCQFTAPLTLMHVAGHIAILTAAHFALVFPPEELLDGLGLTLFDLFTIIYNSRHYGFVEYAAKRVAFFHAFVAAYPCVISLYFVHGNLFMTAVDTRRFPEPLDHPYMWFTAFVISVIGAALYCNRIKDYKQWPVYRYYNEHPDALEEAQDVISSPDTFRVKLSQRTFLFVTRSFFVYSSNWRFVAVKIADLRLQVDNTRMPLLPNNQDEEERIRYIFVKVRFRPEYLQSFTITLRQDYYRMLNEVLEVPIFVPPHINVPMTIMEELKEDFIDRIETNSRYLHRVKRSDLDPCFACGTEENIVKIEKTCTGQEPRVLFHDTGLRFTPPCENCTCRPLWCRNCIAQIFITKQNVDNIYRYEYFRGSAQCPTCRKNFCIRDVHCVDFEFIDEDAD >CRE07479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:885502:886709:-1 gene:WBGene00058326 transcript:CRE07479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07479 MALTTSSSTPAPMNRPAENGRNMFRPPFQIQMVPFHGFAARSGPIQRALERMREAEGAGPSPPVQQPDAEGQEEVGRGEEDRESVEEDNEDAEEDEDDEDENLMRQMRSAPRPPPSQFDRFQRWIQIGFFQAAIETFTERSGTENVEEVRKNAEKTMLELIAKSGELYMPDINGKPLCGTPKMIRELKRMSKHVDYFRDLLKKFLEEFDGSPLRVSRLRRATGFMKGIMTFDKSPVYNNPKTPAPNNYDLDIEIFEVNAQLFLEYLRTYWRPQLLNAY >CRE07478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:881945:884896:-1 gene:WBGene00058327 transcript:CRE07478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hst-2 description:CRE-HST-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M2S4] MLSVQIYVVAVCSKEYPTQKKRLFSQETQATKNAHCLLVRILRFLKTFCADIHGMLWIKRKLIYSVGISVLVLFLFLFNLKRRSFHNIKWPSSNKIVFYNRIPKTGSTTFTNAIAYDLYKENGFNVLHVNMTKNRQIMSLPDQYTFVNNVTTWTERLPAFYHGHVAYIDFQRFGLANPIYINIIREPLERLLSHYYFLRYGDNYRIGLKRSRAGNNETFDECYTRGGKDCDMKQMWVQIPFFCGHYHFCSEVGNPEALKMAKQNAMEKYLLVGTTARMRDMIALLEVTVPDFFNGALNHFDHLDANRAHLRYTKKKFPPNDQTLSMIRRDEVYKMEREFYDFVSDLFDAVFKKATNGSSKAEDLANMPTQYHYEKIKPP >CRE07288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:877481:878381:1 gene:WBGene00058328 transcript:CRE07288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07288 MDEKAKFVQADELKKFAFFGVAVSTVATLIAIIAVPLLCLHMQTVHSGLSDELLFCKSKNVDMKSEISKLAVIRESGRQKRQTPQTCCSCGIGETGPAGVPGQEGAPGTDGKAGNPGAPGADADEQGFHYKAPEFCFDCPAGPPGAVGGPGPKGPPGPPGGPGEQGGQGRGGNRGPPGPRGGPGEAGPDGEAGRQGQAGQTRSAPSPPGQPGGPGEPGAPGEPGPDGRAGHPGRNGPPGPPGDNGGQGEPGKDGADGDNGAAGSPGPKGSCDHCPPPRTAPGY >CRE07476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:871218:875123:-1 gene:WBGene00058329 transcript:CRE07476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07476 MRLLLLIAALVPFVLSQYYMQQQVRPVMQPQQQRTMYYPVRTNCQNRCNQQTRYRWNVRPTSKYTSIFVIIRISFSPDIRPNVQVQIRTLPSAPGPIRPVVNPVPVPLPTLPTPSPSTLPPITYPRTTAGVPITTPQTTTETTTQPPTTTTTLETPAPESTPSMAPSHTDPTPAPETTVAPTTKYLRPERKQTKAKYFNPCAVGQPLLNEFSTPISCNYLNQPNGGCPEDHFCHTGASFSTTACCPLISSEDRCAQRRDTGEGDELVARWYFDSQAKECRRFLYKGIRGNANNFVTKAQCVDACEAGTVTDETNPCKFSNAATFKNGSRIICGPNDDSMCPNGYYCHIGENPELTACCENSGLTDPCLLSINIGQGKALLKRFYYNTFSKRCTEFIYKGTKGNENNFLSYTQCQEKCQKWDNPCPVAVNYAQKKECGMESNNGTECSAGQWCHIGATKETSVCCPGASPDPCSLPMFSGEGTGNLTRWYADPADKSCSRQCKSFTYNGSKGNQNNFLTKQQCESKCKRECKNPCGSGTMLMTPTNEPRTCSPSSPCPSSHWCHVGITPDTTVCCSAVQNTCELPMTRGYGNSHLTRWHFDKNLNKCVKFIYSGEGGNQNMFLTQEDCLSICPVFENPCGNGKPLLIGAKPKLCSPDERCPSTHFCHIGVEGSENYCCPKHGDPCQQSLATGTGGFSITRYYYDKETRRCRDFVYEGQKGNANNFLTLEDCGLVCPVLPNPCSMGEPLLSIQKEPVICGGEDTCPNGYYCHVGGAPETTNCCPGTRRPCDLSLEVGQGVEKLERWFFDGGIQMCRPFVYKGMKGNSNNFLTKQSCRQACKEMNPCGYGDPLVDTAGERILCTGGQRVDSCPTNSYCHVGSSALTTLCCPKRKIDPCDQAVEEGTGSEDLPRWFFDRKQNRCAPFTYGGVAGNENNFISQNTCMDACPEYRNYCPHGIPLIESSTVTSCGIDKGCPEGFICHMSSEFNVSICCQDPMDFCLSARDSGPCNNFEKRYGYDANTDTCVEYQYGGCEGTLNNFHSLQRCTEICCKEYKRRHRL >CRE07475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:868013:869052:-1 gene:WBGene00058330 transcript:CRE07475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07475 MRLSTVILITYFVCEVLTSSPVIVLPPFLKLNEQNVITIVNEKSVKSVVQIDHGGEKTNKEIQGDVQMISFHTSSSQPTAHIMIKVDGKIELNTNIPVRPDLFNVHIHIDKTIYRKSETVHVRILPLTHSGSIYRGDLSICLVNGKGFVESSTLRTRKVDETNSMIIEQLEIPSHTFFGDWVVKVQPVEIGSKRSDDLLTFGKVFQVQDYDLPNYRLYGFLTDSSNLDDTKITIEAK >CRE07287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:866017:867551:1 gene:WBGene00058331 transcript:CRE07287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gnrr-7 description:CRE-GNRR-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M2R8] MLETSTRMSTVIPERLWSEVTEASILLVCDVAYCFVALIMLCKLIKQPKVKRPVIHNGQSGSNKSFLLFKKSLFVTDCMIMWIYASVKAAWLLQFEWKFGNLGCKMYRYWSSVAFFSNSNTVCGIAVDRYLAVYSNHIIGARQYERTKKLLYGVWLIAGIAALPQIFVWETYRPSSENWEQCVTVFAIKIHKLPTDSPIRNEINFHSMLYEAYHQAMSFWLPLSVTFSCYARMLSRLIPFWPFNVLTSYEDERQETLCTIFWSKMTDKIRYFCCGTIFRRKSYVSQQSARIPLAGTTRHPPTTALRRQLGTTVFTNACAIIVTHVVLWMPYNIISLSRFVNEGFYEIISQNGGNLCELLILLSSFVNPILYSGGTNTVHRV >CRE07286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:859177:861324:1 gene:WBGene00058332 transcript:CRE07286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gnrr-6 description:CRE-GNRR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M2Q9] MEADNSTVINPVAPTYLISDYIEIAYLGMVLMLGVPANVVILKKLIKEMKACNRDMVKSGFVMLKINLNITDLLILTYSLGKLIWLITYRWIGGDIACRMYQMFSMFSLYSSSNIVMCIALDRLRNVIYANQIHTKTSKISTVKVLAYGSWLAAFVCSLPQFFLFQTVEVNPNFIQCSDVWQIRRHIKKENEYFPEESFVLTETFENIYNIVHLFLVFWGPLIVLIVTYAVIATKLMKYSLRAPGTQSVRRPMPTAADDSPKDVIVHVNLEDGLLKKDGSFRKVVKCCKEELIPKQHRKKSNRKNGGKDSTTTTSSTSTRVPTWRKQMRSRVFRTTMLVILTHFLFWFPYNALGLMKYINQGMFEVLSANANIFKDLQILITLINPFLYGFSTGN >CRE07473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:842966:848423:-1 gene:WBGene00058333 transcript:CRE07473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07473 MEGTCILTAFSADLTSDVFLFRVQNYCEDCKVKVSKVSNGMSKELRRNDAIQPGDEMELEFTGHSEAIVFYRAMDERLNYITLKNDYMTHEYQDYMIFGQQSLGAKILNLVNEEKVDKTMKEVCTAAGHQLRFRCEQGQRSTSLVSEKCLKHVLKSCYKNSSATVLPIMNPYIERKVPVLASSANWLGSLNVFRTKTNNAPHALFVKPEFHDTGVIVQKPKIRQHFPETWLFDAIVLERNGTKKITATSPDNVGQWALNSAYWWHGRASLFPGETKYIQTSKPIFLEVDMPRNVYVNETISPLLTVTGVDLVKSRETLVICLSELPRKVCADQGANGNKGTTYYMKVEVSRSSPIQSKYLMMKFLSPGLVNMTVTLKTENCETGKILDAVRKQVEIQKRADTEEYYERHILNPSKPLVTAMNDDPGSTSSKDTKMITFSDQHASTDDSETINTLITSNVPDTETVFSFSITLSKFLPLHDRQTTMSMGTRFKRNGLRMLTSVIRELSVVLYKFKKLKLSGFTSYQMEANINDLINEMMQFSNCTSRKDPCAYSEFGKPQSSKDVSILLTSIATSLLCEADVEENRVLGSLKTITAYIPRLGSKDFNEDLSDIIDVESTGDKKYLLVSFMYQVSRDCSSYRSSFKNMTRSFGKLHKYFYQLDESNVKDGRTSAAIAFMATNATAELMRSDLLSKINKEHPPYWKCSGYETNRIDKLKRNNESFRRRRESCQVMVNSFALSAIVTAYAEGTEIDWDRLADWISEQQSSDGSYGSPLTTLVATRSLYEKRRRSVEIAFNDHLEVTIRCKGCPEKKVNVTESPIEIHIPTNIHYVTLITKGHGKAVVAARIVATKRPRPKRGLTQDDYYPVHLSIDQKVQDNFVHQTVCFNVTNPTIKTLDMFHGTYTLFSATPDQLYFLNETYTPINPTSSALGLHFILTNIRANETICYKVALSESKGGKNIPYKSAPVPIRATHPVQGLVGMLLIAHPDVPRSDVVVRRRRHHHTRTRFVRRIIDESAVDTVCYDGGECSCAETTCDVKCNKCHLDDSKDLRNLLSTPGFFGVRFELTSISSSLMNGANYTIYDGLVKDSNGIGAGAFELSKKVRVWLRSCNVGCTPGEKTLKRDYYVLGHEDGLNSDSYGRQNYILNHLDRFEESSYACQSLNSAITIRY >CRE07285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:840124:842541:1 gene:WBGene00058334 transcript:CRE07285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07285 MLFKLVGLFCIVHISESLLLRVNEFGLHEAANFTRQWLSMAGPHMKMPEIRQSFYNSFAGGEMTVTNITIKRFVPPLIRFRPSDHSFLYMSTLSGYAQVSAEWSVESQFLHMLKIPLQGQIHAQMTGLISEIAMQITQDNEVEVHHCVAQIRDLRVAFQGSVAADVIHWFRQSVTRAIRRTLEEEYCDMMRNHWLPWVEAQLYQFPTNLTISHSPDVTLIQSMQSIAMTQHHVDVRMRSDLIWDNEFVESGMVENSTLTSVDALPRSTRMIDMFIDEHTVQSIIAAAHFAGHLKTKIESPFLKTSCDVLCIGTVLPELAEQVPNRTLSVEAATLSPPVIDLQQGRALVYLNASLNVFAEPPLKTVEGSMLTINVETEFTLTMEMRNKRVKGYINMINAQANLVDSKVGLMSQKTVDFLVNMSTPFLEDAVDVLIGRGLIVADPFQFPSTNEHLSIHDKCLRWEADIVMPTVVAHTNVY >CRE07284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:836272:837700:1 gene:WBGene00058335 transcript:CRE07284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07284 MPEKQILNKGPAVIQWVSSKSGASPRRDYIPTRIRMGNGVELPFIGLGTSSVENSNEATEYSIRTALDLGYTHIDVTPGTHKIVGDVLKEYMDSGKLTRQDFFITTKLPCISHSRANVATALNSQLKYLQLDYVDLFLVQSPCGITIRQTAQGRNKFEPTNYSLPETWKGMEDVYNLKLTRAIGISNFNIDQITTVYCNANVVKPHNLQVEVHLHWPQKDLVVLCQSLNVSLTAYAPLGSPGLQQTIDQRGDTSPLDEPLVIYLAQKYKKSPAQILLKQLTQRNIVVIPRSVNAQHLRENLDSLNFTISDNDMEALANVRSRGRIYTYTSRYPYFLCLFNFFFNFRRNHPEYPFNENDDE >CRE07472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:827298:829047:-1 gene:WBGene00058336 transcript:CRE07472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07472 MATPHGTSTGKEKYFGLSKRKVEIFFSGELEFSDADCEIRTIPPAKRSIDNDATGGNRAECSEVKNRRTLPCNNMSPPAAIDYRKNQLLAGLSTRLYGSNMARKRNDTRESHLSTAQSTAHYCILQGNPKRMSRGSLRPTARRKGERCIRRRRLGLPSVRSNVAPDAPGAAASLVYKPRSGNCVIMQSDSPQHQYQEEELLGGLSGAEEATETTPEVPEDVEMESQDDDDDYNERRIAEDPSSPSKVFNKVVCLRREDANFASQFPQLLDDDENSLLAGAHSVESRLHRKISHHQNVNKINVVMQKMKQQIQDIWAELDDKTNDVIEEIMDINDDEAELEELEKELESMERGRAAIMKDPQQFKDACVFCNSDTHASKNCCQYDEAETRKKRLRMIGRCYSCLEKADHTGKTCDQIGSTFTKECQHCKNGFHSPAICTIFSSKQRVAARIQTQKAAFDILYRKWKEENEEKKESPAKKKEESGGSRGREKISYIP >CRE07471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:823617:826028:-1 gene:WBGene00058337 transcript:CRE07471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07471 MTQAFITQFRTRATKTVNKTKAICEETEKILAMDPRGRSLGDLNKKRQALIERIDAINSFQQDIKDQAEEAVRKEMVTHLESVNTKDVLEKAVLTVEKISDKLAQEEEQAVQQISQILPPAGNDDEEEEIEEDNEEGDVHPQIVRFDPTARVNAQRLFEEEAPAAPRGPSKARNLAAQIQPPAPQNNARDSVEEEEFINNWNATKKARQELVDAIPNRTDSSLHINSIYREAYNPDESGESIQFEKALLERLIGMEVEQRKSTKALKAINQAVDNIDPEEFNALRTEIAEVRKLVKEKQAQISGNGGDRRDLGHQNQEDFGRTSALGAEEDFHRAVNRHVLDEQESRRGPTCSTQKRSQPPRTVSFGDQLIRRNPPQHQSGSYNNFYEPSNHASYGNGGAPRVNKEAQYPQFYQNQTGYGAPAYVEEMSYPPRETPIRGYRTHGQNTNNEDFQVRATKAQVGATIVNSLMATMSPFSGQPYEFQAFMAQFDNMVHENEDIDVKMKQTILFKLLGKELATLHRPYEYSARGYWTLREGLIQQFGNPNTQMHNLMMQISSMTFPSEDYGLILEALHKFRTYAAKLANMGVNPADPYFMFSFVNKLPRKLKEEAFQFLAYRNNAVSFHELVQRTMDKAEFKHRMEKGRLEEKEVYTTQVNYIRKNGDYQGKQESGGRLSSYNPPASRGSGKFAKSKFTPPSKMKPCRYCDDQDHIAVECAIPIPKKLKAVIDKGLCHNCLSKGHSVFNCASKFSCFNCHGRHFTGHCSRLPKEGNFNVNIIVGDLEEDEELQQQLFQESEVGTSEF >CRE07470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:818603:823348:-1 gene:WBGene00058338 transcript:CRE07470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07470 MPPDTSPPIIHFQVSKITDAQAQLPFIQLQTPSGEKIIALVDSGAQVSIIATKAAERLKMTVVGKRMTRYSGFVADSQPTECIFYKLEIKDLSGKCWSSCVPSYHRMTTEFRAPSHTREDLEKLKKWSLNTEGIIDLGTRDGQQIDMILGNNILNKIKSIERPKTYCLPSGRSIEKLMVGYVNHPPVMEDSFVPINESTQVNIVNDMEQIWIHTLDSEDMDLEEANANKVPSAVSNKKFEKQLEQLWSLEVIGIEPPTKREDKEALNNDLIKEFKRSAVKDPDGRIQVALPYNGRQKELGNNLAVAKRRLVSLLGRQLQKKEDREAYHQILMGQLASGIIEEVIPGTPADGPEYYIPHRVVIKQESLTTKLRIVLDASSHMKNQLSLNDCLYPGPSILQSILGILLRSRLPKFLMTADIEKAFHAVMVQEKFRDAMKFIWLKNPEEGFKESNIATYRFSRLPFGVTCSPFLLAVTILTYLDLDLDDFNERFLENLYVDNVMFTSNSEEDLMNCYTKSKSTCDKMHMNLREFMCNNGVVRAKIPEKDRSAQTTGKLLGHQWNSEEDTIHIKIATPPEGIPTKRDIVAFNATTYDPSGLLSPILVLLKRFITIMWEKDIDWDQRIPQDMWPLWKTVAAQFTEKVYSMPRQLVTNYDYDSIQLAVFSDASKYHYATTAYLRFGFKDERFESKLIFAKSRVRPSSGGSEYSIPRMELVALEIGVNSAVNIAKELHIKIKDINIFSDSTCCLYWILSKVSNNLGSVWVANRVKKIHQNAQVLREEGIPITFRYVPTDENPADIASRGCSIQELKESDLWHKGPKFLSEREDRWPKKLDNTIADPHAFREQARSLGIIPSSIPEESTTLLKVEVNQQLPVYSSIVPYERTNSMSKLTRTIRKVCKWICHIVEKRNRRHPEKTINFTGSILKKFNEAFKANNSVEETLLARKFIIQDHYIDAKERLNETPSNKMTPAVFDEGIWRFSTRFSNAKDERITPEMRHPIIIISKHRLATLIVQEAHEHLHHQGIQDVITEVHRRYWIESIGLIVKSIRRRCVTCQKKHGLPFEYNYTRILPPSRTTMESPFKHIGLDYIGPLPFKLGQRLGKVWVLLLTCLVTRAVHLEIVSDNTTVGFINGLKRFIARRGAPSSILSDNAPQFKLGYRMINKDLKTYINQDPSLTTFLARHEIKIKLITPMSPWQGGIYERLVGIVKNILLKVLGKGQHTFLELETLLIETEGIVNSRPITSNKKDIEDSPAIRPIDFIAPNVCLALPERTDTTTGEDKAGQTEVKWRTLLDGLTRVKQRLWEEFTKRYFHTLREFTPKKGAHSRIKPRVGQLVLVEFPIIPRHTWPLGVITEVTRTKDGEARSVMVKTMDSTVEKSINQLIPLEDPEYEEEKNTLSVPRNIPQPDTLSIVPTPGDKESGSNSTGQAAQAKANCPAKTPQTVKRGPGRPKKLTGLYLTKPRPKALAQATKRGRGRPKGSTKGSTTIPKIQAPTTPRRSARLCQKAKSDLEERSDRATGTAKEGKEPKSTASPKRAILSRGRRDQKTWKARIAQAVQDHVNLLSSVSPGKKVHPTPGMSRP >CRE07282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:811494:813063:1 gene:WBGene00058339 transcript:CRE07282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07282 MVHGKHGMKTWFLIEFKKNTKIFSEETVIDSVEGNGDTDSQNFVQPILPPLPEEFQSMTLGGHSHPKRLNTKAADTTMIYFDNPVWDDAKFRRELLDSDDEQFSIGDAPAIMNDEDTLDDILRVTHHEINSNPIQHYQADETILSSDDEHDYSTLDLRNATNGPIQQNSSVPKKLRSPDAKYETSILDL >CRE07469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:791241:802502:-1 gene:WBGene00058340 transcript:CRE07469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07469 MNASKRTSQQRRTRANVVRNVVRGNEKNPWNNKETVLERIKELVVARKPNQWEEIEDFLKFMTNDESNGLDNTDDEENTYCFLFDSIQEEAEEVPKKKAKGVPEELTSIELDLLKMYVHFTPDAGPIGDVRKNFKRRGALQCFLEIFEDCPTLEGEQEKLLFFPFFLWTNGHQNLSLEVFQDMLTNKGRLKNCPLIVHSVEIYLQRNNCLPQSNRPWIPSKDSKGNDIFFWLNKKYVAMFTKLESGRCLYQLLKEEFGGRTPKKAEIEFWATIPLIEEFHHVLYSRISRSNVNAQLWAEWEKEANLLLEKYGPIKCKKCQKPMGVFGAIHAALYWLPVLYKSQSIDVENEACCWDCSSFSDKMYNAKLIKNVLNTGFKPMQNVSKTGFRTESWKPKYLMEQLNEGIAPNVENNSVMEVNLTNDVASSSTDLSSNLNEIVQQEEDCTSDNATEKTQRNNPQIGQPSEKITTPLEHKSARSDLKRKGPTKRRRYSPIEHKPTRKSKRIEDNRISSVNDGPCSSGPSESQTEPIISLIENKNRRMKSGEVHQAKSRKRIFRSEKDSGNQLPVLCKTPVNFDDGSMAGSSNSGYCLDEVKPTQNMKTSTDEEEEEVAEPKDDIEKEKEVQEDKSIIPLPNMERSSTSSLTSSVEDVKYDDHEGDLNKEGVNPKKLIGITSVDPPTKVGTQPANGESVVGGDLTKVREEDVEDKSETKSDMPNTASPASLQHPVDSVQSDPVVKEKMNSEHYRLSGSFSNPESLRSVGNTLMKNTSLSPPDVALRIQPTEHLEHQLLLPQILNPEVRVPISKSDEMFSVNTGASSNDAISQDSSNIANQVEDPVKTLKNNSVGENEKKEHATLNDMSSQSSPVYPHESPLLGGQRTFVTHEENGKKLKCFTINYILSIGTSQSNSDVERGANLEFDQGPLSGLHNEIVSDHEAYLGDEDDHQNAADAPCVDPKVSQGSTPSDQFSVSTKMSENGYSPNDTISGTHDTPLRAVQSEHDISSFFKTSPHSDSNKDEEEISDNEDAYFDTKSNVDDVPMGDVEPMATETATTKGLTVAITDDVKCSFGKQNTLDETKLIEEPEKEIAKEMQLSEHGSTQQNVDDDADGDDNNDGEDDSDGDDRSLKSVASSGKQSGEGLTPLLCGREIRYDEKESVDRDDEDNLMEESSDDDEIDSIPSVRIQNSGSKDNENEPATDEDELGDDDFIDNAGSVSVQTPGTEGDEKESIDRDDEENIIQESPDDDGIDNTASASTQNPGKRVNENELVNRKDEDELVESPDDVVDNAPSVNIQTPESGGDEKDAVGSGDEKILIGKSPDDVLNNPDCVSMQSPKNTNDEKEPVDSEDKENLIGESLENDVIDNSASVSIQNVESTSTVYGISSPENEADKDSSAVCLGKNEDISSHSSNNQCDDIEEDDEEEKNLEPTKFDESNEKESDIAESSDDERDESDSISDSEEVADEGEEESEERMFDDSYIPGSSIEYLDARTSPVDDIETIKCASDIPEGHVHGTLKLEQDSSRADIIEINKVMSTSGYTMCGTPVNTCEHIVTRDYDEPFQRCTTNIKGDTYGDLTKAKHSLAERTEDFSPETPEDNRESLDENKNKSKGSFDSPIVSPPVELGNTGQEYRAENPVAFSVDDSKRMNEPEEPESMAIDDTEEQETKPEYNLEIDNVFSSTPGNISSGNSSALLIPSVTIETIVDESDAEASRSKKREESQMMYYEENENQGSTESQYKRNKTNIPAEHQKGESSFQDEKNLETSDPTDFPTTERVEELTQVQHSITNREDQSLEEYELNQAEKVAQSHRNIAKPDEDESSLWNFGRETTRCLEKYQGDEHDQSCPDEAKDSDAPNLDNMNKGSLFTVKENQSGQLPGISNSAKQNMIDHFSAPRKVSVKNLFEHQHPEKCHLLGAYMEKMEKDVENFMKQAVKDTDVLHYTTIPLTETTEYLKSYNKKDVETLYTKMVMEKEKVYNNLYSYNLSVHQSMEFDLKEDIEAIIAQNLVRDAMLKSFRDKNTEYSKKIEELNSKCCKKIETVRLIWNFRTIGLFGKMTADNNEIFYLSGDKDGLDSSSQTEEIYEKLKINQSYLKTLMEEICEQNGIPFALVLSEEPTKSVQDEATGTFIEPSSLSQQVLPNNDFQRSTSTVTQPKCLDGVSQQQYLIDHFPIADLDQFWLDCDQNRKSTGSSEMPNLYGNSDDMTSMPGCLSGPQSHPCTSTPSLPTASSSVPTISPSQEFLSCTGSQEPFITFDNDTPAHQGSNQMQNIWTPSPLVLNRHLPRGSQLNVTPPEILQQNLEFLPHNSTQDGPALQNNAAAVQQQTWTPPTQVPPCHPMNAVPGPAPVANPSQVNSFSRALEHGPVQNFENNMVVMPMQGFPQNPLPPSHLQHAPGFVHNPIVAQHHVFNHSAPNHHGFPPMDQNNMGAHQRSFYDSQPGSSNQTAQLPVNPQLEQQHKNPHLPQQARQYRHDQMLQQQQAPPVLQDNFLRRRHPDHVRRADVVQGPVLDEQQQRYHQNHQQQLQLQHELQIRHYHHRLHPEQHRQQGNWEQIRREPVGAPVQQVQQSSQVHQWMLQNPANQQQPQRPDATHEHDDEIQIIGERRVQFPPIQLHLHYQNQNQQMMNQQWQPNQNMDDHLNGFMNAEQFHRRQAP >CRE07468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:787442:790781:-1 gene:WBGene00058341 transcript:CRE07468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07468 MSGEDDDGIVNEPPMNVKGRTIKTRSVVRKENERRKNQNQEDGEDRDHEENDATASPPPKRRRLVSPAGSSNNPASPAQRRNEDDDPALREPQLNNRIPPVNQEDGGDVPVEENHVDILQPENPDNDDAQQENDVVPPATLLNGRVPPVHQRDGGDVPAEGNQVDVLQSDDDDDDDDDDHDDDDHDDDDDDDADADDNDNDDDDDDDDDAAAQQQNGVIPPDPMQDEIIGPAPQVNPPVPPDQQLNNFVVPAEPQNEIIRRFLQRNEIVGPALPRDEIIPPAVPAPQINPPVVPVQRHNQRAAPVEHFNRQVAFVQPQNHNAPAHQRQGFVPPAPPQNRMAAPVPNLNFHVAPAHQWNGNLPPAQFANDHVPPAQQWNGIAPPVPMAEGLHNPGIPERDNRYIGMARELFRQGYAQPANILRPPGQPMMDNQYFVIDITAFDGYFQLLRQMIDRSVEMARRSRDAANLIRQQVEHQQDLARDRDRRRQAFEQNDLDIRGLNPVDELEIRRYYDRLRIQNARDFLLDEHEDGNELDPHFLDHEEADHAAQIGRAENNEDINRARGMPFPDARPAQFPNAEHRQLFNGPLAPFPNGNAPQFFNPQQPPRFPNPQNQDQFIHGVDPRFLGHQPLPFPAPNMNPVPFPAPDMNLLPPRQFPRNHAAFNQFMADVPEPFR >CRE07467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:767742:771056:-1 gene:WBGene00058342 transcript:CRE07467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alr-1 description:CRE-ALR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2P7] MPELKKKDETKDQEDPEMNCPPLLRSNGADLNQYSKSLMEQLQAQLFANPSLQFPSFPPAFSIAALTNNQHEIKDEDGKKTPTGDNILDGSSTLDCRENGSPSDGTNSPDDNGKRKQRRYRTTFSAFQLDELEKVFGRTHYPDVFTREELATRVQLTEARVQVWFQNRRAKYRKQERSSTHHPYQAPLNIPNSNGDNPYQMMLSQEAIFAAINQQAAAHLLSEQVRMANADRRSQSPSVPVTSASPVTQNAVTPTFASNADALNLFFGGVAPVTQQLLYVQQLSRAMDAFRSQLMANAPSGATAEVTDIVPLKTEDSKSNSRAGSSSPTPTESSRTAANSASPTNFADMNSLISDVKKEESP >CRE07466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:765595:767156:-1 gene:WBGene00058343 transcript:CRE07466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07466 MWFLLLLACFLNVNARHVGEILCRQCGASLTRQSELINITGVDRNQLQYEYDFPLAGKTTKVHVLTNPEGHKFHVFGAKTAHLHFHGTPQSQATWYPGYKWTICLCRSCSRHMGWYFEPEKTTELDEEKKSFIGLVLENVITADYVDTLTKVPDF >CRE07465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:763706:764255:-1 gene:WBGene00058344 transcript:CRE07465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07465 MNSGKLFQLFIAFLAILLLAEAIPMVSPRDEDDQIIQKRLSNDALIRLLMRNRGAQTQLGLKRGLVKKSDLERRSIDDDFSNCFLSPVQCMLPSSRK >CRE07281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:757993:763316:1 gene:WBGene00058345 transcript:CRE07281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grd-1 description:CRE-GRD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2P4] MNLLLFLLGCFLGSPYYSEANKIKLKNVGVSTDRRHQSPCIWNQTQSWSHPYQSITVECCDEELGKLIQKTLEDAGSNAKLGNLAKFLQRRAQLFYHMSFETIVSKSNFAISTHYHGTHSCRIHDNNHYYLIYETPVQYDPFNMRTEDYLSSIDSADPLGSTKPANVRGDFPDIREDSTAGEDLSIQPPIDSILQYPNKFAWPDPDEEISKKIMLAEEEAIKSMNELMESVTEISVMNSGAVINSTDLLNITEIELPNPSPIPRNFANLREKDRLPENTHCDKERKDGNKCCDGRLASTMRDAMRHMATSPDFGKGKEDIIAAELQQKVQQRFKKSYEVIVSRSDFVVSTYNAGDTFCKFDNKGFFILAYATPKQYDIDEKEDEKELAATSNKEPLGSNKTMFDNEAPWHIPLQLETSGERAGYPVGSHCTAARTVLGSKCCSLILFNAMKSGYDSHVATSNFDPYDIRNISKAVQWNVEEVLQHSAEVIVSLDDFTYATYNNNSYLCKYRVDKYYVLAYTTPNHDLDDYDEMALAKIENEPEAQIVYPSVDKTANQFKVPYQNTPSPYWTTPVPIPNQVFQPIQYTQQPMYNQQPVYNQQQQIMFNQQPLYLQPSFNQAPLPYQYPAQPSQYNPFLGNNFHRSKRQIGATPYPIHQNVYNDIGSAKPFNCPADLSGLAGMACCDGGLQFEANKVIDQAKQAPDFDKHNTRNLAKLMTRAVQKRFGTTFESIVAEADFSWGTNKFNGRTCKIDNDGYTALTYQSSSKPPPPTDFLDIPNDPTLGGPTGSSGGGGGGGAGGNGGGGGGAGGSGSGGAASASQSGGGGGDGAGAGNGGGGNGGGGNGNGGGGNGNGGGAGDGNGAGGAGNGNGAGAGNGNGAGAGNGNGAGAGDGVSLILELFNLIFNFQSAAAAAAQAQAAAAAQAQAAAAAQQAAAAAAASDAQQAAAAAAAAAANSNDAFSALAAAAGGACFSLDTWVTTPKGKKRMDQIDIGDYVLTASLEKTYFTPITLWIHREPERVQEFLTIMTEYGKTLRMTARHFMYRNKCGKSYKQYIKILPHDAEAIFAEDLRVGDCVVVMYRGKFRQQKIESITKNIRTGIYSPLTNNGRIIVNDMLASCYSEIQQNTLQTTFFWAYDRLRNKVVEVFGDLYHNKIELPTGTSLSKEIMSLVLPIRK >CRE07280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:756346:757604:1 gene:WBGene00058346 transcript:CRE07280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07280 MLLPYACVTSLVFLSIIFSAISLFTYGWASIVPFNPLYPIIPDEEIFIGLVPFDSSTPFGWLVATCVFMYLNFACSLITFLVCIIGIIIFILRGDSSYQKYIYVMLCGCTFLTGLFGAVAFVIFVASYKEDLEDYFYGDVSIGYSPFLDLIGFVIATIATGVSAFFAIALLNPNNEN >CRE07464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:754294:755144:-1 gene:WBGene00058347 transcript:CRE07464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07464 MAVIQKFIEDTFDMMTGLGEMKVSEAIFLDALDCASKRLSESAGDGILMRKLISLAYKGQNIIKMCVHLPRDSKAEKYAFALNQVSHEIDSLFS >CRE07462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:748331:748806:-1 gene:WBGene00058348 transcript:CRE07462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07462 MLTGHQVDMNVDALQSRVNPTLDEMNNAFEEFSRVVKARPSFTTAALVEGIRHELICLVNVITMQMNTGNVNGLMNQLHGAQILTRNIVAVTRRVRQEHGIRGFHVKM >CRE07278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:736514:737314:1 gene:WBGene00058349 transcript:CRE07278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07278 METRQIAFSITAVQWHNTIAPISSPTLLENDECESGETSVLFFASSLFYFNCERFEKKVHKIVADLTGEQALQEQAENIPMLPEKVRERVLIFDMRGVSNIDLSGANTLIKISQELKAKHIILKIRDPSENVSSFLSGVPNTDNLFES >CRE07460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:734744:735563:-1 gene:WBGene00058350 transcript:CRE07460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07460 MRTLALLFVLFLSPIYCSAGYYNGAKWHCGINRLTETISEWLAKPFDYHGVNQCCHAHDEHYYHHTLSREDADRVFCDCLDQNTNWFVRNLVEPIFCKSVQTYAIWQKFTNQDPWSAPYTTEAPTTTTQSTLNYYLELLRQREREYELRQQEEARRREEMERENEKFRQEWNLFQQLRKQKAKFEWNY >CRE07277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:730944:734620:1 gene:WBGene00058351 transcript:CRE07277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sulp-7 description:CRE-SULP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M2N5] MKKEEGPGWKNFIPITMWLPSYDLKQNLINDIIGGITVGILHVPQGMAYASLVGLKPVYGLYTSLFPSLIYMFFGTSRHVSLGVFAVVSLMCGSCNLRVTQELVASSGSNLTKTEIEGISVDVVKSLGLAIGIIQIIMGLVKADYLISYLSDQIILGFTTGAAVHVLTAQLNKILGVALPRHTGIGKLFYIYNDLINAILEDKVNKITLTASIVAILILYIAKYHLTPALCAKTRIPIPYDLFLIVVGTAVSSFFAVNSNYHVKIVGHIPTGFPSPAVPDVKLFWKVIGDAVAIAIVSVVVTVSMGKVIAKKHNYVIDVRQEFFALGIVASFCSMFPCWPASTALARTLINDNAGTKTQISAIFAASVLTLVLFFIGPLLEHLPTCFLSCIVIVALRGMFLHLQNFVTLWKVSKYDWAIFSITFFSTVFLDVVPGLLIGTVSAILFSILRIQR >CRE07458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:728686:729110:-1 gene:WBGene00058352 transcript:CRE07458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07458 MRIVLLLLLCGFSVHCWSCGEGKVTEGLAWIIAAPADRQSKCSFGNLNIFIATIAGINKCCVTHDQNYQNFCNGIGSISLETADFLFQRCLENTNNRWVRFVVKPLYTAAVGINSWWKKIIKNPC >CRE07276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:723978:726464:1 gene:WBGene00058353 transcript:CRE07276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07276 MPERADASSGMVLLTGNSHPELAKMVSERLEIRLGEATVYNKTNRETSVDIKQSVRGKHVFILQSGSKNVNNDVMELLVLIYACKTSMSKTITVVMPYLPYSKQCRMLRRSSIAMKLVAEMICKAGASRLVSLDLYKKEIQGFFGIPVDNLRASPFFLQHIKTNIPDYKNAIIVAKSPGVMNKATSYADRLRLGVAVIHGEQKDEEESGLEDGRQSPPPNVTSYDFLPAQESKQKPPLTVVGDVGGRIAIMVDDIIDDAQSFVAAAEVLKARGAYKIYVIATHGVLSSDAPALLEASPITEVIVTNTVPHDLQKMRCHKIKTVDVSLMICEAIRRIFHNESMGTLFRDVTLDD >CRE07275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:720194:722855:1 gene:WBGene00058354 transcript:CRE07275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apt-9 description:CRE-APT-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M2N0] MEVVEPVRPIDYWVCRATDRFIADDERVKALDFIIESIHKEPASALLAVDLFSHKLSSPSQEEALLTTRALDYLVRNGGEKVHERCGRYKFLNELVRLIAPKYNGKLTSDALKTEIIKLLFIWQLSIKHIEKYKQVYESLKASKIITEDPQVKETEVPVFQIPPARTSVFDNEEQSVLLKALLSSNRPEDLQTANNLIKSLVETVDHEEHKVIKVHERRKNLDQAIHLCNQIVHLKLDKAAETIGLGSYSPDNEFTLQRLTDELTHLQTTIYGYVNELAENNDPCLEEVLNINDQINKALPERDTPKGGNRAPASERVHLEPENQELLVFNSPTTETAPRNGFCDPRDLEGSLLNNMIESEFVEEPKIIPVQQMTPVSNRNSSSSPRASGDSIFETDFLAGKRLPETPKPPTLNELKPNTTTITLEALEVFVPPMSPEPTSLSPKVIPERVYLNPSSIIMRNRLPVEILDSKGVRILLYYCQSDMTVSNIHSYVIVIQNHNNFIIRDVILNMATNDKNVIIRLQDSKIELPGFNIFGQQVTSNLLLCIQQLNDVKDVELDFTLAYKRTQESAISGSFTLTLVPEHVFNLNF >CRE07274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:717315:718652:1 gene:WBGene00058355 transcript:CRE07274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpr-4 description:CRE-LPR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M2M9] MQRVTAAVFACLIGGVFLATPDAPSFESLPAGIDPTAKIGPPGTDDKALVKVGEVAESILDKLGFSTRDEKDIRRAPVPSSFFGSDDVNQVIEEANANRVDLQGITSGQIPGLAPIPVPGFPGPQDAPVIPGVNTIPGLSNFNYLVGQLFPQMIPPANTLLGSSISRILPKDTAKNIAKDVFRAVHPTAENVDTARMMGRWFQVINSPHVIREACTVSHFGALTNNTYSASFTILKFYREGNPNGSPRYALGYGFKSGETGQFVLHNSNSADAEPFWVIKMGPLNEYKQYDYAIVSTWVRYPVFVIARDPERFRKLHMKNVLEFLQQNNYINVMTKAFNMISPVDYEACQYTPTFSGTGK >CRE07273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:714388:716272:1 gene:WBGene00058356 transcript:CRE07273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpr-5 description:CRE-LPR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M2M8] MLAIGILSVCLVGFVGAQLDAFALPSAARYAPKPTVPPEFSNYFELDGHARELVDSLLGPRPGGLFPEKTFEIGAPQIPVQNGNQPIHKVSNLERTLESFFTAPETPPGQALPPGFGSGFSLLNNNKALTSFGDIRRSPSNKQEDAEVEGSGDGKSSIAGIPNVFPKLPKPPTERLEPVKTVAVQRDAIGMPVIETAPSVPEGGFLPSSDVRRSPASVSEVSHSIDDKADDLNTEEYGGLSDDSNSSGGGLIGTIFNLIKLGGKKAKDAPKAGITKAAEEKNSIGKAVSSLLGGENSPLPKPGNNILTDMLYKALSSGSLESNETMVERKDNGSIVLTPAQSAAISSNLEMIQDLIIKPSSPLCTQKPDPVEFELDPLMGQWYQVIYSPPVSTGPCSMISYKKLSNIGAEPGSIIDVYEYTTDGTPYGKPIISSGYAVFKGKGEMIFRTNANKDDVTVHMLSVGPLNHQGEYEYIVMSTNCNYPVYVFARDTSIFKQKYEPIVNEFLDKSGVVNGITRLLNIVAPVDNTMCIFPPHLFYQGK >CRE07272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:712606:713754:1 gene:WBGene00058357 transcript:CRE07272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpr-6 description:CRE-LPR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M2M7] MDFQAITGIIGGIGKMLESKIDTINVPAEMIMGKWFQMYKAAMNFDVVRTTMFCPVAYFSPNPIMGEEGFSMQEAYRVVSKTGPIETYKRDMNKVGPGQYWMYTEEYFYPRQCKNQFDFSVHNFFSVYVIGAGPSFDNSSRNSTEPMQYLIASDANRLSLMVYARDPLVFFQKYNKEVVEFMNGHGFGGNVFWNSPKPIYQGPDCEWPSEKEVFARRVLKNQEMNERNKNGTSDPILSGMPLADMIRDPKRTLERLVGTKALQQASAGITAGPPASRSE >CRE07271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:709868:711887:1 gene:WBGene00058358 transcript:CRE07271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpr-3 description:CRE-LPR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M2M6] MRSLVWILAATTALAAAAINDADVPVVIHLPAQQHRPRFLGQVVPPRAVNTVVAPRAPSAAQEKAIEVPQAQQTIALPPAPPAAQQPVLTVANGDQVFAPRQGANVPPPPPVNIPTDVQNQLIKFFGLDSFGIPGLTGNHPEGFAGAVQEMRAAGIPVPGIPADQAAPAAEAAGVKTVSDDVLAQANPNFQDQLSQIQNAVNNPGPYKGGANVPLPAEQPGENGLIGLLSNSIRKVIKDTGVSDALSNSIPNLLGGGSGSGADSSSAPASSSNIRRSPTAAASNDDVPQVATAAGSSSNIRRQPSAAQRAISGFAAALGGGGNNSPTHAGLPRIPGIPLLPGGIPRNSQGQIDIVSLIGSVTKRVSNGTTLAELLPPERLQTLADNVTDALLPETPTVDLSKFMGRWFEGINSPRATEQRCVVHHYGGLTRNDKTATFTALKVYREGSEFGPVKYSIGYAFRGGNKDAMLQLHSSETSDAQPFWIYKLGPEGKNSFGDSQYEYAIISNWVKYPVTVLVRDPDTFKAKYQKEVLRWLEDQGFINGFIRAFNLLQPAGYSSCQYADSTFEVFGK >CRE07270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:706566:709123:1 gene:WBGene00058361 transcript:CRE07270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07270 MTTNNYNNDDIPTIEELSEIQIADIHHIEKKHDIRPKAYSLPERRTAQQQHGSKKQSRFGTIKQSVKRRISGSIKSIGAYAGRIAKPANAYFRWNLLFTFLIFLFISSAIWIPCIPAVNDFALEIVTIISIILHCLWIIAIINALRYTWRLRRFASHEIRLDLIKNEAGIKMKHLVGLCVYKEPLDLLMDTIDSIASQPNAKDKITCLVGMEGGTPDKEEKKRILDDKYGPKFERFIVTFHPRGLPGDIPGKCSNFNYAARTAVKILRADKNYPLEEYDNNVELIVTTGDCDSVFGDRYFDALEEDYWKLSAKQRSYTVWQSPLFYCINLDQSPFFVRVTGLLRAFFMMGYLIPWNINTMSIFSLTLKLFEEGEYTHPGYQMDDIIALIRWSLAVRRKCVIRAIPVATLSGPTSGKNYIDEWYEWARQIRRWTIGAAEVFHYFAIKFFRLPVSVSFSFAAKFVFYYGFLLCIASVYTIIAPLVTPAMLNAVDHGVHGLVIPNNKCFMIIMFGFLGLQYIWFFIVFLVNYLCQPVFPRQTKDKTGIIRNIFHWLMTWPTLVMYCCVELVAFLEVTVRGKSVCSHNASKKDNLVAPVPSKLPDRMEV >CRE07269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:702411:703243:1 gene:WBGene00058363 transcript:CRE07269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clc-1 description:CRE-CLC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2M4] MCLSGLVQIVYGLIMGGSAILTAVALFTPSWNTVENNVKDITHINTSEWNGLMPWSCIGHSQGGTCSDWWANLPGWMRCVVVCMILSLIVQVFAVIYNLLTCLACCCKKYIIHPLTLFAVISTILLLIAVIVYAAEWNEFTNGINTGSQLGYSFWLAVGALILSAGAVILGALAVCLGEHCC >CRE07456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:696647:698355:-1 gene:WBGene00058364 transcript:CRE07456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07456 MSDNYGLFFPSHSMACYHEPRIHDHELYFQLRNLNLLLLLPIALIGILCNACAMVSLYRPPKITSGVFVYLKALLLLDHVMLTTTLAAEFFPQICDQHHMKNHTFYNACMLERRFLKYTMPRVEITIHTLHVWTIATLSAHRYWKISRPMIARLQDTVGRARSMLIVMFCLVLLFRLPIFLLELEVRSYPQLRINKRIATTEILSTYRFVYHSILDPLFFNIVPFMWMCIFSLLTLYEIYKSRHNTYQHLAFDHPKQTNVTHPLAGCFPKKAELIRQKQELRATFSIVAIILLYLCFHSLKLFSVGRKWQLLVKRECPTRKDYYHSHLSDVLSMISASVNAFVFIAFTNRLKKYIRLLLRKTSRTLSNSSDPPMSPKTVTSYESSCNHFNINI >CRE07268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:692777:695982:1 gene:WBGene00058365 transcript:CRE07268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ldb-1 description:CRE-LDB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2M2] MPRRKAADGPAPPKQPRTRRKKAVPEEPKPETYDPMNSYGMEGMDPNIYGHHPEYGYGAYGHPPPFPGPESSNSHYGMPPPPGANPQNNMMLVGETIHVLRGQQTLPQPIGNAVSPLEFRIHDMNRRLYIFSSTGVSENDQQQWWDAFSHEFFDDDCRLWFVIGSETVPMPERERYIITRQFIPKFFRSIFESGMRELQYVLRGPSRECTLANGQPAYENENVLQITKYDQSSHNEINTEGKLYVEFTPFDEVMNYRIKAWTLELRRSDEFSYNPATKEFRMEAPNVEQENQPRMGFFKHTLNLMTMLKVLEPMQTFMSYAKSSPTLTPRDIMKRALFQQEVYRQQQRAAIVQQHQQQHQMATQQMLHPTPAEPEKAKPVRKRQRKAAANPRGSKKATAAAQAAAAQAAVANGAPPVIPTASPANNPQFPPNSMNPQFSQMSYPDVMVVGEPSMMGSDFGEEDERTISRVENSQYDPNAMQMQSLGQGTNPTMNGRPMNMAGMNQQHHPGMPPGGPQHMPPHSMGSQMPTSMHNPLHMPPGSMPPHGGMHPMPSTMANQMPPPQLPPHTMSNQMPANRMPPPMQMPPHGMGQMPGAQMQNPNMMSGMPPMSMSSQMPSSMPMQNQMPGGMPMNQMPPPNYNYVGPPQQWPPPPNSAMITG >CRE07267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:674418:679842:1 gene:WBGene00058366 transcript:CRE07267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-15 description:Receptor protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M2M1] MNLFLILLIALLGLLSTVFGSLQGLTNHYRENIPRFKHVSNERNEVFLGDEIKLDCQTAASKIPTFVEWYRNEKLLKNEVIDIERIRKDNNKMMLHLRDIDVSDQGLWSCRVHNAYGQITRNFTIEVIDFCDYFLIPDIQHWRIPMECVCLWKYNKEAKRPDVDYRAATAENCAKYASRMIHRARKPFPSLPCLGDHCQGFDVTPVSDFDFPGKYDKDPNVKRVVLKKDDVIVSLHDSSESSNERKTQFLHGDDDDEDYHVAKPVSPDAGLTELNATAEEPPYFRSHDNIVLFNETHALPAGRTLQLNCRAQGYPEPDVRILIFWFKDGKLIDRSSSRSGGYEYKFKRWSLEVEDALVADSGDFHCEATNVAGVVRKFFHVLIVNRMRRPPIIVPNILKNVTVSGYNLEIVSVNINDTATFNCKVVSDLLPHIMWVRVNKINGSYSYYNTTAEEFMFNYTEMEQFDKAHIHHVGDESALTIVNVTLEDQGVYACLTGNSLGMTMANATLTVNEFRAIHLLTGDEPSRNKWTTSDYIFTSVLSFLAIAITILGIFVYICKPTLQKKGFMEDTVGLVGRKKRVVVCKRPLGENEENAEDGPSPYQIQVIETPMTKKEMAKKQKRRAHSDNTVLSEYEVDSDPKWEIERSKLTLDHTLGEGAFGEVYKATWKQSDTNDVAVAVKKLKVTAQEKELIDLVSEMETFKIIGQHDNVLRFLGCCTGPGPLYVVVELCKHGNLRDFLRAHRPKEDKPKKSSQELTDYLEPRKTTETENVELIPNLTQRHLVQFAWQVAQGMNFLAEKKIIHRDLAARNVLVGEGHVLKISDFGLSRDVHFEHYYRKRGNGRLPIKWMALEALDSHVYTVESDVWSYGVLLWEIMTLGGTPYPTIAMPQLYNTLKEGYRMEAPHLCPQEVYQLMCGCWQEKMDERPSFKMIVDYLDWMLTMTNEAIEGSQEFNVDDPFFLERSTASGPVSPMESFKKIRKHRPLSAPVNLRSEPLHTICDDYDPTFIVDPPSGGGKPDIYSNDNLLKDHLISPDTSQLYIHKALDETNGNGYVHQDRLARAISGIANQSLDSALGSPAWPSYDRSPNKASCLDPAHQYYNTTSKIQYLHFTFDEHDALTRSRDSAIFEESYHPNYIQSHPLYSKILIKKNMTPRNSLPTKETIV >CRE07266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:671250:672668:1 gene:WBGene00058367 transcript:CRE07266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07266 MGTVVETNDEHMPLLRRTSKMSNFRKIMESIISSPPETEIIYPTEDEQISIPLRRNTRSASFRRVINGSIRRTRKIFGIGDAPEVVEQPTIPSHRDIHIQQFNRNEFYLLSRIPTKNYEIFKIPSEDKIFTSIRQITPSCTCPMTKLFPREERPKDKTRKCRMSSFERKRRYHQSFGADHHAKEQLFCPLQASQVELAFKTEIKADIVIKVQAPNGECSGYIYPNVFDFTSFTPTDIGCGHGKWLIHVAMRKGCKFHHGYTRCLNLVGQGVLFFEVSMSGDLKDCGRLWLDCLN >CRE07455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:668215:669256:-1 gene:WBGene00058368 transcript:CRE07455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07455 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3M2K5] MSLQLIPILFSDSWHLRKVSKMLYDLDDHNLFITPKIAYFPTAMGGASRHMMVNGSSHRIAVKIKCSDNELFRVSPVYTLLEPGNAQRLQIVRDPGPPKTDKIVVIYKTTCASSARDAFECDLGAERKVIALIAKEDVTMSIAPTTNLKSILRQSVHKS >CRE07454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:667096:667702:-1 gene:WBGene00058369 transcript:CRE07454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-31 description:CRE-TTR-31 protein [Source:UniProtKB/TrEMBL;Acc:E3M2K4] MKFILLTLLPLLCLAIPLPDILPSIPQLRKVQSTAVKGKLLCDGKGYEKARLKLYEVDPIKDTLMSEGLTNAEGEFELSGNDTEWTKIDPKLNIYHNCHDEAIECWRKVEIVIPDDFITEGANPAKTFDIGILNINAVLPGESRDCLN >CRE07453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:664458:666891:-1 gene:WBGene00058370 transcript:CRE07453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-del-5 MTSVSFGGSDSQFQSLDRAPGYSDDISAFADAEDNEDDFIDLDEETYEYLTNHYFRAGHDDCDEYTNLTTFHGMIRVFNSRNCPSLIFWCLVVTTCLVFYIMVCGTMIKSYSIQPSFMRINETKNHRFNSDIELCSEEKLHCKEISCEKEQITCKETDAHCVSIRFSTKTKIRLKKKGIYFKHGTEEDVYHVTSKPHTHHLIRLKVFQIERLNLDRAKCVRNWKEIKWIPEDSIPDYHYSLKFCERIRYELTGKVEYLQYDFPCHPACSETQYKISDSKLIHNSEYVAITFSVLPEVTYMQETRKTTLVDILCFLGGASSLFMGCSCVTLMEMFVFLFKLVTNSACSEEPPVPDDSFYEEKYRFEFSDHRNKRRYAICDRETMERYLLSNNSMEIRSINLDKRISVFSQSAIKKAPKLSTLKDNRNFENIDIFDEGNQIESVKDESSVSPKDEYSVDYQDERMDTLGEIPVENKRLLRPPLRRCSTATSFASHTSKGSSSFTTRSSAPMTQNRRMSRAFTRNMPMNDF >CRE07452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:661478:663198:-1 gene:WBGene00058371 transcript:CRE07452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-177 description:CRE-COL-177 protein [Source:UniProtKB/TrEMBL;Acc:E3M2K2] MKKKPVERDELIETASRIASCVSIFLIISSTAMYIFIGFKIGNVSFKLHNHAKGFEVFFLNFIDNNVFFQILKTDTESELLNVEYDHIRTSRQAWRKQQQRWRSGNGAGNRRKTHFEEPKICDCTVIDCPKGPRGPPGDDGNSPVDGNPGDPGKDGTDGIYQVDEPDCPPCPQGPPGEDGLRGEAGEPGRPGIPGEAGRPGTNEPGTIGAPGSRGQSGRNGPKGDPGEAGQDFVQLSGLPGPKGLPGPVGLPGARGDPGNNGKPAPPGPEGFPGPVGDPGDLGEYGLRGVPGRRGNPGKDGGYCQCPPREGAALSNRQTVRVSTTRNRPSTSNNDAVEDYESEIEKQSVPRQQPQQFQRKKWQKPNRQDHRDMYVNLRKNTHSDLIDVGESSMRRSPMAPLIAYKDSREVGHTNRVVMQRTSFGSKSNTVDFELR >CRE07451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:660109:660831:-1 gene:WBGene00058373 transcript:CRE07451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07451 MSRPRHADDILNINVGGKKYTVRRTDMLADPRSKLAEWFKPGTLKPIATDKGGNYYLDRDAKCFRHILAYLRLKKEKFVPSLALPSKPDDLAKLVGECEALNLAELKELALDLLQKYQRTEEQHYVTSYVQVTLRDFESWQFEREQNQIALKKKPTNEEEYQPNSAYDEWDNL >CRE07265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:659040:659911:1 gene:WBGene00058374 transcript:CRE07265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07265 MNAEEVTLSKSDLTAFGLQLADGIEFLARVPCVHRDLACRNILVTENKTIQIDDFGLAKKFNEKYCYKTQKGIYLPRPEKWMPLESINNDIFTEKSDVYSYGICLFEIFSFGKTPYPKVLAGKIAIFLENGGRNEKPKHCSDKEYDLMLKCWMNAPEDRPTFYECLQFFQEVSSNNVGCLFVNAAYDHFSVNWRSVFLLSLIAQKK >CRE07263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:652515:656875:1 gene:WBGene00058375 transcript:CRE07263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ver-3 description:Receptor protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M2I4] MRVLLGVLLLVYAIVAFQPPTIDVNDHFVQDHASIGRFVELMEHDTLVLKCHGRFDDLKYTFPNLNEHRGYNESGFEDRVEETIDEAFGDTMLTINDVRESDTGTYSCISMEHPSLNDTLHVFVYGSRVFLPLTSVMIMYNEGEVMVPCKTTKFVDKNDIELYANEVLVKAASKNYDQRYGYKITKKLYDVKPIQGVKFECRHKKEPRQDVDYIISENVRSSNTDNDFNFYWSNGHEWPYVGYNYTLICNLAYNGTQEFKAYENNLHIECPQCSNVAGSHVYVERNKKANENRLVAKLHIAHLELEDTGSYKCIWKNEYTDDKFIEYHLSNISPTRSQIKILERSPQILRIKEGRSTALSAKFAVFPADEDSYTATWSRMYNSSIKDGAQSETIITDETRTISAEDLKNGVFTETLNLGSGSVTTSMSGTYRLSISHINSSHSVQWEVAIENDEPDVQITVREPSSFIVFNQQFYPPDTHLHIDCISISIPPADVVFERKDTETGEFQAIDSSLLIEVGGTYEKGFIWNMTLTEDVELRCVSEKHGKKHITKKSIIVADEALKVNSKITKSKKATKSEEEDNAIYEGDHVRLTCVVPTGAVDWDVSWRFEGNDLPSSETKVKGHSKHVTLQIEDITTSSSGKYFCVVKKGDSEELLEAVVKVESISKPHHTDADSQSIVAVNYDETFVINCNMAGKPAAEFTWFKNGNPYTHGEQIGSLLKVTRARAEDDGQFHCLATNRAGATSNYIEVKVDGVPKGSSFLYWFFVFIVFLAVVAICFLVYKVSSWKKLAKQKDITLNELYNMIEHNAGPLPEEMKALPIEERTYYLPYNTNYEIDPVNLELLEPLGSGHFGVVKKGYLQMADPKSQIEYKTRLPVAVKSSTNPYNVELQRMMAEELKIMCAIPKHPNVLALVGAVTANMRKGQLFIVTEYIDGGSLKDYLFNHRAFFKNELIEEEEIPVDDSYMVPNSVKKKKYKFEDDSEASERLLSGETNYLCTSDLLSIGLQIANGMEWLANVPCVHRDLACRNVLITKTKIVRIADFGLAKRHTNKNYYRTKKSKDTPLPVRWLPEESFDLFKFNEKSDVWSFGICLYEIFTLGGTPYPGMDNLSVVKFVREGHRNTQPEYCHDDIFELMKKCWQESPNDRPTFSECIQHFKNHMEGCASSLIDRIDNMLHMEREEQLKLEEWTQKSRPDIPGARFKKSPKKQAAEERYLIVESHA >CRE07449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:643855:648942:-1 gene:WBGene00058376 transcript:CRE07449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pxn-2 description:CRE-PXN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M2I2] MRLAFLFLVLLPLSSACPAECKCSGLDVHCDGKNLTTIPAHIPIATTNLYFANNLLSTLTKSDFHNLPNLQYLDVSNNSIRIIDETLIDSFPGLKYFDLSFNKIKIVPKLSTAPNALVSLNLAHNEISKVDDDLVSNSPYLQTFLLQRNRVQSLSHDFFNVRMVPTLKTVKMAGNPWSCDCRLTHLKQFANSLLAHSNRNIFVVGKCFFPKELRNNVFRNVCLSLSFAHCSTYCMLQLSMENLKCGKPEFSRNDDGMYKMSCPNSEMEPYHYDPIFLENNKEARHTAHFARDKDGSLLSNGQFTRNYQCAFHRQKQSAHMMKKTTLSQETTTTTTTTEEPMTTSTMEEIMSSTTPEMLDTTEMPDMQSGSMFVHKQPDTSSRDGETLELMCEVGGDPTPTVTWMFGDQKLMESRKHKFTKNGSVLKIFPFLNTDVGRYKCIASNDDESETHVFTVSLKESEQPVIVDAPMDTNATIGQQVTLRCNSKGFPTPDVVWLFEGTRIPRRNTRYTISDNNVELTIEKVTRHDSGVFTCQAVNSVGSAVATANLLVGAELTEKVDKLLDDMTIEKIAKQAKQKVENALASTKDQRKMDKIESPNDLRKLFKFAINLKKMDLGKAREIYEESIRLVQMHIDNGLTFEANMISPNVSYEAVLPVSYVQTLMEKSGCQTGQFAESCDDYCFFSKYRSYDGQCNNQEHPWWGVSEMAFMRLIPPRYENGFNTPVGWEKGKLYNGYQVPNARKVSRVLIGTDETTPHSHLSAMTMQWGQFIDHDLTLTAPALTRHSYKEGAFCNRTCENADPCFNIQLEADDPKLHTGLYQKHPCMEFERNGAACGSGETSPIFQRVTYRDQLNLLTSYLDASGIYGNSEEQALELRDLYSDHGLLRFDIVSGANKPYMPFEKDSDMDCRRNYSRENPIKCFLAGDVRANEQLGLMSMHTIFLREHNRIASKLLEVNENWDGETIFQETRKIIGAILQHITYNDWLPKILGKATYDTIIGPYMGYNPDVNPTIANEFATAALRFAHTLINTHLFRFDKNFKETKEGHLPLHNAFFAPERMVSEGGVDPLLRGLFAAPIKLPRPDQVLNKELTEKLFNRYHEVRRNKESLQTCIDSQVALDLAALNIQRGRDHGLPSWTEYRKFCNLTVPKTWTDMKNIVQNDTVISKLQSLYGVPENIDLWVGGVTEKRTADALMGPTLACIIADQFKRLRDGDRFWYESEEMFSKTQLRQIKKVTLSKIICTNGDDIDRIQRDVFVYHGNSTQFYETCESLPDINLNMWTTCCDAMCSSSSTLARNAIGGDEKAKRRKRRHHPKKSCHDEGKRRKSGERWNHANDMCVECMCEDGEVWCKTKDFCKSRS >CRE07262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:643192:643730:1 gene:WBGene00058377 transcript:CRE07262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07262 MPTTTTTDSSSSDYEPTSTEEDDSTDKEYKKEKRLFWSDGNAGVEKNYELEIPGFNAVEAGYLADDETDTEEKEVEKVEIKHKKRSSEISKSSSLFLSDSDGFEERAVL >CRE07261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:640719:642332:1 gene:WBGene00058378 transcript:CRE07261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lge-1 description:CRE-LGE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2I0] MMDLDRLREGDWKSKWRAVANKYLKLHGKTTMSDQDIFNAYIHDYPTEIKSLPCEYNFQLGTLTKSDELCVETPLALHFNSQNKTVRRNYVVYNAVRKEIEGIDGSDLRRRRRSLKKRALPSVSKTDSKTSCEEYMPLQNFRTLPNALGRLMETAKLCLVTQFSKDRLDSFIENAKHWKQPISAAIYGTDQDMNEIVEAVKTLNRPDLVLHMVFKEPTDQTMSQDIYPINYLRNTAIKYSNCEKILMTDVDFMIYEDTQDLVVQSKDLKDKEVLVIPAFETAENNITDVTTFPHTKEQLVKAFFKKKINVFRGITWPSAHNSTNVAEWIIAEDVYSVNYEKNYEPYFIIKKSSCPMYDQRFGGFGWNKVTHVLQLRMMNYTFKVSPTSFMIHQNHNISNSLFRWRHDSHYQKCLHYLKKQFVLETADELGLELK >CRE07448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:640153:640556:-1 gene:WBGene00058379 transcript:CRE07448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07448 MLRFTLVSLVFLVCAANANLLDSIVGSEKKVVVVTDEETEKVQLASDNTEPSDETALAVIDNDTPIAAMDDLGAEGQSNGAVIRAKRYYGCGCGCCGCATVDPAATPAPCGCGCCGCG >CRE07447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:634490:636567:-1 gene:WBGene00058380 transcript:CRE07447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-10 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3M2F8] MLPSTITFLLLLGVSNGWPQFDFLNQMGFNGGFNMGQLPPNSPPGSRPGSPFGEIMGNIGGMMKGLTDQIGKITQGLDVNNDLGKMAGGPPPPQNEWMEHARRFCRRFPGHPKCRGQLPQFNDIGSMLNGILADTGKWLPKVPFINIRDPLAGINNDLRNALAGISVQFGQISQQFANNIKVRKVSVRNIHTCFQNICQRVNCKQQLQKNVQMKQDILKSTVAFEKKIFGNDVADKMNMRFDRTLQLKQALLEKAQLKGVVAPEDNGVFDKDLLLTETQANFMLNELGKGGEGAIPLPGSAKAKRASIFFEQNIIQKWPITAPIPYTFDSSLDNLDQNDVRGAISEIEQKTCIRFKYYATPPRGNHINYQKVNSPSFCGLSYIGRVEPANPVYLSFQCGNGRGIAVHETMHALGVNHQHLRMDRDKHIRIDWSNINPQQYDAFVVADSKMYTTYGVKYAYDSIMHYNAYTAAVNIAKPTMIPLVNQQSNIGLLGQRAKMSVADVEILNKMYCKAAGCDDKNVYCGAWALQDLCNNPNHNVWMRSNCRKSCNFC >CRE07259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:633161:634356:1 gene:WBGene00058381 transcript:CRE07259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07259 MQIVYCLSSPRLARSSLFISSFLRFNKSNIGESMAPRKDRGVNQLSKMIIEKDSLVCSFTSLPSGMFGLQKFLLNMSLMVDIAFIFACLLNYVSFHVGNDFYAVSVSFVHVGAVVVCIVILLATIYATYGLVVMNLRKLCTVLFIWLGHLACAGFFLVAVAIAWFKNFNYDPFSIQQSTCRFTRIPDACDVSLKQYLAAVSAFVICISLFKFAQILCLRALCIFSQDIFQYVDCESFYNRCLTEPDRRRKQAIRRAQREAQGNVAAAEESESDDEDVVVFERVTGRYPGGSTIKDNSIA >CRE07258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:632461:632838:1 gene:WBGene00058382 transcript:CRE07258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-19 description:CRE-NLP-19 protein [Source:UniProtKB/TrEMBL;Acc:E3M2F6] MLLRDFCLVLLILAALVICQDESEFKEEKRRIGLRLPNFLRYKDPEAPIVHKRRIGLRLPNMLKFKDTSNMYHLEKRRMGMRLPNIIFLRNEKKNVLEY >CRE07257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:628235:631794:1 gene:WBGene00058383 transcript:CRE07257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbo-4 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:E3M2F5] MSSLNVNHTLNNLCYTLQMREKLFISVLIVLVSTFVLCNDESILPSSATNVKQDNSERTNSVLASVLNLNLTETELDNFLDAVKQKHSEDYSDEHVVDEHESTGISVFSFHWEYVKNDLVLTLFFIVIGLFKLGYHHTRFTKKILPESCCLIIIGVVFGFFFIGDTTHQSIKFLEFSTKVFFFYLLPPIILESAYSLKDRAFIENIGTILLYAVLGTILNIVLLSLALLILIWIGVMGEISLSIMDILTFSSLVAAVDPVAVLAVFQEVGVNKMLYFMVFGESLFNDAVTIVCYNLAIEFQTLPHFSWYHGFLGLLSFLCVSIGGLIIGLICGAISSFITKFTTDVRVVEPVVLFGMAYLAYLASEMFHFSGIIALIACGLFQTHYACCNISYKSFTSVMYITKVCSTLCESLIFIILGVMLVNEREWFWEDWHPVFSAVSVILCVVVRFGVTFFLTYFVNQFTGGVRHISFQEQFIMSYGGLRGAVSFSLAFMITSNPDVKNTILGATYAVILFTNIIQGSTIKLFVKWLNIRLAKKDDHFRLFIEFNNGMVQHLSQGIEGVCGDKSMSIINRCSEFSKRFVRPLLEKNYDAKAKKEGKLVELNRAVAMREALNNSPSQSSFKRQQTLDEMAESGALPHDLMDEDHHHHGAGHPDTEEVDQRANELLKDVSTIRSLMHNPYEECYLDRNLTHEEEKEKAREKMKSLKSRAFKISSVRKTIGFFGKKKSVRRHATQQGLIHSAIATLGVQSVDRPSTSTRVSVEDEEQGLTMKEMEEEHPLMTIKESEETSF >CRE07446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:626178:626594:-1 gene:WBGene00058384 transcript:CRE07446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07446 MTVKIYIVCLLVSSVLSQWPYAPNHWYGANQGNIRSGIVPDYNPVPNVQGGTLAPHICGFNTFTRKCMDPEGYCPGKCMNFRYTYNTLYDCRCLAI >CRE07445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:620909:624276:-1 gene:WBGene00058385 transcript:CRE07445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07445 MKATVGFFALAALFCLADAGRISVSRGKNVTKIDDLCARMHNNTALEGSSPLMMMQATAYECQKKCVDIFPECSAVVYYYLHNETKKHFCYLFSENSVLEKVDLVEQKPENKKDIVRMLELVVDCHQFDAHPPLEEDGLASSTDKVDRKKRRKIISHLTKISEIFLSEQGDEAVQGVGDWTDWSHCTLNGHEVRSQACEYGRKIQRRGCPARSAPQRIPAPPAPQFPPRAPQYPSGQQQQQQQQQQQREQQQREQQLREHQARLQQQQQQQQQQQQPQLPQRPPQQPQSFSGSHELQLQLQRQQQQQQQQQQQQQQQQRPQPQAQPQQPLQQPPQSGQSQIQLQSGPSPPQQPQQPQQPQQAPQLERSPLDQHAQLYQQRMSQYRENYNQRHPARPKADPCPGGYCAPVPQAPQQERPTPPPVLAPVINTATQPPFPQPYPTRYRPAPPPPPACDGQGCVNPPVTSGVWHDWSDWSACSCTCGDGAKSRRRECSTNNCQGADFETEPCNMGPCQTWSEWCEWSTCSASCGSGQRERTRFCHLGTNRCEGKDYESEQCSSGPCPEWSQWEDWGQCSATCGQGVAVRQRTCLGGVFGDHLCPGPKTEQRAYDGGPCSLWSPWQEWSTCSASCGSGMKRRQRVCQFGTDCQGPNEESQFCYGPPCAEWTEWCEWSGCSSKCGPGQRTRTRGCLGPNGQEATTCQGPSIETTLCEGQSCCNWSEWCHWSMCDKECGGGQVRSYLIDKSLYYEFQSIRTRTCLNGAGSPDASCHCDGPDREERECNSQSCAPQCAWNTWCEWSPCSTQLACEVGVQNRSRQCVGESGCHCIGLAEESQQCRGLTPCPPKAPC >CRE07444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:615690:617740:-1 gene:WBGene00058386 transcript:CRE07444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07444 MKVLYLFCSYFLLPCVAEVEFLLAVWRHGDRAPENLPYPSDPYNETYWPRGWNQLTNVGIDQATKLGNFLRRRYRSSVLPAFDRKKITIRASDADRAIETAQCVATALFPPDGLQMWNEGKYKFWQPIPIRTNGKPDPMLRPSKIQCPYYQKIVADERKKIEADINEKYKAELEMISNRTGHVTRYGNIKDVYNIILEHYNGLPFPTWAEEKLNGRSLLDTITEIRRIARLQLFNSREKAKYMAGYMINSWSESLVLASQHLNPKKALLYSSHDGTLTALLYGLGISNHQLIPYTACIMIELHTGNNVKIYFRNTTSEDPDDVHELFVPGCSTDCHLSKFIKSVTGVRVKSLEHLEDICQSAFARPSTLIFVIIVVMLLIL >CRE07443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:598353:600771:-1 gene:WBGene00058387 transcript:CRE07443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dgn-1 description:CRE-DGN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2F1] MRLPFLALALLVTTAFTDTRVFIGELFEHNLGDNFTVASGKNNRPLPSWLMIRDQILTGIPTENDVGRHTVVITSRGRKPVYLYLNVEEEVISPCGTVNNTFWMETYHEQNATLANRIETALAMNELELDPEVKTVRVYSYNFSLINRNLEEISQDAVSGQYMAIWKVACEDFDDALTYMDDFVDREDVDYDSIKLAKGFFKPGVAPQPTPEPLEETTTQAYIKTTTEVVRTTRKIADNKPILLNRLPSFVCTRGEMCELRVPAETFKDAEDGDTFKMKLSVLALDNAEVWMINESNKGLIGIPMRTGEFNYRLEARDKAGQLASAPFQVNVKPALPTNHRVELEMDMPTPQQMATNPSKRNLLMRALARSLKSPVHSFTIQEIGSKNNKTMVAFSNNTIPYKVCDEEAVDAMASKMIMKQKMRTKTEFVKTMGNQFYVRRATMIRHGNCDETVEISTTAPTIQSMQEADSQLMLICILLFLLLVIAVAIIIYCACIKKNGKKKSTSTEYVSKGLPVVFPDEVEENDPTHAGTPMLAREERPPLKVSQHENPLYKPPPPIASNSPRLGHASSSSNQKLQSPFIPP >CRE07440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:584676:587990:-1 gene:WBGene00058388 transcript:CRE07440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nstp-9 MNELNYHMYLEEKAKEMRTCDNLTSGIDYMYTLISIGQGLTIPQIESVKEQLNMTIDTFPALIIPEQSKIGCAEMEFRGTDNTKNQILCLTGPKSEFRYEDLKTGPPGSECPNGKGENGLCFSEEERISNGFGPKEDLENREPKGGEEENSSSYGNSRLVFCFIFMMSGILSQHLLGTNPSKFVFITCLIIVIGFAIGSNNDAGNSMSVDGVIYGILASLAVALNAIFTKTILPKVGNCLWKLTWYNNLVALILFIPLMLFNGDVKRVINDTPGWTFWQMLFISGLFGFTMNYVTGWQIEAISITKSNHTSCICSDNSSSFQATSPLTHNISATAKSAAQTLLAVIIYQELKPFSWWFSNIIILFGSFLYTYARHKEMKFEEARKSKKK >CRE07439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:580388:584458:-1 gene:WBGene00058389 transcript:CRE07439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07439 MNLCLKRRMTAVQHQPIPDALQEKINLFRTATVLRRFQINTQSDALYVNLNYLAELSEYFHVLRTGSYSENLSERVNFDDVFTEELVTFLSYVCPEGFEFDRTINRYNISPLVYFSDRLMFPWVKQEIKKYLKSDDFKNEPYDTESLIDLAYLLHSQAYALVTINHCSMEQKEYIYSTVDIDPIFKKIARLSDVSVVDKAVAAVPDNSIRVFITERIIHFRPYTYQPRPQSFFDWNDTRSRLFF >CRE07256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:578707:579969:1 gene:WBGene00058390 transcript:CRE07256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07256 MFEEDYSSDILQALTNEFVKDLPSDFLQKLENIDHIDISTALNEVDSSEFHSHSIDFSTRPAGATDLYALSESEAYQVSSRSDVHTIPQELPITERYNKECHCFDCQNAVAQFNVKIQAIPCLPSAVTDNRTFIPSVSLFSKEVPYIICFQTQNRWNTTFEFSTFNRSPILAVPNNLKLNTKYNNFKPEDFSAHPQTLKEATKMLTAEIPEGVKVNTEYISKTMREWLWVSKISKETFITNILECNSTTYTRYLHLPPSFEEMDKFSYQRELYRVLYNWISFPEEVKHQIIKLKILDQREKRAYQILNYSKLTGAQKTDLLKIFEERCKERIPNFEETFPISKKMNASYKDVLSFFKSERAKITRKRSRQK >CRE07437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:572905:573893:-1 gene:WBGene00058391 transcript:CRE07437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07437 MNQDFKIIYFRDPFYYTVLFPDANGNENGNGVMKTPQRETVVSLGFTEDPIPPSHYCCNTCHIRKACRTVAIFAIIGFIINIVLYFMGISKLGLNGYLEAFLLIFDGISLVTLLCGVSKQRSGLLKPYLFYNTIWNFGMVILFLVFVYHMLKGTSDVSRNILENVKALRTNPDEYHFRSRESFTTAVLVTLGVMAAMALVIIINCIFLHVVYRTFQFFAYQEDKRREEMDKKERL >CRE07255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:571592:572575:1 gene:WBGene00058392 transcript:CRE07255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acbp-3 description:CRE-ACBP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M2E3] MSLQEKFDAAVEIIQKLPKTGPVSTSNDQKLTFYSLFKQATFGDVNTDRPGIFSIIERKKWDSWKELEGVSQDEAKERYIKALNDMFDKIAEELDVASWLEQIDPVIKTNLALIGK >CRE07436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:565531:568428:-1 gene:WBGene00058393 transcript:CRE07436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-suca-1 description:Succinyl-CoA ligase subunit beta [Source:UniProtKB/TrEMBL;Acc:E3M2E2] MIGRISQPLLNTSQKFMAPAARTLMLHEHHGMKILQDYEIKVPPFGVAQDSDTAYKVAKKIGGKDYVVKAQVLAGGRGKGRFSSGLQGGVQIVFTWYFSPDEVQQKAGMMIGAHLITKQTDHRGKKCEEVMVCKRLFTRREYYFSITLDRNTNGPIVIASSQGGVNIEEVAATNPDAIVKMPIDVNVGITKELAHEIAVKMGFSKDCEQQASEIIEKLYKMFKGSDATLVEINPMAEDVNGDVYCMDCKLLLDSNAEFRQTKLFDLKDKKQEDELEIRAAAANLNYIRLDGTIGCMVNGAGLAMATMDIIKLHGGEPANFLDVGGGATVEQVTEAFKIITADKDKVSAILVNIFGGIMRCDVIAQGIIKAARELDLKIPIVVRLQGTKVEDAKALIATSQLRILPCDNLDEAAKMVVKLSNIVDLARATNVDVKFELSI >CRE07435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:561424:564186:-1 gene:WBGene00058394 transcript:CRE07435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-haly-1 description:Histidine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:E3M2E1] MTVDSRKKVQPEEDCEDVTKQFPPLLTSFLIEQSSTTGSEKMRLQVQIGTECVVVPCKPEDTVHTVALKSVEKLRRLRPKLPLADNYFEVRRTIGNSLLDPEDLVSDVLKDSDFIIVAATIEETEDAKEAKKQEEIDNARAELEKIDHRRRKVSFADSLAPMVLAPPTRLIILDGNSLLPADLVRCEKGECAIQLSMEAEDRIRKARTFLEKIASEHRAVYGVTTGFGTFSNVTIPPEKLKKLQLNLIRSHATGYGEPLAPNRARMLLALRINILAKGHSGISVPNIKKMIAAFNAFCVSYVPQQGTVGCSGDLCPLAHLALGLLGEGKMWSPTTGWQPADVVLKKNNLEPLELGPKEGLALINGTQMVTALGAYTLERANNIARQADVIAALSLDVLKGTTRAYDPDIHRIRPHRGQNLSALRLRALLHSEANPSQIAESHRNCRKVQDAYTLRCVPQVHGVVHDTIEFVREIITTEMNSATDNPLVFADREEIISGGNFHGEYPAKALDYLAIAVAELAQMSERRLERLVNKELSGLPTFLTPDGGLNSGFMTVQLCAASLVSENKVLCHPSSVDSIPTSCNQEDHVSMGGFAARKALTVVEHVEAVLAMELLAACQGIEFLKPLMSTAPLHKVYTLVRSVAPPLNEDRYMKPEIDAVIEMIRENRIWETVLPHLETLEAMEELDPDALRQFTKTPTGIVQDRSIIPISDDEDSHSE >CRE07254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:560076:561432:1 gene:WBGene00058395 transcript:CRE07254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07254 MDCEETNTCYGVKNHTVLAIIQEALQPGTPFSSFLLAVEIFICIVFFISIPYTRKDSIFCFLAIVFAPYLVKTCVEAAFFVSIYFYTATYTFWSWVHDSVVSFCYSSFLFNYQYGLVLYSWQAVYTAIKKKPVSKSSTRWPYIVIFFITLVQSAIFWQILTIGLISALLILFLAPFTILLVNLQHVIRKEFNHFQTFYCLLFRKTDKLSEYQVVRDVKSRLIWNMPCLLISMADIAAELYLNFRLNAYKTTAVQNKGYIFPIVFPDLVLITMFITLPALRRAIFPCCFPNSTDNNSDRMFLITRSDSSNGSSAASERSSISTVTNQPIVTYPNNSVVPYNSGNPQYPYYPMPNMYPQGYGPGCTPEYPPGYSQGQPIFFPIPITFYNPSHVPTRSNDLPAVQ >CRE07434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:557651:558156:-1 gene:WBGene00058396 transcript:CRE07434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07434 MGNAVYSDQLVCHDTIDTCRSHCDKSECIFIDNCNKLGQKYICAPMDPRTVMWIIIAAFAITVLACSSLVACYLIRAIRRAYQLHRDNYEDHEINFQMGRGARVHHIEMPVRTTNKRPRERY >CRE07252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:557001:557490:1 gene:WBGene00058397 transcript:CRE07252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07252 MPAVSDDLTGSLNLITHGDDLVRYDRLVVRYRELIRGLCAVIEMECLSEYDYIIEIYDSAVNLGQRVINTEFPLATTSEDFAAIIIKMAEAVNRLEAVERVLQMCFSNNFIQLR >CRE07249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:551471:552044:1 gene:WBGene00058398 transcript:CRE07249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07249 MNHQKRVISMEEYMFDIEEFSEIRNYHFQLADGLDLLLCDTKVQNHIEFPLQIESLKRSGAFIILHANENYNKFTRRLEDVNEDMLVLTSYIVRHLYLDED >CRE07433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:540725:545535:-1 gene:WBGene00058399 transcript:CRE07433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-6 description:CRE-PGP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M2B6] MEENSNDSRTPLLQLSDEKKSSKLISFIRVIFKCTTCFEKLLFFLGIIFSLLTGLCQPFMSYTFGEVSQVLVTITNAINNKTMDPADLEKAYEVFEHDMNSVILHFFLCGCAYFTFGSLQVQSITKYQSMKLFQFSIMKFVGDNTTYRVRKQYISRLLRKDAQYFDSMSTGHLSTVLNDNLERFREVFNEKIALIIAFVTDFTVGTALAFYTDWRLASYGIIFSLGIAFSGLINSASMMKTTDKQNTHYANAGSIAFQTLGAYKTVCSLNGQRQELERYTKELEAGEKYGFQRALAYSISRGVTYFFCNALNTVVLYFGANMIYDGTLQTATVVRLFHFLLFGAFCLSEALPHISRLAAAISSTAPIAEMLTSNDNEIEKDEENEEDASDVQGNISFQDVRFSYPTRPDAQVLKGITFDVKNGECIALVGASGSGKSTVVQLLLHYYNIDSGNILIDGVELNRMNLKKLRRVIGVVSQEPVLFNTTIEENIRFGNPDASLPEIYGALRKANAYDFVCAFPKGIKTIVGERGTQLSGGQKQRIAIARTLVRNPKILLLDEATSALDNESEHVVQKALENASQGRTTIVVAHRLSTIRNASKIIVMQKGEIVEVGNHDELIAKQSVYNDLVQAQLLDSSDDHNDLPPLAARQLSHELSPLHSYSFQRSTSTDAGVHDDDMERLLDELTQEGAKKSNLREIVKMCRPDYCILFVAVFGSAIQGISYPILAQLIVRTYQGYAMQGEDILTYGHFWALSFTFLAVFRPLTLYFQYYYFGKVAEKLSTRLRVKSFKHMLSLPCAFYDDPKHSPTKLSNRLNTDSSNVKAAVDDRLGCVIMTLVAISIAVVTASLYCWKMTLEVLLFFPLLYLAEYCYEAATENAIQEDTIAFENSNRTAIEALENVRTVRALNLEDKIMTLITNHLQKIRNSFFKRAIIQGAANGLSMSCFLFVYSISFKFGTYLALKKEVQPMDTYLVLMTLSMTANMAGSAAAYLPDYKKAVHAAGLIFNLFTYPATMPYDSTDGKKNIDKGEIVGENLQFHYDQRPDRMILNGVNLKVEPGKTLALVGPSGCGKSTIISLLERFYHAVDGEIKVDGENVEYINLHHLRANLALVSQEPTLFNSSIRENLLYGLTRSVPQLEIEKALQTANAFHFVYQFPQGLETIVGERGAQLSGGQKQRIAIARAILRNPKVLLLDEATSALDSDSEKVVQNALDTASERLSTVIVAHRLSTVVNADSIAVLKNGKVAEQGTHEELLKLRSIYWRLVQKQGIQAQQPTD >CRE07432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:531161:540508:-1 gene:WBGene00058400 transcript:CRE07432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-5 description:CRE-PGP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M2B5] MENNENELLLPPENQKKYSNFTSFSLVVWKCTSKLEKFLFLLGIASAVLTGLCQPFMSYTFGEVSQVFVKITSAVNNKTIGWFGRTDYSSKVFFPDPSDLDRAYEIFHSDMNTVVIHFALVGFAFAIFGFLQFSLFKYVGDNTTYRVRKEYITRLLRKDAKYFDTVSTGSLSTILNDNLERFREAFNEKIAFIICFTTDFIIGTSLAFYTDWRLASYGSVFAVGIAFSGFINSASMMGSTGKQNTHYANAGAIAFQALSSFKTVISLNGQKQELERYSNELKAGEKHGSRRAFFLATSRSVTHFFCNALNGIILYVGADLIYNKTMDQAVIVTVSFPEQYFQGYSVFQLFHYMLFSAFSLGEAFPHLSYLSNAISSASPIFQILTSKDDVIENRQTDHSDDQRTIKEGNIKFEDVRFSYPSRPDSQVLKGITFNVKKGECIALVGGSGSGKSTIVQLLLHYYDIDSGKISIDGLELNNINLKELRRAIGVVSQEPVLFNTTIEENIRFGNSEVSTLDIYEALKTANAYDFVCAFPRGIKTVVGERGAQLSGGQKQRIAIARVLVKNPKILLLDEATSALDNENEREVQIALRKASEGRTTIVIAHRLSTIRNCDKIMVTSEGKIAELGNHDELIARGGLYKDLIQAQFLDTAENQGDVNNKKLIDVIAATSIDNRISDEELENILKDTPEEQMITSSIWEILRECRPNCILLFFAIFGSAIQGFSFPILAQLIVRTYKTVVYSIFCIQAYAMEGEEILINGHFWASMFLVLGLFRPITLYCQYFFFGKVGEKLSTRLRIKSFQHLLSLPCAFYDESKNSPTRLANRLNTDASNVKAAVDARLGSVLMTLVSFMVAITIACYYSWKLTMQVLLFFPVLYLAKYCYEKTTVQSVKQDSLAFEKSNKIAVEVLDNIKTVRSLNMEEKVLEMMTVQLRELKRKYHKRAFVLVYFRFGLASGFSAGCSQIVYALSFKFGTYLILQKEVLPMDMYLALVTLSYTSNMAGSAISYLPDYKKAIHAAGLIFNLFTYPATMPYKSKDGQLNIDNGEVKMKDVKFHYHQRPDHIVLKNVNLLLEPGKTLALVGPSGSGKSTIVSLIELFYRVDNGSIDVDGENVENVNMHHLRSSLALVSQEPSLFNSSIRENLVYGLTRQVHQLEMEKALITANAFRFVFQFPNGLDTIVGERGAQLSGGQKQRIAIARAILRNPKVLLLDEATSALDSENEKVVQNALNTASERLSTIIVAHRLSTIANADSIAVIKLGKVVEQGSHEELLKLKGAYYKLLLCTSPFEKLLFVLGIVFSILTGLCMPFESYTLGETSQVLITITNAINNKTIDPADLDKAYKIFEKDMNRVVLYFFLCGSAYFTFGFLQSRFQFSIMKFVGDNTTYCVRKRYISCLLKRDAQYFDINSIGHLSTILNEHNFSNLERFREVFNEKIALIVALMTDFTIGTILAFYTDWELASYGILFSLGIAFSGLWNSSSMMKTTELQSMHYADAGSVAFQALGSYKTVCSLNGQKREVERYTEKLIAGEKYGFQKAIFNSLSRGLSYFFSNALNTAVLYVGANMIYDGRLQPTIVVRVFHYLLFGSYCLNEAIPHISRFSSAISSTAPIADMLFPSNQMFRLGHDNFFQNDNVIERGDDTPHDESEVHGDISFRNVRFSYPTRLETEVLKEISFDVKIGECIALVGASGSGKSTVVQLLLRYYNIDSGNISIDGVDLKEINLGKLRKVIGVVSQEPVLFNTTIEENIRFGNPDASSPDIYEALREANAIDFVCAFPKGIKTIVGERGTQLSGGQKQRIAIARTLVRNPKILLLDEATSALDNESEHIVQMALEKASQGRTTIVIAHRLSTIRNANKIIVMDKGKIVEVGNHDELISRKGVYKNLIEAQLMNATEEQNKPSLINEICNLTSRSISVLKETADEENVQDDEIKRIVNELKQEGAKKSNLREIVEMCKPDYFILYLAVFGSAIQGVTYPISAQLIIRAYEAFAMEGEDVVTYGHFWALTILALAILRPLALHLQYYYFGKVSEKLSTRLRIKSFKHLMSLPCAYYDDPKHSATRLSNRLNTDASNVKAAIDDRLGCVIMTVVAIIIAVITASIYCWKMTLQVLLFCPILYLAEYCYERMIDSAIEEDALAFGNSNRVECTCFIRFERCVLQTAIEALENIRTVRALNMEDKIMSLITNHLQKSHKSYFRRAIIQGVANGLSCGCYFFIYSVSFKFGTYLALRKEVLPMDTYLVLMTISMTSSMAGSAAAYLPDYKKAVHAAGLIFNLFTYPATMPYDSTDGKKNIDKGEIVGENLQFHYDQRPDRMILNGVNLKVEPGKTLALVGPSGCGKSTIISLLERFYHAVDGEIKIDGENVEYINLHHLRSNLALVSQEPTLFNSSIRENMLYGLTKSVPQLEIEKALQTANAFHFVYQFPQGLETIVGERGAQLSGGQKQRIAIARAMLRNPKVLLLDEATSALDSDSEKVVQNALDTASERLSTVIVAHRLSTVVNADSIAVLKNGKVAEQGTHEELLKLRNIYWRLVQKQGIQP >CRE07431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:521833:523550:-1 gene:WBGene00058401 transcript:CRE07431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07431 MLLRKTCFILLISVFAVSAQSIDEEERVHVGEGSANGITDDEDTDMEGSSLPPDTFYATTPQLRQKFVPSTRMETTTTKIVTFTTSDEIVTSPRNVIEVTTSTDSRPSVPFSEGFLLFGTTGVFIILGGVMVILIILIMIILCFKAINNKKQAYRPGQRESPDALLKE >CRE07430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:504845:514473:-1 gene:WBGene00058403 transcript:CRE07430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07430 MRTARSTRKLRRPFFRDDRKPSVTQNLSEIMLEAIHYEDVNLVERLLTAHSSKQPMSTSPSIGSTNTLTDLAQRRHGAGAHRRSNASSTVSTHSGGRSTCAMMNTLHMAVAHKQRDIVELLLKNGYDPNTPASCHCKGNCTATGNIPLTSIIPRTHSMTPELCSTCSQLRVVSIVDQTPLGVAVRSQSSELIALLIAYGGDVNLGDEDGNTPLMLAVRESPLSWPCLHTLIFFGAQIEQKNMRGICPLDLAPELKKLQQTCVEELFKNACSGDSEPPSRSTPGPSAKNWNRLQVDNVSQGERSLSKAPLSPKPSAAASVSTCSMLETSSAKESARRKSLVSLQLHRKTRNVKEYPVIDCVSWEQAWELLKKMACNPECLDNIVNSLSKFATQMENTPSSVDRDQFDAHMGGLLHKMIHTAIEEYESSTPTYKKQKKLHLTWLLSQIATFCFTFLQKSGTSRQFSALNTLNKIIDAGLVHDLFAFNDVIFHSSRILNRSHAFDSDEPPTPSGESFNSNADQNSTVDHVFIYGAGYKDQSLLPSPTVAPTRHKSDLMNSFSEMDPSQVIVALHNAITMQNREAGSRSVCSPAHRWRQCCAHCTQILVARLLLFLTHAKKFRSRLSDRHQLRSLVALLEPTLEPQLLCLLLQVLALIALDPSTHAVLIDIQIDDVLIQMLLPADDWYYTNHSTKYGTFVKYHAARVLVYVGMGDRVGSRVNLFSMMTFEPSAKSGSQQNEDDYICETCATPRSRSTFSRSAVSVEGVLLKVLAEVAELVRQSQHLSASEPITEESPSAGSPPAVLPNQAEQKSIIEKNTEKLTQLGCQILISLEQLEAHLCKLGLVLDSVLLLRLLLHKLSWDLGLVTKKRVAVVDHVYKPITDPRAHSSCSLGNNRNFGKSKSFDRREDSKRDKNYLRVDQGSRASKRVHIRRSSSVEIIRPKRLSSGAKDIKNRERRKRLGTDTSSGSNRSKKTNSSSSSVQKHLPKYIQSLFRSRMGTDPCKRTSRSESTLPHRDSNESNTSGSDAVLEFTRKLQNYPLTRREAQRLTYRSNGQQDSNDSKIGPRTMGYVYLPELEIQGASPPRSPGPLTGNSLEEGTTMLLDTRRPSSPQAIPGLPQIEIRRPSALSQFEFGYFVNSPELSGSEVSDCAPLLLSGQIGGSRKSSDETSLGGWSSRASSVMSQRSSRSSVGLRLSTFSGGTSIASDNSGPFLFSFVLRKRASTIGTRIPIPRRALSRSSGDSLRVPDRESPLHLASMTEMNPDFQCVRQLILNLLNVYTKRNDNVVSTMKECADVLRQILNSPQHPTVKNWCAEIIHVVSTHVEEEEPTTAENNEQINDEYLEVSDEIDIFLLFSYWKRHHFVFFSFLRVLCFFFMLKYFDSSFNTFHRFLLFHLFIATLKHDEQELVRRATIGALFPNGSVPRRTLHDLPSIRELMDETDLFDTETETENETELDSDSEIILPTVNVTVPTDGTVRNLEEFISNYEEHSPELMAPVKDYRAPRRSLHIGTLLPETKQLTAKLKRSKSLPKIQNMDQIKAAIRRKSSLRKWFATRTVAGRRNSNTNNYECKRTSITHEDFLAQMRNVTRNTLKHLLFPDRKTEVRIVRMTNEKFYDVDTLAQQIREMAIAPAIDSSEQGPSTK >CRE07429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:501631:504581:-1 gene:WBGene00058404 transcript:CRE07429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frm-9 description:CRE-FRM-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M269] MISTIFENFIQKFNIKTKKKKKSQLNPNQQKKHVNPYYTILTIHHDEKKIWELIQQLPSTTNFFVFDYWTIENEYEKCFKNHLCQFTCYCNSIISRVGDSLNFSRNESDDSRSILFSIDYLIDHKLLDKMVKPKSGKKVVIFKFQDQVISGSLPCPKEEAAYLASIQLSVEEQWPSNKRTQTIRRHLLKGQFGRIRDLAQKIMVTPWEVDQNLYCTPPRFPNESANASRAQSVVEEIQHRSRTPTLLRCITNTDGLMSEEMQAQCLPVDLRGDRRTIKLVKDRKRKLFHSQVYESEIGMKKLYIQTAKKLAAFGCKVFQVKELLHGRTLRKKLRLPSTYLPIIQSSVTSDDLQNLFTFLRVSLATFSVSFKKKNQATS >CRE07428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:489165:499573:-1 gene:WBGene00058405 transcript:CRE07428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07428 description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:E3M268] MNWDTLKGVLKTRRLTKRTIPAYIHPTSRSDSTSSTQSATAGFILNEEPITLFRLELERLQYILHFPEEVAFQLSSTEYQLFYSIQPMDYVRYVSCDLTSVPVSENPSPVRNLVKRLSEVSSWITHVIVSQPTHDDRKVALTAILRIVETCWNIGNFNAAVEVLMGLKSEKLRPFWLSLRQEEKSQFDSLCETLLPANQALPSQAYINAVQRALRMPQSRVIPFFGIFLRDLYAIVNDLPNIVVIGQEGETQKLEFMSDPNGEDHFSSRIGVGGLLNADKINLVAIVLDNLELFHRHSRTMIKLLEDQAIATTQIPQNEREQKEKEAKTYEPVQVVRGSSHGVALIPLDTLTFDLDVIQRLQHGTTVIHYEPDSGRSNLCLLRLDPSCGQINWHKISYSVNKDPKEKDVLAKVSVSNLQPLDSGRGAASPMPSGRTPGTGGVGVEEGELKLSVVKGVELVDSYDIDIEAIYRRHSVEEMSVPVSCWKVSHGQLLSDNEFIYFLAPQQIAQFWTNGLQSVVRSLQGQQKYPDRRMLWIKNVYLSLYEITGEANCGPRPFEALQAFGGLKNLKNAMQKKLRGASREGSRSQSPQPHSPLVRPPSIKSQISSQSGPPGPNSPGYLLKPRGEPANSDAGDLDSIYTPRSRTPTSSSYGGRSVGGRSCKSWRSRGGETPNSGSISSSGQMSIQVSGLSGPSGKEFQEKPLTLVEFAELFRLFNTRMRKDLRDVFNDVLSTATTPQHCPKRERDRHSPRMQSRLASVSNSYNADFLSNGERDNGRLIASELSLLDFLTKNTAVTSHHISEKQNKIYNALALASVNSMGGLMDTSRSSMLTPQMLRAFVNTHQMEQIDEQTAIKLIQDHEPDATCRQKNQMSFEGFTRFLCDPVNFAFVPETIEPDEEDLRYPLSHYYINSSHNTYLTGHQLKGPSSSEMYRQVLLTGCRCVELDCWDGDDGLPLIYHGHTLVSKIGFRQVVEIIKKSAFITSDLPVILSIENHCSLQQQAKMAQMFKTVLGDLLVSNFLFEADFSDSPRLPCPLQMKNKILIKNKKMIGKKSESNLEN >CRE07426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:481255:481743:-1 gene:WBGene00058407 transcript:CRE07426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07426 MTTATRNIFLQSVAKGNTWDCTLETKAQAVIKECLADNGGVTDGTLKLSFPVTGTTTPEAIIQQFQTALQLASSNSNTKAAGAESMGCSYAKCEQRVDVLCLYSRSTTALPLP >CRE07425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:478266:480781:-1 gene:WBGene00058408 transcript:CRE07425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vap-2 description:CRE-SCL-22 protein [Source:UniProtKB/TrEMBL;Acc:E3M249] MTSSTTATEASASSWWWIQNQKTRYALCLLMTIMNVALFAVIIFCIFYFAQALDVEGSGDDNLIEQSVWNTVDDKVVEALGGLDDELLTEHVCNESTITQLQQEIILTTHNELRRSLAYGKQRNKRGLMNSARNMYKLDWDCELAAIAANWSASCPQHFMPQSVLGSNAQLFKRFYFYFDGHDSTVHMRNAMKYWWQQGEERGNEDHKNRFYARRNYFGWANMAKGKTYRVGCSYILCPDSESALFTCLYNEKAQCEKEMIYENGKPCCEDKDCFTYPGSKCLVPEGLCQAPQMTKDTGDSMQCNNPQVSDVTRNFTLEQHNFYRSRLAKGFEWNGETNSSQPKASQMIKMEYDCMLERFAQNWANKCVFAHSSHYERPNQGQNLYMSSFANPDPRSLIHTAVEKWWQELEEFGTPIDNVLTPELWDLKGKAIGHYTQMAWDRTYRLGCGIANCPKMSYVVCHYGPAGNRKNNKIYKIGDPCEIDDDCPIGTDCEKTTSLCVISK >CRE07246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:476215:478093:1 gene:WBGene00058410 transcript:CRE07246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-14 description:CRE-TTR-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M248] MPKILKLLLAFFLFSEVGAVQQAVTVKGIVNCRGHRQPGTFVQLYDEDSVFDSDDLLGSVIADHRGVFCVKGATEEFTTIEPYVFIEHNCGYEGLNEKRVFSKMIPIEYITEGPKAKHVYHMGDIELLTADAPVQNYEKRIYVETNIDERIRQCIPIYVQYKRFYEKTIFETKTHETILPTDEEVPAEIEEPVVEPTEGDDGIAEVVEETQETEEPVVHEVSDEEKRLEEERLRLEEEQKRLDEIRRLQEESLRLEEIRRIEEEKKRIEETRRQEEEQQRIELERREEERRLENERRAEEERKREEEAKLQEQRRKEQEEEHKRRMEEYHRREQERQEALKRREEELKRREEEEKGRIVSSSEKVEKKDPCVHYPVLTQSQSISRSLEARHEYVEDPCLRK >CRE07424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:473651:474567:-1 gene:WBGene00058411 transcript:CRE07424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clc-4 description:CRE-CLC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M247] MCSSLTQLVFGVMMLGAIALTLGATFSNKWRTVEAGLLEAYENIQTNHTERLTGILPFFCENEAAGCAAFWKEMKPYEKIVAICMIAALVLEIVAFVWNFLTSCTCCFKKYLLHPLSPLSFLITLLLTIAIGVFYYYSDEVKNDVNIQQLFDSDAKDLNINIGSAFWMAVGAWCLAVIDTILASFAIFFAEHGV >CRE07245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:460524:473151:1 gene:WBGene00058412 transcript:CRE07245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sma-9 description:CRE-SMA-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M246] MAFAPGSGGGGGAPQGQQQAQIMIPPNIPAEAYLRLTQQQREQLNQQRLLQAQLQTNGPGGLAHQQQQQRQNQERNQQQQASQQHQHQQQMHHVQQQQQQQREIQQRELQQREQQQKELHQRELQQREHQQQQQQQNPQQNQQQLQVNAQLLQALATPQGQSLVNMLLIQQALQAQQAQQNQGQTPQQQLLQQQLAQQQAQQLAQQQAQQQAQQQLAQQQAQQQARQQAEQQAQARIQAEQQARQHAEQQARLQAEQLVTERLQAQNIRDVNPEQFAQMVAYYQQMQRDQQQQQIQLREQRQKEQLQQQQLQQQHHREQLQQQQLREVLQRQQTPAPQQVQHQMQQLTQQQQQQLQQAQQQQLQQLQQQQAQHQQQQLQQLQLQQLMQQQLQQQQQRAQQTQNQGHATQRPSVASTPLPGASTPQLAEQLALQAQLQQQLQQQQQLQQQQQLLQQAQLQAQQQAQQQAQQQAQQQAQQQAQQQAQQLAQQQLAQQQAQQQAQQQARQQAEQRIQTPQGKTVSQALQYIQSMQMQQGTEGTSNNAESQEEMLAQMLNEQQQQMLQNQAREAQHRQMLISSTPAPRGGLTMGTPIGIVRREASTPATLSSLPVVTTTASRVAVRTPIAVQPIKQNSNPSILPSSASASASGSHQILASSLSKSFDQPSTSKASSGSGGNESMSDHISRIISENEVILQGDPHSNFSTLTGSTRTSPGPKDSRMLQAASRSQSLFELSGSKHFMGSLTSGQPLLRSIQTHNDPNYTPECIYCKLTFPNEAGLQAHEVVCGKKREFEKAQQAAAENNPHSALKRRHTHQDATFAMNSPLAAATPSSMPGPSEPVKKMRKEDSFDDDNSPSTSDGTRSSFPKSLPKEWEKHMLTLNNLASIIPPFVQAFLAKAIQSETLTLSPGALQHIYDKYNISPIAIQQFLHFASQLNNRQLEEIIAECEKLYLRNAEEWTNQGIVSSLIQPLPADDLEIVEMIKQQDALGKGQVPELLVSTFAILDHCSRRRDITDPAMNHVVIKLLHANGKDITDVPMRAFNTLDGCNDRFISHMRELHKLNDVNDRLLNALKTDPQATTTPLFHVVNEQSACLKFLLREYGMVHLTIIASVAKILGISSQENDGVDEVEGEEEEQQPGCSQNGQTDHNGQNGQNDQNGENGTVAFNGVVIKQEIVTPPPEYKNDDDSDDDCIIEVIDEDQQRQIDMAAAAARNNRNAAPMSFLDPDQDEKKPPKMMLQQMQQQFAGGSQMGNQGAGYTGGQVDGQEIKKELLVPKNEEPSQQEVVAMSLPLRLEDLRLEPQTSGDTDKPYWLVIDGDIGGRPSFMAAGTQSRANRTRNITSETYVTVPRQQPTFAEQDGTYSMYANWATPVHNDAETKMNLSFMGMVSLRRRTGQHEFWKYTTANKDLGHYRMTHSSFWDISTKIRDRQASMSDEKPLEETQDYDAQFIERLVGGNYTASDLQSSSTSTIAIPILMAPEETSSDQPGPSRLPPLLMRSPRPVTPKLSIPQVEEAKQQEVIVPVSEEVAQINEILTAPVEVPTKHESDVEVNMEKSPEARPSVIINDDVGRFRRDRPVKYVSRIRPKHDQIIGGHRTDEVYVYVRGRGRGRYICDRCGIRCKKPSMLKKHIKSHTDVRAFNCTACNFSFKTKGNLTKHLSSKTHLRRISNIQAGNESDGTTPSTSSMMNMDEGYHKNQPLFDDYDNNSSDEEDYDHLNGMQAEHKFKFGQEHILMERNAHTPPTRWCLIEAENDHYWPSPDRRNCSSAPPVAMQRDFDDRAMTPISGASSPFPNALARSPFSSISPRSRLVSENQFNDSAFSSASQTDEPSSSQNLNFHGHSSSHTNNLMTSNVNFFKKDDEWRCDECDRTFRKEYEMTLHKHTHNIELQQSKNRMYQCNECRMLFRTKALCSKHLEKTHGHNVRLVLCLLMHLSDREIFQMDDSMITCIDPLATTQSVLGGPSTSNPRSFMCVDCDIGFRKHGILAKHLRSKTHVMKLESLQRLPVDTLSLITKKDNGACLNDIDTTDCERARISLLTIVEKLRSERDREESSSAQPSVALKPDLLRALAANTPQLPAATAPSSSDTPPISQSLPVGVASSSTSVSAVSATGSPSNVSCVSSFNNSTMSPSPTVIPQVFLTPQPQSLSELCSTHFIEKSMTPEIEISIKQLNNSPKPILRIPEVPAIVNSQLEIEPSTPPAQLAKHLHFSHSANTSPVMSSPAIFIQRKRSESSLDNPGPTLVKQAKVWNPPPPEPAVVAQQQVFISPASELCSTPETMTIRPHANRPKPIPDTTNCPICHHDLPNPIELQTHIHVDHFQMRDGAEYRCPRRFCGLNYETIERLRTHVRNHYLSDYQKLLEERILLTDVVYPSEDPTPETPSSPKKDEVTCNKYTTPFKLISDHHEVYTDDMGPSTSSQSPKTSN >CRE07244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:447134:449699:1 gene:WBGene00058414 transcript:CRE07244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07244 MQPSAIPDTLHTPPLSAPSVPALIRPVPLNAKDERFRAQKALFMAASNYAKMTEETGNVLHARESPNALNMLQKQFGGEGQTPLNPFRAIGLEPPRAEPKPVAPKKMPPNGGSVPPILQHPPLSGFPLHFMTPLLMSLPFSGLSTAQFAAQCNQDLTYQLFCFLYNSRIAASIRGLPQLSAVPAPAGQAEQPEEERNRKRKNTEDGPKNEKKSKTSDSEEIYLHCPPEWLMPILPFKCLD >CRE07423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:444374:446993:-1 gene:WBGene00058415 transcript:CRE07423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07423 MGVAAESTDDSNQQQQFDNTLRNFAVEVIIYKSEIRLGSNVLPIVPDCPLGEHSRIQVIEGVEVAREATITFQVAILEQCVQACRISTYADGSRLPLLCRSAHFNRASRQCSVYSDAINPNGYLEYKPNQNVIYIEKICIPDTVLPMSCDDVFRRIPQHILLGHASEVISVASENECVLECIKAKTMRSVACHSVLHYPDFSSLNCILNVHTRHTKNQYFTPELAYKVDYVELGSCVTNAASENQPGVGSVTSEWSEWTHCDEQTSSRSRQRVCNGCNEIIQFMPCFSANNFDVALQKFIKEQQQKEVIADEVAKSRVIEAEKKLLQQLDANPTVIEFRTLKSELLQCKYYFPAQKVASAAQIPKNSVEFFGPPQAPSQPAQPLNLKF >CRE07421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:440433:441337:-1 gene:WBGene00058416 transcript:CRE07421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07421 MMSYKKSIFICLRSVFFVIVNQQQTRIMSGSGPPMNFPHPDTSSSHSQNIDMDTMENRHDNITGRTQQTSHMFQNQNGQTAQASQNYLPQFHTRTTYTYDSDPFFRPSNAGNSSSTSNACIQKTEEYADFLMEHSQMDVVEERQELEVCDCGGCNNDCDLFGEDMIEETVKEEKPCKKAQKRKMREDTQSDGTDAKKKHLN >CRE07419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:432669:433461:-1 gene:WBGene00058417 transcript:CRE07419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07419 MTDGPPLMLNRAFFERKENFLKILQLIFGFINIFTNYWCYPNPHFVYCGERYFTSSQVFQLVVFNGFCLFLTLSMILANIAGAYDAFYKTNPYLLERYLVFLQTFLYTVACITLIVDYENSPYAKAFTVPLISTTFTLLAYVIDTTMQCRRKNPLGH >CRE07243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:424837:432207:1 gene:WBGene00058418 transcript:CRE07243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-36 description:CRE-EGL-36 protein [Source:UniProtKB/TrEMBL;Acc:E3M239] MLDAFSFNRFDSNRSSARRGSRRGSDYFGDKGISMDERIVLNVGGVRHETYQATLKKIPATRLSRLTPSLANFDPLLNEYFFDRHPAVFSMILNYYRTGKLHYPTDVCGPLFEEELQYWGLDASDTEPCCWMQLLHAKDTQETLAVLDRMDADHEDDPQLREQDTMKKFGWEEDYFQVSYIFFVKYFNFCCFQGKRTRWMKLKPQVWSLFDEPYSSQAAKLIAGISVLFIFISIFSFCLKTHQSFRLPILTGQNITMPGGMIQPSIERISTEPLPIFGQIEMLCNIWFTLELIIRFIFCPSKVRFFKSPLNMIDLVATLSFYADAMMVRVVEDEPKDVVEFLSMIRIFRLFKLTQHHQGLQILIHTFRASAKELILLVFFLILGIVIFAALVYYAEKMEANPNNQFQSIPLGLWWAICTMTTVGYGDMTPHTSFGRLVGSLCAVMGVLTIALPVPVIVSNFAMFYSHNQARDKLPKRRRRVLPVEQIRLQARRHAAVLEPSASQGGLGGGSAIRRRNMPILIDQNCCDEENHNHKHREKSENSDEGTNSSSTAGVDTVVKLGPSETAITTTVIS >CRE07241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:418286:419355:1 gene:WBGene00058419 transcript:CRE07241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07241 MSETLNEETKKLNASIESFKVTSSEFRAKYHSDKFKSADTASKAEALRRLVQFYFELEDVLNTARSVARYAKTLEKSEKTEHLDAEFLHISLSLHESSPWMCRYIAFFQMELENYNRLPIAQPRISSVHVFLAKYAVLEITKIIKKNAKNLKRENRKMKIEMEKLKKRNAKLSSKIDQLKKKVEKNKKEEEELKNAKESINQLS >CRE07418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:408053:410563:-1 gene:WBGene00058420 transcript:CRE07418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mbr-1 description:CRE-MBR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M236] MNSEPKPGLALSSHFMATDNQDQYKGMYAMNRLSIANLLSSPPVMQVANDWQSMDRQFNDSLIPQLLQNPFAINFWLQFQNAQLQPHLQYAALARSLVAENPLDLSNKTMNVLQKIGVFNNVVVKTEDDELPVNDKSGDEYSSKSNMLRKNYTVEDLTQAVDDIRQGKLGTRRASVVYGIPRSTLRNKIYKLEAEGAIPSKVRRGKIAARRAEAEQKRSEEATAAAALLDTFGNQSDSSSPSPHASMCPSSPDSTNSSVEGTVETPDPDSKKSVSPLDPKWLESIWQNLFKTQTSIVPVDNGLNLTSADAHTPTLTPEKTQKTHGSEEWKRSRPKRGQYRLGIEMMIPNTLHLFRKYDKNALDEAVRSVRRGEMTVHRAGSFFGVPHSTLEYKVKERNLMRKKKDHDSSTSEDGIQPVTSTISEKCDASSHTSTPAPFPISLV >CRE07417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:404878:405202:-1 gene:WBGene00058421 transcript:CRE07417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07417 MTNAMVSNMPIDEEDHHEFCMDLLHESHNDNSLPLITTTPDMPATIRTQDRPVVLYMAPVHKQENDKKCNCGKDGDSSSSGISKGIQKVTIQ >CRE07416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:390240:400949:-1 gene:WBGene00058422 transcript:CRE07416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rhgf-1 description:CRE-RHGF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M234] MRSYEFVTNTPLKLDRHKVFLVDDVAVTRTVPSLQPLSPSSASSSYNMIERCVVVQRQADGFGLTVNNEFPVFVHTLKQDGAAYCAGVRQGDRIVKVNGMPVSPNNHREVLQMISNGQNVALTLLGKPPEPLSNIPFQNSQVEQKIHIADPVLIEGLRESPQNGEKIDRALKRIVSLQSQLKQLKPESIISDSQKSLTNLNMDIDSDEEENDVVYLPESQGGPFSNLAELKTHPAHLAVFINYLLSHGNPSSLFFYLITDAYQSAYGTAKEFRRWAFEIFSTFIIPNSPMCIPNSNQSVIQPIDKIMCMTAEHIGDSDTDTLKRIFVPGRQRAVTDINMYLNDFRQKKQLGGQVSESANQLTHMVRGDMTMENRVGEQMLFRCLEQCSNSTDFDSCEIRTQSIISSLATVIKIVLGKTSSSSNEKMLDKFPQFMARDKPPGKSRKLPANIKNRIQVKGHSFSINSVNTVHYCYQCRDAIWGMQPWIYFCSNCDVKVHPHCTSALTDACYPVTQSKQKSKSRLSGLIGRSDANDDDDSGNNARHEPPNVKSTSSDSGIGGEHHMDRGMVSRSHSMRYRVATIPQSLSEDKVSIPSSKRDRSATPSWQRAAGYDLTPADEIDECDIGDRKLKYLERRSLESSSRMAIDLQSVSAASSCSHHSGSVVTDDDICVRRTTTLQFSRFMDGDSDFELETEATPLEQLIGWDVIRHLKPKEKKRQEVINELFHTERTHVRNLKILYHVFYKPLITNKIVSEDLTNLLFANLEELLNLHKSMSDAMRSEVEKWRTAPPRVNGGIYGDIGLLMERMFDGEAAENLMRVTATFCQHQQHALEFLRTRCKREKDDAFVRFLAEAESNPVCRKLQLKDMIPVEMQRLVKYPLLLETIAKYTTEPSEEQDCLLRTVASAKRILSAVNTAKRNAENLRRLEELQKRTDTSPFDKEFVGHDYTNLNLTKFRLVHDGPLTCRFNRGKMIELHVVLLENMLVLFTKNSDGNKLVLKALEPSKETRWSPILPLAPLIAKEKANDKRAFFLIFNSQYGAQIYELVAGTATERKTWFKLMGDQIASEKKNLAAGIDHNFDVGAQTTLDSDGIAKVNVVTHPRLVNANEITIQQPTILEHAQPVLTPAEKLKRSDEIIMQTLITKQTILAQFLSNDDSKGNTTELEKITEMLGGLAVVDLKQRDGKELAMSAIVHGNRLLDSINQSLNIRKEMGENGQDIYILNNQEPNVPSVPSYKLTAIAAPLMNHLKALMQVIQDQHNELNLVKQQLYHYKKLASDVDSRDRSVSEETLTDLDERKIPKRPRLPSIQPMT >CRE07240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:387919:389808:1 gene:WBGene00058423 transcript:CRE07240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07240 MSERALRRTTFSGRLLRFKEEEEEIDRKTAIIKGGIYMVLDIVIVLAISCSLFLWFTGAGIEPYERPMPCGDMSIQQPFKENTVGLKHLLAVTLGSPFFIVALVEAILHYKAKGSNRLAKFFSATTITYLKYLLMYAACTFAMEFLKCYVGRLRPHFINVCNPDWSKVDCSDKESFIDPSELVCTNPNPRKIRTARTSFPSGHTAAAFHVFLFIYIYLRRMATNTGIKEIITVRNILVPTYAIWTLVCAVTRVTDNWHFPTDVLGGVILAVVFIVPAFKNSWTSAEMIYRTRKILPSENAVKID >CRE07239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:384907:387016:1 gene:WBGene00058424 transcript:CRE07239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-yap-1 MALKNINKKQIQFTQYVTDPDQSIRDLIRPEKKYEKNPNPKKPPATLPPSFYQQKRPRGSSAGHSPQGSVDEVASSSRTAISPAMGMMPNPPNFHKRQFSAPELHPDCNIERIPPVAPPNHPPPNVNHQYSKSVSALPPMSMGFPLEPQHVKSVSHEAYSYAGYSDAPAHPGMMQQAREKSSSLDPMRRPFMTPQDVEHLPMPHGWEMCYDSEGHRYYKDHNSKTTTWNDPRLIPREQAFGMDDMGQGYNNFYDNGHSSRSLPSIHQQQQMIPNHPQPQYSSQQQMDYIQQLQNERMMIQEKNAQLMNSGLLDSPQPQFQAISPMGSNMMQPHEQNYMYQQQAHTSQQQQPQHNINQMPNQYQNSQMNDTAMEVDYSIPPHPQQHQQHQHQPQMHRDMQNNYEINDINPHEFDQYLQISNNETNRGVGSMVHHYQ >CRE07415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:374794:375962:-1 gene:WBGene00058425 transcript:CRE07415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07415 MPKGNKKTNEKKEDLEKFAKELQGSDSDEDAVVIEQPTVEPNPPHNDNSSKSKKLTLFLFYLSAAEKDLLRTELDKTEEEISTLKQVLAARQKHSAELKRKLGLTPFSELSQDLNRSLKTVTETEAFQKTAEVASATSDTVKEKWNDMRNSSLFKSFESKLGTAYNSAKMAASTSIDHLAGAARTPTQTGTPVGEEAKPIS >CRE07414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:367263:373547:-1 gene:WBGene00058426 transcript:CRE07414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07414 MNGPSEARIYLQDHRIPQLFEGLMTGLIYSRPDDPLQFLEESIVKIRATPGIKLTWDMFIPPDAARNYPPRSTQPRRREPSQPAVIPRSHSVQSDHPNRTGPPTAPTGRPEEPAAEEFAVISREPSRAETVEHRTPEPRPPTETIKEEEMVVHRVPSVTRAAEVAKIPDVPIILFMGGPGGGKTRHAARVADSLVDNGLVHICMPDIIRTALGKYKDKYPEWKDANEHYMRGELIPNQLALTLLKAEMGRHPDALGYFLEGYPREARQVEDFERQVKSVNMALILDYDERTLREHMERRGLGMEIIDQKIKEFKQKTLPSAKYFDDQKLLHLIPGEKDDNVIYEKMKTLVVKALETGVPVLAALPPLAERHHIATPPAPEPAIPSPVMAESELSSSEVNTSIANHEDINHDISTSAIQEPRSVAQTPLHSSGSGAAAGDGEHGPETPAVQTPDESESPTSGTATQPIFAGRATSTARSRESAGKEKTSTPDRAHTGDSATNHASNGTLERNSPAELGEPVGLPNNAPVILVLGAPGSQKNDISRRIAQKYDGFTMLSMGDILRKKINNEKNDEMWEKVAKKMNSGDPIPTKMCRQVLYDELHTRGTSNWGYVIEGYPKSPDQLVDLEHSLQRTDLAILIDCTEQFCVEVINKRSQENKRSDDDQEAVRARLEYFKKNTLPMLKTLDDKGKLRVVDGDADPDTVFKEVIQVIDKTLFIEDDGDGTSLGDSKKGTLNSSMNSNQ >CRE07412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:358196:360876:-1 gene:WBGene00058428 transcript:CRE07412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07412 MMDIETTVTPPTKPSVNPFNSVFRQMRQTFSGIPAWCQCEPTKQSWQPGPPGLPGPARRPEDTMWADLLNFLPPSPKPVASSPWTAEVLRDPGSSRNKMSSCGICEERRQRSLYPKLFGYPLVLEQILAEGATKEGSTMKTPPDHLKELTEKGCPIARKVFKDIGSPYLVKSAVERGSTIAKRRRSVYYYENTSQNHILFTEKFAMAKKSKISKIQIANQGYVDLILICPQFTMDIEEPKVDEDCKLDDVFMKESTCATGKNESGPGTHSICWSVFTRISDISQQPLPHTAKSCHGMRNADPVKTESCADSIEVSCPNARNKKILRDIGSPYSVKLTVERR >CRE07237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:328982:349242:1 gene:WBGene00058429 transcript:CRE07237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-12 description:CRE-DAF-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M215] MGTNGGVTAAGSMEIETNENPEKVAEPVVRRKRVTRRIALPGHIQFSLKVTGLTQPITSNRTPVPNRRLPICDLFSRNYLGFANFDFRELWRRNEYYDTVSKTVRFVAISVEFGSDFLRTKRENQSYSYHRDSCVFYLLCNPYLPIVNYQPPTVLSPPFIFPSLKVHLGGVKNCFNPASAQGETSSSAIAMNSQEGLDHCKAIATILASSGFTWPAELFKTFQNYPYPIPPYYPAIPFETPVQTPSTPDSPLSLIDVVKVDETENKKKRHRRIHSKNNCLTPPNSDDDPQMSTPDDPVIHSPPSIGAAPGMNGYHGSGVKLEDGTGACGSPDDGNMDSSEESRRRQKTCRVCGDHATGYNFNVITCESCKAFFRRNALRPKEFKCPYSEDCEINSVSRRFCQKCRLRKCFTVGMKKEWILNEEQLRRRKNSRLNNNSTSNKRAQPGHQLSPQAQNQQPHQSPVPHPGVAVYQQPQRPLTINPMDNQMMHHMQNRPNIMPQLISPPGAQPYPLTSPVGSSASDSPPNRSLTMMHNGDKSPDGYDPNMMAHRISQTSLNPRPKMDNGQVVLSTEEYKQLLARIPGAQVPGLMNEEEPINKRAAYNCNGHPMPADTTPPYSAPMSDMSLSRNNSTSSGMQPLTHMNGTQSNMCEIPGSSVPTQSHFDIASFGMGIVTATGGGDAAEEMYRKMNTFYEHCIQSALDSPENQEPKAIENKPDYMTQNHNNFQQYQADPYHVAPQPVDRNINYQLNAAELKALDAVREAFFGMDDPMEQGRQLQSFLKTNKSPADIMNIMDVTMRRFVKVAKGVPAFREVSQEGKFSLLKGGMIEMLTVRGVTRYDPSTNSFKTPTIKGQNVSVNVDDMFAKLNANAQAQKAKCLEFFGFFDEEIKKNELAVYLVMLAVLFSVRVDPPMNENDVRIVTDQHNHFMSLLNRYLESLYGEQARRIFERLPKALGMLNDIARNAGMLFMGTVRSGEAEELPGEFFKIK >CRE07411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:313136:314775:-1 gene:WBGene00058432 transcript:CRE07411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07411 MGRIKPTDNDLAPCFGTAPTIRPTLLGLMPEKDWNATEKTIFFVGLFFRAFFLMPVRIGLLLTSFVFVALAGLQTAFRTLSDREKTWVAIVYCRLFCSSMGLVANYRNSQNRPKKPGVAVSNHLTPNDIQILFAGTPHGSSYGYMCQSLNFKRFVYSGVIEHLVEKLCPALWLERKCSNERQGFLAEVMKIARREGPVLLFPEGYCSNNSKVLQFRKAIFEENVNIYPVAIKQTPEYGDGFWYEDEFFQYLVRTMLNWAVVYDIQYLPMETRRESENNTMFAGRIQQIIARAAGISSCDHGGNLWYKQEERNKMKEAIKSQNEAAMRRSSSSVSEISSSSLSADDFEKVLESQCHKYMNGPEIENLQLIF >CRE07410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:298925:311342:-1 gene:WBGene00058433 transcript:CRE07410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abl-1 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M213] MREKRALLTFLHTNRLSFTFLLFTPGFLHSLPKTSLIFSFEVVIIKLRSQLHNLPCRASRKMGHSHSTEKEINDNELFTCEDTVFDRPVASPKSEISSKLAEEIERSKSPLKLEMFRPTFDTFRPPNSDSSTFRGSQSREDLVACSSMNSVNNVHDMNTVSSSSSSSAPLFVALYDFHGVGEEQLSLRKGDQVRILGYNRNNEWCEARLYSTRKNDASSQRRLGEIGWVPSNFIAPYNSLDKYTWYHGKISRSDSEAILGSGITGSFLVRESETSIGQYTISVRHDGRVFHYRINVDNTEKVSYMYVLDLTDHHMFITQEVKFRTLGELVHHHSVHADGLICLLMYPASKKDKTRGLFSLSPNAPDEWELDRSEIIMHNKLGGGQYGDVYEGYWKRHDCTIAVKALKEDAMPLHEFLAEAAIMKDLHHKNLVRLLGVCTHEAPFYIITEFMCNGNLLEYLRRTDKSILPPIILVQMASQIASGMSYLEARHFIHRDLAARNCLVSDHNVVKIADFGLARFMKEDTYTAHAGAKFPIKWTAPEGLAFNTFSSKSDVWAFGVLLWEIATYGMAPYPGVELSNVYGLLEKGFRMDGPQGCPASVYRLMLQCWNWSPSDRPRFRDIHYNLETLISSNSLNDEVQKQLKKGSEKKLESDKRRSHVRERSDSKTRHSSHHDRDRDRESLHSRNSNPELANKNFLRNDDNGVTVFFNQPSSKVTSFRSQVPYPAPPQQSAKPKLLKSVLSSNARHASEEFERNDQDDIVPLAEKNVRKAVTRLGGTMPKGQRIDAYLDSMRRVDSWKESTDADNEGAGSSSLSRTVSNDSLDTLPLPDSMNSSTYGKMHPVTGENVFLRQIRSKLKKRSETPELDHIDSDTADEATKSDKSPFGSLNKSTIKYPVRNGSEFGDHHTRASPVPVPPSRNASVSVKAEPKAEDSSDETTKDGGMWGPKHAVTRKIEVVKNDSYPNVEGELKAKIRNLRHVTKEENNSSPDDSPLDATDTTNDSAIVMPRDEKAKVRQLVTQKVSPLQHHRPFSLQCPNNSTSSAISHSEHADSSETSSLSGIHDDKMKPEIPRKRSNGDTKIVPVTWVINGGDKETNGMSRTKSLRDITTKFEKLGTPSMTESKIEETPYREHALEIKGSSKRFSMMEGNNELKHVVPSRKNRNLEETGSIEEEPVGKDMIVSLLRVIQKDFVNLSDLASSEITDEKLQQFMIMADNVQKLHSTCSVYAEQISPHSKFRFKELLSQLETYNRQIKFSHNPRAKPVDGKLVMAFQDCFDQIMRLVDR >CRE07236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:297464:298311:1 gene:WBGene00058434 transcript:CRE07236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-19 description:CRE-FLP-19 protein [Source:UniProtKB/TrEMBL;Acc:E3M212] MSFQLTLFSMLLLLIAVVVGQPIQSHSDDLKVQAVQDNSPLNMEAFNDDSAYYYYYPEQADPSFKDVQKKWANQVRFGKRASWASSVRFG >CRE07409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:285460:288375:-1 gene:WBGene00058435 transcript:CRE07409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdn-1 description:Syndecan [Source:UniProtKB/TrEMBL;Acc:E3M211] MKLKLNFCLSTFSVLILLSFSTQAFAANQAKTKTAVVTTTTPSKNLKNSTSEQVEGSANIPGRLADIEVNGSGYPTDDEDGDDVHGSGKPPSSPVSTTKSDVKPTSPSNIVVTTKQPTTISTTTASFKPPVQPKPKPAANDKEIKVEDDEDDDEDDDEDDDEDDEDFADENVHNDDDFFTTSTTTHRPVAVVTTTTPRSHVAQSTNPPRQQPPLVTSTISSGPFSPFHETMTNGFYAAIAGGVLVAVITAILLVLFVVFRIRKKDEGSYALDEPKQARPYATYAYTKASTKEFYA >CRE07408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:278426:284646:-1 gene:WBGene00058436 transcript:CRE07408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07408 MLKEYWPKLIFILFLIFSFCESSLLNQCYFSGTTCIRFEKGDFEFVDTVQLTSDNVPTLKDNTSTTSTRIVLSELLSHLSFNHSWTLAALVVNAGTRTNVQWKIAPDDISEHSIEMQDPDGTTSPFSNVTVHCVDIQNYADDAKRILVVPPDYKFFFSVNGTAINCATSGVSIIPFLWDTQSRASIRGMSYFSLTECTDKCSSLLIPSLLVRFCPNFNSSNLEIRIDQVDDFTVEFSDDLLGINIQRVNKSIEFPMGIMNCTALMDNADILGENPVCLGDGENIAVKFGANPKIALKQEVKTKFSPRSAFLKNAKNAVYPNFTVSHEKEVATCSNSTKFEVKQISGNGFMPLRFEWLILNGTSDMENLASSSNERLLEIPSHHLSTKNVILVVGCNVAGKCTSSGPIKTDLVDDASVFTVSIDGKVYLNCNPHIFGFIGYEQETPASFGLHLHANPTFKQCSSKTSPKDVQYEWKLNGEGQLFDDGLKLPAYSYSVDELVNITLIARYNHEKSSYSSSTTKTIHYFGLPLLVALDCVERQAGKDHNLVVRVLAVDQNRRDSSLKYQWSCTVINGTNEGESCSLGDLNTHGEYIEIPSSKLIQNTVMNITATVSSDEQSDSSSCLVAVGATQIPTVSFFRLAEKKQNAFDYIRIQALVSSTLPTLNVTWEVVRDSQFGFFNLSSFLPNPTTIIKDVPKSDVFVSLTIPPSGTQLAAWPGLMSGKTFVIRLWASNEKGSSFADLHLLTNAPPTTGYIDISAPTNPPIALETPITFSVGDSWNDDKDDFPLTYQFGYKLHYSDNTTYEFQGSKSTVKSMQFYLPSTTGNNSEECGQRIGITGMLSVCDRLGSCSSEETGMFTIDQSENATLAAMDLISLLNTDVVNGNFMSAIAKINAINIELCTENFDQSQIDKITMLLFQSLTESSESEEFQEALKAAYSLLPVVSSDILTVLIEVLSSYRQLTFQGALPTESVRQKRSAEVVESTVKIYKATESEATDMLKVYDILIGKDKPVVDVFFLNINDFLTGFCIQLDEDSKRYMSATGGGYTEIQTQSVNVNRDGYDGKFNISGPNNLSNVCFVSRVLILKEVFQISFSAYFSSSYASWTCGSASSSTCRYVCLGTAWISNSAIADNSYLAEDLFFNYLDLSINKSVSDLHQLSLVDPISGTILTPSNGQFLYSVDIEVTNYKPINYYNCYIYSQNTGWDFRQCVPSNYAKTVQGRSFLNCNCSSTGIIGVFVTNGPAPDPLSDHNEILLTLVIDVDVTADDLSKIFTRIATLSEVDQKFVSNKNKIHFLIHFRRFVKISNKGNRTIQATLRPPYRADQKSNGLAVQAIQKAVGYQKILNVITVLNFTYSVVKRDLNGDAHARKITFNLQKTYTPQLGTDGDDYAKKWAQSMATTLQISEYRFKNYKVFFGESLIFILSFLNLFIWFSGVIYNVTITLPFTDEKKPLSAEEISLMIQEGSKYGELDFQLGDETVSVSAVADKDITMLLVMHETNSLMLALAVVLSIVLGLGTVFICGAVLVKLRTDKLIVEERRRANLNDQQFQLPPPPKYPSSTTPFHVVDQYPLRRQRNEVRQF >CRE07235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:274878:278066:1 gene:WBGene00058437 transcript:CRE07235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhx-5 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:E3M209] MKLLWTLSTVLLVVAPSILASNNGNNRLDMAAQRRAANIHRMDTIILLTYMSVMVVIVVTAWAFKHYRFRFIHESGVTLFYGLLIGFVIRYFGLGLMQSQTFDVETKNKSMVNEPPDYLMLEVKPEGGSRVSFHYELIEGFFADKRKKIEQQIEQKSVFSPEVFFNMLIPPIIFNAGYSLKKRHFFRNIGSILAIVFIGTTISCFGTGCLMFVFTSIFRMGYTFKELLFFGALISATDPVTIISVFNDMNVEADLFALIFGESALNDAVAIVLSEVIENFSTSSEAITLQDFGSAIAGFGGVFFGRSRKKGRRENKLSLPGSLMLGFTIGCMNAFLTKMTLIAEHPLLESSLFVLISYISFLVAEVCGLTGIVSVLFCGIAQAHYTYNNLSDESQGNTKHFFHVRSMVSFIMESFIFCYIGVSVFVTNNQRWSFSFLFFSLISITASRALFVYPLSWLLNVRRRPKIPMRYQHMILFAGLRGAMAFALAGRNTSTENRQVGGNWFNAEVDFLFQTIFATTTAVVIVTVLVNGGLTSWMIDYLQIKHGKDAIEEGQRLENSMSCSPQGERNSEMDESIPVTMAPGLNPWDKAFLPRKWYHFDASFMKPLLTHATPSLEQTLPPICLPLTSLLTSSRQRAYINAEPFDTIVQSGTSLIDVSGCVEEPV >CRE07407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:267510:268332:-1 gene:WBGene00058439 transcript:CRE07407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07407 MGSINNTTLLTTIELHNFQLNKDRREKVKKVLMEVGCTSAKIVEQTYTGSLTTGPLTFNQSVGYVKKSSKPNPNSPPVVDAVSLDVNNNKRKQVIQKKNSEQLPKKDYNCKFPNCDKVISATVQQRHRIMQEHALKHSTVPLIKCDEPGCTRAYKTMKSKKEHYQAAHKNVDKKYGLLDFLKSNAEFEALYRQCFGTQINIEKQKTYKTPKESRKRTMSNQTGGPKQKKSKK >CRE07233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:260210:266566:1 gene:WBGene00058440 transcript:CRE07233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bet-2 description:CRE-BET-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M206] MDDFSSKASGSLARIGGDYALSSEDSDQDDEESPVAPEPKLTENVESSDVAVPEGFPAEGNVQTSPILCPESLSAAVGEMKEPDEQEVKPVKSAIESEEATNDSDELKTESEELKSDNNVAEEIEKVDDEAMETEDEPGAVTAKSSDAESNSDDGYGKKTSSNSSSSSKSSKSNTPSPEPSFDVSVMNSSIPNLGNISPEPNTSTTPIEVESQMTINRSNSASPKARSESPEQPRTPGGRDFSPTLDIPMTPENMDSPIPSTSEDQNPKTPESYHSMEAEEPKTPAPQSSKESSEVPDIVKDEPEEMDTTEQDKAESEEPRVEDADDENVKKKEDSSDSDSDDSDEDPVEKPHPAKRPLPTENPWESPRQAPINGIVQPRTEPPPGKPTRHTNRLDYILFTVVKDALKHKHSWPFQAPVDAKSLQIPEYHNTIARPMDLRTIEKRLRNTYYYCADDAIRDIKQMFSNCYMFNPPEYDVYKMAKTLDAQMTGQLANLTFLDLKTLFENCKQFNDRNDDIYIMCENVEGVVQRGLEWLPQEEKPQELPEHQRHAIFSAPDATGKTPKAAKTRGRKSLRGRRKVGVPRASTASFKEESMDEQDEAEVKEEAVPVEEEVEEAAPEKTPKSRSVSLQPEIEEVKPSSSQPPAKKRKVENGTVPVEDATPAPPPAVPEVEAPPKVPRLKNPNSMIDWKHLPPRWIGKQSEWQKFCVKLLNEMHSVKNKSFAQVFYVPVDPVKLKIVDYLEVIKEPMDLQTIKKKLDYKQYTSGEEFERDMNLMIDNCCTYNPAGSAVHQNALDLKALFESRWKLFPRPGVDPIVSDSYIRQNLVVNTDLIEDERINSYINAVKIEEKKCAEKLEQLRTMGEGLYSIALKRREAKLAGQIAPALETTQISELEKLGVSIKNAPISIPELISPALSVRSSSRAPVPKIIDDIGPSPIKARKISKPRASIASNASAVGYTAPAPASSRGRKPKKSGRSVKKEYSPEYRGELNPQAPGGPYNGPYKSVFGTKSIDDKSAFSEKLSVCPGTYVRGVIRLIQISNYHSNKEVKPFNAMCSTEIDATEFSPDLILELLDYLTLIEAEPSVAKLWRETLDRKARILGIHTEGEKPSHHCKHHFIFSDDQDQFPNEGGKRAGSDSPSPSASRCGVPSSGSDTSDDSSDSDDEPEKPRSSVPRQLAVEINRSGSSSRASTPAGPSKVAAPPVNRKGGPSSSSSKPPYVTRTLSESSSSSDSGGSSSDSSDSDSETKPTVKKPVPQLKKQESVTKKPATIAKKPESLKKPLPPKKKVHHTILDDLLPETPAKKPVAPSVPTTSKVVRQATKDLTPQPYMSPEQYRLLEEERHKEALRRQAEARLKRKAEETAYNHQMDVMTEFEFNNCTY >CRE07232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:250003:257250:1 gene:WBGene00058441 transcript:CRE07232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07232 MLLSRLTFTESILVTFFILVYTTEVLGDEQLRPAAIIPWSQYQRRLGKLKEGSLAYQKESEPFKTMDTSSSSTSNSFFGSYEKEDLSLKQLPRFDENEPSSSHAGTKSRSQYGNVIRPQLRGRLPTDPSDFMKIPKTNQVEFLMQRGLQIRQKDRKNLQDFSELEREPLVPPERPHIPTKASLPSRIPLKMEQTPTHKEMNKIPSLAIPNGVPMTAGSWETGQPHYTGERKLHAANTFAGSPSFPYANNLIGNELPTAPIYQKVADSNIVSSIFSQSQARPGAIPTMVQTSQPQAYLEKFLANEASLDQFSKLAKNLLGVGNSGTGETSSGSGGLLETMTSVLSGGNRNLPTSSAAHDDLSQYTPSSAGRPQTSVFQKLLSQATDALKDSWNKKADNKDKTDFDAIAKKTDLFALPTPEPPKKEKLSKDKENSIKLLESMPAEQRKMLEAAIMSGEIDADSPAIKTLVKNDVTEESKKEKENRLIEWIRANRPSKTPENTIPKNVPYYGKYLGSLAETPTTKKQKSPAGALWLVDEKRIIVTRFVFESGSLLNENVTFWLGPKTPTGNFVEDFMPSENGFYVRPKPIEMSAFATRELPPIEAKPRPNVSAVKLFEGIPPIIANVTRLKRDTNSTSGDVTAKPIELFVEGGIMKVRPGSGIVKLETQSETVTSIPDGFRLKQPEFDPVDETAPQPLQWFEGFQPLMLTLPSPKVFSDILWVSLRDHKRKTNVASVILPNGPLVQIPKVIRLRSLSPNSLAFNISSGPIEVLDVKTIRINNFSFQHDDDSVWLMVGSELFPNLGGKIVPLFEEGNRTFDCQALRSYHSETLVFRLPGELTWKDVFWFSFFSMKRLVSYSEIYLPISEMHLLPDLSSIATPTCKYTPTL >CRE07406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:240721:245564:-1 gene:WBGene00058442 transcript:CRE07406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syd-2 description:CRE-SYD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M204] MHISLFTFECVLSAKKLRNIDSPALQKHNSSFFRNMSFSNGTINCDIMPTISEDGVDNGGPLDEATDRDNIEQLMMNMLEDRDKLQDQLDAYKVQLENAILRTKEVEKERDIMKRQFEVHTQNLPQEMQSLTRELCQHKEQLLEKDEEIVELKAERNNTRLLLEHLECLVSRHERSLRMTVMKRQAQNHAGVSSEVEVLKALKSLFEHHKALDEKVRERLRVAMERVATLEDELTTKGEENASLKARCATYAAEAEEAMASNARTNGSISSESANRLIEMQEALERMKTELANSLKQSNEVTTRNAELEDQLTEDTREKHAAQESIVRLKNQICELDAQRTDQETRITTLESRFLTAQRESTCIRDLNDKLEHQLANKDAAVRLNEEKVHSLQERLELAEKQLAQSLKKAESLPSVEAELQQRMEALTAAEQKSVSAEERIQRLDRNIQELQAELERAVQRERMNEEHSQRLSSTVDKLLSESNDRLQLHLKERMQALDDKNRLTQQLDGTKKIYDQAERIKDRLQRDNESLRQEIEALRQQLYNARTAQFQSRMHAIPFTHAQNIVQAQPPTSIAQQSAYSVYKQPNQQYQTVGMRRTNKGRIAALQEDPNKVQTLNEQEWDRLQQAHVLANVQQAFSSSPSLVDVGQSTLPRPNTAVQHQQDDMIASGMGMQSGMQPGMQGSMQGGMQGGMGGGQDAHMLASMLQDRLDAINTEIRLIQQEKHHAERVAEQLERSSREFYDDQGISTRSSPRASPQLDAMRQHKYNTLPANVSGDRRYDIYGNPQFADDRMVRDLDYEPRRGYNQFDDMQYERDRMSPASSVASSTDGLGGKKKRSNSSSGLKTLGRFFNKKKNSSSDLFKRNGEYSDGEQSGTEGNQKADYDRRKKKKHELLEEAMKARTPFALWNGPTVVAWLELWVGMPAWYVAACRANVKSGAIMSALSDQEIQKEIGISNPLHRLKLRLAIQEMVSLTSPSAPRTARLTLAFGDMNHEYIGNDWLPSLGLAQYRSAFMECLLDARMLEHLSKRDLRTHLRMVDTFHRTSLQYGIMCLKKVNYDKKVLAERRKACDNINTDLLVWSNERVQRWVEEIGLGAFSRNLMDSGIHGALIALDETFDSSAFAYALQMGSQDIANRQILDKKFQALVNDHRQQMDPHPRSGSSRKNDSIAKSYEFHLYT >CRE07231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:238021:240077:1 gene:WBGene00058443 transcript:CRE07231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07231 MILVYFFAFATIPSVFSIKCHSCLTYCKTVNGKVDPVNCDCKSLPNEQCSANACFAKVELFADESTAIVQKGCISDFPAGQRGCQYASNMDTIHCFCDQDQCNTRQKLGSYIPSRLPSIECCHCTSRHGEDCDSGCSTKCRGNYCVVDFDGKEQGCGLGYPRLPSFLRVDDYMDYEGDYLCTRYESSASNVMNGCVCTHPSGLCNEFNKTFNYQKKHVIERKDENLHYCYALMHKAYKPFGQEVFKKSSTCEGHYCFISLTTSEIVLESADFKHNYEDHDEFVGAARPRFELQAGCVKVNGDDKVQTGCTVETQGNSSEILAKHCICDSHLCNFHHLVRGTEDPRPKAAVPGVKQTTSQLNPVRLYTSNKEMDENDILSVMNGATWPTAFGALVVVVLIVL >CRE07230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:233884:235958:1 gene:WBGene00058444 transcript:CRE07230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07230 MILVRILEDVLGSLVGWKKKEEDCKRAEHKTFLTVDHIQKSENDRNAGSKHDLPITGQYTGTFEASSELICLGIQSLLQFKFEFLNGQDLISSDNILQDDLTRPFETAEEEASLLHFPKERLNLPFYIFGFAFRWGFLFPLRSCFMAFALVFLIVSSGICVIVNAKMKYFRYCGITFAKLFNLSTGLIVSFHDMRNRPRFPGVAVANHLSANDVMTIYSGCEYDGVGYTITGQSHGGFVKYLYKYGGKLTPLLLVDRACDKNRNALKQAIVEYTKSKDENSYPVLLFPEGYCSNNKTVLQFRKAIFDGQTAIYPIAMKQNSRFGDAFWSEDTYMPYLIRTMTSWCTILDVYYLPAMYKETTENEEQFAKRVQHAIAAKLSMDALPFDGKLKSEKERMKYKEKLQMSLYSRKFAYQTVLVIAATLAIVSVVAIFITFPIFYTYFARIKMEMMNEMKFCKKSAENVFNFVNEVEYFDPKRETILDISQCQPKTERSFLLE >CRE07405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:228892:231477:-1 gene:WBGene00058445 transcript:CRE07405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07405 MRENEQLQLLFHKSCDRNIKCVLYKNGRPSTSLTTDSRDIRTLIQTNHDFLSCVRSSNEVFSFRIFVQDGNKFFKKASFVNYNSTVFQLQCIGGFYTNSVKLKANERLVDLSYDPLHGFFLNKKEYPDKQFYQCEFQDERVSFDYSDLTTVNGRFRMDVQLYSTTMSINCIIENPSELENVTVILGCNNQSNCRKLVFREISETKAIFGTFVNVDRSSNPHDYYCVFLKNEEIEAMAFYQKENLPVTECVLGLLGFGMTGVILVWIINRIGQLNNNKNRSPTSKYKHNKSKYSDTDFLLSEKKLLIGGNSSKIYIAKHIPSEKNIVIKYSKNKMQCRKEFEILKNMCHANIVKPYGYTKLITKNGCFKKPAEHLLLPYYQNTCLEQYIKQFYPVSRAGDTFHSNDESKKELTLFDMVSIGWQVARALKYLREQNITHRDVAMRNVLITDHLICKLTDFERAEKGETKKVSVATKLYRFFTIQTGDVPKMYPIECDGGAYYYSSEIYCFGLLLLEMFQFTKPMEPQKKWPPHQPQNCPNVIYEIIADCLKVERKRRISIKECEAKLEMIAKFMNENVTPMLSSFVSSFNLQKLKDIKTELIKEGIPPTGDPLPPVKEADEPKYKFAEDLFQSI >CRE07229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:226234:228817:1 gene:WBGene00058446 transcript:CRE07229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07229 MFGYVVVNEQNSIVFLDGNDDFKLNFQNLIHSEITSRKSEVDSASSGVGSSTCTEDPESSSMSFKKSLTNVVFDSSEISHILLPLILLYRSTSEKTKDPIDQMSSPYGTISISKFYHNYLVLVFAKDDRKRLEVSQTIEHTIATLFGPLIAFCHTDLTTVKKPKEHLAAALTRKLVFSPRSYIDIRRKIFYSEKIAKLKDTFQKISSQLNVFVGNNRCLLLCHDDIIATYNSVEGTDNVLKGLNTNDLLNIVSNTSKCDATNRIEQFWLRSTTGLIPYYVNVISATVFKNMTLVCLVESSENTLIRYLYLFSKQLDQLRISQDLNKDLKEVRKTINDIHILLLNRKPLPDTYCAAFMRNPMRASSFFKSIWNQIESEVLTINRDSVTPKPRSESRFSISSWRSALSAMSMNSSVFTRITMNEQSMTQKMDILLSYGKKQINSLIQELCSISIVNARISTLPLFNQCLEKTVKPNAVKTVESLDIGTKDQEDKLSKFLKPSEFFLEMYAYRIQFDDVKTEITYISEEYKTDVNWIRQLKSANRFSCDYIGNSGEKYMIYHFAHPPANHKGLSPEGRSSIKMTAVFPEFMNKNLADKQAMKLLECVLKYLK >CRE07228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:222862:224936:1 gene:WBGene00058448 transcript:CRE07228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slcf-1 MAIERAVRSNVRRARPPGPRKAVFIDDTPKPSEWRNIWVAIFGFYILFAETGVRQVMNGFVEPVIKTYNCTKDEADTAVLVVPMASRPFCSIFYQRTGARISIIVGAVLTGGSFVVGPFCKSIYLLMLATFGIGIGCGLMRNSIISIQCEYFKKKRNTVMAAISIGPGLGIFILPSTLKWIMVKYDSWGPAWWFLSLFYVISAIMGLFISKQPSEQTSSFSFSSAVKVCKKVEFDLHLIACFFASSVTFIYLANILILMESENIENKEGIYGFQGLASIVGKFVLTFVMSLNRVHNGIIMIISYVIAQLSLSSAAFCYKFWQFRIQNAFAGIGIGLYQACLAPFLVAIVGPSQLAYALGFTNLINGISIISGVWISGFASKGTTGEDARSAFFISIWLGIAAIVMSVVTSFLLMAREKHKRKPSMKQMKHSPELNALTNITSVENS >CRE07227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:218415:221747:1 gene:WBGene00058449 transcript:CRE07227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-git-1 description:CRE-GIT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2Z2] MYTADTLDLITSLEQKECDDCGKKEVEWASVKKGTVICSECFCFHSYLGPSVSYLRHLRKSAWDEEHIRLVHALNTSNTNMIWESALYEGSTKFQKPLPQDPSHIKEQFVKEKYEKMTFQPKRGKDEDLENSLNRQLIACVRSDYAHVTLRLIALGADVNYPDPENGDTALHVAAREGNSNQVELLYLYGADIMAQNKDGQLPYIAARDAGYGQLSQRIYTFFFYVFDRFSFFMCNRKADHKNNQDFFIPEVTEKQFLTKTKDSRAAISVLPKGQFFDLCEDAFDETVRRENEVNWNLTKWAKIAKGPTNLFLPSTTQMSAARNQRRQKLAKFTPIQFTILLIDLIKDQKRRITGENPLPVGEQPKFLRKSNETPIRIMKKVETPDYDEIAGISCSPISGILPNSQSNTVDDLNSRVWDELLEMKERLQNAEKIVVTVTKQNEELTKLVHSLQAQHINFNSELISYRDDLYNIKRTNMTRRMPSPLAIVETPERVLPPSGIQQSFSRRDSEDRIDGGGARWRSNSSDRRNSNDHKMEKKVERRQDSMMESSSSLSRSSQNPNAFNKEEVKNKVILQSEKITRHIKILLQHGHNGNLDMNASHGALEISCAIHSLISILTPYVRYETIDLLKDAVVLLNAKCNSPLLNPLDVIDAAQTVAEKLRLIIIEIC >CRE07404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:215757:218078:-1 gene:WBGene00058450 transcript:CRE07404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mboa-7 description:CRE-MBOA-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M2Z1] MDKIIGLLSKDDWIYTGLLFFSFGSSLYVRKIGNNILASGVLGFAMALVIIGPKVAYSLGICVIAVLIQQFANKKSTPFYVFLTTFTYLMLVRFAHYFLPVNEVASHTNVIQLIITLRIIGITFEENDAWLHKDDENPTKRYLTRMPTIFEKFAYFFHFCGLFTGPYYTFQMLIDSQREVLKEWDPTPEVKSRFQRLLWSVPVFVITNHYFPLDTLRSDAIWEVSFFTRLVYAALIFVVFKTRVYSAWAIAESICVILGIGIYPAASNPKIIVGPTDLKAFEALEEKNSIEMSSDAIVNLDIPKVEFSDGFRDGMKAWNRSVQTWLALYVHSRVKVMRVETTMLVSAIWHGTYAGYFMSFGVVAMCAILEDVIFKLVPTNPETGLRPQWFRILYTHTIRCRGFEMLATGFLLKNASDVHHFWSSIYYWLPLLCVPFYIYSVKTATPKPKRSQKLE >CRE07403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:214828:215211:-1 gene:WBGene00058451 transcript:CRE07403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07403 MNSKIVLLAFFLAIVSVCLAQRKEDIFARAVGPCIADKCQSRHTCYFGQCVPDGIAPAMPALDKSAAIGPCINYLCPGNSFCHQGHCYNNNI >CRE07226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:201419:213069:1 gene:WBGene00058452 transcript:CRE07226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vab-3 description:CRE-VAB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M2Y9] MSDAGHTGVNQLGGVFVNGRPLPDATRQKIVTLAHQGRRPCDISRILQVSNGCVSKILCRYYESGTIRPRAIGGSKPRVATSDVVEKIEEYKRDQPSIFAWEIRDKLLSDNICNNETIPSVSSINRVLRNLAAKKEQHTIMQTEIYDRIRIVENNFPYNSSWYSQWPLQMNGAVGLNAFVPAPQLETKKEENEYIKDEDQKPPVDQEDDAAARMRLKRKLQRNRTSFTQVQIESLEKEFERTHYPDVFARERLAQKIQLPEARIQVWFSNRRAKWRREEKMRNKRSSGTMDSSLSNGTPTPTPGSVTGSNMSNPIGSPASTPNRFPSNNSANLPTTNFVPPASQMYNGLSQQTMDPYSFGFANTGLAMAPYQTSTDFQAHHMFQPGRTPYDPFHYARMQTNGHGFQQSMSPATTSVGDIPSLTSGMSLPVSAVLNSIDQSLSHSQMHELSDLTQAHEHYWRA >CRE07224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:189283:190297:1 gene:WBGene00058455 transcript:CRE07224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07224 MLPVMLKRKITDQVNIRDQDALVRVNKGFRTYLTADRRVFSLVKIRLNENEAVLRKVYKDNKEEKIIITKSDEGCIVDENGETTTYENSYVEQVLIEFRKTIKKCGTKIAHMKVKFKSEVQGDNTEGIFLKGISDVFSELKKPLHVENFYLYTNEATKVNSILGNIEVGALNKLRCKVTDPHTIDMQAFTNLAPHVPFLRLLLMPLLSFENVPLSNFAHIPFVLLKAVISQQELSDYKNTLINSSIEPDHTFFTTLSREEIKEALRPYETISSDMDKFKGSFRHALLDKKIKFVITRYSVSLTSK >CRE07402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:180939:188789:-1 gene:WBGene00058456 transcript:CRE07402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07402 MEEPQQSNRESFVEKLIGPQSSAGKPEPDQFCERPEKLQFRTLQYCQDVPRLAVFCTRSALARTRQQVRMEVNDRLAAMELDVRRSKPWPIFCPKLESFRSFVQSINEYCNAQQRTEADARRALPLMLRGEWLQAYRDVVLPGENWRTALERLREHMLSPEKRSEYTAKMTTLSQGSMPVAEFAKQIRELANNAVPNNDDAREIVALAAFLRGLNGALRKEVRKATPASFQDAVRIASTFETVNALENPEDSMVNAVKELTDSVNRLQFQQNRPARFNQRRDGRGGRGFGGTSWSPRFRPWNQRSNDYNRPNVNRQEPRGFNNGRRRFFNGGRMRTNFLFATLCIAILNVATAADTFFDCSKSVGGIFIAPPKKSNCSDGLQEDLVVARQVKLWLLDRNDAEPVNAFRCTKEVYRRCTTSFLFVSSFNQTLKKIEAVDMESCKLMMAEKRMDGKSLAAVDHVTYVTQLENEPWPARSWTSTTCSDVSRFVLQKGSVSKFGESIITPLMLNTNSCRIEEGLCGNEAALVLWTPPPASECQPRDIGTFAAQIINTTVLIPSMQAAFRMLSTATPADIQSCFATTVFSTTSTVFISIEEPGPTQRRRRQGGSTEAIDLMDDFMQQRLQYPELSLRHYSRGKRILPALIQKFNITEYELEKQMRLHPEKDTSMAILHTLVVAEIEENKKNGKLFENLAFADVPIDYSPTEAASWISLAKILFREVKQSELILEIGNQTVPTDPPEAVKSYVGSDAHTHFLKIQYDRQEDNRRNLTSTSQNARLQYNAEVMQKDLRQNFVRMAQSLCETNNKLLSIWSALLRIDATAGVRAILQRIDIEAKFVGRSTLLVSQCTPIHSVKVVHSKKIKDTCFLQTPILTKDNATFFIVPGSHDVTSISREIPCDEVSPDLFEANNGSFYLNEEEAAVEQLQSMSRFNVSTTGIHFHAKDILANAMDSSFPLSLAISFGQNLQSLQTQRKNLLKPTNIDKFLGSRSKTLEDFARLGGYTFDAATNQITEAVGDVKDFYKEYLISVGTVLCAIIFILATIYTVFKLCIKGTFGIYHIGIRRNRPQDAENVCNVQATAPTEAEEYDEAVKPFLPRFPPLMTFLPIVMSLVCSLDASSLPFVPITLKDRWTVALWDTGSSVSYIRYRTLKYLNETSNLRKSLNQNATTANGAKFSFLGSVVLPLRIGETSLDVKFMVSADEDCPSNVLIGYDFISLLEERGISTTILPGKKRMIVGKSIVPLVKQGEKIYEHTNRTVNVVADQEIMLKPRSCKQLRITFDTEEPVHLKPNKRSPMQFEECIINPWIGNSADVVIHNHGHSVFHINHGELLGNAAVVKLPLEEETFPTDNHQELELGAPEADWTQKLPQLPKTESEFIEEIHVKETIFSPLNRQKLVNLIILFKAAFFNEDGKIGHFLGPIQHSIKLISPLPRPRKTRIPYGKREEINRQVQQLLKQGVIEVSNSTFTSPIVLVKKKDSTFRFTVDYRMLNAVSEKRNYQIPNITELLDMATGSFISSSFDFISGFFQIDLKKEDRHLTAFATEEETYQFQRMPMGVSGAPFTFQQVARYLQKSMKARVFTYLDDILLVSSSEEEHLEDIKELLENVVKNGLKLKLKKCVFARKELEFLGYVIGRDGLKPNPKKTEAIQNFPVPTNATAVRSFIGMIGYFRRFIKNFAGIAAPLHSLTEKDKAFEWKEVHQHAFEELKTALVNPPILAGPNLNKPYVLETDASSFAIAAVLLQKNDDGLLNVISFASRKLSKAEAKYPPIEGEALAVLFGLQHYRQYLLGNHTLIVTDHQPLTSLLKRKNLEGRLLKYQIMIQEFDIEFLYRPGRQNVVADALSRYLPDDSEAEQPLVMAVQTEDPTAGITLEEVINAQSSAKWINEAIEAIQSMDESRKGVAWRSRFALEDGTLRHRSTKSNHLPFVIPIGHPLTKRIIQKFHESRCSHLGSEKTLEMIKRYFQWTSMKAQVVQEINRCILCRRIKTDRHQTTKEPMGPVDKVDTPVSHWHIDHCGPLPITEKGNRFILVFRDPFTKYLVTAPVPNQNAETTSDVFIERILAIHGTPKSITTDCGTSFCSELFGATLKKFGVVHRKSAPYHHESNGIVERANRTIEEALSTYVNQTQSDWDNFLPLVTFAINATISKTTGLCPYQMLFGRLPPLPEDNLLRTYQTFGDDYQSTLENQLNLFWTMVKNRHTVAQEDSQRTKQKQIKVGDAILMRRARPPNKLAPRLLGGIVGEVVGKNVIVDVKGKKKMAHKNDIRILPSSEPEGGKPVAVKDGAVRRSERIREKMMGRE >CRE07223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:176849:179377:1 gene:WBGene00058457 transcript:CRE07223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07223 MKWRNRNNETLLVEESERIVTMIRRSPIAVDKYVLDFNANEVSTQIIKVTTEIKDRDVLGEIIHNLPPCFDIDPPAGRMAVPSEVRVTYKSTMYPLEFDYDNLEFTIAERFRNEEDLDAKHLIKINLLGAPSRPIRKARNMTSDNTEPSCDICTLKFSEDDMNRIPLVLSCGHTLCRGCCQTLKDRSFNRTVSCPTDRSVTRGDVSKFIRNFCLINYLRETTQKPPVFCENPFVPCFENPNHEAAFYCSTCDVDFCESCFQTVHSHKILANHKVVPIADKPFKPTMCVNHPTKYVRYLCTNENCVYQPKLFCDDCMPDHRCPYTTDYFDRISENRGRVVKISNFIKQVDTNVMPKRKKVVMDCKASFSEDDRTIQMCYEKIEAHFERLKDTAIARFGCFLENAKDTAQRELNRIEKNAGEISKLRKDVDKFLNRREGFLDIEAMVDKEYEVRKKMAVFQRDMPKFSDYDFKPAMTEFPQKFPQPENDASRKRPAFDPNEIISIDD >CRE07222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:169237:170460:1 gene:WBGene00058458 transcript:CRE07222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07222 MDNNSKPKEETGSNDISRKRRNCRETSDDVEPKKEAIEKREPPTFLFVDRQETIPDPDLQVLFYFFMTYSDLVLLNLMRLLFPEDDKNPKSNRTYCGTCGSALGFSPIISNCDHLQFRKIMAFIIQLNKINQNTNKMVKCSVCKTGKTDAWYKNNKLALNDLCK >CRE07221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:166777:168464:1 gene:WBGene00058459 transcript:CRE07221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elt-2 description:CRE-ELT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M2Y3] MDNTYSDNVNSWTEMEPALPEQMGRLRLPTQNMDPPEQKDESQISELHRMKLDDYPPMERQSVITNNTMSYENKVDALPNQPMFYGFEYPTTFGMLDPTALQNPYPYLYTLPVNPLPTLNGFSNPSLYDTNVPPTINIPATYTTPTSTYECVKCSQTCGTGSKAVNGGMMCANCSKPTEYQSPVVYPSALSQPPVLEIPSEQPVVKAAKSSSKKNNNVNRGNNGSASRRQGLICSNCNGTNTTLWRRNAEGDPVCNACGLYFKLHHVARPTSMKKEGALQTRKRKTKNSGDSSTPSTARVRERKFERTAEKAQRASTRRAGSAKAERELSTAAVAAVTTPYASHADLYPVSSTSVSLQDQTYSYYQWNPTTAGLMMVPNDPSQLYASNYQNGFVRPADNIQVHVMPVQDDETKAAARDLEAVDNDS >CRE07220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:163405:164039:1 gene:WBGene00058460 transcript:CRE07220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07220 MVELKSLASPKVAVPIVGIIVLVVVSTLVAVFYIRNPTVTDNIFGGGNTDDNNTITSFGDSTVDHVTQSSSESSSHSLQSNSSGSHEQPSTSSSAATTTSPSPVTLPMTPASDQSSTPMHAIEPVQHL >CRE07401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:152173:155248:-1 gene:WBGene00058461 transcript:CRE07401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07401 MMLSCTLSSSASPLSLNPHIFHSSILVEGIKPRPTSALVLIQVAPPQLMVRPSAPPPIFALLASFPLLSLVFKLSHHLFLFQTVHFYCSSTMEENNSTSITWPLIDWCFNMEMWLHTMATQNISTQMRTKYAHYKVFRYIYGSRVFSKRLAVHLGMICFWDMLYLLCCLSTYCIPSLIYSVTPIYGPFSYILFFLQPFASFCVSCTIWQVFAITLERYLAVSSPLEQRTRKAKFGVGWICVAITICAFILNLLPVPFENELVDCYEIIFDSNGAPMFRNHTMMKPYFDDKIRIYRFLVHFFPDLLFRAPTPIIVIGTMTVRTIQACSQRSVGNFQIGMRFNRNMPLRLSLLNFKFILCNTLYMFNTILLELLEYGNMDNGDYDGYINSFYLTDASNMLLVVHSATNWLLFYKFPSCRKKDSISMTLSTSIKYSSIKHRVAEHISKQVSPLASALGVDIIHKLCEDIPEVKSIIHGKLEKESEQNERETLVGLEFGHFIRDVFRWFGDRNRRVSKKIIYKQYSDAAIRGKINFKAPEWKIIRTTVVEMIVTHLAAHAKETNSKGAIHSKADIEECATRVFNQVLSEMKNVALCANVEEKQKARAERFVRSNSTTTVMPIFREPPRKSHSVSMRFQYSTSVDSVQMSEQQTLIHKV >CRE07219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:147673:151813:1 gene:WBGene00058462 transcript:CRE07219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-41 description:CRE-LGC-41 protein [Source:UniProtKB/TrEMBL;Acc:E3M2Y0] MKKRPSSFHLFRIAVITCLVQTSFPLTQPNIYNLLQQSNTRPPTANASIPLGVKLGMYLESLGNFRSSEMVIHQHLFSSYVIMFQSFDVDLYVYMSWQDTRLAHNFSDYVLINNDEIRKQIWLPDLYFANARQASFQEVTVPNFNLFVAPDGTVAYSCRCTLTVACSLNLRYYPMDQQLCSIRVLSYAYIAKQVNVTWFDKNPVRFNEEIGLPEFQIEHVSNAYCNGSYQYALTADSFKSDDFSCLTGNLYLSRSIGYNLVQSYIPTGLIVMISWVSFWIDRRAVPARVTLSFTTLVSLTTLGNGLRFGLPQVSYAKAIDLWYGACMFFVFCALLEFATINSYMRKSEKFDSMAKKMQSVVLTGRTRDYLVRGIKESMRIAGGAAGNVVDALNDDWCTYSTENGRLKTEKSPRSFGKPDTVQDILSERIKFIDNEFDFDAKEDDGMAMSIYDNVSCRKDDADSSSEETKFSTKPKRNGTNGTRKYIDKSLNQSNQNESLMAATYFQISANHSRHALKIDKSCRYLFPFAFFVWNVFYWWYYLVYTKTPVDKTK >CRE07216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:133795:135219:1 gene:WBGene00058463 transcript:CRE07216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07216 MNPPNQPIIRLELQPPGIFRPEPQAMLGPEPPRAFRPPAPRNEPPGMMRAPVLRARRNEAPMFARPPRVRPLLDDDFLRGVPPVPRVPPQRRRRPRPNDPMFPLLHGMPHGPLGIDRNALRRARPARHGELFAPPRGAQLPAHVLLAQIRGRGPMGPAFDERFIVEGRRVNERRRAREAEQEEAVGPQERERREVQRQLENLHDELLDRIDDLELENFDHECEIEENEIMIDRMDNIMDSQYRRLEDTNRSLENARRELVVSRQETEHERLLKEARTLELEKQKALTEKYMLEAAELRNQLDGLVPSTSGPVRKSSRQAALKRGK >CRE07215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:130791:133188:1 gene:WBGene00058464 transcript:CRE07215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07215 MSWFVIFVDLKIFNLGFKNNKILSAPNSRSARLLIKKRTRTSLKIPPLYVNNELISSNAAKSSIFSSVFFSNYNCSPSSSLIPVSNNSSNNISPSELFLPWIIENTLRKVPPRCGFTTHHANFYIIRNCATTLAIPLSIIYSDSFLKSHVPASWKHAIIIPIPKKGSLTSPENYRPISLTDPFSRVCERILCNYIKINLFRNISRFQHGFLSKRSCSSSLVHSISEYRLLLSSHKSLDVVYFDFRKAFDQVDHQFLISKLDSFGIPSNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLCIAAFADDIKLYSHDPLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE07214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:126831:128489:1 gene:WBGene00058465 transcript:CRE07214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07214 MEPSKEKSAFRPLKRKLCVNDLKTQDDYPERVPTPITDLPINAHPSPISPQLIRKHEEMYHTIATQEETIKVLTDQRNREIALLSRVVSEHDKKMNKTIKEAETNVTNKLEKTVEESSSNIRDSILVSNRTLFGFHCSTISNFGIIHNQVASVEKSLQSIKNNQQQFETSVDVCNKKTKESLEEIIMKHMNLQTVYEERLLEKDAIIASFQQQIEKSLIQAQELKSVYERNLIEKDKEIAVLKHRQEREQETFKTEIEHQKSINFSISKDSNAKQNEIGNLKKVIERARTLLGPRANLLGKNHAD >CRE07399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:109665:115250:-1 gene:WBGene00058466 transcript:CRE07399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-15 description:CRE-MIG-15 protein [Source:UniProtKB/TrEMBL;Acc:E3M2V1] MSSSGLDEVDLNDLRDPAGIFELIEVVGNGTYGQVYKGRHVKTAQLAAIKIMNINEEEEDEIKLEINMLKKHSHHRNVATYYGAFVKKLPSSTGKHDQLWLVMEFCGSGSVTDLVKSTKGMSLKEEWIAYICREILRGLYHLHQSKVIHRDIKGQNVLLTDSAEVKLVDFGVSAQLDKTVGRRNTFIGTPYWMAPEVIACDENPEATYDSRSDLWSLGITALEMAEGHPPLCDMHPMRALFLIPRNQPPKLKRNKKWTKKFETFIETVLVKDYHQRPYTGALLRHPFIKEQPHERTIRHSIKEHIDRNRRVKKDDADYEYSGSEDDEPGPNHRGPSIGIREDTESCSMIPMDNTLRKGFQKLQESNRGFAEPGGAPQLRRLPQQPVPPPSQYPPSRYSVEPRREAEVKLRAVSSRGAADGPRHSPASRPRPVSHHQRSPQQSHPAAPHLADLANYEKRRRSEREERRERERAAHHQMPIARVSASVPAPQQSRKMSEPLLISHHAKPEDLDVLASELSKMGGLRNGRSREESMSPPPPAPPPREASISSITDTVDVNGMDNGADAEWDDLKDILMNGEGTLRGPNKPLPPTPTDGENTLVSDVRRNGNGSNGHGVYKGKNIPEVRPGIISLDDDDSDSDNDEGNEPLMFKPINASSSRGALPDLLPKSPQLRRQINDQARQMSDDRADEQPNGFQNSDSRSSIQHSFSNRDREKSFVGYFGGGAGAGGGTVNRPGRPQNVNQVQVNVTPNSNGTPAENDAPEIRKYKKKFSGEILCAALWGVNLLIGTDSGLMLLDRSGQGKVYPLISRRRFDQMTVLEGQNILVTISGRKRRIRVYYLSWLRQKILRTEGAGSVNTTEKRNGWVNVGDLQGAIHFKIVRYERIKFLVVGLESSIEIYAWAPKPYHKFMSFKSFGSLSHVPLIVDLTVEENARLKVLYGSTGGFHAIDLDSAAVYDIYTPTQSGSTTTPHCIVVLPNSNGMQLLLCYDNEGVYVNTYGRMTKNVVLQWGEMPSSVAYISTGQIMGWGNKAIEIRSVDTGHLDGVFMHKKAQKLKFLCERNDKVFFSSAKGGGSCQIYFMTLNKPGLNNW >CRE07398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:105961:108527:-1 gene:WBGene00058467 transcript:CRE07398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07398 MSDRYSRRRHDSDDESKETRFSVKPRNLRRDDRNCDERSNRDVERRDDRNRQEKFSRGYSGRDQRSPVRNDRHNRDRNDRHHKEEVHSLCEVYKSQSLIFQKDKRRDDTRRDRDRKNRQEIRRDRSRSRSRSPAKHRSPPSHRSPSSHRSRDSIQGSIRSTESTSRKSQTPNELSEISRFADRLLDSNQNSNTILEIEDIEKSPTPLKDEDPIVSFTFDDTCGPARPSRVASEPNSEHDNVDVEYRPATPLTPADLRASVTPLGSDHSDDEAEDLLDRYNTTPDSETWNSLPEDEKKLHQEAMEQRKKRRHEEAVSKLPVYYPGLRGCQHIAEYSILNTIAEGTYGEVFRGKNTRTDEIVALKRFKMEKEHEGFPITALREINMLLKAGSHMNVVKVREVLVGNTKTEVFMAMEYIEHDVKGLIDTMKRRNQRFKTGEQKSLMTQLLSGMEYLHSLWILHRDLKTSNLLISHSGVLKIADFGMAREFGEASDVENRMKLTQVVVTLWYRSPELLLEPKTYSTPLDMWSVGCIMAEFITMNPLFRGEDEPNQVELIFQMLGTPNEITWPDINELKIWQKVEFPKHKAGQLKRIFRGEKLLNETGFNLLNGLLTLDPSQRLTASEALNHPWFEEHPKPVSCEDLPTFPARSELNAPPPIQKRKNKLEALLADEDPERAALLRAFNVKAEQVKPSDFQLRF >CRE07213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:102970:105894:1 gene:WBGene00058468 transcript:CRE07213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-8 description:CRE-ACR-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M2U9] MNFAFLLRIFVYAGLFFYVNPNRYADQMYEDLLYYYNKNVRPVKNASESVKVKFGSSLIRIIDVDEVNQVLTTNLWLEMQWFDYRLMWDPGRWGKIRKLHVPVDQIWIPDILLYNNADGEPHITIMSDAIVYYNGLVVWKPPSIYKSFCSIDIEYFPYDTQTCRMKFGGWTYNGFLLDVRQLPTTGCEVVNKADDEGEFQFLGRGMDLSGYYPSLEWDLMELNSARHEKIYAGCCGQDFYIDITFKIEIRRKTLFYTVNLMIPCMMFAILTSIVFYVPPIEHKMTFSISILVTLTVFYLILIDLVPPTSLVIPLIGKYLLFTMFLVSISIMLSVISLNFYRRDGSSFPMPHWMKVVFIHTLPRYICIKTAEEDDGSDRGSSISDIGPFMDSRRPSPFFITVNERQLNGRLSELKKKGMHPDLIRNMIQNVEFIAEYFSSLKKEDKISEDWSYVANVIDRIFLIIFSIMNVVGTWVILINSPALFDNRTPLAINSVARPLSGDTFEHFISENFTQIAWWASTIQNSTATLSDNELCPILYQ >CRE07212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:99318:101487:1 gene:WBGene00058470 transcript:CRE07212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07212 MTFCLHLLILLLLFCQTYNCERYGGSSDFCIDGTVAEKSKTGIVKWCENTDDCSAGWKCVPSGQYHFSKQVNYCCQMRGLVFLNEGKFIFCLESICSMPPNPGYGDCFEEPRTMFYFDSMDLKCKKFKVINCFGKNQNQFETYELCTRFCQSTACLAGQSLLLARDSSPVNCKEAGCPTGYRCVYDKLFNRHVCCGHSPTGVCPIGSVSFSHVRSNQPMRCNPSAYRDLCPADYVCTTQGLHSFCCSPHDAICPAGQQPYNHIVSKNSMKCNPLETSSCPETYYCSPAVPGAHWGFCCSVHIEASCPSETEPYLDFMSKTPVRCTVGVTQCNIGYSCQSSQSGSLIGFCCTIPKLTYRTPSRSSSLNENSPESVSQFITPTDQHFFIHNEGLIGPAVKPRTSSILSSCHQKPAKKYQKATKSTSTYGVVSNYKPASCPPRATSVFYANTQINVECTPAEGYSFDCPDNSTCVDAYMDLAGRRVCCQMPKTTPTPTFVYFVTSTPRMVRYKTTDSYCPYAIRHSQCRPSASTCPMGYFCQYLFEISSFSCCSLY >CRE07397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:96089:98440:-1 gene:WBGene00058471 transcript:CRE07397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gur-3 description:CRE-GUR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M2U7] MTITASNTLEFKWTSPRSSRSSFRTTTDVDQKISIDMSNTYCDQVLGPLYSYMMVLGLNHTHSSARNTMFKWPLTIYNYATLAVLTAATIRRISQIKQKSVTNEEKDAAFHVLNPTFVLTLCHALLMFSGLAAAFLLLKLQKQREKMYHVLDQGLGRNRNEEHDDHHFKLNKLFIGISFSFAGALSFVQIATKLKYLDLPDTPDLINRKLYFVVLEGYVIFIASSCISLVAILFFQLCRILQFSIGQLIEEMVPKEKEECPLPEQSLQQIHDVQIHYQEISNAKLYIEQNFSFSLFYTYGCCIPLTCLLGYIAFRNGIQADMAETFSVVIWLTNTMLALMLFSIPAFMIAEEGDKLLTASFKMYHETLCEERDLLVLSQMSFLSFQMHATKLTLTAGNFFMMNRKIMISLFSAIFTYFLILVQFDAEKERAGECNNQSRVLIVQPPM >CRE07211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:90729:95391:1 gene:WBGene00058472 transcript:CRE07211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pkn-1 description:CRE-PKN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M2U6] MDYHSNGNGVNGTNGTSRFAAELPSTPPGNGFGNGEGSRYEKSANRFYGNVYDGSAPSTPKSSLTTAFKSACTNSPVVSFTRGVLRASRKKIRPLFTSTTTSSYESSYYRVPSPSHNFWPDTTFFGPILAMRQPPPSQTLDIVDLDKSTYWEAAPAEMVELANKYNFTIDEGSSIQQEALELRAVIRKDLKKKLKIKSGYSRIKLITSDRRQSEFLRFELSDLNEQIADLQEDLQALEMYNSGAFDEDVMYLDNLEDCDVENGPPSKLLVLQLELEKELKVKRGLEQFLRGAPDKSKVHGDSQSLLDDSRAKIAMLRMQIDRLSQESPATEGDQKSKVELAIEDLIIRYHKEKLIMDGSRNMIRILRCQKKLDNKAIEEALNSLIIASEKSDLIKLALLKYAASLPIEHKDRHSLIEEINAGRIPKPQSGSQDRNSPSSEEGSTSCSTAQSRRCSFMPHSLQISGCLEVTINGCSGIISGSFERRIRMDIPGMAGYTSVFGVDSTGKKKKSFKGPTSPDEVFCVLRIDNRYIGSSELKKIIDRNWDQKFDVDLDRSRELQIELFYHDDRSMCGFAAIKLSNLIETSTKVGIIVPVEPQGNIFVQFKYMNPVVSRKPKLERQRRLFRVKESNDGARQKLGVFAFSRLIKSRGNEPSEKFAAFTGFTPMASMSSQQQAGPSSSGPSSSISSVSTTNPSTSNSLFDKVSNHLPGFNPRKSAKKQREAKEAVLASSAAAAVNANLYVHPLTSVVPAPNRERSLVVDDYHAICTPGQSQAPVPAARHIDFFASSDGAPLTVEQFRLISVLGRGHFGKVILSQHNPTSNYYALKVLKKGDILGRDEVESLMVEKRIFEVSSRARHPFLVNLHGCFQTPEHVFFCMEYSMGGDLMRHIHDDVFDEVRGCFYAACVVLGLDFLHQHNIIYRDIKLDNLLLDRDGYVKIADFGLCKENMGPFDKTSTFCGTPEFLAPEVLSDSSYTRAIDWWGLGVLIFEMLVGEPPFSGDDEEEIFDSIISEDVRYPRYLSVESIAIMRRLLRKVPEKRLGYGERDAEDIKVQRFFRHISWEWDKLLSREIRPPFQPQIRNPEDVSNFDLEFTQERARFSAASSTRPITEADQRLFNNFDFSIVP >CRE07396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:77568:81448:-1 gene:WBGene00058473 transcript:CRE07396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyf-6 description:CRE-DYF-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M2U5] MSSEVPVPPDSNQSPAQPKPPPGGHLGIPISDDSSEDEQSQIRALEEEKGIYHHEEVVVSPKNESDDDIPLRRYNLSESANQKLLDFASEDHTVEEESDIINSLEDGGPNMERRRSVRFSGPHDEEEDGRNKHWRTPSPESLRYIKALENPMAANGDFEEEFNDMNDTPVPDHPHPMGSPPAYTSGDEGPKSPPRTPIGSSQSGAFDDSQINFADKRQESLNNMILRKISDPLQNMIRRASRLEDDSSNDEEDEEDEDDDDDYTEDEIAVLTYIDAYKAQEVELRPQLRAFTIEYIPSMGDVDLFIKVPRPDDIDDNVGLTQVDEPPSNQSDATIVDMQIRNATKDAAILDDEVPVKLLEKADQKPDEIKKWIADIKVRIHILFCIYINFDFQEFHKSKPAQTVHYRTQLPDIETLMQEWAPKLEEILKTTKAPSSDLDVPLEKYVDICLNLLDIPVGKSRIESLHLLFSLLNEFNNSQHFRNLAQNNNLRGETGETMDRLEL >CRE07395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:71661:75333:-1 gene:WBGene00058474 transcript:CRE07395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rme-4 description:CRE-RME-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M2U4] MTYGRYRDDVATLYDVFCELGAPKPGEKLATLHFKYPDDFHDPGIVKSIQQFAFPCQLKEVELDAVQLFSFVLTDSNSKFSFGFCRYTPRTDTCICFLSGFFWPNVFFKALNDISLVIQAAQKEDVESVLTKFYHTDIPNIDEYLRFADHNPKHNYRIVFAEKIPDPTKLPTLSADKYFLEFYNAIDPRQMLAIFASLLKERRILFTGRKVGTLSSCLHAVSMLLYPMCWQSVFITILPESLVDMVMAPMPYLIGVPKTVLDTANLNLRDIGEVVIVDIDEKTLTSPFDDVAAMPSEVVTFLKTQLRSQSAMDDTFAKHFLRAMVLLFGDYTSGFTGDTPETLVFSKERFVSQQRPSYQAYIDSLLGADGVQYLERFIHDRLELFKEGHVPGDQFEIEIERMDLKNRPVKENANDVIGALKTSGIQIANRLQRLTPREIRKAAAKKKAQSNGTEQSPMSFDNLQWEAKGEEDEEAAIETCRDNVPVANLIDFDEPSTSAGLTSVDEQYFLRPAELSTTRTSPPQQLGNVFADPFATSPDGPAPPLPSRPPPPLHPRMIPAPPVQPFPSSGSSAPHLLHLQQSHQWQMYPIGVGTTAAPLHPPAPDYYAPPPLPPRRPASVGPSISSTPAPLSGQPNPTVATNIAAQPPAPPIRHKWETFE >CRE07393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:64535:66551:-1 gene:WBGene00058475 transcript:CRE07393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07393 MTDKFVVDVLVFASPPASNIEIILRNHRIKFEGRTIKVLEASSFETIREFDCSLVLNKPMKHPGDRCRTILSVIFAPSGGLQLAFYDSNMDYVGYVVEEFNKFLISNKDHVIQKRALIEYATVVTMIDKFVVDVMVPPNPPEYLSKAILKEHRISFEGRTIQVLNGSSFDTVRKYDSSLLLNKLLKHPNAQEREKDIIILSIRFQNAEGIKLAFSGSNRDYAGYVVNKFNGFLEANQCREFNFEPSHDLSSFPEIRQSRAKIENIPTVYTQQSITPSNPTKKRRAEWGFEIEQKQDNNKKLICLDNTQQKEQIQDLNPNNEIDANEVDNLPVEKNWTIHVEGQIITGDKDALSAIEITRNEEVEDIRKARKAAGKGSKIDAVVEEDNSKKTDAQKQIKISGKNKLPKEEGPLDAPEVEQMDVEGDQGQIVDQEKEQDIEPEEDVDDSVEEERVIQESTTSNSSWRNFFRVCFSIHFNQSNLFSYRDSVFGRNDNSMFTKSANTAPSLRQFLS >CRE07392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:59115:61122:-1 gene:WBGene00058476 transcript:CRE07392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07392 MSIVLSFPGVPFLVLLACCHFVMHKASTNLLLGDEQHPTTTLLCFIHYFILTAKQDIRVGDVCVINKSLAVVVRVHRKFTVRYIGYSPKYPHSFEKSRFVAFHFEDQFKPGVHLREGALEAAKNILQIRGQDWGSESGRKPCEQEKLIRSDSANRDIEIYKELRKYLRVLRRVNRGGRRDGGRGGRRGGHRGGGHNFMPGREDGVHAEVEGNQKDNLHHEGNIVIPDVQEDVQNQGSEDVFGGESDMPQNEKANLDGEKNGDLPVEAVAHHGAEVDILAMGEGNRGGEDNDYLPNGIHDGEGIIPVEILGSGENGYIPIEAGHFCEETDIILTAVKSDSHGNQAVLPAVADNVVAEKNICHQTGADELDDKGNKTMSTEGDFALHGSGSASLTNSINCQKSQKRVAPTEEDTDNFDDSIEEERTIDPPSPKRDNKLCIIS >CRE07210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:56329:58028:1 gene:WBGene00058477 transcript:CRE07210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07210 MSYRSYEDSTQDVPTEDILEHFGSDPAPRRRHQLKYKNGECFVAEEDLVQNYPKQRSFHHSCNAGPLLHEAEAANSAALSFDAYTEEDGLDEEVHRIRIFKINYHLNDDTITVNEPFVDNTGYLHGRIFRRQKVPATNRIGKPYVHWSDLNVGSDVNLFQTIYRITSCDGFTRSFLEEHGMKVNEDEELPEDPWLVRRRGAPRTAPKLPDQREFINRPPMLVYRCCWLDHMNDFHGCRMKRIFKMYVYCTDHTVALIEETKEFEGQLFLKRIGLPFPVQPDVPRRDYRWWDIRPGVWIDVFCRPMFVFECANDETKSFIRQQFGETDFGNYSSQILENGPPPTQYYNSPAILKFRCTMVDAPSILYGLHFILYYDVNRRLVNIAEEGRKTWTEGRVFLKDVDASTFSENQFAPGRILQFFKWRFNLVQSCLETDKYLRWKQTPNHK >CRE07391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:45659:46862:-1 gene:WBGene00058478 transcript:CRE07391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07391 MPTWVVVMLAVIAGILAVLMILTLFFCIRSKDKKQPPSPAEPNRRLPTRAESTIGIRPKIPNPIYTINTASQETRGTSIADTLTTRKLSQMFDSKKRFSTAFSHKSAYFDFENSPLPRHKEYLDFNDIENMVPIKKQVTTPDRPSIDFLNEIHESHAKTKENKTNDDSKTPKSNDKRATVKQVFAEEDHPSFTQNTRPIYAN >CRE07390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:42856:44685:-1 gene:WBGene00058479 transcript:CRE07390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07390 MVAIAWFKPVSQDEKQPPIGSGEHHLLARDIFSSHHHASKIGDNRACTITMLLCMFTLVGVMVYMLMQPINPSQGFPRFHKGEKGIQSIAKEYIAYTEKYNKSYATSQESLKRLNAYYTTEENIVNWNKQKEHGSTVYGHNDMSDWTDAEFEKTLLPKSFYQRLHKDAEYIVPVPESLAGMIGERAGPLPDFFDWRDRNVVTPVKAQGQCGSCWAFASTATVEAAYAIAHGERRNLSEQTLLDCDLVDNACDGGDEDKAFRYIHRQGLAYSVDLPYVAHRQNNCVVNDHWNTTRIKAAYFLHHDEDSIINWLVNFGPVNIGMSVIQPMRAYKGGVFTPSEYACKNEVIGLHALLITGYGTSDKGEKYWIVKNSWGNTWGVEHGYIYFARGINACGIEDEPIGILA >CRE07208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:37451:38820:1 gene:WBGene00058480 transcript:CRE07208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07208 MKPSTESLSDFSSEFEKIYTTKGILSLTSYLPKTIPDLAIELVTRRCSNLFNAYVKEIETSSDRHVAELMFAFEGSYEEITSMELNVLEKYKGEQIRRRNSEHLTELTKTPVSGVRIWNCDGHVIYVPECFKIVIDDAKIKKEKNNEKTVQPGKQDDAMSGSTKSKIRHDSQLQTIYEKQVEEHEEHTSCFVEIMEEKEREFQNKCDEFVEQLSGYLENLCNKLDFNGSNKVVKKAVIQNTEDDPIVDKRYVNYNQVVSPDTSTISNQNAERQFVKLCNPQFLMKQKSGNNTSADQYFSSELEKIEETGVTIEGLSKASSTWPRNKKNE >CRE07389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:34927:35509:-1 gene:WBGene00058481 transcript:CRE07389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07389 MNFVLAVFAIIILQTAQGEIDNAIVGDPSVECGDDFFEVKFDTRTTFHGIAFVQNHLDNPDCRTFARKDESAKNSSLRLTFDQCAIEKRHSVSVFPVPVDQRSVIWMSDPK >CRE07207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:34161:34746:1 gene:WBGene00058482 transcript:CRE07207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07207 MRRSVLVLSTCALLALGQDWGGGGGGYGGGGDMGGYGGGGYGGGGDMGGGYGGGGDGGYGGGGDMGGGYGGGGDGGYGGYGGGGYGGGGDMGGGYGMGGGGGDGGYGGGGYGGNMGGNMGGGGGYGSYQGGGPQQPMGGNQGGYGGQPYGGGGQGGWPPMPN >CRE07388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:32189:33709:-1 gene:WBGene00058483 transcript:CRE07388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cut-5 description:CRE-CUT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M2T3] MRNFKNQFRLDYITSPRGLFLSTNVVVAFNPEFLTKNDRVFKVQCFYMEMERRIQKEIQISMPPPTMHSKQLNMPVCKYEVLDGSPTGPPVYFATVGQMVYHKWTCDTEHENTFCMLVHSCFVDDGNGQRVQLLNEKGCALDKYLLTNLEYPTDLMAGREAHVYKYADRDNMYFDCQISITVKEPGLDYCDVPSCPDPPRRRRSNSLPEPMENNTSISAQVDYEDSEIVSDYIIPNDDIISLNWLQRNFDMRISELCMTAIGTTVLVFLNAFLFIVSLVSIMHVCCFRSSPKLDNTQSTML >CRE07387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:28934:30101:-1 gene:WBGene00058484 transcript:CRE07387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07387 MASTSSTLDALETCKKCLAGSAAAAISKTTTAPFDRVKLVLQLQRRGELAVAEYNGIRDCITKIRLEQFIPGTFVSGGLGGATTLFILYPFDFARTRLALDTKKDGSRKYKGMVDCLQKIKAREGVSAWFKGLSAAMQFVIASRAIFFGIFDSIRTSVKDPKSLNFAACWAIAQVSITTSGMMCYPLDTVRRSMMMQAGKKVKQYTSTKDCWKTLYKKDGFNGFYRGALTNSLRSTGGALIITFYYEFSKYM >CRE07386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:25260:27447:-1 gene:WBGene00058485 transcript:CRE07386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-5 description:CRE-ACL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M2R7] MIFLLFWFLILLLIFLAVTGKTLGLRELYVNTLIRIFEWGATLSEEADNESVVEEFSEEQPAKKIRRSSSSADLGIINREKSDIIDAKLHETNVPEAKQTTVSVLVDDTLDFITAGMEAVIEDQVTNRFSAAQLPSWNLLSRTKFSFHFLNWQLTMLWIAGFMFRYYVLVPCRIALFAIAIVLMIVSTSIIGMVPHAKTRKFLNRRCMLMCMRIYSRAFSSVIRFHDKENRANKGGICVANHTSPIDVMVLSCDNCYAMIGQKQGGFLGFLQTTLSRSEHHIWFERGEAGDRKQVMNRMREHVDDENKLPIIIFPEGTCINNTSVMMFKKGSFEIGSTIYPIAVKYDTRLTDAFWNSSAQSYGRYLWSMMTSWAIICDVWYLPPMTRGENEDSISFAKRVKRAIAKKGGLIDLEWDGALKRERVSSKLVTLQQKLYFERLARTTTLNAMLEEKSSDILNIMQGITEEERNELLKQIDEQDDEEEMIRKISSMKPKFRIGGQEGDEREHIKSD >CRE07206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:20911:24344:1 gene:WBGene00058486 transcript:CRE07206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07206 MRVLLYTLAIVASASAQFFMSGNTRENYNDQFGVKDAISSWSDALRKIALRNNPKFYAPSNVRQFTIEPPAFKPEELDLNKLKRGKGDTIISEKMLTLLLPRVKGQNPFTTTTTTTTAKPTTTTKPTTTTTTTTTTTTQAPTTTTTTTTSTTTTTAPITTTEELPKQKLAGRLVQPDMDSGEPESSEDGIEKKKKENNVDFTTDDNNVSQEADAKPDKKDEEEEENEANTSTTAKIEEESTDSTTTATTKATSKDTKLSVKIRSEDEKKEEDKLSDEEKPEYMKKLEEIYAKEEELVEKELAEMSVAEIFNNETFTTITTKKITTTKATTITTKKPTTTEEPTTTTEEPTTTTEATTTTTESPTTTTTEPTTTTTESTTTTTTEPTTTTTTVDPLAENEALAEQAVEVLKSLDDEEKADEEKNVEVQKRKDERNAARRRINNFLKMMQKARENKNKPHKETTTEEPKTTAAETTTEEKNTTELVTSESTTIETSTTTTEPTTTTTTTTKKLSNIPEAEEEVEEETEEPAASTTGEEATTTEIPDYIKQRNALVGIKTLSPAELEAAINAKPITSSENTGTTSDSSFVTGAPSAASAAAAVVPEPVAPPQIALPQLTAPQLSQLMRPVAGIMEDIRPILGSILQGSSSASRARAASVRTYERAQNPNIRDIMADGYSENSLVGFGSQLAREILNPGILKRDKATRERAMAAKLEEAKIRNNAAAEAMATGAPLDLTSYQNYAQQQRPAASNGNLPYFIPPPRGYVGPLPPVPPPPTFRVAPQPAAPVPLPVVETPRPAPTQPPTPIPVVTTKRTPKTKDEGYEQGRDDVKTTFHGDSGVMMGGGHSAPSSDFLNMPSGETFGLAPAPGPAAAPAPPPAPAPAAASPVAPPKPAAPQPFGGGGFGGGGGGFGGGRGGGFGGGSGPSVPFDEVEKNSNPGFSFFSSSRKRL >CRE07385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:17392:19586:-1 gene:WBGene00058487 transcript:CRE07385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07385 MVRTYAFNASSRSEIFEQFKHTAATPRFNPENHNNFRSQDTVTSSYTNDNRKFFPSESPVSTTTTNSMTDSSSHLSNQENNNQYMNNVFPPLNQSNIYQSSFHSSIIDPLNSIIALRPLYMNGQLVYMPVEPHINMMINKEFGGIRNQVQPVVQQPVMLPQVFTNIQPNMPILAQNAAQPYQEMLQPHSMVRSQSRNDVYQNRQDPPTQASLNRQSANQQYRQNTINAKYGSLPNLSQRTGQEQYKLSSQEQHKLELQQQIEDNKKRRALEKQKEIELEQREIRKWEEHQIKVREEEMREKQQILDKARAIEMRNQHVYEKEQMRSQNQKKERRPSIPQQQQQQQYRPQQRYDDYDSYGDNEEPIRPARQQNMRNSNSFSEYSEQRPSSRSIRNQPRYEQQYNVAKEQRPDSSQPREVEWWEKKQQSKIAERQSAVIPTLRGKPPAVPDSQRSGYDSSGYVGEHQSRPSSRTSRTTSSTQRSSDVHSEEPISRNLSGGRKAPTAFTVDA >CRE07205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:16629:17256:1 gene:WBGene00058488 transcript:CRE07205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07205 MQVLATLLIALFALIAVASAFRGGHGRPLYGIIGDVQSVESSMPLRGFMNHGGRAAARSYDQW >CRE07384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:12836:15639:-1 gene:WBGene00058489 transcript:CRE07384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07384 MTESTFSHQEELPDLPLPGLEETIDKYLKSLIPIITKDELNDVTNLAKKFTNSESGHRMQNFLELKSSTSKNWLEDWWYDAYTTNRESLLTQNMGAIIPKSFIQNCSQVSIASQIIHHMMTYWSLVRQEKIQVTKSRGTNWDMYQVYNLFNSCRVPALPKDKIERYFRTEAEGGCPSHVIILCNGHIWKMETVLDKQLIGTSEIQNCLKYIKANSTKIDENTIVKLTTLGRDTWALIRESLIVNSSQNLEIFKTIESAAFCLTLSDDFVENDSELMKYSIFGSSLNTYCDKNLNIIVLRDGKVCLQAEHGNVDAISLFAPCDFAADQLQIDPKLNEETLQNNISTPTPERVKFLLTGSVSSRISEAERNFFALSSRTNVNVYHYSNFGATYCKKRKLYADTIIQIALQMAYLKTHNKLAPTYETASTRKFYHGRTETVRSLTPDLADYLEACENSATNETLKTLFFDAYNSHNTLMDAAREGKGIDRHFVGLRNAQSALKSYGEDHEISFLDHSSFAASGGNGNFSLSTSFLGYNENGCFGYVVPMCKDGYGAFYRINATSLTFTISNYLDDVTNGDEFCENLEYSLDFIKNVILTNE >CRE07204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:12226:12488:1 gene:WBGene00058490 transcript:CRE07204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnc-8 description:CRE-CNC-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M2R2] MQLNLLFVLLATLIGLASAQWGGYGGYGGGYGGYGPRPWGPRPWGPRPWGPRPTVIEKTVIIRQGPWAHKV >CRE07203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:10868:11233:1 gene:WBGene00058491 transcript:CRE07203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fipr-21 description:CRE-FIPR-21 protein [Source:UniProtKB/TrEMBL;Acc:E3M2R1] MNSYAIILLLGLLAAVLAAPQGFVQKTTIIETGPRGGFGGGPGQFGRGGFGGGPGSNYGPGGGFGGRGGFGGGPGSNYGPGGGFGGRGGFGSGPGNGFGGGPGFGRGGPTIIKETIIRGGK >CRE07202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:7878:8227:1 gene:WBGene00058492 transcript:CRE07202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fip-5 description:CRE-FIP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M2R0] MNFYSFFLLVALAVAALAAPQRVIEKTTIIRGGGPGFGGRPGFGGGFNRGPPVPPPRFGGPGFGGRPGFGGPTTIVKQTIIRPGK >CRE15266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:1666:6102:1 gene:WBGene00058493 transcript:CRE15266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15266 MFNDSTDIPPIPIEPDLDASQQDDDSVNGEENENLEALEETSSTSAEPTKRPRKRKADGYKKRRQNKKPKASNDPNAPIDQKEVHKRSTRWYAIGCLGSAYVDMQTKGTLPDGPGMDALTSLIAENKEKDCHAFEIPPNFEFADEGNYRGILNTLIHDAVMTRVNEPPQKAKIEKPSEMTPPELCFASKFYMSHEATAKEVIERVKQFEGCEENGVYEEAKEHALFDESDALAKREKELIAKLENREEIESMHENRAVVIEIDARSCSVKELEEQARKTGICNITGFQEKYQIDGKIFDAEELAKCDPDQNLKVRRQLPQSTATNYYMWNHNGIKDHADQLKVYDYEQFVTLKKFADNLEKIKEASEKACQKIIENPDNVEDILEELKAGLRTLMMPLDASKNEHANAKATILMFGTNIDLMDGTKFSNQNEEIKKFPTFLRPNGDGTLLNYSREVIGGLNKPQCYAKPPGARTSPHTENNSLSSVNLNLGPGNCEWLAVPPEQSGKFQNEVAKELKNKTRKPKKRISSEPNEEKITNLEIKEMYNAGYWPNEQKLLAAGIQLQKFIQKPGDLAYVGPLTYHWVQSNGYCTNVSWNIGQPDFCQLAMSGITHDHNIGVEYASVMPIEPIIWRMAEQKAQVDQKLFALIKVFLLRSVAHAQRELEYIEAKGYKFTNNAELGAVMRCTTPRCEKVLFNHVAFNKNLKPLCFKCCNKLTQTEKKEISVVQYKEMDELADICDGYVLTGTN >CRE15374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:7600:8908:-1 gene:WBGene00058494 transcript:CRE15374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15374 MSESLRDLFQLLKESLARDEKMSEEIRVIYQDEEISQVLKEQVKPNMSLEELVAALKECMEDSIAAIDNIIDAGCKMSVKTNATIIAETPT >CRE15267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:13073:15402:1 gene:WBGene00058495 transcript:CRE15267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15267 MSMEFADSRKKRKSRFDQKPECSSECSCYVPPPKLLYLSPTFLKAPSTPSSDTPFYTESISGNGPEKRNFEVNTIVNLNELLTPRICLLLESMRNSHVPDYLREHLLTPESPPTEEGANQHIDVCPEENVADEETIALYTATREEKYEETDEQVIFAAWIEFCEIMRARGLPPTAIRNHNYKKFMRGPPIVKKANMYRNMGGCKRCRYRNMQQYGNNFSERSEDDIWKSCTVRYTMECHRNQSAIDDLFRKRPDLCTFCKFKLNDPFLRKKESQDHVDSHVKEGLKDIPGKMKWQDEESRKTHREWYPSTEEWLVKKKVPETVENSEEADQVTDVMTNGLQRRKCQVCREQLEEYYDNEWETWRFKNSVGINRKVIHKRCLGDLEQSENNLKDELTTTFSKEEMICIKID >CRE15268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:17834:23296:1 gene:WBGene00058496 transcript:CRE15268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15268 METKDQVTGWTGLQKAKEVLDEIPEGVDLIEHLFANLDRKEKMMLFSNLAFKMMYISDESEASPELKRVNLLNQDRPPNTFPIVTREILSLRRGPVQRLDEGLIVLGLEVTRVIINFISLSIYVSSFQCVFKLSKNGLAQELTKKEYTPYFEKFFEKNWSVGTSTEVVKPIEGPRALQIAIDLTSPISPTAPPAPSTSIPQQNLSPLSENVFLCVHIISTACERMSSLSASAHSTTTSNSNNCLKKKKVQKEQRKVKGTVETSPGPIKVVDHPPDATWTVDKNGHIDILHHACQFKKHFKITGIDKQFHNVWRSIPRFCESCGQHMKYILYNGKVVELKDQIVAPPLWWW >CRE15274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:35893:38893:1 gene:WBGene00058497 transcript:CRE15274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15274 MQPFAVSIIGSQRKMRLLSIGTVDFDDKRTMSAEAKTSELYLNSKVLGENDCPESGTRMGSKKITQNIRPLQFGCPPKSTDQSEALYKYESGKPRRRLSLRFGSPIVTVRYIENRETLKEMYKSSISHCPQYDGKDILKSNTQPSMKNGDREATSTPFSVVLDQSHKKEKENQKSQEQNRQSPRYNPYNNSGRYESKSWAHSDHCKNEDSRTLKHEVTEPIYEKEHDVSDTNKNIGMNRNITYEFSLIAPPKASIQLLENGTDQKSPVNSNPVIHLNNHGEAVCKTEAGGVLIELISRAKYPPAKNLLICLSVKTEFGYMKISPWINPFSDQDIYLGTEELIFKYSYQTRKYKITSRITPYFVIESHKQYAKSYLVQQKQHPNAFGVYSTKEVLLKTVRCEETWELDDAGEICSQH >CRE15275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:41290:42320:1 gene:WBGene00058498 transcript:CRE15275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15275 MNRESLTMSAYCIAFLLILITGTETCSDSASNCANWVANGFCTSTFYTTAQKTEYCASSCGLCSSSSSSSTTASSSSCTDSSSSCTSWAANGFCTSTFYTTALKTQYCASTCSLCSDSSSSSSSSSSSSSATTSASSSSSSSSSSSSATTSSSDSTTANTESTTYSSTSSDSSSSSSSSDSSSSFSSSSSTATTSATTCTDSSSSCSSWAANGFCTSSFYTTAIKTQYCASTCSLCSSSDSSSSSSSSTASTSSTCTDSASACSSWAASGYCTNSFYTDAQRILYCAKTCGLC >CRE15276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:42877:46540:1 gene:WBGene00058499 transcript:CRE15276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15276 MQKPPRPVFRRAYPNLDSLFGHVYSNLTGEPLTPTKIATFYLIRVLFQTHFGLRHERSFYGLFDTRAKLYVFEWLYLFMSTERELTWDEYRMLTRDAFEDYREINKNFYAVIEQIGSGQADINFGIEDEFYTNKYSKDPKLHPNDPYHEAVELATRDDLHFSSAFSHIYRWVKRILAQYSKTSNADLFKLNETMREWIHTNGEDYVSPYPIENMGTPLPYVIDCSMRARKWVNEFTFIIQKNLWDATGKLELDDIFNYTKLVQKHHPDVIEAFLLEAVLQVQMKDGPSAIKALKSYFELSSFELNSNMLHAMKTHRLGVPNFAPLMYSPILQARICRLFGDLPAARMLLDESLQQAQIRNDEICHQMANVEMHACSVIGSDIFLENNAQRIIEYVDKDRRVLRKALRHIDDLHGQHRTGPCCLESEDDFEVVAELDSYGKMLMILKKIAAGHFKLRYHRDAEVGFNSQLGSDPEERGQRVTTYGLSIICSNAIRNGMYDQATSVAESLLDGELETERCYSHNTEPVAVAYANLAYSHAARGDYDESIDVIWEAVEVFPDEEMWQGFRHIQACLAIILYEKYFMGTDYYWAKNLLKDLAPFSEFEYKIRKSLLICVQGRCNEAINMLLDLKADDVYLKIRVQMQIGCIYTSTKAFGKALLAFKEAMILASGTTLLGIKALILRRMATMLMCEGKYQQAQKVLDQCTNDVLYHGTYIEKACLHVTAARTARMLGKDPRQFLRLARELVHYNWPAMEKMILSELALLYDPKGLMPNENRLSQVCEQFGKITALVPGKCVWLLM >CRE15277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:47922:48396:1 gene:WBGene00058500 transcript:CRE15277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15277 MQFSIYHSVAILALLVAGAEMCSDLNPSCPNWVANGFCTSTFYTDTQKTQYCPASCGLCSGVSSTGASASTASSSSSGVCVDNASNCKTWNDNGFCDSTFYTTQQKKQYCGYTCNLCNSDSLNTVGGASDSTTQATATTSA >CRE15278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:52457:53382:1 gene:WBGene00058501 transcript:CRE15278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-24 description:CRE-HIS-24 protein [Source:UniProtKB/TrEMBL;Acc:E3MBZ8] MSDSAQVSVAAPAAAAAKAKPAKAKGTKVAKAKAPVAHPPYINMIKQAIKELKDRKGASKQAILKFISQNFKLGDNVIQINAHLRQALKRGVTSKALIQSAGAGANGRFRVPEKAAAEKKPAAAKKPAAKKPAAKKAATGEKKAKKPAAAKKPAAKKAATGEKKAKKPKSPKKVAKPAAKKAAKSPAKKAAPKKAAAKPAKKA >CRE15280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:67318:69112:1 gene:WBGene00058502 transcript:CRE15280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15280 MNIENVLSSTFSVTPPTSLPPFNFNSTSISSLQALTPQSSYSNSATPASDCSSLSPSGGLSECNWLIKPHISLNEVIASSNLGVRSFPFNESAAGIFRKVPTDQNNVNDPGVPICQKPPRLTCNGKRVGRPPGTNKVYLKKLDSVVQCDNGEEVHECRWNRCHKTFTNKNDFYAHMALHVNSDTRICLWDGCDRPMFSAFYQLTAHLRTHTKEKPHECDVSESRRNLTHNSDFQWENCGKKYSRRENLKTHRRTHTGEKPYACDYAGCSKKFSNASDCAKHRNRTHSSLVITNPSLENQGSLFQKLYFCLVENCGKSYTDPSSLRKHFKAIHPDLMPKYSTGQYRAYQPKIHSHRKGSPVSNSVQ >CRE15375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:73224:74250:-1 gene:WBGene00058503 transcript:CRE15375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15375 MESSRGLRQCYQLFWVPLSITFIKFIMARPPQVEDASSPRMQSAPINIAYGNQNDQRFWRDNYHHWSNHHQNQFDDSDHEEVEQNHHEDNNQDCFMMMHDGFSGGMVDSSDEVENVEECVSAVDDDVVRKMEQQTNDAITQQKVAEMSDDLFEQLLAENERKLRQLISYVENVHLVLK >CRE15376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:79820:81648:-1 gene:WBGene00058504 transcript:CRE15376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbxa-141 description:CRE-FBXA-141 protein [Source:UniProtKB/TrEMBL;Acc:E3MC03] MEIDATWKGLPDKFKESVVKVLDFKSRCALKKCSIADYIMVDLVPINTRRLALTVYQRSIVFFIGPEKIEYFYVHPQDTRSMIIEYTAKLGDVPIKKVFPGIVNADLDYAVRDFVQAIQHVDASFVKVDFQFAEGINPERAELLSRKLVGQLNRMKPEWTFKAHCFYTNFVISGQTMLYLISKLDPHYLQHLRIKHVTLNQIQIRELTNMAQWNNAQSLYIEEKTEIPVRCFSHVETFWTKTDFRLPLEAWNVIQNYIARNPPHGSYFYVESDAPINYARILDAGHVQIAINIIRTPWQDSLIQHSQAFNLATRNHVLLVLFMEHAITGRVCRTESYVDDSLKFYTGYTFEPTLANR >CRE15377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:82482:83287:-1 gene:WBGene00058505 transcript:CRE15377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcsh-1 MNLSIRLLHLHVLSSKFSIHLPEMSFLSRLIPAATARTAVRFASSGRYYTKKHEWITVDKSVGTVGITDFATEQLGDVVFIELPEAGAEIEKGESTGAVESVKAASDIYAPVSGKVLEKNTKLEDEPGIINKSPLEKGWLYKVEIKSVDQLKELLSEEQYNTFKEEEEAAH >CRE15282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:85465:88241:1 gene:WBGene00058506 transcript:CRE15282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15282 MQPAMSGFSTLLFKPRTSWRHYLRALSHSGIIAAVMSKRGIGPGETQDTETDTRLEVARKTARMNTHENGDQEIEMEEQAGPSNSHSWKGRLRNSKPRDIATTAPSKISSKAAAKVSKVPSSRATSSDGPSTASKATSTSRNKKKKNTPAKSTIAPSNEKKDQSVKDHSVDCMEIPEEEDPEEELDPEMVKAAIEWNNQRDRESDMAVYMDRFEKYEVASILKEHFPTKVPDILTEGFSTRNVSIEALALAKALVNKASLLHLAHLPSLSAKFQKPPSCSPQVLAYLTYLSAKIAEPSLSPKHLEETMKLFSDFEPTYGPLVLLDILQDEIGHLRFITGEELKKREIPKEDIPEMLFDDLLRFEDVLDTDCKVFLVLFLGPSAYNSQDIVEE >CRE15284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:91700:94765:1 gene:WBGene00058507 transcript:CRE15284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15284 MAGKKRPRDDTGFVSSTGAPADIQHKKDVPSTSANPPTEPVVIDLVSDSEEIVGDPEEENTDDTHYAYSEVVQKFIDSLPADGPYTIANINPIVNKEKYMRTPEEFRKICELKIPDGDPRFNYHKFSKEVVVTARRAIYATLYNEDDSPTEKMFFQSLVYMLDVKSLTLPPDTAEEHLERALYMLRAFGKLPEAENIILKELLLIRKTREDNQEFLNYEGTMDKFKLILNELDEAPSLDAARRFFQMANVLPHFCKALQGCWATHVNFFLAGKEEFPDFFEEIENYEEIAKFTINTPLPRMRKSAQLKKKATEAIIPIDSLIASLLADFEDDGIISLPHIGLSMDVLKYMKLPTKAREMWKLVIPKDQKEFEFDGLHFKRESLEIVRRVIYASLYKEEYLNEWEDYRNLVFMIDLKIYDYSVENSISFLSDALELLQYVGHIPEAENDILYQMWTIQSKNLTKKDQSENVCERNPLVILEQLKSTKSKKDADDAIWDLQELVLPSVLAAIKGAWILHNNSNTSMDKLPDYFSKITQNPKWIIRDAILIEPSDQFAYLRTHYPRYSRPYESLINDFINSLNKLNKMKMKTIKEFDDFIDKRKLIQLPHGIYEICHRARCDDGYLFEGHYYNKYVVKCAAMAVFSHLYPGKYFTERGFYRMLIYMIFVRREDFKARSDVLNSLQIALQLLKDCGGHKDAERDLCEQMFALWQIKERDLATFDDVQEEVRSDLVKKLPTNPEGRNDAVNEHFKHFARNKLPSIVLAYQGHHLIRKNKCLAKIPWTN >CRE15378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:107371:109550:-1 gene:WBGene00058508 transcript:CRE15378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15378 MDPGRGPGDENIDPAERHRLNEAHFHNILQLINQERQLMGFQFFQANGFREQDPFNPRPVPFPENVVVPQQQGVLPAGHVQEQPVQQPQPAPHPLMNEINRVWFQPHRFWNQENDLVDRLMQRGGLMGMPVQPGEEPVDEEVARQRRAAHRQEQMDRFERHFRRNNGHQDPGSDDEDGTATPGFPRDFDIVDYLPAYIARAHEDRLKKFACPLCNQDSFNIHFLEHLKICAAEVEASFDEEEKALALFNSSEYTVRQSLARLRERCEVSYLCAMSDPALRVQMACEKCHELQDHLDGQCMDDNQKAVFRAEGERIINKALREYSDYMELEIKVEVDRITDKYTAAYERDTVRPLDQPINLEIEHERQQVLETMRSDPAGPESIEKETMKAKLVENHARDFETVRNHLRQLVKKQGRRMVKYIIRHKNHQLRDVIAEFRQENNFEAVTGKRYAIVKKLEIGLDGEHVSRWTLFNPV >CRE15379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:112285:114633:-1 gene:WBGene00058509 transcript:CRE15379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15379 MYHIGDGDAPRLDIENQIEGGIGMEFLPAEMIQIIVGPNQLNERHRFLRPEIRPEQIDDVYQPAMHRPVANYHIALHQNEPQDFVIGERPLDARERRALIRERRAARAARQQERQQEGQQEEHEHQEAQQEDPVAHDVPLGFRVPVPRAVNENRMLNMQLQMRQIDQLLENRASDSEEEEERRHVSRHESVDLMPVFVRRAYRNRAKKFQCPICHETIENKNFMEHLSPCAEEKNASYEEKEKAECMFKFSDYYFMEKIYALRERLELEYLDATLDPNRRVQMACELCDTLEEHKNGKCMDNNQEAAFKSAANQIVQQAISNCLDFFEYKKQIELQMITDEYTEERAKLDIILTEQKDKAFADEMVKNVVRGESFAKDRVIFNMNERKLEFSRSKQRFYMIMVEKQTTRIVKYITKTKGMDLLRTILEYRVENNMEELTEPRDSNFNKTRQRGLGFHRRPRFRQPGRFLPV >CRE15380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:116837:118571:-1 gene:WBGene00058510 transcript:CRE15380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ekl-5 description:CRE-EKL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MC11] MAHIMGVGGRDFSPTQEQPPRKRKRRGRLTDAEKLEKEKRQKDMDNGRHAAYLLTNQADYERSQRDYLIMQHGARAALRPDVNAMDSDDEEERWYTPYRFETEDMLPTYVKKAYREREYEFFCLICQTTIKNKDFLDHVPTCAQEKNAQFEDEAAAIALYKSSDQYLNQELSKLRERMELEYLHACLNPERRLEMACGLCDTLDEHKAGMCMHDFQKTAFRIEANKIVQSAISELFDFWELKKQSGLNQISVKYAEKYEREKALSAEQANLENGSETENDEETPEDFAKRYIQERIDKLKAENHSFKRGHFEGAIDKHYWRMRKYIRIHISSNRLRFILQFRKKNNLDRISEERDQYFNRITPAKQVDNRYSGRYPPSRFMRFCTHSPTPELIV >CRE15286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:129188:132422:1 gene:WBGene00058511 transcript:CRE15286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15286 METAYVNVFQIAKRVNFVKNVNTTHVQLFSPNTSCQSAYKMDLLHIMSPPMALFSPVIRSDFNLYERKKPQPRQRTRTNTVQLAPKIAPGKEGTSLDSLLDKHQRMLMLRNRRQESRCKRRSYTYLASRCPAITETDEEENEEDADLVMAQEFTTNANQAAVIAPITTIHEEEEYPNVAPSIVASDTTSSSSRSKKKSVLTFINEWVRSRSFRKQKNASEKGNGHF >CRE15381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:136766:137658:-1 gene:WBGene00058512 transcript:CRE15381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15381 MAQVAAQAADIAEVAEVAPLMKVLTHYTQCNTEEVVHQHRIQRMWYALKEVLDEKNTETVIMINNESDRPDIEDFLAETSEDFVDKDIKIVLFGSILEDVNKQLKGFYLAFEFPSKISLLGKLLATAFEKSTAINSTVQFGFICTNREKMNYLKALGMEQAKYGNEISNFMRPEKLEAIENYEKLREEMKARFKPDYMTVEDIKNIKPNANGHYVYPEKMITGPTESDYEDDDYFDERESCEGDYNYSDMEACWDDDYEEEY >CRE15288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:142056:146261:1 gene:WBGene00058513 transcript:CRE15288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ram-5 description:CRE-RAM-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MC15] MQYSTIKVIGFVFHCVVLVISTDFDNAHVMGVPQVTCSSKVITVNFNTNIPFQGRISVYNKLFIPACNHDYSTNIQKNATFQMDILKCADSSFLKNGSRILRAYVEIGFHPLVMTNSDRTFLVECLDNTILPIVNVAQSFADCTHLVRMASQWSSMTEFEVGDAIVHEWSCKLPNPGKTQTFLTNCNALSQNGQIIHLIDENGCVIDSELMGDIVYNDHVPKLYARARIFKFLTDDKYRIECTLEFCNNGSPCKERGFPPKCAYTKEEITNRAIRNQLEQSSMTTMQGVPSSAYDSKLKVSSAWLSIKLNQYTETRNLHQRYHLKTFLDPTLHDVIPLNAADHFLMGISYREPIPKISSSSDAHPDNNRVEGARILHSSAFQPIISPPIDSHEEFIETITFGSSLNSEPIVNVQKEMHLQKEPMHKVLMHKEPLKIEQTEKFMKNKDGNSNGMEMETTQSPLAFSSTTPSSTIMVTTTTKTSKSHKSFKDAELEKFEKPEKKGNNALVEMTQTTPVPAVNLKFYSTTASTKKLTPTTPYATPAAASIESSTPLPNSTKSTTRNVTHSVPTAKKYDKFVNNNADWRFDDKAINDSDIVSEKQTSACFNATIISSQRQCKWSGVEHLLLIWSFASLIVWMMLIALFLYRYSSRKPQWIGFREQELRRVTQSRVLSQDHPWLHADAFEERNQNKNEIEINHFT >CRE15289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:148862:151442:1 gene:WBGene00058514 transcript:CRE15289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-3 description:CRE-NHR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MC16] MTAETQSPFSFAAALLQCGSPGQTFSMETLLKPEFGEYSPSSGDMLSEGEESTICTVCCDEASGRHYGVVACFGCKGFFRRTVRAGKNYVCRYNKKCRIDKAGRNVCRSCRFQKCLEVGMEPDAIRPDRDKTGRQKNPRRNTEGSIKKVSVSSLLGDLPCLTGKLKDETDDDSSSPSSRSDSAPMDLRPSIIDENVLHTLSEIENIVVTLQDNVISPQQMFPRMDEAITQPAIIAPRDVMIFNGVKGDADADCVAHNLRRMIVFTFDYINTLRPIADLHPMEKLIIARSVVGPFSVLFCGHQSVSNGAPDHDSIYLPSGHKLPAGQLLFTKDSDMKKHHMLENKANIVRRNMTDLILHQLRKLQVTKIEMVALKAIMLLDPNVRGLTQQSCDLLSVARESVQNALFSHLVANSGTTEATSRFAQLLLLIATATRVAYTISSFVQLSRDVTYDLDFVLDELLYLDHF >CRE15382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:156588:160313:-1 gene:WBGene00058515 transcript:CRE15382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15382 MSDKCVFLLSVGVEKVDELLWSADIASTKGMSNAASYTNVNEIKVVNEPQRRINPTATPEEFPEFPRGIVGNDSSPMLDEIASIATSVEDEAVFDYTEMTSDDPFGLPEYADEAITIDEPTINDRTFRHTMAKQANCFMVTLKEIEVAGTLVNDTLKIEGQLSNIKLDQRLAIVRRDINELLRTGANDVPSLSDMPPYLAATFKLFSPANERVSHVSIEINQLRATLDDEIITHLGAFAKDDVITENRIRLGIHIHESNIAIVDRRKKKPLRLRIKALSIEQEED >CRE15290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:160527:162973:1 gene:WBGene00058516 transcript:CRE15290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-1 MRLLLFNLLLIGFAYSQCPTLQLQEPCTCTSTRYEAVSIVCDGGSSLDAVLESLSNSPPNIDSLTISNTPIEKMPGYAFQGFQIKKLFLRNNGLRSFHPNTFTGNLEDSLEELEVRGNYLDGIPQSGVSILKQLKIISLPDNLIEYVQDNAFLSYHSRDSILKVDLSANNLTAIHPTGLLGLENLSQLSLDKNLLSEIPSQALENVPTLEDLSLGVNKIHVISKNALPLPNLKSLSLEVNQIRLIPSDSFEQTPLLSYLYLGNNLLTAIDASMFHHIGGLKVLSMSNNKDITSIQSNGDLIRLELFDCSISKIEPKTLQKVQHLQVILLSRNQITRVSHETFSNLPELSEIDLSGNSINSIDDFAFSQLPMLVSLDLSSNRLETLPSNVIYDSLMQKKTTPVQRKLSIQNNPWRCDKDLLWLRKWLRDNGDVTTTSNNSPPAKCWTPSNLSGLDLRQTDSKLPLKTTKTPNNEYQVKNQHVPNTNGTSQLGYQNPHTEVNGLALVGLILGIVLIVFVSCIVLGYLMRFIFLSYESKTKPNVFGSTISSAGCIRNMYGGDGTLVSEDHGHPSTANNNVYLNRPRHWWF >CRE15291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:164111:166918:1 gene:WBGene00058517 transcript:CRE15291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-4 description:CRE-SYM-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MC19] MRLLLCLLLFSWSIIIDACPEVVTKICQCSEVHNGIVLDCSNSTASETMVLIRNNQALIGLIQSLTMNQANLDAIPSNFFAGLYIKRLDLSQNNIRKVDEAAFTGMNPVLEELVLNHNLLENVPSAALAGLPNLMRLDLSNNSIVEIPEHEIFPNLNKLYDINLGSNNILSVHTSTFQNVKNSLQTVNLGHNNLTAVPSSAIRGLKQLQSLHLHQNHIEQLDALNFLNLPVLNLLNLAGNEIRELNRQAFLNVPSLRYLYLSGNRIKKLTAYQFQTFEQLEMLDLTNNEIEAIPSNCLSGLKQLRQLYLAHNKISNISANAFTNSSIVVLVLSSNALKALPAGTITGLPLLQQISFRDNQIKTIDRNAFYDVTSLVMLDLAKNQLTEIAPSTFLAQLNLLFVDLSENKLTKTPYNAFNRRVGTVLLKENPLVCTENLHMLQDGSGVYIKDSADLICGRKPTTPKPEPEPVQILTDAQAQDPVQKPALVQIPRMQIHRNPQLNTQSPPQIPSGAFQQIDLGRPRTLPRGHSRFIIEKPVPSEQPTELTPIQPIILPSREDEMRKLSKEVESSQNSQNSQNSQETVESTSPMTTAKATTDIMDRPGVVLPFPVPFLKRGPNLSESKKVQVTDIPSTSQVFHTLPPSILIEPGSTPRVGQQPSTETVVKNEHIDEFALASSNSNEATLQPRQEKPSFFTTTIIFICVGAAVLVLVVVIVSLCISKHRQLQFENTYSDSSHARTSEYISTQYRQNTLGASGRVGRFEESPAWIYNPGSSYCNYYK >CRE15292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:167944:170349:1 gene:WBGene00058518 transcript:CRE15292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sym-1 description:CRE-SYM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC20] MLLRLFVAFLVLPACLAFCPKLFQNQTACSCDSTVEGPVIRCSGTDGLMIVDKLKASHMEIKEFALENANIIEIGPRAFKNLRIKKLNLDKNRIQHIHEHAFQGLENVMQELSISENSLEEVPTKALSGLRVLNILSLKCNKIGNITKKAFVNMTSLIDVNLACNQICEMTPDTFENVKASLQNLILDSNCMSAFPGKAVRSMNNLIALHVKYNKINVLQQNDLSNLTSLSMLSLNGNNISDVKGGALQNTSNLRYLYLNENNLQTFDNGVMEQFKQVQVLDLSFNNFSDITKEMFEGLESIQHLNLDSNQIKSVAAGAFAGTPLLLLWLPNNCLSEITQQTFQGAPFLRMVSLSNNNIKSIQELSFAHLANLHTLDLANNKIMSLQNKSLSGAENLSVRLQENPMVCSQNGFHVLNAGEAINLTSEANNVCKGDWHAETVDLCPKAQPRPARPTCCSNVMPTTTTTTTTTTTTTLAPSTTTEEEEVEEADDTEETTTVESKKSKKAKATTTTAEPEEEYVEEDDEETEEEETTTEKPTTTTTTEASKSKKVNMERFWRLSNKPSGKSPFMRHSQGSKPKYLQTVSTTLKLLTTTTEAPETAEEEEAGDEAEYISEDDEDTEEAESTTAATTTTEADVKVPARIRERQKMFANIPPWMAQRTKTDETVEEEFSKDDEVETVETAEKPARQ >CRE15383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:170539:174271:-1 gene:WBGene00058519 transcript:CRE15383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15383 MTSIIKNQLVKHLSKFTKNLKPEQISLDVLKGNSKLQFIEINEDVLTEILELPSWLKIKKAYCTGVAVNVPWTKLKTCPIQIFIDEINVDVELTNEAKKTPGKSNPLANLGDSSSYGFMNKIIENMSLYISSVEINFDSDVFGGSFMLQRLSVESRSPGWAQVKDLRQTRITCSTTNRTLMFKQLSWHLLRIEASAKTSKHEKRSKINAPLRLITSGGKIRIALKKNAVDGSVIHARIQTILDDILWVATLPQLRSAISFASYITNLVKESQKNEVVTTTHVVDSPLIRTADKDTDAKTTTSAYNIFHFDQTSYHLHVKKIDLHLCDDAHTASDYPPDWDIESGAMQVTLHQVLIDVYPKAHAHSDRSTWMRYANPNPIAGWFKSHLSEQFLTLSSKIEDPTTKTRLERCWPQLIGFFVVLRVHDLAIQCVSDMNTKKDALTNLFESERHLHSLPSDQQIVHVEFGTFYHPASDQLPVPNSAVYFQLGPFTITFDERTTRWCCYVAHALSSAIEDGHLIPEKELDIQPPTIKISLVMPRLVLNLPGPFTDFNFPRRLVTSMSTLTVSSSPIDFKDHRRFIAIMERPKDNHEVKHQLKYGANRFHIATSPVWIEIDHGSQTKGFPFLNDVSFIGIIAIEKDWLNVLIEPVEDVALMIDHYQFLQVSCMESRTYLSYVVLQLTRLGDKFTRFFDQLALDMKHYNVGKPPSELKVDFISALHRVRLYIVLTMGEIPSPYDQAAMNNFNHSFMDRLSGMFLFIIIY >CRE15293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:174837:177341:1 gene:WBGene00058520 transcript:CRE15293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tap-1 description:CRE-TAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC22] MEDGFVDQYSANTDAGIGTVHSCRYSKQKKPVQNNDFLSCSTCINNGPIKVYGIFSGFNGGDYTAKFVMNRLVYELFQENPISPNLLPFQVCEEFRRKFENTAERYLLSSADALNERLLKLEDQSEGGKNAVSRINQKIRQGTTALVVMIINQDLYVLNCGSSLALAMNSENEVIQLNTNLHNNDNPNEIQRIKSLGIDPDTVLSPTRAIGDLKRTHLFEETEAFKNAKGPPVISTPDVQYFRIDPSWRHIVLLSDGVVQNLKEVEVENIATEVSVRLIEDHTLTSTAQALADSFARKHLDAFITMTDDNCVISNHREEMTVIYVKLPEVNAPAELYETVDSAFSTMESTNTTMFEPCSIPYVDAVGFHSGRNYDKMRKFILMSKAPK >CRE15294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:178760:179321:1 gene:WBGene00058521 transcript:CRE15294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15294 MHLQSLHFFPQLREGLRASREQIVFQFVCSVAVLFFSSLFLSIFSFSIFSFPEIQNHWSREMDSATPLSHEIQILDGLIEPHLQEGKSHWPTWAMILVVVCIILSLLAFFLGNFITKKTTSVRNKSATRQDGKERERKWGAGFSGGLWAAA >CRE15384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:179889:181557:-1 gene:WBGene00058522 transcript:CRE15384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15384 MHRMRRSTGFTEPVSFHTVMARQGTGSRGDREVEIQFTNMQLIGSGSFGAVYKAVLRENDEPIAIKKVKVDDRFKSRELTIMHEMDHPNIVRLLYYYHMQQENCLNFVMEFMPKDLAYVHRQFAHNDKQMPAGPIKLYMFQLLRGIGFLHLHNIVHRDIKPKNLLVDEANGILKICDFGSAKRLSKNEPNITYICSRYYRAPELIFGSKNYDTSIDTWSVGTVVGELLHNAPIFLADSAIDILAQQIKAFGTPSKEDMTKWNYEFVHIPYDTITGVGIQKYIGRKLSLSTLELLNSLLKMDPKLRIKPYHALALPYFDELRDPQYKLPSGAPMPQLFDWLEKEYIANHEIIKDIFPRTEEGDKVECL >CRE15295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:185636:190441:1 gene:WBGene00058524 transcript:CRE15295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eor-2 description:CRE-EOR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MC25] MDQHNQKSLLPDFSTNGFTGQFNGIPHGNANSIMSTLHSLQMQSMGNQILPTTDLQCDLQNQFLIEQLQLSAMQSMYNSLNNGAKLDPKFAALTQPNLTLSTFNAMTGTEPSTSTNLYSSLPSTSGLQNLQQAQQALAASTLPNLALQSQLLLDNKLGAALNMKLPQTTIPQAQVEDWMKNICVDTLLDPFKEKLLSATGLQSSLASLNTPMTPMSSLALSNEAFKLTASNLLQTLEQASVIQAPRLEETFSIPESSMRETASPIDVELISDPTPSTSVAPDAVSLSESTPAPETTPAPETTPPSSSTPTSDEKPPEGAKSGLDFDEAHDDVDNIFGLSLPEEPAAISMYRRPSSNDGGLSPISFTPPDSPTAELTFDDLFGLAAQTKDSAIKVKLEPLSSDSPSTSTDVPPPALAAAAPAPVPVSVPVPAPIPAPAATPVTPVKELTAAQKAALKEEEEFVNNFVKPKTVPVYKQKAALMSLEAREASKKEDPNYDAFEFDDDDDFMFGDKPADEKREQLEPVKKERDIIAKNIYITGVGFEVQNDKERHVRKPPKQPVTISYNDTLIDKTFFTLADKIRTERDKQLSCTFLKMAQSNSTECAKNMRRVTKEQPLLPKFIIRIDHLQTVSDEPPARKKYKRRKNRSDNDDSDFEDTPYRKRKTTRKVYSLHKSTELPFRRTVQDFPIGPCVPAADMKRVRMFGPAEGILPKGTYVVCKADILKDDCAVWRVDNQNLLQKFPPFRDAKSHKLVYKSSSTYSGWCEQISSQYFRVSVRVLKQNRSETTVEPEITLNEIFCASSIEWFKNPRTFYHKEESAEKTETGTVIDEKSRVALTTVLDACLNLVFTKNYVSTLVDKLDWTYFRSLAEIEEHNVECEAMIKKRIPVEMKHNKWIGTYTRLSVSKSSYHCLSKCQICKRRKPRRVIHFFDKTSYDTHMIVDEPVEDKDVKPDGCPLVADAISCGRCSMAVEFLHKMHHLQFHMLRMCEDKVGKEGKGEINKTLEEIGTTEIDLSTEKMIDVAKADKPWVLSVIKTYCDLWEQVRFEFRNAV >CRE15296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:192341:204856:1 gene:WBGene00058525 transcript:CRE15296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbc-1 description:CRE-RBC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC26] MNAHQVITGALNNGENVYALGNVEGLTFTACAVGSDVVILDSDFNRVQIIPESKNHLIVSSLSCCQETGKIAVTYGNLVKILEATNSGVEKSKLKSLIFQFTWVETYSFTHKEPISSVQWSMEGLRLLFVINDKLVLHQHRSVSSTSRSSTSAPVTFCIADDDLQERHTWEVIWTTALPSKPKFIKYSPDGYYLALSGDRDCFIKIFHQNTLDHAELDFCEIILNHPAPVCGFEWRKTGRYMPRKCISPILLSWCEDRTSRIWKETPAPEGSIIDLTGEGGGEPLWDRQKAKKFLGKYIRVKKTKTRILNKLRKIMPEKHCETDSNPIGLRAQIGKSPSLLDFPSPMSKEKEREAIDQAEVSFYLAATINAETDCLLVPSFNELNNQKPFCVHWLNNKELVFSVGAEKLLAEAVLLDLDGSTNPNIESGEPSPVDEHHRSPIDNDHPHSEGSGRARTAGSVTSDAPSSKDILDVKLEILLRQWTKSNDVLFSIHPVDGSLLTWTVEWLDDHFRQPVISYSSRLPGALPCSDSMSLQSKLNTFNPHEPIYLDALRNDSENKDTSQMLHDKLMERRVSNTIHVLTSHDNGTLNLWHMSVDDQSSFSCVVSMTHISRMCGHRFQMQQIIAHPVLPLLLTTSKFKSPKVKVDSTMDVLSEVILWKITPVGPLCKQGGVKELARVASPLISGFSTVGWVPAILPSCTLGTVCNSPSSCFISCDGNQLIIYQAVLDARGLLSELANARTAYSGRDTLDNYSDKILRTPSPRSDRAPSFLKQFNVVSTQSTAKPGCVLEVGKIEDVNLNELNLMFLHVFQSRIVIADEEDASDTMSSVIDRSQSPTFKDKFFIVIVDQKENEDVIMMFSLTISSQPPQSIPTFDTEALPGEKGFLRPSSPLAPSMAKLNFEAELVCRQVMPLPEGTRITNVVPAAGHLSSSSIYPACETPYVLVSSDNDDSVRFWRCIKVDDPVSPNKFEWREWNMISENHPSELGMEGAIVKVNAAHSGRIACAYQKSNIASSDSNNISIEVAVFECESSGGVEWFREDSFSIQQNHFYEFSKPHYNDMHNKRLSSGEQEHLNLLQQRTEVLRSSFRRGINAGKPTKPSYELILTLAVPDNHISSVKLENIVRLDWVSTEDGTHMLTVGMGTKVYIYAQIGQDPAQQNVTLMRESETTMRRPSIRKASSFLPNIQPNSRFTSWICCRVLSLDTADGLPPIPTALSWVRDGILIVGMQSEMRVYNQWNFKDTEEKMKKAVPAANPNIVSLTVSTSHSMLDQLTRKKEALVSSRSRVFLEFVNTMHKAHPKENESQMVLDILKSEGVFETARMCSPILPQYHPKQLIVLLNAGKKRRVKAILNHVLTSLKQRKGAAHNPLSRAASIKRMNTVDRGNSVQAMPVDARIDDDSMDYDEIDDIPLLPLYALFEADVDTNVAMDKPEELVAKNTDEYDDLFDNGYDKDGDIDAILEDTGSVHSGRSRHQSVGSDSARNNDSHVAATFTAKDYRKLTELLTHTHLPGLSSVDQMHLLAIADTLSHFASDAKDKVEQANAAMKPVVQSVLGDNTAGGYATAAAGVETVDECGLRYLMAMKQHEYLLVCLPMKQRMELKKSGLSAANIIWAQHSETETELLNALPGMHKTSPTWDELKGLGVAWWLKNTASLRICIEKIAKAAFQQNQDPMDASLFYLALRKKNVLTHLFKTVRNQTMADFFMNDFNQEHWQKVAAKNAFVLMSKQRFQHAAAFFLLSGSLKDAVQTLCGKCNDIQLALVIVRMYESDPEAQQAMINELLCREVLGMTYEEFEQQRGRTDEDTPVSVHASKEPFERSMAFWMLKDYTRSAHTLVQEAQSDRLLTSLSDIFNFYSYLRKHPLVVRQRLNNIGAQVGTTEKLLALGRQLESILTPPERRLFFRTSAEHMARGCPMLSLDVLTLLPKQISIVQDYDEALRAIFGSSADQAPPPPPPIEVDWSKPAISDEPDELKLDWSDEEGEATEEEKPTPAPQDALPETIPTVNGMINSTALQSQLVGSTDIFAQHMKFVASLRILTEELSTLASGFDVDGGQLRNQYLLRTSHIFFQLLNWLEREVEVLQINCDYNVERKPYDENHDSDYWSSTGPNYDQPAESSKLSRASPSSLTPRRRTWLKANQKLLRSFTSFCTLHSAHNHRLASVLMELLLLLLNVQRDAEDDILPKQLLATGVSTFPLLDAAISSPKMFVSSPLAYIENQGYDLLAAISELHNVPNMAGDLQKCYMLYNLCQGFSCCIYLSLTDIDQIYSSMLNLDTRPALIGRAHDNFVVTPPVKWPGVEALVALLGREKEDDAPQLRLLIIESFLAISMSLFCFALSAYDSRWLYRLSVHEIDPHRFGQIFGGGGETKKSTHPPARPPRPAAPVVQKESVTSDSNGDQLRSRLNLRILGNEKFSTASKESLPSEQSYSKWVPPQMNIVQLFAEKPNVSRTEDEVMYDSDEEEKGAGYSDEEEEEDNLGCENAAPASFAWQLLRLALIQQQLYRVKQFLVLVGFDPRDIPTVAPRIDAVLQQLSNWIAQQHQTLKTFPGGIPSDLLPDMTIDTSDQHLAATMKKYAALVKQNNTPFESDDRKIQTIRRLWSFLVREDHLQEIFIKYIFSQQSTMENAPEPVDMFMGIDNNPILPEAFKIVQKDSEPIVAFGCNQESPGLIVVSNGRELQEMDMSSVFKDQYDQTSWMWNRAELDMKNIHSKRDALRDNDDYQIFTDSNSHNAKHTNVMIKRHIPGVRRIDSHPHAPFYVTGSSDGSIKVWKWGAKDTVYTARVAGQHAKVSKIAFSCNGNKFAAVDGDGMLCLWQASQATEQKKPFFSQRCHNKSATDVCFLGHSSSVLLTAGSSSLDYNLGLWDTLLPTNRALVHSWIAHPEGATCALYVPNQQTIFSGGRHGEICLWDIRQRQLRHTIKAFDQMHVVKTLATDSAQDLVVSGSSEGDIKIWSADANPQLMYSLPGEHTAKTGFSFRQVGQSSVQGVQQLFIDQNMRLFSCGADASLKFRTLPSIFNMTNLL >CRE15297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:205935:206570:1 gene:WBGene00058526 transcript:CRE15297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15297 MLSINRVNYAYCREFIYLFETKEQITGTLHSEEELKIVPPPQQHQHPPQQQQQPPQPHRPQPPQHSPNVPPNSFATPPGHHPPHPGMPPTDWRPPPPGAEHQMPPGYPPGYPPYGMPPRHYPGYPPPPPHAYGYPPPAGAYGYPPQMMRPPMMRPGDMVRMPPGPTPTEWAAQQQQAQAAARAGVPPMEEGQDRQASPTAPLCSKLFQTQN >CRE15298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:208065:209923:1 gene:WBGene00058527 transcript:CRE15298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15298 MTSELSTFGFLCELNDETIYAIERGIIELKNTGDLKLGAWYDIWENEFEQRAIYENKRCEVWEHNGEVFAQVLAISPNHFFLDKDIRQKYKYAVWSPLLKFLDDGDNLFKDKIRGDDVVEIVVKYAPWKNGNFKIVELIEEAPFEGSSYCRLPPWTLEQMARKLPEALVPKLDSLCINQFKNIQPQDVHVGVCIKAEAWNPAFPQRVKPKDGANFKCSYLFTPTLGLVRWVIKDFKTTEPTASHLAVYNVKSDMIEIGKRTGKWVSFNLCEENKYRKSGGPISKPALIRATAVNVKEVSHVPRVTRVVGGEAEIEASFLFDQKMFESEENSLIKDWSLRRESLRTDTHFWDTDLGKVEVFAKISETIIETIETHRQSLEPQEAEKLQKEAIVVSVTAVVYNNFMKNFETYPKNGIFFAKEVDTICYLNGGRIIYQK >CRE15299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:212352:214237:1 gene:WBGene00058528 transcript:CRE15299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15299 MTTELQTFGFLVELNDETVKIYTIERGIIEMKNTGDLELGVWYDIWENELEPRTMYENKRCELWEENGEVFAQVLAIGPNSFFLDKDISQKYKYAVWNPLLKFLDDGDNLFKDKIRGDDVVEIVVKYAPWKNGHFKIVQLIVEAPFEGSSYCRLPPWTLEQMGRKLPEALMPKPNSLCVDQFRKINPTDIHVGICIKAEALNPACPKDVKPSAGVRPTCSYLFTPTLGLVRWVITNLKPTGPTTSKPAEYNVESDMMEVDKRAGKWYSFYMADSDKNAKMAKRKEKSEDKSTGADEKKVRALIRGSARNVKEVNNVHKATRVVGGEVEIEASFLFDPKMFESEENSLIKDWNLRREGLSTDTHFWDFELGRVEVYRGESETIIKNIESHRQKLKPQEAEKLEKESIVVSVTAVVHKNFMKNFENYPKHGIFLAKKIDTICYLVGGRIIYQFQE >CRE15385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:215678:217668:-1 gene:WBGene00058529 transcript:CRE15385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15385 MKHSTQIWPAGEDAVGTIIQTLYFCIIFIGLGLNIYVVSRMKKFYRSDKDQFLNGTGLYLLSMALCDAVNLVLSSVEMLTYLLPVAANEETAHILCKIVEFTLRCCYTYSMYCWLFMSGLRYLAVFQAMHYTTVWRSPWHMVVPCFIVAIITNMYLLVAVKQENYQCTLAIDDYSTLYSSVDVFISTLVPVSFVLALDLLVLCFRPTRQQSDPLLQVVFHKLDEDTEKRRQQTTRKFMLVTFLAIGMSAPDGILRAIRLYLDGNVVFTLFQIFKGLYLARFTFNAFYLTLFVFDRNLLSKVSSSRHLSVSMRRLEEEPAIVPRERSRTLSCQRPPLIVTSLTRNASCIIYKDEKEERDNNETSSTLWV >CRE15386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:219037:222926:-1 gene:WBGene00058530 transcript:CRE15386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15386 MEIPSASNLSCYFYYSIGKIRLHLIKMNLRFLVPFLFIGFTFAQDEVSQCNYVGLVKCFINILDEWAWTLYELKENVVTITPDQCEHLKELDKCIKDDLPVAHMCSHSEIVQVSNTVSDLLTHRKDSGSFLRSYYLLTYACSTEGQEILSKHRECLKSEKIGEMTLSAGTYLSEKFLEDTKEKDVCDKVNEKLQQYIGALGGLCSKNEAAQLMCQSLKNMFTGLHADKLDTCVLDCKIPAETNEESKEEVQLTSDAQDDENSEQQQSEQDALRPADSSSIALSFFSIVFYVLVR >CRE15387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:224597:227448:-1 gene:WBGene00058531 transcript:CRE15387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15387 MSVVFQLLIVSTLAHGVLSSQRRRAVPTVIPSIYEFIANNAYICSPVQLPDGSLVTFLSEEKGAKCEECNHASLGFGNACGVRIMGSVTEEVSCFEVPPLCIEAFELRYMAQKRLPSTRKPRKRLSFVNSKEERFLYRELSKSARFEPTTSPTTIGMPTTTTTPSPTIATFLPASSPSTTNPPHPTLIDDDDFTVTPVAVRQFKPKKSRFALAESMVSVTKEIATGTFKISRVNDQKNNDMIRIVKRKLNFIGTTTTTPAPKPTPKLCEDKHGLCCFWATTGECTKNPFWMKVNCPKTCGTCDCELGNADKCVSTGINCTLPTTTILITTTTTTPKPTTTTTTLPTTTTTRKKYTRPYTPPASGFRRTQSTTTNKANRIYTTTTPTTTTTEATTTTVAKTTTADKCKDYHKECSFWAMLNECHTNPFYMRPNCQKSCNSCGELVGTVYAPTPRPGCDNGHQLCNYWAHIGECSSNANYMLPNCRLACRVC >CRE15300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:233518:239240:1 gene:WBGene00058532 transcript:CRE15300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syg-2 description:CRE-SYG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MC33] MLFWRTEKPGLTKTDSEVNPMILLAKLLWLYGSIHLSTQFFLESPSNLSTIAGESITFRCAAEKSPEPIVYSQWKSNTGSLLGYHQEGVLPGHQGRFSYVKQNAEELHLKITHVNLDDDGEYECQMLHPEEGPIRAKAFLNILVPPQLVYFSNYQPNSVIAVKENTALNITCVVPNVKPEPQVLWYMDGKVMSRDVKQASMPHLNKTFTVYTSLVIQPGRNDHGKVVTCEVVQKETDTRITTNTTLDVLFPPSEPTVEIIGNPSVLRAGDNVTIACAVTGGNPPPDVFWYHENKRLQSHSTLDTRTKEIKNVYSFIATQNDNMAEYECRANNSHSGNAKRKPLKLEVNYPPASVELFGESNIRYGSSANIQCKSLPSNPASQITWIINGRSVPTPTQREFVVENGIVSSSNISVHSNELSVEAHQINVECIATNPDGSSAKQHLIKIIAPPKAPRITGLEDRKFFEGDVVNVTCEAQGGNPLAELSWYRGSEKHHVKGKKKMKWSQVIIFEKCLLYASYFFCFILLRLCDSVNGAHNEVAGFSSYSTLALRVDRTMNTQRLKCEATNAALDEPLIESQYLSVYYPPRRVLIRPAESSDQRLLVNKPARLVCGTLSSNPAAQITWQFSRAVDDDNKVHLGDVSLNETTRDNGFNVENVLSFVPTEDYDGTIVHCIANHPEWKHSVNTSFPLNVMFPPKMLVNDPVTVVMAEGDSFKENLTVRGNPAVSLWQWRKNGIPFDHTIGRVFARGAVLSGKQLLSTDAGVYTLTATNNVGSTNITIKLAVEYSARITSISTPVIAATGDTVLLECEADGEPSRPNMINWYKNGDILPSLNRGSKKAIIRLNATEQQSGEYTCKADNGIGVPAEGKTFLLVNSAPRVLPVARYAKTAGILGGIAKARCKVYAVPVVEFVWEKDEQLIKKNSSKYSIVNTQIDYSTYESTLWIKNIVPDDYTKTVKCIARNSFGTDSLSIPIIPPTSPEEPFNLTMTNSTLNTISVAWEPRFDGGSDQIFEIKYKKQNDDLIHLVNTTHTNLRLSGLATANTYYFQIRSINARGFASSWTSPVIFATLNEDGVNIAMVQNRELKITRYIPILLIGFVSILLALAIACCFGCNRNKKRKLREKTEMARTAINGGDVRQVQMYGTMHHDGMTRHDIDDRPDMSEDEHSVRTMIEVSPNGYMQPIEPMLYESTGLLEYDYQTRSYMGSSQGSHPRNITYANVPYPEPPQPSYHSNWNSLQRQSNNNASPQHHLSTFINPNMGVRAGPINYAQLDGDLV >CRE15301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:240404:245449:1 gene:WBGene00058535 transcript:CRE15301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15301 MRRHVIMSLFLFLLVVDSKISRRFKRQTIPSQHIRIHSIDSKVNMDRFINVASMDSRIQLLEKNVNGTFWSASSKMQFDAYDSFVNASFNSQFFHIDIAQCELLFGNSLESLLFMTTNRTSDGHSDLTFSLYDNANMTLTEEGIEFQGINGRRIYVKSETWVKPVEKTITLRQSENEATGETAVELTIVAPVHLTSVRYVGAELLIKYGPNVVQIDPEFKKILVYNDQYSYCLDTKSPGTLQVNFGNGLINLIGGSSDFHVAISPVDAVVNSKYFSKTQVDRGDGPIFISGVPIYETGITVNSLLLTLKLNNDGMLHADATGSETLMKTNIQNVSFIQNTQTSLQVGLTTEHQEIRITGGVPGLVIESGDVRFEVTKLSNPMAFTFLPGFALPEDRFENIGPYSGTRSRYMHAPPPPPLLPPPLLPPVIIAPVVISAPGSNIPPPPPMVLLPPPIPAPAPGPPKLPISLSPDLIKFAADIAPPKSNSTSTTTTSTTPTTTTVTTTTAPSTTTTMTTPTTTTVTTTTAPITTTTKLPKKEKDEEEDDEEEDEKGMSEKERAKAKRRKEKMYREWESEENNVDNDGDTKTETFTYPNGTTVTRLINIRRKVTTKIVYKHRVEEDEDGKNNSTIAPLVFPDPAHLNYTKLATDCKGNTIDFNITNIPETSTGYHLVTFPTVGITAPPAATTTNLPSTDNDLITETTSVPVSPTMEILTTIPTNPVTDLPVTNLVTESSTVVPVDTTETTLLTTEGLSTNPETETTESTGIVSSTLPTSEEPDVSTFGIETTTIEGSSDSSTIDPNMATTETTSEATTNEVIVTETTTEMVYTTEETIESTSDGGTTLLIDYFPSSDNPLLTTSGEPLESSTSNMGSTTEPTENGDTTPHESVETSESTTESSLTSTESSIDSSVSTTEPFPDSTVTTETYPVPSQLSTDEFVIGHWFSTEEVRSETTTESSEIGITVSLGNVDFATTTPVEDGVPLPNDLNINQEATPGTTASPLVSSTSPELGHSEDGFPEPSFLPPTSAPTTEAHYLILKLKIPESVSTNDTKFTRKLTKNLRRLVRDVSTELKKRRKRSANITAMGEDLLQQENGEDALGIPIKVENITKTLNITVVTFALQFDRELNNGEYELENALHEVGTHDLYRYFEYEPVEKITIDRRKMDEDEKTREILIVAVCALGLFCLVGLFYLKKRGMIEKVLRKLDRLRCNTLSTHPNAFTPN >CRE15302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:247400:250947:1 gene:WBGene00058536 transcript:CRE15302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slt-1 description:CRE-SLT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC35] MLICFIFILLIPESATCPTECLCIDRSVSCIGQGLTDVPPGIPNDTVRLELQENEITKIGAEDLRGLTSLKVLQLMDNQLVAIHNLAFSSLGSLHKLRLTRNRIRHLPDNLFKNNLKLSHLDLSENDLTVVTDAQLQGPEFLEILNLDKNHIFCLENNVISSWASLEVLTLNGNRLTTFEEPSNARFRQLDLFNNPWNCDCRLRWMKKWLEKQEGTNKTVCSTPLNLQGSSIQNLQDEFMTCSGNRKRRYKKTCENAEICPLPCTCTGTTVDCRESGLTYVPTNLPPSTTEIRLEQNQISSIPSNSFNNLKNLTRLDLSKNVITEIFPKAFYGLQNLHTLVLYGNNITDLKSDTFEGLGSLQLLLLNANQLTCIRRGTFDHVPKLNMLSLYDNNIRSISEVTFKNLTSLSTLHLAKNPLICDCNLQWLSQLNLQKNIETSGARCEQPKRLRKKKFATLPPNKFKCKGSESFITKLADSCFIDSICPTQCDCYGTTVDCNKRGLTAIPPSIPQFTTHLLLSGNNITTVDLTSNTQLLENLEVLDISSNHITNINDKSFDKLKKLRELRLNENKLHHFSSSVLDEKSSLEILDLSDNNIQCFSSIFFNKAPRIKEIRVLGNNLLCDCRILPLMSWLRSNSSHIIDVPPCQQFLHSDEESDRQRCAAFPEETCSDDSNLCPPKCSCLDRVVRCSNKNLTSFPSRIPFDTTELYLDANYINEIPAHDLNRLYYLTKLDLSHNRLISLENNTFSNLTRLSTLIISYNKLRCLQPHAFNGLNSLRILSLHGNDISFLPESAFMNLTSITHIAVGSNSLYCDCNLAWFSKWIKSKFIEAGIARCEYPVSLGNQLLLTAQPYQFT >CRE15304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:255098:257124:1 gene:WBGene00058537 transcript:CRE15304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csq-1 description:Calsequestrin [Source:UniProtKB/TrEMBL;Acc:E3MC37] MTWIKLASLALVVGLVSAGISKNEDLECMFLGYPDLEYDGFDRTEVLTEKNFNRTVFAEDTKSVVFFNDVEEDDSELDQYECFLQLSAQIMTKRGYNFYTVNTTKEHRLRKQEEVEKGEDTIHVYKDGYKIEYNGVRDPETFVSWLMDIPDDPVTIINDEHDLEEFENMDDECVRIIGYFEPGSVALKEFEEAAEDFMGEIEFFAVVTSKWARKVGLKRVGEVQMRRPFEEDPLFAPTSADTEEEFEEWVEKNKEPVMQKLTLDNYFNLWRDPEEEEKMILAFVDEETREGRAMKKLLDKIADENSEHAGTLEIILVDPDEFPLMVDVWEDMFGIDIEEGPQIGLIDISEKEGIWFDMSQVNLDDPKKHSDSNFEAVQSWIDQILSGSISLDDDDDDEPEPPAPPPTQKGKKSRKEL >CRE15388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:259944:260684:-1 gene:WBGene00058538 transcript:CRE15388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sox-3 description:CRE-SOX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MC38] MSDLSCLYPTLLCTETAKTSYDEDTTSVSSGLSPPGSPLDSQTSLDHVKRPMNAFMVWSRGQRRKMAQDNPKMHNSEISKRLGAEWKQLSEQEKRPFIDEAKRLRALHMKEHPDYKYRPRRKPKSSNLKQQPRLNIAMPALTPPALFNYSSAFDSLKTHDLSQYYSTFFQSPVLSTATYAPYNMMAAYARQAAAVAAASQVSTTSTTTAPAT >CRE15389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:262867:265009:-1 gene:WBGene00058539 transcript:CRE15389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hch-1 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MC39] MIFTWLVLALFCLIPGNDARSFFADFVSGKGPFKQADAVKFLNKMNILNKLQADILEVPFPPVDISADDFESNVQTKPDEIPYLFEGDMVLTDEQMDIIISNVRDQYWARKSHLNEFLYAIRGKRSMTSSLSLRWTFPIPYYINTATGADTNAILAGVARWEQETCARFTRQNSLPSRGNALEFISGSGCWSYIGRIGSSSQQVSIGVGCTSLGTVCHEIGHALGFYHEQARYDRDEYVSILTQNIQSSYLSQFSKQSFSSMVDYGVGYDYGSVMHYDQLAFSSTGGNTIATLDPNYQATIGQRTAPSFADVKRINLAYCNSTCSNTLACQNGGYINPNDCNNCKCPPGFGGQLCSQAGTSSNGCGAGDLTATSTIQTISASGALNCNYVITAPVGAKVYFQMTAATFSRSSPCSSNYLEINYRGDFTRVGARFCTSYPTISLSETNQLVVIYQGTNGARFSLNYRYDPVTFTTSAPITTPTTTTPTTTPTTTTTSWTTQTTTPTTTTRTTPTTTTTAAPTTTTNNSQCLSWSSCSAQCGGCGTQSRRCGTYVETVYCNTNPCSGGYCCRPFFYVTSFGAGYCRRPGADTPTITRYQKAVEQPRKGF >CRE15305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:267326:268720:1 gene:WBGene00058540 transcript:CRE15305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15305 MFVLVKFVRIYSHTFADIAIGGGYGDGSVKIALFRQWVQTEIRFLVTADCCCTIKALRWRGRRIDSHFSYSSSSFLSFLSHKMQTLIVALFLPCLVFSFPPRHSDPFNPLGSWHRRTKPCGVPKFINDLPTEAAEKITKIWENYTEGNTCEKEHEETRAVIRGLTTEERDKVFAGRCGPSFLKNVSSTVREEFKNVWFDYKLSVEEKETALKKLAYSLLTGESLALFNKWEDELKNRKVEVARRLSELSPDARKAFEQWKELRLNEKKFLAGLSKEIRDELKKMFISPKDVATTTTTTTTTTTTTTTTTTEAPTTTQAAASSTTEQATTTTTAAAIAEETKEKEFSAFLYSQTPEELNTEDQCSFLA >CRE15390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:269468:271622:-1 gene:WBGene00058541 transcript:CRE15390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15390 MTINGTEGTTFIRSASAQGLAGLCTWAALLITSHQIYQHLRFYSCPAEQRWIVRILFIVPIYAFDSWLSLIFFSDNVYIYFNSIRDCYEAFVIYSFLSLCYEYLGGESNIMAEIRGKPIRPTNYLTCTCCLAGKQYTIEFLRFCKQATLQFCFIKPIMAVITLMLTAIGKYEDGDWSLDQGYIYITLVYNVSISLALYGMFLFYAATRDLLSPYRPVLKFLTVKSVIFLSFWQGFLIAILGATSAIDPITDANGIELIGRGTVAAGWQNFFICIEMFFAAIALRFAFNVSAYADAHNASNANDGRPVTLQSISSSLKETMNPKDIMQDAIHNFHPQYQQYTQHSNAQRPPQPGASTSTEEQRMSKGGSATNYSTMVESGTHDSSAAAAPSQATGNLLDA >CRE15306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:274081:280519:1 gene:WBGene00058543 transcript:CRE15306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-53 description:CRE-TAG-53 protein [Source:UniProtKB/TrEMBL;Acc:E3MC42] MNTDEHVMISARENFPCFLFRAFLLILSQLCFQAHTLTNHANFEFEKSLSSCEKPCYNGVCLNKACVCSKGWYGTQCDHCFGRIRLSENTSSISDGPLDYSSSAKCTWLIEPENSATPLTIRINSFFTECGWDYLYIYDGDSVYGKQLAALCGEQPTQEFTAASGKALVHFFSDLAMNLNGFNVSYESNSCAYNCSSHGSCTAGKCVCEDGFKGQYCEHQVCKLNEKSTENPCHEGHCVDGKCECLSTNVHGETCQTPITSSVWDLIHPTNDAPTGKASHASITIDDTIWNIGGEYFDGSVDPNNIDVYNVTSREWSKVAVSGDVPTPRFDHTVVKYKNKIYMYGGVTKTRKRHVTTQAATNELWVFDMSSKSWTEQNHKNETIIAAPFAVAGHSAHVIGSEMFVIFGYNPLFGFLHHVQIYNFETDEWSVANISDHVYGRFKHTAVEYTTPSGSTAILVYGGSMWNNTITDSLMQFDTTAKKWTNLPQSGVQLYLHTAVYLNGLMVVVGGRGANMTAGSKSECFSNMVQSYDVACKQWSNMSMAPAELKRYGHVAHVIGQKMYLLGGYDGKMMNDVWTFSPAKCSSTTRPDECRLVSDGTKCVFIDSSCVPFDPTVSYKSTFNSVIKSSSPKSFDECTNTPLRLALKTCEEQTDCVSCASKSGCGWCSSGEQCLPNEQECVDGPGMLTSWEKCSQHNSVASMRPCNMENNCGSCRVSPHCTWYPIDKSSPCVSKEDLIAVVYEYETKSVLSDRSKFLSPSHFPSLSRSIFRNSSECPMPCAQRNNCSDCTELDQCMWCPSTNRCINLEAYTLSFAYGQCHSWVTSGSGNVLRRVCQAESSICEEHKTCGECQRDPGCGWLADDSKTGLGLCIRGAATGPLEGKPENSTWHFIDCPACQCNGHSTCITSVGSFPPVTIEKCQSCQNNTIGAHCGSCAPGFYGDARNGGICQKCDCNGQADMCDPQSGQCYCRTKGVTGDHCDKCEIKYLGTPSKGTPCYYELAVDFIFTFKLKADDKDNHTSEIYLYSVPYKKDTDVTFQISCESPKGNALVALNMTSSYMDGLPERTQTMMVDTICDSKGFRRVYVASDKGYPFGPDSNTTFFVRVYNFQTPVQIVVSFAQSPPINWVLFFVIFAACFIVLLVVAGLLWMIKVRIEAYRRNQRRIDEIEHMASRPFASTKMELSMLSQFSSAGGPTPLSIEPCHNYRAGVFTLAVRLPTGGKGVTPSGTSGLAVASSLCLLTPQQVGVLQAQENGESSGGRKSNFRNFLATMRQRPNNSD >CRE15391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:280786:282113:-1 gene:WBGene00058544 transcript:CRE15391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-8 description:Tetraspanin [Source:UniProtKB/TrEMBL;Acc:E3MC43] MGSCVNALRIVTFLFNFAFWLSGVVVFGLGIWLLFDPAASDFFALHSTHPGAFRYVGWFLVGAGAIIILVGYFGCIGAWKMNQCALAFFCCILIFAFFLELAAAVTLFHKQEHVKHYVESSMYDTIRNRYSSETAFKDAFDTVQEKFECCGVKTYTDWLSARWDAEPSTQLEVNEEDAGRIEHGIGAFGGNKGTGYGRVPSSCCNEHGKLSYPNNCGKSFNQAPLNTYSQFINTQGCADAVYESVSSSLNLIVGVCVILCIVQLLGIVLSMTLFCCKNNSKK >CRE15307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:283370:284384:1 gene:WBGene00058545 transcript:CRE15307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15307 MEMERTEAFEKAKALAEAGTLNEAFEAIEKYTSEEGIEYTQSEMHTINIIVCEKLTSCSFEEKKDACFACLPLLEGVKLVKSAEWLDLYIDAVYDVFSKLSRYARDEERNEVWNRVKEIFYELTLAAKKVWKEKNQPQGLEVYVSYAKLVKSYLDVADEDSFKICENFAKEAKFVGKGTLDDEDYKDAKKSIDTINKMITDARHEKELIEDSE >CRE15392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:284575:286865:-1 gene:WBGene00058546 transcript:CRE15392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15392 MISTPFNSDDYRVYPKRWIYLLAVSMINFSNGNTWITYAAITFYTNNYYSNNNAALFFNVIFMVLSIPVGFLACWWIDKFGLRSAYHIGTWANFIGNIIRLVASGTFIDPSIRFPIAITGQAIAAFAQPFVMFLPTKLAAYWFADNERAIANTLSSMSNPIGIAVMYSLAPVFVNKTTPKNFFNMNIAVTAVAFIPVILSLFITASKPPTPATPSRAENSVESPSFLDGVILCFKSKTFIVLSICLGGGVGLFNALYNNLQPALCVKGYSPTFNGGMGTLLIMSGLVGAAISGIIVDKWGKFEKVMKVSFCVAGVAAASLSICINYEGVEWWVVLSIFVFGAAGFSIYPIGLEMGVEATFPVAEATSTGLIIMIGQIQGVFYVVMTNLAVGKPDPHDMAIQTCVDQNDQIHTVLTWKWPFLIWLACISVLIMSFVAFFWPKYKRREYEAAKRWNEIE >CRE15308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:291583:296321:1 gene:WBGene00058547 transcript:CRE15308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15308 MPGRAMTSITNQTRKMLENNSNINPNSLRMDKELTQMARNIARRIQQRIGEVEKKASDLDSFSREVSLRVGSVGSKMANLKHHKVVKQVVSTQNIQNTDDMAGNMEKTEIYTPQFLEALKNAIINAGKNINSMDNPAVFDTGHIGGLMEQNGYTNGHHVNSNGNNGYHHAESSDGSEELNDDEYESDEHQEIHAAQNGYLDERPPFIETRPNPIAEIDERRSNQSARPSSIVDASSESTLDSTPEKPAEQQPEPSNHASQNPLENSESSQPPQPLHVSMRPAAMASVIGEMREKVAARPKFFDSDSDSDTEPLQKPSTSQKPVLVPKPAVAVETKPASSSSSSQQGSSTVKVPTSSEQPTTVPRPVPPQTAPQRKVPLFDTKPMISSNIFDSDSETDTEYSKPPPMKQQVSKSVPSKPVAQIKKPAEPTVPKTTAKVSSTTKPMVGKSLFSSDSDSDDDFLKSFTKPAEKPKPVAKSVTKTEAATPAEPQTNVTEKTIPVAPKAADTPVTKPIVPPVPRKVEIKKPVTQSLFDDSDSDSDLFSTASKPKQPMPPKVTTAPPKMEPSSSDSSEQTESSRSKKEPTSEKMSARISLIADLQKSFRLPGTPPPMPVKNTSDTDEKEETPTEEEFGVSTMLKSRCRGPPNRRPPTRSNVSK >CRE15393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:297008:297946:-1 gene:WBGene00058548 transcript:CRE15393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15393 MKIGYTTEEWAVIWLTILGGALFGFFLNFLWSRKRRNDQKKEEDKFVGKMNTVSYLEENHDKVVLLQTGLRKNRVIFSPEICENGNITLTRIKNFKILFPEVPEVINLDSDSDEEKTESESNSDEQEDEDEEEVEGEEDEIDEEDEGEEDEEEQMPQFNKRKLKNTQNADDDEPSTSDSKKDLSESDKINATLGKLHGKLATAQLKAKTRQIAAEMSEQEKEYEAKMTNNQMESIMKLMMQNQEKFGMSSEDIKEQMNLYNF >CRE15309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:299511:301880:1 gene:WBGene00058549 transcript:CRE15309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sucl-1 MLSQQLANNARTIQKGAARFYNNTYNNLKINKDTKVIVQGFTGKQGTFHGKQMLEYKTKVVGGVNANKAGTEHLGLPVFKTVTEARNKTGADATVIYVPPSGAANAIEEAMDAEIPLVVCITEGIPQHDMVRVKSRLLKQNKTRLVGPNCPGIIASDECKIGIMPGHIHKKGCIGIVSRSGTLTYEAVHQTTQVGFGQTLCVGIGGDPFNGTNFIDCLQVFLEDPETKGIILIGEIGGSAEEEAAQFLKEHNSGKTRKPVVSFIAGVTAPPGRRMGHAGAIISGGKGTAADKINALREAGVIVTDSPAKLGTSMATAFLNSI >CRE15394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:303080:305873:-1 gene:WBGene00058550 transcript:CRE15394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15394 MSYGCFIFCIVTSILLTNRITSQCDPQNDKNIFLWSVNHPQLLSQGYLFGTIHVPVTEVWKEVSDRVREAFYISDTVLLEIDLHDEATIHELISCKNLAYDETVHSYLPKELFGRIEKIMENLRTNFLTWAQSQNPRDARKIKHAEEIYNNIIGDWWRKRPIWLLFLLYQMCENVFEKTSSPLLDLYIAQKATDEKKTIIPIETAGEQCNPVWTVSEDEIVFAIEHTIHYLEDKFREKKVEGSDAKSSLKELIKHYKCGTLKEDIFEKEGMSIIEYATDTTGRFKADEINKKLKQDIFIKRNLRMAKRIDKILKSRSNHTIFSAIGAGHFFGNNSVLTHLEASGFTITRIKDTDTIQSPRSPYRQTAKFKRVWTKESAVRRKSIIIEEVAQSSTSFSGFWPISCLIVIHSIVILFL >CRE15395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:306859:310134:-1 gene:WBGene00058551 transcript:CRE15395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15395 MPSKSNKKKAAAERSAKLAAESGSVTGQTSNNDVQAIEETTAPVTTPTVPTAQMSSLSVSSTPAKTSQVASHLPKEEKNVASYQPPAPLKPLQANQPIKIISNSYKMDVKQVKCYRYDVKISIEKEGKDPYVLSGTEGSNRQQQAELTEILSVALREEGLDKIVKVYDGATTLYSTTRFNFKQHGSMNTTVDQSKVSESLRNRHFRSQTDGKFSVSVEPNQAQPELLTSDLLNKSLVSELSSVAQMLHIALGEEAKAAGFLMTEGGSEMFDRTHVQASRGIELMDGIGAGIKVAKGDDGKGAAHYEICICVADKKKRFFANGPLAALPVNWRKLSDAKAYLKGLRVKNIYTNQSFIIDGLSQIPMVQIKYGKDGSILKDAKERSNLPESHFNSTWPAIQANIYNKAEKRRITYSFPIEFLVVAPNQKLTPKHGEPPKCDKPDIRFDKTKELGEKAYILKRNDTLTSFGVTIRPEPIEVEGFTVQAPKIQYKGFTTAADIFNQASWKLPNNRTVRGATFIEPATINKILVLFNSSERYDGQKIGELENSLYDDAYQLGITINNIMVEDLRPLGRSVEESFVNKMNVLKTLPDKPMVVYLNQSSDSKMHGVLKLQERLCQITTQHLAFDKSLKSLSRGLSTKTNIMLKLNLKAGGLNHKVLPHQSIAHLWGDKSNTLIISYDVCFSAGKVYKKGELCEEPSCVGFAYNGTKTPDAVIGDFHYQLPRKEQVDADVLTLRAKIMLNQYDNSRKKLPEHIIIMRDGVSEDQHAMVMTEEFPAILSGIKEVFVAKNQKRPSFAFLIVKKRHANRLYTKKNGVISNAPPLTAIDRKIVRRDGNELLFLPHGVIEIREEQKGTAQPLLVNMLYNDEIFKTNDEIVQLLAAMCCAHQSSNRIISLPETIDAADKYAKRGANMFQAYKQKCLQENVPLPTVSVGENETQLNFMEITEKLCYDTSCFKARRIA >CRE15396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:311291:314690:-1 gene:WBGene00058552 transcript:CRE15396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15396 MPSKANKKKAADERRSANSVAGAAQIPAVNPPEDIAPEPTSSSSSNSESSSSSSPYSSVESTYPFTSDSELPGSSTSDATFDSLITDDDTNSFLYPPPAKLEHLQDFNPIEIVTNSYLMKVEPVKCYCYNVSISVKRNGMDSFELSGLTGDVRQRQRDLSEILRIACRNGEKMEKIVKVYDGATKLYTTKKLKIFQLDSINATLDQSEVPEMIRSRYFHPQNNGSFLLSVTLADVLISTDVLEETSLSICSPVTQMLRLALQEEATTIGFLISDDGNKMFDRTHIENSYGMDLVNGFGAQFKIAKGIKRKGEAHLILTYEQEQLYASGPLSNLPVNWKDLSAARSYLKGLHVQHIYSQQFFTISDVSDVPLMTIDNGKVLEKAMEQANRPGTYFNRTWPAIQVNLLNKSKGRQRSLYFPIETLKVLPDQKLPEENITAVVIDTSTRFSETRKIEEKTLLLSPNPTLSSFGMTINPEPITVEGFAVSPPTIMYNGYETILDFSRNASWLPPNNHKGTLIAPARMEKVLLLYNSSENTNKRKVDGVKTYLIKSSKQIGIIISSIDEEDLASSIVTPVEAIEQKMNSLQKLSVKPIVIYATENDNRAVYKNLKLQERLSEVVTQYISFNKFPATRPFNSNSRNILKLNVKCGGFNHRLVADESISHLWGDSSNTLIISYDVRFPDFKKSGIYEVLCTVGFGYNGTRIPEAVIGDFHYQLANNEQVDSDVLTYRAKFMLNHYLSSRKKFPDHVIIIRDEISQDQHDMVRFEEFPAIQKGIMEIFDEKKKEAPSFALLVINKRHSHQLHTTNKQGKLFFDFCFVNFITGYMYISGISNVPPLTAIDRRVVSKEGNEIIFASTTDPKINKNMRVLLITILLNENVFKTNNELTQLMAAMCCANQVFTRVVQLPETIIAAESYAKRGADLFDAYYLKCRQEDEPLPEGLDGTGGKHLDLTKLTELLSYESSCFSTTRIV >CRE15397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:316199:317336:-1 gene:WBGene00058553 transcript:CRE15397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15397 MAPTVFSGSSHPDLSKKICERLQQEVAKATLKKFSNKETSVELQESVRGKDVYLVQTAAGEVNDHLIELLIMIAACKTASSSRITAVIPTFPYARQDKKDKSRAPISAKLVANMLTTAGADHIITMDLHASQIQGFFDIPVDNLYAEPAILKFIKESIPDWQTSVVVSPDAGGAKRYTMTLVGSVEGKVAILVDDMADTCGTICMAADKLVEAGAEKVYAFCVHGVFSGPALTRLNASKFEAVVVTNTMPQEENMKMCSKIQCIDISMILAEAIRRTHNGESVSYLFSHVPIC >CRE15398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:320007:328510:-1 gene:WBGene00058554 transcript:CRE15398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15398 description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:E3MC53] MATHQIIIFCLLLFAPLRNAQNTPTPRAQADLVNGLPNTIFQVNFKQYAGYLNSNPEKNYNNLHYWHIESQLNPSSDALLLWINGGPGCSSVLGQFQEMGPFHVQSDGQTVYENVFAWNKVSNLLAIDAPGAGFSWMENPNHVQDDSYVTNALMNALFDFYTVYPNLQKSDLYIAGEGYGSFFASGLVQSLLVNNVPRPDIVASPIKVRGLLLGNGDLSARHQYNSLIPFYFTHGFAGSKQYDDLKTVCCPNASTQNCDFYNSNAACRAKADNAIATWSNNQIDNWNINEDCYRNKAAWSTSFKQLGVNAAVNNYNSTDSFNGYPCFAISSTSAYFNRPEVQAALHVSVNASTNFQSCRNVTYRTLSNDLLTQITSIINTNTYVTNNMKIMIYNGDLDIWSNFIGAQRFGQEVAAALNLNMTEDRIWRHNYDSAAFVWMDGGVITSYTSNLHVASVRGAGHFPPQTRPSQSLQLYRDFVLDLFFNNCLSRVNKVAAPLVPPYQQKFGQISRQQADKIVSLPGLTYQINFNQYSGYLNASDTHKFHYWFVESQNDPANSPVLLWLNGGPGSSSLWGMLTENGPFRPNKDGQTLYENVHSWNKFANVLYLESPHQVGYSYSTVTNDYVYGDDLTASDNYNALKDFFTNLFPNYAQNPFYITGESYGGVYIPTLSKLLLQMLSAGEININFKGIAIGNGELTTKLQVNSAIFQLYTYGLFGETEYNALTAQCCKNVTDPTQCDFYTPYIYFDYLGNYKAVDGADPLCSKTILGVVNDQVWNTANNPYNIYGDCYTTSASSSSFSTSNKQNRAAVVSGRDNAVIRNQLLNLDSSDPFNGFPCWSTDASTTYLNRDDVRNALHIPSTVQQWQSFNETVNAQLYNRSYFELDGVLSRIMKSYYYKQNNMKILIYNGDVDMVCNHLGDQWLIEQLASNNGLKTVTPRLPWNYVIAGQNYLPQLAGYVKVFDSNLNLVTVKGSGHLVPQDRPGPALQMIYNFINGINLNTTFPYTLPASPLLPTYSDLKGCQAPEYPTAQSLPTLPPLPPLPPGLTYPPMAAEEKIVKPDPAQFMNTNPNVPTNLTADATADMIQNLPGLTFNVTYRMFSGYLTPDEAPMNHWFYWFVESQNDPVNDPVVLWLNGGPGCSSLGGFFTELGPFHPNDDGGQTLYENVFSWNKKANVIFLESPAKVGFSYTDDPNYYWSDDTTAQNNGYAIKAFFTKKFPQYAQNQFFITGESYGGVYCPTLTLNLIQQIEAGILNLNFKGTAVGNGILSEYLQTNSEIILQYGRGFNGLDEWNNLKTACNLTNTNPIYFDYDGAHYGTACYNAVDANQNKFYGQDEINGDPYNMYQDCYLYNNQGSWQTPTSNFESRPGSRRDRARKALINRRKSFASAKFSNSNDKNWYGSTDAFRGLNCFGGDALVNYLSRSDVQDAIHSRKQPLWVDCADENPDNHFRYHTQEKYYDMQDTISAIMDSQWYSKNNMRLMFYNGDVDTICQFLGDQWLIEDLVTRRNLTVSVTSPRQPWFYQQGSQYATTIAGYAKSWTQNLVQLTVKGSGHFVPSDRPAQALQMLTNFLNNGANYSTPANINVTPQPVFTTNPTPPTGCTTGQTDRILNLPGLPADMQFKQYSGFLDGLSGHKVHYWLVESENNPSSDPLLLWLNGGPGSSSLMGLFEENGPFRVSKDSMTLSRNPYSWNKFANVLYLESPIGVGYSYAYNNTNIQYDDVTTAQENYAALKSFFAAYPQYTTYDFYTTGESYAGVYLPGLAALLVQGIKSGDININYKGVSIGNGVIDKKTDMNSQLHYQYYHGGISATTYQTALALCCSGDEFKCGFSDRMTNFNNSIPWGNLSDPCYDFVVSTGANLLLNAFDPYNVYQQCWTINYNDTTPRTPYGETWTGINYESSDALNGYPCYMDDAMENYLNRPAVRTALNIPASVPYWAANNAIINAYNQQVDSITANLQIIMTNAPANFKMLLYSGDADTMVNWLGAEIFTANNFGTLGLTTSSARAQWTYQIDQTYQPTVAGYQTSYTSSSINIDVLTVKGSGHFVPLDRPQQALQMIYNFVNSRAYSTPYGSTQPTTTTPAGTPGTGPTGPTDAGTTVTTAPPSPTTGATGSTVTTAPPSPATGSTGTTATTAPVSPASNATGATGSTVTTTTVGTTTGGVEQRNVLPIFAIIFAILFLN >CRE15310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:333373:337690:1 gene:WBGene00058555 transcript:CRE15310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-3 description:CRE-UNC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MC54] MRPVPEGPLAVQMFFPDSNTLLRSVKDENRTAWPIIDASNASIQISRAHFEKNPPNNLRKSNFFHFVIALYDRNNQPIEIERTQFAGFVEKEKEVDSQDTRNGIHYRVSLMFQNGLRGEHDLFVRLIDSVTKQAITYEGQDKNPEMCRVLLTHEVMCSRCCEKKSCGNRNETPSDPVIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVLSSTARIDGPLLAVSDNMFVHNNSKHGRRTKRTDASDDSEYSESAELPSSIPVIKALCPSEGWVQGGTQVVVIGENFFEGLQISFGTTNPSWGESVQLISPHAMRVTTPPKHSSGPVDVTLQFKSKTFTRGTPLRFSYITLTEPSIEYGFQRLQKLLPKYPGDPDRLPKDLILKRAAELAEALYNRTSADSLSTYYHTQFDASTDYSSRAHTSPRSTLPYGAGQPAISSAVYQTSYPTVNATPAANFLNTQTGFATFGAVNPFAATLQSSSRLS >CRE15311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:343403:345121:1 gene:WBGene00058557 transcript:CRE15311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tatn-1 description:CRE-TATN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC55] MQTLMTHSRINPLPGAITKEEIKCQLLVHERRFLSKPAKKDEWNVMPQSVHSKNTVNPVRKIADACAVPPNPEKKVIRLHLGDPSVGGKLPPSEVAVQAMHESVSNHIYDGYGPAVGALAARQAIVDKYSSIDNEFTADDVVLASGCSHALQMAIEAVANAGDNILVPHPGFPLYSTLCRPHNIIDKPYKIDMSGEDVKIDLSYMATIIDDNTKAIIINNPGNPTGGVFTKEHLEEILEFANKYKLIIIADEIYGDLVYNGATFYPLASLSPKVPIITCDGIAKRWMVPGWRLGWLIIHNHFGVLTEVKKGIVALSQKIVGPCSLVQGALPKILRETSEEYFVYTRNVIETNANIVEDILAEVPGMRVVKPKGAMYMMVNISKTAYGSDVSFCQNLIKEESVFCLPGQAFSAPGYFRVVLTSSTDDMEEAAMRIRDFCFRNFNQHSDSEDSSDEGLDLSAMESD >CRE15312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:349769:351792:1 gene:WBGene00058558 transcript:CRE15312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sprr-2 description:CRE-SPRR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MC56] MNNKFDGCGDAHAYPNSSDFQRLAEACLQEEGSYFDGCSKVCIKDKFLMPIMRHESLESLVYGQIFPVLVLFAVFANAAVALVLSKKHMTTPTNVVLKYMAIAEVLVGLVPLPWTVFYFTMGNFKEMYRLETWWCYLHKYSMDAFPPICHNIAMWLTVLLAAQRYISISHPLHSRTACNIRNVRYATIIITVVSTFCGLQKSVDYDYDTVHGWIYHQESWIYASSCVVIETALLGKVGQTVFFNVYFWTRALGFVILPSFLLVLFNGLLIKGIRKAQRRKLRLLREKRSEEAARQRDSNSTSLMLVAIVSIFLIVNLPQAVFMALLCVCETFSIRLSILEGTFPVVFLITSNMIVIVSYLPHKLWNILFHVKQLPSNVQTDILPVSLLDYHVLIHQFLFSSGTNQLQCERRIEAPSVVHSSRRSDICSHLVNVCTNSEGFMQVSHHCLHADFIGSDRQSTQFTTINTDRSE >CRE15399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:355775:357247:-1 gene:WBGene00058559 transcript:CRE15399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atf-5 description:CRE-ATF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MC58] MCDQRTFRKDSVNTRGGKDRAKTRAVFVEPTVHAYRHHGYQPTSYMNETNSQFLHYNEMYPTPQPQFQYRADQYTTYHHPHQQYQPPQHNFHYQQPQVVHESNVVPPDPFSSIEPMETTVQPKEQILEEIVRECEEIERRSNSSDSPVSNWSSDEQDGPSSIKSYHPYKTPEKKERKKAQNRLAATRYREKKRREKEEAMTCIEGLSVTNSKLKDQVSELEREVRYFKKFMLEMGMKNLEIKI >CRE15314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:358126:359584:1 gene:WBGene00058560 transcript:CRE15314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15314 MIENSFRDCILNLRVADRVEALVLNVLEGQSKLETLRNSYQTGLRSHGKSILSVLSTKNEEDKTEILHAHLQNLEEQVESLQNLEDVDKIEVAWIALNESYEDFKEDDAKVSEQTKQLQTVRKKCNTFIREAQLKLEEYCQRIMIQAEEHRACKSSFDDNLSTELRMIKQNFTELLKDMFQQRRVEKLKVLVDEFDLVNMEKCNKRVEAYQNTIRKRKFLMRRVYQAEKELRSCQFKLRKVPYVHYESITGASRTISSSTAKVHSIQMNLPSKLIFFPVNYNGQFVFPTQRHYADRLRNITTSKDSIELCDVIKQAIRQQIYRHDDLCCLTPEDFDACVR >CRE15400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:360402:362861:-1 gene:WBGene00058561 transcript:CRE15400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-epn-1 description:CRE-EPN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC60] MRSSIGSFLIIIFLCFSLATPFNMRCPPCSSIFFHLLVFCLTVRLNRYSLIFPSFPQFSRQISNMSISTIRRQVKNVAYNFSDAQVKVREATSNDPWGPSTALMSEIADLTHNPMAFTEIMSIVWKRLNDSGKNWRHVYKSLVLLDFLIKCGHEKVAQQCRENVFTIETLKDFQHVEDNRDQGLNIREKAKQITSLLADDERLKNERTRFILTRNKFKQNNPGAVGAESRRATRHATTDHTLDPEYEEARPSTATEEEMQLQIALALSREECEKADEMRKSDDARLQMALEESQKLQEADRLATTKQGTVSSGQLTQSALDDLLSLGVGELVTGNNDQPSSSGAWNNVGMADPWGAPQASAPHDQLFTSSSNDPWASSIAPQVAPTGNSDPFAAWDAPAPAPSATMPNSNSFDLLGGDLLSPTNSLSTSATNNSTNGMRKTPENFLGENKNLVNLDNLLGSNTPSTSGGNPFLTGAAPAANPFAAQQRKSPTLNEMRAAQGQAPPIPSMAPRGTLPQANPFANPF >CRE15401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:364145:368420:-1 gene:WBGene00058562 transcript:CRE15401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mbk-1 description:CRE-MBK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC61] MNNETMQENLQAWGQQPSSSYSNTQQHAQTTGQPVNNVGDVVEPMNEDFPMQDSQAEDNHNQQQQTQAQKQAEPSAEQPNPQQQPNVPRFLAQSRHSQGNILRFPQPPITQIKTNSHAFYPQQEITHSRPKKHRVSMTNAEAALTPGMPPEKQPAKKRNVGQFSTETVPASVGMVQMPFDAVPKPMNITQFRNPQDAPVRKLTSDLIKTYKAINESFYLRKQVRRDRHKSQDPGKKSSKDGGNLTDTFSIHNAVPNSGSENQQHYDAHQNAPPLLDTNAPPTSTMVVPMRTETDLQQQQRQKSSRGGPYNNGYDDQNYDYILKNGEIFDKRYVILSDTPVGKGSFGQVTKAYDTLTKEEVAIKIIKNKKTFFDQAQIEIHLLELTNSHDKDNKYNIGMILRSPYIKKLQFLISVTLKGHFVHRAHLCLVFELLSYNLYDLLKNTSFRGVSLNLARKFAQQLGKTLLFLSSPELSIIHCDLKPENVLLVNAKRSQIRVIDFGSSCQTGHRIYQYIQSRFYRSPEVLLGIAYDTKIDMWSLGCILVEMHTGEPLFAGSSEVDQMMKIVEVLGMPPKEMLDIGPKTHKYFDKTEDGIYYCKKTRDGYRHTYKAPGARKLHEILGVTSGGPGGRRLGEPGHSVEDYSKFKDLIKRMLHFDPKQRISPYYVVRHPFLKQKEDRLPSQPPVSHSNLQQQYLPSNQGGDIALSVGSVYVEENGMYRPAPSSSTNPISVTSSFDDGDVMEVDPTRRRYSAHQQNYHNPNYQYNQPQVNPQQQQYQQAQRAQLEQQKQMQSQLQQQQQQQQQRQHHPQQPQSVQPHTQSRGRPRQQEQSEWRNQLELEEAFQQKQRKVDEQPGSNQISRTQFNPQQASCVAMGHGSVNVNNRDIEKLDYPNNKL >CRE15315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:372505:376228:1 gene:WBGene00058563 transcript:CRE15315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpf-2 description:CRE-DPF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MC62] MENDNYDVEEQGCSVFNGKHGYFARSCCVVFVLIICLIFVLSVIFTFMQRPVDLSLTKSAINSTGNYTLVEETSAKPRFQSLLTNTRRFTYDQLFSGKQFLIDFYDYIWLPDGSFVHIKTDEVLPKPKVEKIPLGTFESHPFINDNEYIKSLSSDMEYAFGSQKVNDIWRHSAEYFYHIVKMTNGSASTERWHVGPEENSLIQAFYWNPNASSHDFVYVHNYNLYYQKDPEKPDGAIQLTVGGSSFNRFGFANWLYEEEILEASAAVWWSPSGRYVSYLRFDDREVNRVFLPKYNDEDSYVEYFELPYPKAGVANNTLVTQYIWDSENHKIVETAPPNELSGANGNYYVLTNKWITMPSNASDLGQERLITVWTNRDQNHVYFSLCNEQDCVMILSFAYQIDNRKLWVHPKDVRGIFPTETGFLTLLPHKYKDGNIYNHVAHIELDKTGSGKITKWIGENFDVMAILGYSRRIDALTFSAYGDGIGEHGTYIVKEALYGNEKNKLNKVADQFEDCKTMGYQSADPTGERIVVQCEKPFENTRLYLVDVADTSKKVMLGGSTKAYIPFDVPLLKFGKFKLPSGIDGHYMLMKPPNLKDGLKIPLLLDIYGGPDSKQVMQKTPTAHAIQIVSQYDVAYARIDVRGTAGRGEKRRRRMTSSELIQFIILGWNVKEQVYRNLGEAETVDTLDMLREFLNNVKYIDEDRIAIMGWSYGGFLTAKIAVKDQGDLVKCAISIAPVTDFKYYGKKIEFIASQIVSCFSDSAYTERYLGQPSDNPTGYMNTNVIPHARNMTNVNYFLAHGEKDDNVHYQNSARWSEALQFNGVHFTQLVYANENHSLSHKLHHLYAEIQRFLMNECFRSTIDLL >CRE15316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:382109:383709:1 gene:WBGene00058564 transcript:CRE15316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egrh-1 description:CRE-EGRH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC63] MNHIFQTPTVNSPRHTPMSIDGTPKVNGFSGFTPQTDQTFFGEHEPLFNQFEMRTSTSTASTSSERKPAYSSEHPCSSNVDTKTKDLATPNNNSFGIPRMTNGHSKPKPEELKLKEDDSNAPTVSVDSPGLSASMFQFSPMVEHFLQTLTNKGSTTGLPELQVGAKTPAFNQEPLDSIKVTVLNKFYFQKWNFQPPLARRNSDEESRYTDVLHVPMLPRKTSEPSHLGSTLQNEQPSTSSRPSTAIPRVTRTNTSSSLRSLEHSSISPIPHAEDPYMNSSYSSLSSHTSFSDSSSLAHFEPKSEPMDDYGYSYNSGKASFDFKTIKLKHLEVAENDFGPYDFTSASEELKNIGCGKMKVLSENFLKPNKINNIQANKMPLQDRPYKCPRDGCDRRFSRSDELTRHIRIHTGQKPFQCRICMRAFSRSDHLTTHVRTHTGEKPFSCDICGRKFARSDERKRHTKVHKTTGLNKNKLDKMKPHFE >CRE15317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:384511:386561:1 gene:WBGene00058565 transcript:CRE15317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acd-3 description:CRE-TAG-324 protein [Source:UniProtKB/TrEMBL;Acc:E3MC64] MAETSICSTSNSDYDSGDEERIVLHVYDDESKEFTSLTTYHGMIRIYTSETWPSRIFWGVVVVTCVTLFMIQGGVLLEFYNSHPTATKIDEFTLPQSYLPSISICPYGFRTDDDLFYFITENDLTLDIPTRLSHNSSESLLIKNSFSCEEVIDSITIGLDETVDFCSNSRTQVTDIGTCFTLENWKNYPATTMKVKLKNSFRKTYTAHIHSAYHEVSRLTTQVWLKPGIHAKLSFNMEEQNYLRQNDWGTCKVQNGETYNHIGCLKQCYVEAYRNSCGCNPFFDKSRRTHCTIEELQMCSKLSKQKCDCPVQCYSRHYILQPVYSLRSTKKCVSIIVASMHHGFYSQSTVTFHLNSKLLKSHHQYKRFKQIDLMSYIGGVMGLFLGMSCITLLEVFIYLFKTIFGTLNNTRHKEFVERLLSDDDDSIHGSHEEIIITQKIDKQGPKLNNEQPDGGVQELVVDAGPQRRFSMIPSMNSQLGMKVQFHRPNHTLKRNSVYLGNCDF >CRE15318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:386937:389409:1 gene:WBGene00058566 transcript:CRE15318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15318 MRRDLFTVIQLGAGFAFIFSAFNSQGLIEVAVLRKKAETAPETGITTNSGYYSLSIIYFFFTFFNLVIPSIVKKLGAKWSQIIGASGYLFFMLTFLYLNVYLLYFGSAVLGAGAALLWAGNGCYLVEISRRNKMERNSGIMWAMLQSSLITGGIFLIYVLRSGDLSNSFNFIYMAFSAVIALGIAVLIFMPNNPGQYASGQQNEDLDHSIEEQLIPPESDNTPVVDPSFGEQLKSMITLLLTPNMLCLAVLFVYSGLEMTFYTGVYTSCLSATLPLKAFSDLVIPYNALLIGAGQIVGGVLTGPLGRLLRLRSQHIIFLAFVGHLTAFGLVYLCLPYDSTVRTSDATTYMAPTLNLTLIISFLLGVSDAFWQTQIYVTIGQTFKEDPVNAFAIFKFFQSMAACVSFFYSSFLYLPSQLLILIVGCLASTLFFLKVKLEIDSTSVEAVREREI >CRE15402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:389918:392612:-1 gene:WBGene00058567 transcript:CRE15402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmd-4 description:CRE-DMD-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MC66] MMLGNLHVFPNGRIERERKPKCARCRNHGLVSWLKGHKRHCKYKECACEKCNLIAERQRVMAAQVALKRRQATEDAIALGLRVVAGQAIDRLPQGPVWNTASGEDDDMDYLDDELDTPEKPTTPVSEELVSIKKKKVEEPYELSSFSSIELLMILFFEHEKHILELVLEACSGNVLQAIEHFANVRRVKNINQMKMFAAATRGFPTVSTPKQSFLIDSLLEQPTYPTSSQASSSTKNCDSSSVDSISPNSSTFESS >CRE15319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:396213:396955:1 gene:WBGene00058568 transcript:CRE15319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sssh-1 MPTILLFAFIFTVISDVKSQDIRCYACTTIDANAMLSEISDPNWLRWLENVRYVPFSQKCIDYFEVDQALRDGVRSNECSNGVCMKMIFQEKNGINHVWRSCIPNAKEQIRSDCTKITSGEGNLEVCTCDGNLCNSGTTFKILTTLLLPLALILR >CRE15320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:398652:399232:1 gene:WBGene00058569 transcript:CRE15320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-8 description:CRE-FLP-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MC68] MLLGVVISIFVLAISANANCDVSALNTETEKELGLRICHLEAEMQVVQRALQEVMQQTDVTLYDQEVPVMNKRKNEFIRFGKRSDGMEKRKNEFIRFGKRKNEFIRFGRSDKGLGLDNDVSMEKRKNEFIRFG >CRE15321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:402355:405774:1 gene:WBGene00058570 transcript:CRE15321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-6 description:CRE-DAF-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MC69] MQILQIEPLVRNAFEWYGPVVHRYRWFCFISPLFLTMACSIGFLKMNSLRVDDPAYVFTPSDARWRREVNVFNENWPLDENKFLPGKSFETKRFVNILIRAKDGGSIMRDNVLQEIQILNNWIMNNISVPTDDMKFNLTYQDLCLSYDWVCGANEHIQMLIRRNDVNQVLDLHFPRGGTKDTPVYLGSIFGDVKFFENGTLSDAKLTQLFYFLKQDQKMVEEYSSKFSYAIETFLNQVYSSDVITLSFAHYQSLEDGLDENAKAFIPNFVVSFFVLAMYALISSFTLKASSAKKIDWVSSKPWLAAAGMFTTVLSIVSAFGFLFLLGVHYNVINTIIPFLIIAIGIDDMFLMNACWDQTSKSLSVPERMSKTLSHAGVAVTITNVTDIMSFAIGCITDLPGIQFFCIYACVSVAFSYLYQLTFFSGAMAIMGEVEREKRHCLFFYRTFRLVDISKMNEEADSKLQQMKSRSASPAPFNYLSSSNSSFSSDTDSFSSKKTIPAEFAWKEQGASPNSTISKKTDDHEEKDRIVYFLGKVYGPFILSNSVRIFSAFVFIIYLVIAMYGCYNFREGLNPGNLVTNDHYIAKYFSDIKHFWRIGPQLHVAVLNPPNLTISENRNKLMEVVTAFENTPYTLGREGTVFFLLEYLNYLADLNVEIENTEKLWKVKLNSWLKYTGGSTQWASNIRMNGTDFQSFRFQVALKNFVEPNDHKHAAKLLRDIADHQPFHIVVYHEAFPFADQYLIILPATIQNVVISLLCMAVVSFLLVPSLPSGFVIFVSIVSINIGVFGYMTLWGVNLDAVSMISIIMSIGFAVDLSAHIIYAFVTSHGDTKQRVIGALETLGWPIFQVSKSENKSKIKIKLFQGASSTIAGISILYTVDAYIILVFFKTIWLTMLIGAVHGLFFIPVFLSLFPVEFFRIPKSLELH >CRE15322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:406886:409198:1 gene:WBGene00058571 transcript:CRE15322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nac-1 description:CRE-NAC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC70] MARKRGVTSILATYKQSFVIWGALLIFAPLMFVKDAHELQARCLYCVAVMGAYWVFEALPLAITAFIPMIVFPLFGIMRSEEVARAYLPDTCFLFMGGLMVALAVEKCELHARIALFVLKTVGSEPARVMAGFMGVTGFLSMWISNTATTALMVPIVQSVITELVSNHRMEDLVALCEAHHNSNRKQSIGMRRLSLPNENNEIKREEMDTAMSPREQRMAKGLMLSVCFSANIGGAATITGTASNLVLIGQLNELFPGADTGVNFLSWLTFAFPMVFCCLIYCWCVLYLLYLRGAPKGSIIVTRKLQQKYNELNNLSFAEIAVVFCFALLLLLWILREPQVVPGWGEMFREEFVSDATSAMLIVILLFTLPEKLPNFKGNSSEQRKASSGLLDWATVQDRFPWSVLFLLGGGFALAAGVKESGLSHDIGAIMRNLDVFNHNIIMLICIIISVTLTNVCSNTVIASIFIPIVAELARSLEIDPLNFMLPVTISASFAFLLPVATPPNAIVFSSGYLKVSDMFVSGLCVTLGCVVLSMLNMLLWAGFVFNLHLFPQWAKNPSPPLDVQQWAVENNITFVAKP >CRE15323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:409508:411191:1 gene:WBGene00058572 transcript:CRE15323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lips-8 description:CRE-LIPS-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MC71] MNTILFLLCYAFLVVEVSSEFNKHFADFVEENYGKTFLDTLQRIDLGDSGSFGGKNNAEEEIKNDPVIFVHGVSDIAGGKMQALAATYKKHGYTSGELYATTYDSGPHNSPIAWTEYSLKCEHVKQVSGLNKRNFMLHSHQIRTLILAVKYYTQKDVDIVAYSLGVPIARKAILGGMCVDTRENLGSPLTQYVDTFIGVAGPNHGISLQVAGLSIPGCVIGAIPILPICSKIIGLYSGFCPTESEFLTDINESNHYEGRYVYSLYTETDSWIGYQICDKVTARIPGEDGHKLYKKLSHDDIILRTCEMQIQMMKYHNEFDATTRDGTSSRQKSSRQKVKSAKLSNSSASSKSGFRPSSRSKSGQSKKREINEYDYLSEFDQSVFENVTPLEGSSKISSKRIAVIPSYQPATTTIQSTRSYESYLPRGTENVIDMMNARPYPIETTKTQGDNVGGYHTRINVSFD >CRE15403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:411552:413790:-1 gene:WBGene00058573 transcript:CRE15403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hex-5 description:CRE-HEX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MC72] MLLQRTLLVLALTLNIALCGYQRSIVHFDMKGAPPKVAYFKQLLTTISGLGATGVLLEWEDMFPFRGDLSRVVNKNAYTEDEVISILEHAQQLELEVIPLVQTLAHMEWILKNEEYSNLREDERYPMVACIGDPQSLELIIDSVNQLMRVHSKFNSRYIHIGADEAFQVGICEADRLVLPVKYENDTLRMIFDHLKKVSINVTEEFPATKVLMWFDELKSAPLELIKEYSLDELVIPVVWKYTSNLDNDLPPEMWKNMSYSFKEVWGGSAFKGADGASRYWNRLKPYILNNKEWYLQNEKYKSQFTTFDSIIITGWQRYDHFASLCELWPTSMVSLALNLIVLTKFHIDTVSAEQAIQALNCPPSTTLDQLVAGSDRCRFPGYRARDAIRDYVQLKTFFENSTWVHNRENGWLQSSHMRLAASNPYYIDAIGKAYERTLKKLDTVSTNLRTAFTEIFYPDVIEEFLTDYVTPFSEDLQKRKDAVQNIDTKRSYGPRPWFL >CRE15324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:422844:424929:1 gene:WBGene00058574 transcript:CRE15324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15324 MAVSHHLCQFLRKNETIDFSTLLSHSPREEATSNINKITYAYIAPFIIIFGIVGDVLTVVTLTHPLLRKSSIIYTYLTLLAMTDLLTQFSVIPMIMWLLDIRACSKTSAFFYAHIGFPLANALMGSSVWIVVFLTLSQYMAVCKPFAYGLRSRKICYVLFALAYMFNFCIYAPWAVKKNVHDISELVPEVLVFDTENICCNMFLQSFLVSVCPYVVCDAKRPDWFVIYETARELISRIFPFFLVAFLNIKILITYRNTKRDRMERLANSQKKFMFEKSEKEEKRLFILLFAIVIVFFVCTIPAAPLTILVADTKNNNVGFQIFRAVVNLLEFTKFAMNFYFYCLINPDIRSICAHVITCKKITKPARVKGQPTTPLSMYTRSTKNSTIRDAHKNGEKRGTDSDSKKFEDSRNSSLKSGKDSVRRGVPADTLIEKLTVIKENESVTSETGDHV >CRE15404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:425205:427515:-1 gene:WBGene00058575 transcript:CRE15404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ntr-2 MNTTFSNLTYSANRASAMSQLYFLVVHQTVVMTVSLLGNLFLLFVIFRGNHVMKRRVSPVQLLIIHTCVADLLFALLSLGTEILTLLTYPNYYGSNFICKFMRYVQMFPMYASPFLLVAISADRYQAICRPLAHFRSSRYRRPNWMAAIAWGLALLLSAPQFIVWGKNPRSGKCSTIYGSHRNTLKNVYVIMFNTLAWLLPSIFAAIFYYCVCKAVRLSSTKSVRAIDSQKKNGKHSTEATENYIEELRKKSKGFRQQMSEFDRKRVQTVRLTITIVACNFFLWMPFCVINVIQALWPEILHSMFISYVAILGNLNSCLNPWIYILFNRSHVRKALCQTRHTYSETTTKRSFENFECSSTATMNNNNNRSNAKYKQVHQRSYSTDCTSLKTSTLS >CRE15405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:430957:436632:-1 gene:WBGene00058576 transcript:CRE15405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrp-5 description:CRE-MRP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MC75] MPSDTEEVCLQQSGTGVYENVVYSKESADRAKRYAGEGGSRKTIGRYSAAVQNLIPVRTTEKNKNNGGSRIDDAGLFSFVTYSWVFPYLWQAVRGKLNRDQVWGCSFYDSCGLNMARLEVLWEDEKKVNPKDPSLFKVIYRFIKTRLWFSCAVFFFCLVFGFIGPTCFIRRLIAFAENPERDEKQNIVYSYGLALVAAISIVEFARVLSYGATWAVSYRTGIRVRGAVLALLYKTVLNAKDLCGKTEPDVINIFANDGQRLFDAVTFAPLVLVGPLVLVGGIGYLLMVIGRWSLLGILVFFIFDVIQFCLGKSMVACRNLAIVKTEKRISLMGEIIKYIRIIKINGWEDVFSKKVDAFRQEEKAQIRKSGYAQSLAIACGPVVPVVAAILTFLGVVLAGNDLLASDAFSAITVYFVMLFGIRMIPYGSRYLAEAVVALRRIKEFLMLEQYVTYPVTNAEDTVLYCQEATYTYQPKVEKPTPATPSEAEAILTEQAVFTSCFDNFTIKRGEHIAVIGAVGSGKSALLKAISGHMFTHDDAISVDRTQTVYVPQKPWIFNGTVQDNILFGDKMNTERYYKAVNGCQLTEDLTTLSVGDRTEVGERGATLSGGQKARISLARAVFQTKNLYLFDDVFSSLDKRVANKIHEEIIQKLLKKKALMIVTNNMELLPYFDRVIFVEGGTIVADGNHDSLYESNNDYKTFVNACQTYQQSSGTVSPIGEAPAPTLPGLDVDALKSSSENLSAGDSDKLITEEEDMGNSTISWSIYMQYVHAAGRWPIWVCLIIGFIINVVSSIFSTYWLSRWLKKGHDETIIYENGTNFLQTRGSLADSPDTGFYAIVYLVSLVVLTFSGLFKACVFVKVSLTAATRLHDQMFNSLIRGATSFFDSTPTGRILNRFSKDMDEIDVKLPFTAEVFLQNMITCLGFLIVIVSVFPMFLIFAIGLFVVFVIFVSCFRAGIRNLKRSEHISRSPLYDHVTASLEGMTTIHSLQQSNRFLDILKKHLDSNSGAIFMFQSAMRWLAVWLDLLVVVMTGCVALLTVLLTGTVSPADAGMAIAFAVQMSGIFQFAVRTQTELEAKMTSVERVSYYAKNIQSDGDYNTPRGVDVSSSWPANGQINFSEVKLRYRPNLPLALNDITFEIKAGEKVGIIGRTGSGKSSLGNLICRLYPVTNGHIFIDGVDISTVGLTKLRRAVSAIAQDPSLFSGTIRFNLDPTNEYSDSQIWDALDKCYLKSVVQTLDKKLEAEVGHGGDNFSVGERQLFCLARALLSNSRIVILDEATASVDAATDKLIQTVIKKVFVDATVIIIAHRLDNVRNMDRVMLMKHAKLVNFTTPQEMFKDDWSIYKLEDKGNCYIFLDDDQNSAVVVGENSENSNSMEKSSQGSSEESDDIVKVENDQKEGSDDVVHVENDDVRDDTSDTKETSSDTDLEVVQ >CRE15406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:440813:443169:-1 gene:WBGene00058577 transcript:CRE15406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pag-3 description:CRE-PAG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MC76] MTTEHVSNVYSVESLLSNVEKASVSPTESVDDRNDFLISEEIMTSWQRMAASFSLQQKLFMIQQSVSRPPPVNMFGNFPLGFLNAPVFWQQYIRSMAMGMVPQTESPPDNFVSATVWNRTPTPPVEIKPFHCSKCTKVFSTIAALEQHQQVHNSDKQFECKQCGKTFKRSSTLSTHLLIHSDTRPYPCEYCGKRFHQKSDMKKHTYIHTGEKPHKCTVCGKAFSQSSNLITHTRKHTGFKPFACDVCGRTFQRKVDRRRHRESHHPGHPEECVSAAQISSDLSPKGYMTPPTSSGYLDSSDEFLNVFRLPAELLAIKSEIGEEMEDEAEEEEEKVLNLSVS >CRE15407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:448381:449205:-1 gene:WBGene00058578 transcript:CRE15407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15407 MHRFSSLFVAFSLFMAGVIAQETSLSPVVHRFKRHCGSYGCGRSVCQECPSCCGNEGPQPFNPVFNIQFVRFSLVSIDIIFFLQNCCGAPRPSPACCPYVPPAPLPPPPPSSPCCGPSPVPAPSPCCPPPPAPAAPCCPPPPPPSPIVCCKQAPVPENPCCQAVAAVIPPTASSPACCVAAPVPANPCCQPAPKPMPCQCSAPRPVPCRCGAPPISIDCPNCDMPAPRCSMMSPMECSMRRMRRDVHQQILQHFHRVQ >CRE15408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:450985:452546:-1 gene:WBGene00058579 transcript:CRE15408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15408 MEIDLLLILKDRDGEPHCQKPVTFSDETTIKELSLAINSFWSIHQDYQELFHNGKQIISLKSTLKETGVKDNDEIVIKHSQLDIWNAYANRVEVFKNAQISETRKDSAENAQRFYDKLLGSSFFTVYPNFDIAVTKLHKPISKYLDKHTRWIQNAAKNFFALSMFKGQNPEIEFEETNDGSRSAVICKVTVNSITHKYRIKTNHNAGESGQAIRWNRDLIEFYCYKFLESIGLGPKVVFIPNIVASKPILYIGSEWLGHFRSFNSTEQVNTTEVTRTVVQIHFLAVFLSLGDMHEENFGMNANSDPIILDFMMSNYGDPQHKFLHENKIINSMRARDILENCDSTVRLQIAKDAIQNWNLMEKLSEVLELMNKEKENFGRDKLDFDKQARDLEEYVERVRSNIQNLYHSTM >CRE15410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:455814:457202:-1 gene:WBGene00058580 transcript:CRE15410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15410 MGAAASGVSTQMQAEHDPNAAFPWWVRFLAKGVAILGGFLSLFFGVLGLITLSATCMVAILLQMTSGALVIALEAPFCCQFVDFIEKIARFSESRQLWHKAAIYGVMGLIPIFLCIELNTILGSGTIFASGVIYGFMALGKKADRNNMMAAGDPAWSPQVNQSNIP >CRE15325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:457724:460756:1 gene:WBGene00058581 transcript:CRE15325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15325 MAEKEQTKNESFSSLDDNWETDVNVLSNELSELDTEDTDKSDWMVCQPMLTFSSEMQVFQRLLGVSMKPNPLDDWDQLYNLNNQCALRNDCRKLANELKNKRSVPELESFLTLYCKKRGMDYVKDIGWLTILEKLLLLNLPAAHEFNVFFAFTTKYIPKDTRPNAQIYDLFRLLLQYHDPQISNHLEALHCSPSTYCKQWFSTLFASSMSTETCHELWKIYIEQGDPFLVFHFAIVFLINAKEEILQVKRGEQAQAVQILENMASQLSVEDVTDFFQLALHYSEKTPECIRKDFHYIIFGANFDEEIKEMQMNKMLCLPIPAIDLASSDEMSSGNVNFFIVDTRSNADFDSGHFVSSFNLNCVAIVDEPEKFEIALNSLECYKTSRRAEDHYLILGYGSDEEDNYMNMLIAMFIQKGKAHVSFVQGGYQSKWKSFHKKNLRCFVSELHDCIGQHNRWELIAMHSEEKCHLCSIEKASPKWGFFSKVKSAVSNTSNRMKERVEAVVFPIGDDRKLDDKLNHADSKQRHGKRYRQQSVFTIDENSDEELTPGAAVDENPKEEILLSKEFTETFECQEVFRDGSINGHIALTRTHIYVLHDVPGKQGYVTTEARHALSTVVAVTSRRSVPEMLTFKLGYEMNGSSKITAVHKLYVPKAGECAKAVKLAIYALRPLPDA >CRE15411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:462316:464351:-1 gene:WBGene00058582 transcript:CRE15411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15411 MADNRGANQPNAEGQTSSRALAKRRHISSCSTRMLQKNFEHHWSIENFSVQQLLLPTGECMMGPRFGDNDYAFSLQLFPNGKDLEHSDYVSLFLHANKCSIPRFAVQVTYTINTLEGTKTCTLNKNFVMEITRTDVVTASKLFLSKTINEEHKLYTPNDTLTLGCVLTVYYLPLTSKTNIFDSFARKRMRTEKLGLLQGTVGDSSTEKPAEEAANGDRFPSLLDTGDFSDFTIVASCGREFNTHMCILSSRSDYFDALLCNKTTREFLEKRVKFDDISATTLEVVLRHIYNTSNEVKIEDDQLTSDLSAAVDRLTIPSMRTQIRKMLIQNLSVDNVVTRITMAAELRMEDEYFYLLEYFSTIKKEAMESTLWLVLKKEKPQMTVKILEDAVMLDEPSRQVVQRNVDRIITLE >CRE15412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:466215:468291:-1 gene:WBGene00058583 transcript:CRE15412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15412 MADNRGADQPNGEGSISSSAPAKRPFRRDLGHVSSCSTRITQKNFENHWSIENFTAQQGLLMPEEHIMAPSFGDNEYEFSMKLFPNGKDLEHKDYVSLFLHVHKCPNPRLRFQVSFTINTSQGTRTCTLNRNMVTINRTGVITASKFFMTKTINDARNLYTPNDTLTLGCEITVYGEILTRTTSVFDSYARKKKRLEKLGLLSDTGDDSSTGKPEEAPNGDRFPSLLDSGDFSDFTVVASCGREFHTHMCILSSRSDYFNALLRNKTTREFIEKRVKFDDISATTLEVVFRHIYNTSNEVKIEDDQLTSDLAAAIDRLMVPSMLTQIREILTQNLSVDNVVTRITMAAELRMEDEYDFLLEYFSTIKKEAMESTLWLVLKKEKPQMTVKILEDAMMLDESSRQAVQRNVDLVITLE >CRE15326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:470195:471769:1 gene:WBGene00058584 transcript:CRE15326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15326 MQVNTEDITVAWGQAPHPLDAQYGKWRMAVFQDVQESIDGSKLYFLYDPQADEQSGTSGQRKGYPGLIIFDTNFKCFAGEISIHSPGKMKFLFALKCPSPQGGSSFVLVTEEEIYGQIRLNVSRVDLSQDGLSIANVRPLIPQALTIGGEYICSMREDLPEIVVMANPGLQVWRINCMAESAQPPVATFTVPGADLNHFYDGFLNNGNIIFLSATPDGHFDNTRVHLLSLNNPQHITSQNCTGDPQRGMPIPRKQCGFDSVTNAILMAGGEVDRGNGFERLVDYWVLNTQTFQWLQIPSTMPCPLIEPRLTACHSGNIFVWGDFDQPLPGMPPHGTHLRILRVSGLEKASHPPAYSSYNPAPPAYPSLDEKSGGNPPYPSGNNYGNQEGGSYGNQGGGQQAPPYPAYNPNQSNFGNAGYQGGPSNDQYGSGGYGGQPGFDPNQQGGYTQTADGQNAYYPTQKKKKSCSIQ >CRE13748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:5:2598:-1 gene:WBGene00058585 transcript:CRE13748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13748 MAFLKMRVENYDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKKRVVFPICADEHWTLLTISNGIAAFYDPTGSRMSSYIEELVNELGLIIPKEQDEQPRQRDSYNCGVFVMKMAEAFIQDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKSFAQSRPTSRSSQCAVCPTCSRSATPMMDVGNMEVDPVPQQQETPKSREPEQDEGWKVVQKARKRGVVTERSPNISPEAKRQFTGPEIKVVSPGKFHPLVGETEEMEVTCDSPPTKEPTVTPSLPAMKIASPEVTKKQTSKKKGKYGKKKQETKKAQPPKGEPTKKAQPKGEPAKLIEQVRTWFDKQMKSYQEQGSNIQTLTWIADSLTAAIFKANNGNKYLVDKITARCPPPLLNEGEMATQTSRRTEAVKPKDRFVKESNEPLRFQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTEEALNTVCSGIKKANVDPSIEGPISSGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLALLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISDCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCSWLDLTNAFGSVPHELIRRSLAAFGYPESVINIISDMYNGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQTRKTGYNCVGNDVRCLAFADDLAILTNNQDEMQKVLNQLDKDCRSVALIFKPKKCASLTIKKGSVDQYARIKIHGMPIRTMSDGDTYKYLGVQTGNGGRASESESLTQIAAELQMVHDTDLAPNQKLDVLKAFILPRLQHMYRN >CRE15327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:480205:481510:1 gene:WBGene00058586 transcript:CRE15327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15327 MATLNLPRLWDQFLDAAKSTTVKGIDRSQRKRLCKAALKLSDMLVDNGFIYEDRYEIWIEWLAKLSSILEVDDDFIQKKTGGTSIGSVCVVQYNGMQRRLFIKSHQRGPRSDCISIASRYNSTNRPFSQEIYVYQLLAMINVGGRCHFPVPLTTTKKALYIATEQIIFTLGKKLRTVNTKAVVLIDFLQFVLHLSDIGSNGGNFGQTAEGNPIIVDFWVITKESYEYSNTEIDEFWNVSHHCDSRMIADVLKKQTSVERKNIIKEAVEEWSLIEKLDEAKSAVEKLVNRNGGRLEISGDLIRYARDVKLNVQKLIK >CRE15332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:498417:498745:1 gene:WBGene00058587 transcript:CRE15332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15332 MATKVSVQNVFRAFLNYAINSIPTQTGISVTSAAPGSVGQRPFTNKAGVLKLLFVSASSLYLGGLIAHKGASYLEENEIFVPTDEDDDD >CRE15331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:489902:496429:1 gene:WBGene00058588 transcript:CRE15331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15331 MRNMKINYKNGTPDDVYDNTRMDMILGNDILPHFIRGSQRVCLPSGKYIEIGPFASMTFPNARHCPVMDQNMIPEGISLLEDPHPPSINVLMSQKYGTDSDDELTNLILQLWQTENCGIESATMLESIRLQEKNRNATKLLWIRDINKPPTGDNLVEFRFTRIPFGMKCSPFLLAATIRHYLLLAANVLSREIEQNLYVDNLMVTTNKPDEVLPKNLAVQNQFREMGMYVREIATNHHPTMLQILEAEYEETDSAVPNQIWSKPPEKKMTKRDVASFLAKLYDPMGYTAPLQVRINRFVQLIWNDGLDWKQTLSDKLNAEWMKVKELYKHRTIEIPRQLRTRYIPNQRPEMAVFCDASNHTYGNAVYLLYRSEDGSAESTLIGAKSKVRPSNGSEWTIPRLETLAVEIGMKHSQSLIKELSDEDKPTKLDVFSDSTIALSWILTKEQTKQWVHNRVNSVHTIEAEMKSMNIEVSFHHVATDQNPADLATRGMTSTELKESKLWFTGPALLKENRDTWETQLEGELQYSSDTEELFEKELKPAPKNKSIRRKKLEKVKKVTQNMVLAETEVFSVSIQKTKSKSLPSDYKSFIPFDYTNSLLKLTNVTNIILKFLTKSLKNKSPLLRTYQECEQIANATEREVKRRKIARLTIFQEHYHEAASKNWKFKDSLHPFQSKDGLWRTKRHFSSPNIHIDTSQPILVHSEHKLARLLAEEIHLQNSHVPAQYLQMAIRTKYWLKADGRLARTVVSRCVACKKVKGLPFQYPYATSLXXXXXXXXXXXXXXXXXXXXXXXIPFQYPYATTLHSTRTVPSTHFSKIGLDFYGPLKYKISNFDTQEKAYVLIYTCLTTRCTHLELCADGSTTCYIGALKAIFAQRGVPSYVYSDNAQCFKLGQSILEKDMRDFSADYDMICFLANHNINYQHITPLSPWMGGIFERIVGIAKSQFRKVLGRLTYTFPELHYTLKRVEGVINSRPLIRNPTDKDDVPVLRPIDFLLPSVLLDVPNETDSKDDDPDYNPNITNTESETRQHLKKLDETINKIWKIWSSSYLLLLRESAAKRNRYSTTPPKIGQIVLVHEELIPRHRWPLGRIVAVKGEKPNIRSVTVLINGSNKERAVNQLIPLEIEDETDTPTEQLTNPTQKTLNPSDSTVSKKPPPIRIMPLRKAKKGTKRYSGILPRAGSVVEIMIPQVVWFKGNYHSFWIHNTSIIFNQCRIIEFFSSEFANASSSNRTIAPALLPKKIKMETKEEEAVETKKEVNYIQPTTQTSIKVDLWMFSMAAAGMVDSRHFTQFSKKPCEITSRQCIKESGTNFLLIFSLLSMINVGGRCHFPIQLTTTKRGLLIATEEVMFTLGNRLNAATLTTKTAVLVDFLQFVLQLTDIGSNGANFGQTADGNPTIIDFW >CRE15328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:484516:484833:1 gene:WBGene00058589 transcript:CRE15328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-299 description:CRE-TAG-299 protein [Source:UniProtKB/TrEMBL;Acc:E3MC87] MATKVSVQNVFRAFLNYAINSIPTQTGISVTSAAPGSVGQRPFTNKTGVIKVRLKLQVFHFKLLEFSASFCPSQLVALGGLIAHKGASYLEENETFVPTDEDDDD >CRE15333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:499303:502477:1 gene:WBGene00058590 transcript:CRE15333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbg-1 description:CRE-RBG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC92] MAEMEENTVFEINDFTIVTDMEHFGAAFEGIIQKYEFSGRRPSLPDDVKYRMVVTDTNVVSIAENKLRIDYLQPMPISLDEAAKLAEKTSEVEKDETEDVHLAPFTVAEEIANIKNGFESTDSFVLKFGVRECIVVTPNDSSCTFTDENQVNTVMGNIRAILHASRCEVPVFCYIKDESLDLIAGYASDGNSTYKFSSVVLRNINPRHCTMSDLLSLFREHLGARISAFDEEIRISTRFTHVVPLKQNPYLRNHTVDSFGLLATGPSLTLPFDTLEVAATWRLFREDSFTEHHDHSDFNINFSTHWSVKMKSTQNSLLGVFDEILGVYREGTRKMIRSDVTPAVLLGKHYTQKDMPNVFQKLTVNSASEVKIGKHVEPESEGTSGPMPPSLMRAWVDFIFNEHTEYDELAHELNLLNATSMSGTQWSEEDLVNNVKLDTLFNYKDSNSTNSFLAPYKCAKRGTTTWRLAVALANARIFMKETPRAEPQLWVEFLLRLRKKYETMETVERVNNGIDHMQCGFSQKMQMLQMCIDARHKRHKIFDSAHKANPDEFFDANDTFNADTTLEPNNEGRYRLAGMNLIGNSSEPMYIPVTQDACPLTDEMIDARNEHLFSLGDEERVNLQMELVKSDMQSFKAANPGAVFADFLRWHSPKDYDEKTETISERMLIPNNVWVRSWEAAQPIPVSNQARIFNDTKIAEEVCSILELFNNATLDEVREWMKPTVFAATLERLNEIEKSYGISEEKQKQRVKIAKILANATLNDSIAEYIDIAKYCSQVEMIYIMKVHLMHLFQNAKEKMYPPYPSDAEIQNAIKQLVTIAVHNLWEESHENEPEFIVKAMDPIGRALAVMGKLDGLTEQQLINAHRKEYIFIWNYKRPSTTTLPMTQRMYSDLRADKHSLYFATGSDCNFSNASYL >CRE15414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:503701:506230:-1 gene:WBGene00058591 transcript:CRE15414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-iglr-1 MNSETIIIPILVLLYNSILVTSCPIGCSCNGTSVTCTSLTNLELDLLVTTLKEAPYNETTELYLDSVENLSMSLIPDMLVLRVLKINSSPSTGDNDWFLRRHQFPELQILHFINCGLKIFPKALMSLTSLLELDLSGNLLENLGADSIHIRSIQRVIIRNNKIKSIGVHVFRYMPTLKLLDLSGNQMTRLVTSDFTSAVSLRELILRGNKIELIETDTTDPLQQLETMDLSQNLLREVRLEAQQNFRHLFSLNLSSNPLHVIREGFLQLPDLQILQLHNCDVVVVEAGAFVSLPRLHSMDIKNNPNLAYFSPLAFGNNTAFYRYAVYSQKNMKIFELGRLNIQNSGFKRIPLTILEKASQLYIKDTKLDCSCTSRDMQDYGAVTIVDWNDATCRTKMGAVQKLVITTNSKKLLQNFRLSHLEKSEEPCRNNLLTPFGVKQTAIVGQAYRIYCASDGTNSKLFWISPNKNTTEAAPPELKKSSEKKTDYFTTTLLDPSFSKNHEERIHISSEYYGFDVVLESDAGYYECVSKSDMKTITRKIELQVLKPEVYLNASHVATTSVHLSWNRNLKIEAVDRVALRITASSEKMFKRQVQLSLYNMFRSYNLVNLSADKEYDICLEWYLTDSETVIHKSCITQKTKPFKTVMQSVNAKVAIGVIVVLIIVVVFCCDTCIHQKVAYLSRIKKQAKMQQSVSGQSILTQSSSADATTYENFQLSVRKQACEI >CRE15415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:508077:510778:-1 gene:WBGene00058592 transcript:CRE15415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-3 description:CRE-CUTL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MC94] MFHYLTTTLTVLLICKYRAEQIDNGLQEDPQIRCGSESLSINFKTQGAFEGHVYVKGHYSMKQCRTDATLEPKVNLTVSYSACDVIRQRSSNPKGIMMTATIIISFHPMFITKIDKSYKVQCFYAESQKTVTQQLNVDIAKEQEKKIFVMVGDEESDGDNQTSGDRKILHKLNDPSTEERINYNVPLPDCKYRVLNEGKNEEVAFATVGQIVYHEWSCEAPGQNQTSPFCVTVHSCNVKDETGKEVQIFDENGCAVDKYLINNLEYSSDLTGGQLSQVFKFADQPSVFFQCKIRLGLKDEDGSCTRSSDHCPVTLRGKRSTTTGENDFDVISQTMTILDIDEPSKSHKKTSELQFSKLFAATDVCVSPTTASGIVVFVSSLLLVCLISSCLLCFRHQTVKVKLAP >CRE15416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:512908:514496:-1 gene:WBGene00058593 transcript:CRE15416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15416 MSGNQNFSYGPKIMNGGLAGIIGVSCVFPIDLVKTRLQNQTVGADGKLQYTGIADCAKQTWRAGGASSFAKFKGMYSGSGVNILLITPEKAIKLVANDFFRHKLAKEGEKQLSVGRGMLAGGLAGMFQISVTTPMELLKIQMQDQGRTLKPGQKKLCATELTMKLVRENGIGGLYKGLTSTFARDVTFSVIYFPLFAYLDSLAPRKSDGSGDAVFYGSFLAGLTSGAASSFCVTPLDVIKTRMQTINKGANEIVYKNIPDAFVTILKNEGPKALFKGAACRMMVMAPLFGIAQTVYYIGVAEKILGIQKAAHV >CRE15417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:515006:517049:-1 gene:WBGene00058594 transcript:CRE15417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15417 MDLGTSEIRQRKGITKIVEDFDIFEKVVENVKEEKKASSGAISFVCFTIIFCLFCTETYTFLFHKKYDYRFAVDTEMDEMPLLDLDIVINTPCSVLQVASSSDEYSGGDGLLRQTIQKNPTRFDFTDEEQMYWTILRHAHDQYNRRGMRALEELEYVDDDIETNLEHLANEKQEEEAAHIKEQRMKNKQTKHRGTGQIMFLVSNGMGMFQLVADNGGADGEDGKACRLHGKFKVRKGKEEKIVMSISNPLLMFEHQEKQPGNISHRIEKFNFGPRIPGLVTPLAGAEHISESGQDIYRYFIKIVPTKIYGYFTHTLAYQYSVTFLKKQLKEGEHSHGGILFEYEFTANVIEVHKTSVTLFSYLIRICSILGGVYATSTIINNVVQLLLSFVYTDKSQLNQFQKLHESRNSLSTDSAAFVMDANMRVH >CRE15334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:529438:532894:1 gene:WBGene00058595 transcript:CRE15334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-seb-3 MTSIIRTEYAACEALKQNTSYNSGGCAVDFDKSLCWASARLGQQMTRDCPFKYCTSIPGCEDIKDKYMVSRNCNNMGVWQDSNYSMCIKVVEEYAQCLQGFCRVCPEFLRDMVISVSLTLSIVSVILLVAAIILFSVFDSIQCRRLSIHKNLATAFVFRFAVLAIWTIVQSTNLFQDCTRFHPQPLWDLEWICKAILWFVIYFQVASVMWMLIEGAFLYSRFTVFAMRHSDAPWSLYLACGWGVPFVVVTAWTIVHQYKSSQLPNSFCWVPYAQGNHLWILAGTMGSALIMNLIFLLMIVVILVQKLRTENSAESKKIWRTIKATLLLVPLLGISNIPLFYEPEHPSSVYMLGSAILQHSQGIFIAVLYCFLNSEIQGALKRQLSKVPFEFFKSRNRFETERTYVPENRNATKNGVPMEELNGTKKIESENTESQEQVNTDKQIYSVTTKS >CRE15418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:533547:535433:-1 gene:WBGene00058596 transcript:CRE15418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dsc-1 description:CRE-DSC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MC98] MNPNANLNNIFDFPDLPLVLPKIEVPDSSDFLLPSSQELQQFDPPRNLVSQIARRWSCGDSQHAVDEMPASYYHNLGFALHNHIQMSNQRYLSDFNCPSTVSPIPSAHEPGQMPPMTPYDHIGQDPHLFSAYGNSMMPSDGSYFDNGSRSISAPNIGNPGLNTTVMTSGNSQSCANRRRFRTNFTEQQSSFLEDSFKESHYPDHKSKKHMANYLNIPEDRITVWFQNRRAKWRRKEHRQRDRSKNELFTSTPSSFDYSCFPGPNPEEISDVKHPNIYGIPGSQLHDPFQMNPDGSNVEYLHNQGQH >CRE15419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:537776:540693:-1 gene:WBGene00058597 transcript:CRE15419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15419 MSKKGRNVFQSVSLLVLFGVYQTNAQYVVDVMRMTSFGEEELVHKCAATGGNFGLDVGEFIITETNLGCGVSVEPADACGPVRITSNQTTRCHNLFAFVSRSDYAHPCKFSHQAYMVQNSTYPFRLVIFYNYPGQDPITMEGTELRDKVYIPVVMISHACKEEIVKKYSDTAGYRLRVRIDPGYYELFRYLIPFLVVIVFCFALFLITLCVRGCVERRKLNRRRLSKRNLKKIPVKKYRLGDDPDTCAICLESFAPGEKLRHLPCRHVFHCKCIDVWLTQTRKICPLCKRKIGSDSDSECSTSDLASTSQAPHDSVALYSSSQDHSGFDEIPVPTPQMLDLWSSQEALVDRDIVLLNTTRRSITGFVRNAFRKLRHAPRPGTELNQAGLEHGSYLVRQQPQSNDSDHLLENEVSDPTTNSEVNVSASQELIVPSCAMSPPNTDNNSVEASSSPSEPCQ >CRE15420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:542211:543848:-1 gene:WBGene00058598 transcript:CRE15420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mam-6 MISEMLGGHTDVDIIFPMFKEGSPLGNVVIQCTCDLECHDFDSFFTRACRWHNEKNGCYGTGDELDFIRMRGAWGHAGESVFMTSDKPDGFFLLVGVQKKLPDMYSAMLVSDPIQCQQGDGIVRFRHWTSPGVKIRVCTRPPSMRRRYSWCSESVKRKPGKSAVVVIPGSILTMFEIVIEAYGFTLDAFGVQGGAAAIDDISYNTTAIYQCQMIPHIPKLQNVTKSVCNSMKCDFDSGDCLKKLSKKWEVADEAVGPRPTGILKPLEGDFGYVQGPGDATLSLGKLLIPRTYGLQFCYFSESIGTDFEVILRPEDSKEKLVLYNVTSVDRFSQEWQCKRVFLSVNGTIDFSVRNLRNKFSYFGLDQIDLFDPMTSMSACDF >CRE15421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:544310:548922:-1 gene:WBGene00058599 transcript:CRE15421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15421 MSNITASRSESSFVSAAKKVSASTANSKSSTIQIKKDTNRNKKVSAIQPRENSEDSDKDEAKDFLKTELAAKLRVFDPTCKPPKKLQKCSSTLNSMTNSLVPNEISSISGYARAAFASGKTILILENGDVKHVNLAEEQPKLISMNLVGRVTAISVSNDFSIFAVAVEEENVAKVMVFSLELAIGEEKAVYGTQYLSTEMCISQAAEIIACLSVLEERVHLQTFDVKDGINNCTGNIIAEKVPKNWQITFCPADEGVLCALGGGTAYLLRASGGHIENFSTILLPGVICHDWANDVNIMFGNKTGFLHIYRETIPLDVVDIRKLSEDILNNSVNLEIISIRSTTRRLACQTGSGIILIFDSTAEIGPVWSSCRAFIVSDFVLKGYHSLSFDFADENLLYDNGNALQTISIQFLSKLNSFNGDVVTVRHCNKIIGVRATESTIVTLDDTGLFAVFSKFTNRVLCSSRVPDAFFFTVLSTSHKLVVVTKRHVESYTVMLDGLKAAESIFEKELIMDTKQMLFSRDNKFLMFLTKSDNVFCVDLSSRKILWSTDYKLHFFQSMGYSNATLLLLNQKFIVSRIKHGKDLSNVNMHASDIGFSITSELIEGNNNYVFLTADCGELLCSPANALDEPYVVKSKIKRIRITTIRASDDELLVGYENGSVGILKLAEKVPEDNGDIILCNFNGVINLVFFRTNQRSHFDNVFVQKSLLRDINAERNLIKETTEKFLDLFTTRTNNELQQIKTEFDETMRNYKERVKTFERDFNESETIKQKTISNLKGLYEDESTHQKRKYETMIEDQIRKSFRQLEEKQNEIKAIKEEAERKHRDMIGSFHVTEKQLSNQAETHLLEIENLRKTIKELKLEILKKHEELSQLREEKEQNTQKLKENHREDAELLEAEIWALKATCVQLNEQRDHIGDENIKINGMLRIAKQQIEHMRDENQRQEAEIRNLREIIQKLHSNENEITRTYTKVKKNFTIQTKQYEEVLQQNHRWKRRMQDLEARIEQLAGCVYDPRRLEHSVLNLLAKSQNIDLDKRYKAPL >CRE15422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:549181:550173:-1 gene:WBGene00058600 transcript:CRE15422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15422 MSSFPMMSTVHHCRNQRKSVEKVRVDDIVEELRTTPRLIIPERAMAVQAQSSSPVSTPVSTPVNSPVANIAVVTLKPLCKPIIGVFRKESFMSNVPFIFPHQN >CRE15423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:552895:555749:-1 gene:WBGene00058601 transcript:CRE15423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15423 MDETQQAAVAQMKEITNCNEDTAMDYLFRTNFDVEIAVRHFFEGTIPATMRDERTPTASTPSSSVTALPSPTEERTSSTSSTSRPGPVLPRYHSLIQFLAEFFTLPVRLPLIMLNYVYNYFFGVSTPVYTHIFDYLSKEFPQYDANKKSVFYKHQLQSLRNDLPTKNWKWLVAYIHDPNGSPDFLHSLFVSNFAEQVRTRGGVFFGCVMGSPDAAKLRPDSVFGRKRKNCVIVFVIKATSLTRKLMIEDLSNPQAVATNIDLALIDLITDEADRILRSREQNEARRLMEEQNREYQESLQRDMERIAKSKSEEEAAKRAQEEEERKQKETENRVEKVEKYKKSLLEDDTPSTGAHDLLIRFPTGKKVIKFNGDDSIEKIFQEALKSEMCPLFFQMHQSFPKKAVPCLPQWYFEILTLEELEPKEECLSNQSTFEQSGITHGSMVYIDNL >CRE15336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:560077:562488:1 gene:WBGene00058602 transcript:CRE15336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flr-4 description:CRE-FLR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MCA5] MPINYTRNASEGKLILSAQLLQWLDQRIPLGDPMRIPSIESYRYVQDLGKGRFGTVCKFLNGNTLLCETVKKVSMEIFDHWSQDATKVSNRLDTFISEFRYLHKITNDNNRIVNFLGIYSDTKQMYIMSEFLPRGSVKDVIMRETLAEDTAIKYLMETVEALHYLHALTPPVIHRDIKAANLLITIGDSIKLANFGLVRDLAVDGYGIAVASEITLDFRATLLYVAPEVLSSTLGPGNRKAYELPADIWALGCTFIEMLLKLPPHFEYFGNINEIPQVLLGYAKSIDGKELPYTSEVLVPSSSKCVQKIVDLMFVKDPELRPTTKKLRIQIKKILEDDNESEDDTDLMSSASVSLCFLIHIPCSSSFQNSSTDGATYPTLNNLNDRKVGRSGGSCLPIEDMEYAVTKNELPKRKKRKPNHSNGAHFVIASGYYLSRILYFLNILSRSICYLLLFLSLGITALGLFLLISYFVVRFVRYVIALHCNCDLMQPQYLIISGILIVLMFALLFSCCMVALGEYKFRMANQTLGGSKFFLPRPQKSAKLCGVTVITGKDDIPDVTGPLEEEVALSPSVMKNHDDYYYDTP >CRE15424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:563664:565900:-1 gene:WBGene00058603 transcript:CRE15424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15424 MKVLLGLFLASLVASQSSEGRDEHYTYKQLCIVDNKPQVLDGFDCRNQVAVARWQNAVNTTGWTFLEVETKENYCPQLQAYSAGYLEGILSKTVLTYHLKNAQEDYCKNFTGYCSRLSDFLTENQKWIQSTLETVAPDDLYWGAVNRTYHQVSGLIDAYEGREFNPRITYELHPILYLNLNGDFYDLEKKLNKTRDPAFEQTGGKCSGLIKVAPGNTDLFISQVTMSGFQNMLRVIKLYKFGYDREFYPGYGSSFSSYPGLLYSSDDFALQTSGLAVIETTISVFNTSLFENTKPVGQLPTWVRAIVSNQLARDAREWCKLYALYNSGTYNNQWAVLNYKKFTPNRPLPKNGLFYVLEQMPGKIVYSDLTWFIEKYSYFPSYNIPFFKEITEISGFIGQAAKLGDWFKWGSSPRAKIFDRDHVKVHDLDTLTALMRYNDYQNDEFSKCKCNPPYSAEAGISARGDLNPANGTYEFPGQGHVNHGALDYKGTNVELMKKLQFVAQGGPTWGKVPSFKWSEFDFKDKVSHVGHPDEWKFNTLVHKWETEIKMN >CRE15425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:566242:568046:-1 gene:WBGene00058604 transcript:CRE15425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhhc-1 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MCA7] MQMVYGADEDGNIELSKKLQKIMPTQIQDIIATTILLVFLPAGILLHFLYVIPTWYPVMGEAWVIRAALIGFTVFNLYSNWVFMLRTGPNGKHSMLPNVVKPGYKHCHSCHSMSPPRAYHCPVCDVCVLRRDHHCSFGGVCVGHFNQRYFVAAVINLFVMTVPLVSYAWNLLNIKLVGGVSFGNLWQVMLPHLAWMFGFISVYQFLHVLLFVFTFTVSLFSLYLVSAQVFCLLKGQTRVEFLLEVHAYQLGFVENLRQALGSRWPLILISCFIPSPLPTDGLSYITREMFQLHTKDL >CRE15426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:569459:574334:-1 gene:WBGene00058605 transcript:CRE15426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlt-9 description:CRE-MLT-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MCA8] MRSTTILLLLVHGTLACFPTSQRSYQYGAPTQQFASCTPQCCGCSQAQPVPAQPAAAAAARVAAAGKTPTQAINVQGSSAEGLLGQKVSLTEFTADGYNAEGGGPVRSGNALTCNFDGRPCCWANVPPPDDQLDWQIASGVPIQLQNRGVPTPEGSYLIAYAKSAAPSDEAQFASCSIGCASSDIVVRAKHWQSESVLLQVCLRESFPTNAERNPLINCQEFPYVDGMGTTEVILPKTSLVDIVFVASNFIGDQGDVAILDDIEVSYDRNGSECQNEFEEGAEEEQDKQDTENAIRAEEIESAAKNKRRELFEKTQPEGKIVSATERFGSKGFRGRSGAGGAGGAAGAGGAGTAGSNFGGSFEGGENGNAAVGTSHTEETVFASVKTAKLPSGHVIAKGAKTADFSEVVCNATKCDFETENTCGYKDAHTTQSIRGLTTKFNVVTGQFMNRVTGIKESTEGEFYAATFLFPREMAGLEASVEPFTEQSRIRFHYYEGTHGVQLKGCCSTIESCPFSSDKFVTVADRAWKTASFKCPKGTDKVCYILWSQSVIFICENTRTNQGACAVDGIGLVENDGPLKTAKPLC >CRE15427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:575738:579167:-1 gene:WBGene00058607 transcript:CRE15427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15427 MHYGLIFVCTSLIAQCSLFQFSHYMPPFNESYRIYQLCTDQNDKIFLGNIQNSEISFYLEKYILCWPDYVRFVPTKHHSIVWFLKALRGCEVNQILSHHSRPKTDFARTFHYDFLKYSYALICERGAMIPQSEHFYLYAYSGLFNTLYQAANADRQLVCDRISDVYADLYGRCYVPHVEKRQTKIKERCNGVSAPLLKFQDFFLRFSRNCSTFKDFITQDRSANISTMGQALTRDVTYMNLLSSNLVFNIHSDSELPSLVLKTRLLLQHFSLAAIKLWSQLETVRACRYATKSNYTETYSYSNETEEEKMAKLGNLITHNCCPSTNMHICDLNNERKYQRMAKNANFGFRIEAGLLTAICFNTVLLCFLLGKTYNKLSTATILFVLNIMGSNIAFMFSFTYFFMDLLYQDKYGPINEDYMEKSPELIIAETLQTHLFAHSEFKKHLVQETLYSLAQNGSLTGLIHLLVLVLVVINRSMSGKSIHLSKISVVSVFACVWIFLIASHVMFSIMQMNAINNLDALFSNLSKGRVNLSCNASMESGYEEIASHCDRTAVFHAFGAYLLRVSVFVSAGSEKMLFQGHTLFTILFLSASIIIFVITVTYHIKVRRQHDIIHNELRLGFLFHEIPTQAYCRTQSPHQRRERLFHTLILSIVTFFLSVLGQTYIEIAVFWVNEREDIAQLSKWYHYARILAFIDPVMNPLIVILRTPALRRQLRSQWTTIRSRASSRTRSAHSHRENADTSVKRKRSSSARMRKDAELMMSLMPTDRPVTCVHLTRSCSLRRSQDSQTHNSVV >CRE15428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:582263:586063:-1 gene:WBGene00058608 transcript:CRE15428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-7 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3MCB0] MLGSSSNPEPPLLSRIIGVPPPPPPRAPTTALVLRVPTVNSPSKKKQQPDTRNKYQETALRDKKTRTPLEKARHLDNLPSYQAQKLLDGSHQLRIDSHHVGTAGHGAGQGHGHKKEFGPAMILYYLASAFRALYPRLDDDFVDKLNYYYTTTICEFPYRFLSIFLKFPVASFALLVSAKQYVGFPIQCWVPATFTDAMEQYTENYCWVQNTYWVPMQEDIPREIYSRRNRQIGYYQWVPFILAIEALLFYVPCILWRGLLYWHSGINLQGLVQMACDARLMDSEVKTRTVYTMARHMQDEVQLTNIDRQAHSRSCFSNLQLGANCGRHCGCYVTMLYIGIKVLYSANVLLQFFLLNHLLGSNDLAYGFSLLKDLMHEIEWEQTGMFPRVTLCDFEVRVLGNIHRHTVQCVLMINMFNEKIFLFLWFWFLTCGIITVCNTMYWILIMFIPSQGMSFVRKYLRVLPDHPAKPIADDVTLRKFTNNFLRKDGVFMLRMISTHAGELMSSELILALWQDFNNVDRSPTQFWDAEHGQGTID >CRE15429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:596522:596815:-1 gene:WBGene00058609 transcript:CRE15429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15429 MSLTTSRSAQFDPLPNSTRNRVPNNSSTHSLATLNNNQPHPLPPPSLEPLRETTPLTNGGGKYKKVTVPVPDPTRRLRQSIPPTYSSFPVSFFSIRL >CRE15430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:607960:608541:-1 gene:WBGene00058610 transcript:CRE15430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15430 MHHTEPKTKECGYLYLTITALLIAVFSAGLTLMIASYMYHGSYEPFELPSEVTTSNVKRLIVGHKRVILFKIDAKNRCYILPSRMSKEIAFSKFSFVNSPLSRELLLDVADNEGVEFCGKTPAFVLEKSSSPRH >CRE15431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:610061:611886:-1 gene:WBGene00058611 transcript:CRE15431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15431 MNAFITGLLLLIAFAEQVLPQKYAYVSVLSSNDFLIPAKVLAYRLKKLNASIPYIIIVTQDITENSVNELKEQGVIVHNDSKIDTPYIKTHKARKYQYTKIRLWAMTEFDVIVHLDLDVLPTRDIFTLFECGSFCAVFRHSDMFNSGVFVLKTNETIFHDMVQHVQTAESYDGGDQGFLNTYFHDLKYAPMHDPSGKQPKCENFTMSRLSAKFNYDIGMYYLNNGRFLVDPDIIHYTMGPTKPWLWWTYPLFDLNWMWLDARQEMEQGSNVELDTCVALAATNCLLIVFLVVLKIALEHFVVNLTTDTISNMETHLASQSIYAISVWFSLKIAHQSAQPVAAWVFFASNVAWTAAILTSIYTRLRSGVNAKVKSILSCVFFTMLSYALSWLILVQISHFNTRVLFAILSILAQQILVVTYIRYALIVKPCRQQIHKYQILPSNHHVA >CRE15337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:613249:616886:1 gene:WBGene00058612 transcript:CRE15337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ocr-3 description:CRE-OCR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MCB4] MSFNSSDVPSTLYSLVDEQGGGILSPWIKYARSSGDYSILEEYLDTAVKSYLYNGGKGKLVPISQLVTIRNKQRNALLGALRRKKGRGKSGPNILEHIDQDALSSGDFLKALKVLDGGMIKGRRSFKYRELVWDMDQRGRLGENLLHVCMLLNTADMNELVKQMTYRFPKIVNDIFLSEEYYGLSPLHQAIVNEDLEMVYFLCRKGADVHQRLVININRFSNQGSRCYGSFFCADDQKASRTDSLEHEWVDLVQNTKYIGQMYWGEYPLSFAACTNQVDCFRLLRAMKADPNMPDTNGNTVLHLTVIHDLPEMFMLAVELGANLHVRNNLKLTPLALAARLAKKQIYDLILECDMDISWRYGPVVCKAYPLNDVDTINESDGSLNPNSVIANVVYGDKVEHLEFFDGLIEEVLESKWQTFGKKQLFMSLAGYIYFLAVFYLAFMTRDAHVLPNEQNEEDEIIEADYSNYTEVLSAGLSLREHLAIARLAERNVLPAHCHLWDYSGPSQQIRMISEVLCLFAVVVRTFKDCIDAQRTGFSRWWNSIKAFPEKVLHKFCQILVMSTVPLRVGCYLDDSFLVIENFIVIGIVIMSTFHFLFYCRSLKFVGPFVLMVYKIIVRDMLRFLLIYSFFLMGFAQAFFVIFKSCERAEIVYREKHNYTDDEEYIERFENIMEDGVEAVMRMFIMSVGEFGALYKNLNECKSSIAPQSKVFFILFELIVTVMLLNLLIAMMTRTYEKIAETEKEWKRQWAQVILMLEQSSSASERLLSLYRYTRPIRSDKRRRAFVVKVKSDERQQPQFKLIAPRGKPSIRLSNNSSIHVLHVLSKNP >CRE15338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:617276:618375:1 gene:WBGene00058613 transcript:CRE15338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15338 MLSRNVVAVRGAHKAASSATASKPVEKVTKLGNGLTVGTIDSKKPLTQLVLAFRAGSRYEQANQAGLSHTIRNFVGRDSKNHFGTAIVWSAANYGGVVKSFTSRDLFGVSLTVPRDSTSYALHVLAQAAANPGFKPWEVADVLPTMRADNGFRTAYDYVVDLIHKAAYRNGGLGNSIYAPCSTIGSVSAQTLSEFAVSR >CRE15432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:618621:619965:-1 gene:WBGene00058614 transcript:CRE15432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-41 description:CRE-COL-41 protein [Source:UniProtKB/TrEMBL;Acc:E3MCB6] MSTLGYIGAGVCMLGVLSALCSIGHIVQDINNLRSEVEGRVDEFKVLADDTWDRLLILQSPTGESANPVPSLLRNKRFVYPGMCNCDSNSQGCPAGPPGPPGAPGKRGDEGHPGDEGRKGASGISLATTHDIPGGCIKCPEGPAGPPGSDGEVGPQGFPGLQGSAGPSGEDGAPGQEGAPGDEGEQGPKGYDGTDGPDGMPGTTYFPGAAGQPGEPGWLGETGLPGQHGEPGKDGEEGPQGAPGTPGNPGHDAFPGTPGQAGKPGAPGKDANYCPCPQRQDDRTPPTSGTPQPPPRGSTSPPATRAPPATRAPPATTRAPPATTRAPPAPQPPVTEKPGGSDSGYPSPSEPEPAHPSQSYPSQSYPSPSYPSPSYPSPSYPSPSYPSPSYPSPSYPAEPAYSVPPPTKPEVPSGGGYDAPSPPQTGSYQRRW >CRE15433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:621697:624637:-1 gene:WBGene00058615 transcript:CRE15433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15433 MYHMLPYSYRPQAHYTRAQHGEFQNLIERFVNKILRETDIVDADNVDGRKLFNSMDTFFSYGLLTADGTYWRFIRQFLPKAEQKMLKAECGNANDRFLSIGWLKTSFNKGTLHFMLLALTNQINKIYLNKYYHINSCLRNNGLLEAVTELMDRLNTVQFAFYVRNFQLGFLIMILLFQTSRQLREEPIPAAVVEPYVVQVSSRAAARQRKLTEKEASQEVVPNVIPTEIPSILTQAIDQDVLLDELVRNRHNRLNTELYDASRVEEIDEDGEASTSVVEEKLEDVMTKKMSCAHMESMDPDGIEQILASVEPEEPDMPEFNLADGEYQMSQGDVLHLAINVFEKSSEKIIECFKVLENFHSDCIKLRYFVMTNYNVYVFKYRYKTHSGSPGKTTNLSSEGFFIPVVRMAHDRIKAIKISIDNLSFMLEANENGFSHFIENVERDDKSVFTYTAALAGLECGAHLINSLIQVVEHSSRQLSERAEVDDHIGYMCMLQPNLEKQLSRTIDIRSAALSFWYEQSYAEREQSMTTKSGYLFKTSVGTWMKGSEEADQRYCMIVGNCLHVFLDSNCKSEDVVINLSTSTLHNMGRVTFQLRGPEGQFEFESSAQEDYAEWMAVLGQIMENKATPQYMTPCLTVLLEGSIAVVQEGEKFWADGFLRLLNEIPGDSFQQVIVVHPPKDKDSFFANRAPALCLVTADQSIHYFFLRFTKELERFTNSVEVTFGLKHQKLDEQMMQTPIGKTIHNTCCLAKNLWPI >CRE15340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:631478:633570:1 gene:WBGene00058616 transcript:CRE15340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15340 MKATLIEITTRENLRRAQAQCSRHISAIYFPFSIHTQLEESFPESPVRVFVYPNISHPKMFFMFKNNEYIKPTLALAQVSGTSMNRLELIDLLHEFRTRVFGAKRQPHLVIAEEHLIKMYGVAMRMSSTDWTNNDLRLSLFYMTETQKNLALTTPLPNVPKGYYYDEIEPTEEAEIVNNTWKHAGAGDLEQTMAKLLRLPSSCIRFNGKPVAFEMIDPAGFFNNQYVFEDHRRKGLGNAVEMDLIHKTLSLGFSPFKTVAKDNKIVLDASVANKLWTMWSDEDGNAKTVVFQTWHCV >CRE15434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:634045:634835:-1 gene:WBGene00058617 transcript:CRE15434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phat-6 description:CRE-PHAT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MCC0] MAVSRMVVPLFLIFIIFTVTDATDCADLLDICESLQPICIGSILQHHFNVISELSEQMNDSTLPALESSLPLVQSDLPLVLGTNEDGSSIAPVEGSGTTSASSTFDEEALKNALPLLKESLPLIRESLPLIRESLPLIRQYLDPLVGKTACQRKATCKSCKDCPKLRDSIIDFVEGLCPNTCKVCTTGSDLLASSNALAAYFS >CRE15435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:635791:637592:-1 gene:WBGene00058618 transcript:CRE15435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snt-7 description:CRE-SNT-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MCC1] MKIPDVLENLEITEQNFLIVLCATVVIVVLFVSFLLVKSKNKLNWYDQNVLDMGENPTHRRCTTIYRTNTDEATVNEIKIGECFPAATNIPKGDLSNLFMIPKAKKGARSMFSNLHQNQFDRGLYQFPTGDESACSSVTMAAVGSIQLSVSHDVNLNLLTVTIIKAVDLPTKREDDLPNPFMKVSLEIPDSKKPEDHQTKVYNGTASPLINEDFYFSVTAQQVSTCRLEVMVYDYDQFSVDECVGYCWLTLGRINEHFEHDCPTLFWAEVLPYEDGDNKGYGQVLFALSYLSHAQRLTMNIFKVRNVRFRNGGNVALRVTLLDGEEKPLKKKKTSQKKAARSVQFNECLTFSIPKHTLCEVFLTVELITETGTFGIASRTLSRMQLPLHKCKDLWRAIIREEKSQARWYPFEQP >CRE15436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:643664:645828:-1 gene:WBGene00058619 transcript:CRE15436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15436 MRCNIKRLFTLAIGVFAATLVIISFSNNTYEREWKQGPQSNEARIMGHAIPDAPEFPVGQNSLPHQPPPPSLGEKNLSDPFNFIYPSNKITLRKLFDLTKDVDFDLLKKNECRSNTTLSKYWENSQQRYVPEDDNWERFYSNIGSCSVYSDDQMIDNLLHDLNTSPIKHVHIMDGGTQVKFVFTFKNDKQAVFKPMRFGRDYESDPNHFYFSDFERHHAEIATFHLDRVLGFRRAIPTVGRVLNMTTELFEKAEKKLKKTFFFSPAKNFCFVSRCDYYCDTTHAICGLPDMKEGSVQVFLPDESAVPRKHNRSPYRRTYSKKNQVAEWQSSMNYCTEKVKTKKQYAHGRRLLDLVDIHILDYLIGNQDRHHFESFNVFTDLPSYAIHLDHGRAFGRSDIDDDDIILPLRQCCIIRPSTFQTLLNFYGSPKSLTKALHESLSKDPAHPILAYKHYPAMERRLAKVMHYLLECIEQRGVSEVLIPEYNNPDVSNVGQNDDEQSEEHQDKKDEKKTV >CRE15437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:653340:656686:-1 gene:WBGene00058620 transcript:CRE15437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15437 MSHGAKCICQICTCGRHKCPHDRNASSFQLGDGKSHLTQEKTITQSAFESSSYQKSQRATPNRHHSTISSLFTEENSTGTAGTHTRTIKSAEYKATRQNGEQHVIEKRESQRETTQLPPIHQTENVRASHSAGKEKNSRTSIQQALQQTEERASSAGSARTSSADKSRTVQSGARKTVSINEQSSGYNKTYRNSSQIFEARRLHQVKLIASGNENIIRNLQQAESTDSNSGDSREGHGSTSREAKDHNSPLIGLQKSDKQSTQKQSHTVQITTPGRQNSNSHNTVSKGIIQGKTNSVIDEKAKNLPHIAGVRTTRTELTSHRILQGAAHSSLNRTQNQEDFIYRPGDSPRVHKQHVGNNKTNITVLQGDGKQFEARTQNQEDFTHISGERYAKKVPTDNKILQGDGQQFGARTQNQEDFTHISGERYAKKVPADNKILQGDGQQEMVNSSRLEPRTQKDFTHISGERYAKKVPADNKILQGDGQQFEARTQNQEDFTHISGERYAKKVPTDNKILQGDGQQFEARTQNQEDFTHISGERYAKKVPTDNKILQGDGQQFVARTQNREDFKTVKISRSVKIVPVPHKFEQSENAKITGSSLYKDDFVDFSSDIVSQSGINLGKWKSTGVSRGGRSHLYETSSVIEDSDSGFEGYNFVSGSKLSTSHAGYLSQDAGSSYDIDFQYRPVPCIAGELLESIRRNHSNTLHYDFNQCDSGHHFYREKTILEEDETSETINETTNK >CRE15438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:658693:666876:-1 gene:WBGene00058621 transcript:CRE15438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-piki-1 description:CRE-PIKI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCC4] MSDDEELQLAIEISKQTFKDEQKLRSTDCDLIRFESPDEPARRNKINQIKQLYDSHTPSPGTSSYTGSLATSPTDFRPVYNDPRGHVGSLPHSQSYPHNYFTAWQTAPSQQPPPLPGPPRPPKPDQYKFPPGPSVTLLQDRYFVPPPPPLPPRQSRAPKSPPVAIQRPVSSTPLRHSAPTFGSQNQTLSPIKHFETSFDSTIESTVDESANYDHSVQYQPLTHLYVPYVMQSLNSSYGALLNGDLIDLSCTENTSIVSQDEIRKEFDPLYISTYSAETPSPNNSMPAVQIFHAKPIEEPKCIGDVKLKPSENIQFPSSSFSLIECPNVIEEQVKTICKRNLIQKDMTPEFFIAPTVDYMTTTASTVKVVVYKDFGWKTNDRKGKAMICAIEQTMDIITAQALSSFDSNLAEDKEYGLKIYGLNQFLAKDSLLGSNLYTGHCLLNGDDVKLEVGIFEPQSRVYEHMLESWNSMKSQVRYSTIVDKEDVENTFGHLVSEMAQYEKAFNDGSTLKLSASSQRVKQVIMLLCKCLHGIVPEKLYNEMQKYLAATTEDQLSQHRNDFLREVHSFLELYCKCTVSRYTLPPLQIVTKPKVEVLSKMDYLQVMLNSIHSVPESWQRDYSEFYMSVDLYYGTQVLDGHSNKMPKTIKTDQFFPRIPLDLYVSLQLSNLSLAKFTRLHLCTYPRETRIVVSISGTVKNSAQPANDFNPDIVMLGYCSVPLYDENLFMRQGPLYLPLTLMKKHPMLKPFGPYPYIKDARDPILILSFKIWESEIYFPNVIIDMQCIPQDFSTLDIETQEYLMEMIENQDPSRLELDDQDLIWQKRLHLTNQPEALPLVLSSLQDWSFGFVMRVYQILEEWAPLRPEIAMELLLPQYPDERVRAHAVQSLARGSTDFLYHTIPQFIEALRFELYEKSALADFILELSFVSLDFTFEIYWQLQQRVDHCAVDDLPYAIRCQNLQQKIIDEHESPDLKTDIKLQHDLLNELDAIQDDLRSKTAENDYEKLQRLRTRMGLLDSQLLQNKVRLPICPAFDCTGVRIEECNIFNSNAKPLKIVFRGIHSNYSIIHKVFLFQISNSGIFLFQRDDDMRQDAFVMKMVNEMDRIWKSNGLDLRMITFRIMPVGYRRGMGELVLNCATLLEIQKEDGLRGVLNDEVLRKWLVKHNSDEFAYKEAQENFIRSCAGWCIVTYVLGIGDRHNDNILFTKNGHVFHIDFGKYMGDWQMAAGFRRDRVPFVFTSEMFFVINGGKSQTQHYQKFIDYCCKAFNHLRRNKNTLTNLLRLVSMACSDIAGINLDSIAFVEKNLMLDLSETDATVQFTAMIQNSLNSSFVRLNFVAHTFAQFMSSSASFSKRDQNKLSFVPELYTEKTDGRISKVTVVTFEKHCIPNKIYMYKLEVHRKNVAVSSYIYRSFAEFEELHTKLRERFPIMASSLITSSNMRSNVRAIAQKRMIHVQQFLIYLFRQVDEICHCDLVYTFFHSILRDNKCDTYIDYSPMPSPHCQIYLKVQYNSAKETLNVFIGHVKHLSLLQTGQPPDPYVKTYVRPDLQNYSKRKTQVVRATQHPTFNQELSYEGFPSNLFSTRVLEVSVWNNGGLMDNHKMYMVCIPLLKIHNLPENRKSTRVLEGWFQCDKYI >CRE15439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:668110:668612:-1 gene:WBGene00058622 transcript:CRE15439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15439 MQSVVVLALLVASTQAFLFGPGGLFGAPAGGCDPCAAARAPYYPPQQPAYQPQYQPQPQYQPQYQPQPQYQPQPAYHQSGYQTAPVQQSYQQPAPAQNYQTVAEPQPIAQTSGGGGYAQAPPPPSSYATGSGASAGYKRFH >CRE15341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:670815:673956:1 gene:WBGene00058623 transcript:CRE15341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15341 MPVLRPHGTSVRERVAAIEKKELVVVGKAIENTVIRAKYEPDVRGVNRFRHFHTPIPVGDVTPNGFGKDSAMTAATHLPSLVNPTSQQVLYKGKDAENGMEKGARRKKKDVSLPQLNNVQKQTTRSRRADASMKNSAIMEELSRSKTSSESDSSLKLSYSGVRLKDALAVHHKSIGPKRTFASLDSRQNGVIINRPLKNSLEVALPPRSKSAQDLDGRADSKAEISPLPTSDSFVLTSSRSSKDMATQTSKKHVSTASVEFLNVNCVRSTQTESTPIVINSRRKSDIPPSLQDIEEREALLEVIEDALGETFDRYSRVRTNQIIANSARKQAQIWRDVKDFLGCNLFPSAIQMANKSTSRSKTAAEIVASIKMYP >CRE15440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:675097:678478:-1 gene:WBGene00058624 transcript:CRE15440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-20 description:CRE-KIN-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MCC7] MATMNNDVTAIWHNNTTQPMDTTEPAPINQNPGEMGVIRLSEGLLLPSGSGLEDLSRHPLLMAQGVHGEHHPPRPLQHFPLLPPALQQHLHNPLLQQALLPQIPQLPQLPQLPQLPQQPLPQLDPALFGQPSGFNWPPIDPNTIAALAQAQLASSHAQFVSLALTLDPTLLSHFLATQQIPPPAPQPLVHKKAEMELRVGNRFRLGRKIGSGSFGDIYLGQNIQTNEEVAVKLECVKSKHPQLHIESRLYRIMLGGIGIPEIRWCGLEGDYNVMVMELLGPSLEDLFNFCQRKFSLKTVLLLADQMLSRVEFIHLRDYIHRDIKPDNFLMGLGKRGNLVYIIDFGLAKRYRDSKSQHIGYRENKNLTGTARYASINTHRGIEQSRRDDIESLGYVFMYFNRGTLPWQGLKAVTKRQKYELISEKKCSTRVEELCANYPEAFAQYLNYCRGLGFEEQPDYGFLRSLFRSLFHRQGFCYDYVFDWNTYKFYNESMEARSSFHPSGSNQPRRH >CRE15342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:688050:689217:1 gene:WBGene00058625 transcript:CRE15342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jud-4 description:CRE-JUD-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MCC8] MSYHVFAIFIGQILFFRLTLAERECGLPKNLETLPDFAREEIREIWRNHVPGTPCLKEIEIENDILDVIKSFESDSSPNSDRAREPSTTTESAVDPDYDHIGTNGGTPIPLLSASTFSTPTKKYRSSDDADSDDYLDQTTFDDVAMMQFDSVRAPFLRSASPYVKSEFQKVWEDQDIPSESLRSLKIQTLAVSLLTSKQLSDYNRWATKRRRVLKAREQEMRQLSFDAKQALRKLSVQRDDISHIVVPADVKNELTTFVQRLNRRRNLKLVH >CRE15441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:689801:693454:-1 gene:WBGene00058626 transcript:CRE15441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ser-2 description:CRE-SER-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCC9] MMKCTPLFFKFFFLEKDHRRKWKKIEKKIENRLVHLSISCIAFHTKNNRNRGLKRVLAPTPLPLILPFISIFYSTCLIQEMVLRAIDSIRDSVINASSAVSTTTVPPLDIPMTSMKPPSIIPTVELVLGTITYLVIIAMTVVGNTLVVVAVFSYRPLKKVQNYFLVSLAASDLAVAIFVMPLHVVTFLAGGKWLLGVTVCQFFTTADILLCTSSILNLCAIAIDRYCGKQRFIAKHDISRYWAIHNPINYAQKRTTKFVCIVIAVVWALSMLISVPPIIGWNNWEENMMEDSCGLSTEKAFVLFSAVGSFFLPLLVMVVVYVKIFISARQRIRTNRGRSALMRIQNAEGDDDYRKMSIKRASVESARTSSRVGEKTPLVVADGQTTVTTLAAQSTDGGSLPKDETTKHMKYHNNGSCKVKVKESKDVEENPTAVLRKREKISVAKEKRAAKTIAVIIFVFSFCWLPFFVAYVIRPFCETCKLHAKVRKGYSHYSNNICNFQVEQAFTWLGYINSSLNPFLYGILNLEFRRAFKKILCPKAVLEQRRRRMSAQP >CRE15343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:703844:708114:1 gene:WBGene00058628 transcript:CRE15343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-91 description:CRE-NHR-91 protein [Source:UniProtKB/TrEMBL;Acc:E3MCD0] MNVAADSTTGTPPVFDHESLALVAQSNLLQFYLTHLASTLMPIKPEVRDSITPNISLPGSPNSDTDDSVSAALVSSTQALFSLLGTNTTNSSAVSKKVVSKTASKLCSVCADKSTGLHYGASTCEGCKGFFKRSVQNKKIYHCNQNNLCEIDKQNRNRCQSCRFRKCISKGMLTEAVREDRMPGGRNGNSIYSNYKQRRSIIRRTKDYIAEQEQEQRERERERELERELEPERRPFQSLPSGKKLIKELVEMDCLDRLINLRGLRINPSTNCDIAPACKRLTRIGKQFIFFFSSFTNSQIIASGDEIVEQLVEWTKTLPFFDELPVEAHTHLLTQRWAELVLLSAGYYACSVFSPDSPDTTQMIDESDEISFTNPEVNLRLLQNRLALVLGKEIPFEHVSKEAGALVVRFTTLLHSFSKLKVSPEAYVCLKAITLLHLSADSTLDKSIIDKVKTLQDHFVKTLQIHLHQPGENSETTSLAQILEWLPELQNASSVLLHSKMFYVPFLLCKNPRRLVFDE >CRE15442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:708675:709508:-1 gene:WBGene00058629 transcript:CRE15442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-28 description:CRE-GLB-28 protein [Source:UniProtKB/TrEMBL;Acc:E3MCD1] MSMSRLTANQLAAPKPLRRCRSASPATSRQLNLVPDHHKLIRKSWGRIPKTQFGKAALEAFIRISEVNHSIFGDKETENRHIKYFVDLVQSSVDNLEDLEASVKPWLDLIGRGHSDFKITGKHWENFAESLLNTATEWNGPGRRHKETVRAWMLMTSFLADRLAHASRATAHASPMLTPRVQMLTGRSAFEFNN >CRE15443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:716034:717992:-1 gene:WBGene00058630 transcript:CRE15443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15443 MSTTSSSHSENEDFENKEETAQQWVYRHLVEFTNCEDTSAKSLVDGDCSPLIQLLTDMTQTKSLFITVTKPVVLDFSAIVPDSPPLPVPEETKPKASLKKKGKLQALKKKKKKVKDSKKKIKPKKKEKVIPVIVEPPPPVVDATVDMIFEWWTQTDIPHHEQFMFIIPKSRVPNMEARLDELYHVGFMKNRKLLKAALSCLDTVFYDKTSFSMKIDLLLLINEIEDRKMSKELIFERFILENIARNFNLNGRIRNIDSDARSITLILSQTIQTFCFQPDMGERTKLLIQLSFFDIEYLGSFPDIRVTAARLEKALNHISLLQFLYKEEISEWTRKTYLYLLDLFSTILAHEITKKSNFMTNYSPEQKVEPICTSFKKVVQKLVTLVLSQIVQLFPILHFRVSREVFETQEDWSATNKVSFSFYIVGILEEEIDVIFSLFKFQSCVFFQSIIKKVEEMNSETRDMSLDRSAMQATKVILTELDAYLQEKCLESAVESGNLESLRSLAATIVKHNKRLERVVALY >CRE15344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:723312:725059:1 gene:WBGene00058631 transcript:CRE15344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15344 MKEKGSSVVPCLENRQRYIVLAIGTLCIASIASNMTVINFTMICMAPKTNSSELPMSDASVVNLAYDNKQKSIIMWAAAVGTLAAAWPFHWFYQQFGARSVFFFAGAVSTLSTALMPLAAHLHFNFLVIARFFQGVSFGADFAAIGLIVVNWASLKQHGLFISLLSSFSQISVMFTMPVAGELCESSWGWESVYYVHAVLSGVLFSLWWWFYRNNPTKHPKMTEIELEKIHRGKGDVQAHEKAPIAKILTNSVMLAVWLSAFGELMMSQFIVMYGPTFLKEVLGFAVNHTGYFVAVPRALHLAFKIISGVASDRIHFWSEKTKMRIFNTIALMVSGAFFCILGYLPKDQAHLSLIALLVIECSTGFICGGFYKCATLVARQHSHFVLSQIQFIKCLSLFIEPLLVFLICTHNTLEEWRIVFLTHGVLLIVGNIIFCYYATDEPADFTHLPQAPNGEEMTDVPPERRRWLNCLTTCLE >CRE15346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:732450:732768:1 gene:WBGene00058632 transcript:CRE15346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15346 MLTDYYRNNMQQMDQNSSLNRHSNNSYGHGSYGSFSNDSRVPVDRPLFINTSMSAQQRVDIYRQLSDPPKDSEPALAFNFRNY >CRE15347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:740010:745934:1 gene:WBGene00058633 transcript:CRE15347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nbid-1 MATVAPKGNCLVARAIPSESPADQLSNLLGKLTVNSDANQKSVNKFESQHGVTPSDFRDIQNIRSSALAKKTKTSKFQLDGVKLFADLTPNSKQNKKAEKQETNEKENEEDVEVKKEKDNNEEQKVEDKEKKAEKEPEDGNKEEAENKKNGELLGRGPVQNIRVASVAPHHPYIIQKAQIPYGCVPQPPMGDMADITAYTGYGSGYECGSTWSFSPDTTIGSISASTTPDTVLSSDGYGSSSPPQHSPKDSLQSPFSEISSADTSRVLTPENNELPESLQDFILQYSNQYSKEESPKGRPPSADSGVCSPMSARSAPNASPHVPQGTYSGPTTPSFNQTRLSPRTTENATAKQRLLAIIPESDLATGFHWACTTWSTLLSNRDADGDTPLHIVAAHNDLGKIYGLCETMKKTIKENEASMYNVSNNFGETPLYVAVLQRSFEVVDYFLEIGASPNGISSRAGGDSPLHFAASRGMTNITEILLGKREVRVNATNDDGQTPLLCAVKMHGMMDEQTQQKIDNKNIMQALMKAGADPTIAESSTGKNIVHHAVDKMDVDLLDFLQTVVNEDTFTELANLSDFQGDTAVDLLCSSTQTEDTNNHVRECLYIRLLASGAIGNKSRA >CRE15348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:747995:749965:1 gene:WBGene00058634 transcript:CRE15348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15348 MCFFGLTHLGFGPLPVFIALIFFFEMFIVYTIAIVKHDVDPFMPYISSAADKRPQSCVFAIGGNISAFLISIMVFVRYRQMRSIFYQRDMGYPLCWNTIAKWFGYLSAIGLFVVANVQETAIIPVHMTAALVMFGGFTIYMIFQCYFTYITSPEITVYTVFIYRLTCTIMAGLCFVTSFVCGITASTIFHNRYPDLPTPRPWNGHIYQPGVEFHIISAVAEWCCAVFQLAFMVSFEPEFEKISLQYFLSSKFIERSDEENRDFFIDRNRYRV >CRE15349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:751287:751928:1 gene:WBGene00058635 transcript:CRE15349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15349 MSFYGSIFLGFGTLTTFIAVVFFYDLFIFYTNVIVKYYGYPFMQFFSSKADRHFQSCVLAIGGNICAFLIFIVVFVRYRQMRSIFYQLDMGYPFCWNTIAKWFGYLSAIGLFVVANVQETAIIPIHMLAALVMYGVHHLHDLPVLLHLHHLPRNHPGEQRLLHRPQPLDPYLRMIVEDLYVS >CRE15350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:752896:754068:1 gene:WBGene00058636 transcript:CRE15350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15350 MSFLDLICSGFGPLNAFIAVVFFYDLFIFYKNVIVKYFGDPFMPYFSSTASEHFQSCVFAVCGNISAFLICVMVFVKYRQMRSIFYQQDMGYLLYWNNIAKWFGYLSAMGLFVISNVEGTAIIPVHMPAAFVMIGGFLIYMIFQCYFTYITSPIISLYTVFTYRAVCTAMAGLCFVISFVCGITAFTIFHNKYPDLPTPSSWDTWDGPIYQPGSEFYIISAVAEWLCATFQLVFLISFEPEFETISLQYFLSSDFIDQENRDFFIDRNRYRV >CRE15351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:755163:757211:1 gene:WBGene00058637 transcript:CRE15351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15351 MRFFGLSDLNFGLLPVLIALIFFFQTFIVCTIPIVKYAVDPSMSYFPSEADNRFQSCVFVIGGNTSAFLISIMVFVRYRQMRSIFYQRDMRYLFCWNAVGKWLGYLSAIGLFVVTNVEKTHISPVHSPAALVMFGGFTIYIIFQCYFTYITSPEITLYTVFICRVVCTAMAGLYFVTWTRVSRYLCGCRMVLCRVPIGFHVAKWLGYLSVIGIVVATNVQGTPIIPGHMPAAPVMFGGFTLHMIFRCYFTYITSPEITSYTMFICRAVCTAIAGLCFVISFVCDITSFKLFHQKYSDVKTPDTWDGHFNQPGLGFCVISVVVEWCCAVFQMAFMISFGPEFEKISLEYFLRFEFTDQENRDFSSIIDENGLHNGLPPDNN >CRE15444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:758068:764387:-1 gene:WBGene00058639 transcript:CRE15444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-97 description:CRE-TAG-97 protein [Source:UniProtKB/TrEMBL;Acc:E3MCE1] MRKYVSGTGDDEEEDTSDLEQSNSPALACPAPIFAPAPQRASWTASIPSPQQTTFTCSTFSSSGPSAFTAVSPTNPANSLTTSTATIYDRLMCIPKLLQEISNNKILRTRMNNTAYKPIPNNEKVDLARFRVKDPNEWLVDDVVAWMLDVAKRHGIPFEEMNMHKFSTLSGQEMSVMTEQCFIERDPVFGNLIYSEFRKTVNNSEDTTLDTVIGKFVEDDIATTSASTTTLDMTSLGLQPMISSITSTIQPPLQHPTPQLAPLPVMQHQLNNLSSLTQVLSQQSFNTAIQQTANAVQQSLNQSLNLNQSLNQSLASSLAAGLTSGLSPLGVNYLPNGLNPASPLLANAMSQPKLSPMLKYSCTINTEYELPEERSAESCDLKIKKNKDGKPRKRSQHTKGNKLWEFIRDALKDPSTCPSVVRWEDPIEGVFRIVESEKLARLWGARKNNEKMTYEKLSRAMRTYYEKQILVPVPKTGLYPKKLVYKFGPGAHGWENVKTELRM >CRE15445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:781715:785778:-1 gene:WBGene00058640 transcript:CRE15445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-36 description:CRE-PQN-36 protein [Source:UniProtKB/TrEMBL;Acc:E3MCE3] MNILLNYCNILISIKYVSPGCLSQCVNCAPQPTYQYVLNVPSNYVQVPTTFNQRYLLLTSLKLFFNNFGVFFVMCMSNRSIPCFLTPLCFRSAACCVPTSNVQTIQSARAFTNGAQGSQVIMYYNGQIPYLSTNCSRSRKRCSTNTTASGRIEFQPGANNYQNPGPIPGYQTGYDQNQNQNQNQTGYYPNNLNGGVVAGGATTGTVVIGGVTQQQGNQGINAGGNVPNQNINAGGNIDPNSNQYQDQFQNQNQNADPYQNQQNYNTGGVVGNVGNQNQNQNQFQNQNQNQGPGQQYQNQNQNQFQTQDQNINGPGYVDQNQYQTQNQDQGQNINTGGYVDNQNQGQFQDQNEGQFQGSTLSPSGGEVGYQQPFVPSGNTDYNNGAGQGQFDQQGQQGQFQQNQNDQQVIYPAGGVVGGSYNSPNSGYVNSQVQPVQPQTYPTQTVTGGALPNQNVQQQPDVNTQYQDQMNQQNQQGQNQGGQNFQQGNQQGFIGSSTAPQGQQFTSAPNNQFQQSTSPQGQLNNGGFVGSSTPGYQQGELLTEGSGNEENEFLGGQQQQFTTSPGQQQNFNDGQQGYTENTLTPPVMENDNSQFTQGPTTPVPIPVIYPVPGAGQQTQQPQQFQQTPQPQYQQDPNTQQFPQSIQTTPIYQPTPVPNPDQFSGQESQTPTQQVSSNQDESQNDVATSQAPNYAPVPVPQNNNNGNVPMESTTQFPNSGTQPVTGSTPSGQFIGGVPVGQTSTNSFQSTTPTTTTNNFGGQQSSTGFQPTTGMPVTNDMNQSGFISSSTMDPNMPQAQYPSQQEILAATGQQADQSATSGANMVSTQAPQFPSQQELGATLQNTQPTPSPQFPAQQQLGGGATTQNGNFNSQQDGSTQQAQFPSQQELLQRDQQNSGVTGQPINDAGFTPQYGDMNTPYGRYSSTTAVKASSNPQFDQPTADQQQPQNSAGNCFKIMFTTLLMVILW >CRE15353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:788179:789377:1 gene:WBGene00058641 transcript:CRE15353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-psa-3 description:CRE-PSA-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MCE4] MGCSALSSPPVTQLFQSLEQSGVQLSSTKDDVDDLMVKAILALRTCLVEIEKAYNLMDNFKTRYLSLLRRTVCHEALVGNSGDSDDELSDNPLLPVLGLAGVDIQAAQAKAMESALAAMQSGGGFPMPMQFNPQPFTIAQIERNLEFLKQCGFPTPNLPSDFFKHLSEKSPEKSEEEKSHRPGTPQSPSSAD >CRE15354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:790192:792501:1 gene:WBGene00058642 transcript:CRE15354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15354 MTTIVHSVLFTIFLLLFFACQPITSQFEDIDVSSASDGELQQMQQYLSQKLAQIENAIQSSRQKRSVSPISASLPNRESAGFLSRIGAIKKSLEEAKQISNNITASVAPTSENLDGPLLPQADVPSPKFESGKFQHINDSLTTCKKLSQPELVAELKQKGTYNPELMAWDALGVIRFLDRTIHEHYQKGSAKNKSKTEVIERNVEALEKLMRELNVTCDLKTDELRTKFNNISFVDPRRTIFSPSLRRSKRMDSLEEFLKVLNVTQPDIEPFSRLSDQPLSIENERITYRQKLIGESHIVPFGCDKRGAEEDGYLRLCGACQAIRRLPDTFFPPFINEVTCDTDKACLYFYDYPHGKCRQKHMNFVVLRNVGTKECQVWKKFNLNVRVSCECFVDEMSFFAKYV >CRE15446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:792945:794669:-1 gene:WBGene00058643 transcript:CRE15446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-13 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MCE6] MPSPTSSSASVFSCHLFFVICNFFVITPAFAQFNRFFDPSIPEQETVLTDYDFNMIGHFRDNDQWFVNDSAMYNPLRFEGDIANSGLNSRSINTFLGDSPLFGIFGVQRNAVRQTYLKWEQARIPYTISSQYSSYSRTKIAEAIEEYRKKTCIDFSPKSAGDLDYIHIVPDDGCYSLVGRIGGKQPVSLGDGCIQKGIIIHELMHAVGFFHEQSRADRDEFVKINWSNVEAGLQDQFDKYSLNMIDHLGTKYDYGSVMHYAPTAFSKNGKPTIEPIEKNVEIGQRAGFSENDIYKINMLYNCPTFSEFLREKPVLQFYFSHYYHCSRKFKACEEYHQKSDTASPISKKGEIGSSIGDKGDRGDNSILSSLISIHAGRGKCEDRRKDCEFLARAGHCESRFSVRFMTENCANSCGKCLAEEKENGVCEDARTWCERWANSGMCNQTIFKDYMRQKCAKSCKFC >CRE15447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:795664:797276:-1 gene:WBGene00058644 transcript:CRE15447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15447 MSISLESKTKLPQKILEIISDGSALLSIPMSGSQAQETLLTSAKHFSTVEQTIDNTERILRDIEKMVDDVAKTADQMETGLDYVCDIQETLQKVERQNYYSAVPKSFSAESFSSSVAGERPSTSNA >CRE15448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:798795:809804:-1 gene:WBGene00058645 transcript:CRE15448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pod-2 description:CRE-POD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCE8] MSHRNKDGKQRKLPLLYGQCSQELVAKQRWNDFPDTHNGDDDDCDDVTIELDSPTLSAINYAELLDALGLALSSSICQVSLTFSGNVSDLGRSFNMSVNGHKPEFKKINLVGNAPLSDGAVQYESIKQFVEQTVSDAEKRKPIRKLLVATNGIAAVRCITTINRFLNHTFRNDKLIHYVCMATQDEIDANSEYIKKATSLVISPSGSNKNNYANVDEVVKHAIEKKVDAVWAGWGHASENPDLPRRLAENNIVFIGPPASAMFSLGDKIASTIIAQTVGIPTIAWSGSGITMEKTQRNKGDFVEVPKILLEKACVRTYQEGLDALRTHNIGFPLMIKASEGGGGKGIRKCSKVEDFKSMFVEVTLEVPNSPVFLMKCVENARHIEVQLIADRYENVISVFTRDCSIQRRCQKIIEEAPASVAPMETRKRMQEDAVRIAKYVGYESAGTVEYLYLPEDDTYFFLELNPRLQVEHPATEMVSGISIPAIQIQIAMGLPLHKIVDIRTLYNLPKSGDQELPDDVLVETAHHAIAARITSEDPDDSFRPSTGSVKELNFNSSQDAWAYFSVSGGGKVHEFADSQFGHLFARGTTRNQAIGNILGALKEMQITASFKSQVSYLIDLIQEPDFTNNGFSTQWLDDRIAKKIKQKRTLPMSDIIAISAAVIGYQRVTNAFETFKVSIENGQILPPNDLTETFHFDLVQDLKIYKMGVTRDHDNFVVALNGSQTSVNIVRFGDSGTLMATHRESVYHCNLEEDKDIYKLKISNNIIIFEKDNDPSVLKSPYTGKFLGYKKEEGEFVDVGEIFATVESMKLVFNVEVKKSPGRLQYVAHEGEAINPGSVIGRLVGLENSDMYRPQQFEGTFPEWTPVKNSHPESSVNVYNECLKKCHSILSGSNPFGGANEVTALVTQLFTFLNFNDLSRFILEPVLIQVTKTFPPNVRKNFIDVVAKPCFTGDKLVQALNGYVLSPEDRIKFDQAVNEFAYGSKGFVAGVLNNLLRAYINVEKFFEGKGYDDSVTEIKENNVSGDAVVQTIYSHTQIKNKNLVMRAILESLKQTEAKYIPSLLDNLREIGNLHHTEEISSLAREILLIFQNLCYKNNYSGITASGKPATVAEVKYWLNSPVAKRPDSTGWKVIHEYFFDKDIGSQCLDRYVAMHISAESGYLENTYKLPTMDCTINHFSLVPKPTSFNKIVLKGNKLIVVRLSIDSEDYNTCFTNPEFLECLKKNFSQYCKSKDVINVSIFVKILNDNSIHHDASTLTDAEEQKVCYAQNAVVNIKAYLEKDFDVNRVNTVICLHDRPLPQLTIFEQVRLEKDRLPVNSYPVLSRLSSVRAYQQDDAASNFSKLFIRQQLIIPGNKAEDVKRKVSEAVFLALDNACTAAQVAMGKKSTTGKELFFTSNHVFVFISCPGLPKEVIASEEFMTFMKECITEEVDNHKSILAKHQINEVEMVYESIDGHKRIVIRDETGVTTEVITEFPETLGTYPTVSVVDKKRFAARRVNSSYIYDFPIIFGMAAVNSWKAAESLDKDAYNKSVELLSADMAAALNEGRWRDFFSYEELVFENGKLEHISDAALLQKRSKNALNKCGMVAWTMTLYTPEKPLGYTIVLIGNDVTFQSGSFGTAEDDLFAAASTFSREQKLPRVNVSVNSGARIGLSTKISKLVKVQLKNDEKPDQGFDYIYVDGEHKADIEGQVVYEELDNGRLKINAVIGAKNEKIGVENLQGSGLIAGETSRAYFEVPTYCYVTGRSVGIGAYTARLAHRIVQHKQSHLILTGYEALNTLLGKKVYTSNNQLGGPEVMFRNGVTHAVVENDLEGIAKVLKWMSYLPTKQNQFPYFCQYGNDSNLRDVRVPLDGGDEKQYDVRQLIDSKDIHNKHGICDTMSFDEICGDWAKSIVAGRARLCGIPIGIVASEFRNFQTTVPADPALEGSQTQNTQRAGQVWYPDSAFKTAEAINDLNKENLPLIIIASLRGFSGGQKDMYDMVLKFGAQIVDALAVYNRPVIVYIPEAGELRGGAWAVLDSKIRPEFIHLVADEKSRGGILEPNAVVGIKFRKPVMAEMMKRCDESYATLAADPTSKKLAEERYTELSKVYKNAAIEFADAHDRWQRMKSVGAVDHVTSLKNSRRLFFALFRNELAKVGMANLYTSAPHASKPNLDFAMNWVESNLKNSVDRSASLDEQFRQLETYSKDHLVKDVVSAVRESKRKYEEHVNNFLASCQ >CRE15355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:813637:814895:1 gene:WBGene00058646 transcript:CRE15355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-32 description:CRE-FLP-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MCE9] MTCASGSLEQAEKRAEFNCGQHPPILKAPCQVNHAPRRLHSYDMLSFVHTLILALLCSIVFVEAMPSMRPAKKAMRNSLVRFGKRADPVVSDDVFLGESYGSVDPYEYVPEHMSNRGASSVLLY >CRE15449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:815105:817987:-1 gene:WBGene00058647 transcript:CRE15449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mocs-1 description:Molybdenum cofactor sulfurase [Source:UniProtKB/TrEMBL;Acc:E3MCF0] MPYLDHAGSTLPSKTQLEEIAKLQSNLILANPHSHHATAIKTQQIVNSARLRILRYFNTTPDDYFVVFTNNTTHGLKIVAENFKFGEKTEDGLVSEISTVLKGGSSNFAYFHDSHHSVVGMRHVVNGKVNAISCVDEKDIWEDNTPEVTNSLFAFTAMSNFCGKKYNLDGIKKLQEKGWSVCMDAAGLVSTSQPDFSVCQPNFIAFSFYKIFGYPTGIGALLVRKDSAHLVEKTSFAGGTVQSVDEMSLFFILREFERAYEEGTLNSYGIAQLQKGFEEVERCGGMQKIQNLTYQLRCKAVKMLASKLHPNGKKVVEIYSQPDCQINPASQGAIVAFNLLRIDGGYYGYTEVEKMCAIFGIELRTGCFCNIGACKKYLGITSEMIRENMNKGKRCGDEIDLINGRPTGAIRISFGRTSTEQDIDALDQMIDTCFVGSDRSFSSGPKALKLEAYLPTVVNLFSFPIKSVGSVPKERYELTARGFKHDRDFLIVKDDVTLNLKIHPELCRLTAIIVNDDSLQIQTFDQNDNFVIPMSLSLKENDAKVVCKKTIATLDCGDKVGKWLENALDMSNCRLLRVAEESKKNFVNDSPFLLINEASVYMLSRHINMEVQDILTRFRSNIVVRGLPPFIEDTAKRLAIENCEFEVVDKCTRCEMICVDPMTGEKDPSLLLALRDYRNKQKMTFGIYIRQTNFESGQILESGMPVKFFTE >CRE15356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:818712:821595:1 gene:WBGene00058648 transcript:CRE15356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nkat-3 description:CRE-NKAT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MCF1] MSIVRLSYYLSLPTQSAETTRTHCLSPVASTSPQYRVKSGVSADLLVANTSATTFFRFLNANLANFLRKLFKNTLYNIFTLLFSHHTLCSLEKCVVSAENGSVLSMLSSKTFLNLVRTSRSRMSSTFAPKPAERTAQHAASIWVEFTTLAAETKAVNLGQGFPDSPAPKFVTDLLENLSKQPELTAAHQYTRGYGHPMLVDILAKMYSHFYSVQVDPMNEVLVTVGAYLSLYYAFLGWINKGDEVLIIEPAYDCYYPQVKFAGGVPVPVVMNLADGATSASQFTIDFEDMEKKINGKTKMLVINNPHNPTGKLFTRQELEKLAEIAKKYNLIVIADEVYEFHVWDKNDMVRFASLPGMYERTISIGSAGKAFSVTGWKLGWAVGPKQLLEPLKAIHQNCVFTCSSPTQMAIAEAFRLDWPKFLNDPKNSYLATGLSGELRGKRDKLAKMLEEGNFRPIIPDAGYFMLADYSHLKEGLKLASEADPDDFVFSRWLCREKKLAVIPPSAFYSSRAEKDKNSHMVRLCYFKKNETLDAAEVILKKLGKE >CRE15357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:822577:824406:1 gene:WBGene00058649 transcript:CRE15357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15357 MTVIENDISPDDLKKVHQLRELIKVCMFFFTYTYEIFQDDISDYYNTDFNILRWLQGHNTLPLNEIARKMKFHLNLRAAWNLDDLHKKERNHPIHKHWKYGITGPSGHMDNVIVNIEQCGKTDYTGMMETYSILEVMRARMVDLEQMLAHVMEIEEKTGKQAWILYVMDITGLQYNKKLYDLVTGSMKSLADFMADHYVEMIKYFVPVCVPSFATALYVVVRPLLPEKTREKVRLIGETNWRDDVLQYAIHSSLPSIWNNENHTFGGFIELPVSYPTDGYYSAKNHSVVKNAQTVNVSYGKIHVVTKFIKAGGKLRWWVRGNRNFGFGVFYSDEEQCADFFVAKQVTPCFPWMPGPTLVPMEDEVVVKTDAYYHVWVSNEKSWWRTLEVQLLVESE >CRE15358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:832835:834710:1 gene:WBGene00058650 transcript:CRE15358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sprr-1 description:CRE-SPRR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCF3] MQMVFFSVVVKLEPEYYHQLMKMLYHPSCYANQTCRPVFIGNMVPVDWAVPMYGYMMPFIVTLTIATNSFIVVVLSHKYLRTPTNYVLLAMAVTELLTGLSCLPWFTYYYTLSGYKKDVQTGLPGFWCDMIPYMAAFLPSIFHTMAIWLTVYLAIQRYIYICVPSLVRKFCTIHRSKQVIFFIITVATVMYTPDLMAFHNESHEVWDSKRNQTLTLCYRHKAPFMAKIGEDIYYKVMFTTQTIAVHLIPSVLLVIFTWKLVGAIRVADRRHANLLSKYSTNTRSTRRKFSELTNSSENENKLIRLFKQRDSVSVGNEPRRAHGLKQVLNTRMLVVVILLFLITEIPAALIFTIHVLSVSLKFSFVDYQFLNILLIVRYVFFSFFLLFLFFTWDLITKHFFLGMS >CRE15359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:834752:835051:1 gene:WBGene00058651 transcript:CRE15359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15359 MSQQFRDVVRQMFTGKMLTHAIRDKDNSTTLQLVQGVNDHSDDKRQSVVLCSANGTLVSSVPEERVKKDKVG >CRE15450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:836193:843925:-1 gene:WBGene00058652 transcript:CRE15450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15450 MSGRSCRSTSPADRSRQTTSAHSEPGKSRNRSKSRSKSQSRSLRLGIVGPTKTVITKIVKRAGELLEDSEAALKFLCDPDNKIPEDIDQNTLAAAATLAFNLKDTACKIETLDSFIYEQFQKPEMKESPDRETYLREVNEAFVVSGADQILIELCKRIDNMHEALVNRGYKFPEYNDVENTDENVQNTNPAGDMNAMNEPNDVQILSEIPANGDGSQKSALRSSSSGPITSSAARDLSLMEYDYEDRPRTETVAHRAQIWRLEQENRRLEREAAQHLRAQHEDTVRRLAQEKQDLKYRESLQTELIRAEALDRQCARRLQQMIVEREAEERANKALPAAQTNMRSPPPGALAPIVTVSKPPAETAHIAVPIKQQQHRSMFSTTNHISNPIVDAYHIHSQPPVATQNIDLRHVLNAVNNIAEAQVASERNILQQTTHMVQDMEQRLGAQIHERAESIRSRATSRSHPQSSASSESSFMRRYERRGRSESETETEHSPPPRSQRSRRCNAENRSRSPRRQGDGLKVDTLIRFLHKFDGSGDLELFQTLYNKFIMSNRDLSAEVKYAVLLNHITGPAQKCVSRAQDTVLAIATTFASLNKVYGKMNSKHNLLQKLQQLPFNQSNPEAMRLDAAAMTVVIQQMTDRGVPADDYMTMWTIAAKLPEDLRKSLAKFSIKMGDSLTHEMVLDRISRDIEMLAMEQIYTSQVNHHPLNELPTSYASVNFTNANSNSSSVPPNTAQNRTSHSQNTQNPLAYIPSQHPTEYIDPVTKAKLEGYYAPGPKGVHLKVIPRSFPYTKEEDTKCRVSPNSEVNVNRETSAPTVSENTTLQNVAHNTVADTVMDCITWEAAHSKNTTETRRTIPPKPNRLKRFFVPTTSTNLSRGITAGTESNVPNSVSQADLPTALLKNPLIKTWSVRSTNPVSKNCSSTTIRGIANPKTIEYFKNLIKNDYPEEPDSDKLALLLFTKFLARSQPHQVHFTHARDDFGRLTFVCLETAKGQPLLALVDSGASLSLILEASARKLSLTILQETQLTVQGFNSVSSSKTNIYALEFSLLVPKTPLSIMIVGSPNLPNTKFAAPIFSPEDSLYLKNSSIDMSRVLSSVHHNGQKIDMILGNDMLSWISAQPDYHKHILPSGRALEQTQLGIIVHPVPRLILWHKSQVPPLYEEYQPSINIATVLMDSSEPEDAMTKLTHQIAQFWRVENLGIENVTVSESTKKATIDLLQVFYKTVKFNKEGKPEVALPYNGNELRLADNYSVAYKRFISLVATLKKGRNLLNIYNEIIVGQELAGFIEKVTTAMLKAKGPKYTIPHRGVVKEDSLTTKLRIVLDASSHARGELSLNDCLHAGTNMIIPIYGILLRMRCPRYILVGDIEKAFHQVPLQEEFRNVTMFIWLKDPSRPADDDNIQLYRFKVIPFGVSSSPFLLTAYIVFNLDNNPHDLNNEIKENLYVDNCLFCTNDKSEIASKIKGTKLIFQKMGMNLREYIVNDPDTMQSLPPAERAQSSVIKLLGYRWDSVNDTITIKIAKLDIDHPTKREVASKLAETFDPLGLVTPLMVPFKRLMQKVWLKSDTNWKDKIPKELLSDWRALCNIFIDREIVVPRQLTTHYENSELHLLLFSDASQDIYGACCYAYFAVDGKPPTVTLFTSKNRIRPSKNENWTIPKLELLGIQCASNLACAVVAELKVKVTSIKLFSDSACAIYWILSEKNTRLWVANRIKTLQDNRNRMKECGIETTIHHCPTKENPADLATRGMSTTELQNSKLWFEGPSFLKEDPSEWPCMIEGKVTCPAEFQELVYAEIIDPVTKKKKKPLMEKKTAPAEKVPEEIDPAETVMAANATISRPGSFIPYTATNSLPKLCKIVVQILKTFSKTLKSKSWDSYVMKQFQSSDCPLHQLKVARLLIISEHYKDCEFQGYTFPPDIEYHTDTDGLRRVHRRIESPVLPQEASEPILIHPRHPLAKLVALETHEINGHMPETYTASAVKTRYWIPKLGGILNNIIRECVQCQKVNNFPFAYPYTKTLPRCRTTPSKPFSKVGLDYLGPIVYKKDDNRKTGKAYILVYTCLTTRGVVLRVVPDGTSQMYILTLKMIFHEYGVPKTIFSDNASTFKLSGSMINRDIREATYSHSLVEFLAAEVIDFKFITPLAPWQGGIYERVVKLVKIQLTKECGTRTYDYFSLQYIVSSAQSMVNNRPLIPHSRSPKDMIALRPIDFIAPGVMLEVPAGEANSGTLPQSTEATVRAHLNKLEQAVDRLWEIWSTGYLLHLRENVHKKKRSSLLRPAVGQMVIIVTKLIKRHKWPLGVIVHVEKSQRDGQIRSAIVKCRGKLYSRAVCQLIPLELNPLNRPNITAEDDTDNAPDDSPHELPAPAVLKNPDMTYAPELFPSKDLPNIAEAENPIHNSDPNNSNQNIPLNLNIDELENLDDTDFELNQSRLVDGGIYTDPQTVIPPDVTDEDIAELPTGRVREFLSRKAKSKPINYVHVAEVQSPAVTSPPRECSQGSPFGYPLTWLPKPIRRSPLVFPAKLGPSEPFLLTSYSICSLTIFSLFSLFSVI >CRE15360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:850486:851032:1 gene:WBGene00058653 transcript:CRE15360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15360 MNRLNKRLIKKAPMVLAVQCNPDAFDEDVPFDPFLSDLAIIVEGHGSPMRIKNTESTSDCSTQCSTEMDNQMFIKRKSSLLRAALKKNQQLSAMVARVSS >CRE15452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:851295:854792:-1 gene:WBGene00058654 transcript:CRE15452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15452 MGHKTSKNEKVENHVAFPTAKTLADLPNITITHPTPIYQPDTPNPFTVPPPTPRDSQSLKSFIEETPILFGGSSEHSGSSSPPRSTPPPPPTIPPPSDPAKDAIFHHEETRKHFGATVLTKGSSPLPPKPDESHYAPPVIKRPIYYAKDKIELYEALNTRDRDPPRVPIAPKRKEKEELAYAVGTPIIKLDSSSPKTEKKKVVISDNVSVSSVKTADDYPVYSVLSDYNYSSGKTFHSGAFPIDVNRKNYGFCGRSGSGKSSLINSLRGLNNGDPQSAGRSHCDRMEPFRFIEGELQQTVLWEIPYPRTFSSSSIVFDANMGFEKFYESHKLKLFKRLFILIPDGAPTDEDITFARVALSRRTAITFLLTKSDDDLDAENRENGTKLDQAMKRSYETSARLVFSRFLLSKAQILNDVELLFVNAPTTRNLVSGTVGYLHYLMNEERLLELLDLNTGCHYELEVKLRRERESSETGRPSNGTIETYRIVKLSEEDQHKEPPSQVQAYAVSRPTILADAGFEISFGTDDRIYQSLEPKTMVRRAGKTCFNYGFIGGRGVGKSSLIDAMRGMSSKNPLSATKLNNRSKSGSCEKFEFDDTVLKYSVTLYELSYPKKISSYFEFIDLYNVASFTALFILVDQTPSEQDLAFAKIAYRRNTTILFLISKCDKKLAARSRSDEIPVCDLLKQRYIDKALNKFDSIMAAKAAELRGRVNVFFVSAPVFKALRMGDPRESQFVLHERAMFDFLKSRRMIADMLDDPPGENAYAQVDLETAGVR >CRE15361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:855981:858693:1 gene:WBGene00058655 transcript:CRE15361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-36 description:CRE-GCY-36 protein [Source:UniProtKB/TrEMBL;Acc:E3MCF9] MFGFIHESIRQLMIRTYGEAFWAKVLERSGFEAGKENIINHYYSDQDTYTLVDAVSVILKVTREQVWEMYGCFLIQYTMETGWDDLIRSMSPNLKGFLDNLDSLHYFIDHVVYKANLRGPSFRCEDNPDGTITLHYYTGRPGLYPIVKGVLREAAKRVFKLDVSMSITGRTQRSVQMATGERIEEHVIFLIKTQNTDQSNEDALGTAVVQHSNNYKIRLTHMDFVSTFPYHMVVDQDCKIVQVGKELYNHIPKDLLAVGTPLMRIFEVTRPQIPLDFDSICNFINAVFVLQVKTTPMEFQKNATKRAGQASDNYDNNVDDNNQTVATLTQSQHLKLKGQMMLMTSGGHIMYLCSPYVTSIPELLQYGLRLTAMPLHDATRDLILLNQQRLSDVEMNLQLEANNEQLENMAKDLEIEKGKTDALLKEMLPPSVAQQLKQGLSVDAREYEEATVMFTDVPTFQQIVPLCQPKDIVHLLNELFTKFDRLIGIQRAYKVETVGDSYMSVGGIPDNTEDHCEVICHLALGMVMEARTVCDPITNTPLHIRAGIHSGPVVAGVVGAKMPRYCLFGDTVNTASRMESHSPIGRIHCSENAKKCAESTGRFEFEPRGKVQIKGKGEMNTYFLLRSFKRSIWEIIDRRRDENCNSIDGYNELRDGYVDDVINKITQKNSKTCSIS >CRE15362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:860413:862780:1 gene:WBGene00058656 transcript:CRE15362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15362 MIPLLLLCAFSTIRVYGFGAPGIVPPESFIAERWYPSNLPTVMPPWKLAGVDISNFRTARPHQSPGTNPITTTPVAPTASLPMPPGISSPALSSAEVSNNGPHPPAPPPGSSSAEASGLPSSVEQDDDTSAQNSTAPSSTEELMTLGVTATNIQTEKPEKGVLKILSPVFEDITKTDNISDSEEILRVNSEKSMEGSGDNEPELMTTLETTTTTSSKKSSEETTVSFTTPTTTTMTSESSTTEKPVTEPMTTSSTTSTTTTLSTTTSSSTSATKDIQDEVTTTTTMTTKLEITNTTTAKLHPTKECKDILFLLDSSGNVVQQYEKQKKYIEEIVHQLEAEHPRRMALITFAGRTRQKIVVPLPEEPNGIKFVEKLRKARFLRGVTAAGAAISVTTQYVLQKSRHVQVVVVTDGFSFDDVDRQSEALRAVVGMETYATGRYFPVVRNVLLSIGGADDHVFFDKKESRLVDALQC >CRE15363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:864685:866326:1 gene:WBGene00058657 transcript:CRE15363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gob-1 description:CRE-GOB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCG1] MTIASQSIEEFKECLYQMQEARKLVTKEILTTGHINAEKVQIFKNTLEKMRDERTSKNHIRDIHSRGTTFGINIQDEIKGLQVVILSVAIKNFYFQKDHEFLDAFALESEKEESFNDRLKLSDLPGLLSKFSKDRESFDAEVMKCRAFLLDLIDTQVTGKKPLFITDWDGTMKDYCSQYATNLQPAYSAIVMGVFARHFTRAFAVLTAGPLRHPGILDLTAIPIDGPVLFSGSWGREWWIHGRRVVHDDGIPEEGTVAIGQLYEQLEEILHEGEFVQFALVGSGVQKKVDRLTLGVQTVFGQVPEDLSARYIDAVRERIHRVDPNSQYLVLENCSPLEIEVCVHSSGAVWNKGDGVAALVESNNDSLKIGKVCVAGDTASDVPMLQKAAEENPDEVRALFVNVSMELQKTIVNIVGDTSRTCFISCPDVAHAAFAQIICELAA >CRE15364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:866945:869191:1 gene:WBGene00058658 transcript:CRE15364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15364 MLGQRAATFGRFFRQKRNFNKLAGAGTDYGHDERNYSYYKYAYIGGAATVGLLGYKSLFRTAKLDHAHEDKSVKKLENLPMYKQEEVKKHGKDADRIWVTYKDGVYDVTDFISMHPGGDKILLAAGAAVDPFWALYSQHKTAEVLEILEGYRIGRLDVKDVPKAEPDAFSNDPERHPALLVRNAKPFNAESPPSLLTDHFYTPNELFFVRNHLPVPDIKTEDHRLTVETLNGKTIDLSVDELKKKYKSYTIGSVIQCAGNRRADMNQYKKVQGLMWEGTAISNAEWTGVRLRDILADAGIDVFDEKIKHVHFEGSDLDPTGTPYGASIPMEKARGNEVLVAYHMNGVDIPRDHGAPLRVIVPGNVGARQVKWLRRIIVSEKESDSHWQQKDYRAFSPAVNMGDELKWDTVPSIQEYPVQCAICTPAPNTKVDRDDGTVDISGYAWSGGGRGIIRIEISVDGGETWSSCEMEQEEKQDVEHMYAWTLFKAEVKIPPGVKEFNIIAKAVDRSYNTQPETASGIWNVRGLIHNAWHRVPIIVKD >CRE15453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:869430:871913:-1 gene:WBGene00058659 transcript:CRE15453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbh-1 description:CRE-TBH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCG3] MQRASAAALLFSVVTLCAFGHIHGGEIVAELQHTNITVHWSTDYEHQLVDFAVYFGVKTPDVVFLGFSDFGDMNNTDAVIYHYGTNHIKDSYTDRNYKIMPDIQQDFMMIRKRKDHIIFRRKLTTCDSRDYAFQPGTTQFYIAASFGHKDLVDIRDKRWIVDKKFGKVIEGPTDRSNIEDEPTSLEKDVKVVIVNSNNPDPVPNVETTYECIIRKMPFDTIHNMYHVVRMEPYITPGNEHLVHHMEVFICRDEVEEWSGNCNDPKKPAKAKSCSHVIAAWAMGEGPIHYPREAGLPIGGKGKNEYVMVEIHYNNPELHKGVMDSSGFQFFVTGMLRLLPEEGINIFASQMHAHLTGRKLWTSHYRNKVQIGDVNRDEHYSPHWQHLQQLRPMVRVMPGDTLVTTCVYDTRRRSKVTFGGYGITDEMCVNYIYYYPASDVEVCKSAISNSTLRAYFSQRHGMDGKTMSISEMYNGVKNWGNGVDEEFYNVLNVGNMNMNCLRPDGEPHQFNSKDTRQSWEHMARPRLFSGSFIRTRDRFQCPAINDMINFE >CRE15454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:873214:874976:-1 gene:WBGene00058660 transcript:CRE15454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hke-4.2 description:CRE-HKE-4.2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCG4] MWVKSCIFLSFVAIAAYGQAHLKYTKEMNDPEYVEQHVKGQGHGHAHGGHGHAHDADGGCPYAKAAAAEAAAAAAHGHAHDHGHAHDHGHAHDHHGHSHDEEDVHDHDHGHAHEHHGHSHGDSHGDHGHTHGAKAAKQVSSEYKYTGALSFLNDAKTRLWVYGISATLLISAAPCFILMFIPIQANTSESGPLLKVLLAFGSGGLLGDAFLHLIPHATPAGDGHGHSHSHGHSHGGAGGHSHGAHDMSVGGWVLAGIIAFLTVEKLVRILRGGEGHGHSHGSHGHSHSDDKKETKDKDSKDKDSKDKDSKKEKTVEKTGKDEQTIKVTAYLNLAADFAHNFTDGLAIGASFIAGTTVGVVTMITVLVHEVPHEIGDFAILIQSGYSKKKAMLIQLVTALGALSGCVISLLASDADALADAAASSWVLPFTAGGFIYIATVSVIPELLENSSFFQTVKEIFALLTGIFLMYLIAIYE >CRE15455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:880613:883942:-1 gene:WBGene00058661 transcript:CRE15455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15455 MADIDEDEATRRAEEEANRLAEDERIRLENELLAEEPMEEGDEEKRVQEVRLEEIEKAINETCTDIKNQTKFSTKQCRVLLSPLIGKILEVEEQFRGKKKESEFWEKMNVKMNETVLSLQNELERKSQQQPPTSLEEPTATSVFSGQSIQGNQERTKMVSLLEANEIHTEEELNKLFEKYEQLEYELSVKVEYLQRSQRQTDSFRSELCRLKVKCDQQQQKLLAEEEKAKKMSEELKTKYSNLRANSSTRVGECNQQTGERKESTRYYNAETSEIIETIPLQESLDSGRNWNQRIVEQNAQRNIIVHNEHEMSNMNAQWRMAQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDMEAQKNFLETRFLSGNALTVYKGLPESDKNSVSRILDAIKRRLSQSEPEESRRAKSKFQALKLHKEQTVQDFCLVMDEVVRIGYKGVPEYQISSMKTTKLLDEMREHTVFEVLLQILGSQLRNCPVEEQYELCRVEATMFDEEWRSGKRKATQHEKRMNREHSDQYSNNVPRTGFTKNNASVSQQSSRVNRYTPIRQSNSYQTNSGSDSNSNQCTSTTSQQQNFSTSNDLNNQWAPKGYMYNEKSPECWKNLAHSSDSANNASSNALGFHKCSECNQTGCHAPTCSRAPGSNTSKVKINSTIVCFRCDQQGHIASKCPTRNASIQEVRMAPNVQSKVEDQKCKMKFDTKCSSDKESERKLIDHEMETKDLYEGQSVAASVFKKDMVIDVDRVPIKSINSIEFSVMSSDEMLRSGDTKDDGLQTSCTKHAHTKDNSGGVNREFTEANSQKNGYCDEEHKSERDQRLCSISLTKTKKQSVQQKKVSDVISSFGDLKRDQKEHNVQKNIVNYESTQSYMSSEDGDGKKKRNTVDQKAVDNVMCQGPPLNFIENSSSLNQYDNVDANEATDIVKINTSKSKFLNQKCPKKPGLQKTGDDTCGVNFPKKSKRRNKEETSPRTDPPVILRFDSDLWLHDTSQGSLDSDNDDQLDSYQKWKRAVPKMFKSQVVPRPLKDPPTSTNQSTWSDTKFESAKESPRPLNDPPDSWMEDVNWRRMQEEYRPRKDPPSPYCQHGHRNLLGCLQSTQFLPTPMSTVSS >CRE15365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:886090:891342:1 gene:WBGene00058662 transcript:CRE15365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eat-20 description:CRE-EAT-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MCG6] MTTFCRVLLIFGIYVAVSRAQSVEDDVFHFTNPSQGNAVWILDESSLPWTGGYQYLRSISGMPTTLLSVVDSSTGRTMAQCVAPHDATGNFSKRWERFSWELTSAGLDCTFEHGAATHSAFDHSQNPRTFSIRIQSVTGPACLRDVVVQTEQATGCPPHLSRNSFTANALNCSCPYLDAANEDGETENEDVDMLANSPQFPLFKVIDPSVLGSANPPTLPPSPCANHECHNNGTCLVSQEGAATCLCRNGFTGDRCELDVCSAVPCQNGGVCRSGNGRAYCDCPPAFSGLLCESAFSNETTTPICNPECANGQCVHKDGQTQCECRQGFTGANCNVLDVCLGDAACSMFGPSAKCVLDDNMDNMSSHSLINGTYDCLCPHPIHGQFVDCMQLHAPSATSVQPSEPVVVNNVTPSFPVLEISQLPTGTGANTPTTFTAGTTTTLATAVPVQQTMPPTQQVPSEPFGMFFKNLKIDCSQNKYHFTVGFTVTREPLRPFDVSSTTLPPPFNQHLITAGEPTWSSQLPPQQPQQPSEVPVPAQTMTTFIFPQTPETTTFAPTTGVQHKFVSPNMPDENEEEEEEETTDETEETFPTPSTMQVVTGVPTTSEFVVTSTVLPTTSDIEETDEDEDMTEEVTDSSTQSSTTVFIQPTSTITTEAPTTTMEEEEEMTTEDTESEEIASTTTQTSLPFWMTSTIKQVVPDIASSATPMIIMPHPQPEMETSTEGVVDGESEEERTTESNEDIVSKNVPTTPSDVTHHHTSSGKQSSATASWIIAIIALIVLGLLLLATTLFIFRYIRQSRKLHGKYNPAREEHNLSAAYAMPMSHIAKEERLI >CRE15368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:905410:908449:1 gene:WBGene00058663 transcript:CRE15368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-olrn-1 description:CRE-OLRN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCG9] MYFIYSFVISRISLMTIHLRSTNTYSTFTKTESALFEKPRTMTRCSAPREISVSEETTEKSGIKSPKSPIESKKLSKVPPLCCKNGEECKVAGNCVCKPPKPTTGPKEETPTSSRETYDVFLGGSCGTTVWRRQSVIPFLKKRGITYYDPQRSFWSENMIYEESIAKESSSLFLFVIDPATVNATSFLEIAYFAARKSPKLVVVFLGKTEWAEKAHPDDLPDRNRTCQLLDKILDSHQVPMLHTIPDALEFIEEEMLGRQSLAEAMRSKNNRLPYLQIRARRAVRNVKNLAAQTIKVCKSYATKTVVTGLIDTAVLITMSFAFPALPLFYILIPLLMLNVVVFGVVHKVQVLRKKYPRMIADSSAVMYQATPAIPSPRVTMKATNVNGTIASKSIVRRRSKQNFEKFFPDDGASSFIVPSSFLPKQETKVNIDCSTVELCSSSPDDNSWVQELAAPTLDGKGTPYSYVLATPNFQLDSRMNCLRTWFHHHKKFFYYIPSTTTFLSGMVEIAYILGHTNWEGTVCVPSTSQILEGPDDMTEDEKDHARAARRRRNDCYQIAFCYLKDMAKRRQCRVFTDIGVAVKALVDPAIRGV >CRE15456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:909252:914656:-1 gene:WBGene00058664 transcript:CRE15456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-3 MQLFSYNIPRRSGLISITYLLISSTAWVVQAGNRQEYQYRDDAIENKLICASKLADYAACQCDPSAGEYSCINAQFVDANVFLDIATNYKYIKSVTFHGNNFQDLTSTPLFGADSQNSLIKLNLSANYIVNLNSNALRNMPNLQVLDISNNEIVFRPRDVDFLTHTPHLKELYMRRAFTVTINRTQQFELMLEMFRQAKLEYLQVLDLSYNFIHTVPFEIACPFPALQTLDLRQNFMKNFIVNETCIKDVKTINLSRNQLHVISDDFRALADKAQPETFLLRNLFYCDCNSKEFITWIRSTKSVREKNSLVCERASPKMYVGARIAEVPINKLTCDEPLVTSHSYSNYGNFTALLIAFCLFSIF >CRE15369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:924446:928455:1 gene:WBGene00058665 transcript:CRE15369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyn-1 description:CRE-DYN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCH2] MRTRYLFTLFVTLRFFLLNQKLITIYRFSRQFIYYPPETAMAWANQGMQALIPVINRVQDAFSQLGTSVSFELPQIAVVGGQSAGKSSVLENFVGKDFLPRGSGIVTRRPLILQLIQDRNEYAEFLHKKGHRFVDFDQVRKEIEDETDRVTGQNKGISPHPINLRVFSPNVLNLTLIDLPGLTKVPVGDQPADIEQQIRDMILTFIGRETCLILAVTPANSDLATSDALKLAKEVDPQGLRTIGVLTKLDLMDEGTDAREILENKLFPLRRGYVGVVNRGQKDIVGRKDIRAALDAERKFFISHPSYRHMADRLGTSYLQHTLNQQLTNHIRDTLPTLRDSLQKKMFAMEKDVAEYKNFQPNDPGRKTKALLQMVTQFNADIERSIEGSSAKLVSTNELSGGARINRLFHERFPFEIVKMEIDEKEMRKEIQFAIRNIHGIRVGLFTPDMAFEAIAKKQIARLKEPSLKCVDLVVNELANVIRQCADTMARYPRLRDELERIVVSHMREREQIAKQQIALIVDYELAYMNTNHEDFIGFSNYSAEAKASQGQSAKKNLGNQVIRKGWLSVSNISFVRGSKDCWFVLMSDSLSWYKDDEEKEKKYMLPLDGIKLRDIESGFMSRQHKFALFYPDGKNIYKDYKQLELGCNNLDEVDAWKASFLRAGVYPEKQKAPEDENLPEMEESSMDPQLERQVETIRNLVDSYMRIITKTIKDMVPKAVMHLVVNQVSEFMKDELLAHLYQCGDTDALMEESQLEAQKREEMLRMYHACKEALRIISEVNMSTIGDQPPPLPVSDFRPQPQGPSPVPRAAPAPPGGRQAPMPPRGGPGGPPPPGMRPPPGAPGGGGMYPPLIPTRTPTPSNGAPEIPARPQVPKRPF >CRE15370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:932818:938112:1 gene:WBGene00058667 transcript:CRE15370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15370 MYASSAQCCKNTDSPYGSVKLRHVNYGEEGRPLSSYNRLSRRFNRETVPAFPDLATAYYENYSGVNPVLTVALTPVPKVDYSVTKGGARTWIVLLVFILLCSSLYTIFSAKDAGDSHHDLTGRTSVDDDDVPAYTHAEAAANDDNDDDDDDEPPQPSPRASKKQETTQQKSAPAPPPVMDSDDEDDEESNEHTLGGRLFAGLRKKITDRLNEVIFEFSIFEKNRYHSQFQKSVKEEPKNSKSDQILNPRELRKLKRQQKNVKVEVKEEEDDDDDDDDDDDVEEAAPQEPSRKQKANNQKDDKKEKHKKYQPVKEEPDLDDDDDDDDDDDDDDNDDDDDKDDGKDGDKDDDDNDDDDDDDDDDDNEDDGKNKKKSSVESKSDKKVEEKNDDDDEPHISHKEAQRLRRQHLRESRNRPRHGGKRECIHQDCPGHESLKPRKSLLIQKKKKSMVEKILDDEYDDDEDDDDNEEERNENIREDDDDDDDDDDDERLTDRESSNSYKRHAITTKEEIGFRDILDRADNLVEKHQYDEAMELFDHVIAVYPSSTRAYFGKARAYDIRGEIEADETDRDKAIEIYEKILQNSAVPDALFRQAAQRLIEKTRFRGQLHKTLNAHRHFIDRFPEELSLQTDFAISFVMMKRYEDARTVLRNVLANDPNHVIALAYYGYILKAHDDKVEQGVALMRKSLKLADNEITDPKFYYQLGHGLTALGKKSEADAVYQKAAQMGVFMTAQQRSLYNIEGLTGRAWWSMDQTPYSKFLKTVERQWATIRQEGMEVLKDCSDCWLDHNQQLVIDGQWKYFPIMSEQHFVKSSCERMPQTCLILQEFASSSNASKSEMYLSVLSSGASILPHCGPTNYHLQAHLGLVSPSEARIRVGNETKGWRSGKFIIYDDSFEHELQFDGASSSSFRLVLILQLWHPEVQPHQRVLSFLT >CRE15458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:938380:940382:-1 gene:WBGene00058668 transcript:CRE15458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gas-1 description:CRE-GAS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCH4] MLGRKIAGTCLRSGVPSVTATSSTPVSQKRNSHTIWYPDAKFERQFKTGGTLGKLWMSERVSDFDEKIGLDKLEKLAYTDPVMSDNYSGKQREKNLENMILNFGPQHPAAHGVLRLVLKLEGEVIIKAIPHIGLLHRATEKLIEHKTYTQALPYFDRLDYVSMMCNEQAWSLAVEKLLGIDIPPRAKYIRTLMGELTRIQNHIMGITTHALDVGAMTPFFWMFEEREKLFEFSERVSGARMHANYVRPGGVAWDMPIGLMDDIYDWAIKFPERIDELEDMLTENRIWKARTIDIGLVSAADALNWGFTGVMVRGSGIKQDVRKTQPYDAYDQVEFDVPIGTKGDCYDRYLCRIEEMRQSLNIVHQCLNKMPAGEIKVDDHKVVPPKRAEMKENMESLIHHFKFFTEGFQVPPGATYVPIEAPKGEFGVYLVADGTGKPYRCFIRAPGFAHLAAVHDVCYMSLIADIVAVIGTMDIVFGEVDR >CRE15371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:941300:945331:1 gene:WBGene00058669 transcript:CRE15371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-usp-33 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3MCH5] MGRKSKTKKNKGTSTNNNNKPPPPPQLEKIVEPVPVPQPSSSANDHNQNKVETMETIPEFNQPSPSKEPDNAEENQEQRIEQAEQEGNEEKEKIEQEEVEWCIHFENSNAKLLMRALKHHHNCMECNKPAEFSCIHPECLRKDQSNCCENLRHVMQHMTNKNHPALVHYEYEVITCTKCDAQISIEEFIHRHSVKIKKNRRASLKTETLQLKGVTGYVNYGNTCYLNSVLQLLGHCTPLVQYLLELTPPGGWGKCPKDVPKTVIQMAIDQRIMNSPLKRPFASPWNIISCIRIEMPEFECFQQQDASEFLRSLLDILDRDLKVCQDYYNKKRSVATGNPDADYLIALQDRTTKTVISSLFRGVLENQIRCHTCGYRSCTYENFLFAKKESEFFQDLSIPIVGENEFEDMFYKPTKKVRKKKRVVPPADESTSYYEGIDPGFLVNKGIKNKISLDECLDMFFENSVLCGENQYSCRKCERLVDATKTVKAKELPEIILIQLKRFRHTVYGCSKIGKIVEFPLRSQDFGEWTTNGESVVYDLVGFVVHVGRNIDCGHYVSFCLNEQENQWYHYDDSTVTRYDDTEVAKNEPYILMYRKRQDKNRSPADNQKTESPFNFFKVRHILNDKYSEAWDVIEKSFEENYKCNKIPLDEVPPAKQISPTDVAERKPKTVEENMRVFSKEQTAEILAKTDVSPEDLHQHVSGLEQILEDLTNMLLPKKRKKNGKNRTAKCPDGQKQASKVKNVRKEKQEERKSQLGKQELENETSDSAHPQLYDSQDTSRYKKSDDTRLLKKDSHTAPLAQKTAENAEKYLPEDLPQNKNSRKESKADTKQETAENNANQIKNATMTSLVTQTPVKTGPKRTDENLSPVKNSSGTRKSRRWEHDQTSATTSTTERAPEEISEAADPRKTEQESSSQQRRWRSATPHVKKRRIPGIDEETRLQVEKEIKMVIESLEKWLKVVMKKEWMKHQDLHGTEY >CRE15372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:949403:951393:1 gene:WBGene00058670 transcript:CRE15372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ent-2 description:CRE-ENT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCH6] MSAATELQPLNEQKRVEEAEVEVEEVAPEDKNNLVFFIILLHGIGTLMPWNMLITISYDYFESYKMLANSTIDMNTGVVTGDPTVYSSNFQSFQTIASQVPNLLLNLLNIFIVVKGGLAGRICVGLSIVSVCVITTMVFIYVETTTWLTGFFTLTIIIIIVLNGANGVYQNSIFGLASELPFKYTNAVIIGNNLCGTFVTLLSMSTKAVTRNVLDRAFSYFLIALITLVCCLVSFMILKKQRFYQFYSTRAERQRAKNEEAADNKGKMATYVATFKEAFPQLINVFLVFFVTLSVFPGVMMYVKDEKKGGVYDFPLPQNYFMDVTTFLQFNVFAFIGSIVAGRKQWPQPSKLWIPVYLRLLYIPFFAFCNYLPETRTFPVLFESTWLFVIVAASMSFGSGYFSGLAMMYTSKTVDPSKAQVAGMMAGFFLISGIVSGLIFTMVIKAFVTA >CRE15459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:952846:954152:-1 gene:WBGene00058671 transcript:CRE15459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-14 description:CRE-RAB-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MCH7] MTAAPYNYSYIFKYIIIGDMGVGKSCLLHQFTEKKFMADCPHTIGVEFGTRIIEVSGQKIKLQIWDTAGQERFRAVTRSYYRGAAGALMVYDMTRRSTYNHLSSWLADAKSLTNPNTAIFLIGNKADLEDQRDVPYEEAKAFAEENGLTFLECSAKTGSNVEDAFLETAKQIYQNIQDGSLDLNAADTGVQPKQNLPRAAENNGKKDCNC >CRE19096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:1050:3379:1 gene:WBGene00058672 transcript:CRE19096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nipi-3 description:CRE-NIPI-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MJB0] MVRTKGRTTTKTKPAQSDASVSAASSQTGVKIGRTFIPRISDQSSTPIRHKSHHELIRQESESFRIVKAEYYQRVRNARKVDPRHPGAFFPICYSAIGPACSLETRPLSRLPHHIISILNDHYVQLTHGLMVPEGDLYNNGENQVEKPMKTILNEAEYTQFIQELEEEEKKKRLLALCSTSNAVPQLNPYNGQYLVNGEMIGCFVIYGSGSITRAVCSKTREVFTAHVLPAWKVTKVIDVIQRLQVPADRASFSPDEIRMSEICVSRRLEIVKSNNRWVIFNPFMASTIHSYASEQLDEMTEQDVMSIFAKLVQIVRYCHSRKVLIRNFRPKNFYLKKEADGSWTVRPCNVQDMGCEDEVPDPLHPRRTVFAAFMAPEVMLKADCKTLHTRGTEIWGLGILLYILLIGKYPFHEKDMTRLFRTIKFKTHKWPFNFISPRSRAIVNNLLRKVPANRMSLSTLSEKLNYPYPNVRCRSNLILKQQDLIVKMDLFDMYYNNYKNRLLPQNVNPINEEYLQCEKDLPISAELARRDLHLIEESIKTRIEDFTTGYEQAVMDARVEQINRILFRKEIMEARIQKRVPNPVSLSADEISKELLLPTCIYPVSQHYHPSHIQVDWFVFTALRDANALEFPKIKKRSVVKSYPAPVFRGVDISQPSL >CRE19191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:5636:7167:-1 gene:WBGene00058673 transcript:CRE19191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19191 MKNTPSTSSAPPSADFSSIPQSDRIKIAVATEQRLYELACKARAKGMFCKIPPSHKRARAAAAEMTKVVNYEKYAAVKPLADLGEATRVIKELSLVKTEKLNQRPGYQHVMSVLREEFQNYSLLAHQRGFEEKGEIFQLISHFPKIGMILQRVNADRPFRYDGVIDDLKDCKHNIKKEQVGSLLGRGVVTRIVNPKVKKCNTCEQKIVDAANEKLDLMNQKLEEAVEGGFAVDATLNPYAFEKHYKMPRSLVTGIDSHDYAVAIDCLRKIWSLDITACEKREAMFKNRIRQQKLTAMVNIYGDKETADELEEIVQEIEETAQEIQEGDAKTTEQLTTLKASFGGSWEKVRKNLGTTTGLSSDDRKIRRLQDKLEEHEETIAKLRRELEKEKAKNRSDDAPTPSKAGRPPKDDKDKDRKDKDKKDKKDDKPSGSGYIPKRLRIGS >CRE19192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:7734:9303:-1 gene:WBGene00058674 transcript:CRE19192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-erv-46 description:CRE-ERV-46 protein [Source:UniProtKB/TrEMBL;Acc:E3MJB2] MSLLWSLKHFDAYRKPMDDFRVKTLSGGLVTLIATIVIGLLIVLETKQFLSTDVLEHLFVDSTTSDERVHIEFDITFNKLPCNFITVDVMDVSSEAQDNINDDIYRLRLDADGRNISESAQKIEINQNKTIADPTELTQEVKCGSCYGAAADGICCNTCEDVKSAYAIKGWQVNIEEVEQCKNDKWVKEFTEHKNEGCRVYGTVKVAKVAGNFHLAPGDPHQAMRSHVHDLHNLDPVKFDASHTVNHLTFGKSFPGKHYPLDGKVNTENRGGIMYQYYVKVVPTRYDYLDGRVDQSHQFSVTTHKKDLGFRQSGLPGFFVQYEFSPLMVQYEEFRQSLASFLVSLCAIVGGVFAMAQLIDITIYQTHRYMKNRIAGGKLT >CRE19097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:9595:10630:1 gene:WBGene00058675 transcript:CRE19097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19097 MSMDENNIPQSFSIIMQRDQPISVEAQNSLCVEVRENYALFGRNFLNMFRQKLEDEDLEVSNETFLVEPDLERLIERFRRIIQNRSASTTVYQFKWYFIRAKVICERKEEQILKSLKQKFPYHFGILLSSFLNPLDRPLMDGKFRVRILKRGENAKELEVEDWTVVEQRLEGYKNNKYIGYLLGIFDYWLQETKAGLIHFLEEFPLLRVEQPKNQKKSLTTSAIPPSPPPSCLWHLQRLENHIRPLPFENVYDDEMRTKFDFVDLGPNIRRPEKKTKLEKTLIPSDRSLGAFPTRCKGFAEHYQT >CRE19098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:14349:18635:1 gene:WBGene00058676 transcript:CRE19098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19098 MKEEESIRLEVNSVSSEDVSKESRKNREVFSLSEPRKTMKDDFGLYEIRLLILTQLGYIPIAAAMLISTFSEPSKAWCNQTQISEAFWVQNPMAEFYSLTVEHGKACREDSITTYLSSLLMWGALIGSFFFGFLSDKMGRKPVFLSEYFYEKLWKSSQFFIACLLMVSLGHFVLIFTSKLYWCVICGVLFLMGVFCGGYMVTNFVILTEAFELAKSRLLVVSFNGWSLSMTATAIIARTTQYWFGYHVISAIIGIFLTILLYLTCFESCRWLSANGRHLEAKRIAAEITLKNGKRDIDQDDIILMEWYEILGFSVPTHTEEKKTWKTLYKNSKLRKLTGVMCYSFLASSIVSFGYYFSLDVLPGNRYSNMAMMGVMKFVLGFVPFVLNRFVTKRIIAILSVGTCFLAAVLMLPIQYFEISWLHWAYTVFTLIVSGGIDPTWKINHLYSAELFPTSVRSMARGVCNAGGRFGSVMAPLVGNFIVYFRIYDQVIPSVVFAFLLLIQLIVIVIFLPDDKDKDANEMNDE >CRE19193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:20996:22080:-1 gene:WBGene00058677 transcript:CRE19193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19193 MGELEVPERELEYFATSARAGRRNALPEIEVEINDPDAAKLAERISDMTAHCDETADSQNQPGPSQPPQKKS >CRE19099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:27663:28071:1 gene:WBGene00058678 transcript:CRE19099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19099 MEIGNYSPIQTSMQLWIVGMLTEHDLLSRVPNSFPTRSQADTVSHILRKPHFEQMLAANAPSIREFGHVALNNVMTGEEFFTKMRDYCRQNAYTEGYIPL >CRE19100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:28547:29101:1 gene:WBGene00058679 transcript:CRE19100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19100 MLYRTPTSADLTSLLEMYGCSAGVVVNVVHSLDMVLMFLKFKSFSRVACAYTSEYQFFENHVLQDEIPFVDFFNGLLDRLEIKGDLAEEDERSELEQSEKEEEEDEDDADDEYDENDEENNMADDEAEDEDDVFEI >CRE19101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:30129:31257:1 gene:WBGene00058680 transcript:CRE19101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19101 MDFYVISTCTELGISQLIAPNFFSAEHMTFIEVVMEDTSIESALEKNPEATDDYVKNGLKGLVSAIDFFKKLHTIIERGTYKSASIRMDVQVSTRVMDHAPDCTLNMHLTTTDWEKSLNMSSETCDKLLVELQKAKTFIRQSRADALKKEKEKEEAK >CRE19194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:31457:32713:-1 gene:WBGene00058681 transcript:CRE19194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19194 MTSNLINTLLVLSVLLVITINLSYFCTKETAHYSVVKDSRFSIENLTRSITITTASHTTPSSLIPPFIPFRSTFVTSPKYSLAACRIQKNLSTILLNLFCYLNTPRKFSRKASSLTIEFKNYLSQETCNATHATHIATNTTFSTKLAIIRDPVERFLSGFVDKCIHEAEHKDSRCYGCHKNMICVLKEQYIRFQLIAEAKLSSFSYEDRHFAPMSWFCDFDHETIKNYKFLYFGETEEQQGGTINELMDVLDDHGVDNSTISHILEELSANRTKHSTSGSAIRMKVGQEMRKNAEAMRLLYLIYENDYKVFNLKSPFAQT >CRE19102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:42233:44570:1 gene:WBGene00058682 transcript:CRE19102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19102 MWPPVDVRSLTAESVGRASLILAVDLLLFVTSILNIGVIAFTPDLSDVIGCYLISLSVADLLTAVFVIPLSIYSTLEGNWRIGGDNSIICKSAAYLQIALFCSTVYTFAWICIDRYSAMMKPSRYSEQSLTRCKCWIVFSWLTSMLLCCPIIVARMQVVFYPDAQLCVLDWSATSAYSVTLLLLVFVPTLVTVFNTGWKIWSAMRNPAALDDSQRMLVETDPNFVLTAFLFVTFFLSWLPLISLKVIELIWGPFDMDLSMLTFFFVWLAVSGPCCKFLIYMFTNHQFRSSFLAYLSCSMCCSNRNRYEYHDIGNNSFL >CRE19195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:45386:46638:-1 gene:WBGene00058683 transcript:CRE19195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19195 MHVSSRTKSFSSYRSPFSQFLEPFSLRITQLDLVTGMGEREVNLPQLFSDVSRTISGISNIMGAGAEASGDGPGTGSSSAGVGGGPSIAGNIFGNTCFKACGMEDIQFAARAAGEMFSTIKMCVLMSTIISLLLLVLLSTALLYFMCSYNQNCNNCSKFSLPSFFKRVTSTQSPVTAQK >CRE19103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:50634:53865:1 gene:WBGene00058684 transcript:CRE19103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpn-1 description:CRE-GPN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJC2] MFSDTLKWFLILLITTTQISSGFYFERRDTHRVTCSSPFEDQCTMEERSRSRATAVDMLTRKHQDRVISIRHFLKSQLHIFNDFFSKTLRTIHMDLDLMFSNTYGSFYQENIQLMNDLFQKIKGFSVNFAETSMKTIIQLFFDELFQVMFSITNPFYSITHDQRMCMENFYKEIAAFDDIPQKITNQLSLPLSNWKHFLGSLESLHEILEGYLNITLKAECERGLTRMETCAQCQRISEKPCRAYCVNILSGCTHQMLDSEGAWKMTTESIVKLAHQLNHRQNLVSALQPIPVLISEAVMHFQEKRDYITNKMIGKCLLDNADFGLRRKRSIASSDFRALSVIPSKKSRDHLILNQMFQSFSSKMEEINVFFGNVPENLCGEEDWASKDDERCWNGTDVGSYNFPTVNYTHPFKNPEYQGIDFLTFRGSYIEERLRLHWLQSRVSHILSGKEKDSQKAQTYYSHKAEARVQTDDEDYADYDYEGSANAPGSGSNALSSSSKREYSPYFRKNDEKIEIVIEPYPVKSFSVFSKSLFLPIFMFVLILNL >CRE19104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:54391:57980:1 gene:WBGene00058685 transcript:CRE19104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19104 MQEDNDKSKVARKRRRVEEKDSEEEDSVFSSDNYSVDSSAEGSIEYNEEDGETDENISEKLEEVEAVIEYEDIAEENVLKETKTKESANEDIIDRALRYKDADREGFGKDIGILICATTALAVMIYLILTLLGLTAPARPLVVSKGATKPFFLPNQRRYIEDSYDGSIRLSTNLTMSVVLLYSPYSIKAKWFREEYYNTAKAMKKLHGDWSPYFGATNCFDTNSYCRRKYNLKKYPAIMAQNSGLMGSVYNGPLNAVYMTRWLNRLQNAVFRLHSPEDLTNIARTYDLIVILYHEVRTPPTGFQSAHNFTKLAYHYLDGDPNSERTMFCVVNDAKFAAQLQLHNEHDVVIVSSELKLLGTHYKGWTIDSVVNDLYRKSKEMMKNRIEFLNLGKRFHSTQLVEKFQQSSVLLFFTKNIRYGNERHQMLRDIVLEYRNCPMQDLLSIEVESSHKFVNNCSISVQGAFCNVNNTLSFMMIDSEVENVLAAKYGADIEDMIVAINSKQEITRYMRGNITREKINCLIHQHHNVADNEFITESTSIIMPTSEPSEDIHCDAVGEPSMVKFVDNPSELLKSRKINVILFSGGIWHSASSSAIAPFHLVANHFKESRNLIDFSMVDASETNLPYNLDFEKLPKILITSADSVGLSWTYPEEFMINHTNIARFVLSRPGKIFGRLRWMDSCQGACRKRAQWQMRRKRLQLKRQLSRNVANSMRPRIQIGYYDRMLRMIS >CRE19106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:66033:70199:1 gene:WBGene00058686 transcript:CRE19106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-48 description:CRE-NHR-48 protein [Source:UniProtKB/TrEMBL;Acc:E3MJC5] MYNTRRSAYLNSCSTAMELAPQSMHQNWQDTEYVNTTGSNEMDISDMPMYGNDKDDPYYEEDGGGGGSTGSTGSGGKKSTRKRANTTSSNGGGNDKESKRTANKVCRVCGDKAFSYNFNVITCESCKAFFRRNANKEKEIRCPFNEQCEINMVSRRFCQRCRLTKCFSVGMKKEWIMSEEARLEKKQRVEENRERRLQDALNKALEEANMEDDSENSYDEAPIPVNQVSVRQYIEEGQQHQGGPEEMPPHMEHSHQYEMSKDKMMNYYQDGPTDHGYSNNDYDFPSNSGNACPNQMDTSGNCGATTIAESGDSPSNQSAVLAPSTIDVEANPIPSSVLFSASMSAIQDVAAIISNGEPKNLGLCAPESVQESLMNVAQAAVQAQAIVNHTQQQHMYINKFLFQLAAAVVAQQVVSQMGPVLPVAPLDPMLAPPLIAAPVLPTALATAITTPIAAPPMMPPIPVAPMPPVNPSPINSSPMNPSPMAPTPVGAGIAMIPKEMPVSVSLLNQLEQTPAEMVTVPKDMLMKLIQNNSRTTCTCTCMCGRYPPGACIFNEVTKDLLNSGSSNGSANDKDEARLETTEDMQVINGMNGLLPGESNSSIQWLSQQSSAQSVIDPITNLMSAEEAQTQRERRDSIFGAYSSADQAQFQPKSVQEETIWEHTVAENESRELSDEELEKMEELTEISNQWMGLGLDNIPILELFTEKNVEIIVNGLKLLSSFRFLPKQDKRTVIKRELKQITPQIIYLCSYTGGLYNYCVVKWMQHKESMSLDGLSDRVRRDFMDLINREEFNYRVQPGAFNTLAISVLFHSSPEDLISTEVYMEHLVFKKLLDKNLPIKVSSDTVYNNYHLDIMKAASHLIKITGGISDRLVRYAVS >CRE19108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:73383:74113:1 gene:WBGene00058688 transcript:CRE19108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19108 MSLLVSLLISLLAILAAVANEEDNSKNGPQTPEEFLSYMQARGFMQIDEIIDEKSCISFKFYITHDSTQKCFRHRCTDNAVLSEFDCKLWNQVKKNPEKAKAWNKSLTRRVRNHISTSKTTKNDGEAKESVIEIYSVKPDTCTTYTKIRSVLGKIECWRKK >CRE19109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:76882:81512:1 gene:WBGene00058689 transcript:CRE19109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19109 MQTLQQARLTSKPPPLPGPSTSSGFSALAKAYDPSNKPPLVKAGGILRHRTINPMQEEPKPAEPDVKTIELLSRFFISSGVPLETVQERTFLDLVRHINPKIVLPEKTAMAKCVEKLGATTKPFVNFQKTVGPLCVTIDTDGTDDEKYLVFSIHYFEDLYERKQIVYLRKLLLSELDADSLLISVRRAVNNYTYVNVKFSNIVCPDDDVYNLVVNSDVVKRYHVCFYHYMKQFVFDLIEIEEFSRGLTELREFVRHIKKSADLYGKFRRMQLSKNAELDVPMIDDGPWENTSLFLTRCLVLHDTFTDFCERFNVTSYINNKTFNNLVYFQRLLSECVKHCRELSTPNSSISQVIPAIISLQRYIKNNDMGYRYTRIIRESLHTCFRQYLDGNMTLLYEMATLMDARYAYRDVLTPLRWKQLELKVAEDFVRTDAAMEKCFYQDLSLMNPEDRRTAIMAEFAHYRQVSFVERPEEAESPFLWWGRRHTDMEFLAVMAREYLAAPAVSVDATYYFANGGKFQHLCNTYSYGELESCLSLAGAHQKFVGRGASTDNITPDMIESLNSTANRLQKRTYFGLYTHGCDDVSTDREVAEIIGQPYPPIPTMAIRGHGFAQEEKPPTMAIPPIRRVLPNTQPVRQTKPSAITARPIQYKGAALGAVPKAIPIRQVPLQKLHPSQEKPMEEEKPAVFDHNAISKTKYWPQPKPLPQEEKPKDLLEKEVKQESQEPLLEKVKDEPLEEEPSTSLVGKVSNAVRKMSPLPTPANIIQHQQNQVQLNSPTLSQQGPPSTIIQANGIQTKRIIKNIHAPMPQNITPHNFVQKFAQKQNFVQKFTNRGVPQVPPANVQPPAGIRSPMTFQKPGQPSSSALPLHEPKIEEQLILAEQPDDFKMEPLDDFDDFNVVDDFSTYTSPRVIVHCEKLNDQSISNAYAR >CRE19196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:83788:85071:-1 gene:WBGene00058690 transcript:CRE19196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19196 MYNYMWRRFYDKEKLLNRLLIEMGSYRRVDSFEDIHFSDSSSSESSPERSLPANSPLPLSPSPSSSPPDSPSSGGESSSAEDDNGIYVRDQDRNKKIVYQEKNSEDESETEQEDESETEQEDESNTEQEDESNTEQEDESDTESEHGPQNESEHGPQNESEHGPQNESEPEPQNESEPEPQDDSEPEPQDDSEPEPQDDSEPEPQDDSEPDIGASCACPCEPRSSRTRHREHHREQHVSDSSQNGPTVEDQTTEPPSVAAEHVPRGLRRKLPTEAPTAVQTSKTNSALNSKATKPTTKAPPKPKPTTKPKPNKSVNPPITNAPKTTKARTTKKAPKPTEPLKPTRPPKTTKSPKPTRPPKTTRPPKTTRPPKTTKSPKTTKAPKSTKAPKATKAPKTTKTPKEKSNQSKKKG >CRE19112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:86811:89945:1 gene:WBGene00058691 transcript:CRE19112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-15A description:CRE-LIN-15A protein [Source:UniProtKB/TrEMBL;Acc:E3MJD2] MSTELARNLIQRIREDLKECIDNSKGCSTSTSHQLENEEEETMADKEDEDSNHQLENVDEESIADLDRESSNLREQDETGDSMDVSSFQIRSRYRKDIDKQRVNMLFANFLEATGLDDSVLEKPGFKNIIHYLNPDVKFAEPSSKNQTLNVPKTEKLFKKRSSSRKLFVGEPFEAVTTRTQPELNINKANEGEPSSSSSVSSDAIENVAERKETMAQKENIMEDSAEIQKLEIEKDCESKAFDSSDDDDDTDVLKSTDTDEMVPGESERVKELVDRTLSKEPPAPGLTPCFICGNNVTNHESITMTANETIKALMAAVHCEKIKLETAEAALCQVRLKMCSTHHDNVYKWMCKAIGVKTANEVDAIPGIDLFDVLTVYRRLKGIRDAYENRNPSNTPCGSFKMAIKSYYRNYVPRKRGIVRSIKAIARIVKEDPRNNFFAKMSQDDEKILYKEVGRSDRRQHCLQSHTTEPAVAASLEIPEASTSAPNDTHIEQQQELETTRLEEQNNNMPGVAGEVMLPTDDHFEEGDEKQSNQPILPPSDSPCVAKTDPEGHAEIKYQTTFPDTVPDFEVVEGRTYKAVMAENPARTLLSSLMEMSCDEEEPEDWDESSDEEPDEEMEDNQQREEVKCHTPPMEATLGPDCYPPGEFPFVSPASPLVKVEEPEDVKPEPEQQFLNACAETWETNEEVVMTGDNFEVRAVKQEIEEIDDTLMEIEELPSNWVKSEAELLSEQRISQELDLKPLVDQGLEITHVVTHQGVIIDQKKSLSPIPDFEEPQPEIGGWMPKPIPWGQMLYSSTDSLDVKSNEQPDKQETRLGKRAKPIEHESSPPPSKKENEPVQRESLSRAVKRTSYQRPSSPCSMEVELFMKPAKQRRLMDVNCDAADGKNRPSTLDDACFAKPAELQRVLESDIKLTHFNREVSLPPDVPAQNGYGSMRRRSFSRTAKTYVYNRLTAPCSAMTRIQIHRMTPELA >CRE19113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:99929:101551:1 gene:WBGene00058692 transcript:CRE19113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19113 MTGRFQIPQQNFFTPEDATTWAVIFKTRYLNDCLQNILDSNKIDPADIKTELNCDENATKPIPVNLSAVKYEDSYRQLPFSHIRYKRQKPNLFGNSIVVLNMTPAGPHNKENCKSGIKRQAPATTSTASKRSK >CRE19114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:101951:104547:1 gene:WBGene00058693 transcript:CRE19114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19114 MVLEQVKAKAIRWLEDNRGLVIVFFCLPASFLFDIFIQFRIWLDRKLAVTTSHKQRVQKIQDQVTEWSKLPASQKKPLCTARPNWLSLSTTFFDKKKCHQVPIDLHDVLSLDEQNLTVTVEPNVTVREICKYLIPKGYTLAVTLEIGDATLGGLAFGVGMTTYSHKVGLYQEAIVSYEVVTADGKVITVTDSNEHSDLYYCLPWSHGTLGFLVGLTLKIVKVKPYVHMQYIPCHSQDEYCNKIMQLSGATNKDTKVADYLEVTIYDKDEAVIMVGNFADVDRTSRAKINDVCWFYKPWFYRHVETFLKKGGEEYIPLESYLLRHNRAIFWVLESMIPFGNHPVFRAFLGWLCPPKPAFLKFTTTQAVREMTFAKQVFQDIVMPLDTLKEQVDTAVKLFDTYPLLVYPCRIYDHKGGAPQGQLRAPPKSRLVPGTNYSMFNDLGVYGTPGPVERREPYNPTYAMRAMEKFTRDVGGYSFLYADIFMSEEEFNEMFDMTLYNQVRTKYHCDGAFPRLYDKVKPEIDVIAIGETYAVKKDE >CRE19115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:104961:106791:1 gene:WBGene00058694 transcript:CRE19115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bus-17 description:CRE-BUS-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MJD8] MRPLRNVVRYCVQPILHKELFLISPIKLFILLFSWVIPYLIFANYLESCLLPLRVLCYTQSDLLSTEPRDPDFQTYILQFDFEDSYHNLSWKMMAIYGFVIDQLPSVDQIVVTNDDTIVNATALEQVLHMKKGPVMLGKVSRGYPRIFLPWLTWHVPSEMYPNLCYPLFVQGSSFVLSKEGAKLLVENVCKVPMVHLDDVFMGVLSNCVGLGLIHNEGFDKHIFDDFVVYHYQYSRHSAKYLESLWQNSEMIL >CRE19116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:107498:111172:1 gene:WBGene00058695 transcript:CRE19116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrt-4.1 description:CRE-WRT-4.1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJD9] MKSSVSVIVLLSLSVAVVFGSECGDTTIPYSLEVLPSGQPVLGCARPTCFGWHPNGHQLPTTAKFFRISQQNDGFLRDDPLAIHTFNAADPRMYSQQKATCDPEFQSLSCNSEDQWVGGISPVMNATTTQVIAYQCCTYPPLRASTDRGIATVSSGQIVVGGEVTENNHQYAFDYISNIEKKLDEQGEIFYEVNIRRFSCLDLQKADRSVAEVLNSENVIRHVNGHRAIAFQAPLAAGATPIETGELVVPAGVENGQEVIIEEIVAQPGFIEENTATPPPPPPLPPQGFQPPPFQPAPFQPQPFQPAPVPAQPAGYFPAYGYGAPAGLQLYYCFPGDATVFVYNKGVTRMDELEIGDWVQALDKNGDEVTHIPVQYWIHRDPEQEAVFIEFSLDNGEKFSLTEKHLVYVSQCSVDNKDENINSNPVSAENVKVGDCLYIAHRKNNKVYQHVKVLEINHVKKTGIYAPMTSFGHLLVNRIHTSCHSETDNHSLQNTFFANALHWKNEFSKYFWDVDTTKEENFGYGLSSLLDVIDLVLPAKLM >CRE19200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:111266:112910:-1 gene:WBGene00058697 transcript:CRE19200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-9 description:CRE-SRXA-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MJE0] MDNLVSTMAPSTASEGLTNGKLFLYILSAIFPFLFFIFDALLLAATIYNRRDSSLPLTYICVMCTIGMLTTFFMVLNTSIFLVLPQASYEAYLMAFGRETTLAGTFSYLTALFITVLMTINRVYIVIKPFNNELFNQRRVFVYCGIISSLVLTSLIIPYFSSCYVVFRVDLLSFVSGCAPNRHPITVFQNTYAIILPFTCMFINLGIIFHLRFSRSGTYAKWSKFFCKNKVTTVIIGQATQKTALSKMQARRDLIMMRQTISVAVYLSIYELGAFIMKTFPNEYAMLPAIVRDGYFYFRYESVPLMNFFIYYVETGSTRRMFRRFLNIKDKISDSYVNPTVITVAPRAQPVRGVSATGAMSSPTV >CRE19201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:113813:115639:-1 gene:WBGene00058699 transcript:CRE19201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19201 MIQQFRLFKPSLSFSVTVNLVMFQLLCFSNSSGATMTNTIFIIVAAIFPVFCLIFSGILLITSIVNRKNNSFPLAYIIVMSTNGIVISLFISLHVMIYLVLSEETYAVYLVKFGRETTLGGTFSYLNYLMVNLLMTINRVVVVAKPFNETFTHTRVFLFCGIIAMLMLISLLIPYWSPCYIVFNVSKLAFVSACAPGRHPVSLITLFQNQYFILIPFICCFANLGIVFHVRFRRNHSYDKIMRLFKTSEFLDNDFHLFILISETRPVVSNVSTVLQNDNMSRIQTRRDYIMMRQTIIVSIYLAIYEIGGFLVRLFPNAFMSLPQDARDAYFFLRFLSIPLMNFVIYYVQTSSTRRMIRKFLNMKEQSPDTVLNTIPVDQRLSTTIQTQSRTISQVS >CRE19204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:122703:123827:-1 gene:WBGene00058700 transcript:CRE19204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19204 MLARASLHSNGADMHTAEYAEYKKEEITAHHARDLVHINAGGTRFTTLYDTLAQSKSSYFLNFIRIDRTTGKVVLLQQRTIRDESGAIFVNRDGRLFAFVLQFMRDGKNTVLPKDKDLLAQLRREADFFGMEVFKYLIQETLVDLEKEQRASTIDIADIRNSVNQIANNTYYTGGRN >CRE19117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:131379:135352:1 gene:WBGene00058701 transcript:CRE19117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19117 MRYSRPLLALATVILLFQLPSSDASTACSFNGNGNCSVNTETDEVMDLLNDGQNHTINWKIRPQNIGFMYYLRTSDDGDDLGVRGIVKWKVQLKNWSTNDTAVEGFLVSIMDHDSNETVTSYELTISEPFEQFAAYNDVLEMRLELDDILSFDKRYDAKINILPIGKQAAASSFLSIMGKLEGEKCSAMTGLAERWAPHVIVDVFETTSEVELTWQPAPSFLCIKTYEVVLQNRDGFILNTTEIEVIPGQKIANATFQGIEKDQMVQVKVRGKNALDGGCACVNCNCITDKTKFFLIPNVTKELTFQNPPVTTPKPVVHHEPYSFTGFYILLIVFGTAAFFIALICLCVCCVKRHKRIFKQKIAFSALKTSQHNKKVTDKKQYKIMVVCPEITGKDYEYMMKIADGLRKSSNTVVCDKWGESANDVEENMLHYVYKQTGIAEKIIVFHSSSYMTRVGVYEIIENYFPSTDPRLVHIALSPSAQRKVPCGVEYVMPRDQKHFEEAFDIFIEHVIEISPETEVVPDAAPVHRDSCESIVEEQQNNSKTHSTDSGVSSMSSNSSDSEGAIDANQSDTELPMKDAINVESHPLLPRPIAVS >CRE19118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:136264:137416:1 gene:WBGene00058702 transcript:CRE19118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19118 MAQKFEYRTIERCRVVHHNNTQANLLPRLHEVKKLQTKVRIRYWSHSFLKWPRLYHAAPNINHRMLAEWETSDRFLWLITQNVDGLHVKAGSNKVTELHGNARYGKCTDCDYTESRQTFQEKLDRVNPGFADRFVIPGYIPTDGNIHLPLETEKNFNIPGCPCCGGIMLTAVTLFGDKIPNYKLEHSQQKVKECDGILTLGTSLEVYSGYQYVLQANQQNKPIFIVNIGSTRADGIATMKLDYKISDVLREM >CRE19119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:138730:140173:1 gene:WBGene00058703 transcript:CRE19119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19119 description:NAD-dependent protein deacylase [Source:UniProtKB/TrEMBL;Acc:E3MJE7] MSQKFVPEAAEICEKSLKKFVSLVGSVDKLLVITGAGISTESGIPDYRSKDVGLYARISHKPIYHQDYMRSNRCRQRYWSRNFLAWPRFGQAAPNINHYSLAKWEASERFLWLITQNVDGLHHKAGSKMVTELHGNALGVQCTNCDYKESRQDYQEKLDKANPGFKDTYVAPGEIAPDGDIILPLGTEKGFKIPECPCCGGLMKTSVTFFGDNVPIDKVNFCYEKVAECDGILSLGSSLAVLSGFRFIHHANLQKKPVFIVNIGPTRADHMATMKLDYKISDVLKEM >CRE19120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:140629:142891:1 gene:WBGene00058704 transcript:CRE19120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19120 MEPGTKNITIISLLYLLITFSCSVSGYALYSEVEAENFLNLAAAAYADSSNVTARQSCIDISFPTDEFHVLMVMSTPCDKRGNQCQAFVAISDLTNQVIISFRGTNSGGQLLSEFGDGLEDYVPYTEVDGSNNTVRVGHVNVYFLDAMNQMWEDMVEPSIRNRQNYTYLLTGHSLGGAMATLTAFRISFRQFSNKIKVHTFGEPRVGDIVFASYFTDMVPYAFRVVHHSDPIPHLPPLNVDNETSPGMPYHHPREVWYNDDFSSYVLCSDVNGEDWSCSDKLRFWNYGSKGAYRHTHYFNHFVSEYGSLGCTSTSQHQTFLFSIFMSIFAIIFCYV >CRE19121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:144622:150676:1 gene:WBGene00058705 transcript:CRE19121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-24.1 description:CRE-PTR-24.1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJE9] MSMCQCARKAELGEPPPSYSLISSNKNAPYDVRIRLAGSKIFDLPAFVLRVTVLLKNVMAVTKTTTRMILGPSLGHLGGMVGSRPWISIFISLLIGILSFTLIFLNPPKKNFGFENGYTTSDAPSIMEMRAQRDFFTDGKEGNPWYQALFVEPRNKEASMHTGKEYNEMKRFYKTIKNATIRYDEELGRDITYYDLCGSTCELNELLFTTVGMSFFGLSYPVTSIFSYQSNIGKHFYEVEVNKDEDLLSAKKALLVFMAFYQTREVKSDLTLYEEVVQYAVDEHNANLNNSVIFTLHGERGMAIAVQQGMQHAFKYLGAGVFLSTIVLFGVLLFFSRIFSQFTFCRIVLLWITAMIVPILSFLTSFAIYNFLGYSITPLTIFTPFLALIHGYYTVIMLTHTWLSDSELRRDSRDEHLLEVFATCMPSLVVTASPAIAFIVCSVHPIANYASVSFLIGLIMAFTIVFAIFFFSPAVLIICPAQDFTPLPTNSKQVVKPTLKKVESMRDCYCEHVDKCKYVKFVTILGVVALLVVPVYIGSITVEGNLDYRQLLKPESPKNYGVHLMSDVVWPTWFSIMFFVNKPPNFSNPKEYGRFKSMMAEIEAIDHKLPQSTDMVWINDFCRHTNAHPNDDALNMTRFKSFIEDAIYKSWRDGVKFKFHNDTEPEITSMLHIVTFEGTKSLADKGRLFEKCRAVTNKYPEFKTTPFDTEIGFADIIRQAPWVIVIIPLCAFGAMFVVSAIIIGNLSVAILNFLAVCLLYASTVGAVGLFGVSINPFNVAFYLVAAALSPSFTTHFCYYYQQAMRINSSAEKRERMNEMLRKCFFPCISSVICSMAVFLPALICHISIFESVAFANTAFCTIGVLLAFFIQVFLNMIPDMLTGTHWLWSPTSK >CRE19205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:152366:153239:-1 gene:WBGene00058706 transcript:CRE19205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mxl-3 description:CRE-MXL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MJF0] MLTMSAAVGDMYFLNASMKMEQQKQYRKRHHSDSSDDDVSSPKSASPSMDDDRRAHHNELERRRRDHIKDHFVILKDSIPLLEGEKSSRALILKRAVEYISVMQSRLDDNQRCMEELRRRNELLEEKLLERDTSRSPSSGSSRLPSLGGTQIGATQIQMPQLTMPVAAPIQQIPQIAQIPQIPQTTNPLALSTMDPAQLNSLIVSSQDAIIALTQSLIGKIQTTSPTLPESVSVSPPAGLYPLAFSPMEQPMAVRF >CRE19122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:160603:161634:1 gene:WBGene00058707 transcript:CRE19122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19122 MCCLTMRDMFFLLENSFGLEKAVLKKLLAIYSGILCSTMSMLAVQYLYRYWAVFDTTKMKYFEGWKVIFWFLFSAILGSLWISGLVFYANPAEDSIQYFREEISVRYKRNIEELPSLIMMPYHPVDGSIRWSAWDLTFIQTIISSVQYGVMIFCGWSMYTKMDQTIETMSKNAKQLHQQLFKVLVIQLAAPTIFLLTSLSFIIYLPFLDLELSIPTGSLLCSFTIYVAIDSIIVMTVVKEYRKSTRKLLLDSLIKLQKWLASDNGESGPKTTTFRMEQLDKI >CRE19206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:161916:162657:-1 gene:WBGene00058708 transcript:CRE19206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19206 MLKFGVSAALLLVSIHAFPVTQQQQYPQTAQQYPAQQEVKPDQSVPQLIAHHGYVYGPQQNSPQLSQYPATQPTPAATPTPDQTLSQMPLEMKQTAQTLFSDPDFQMLARSLQTGTGSIGYSNPLSARDVPPCSSDGRSVTIDHLRISPESLVQIARLAQAFGTNPDWKKLRLADMKSALGSQMHPTHQYAARSIGL >CRE19207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:164094:164802:-1 gene:WBGene00058709 transcript:CRE19207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19207 MNSSQRRSIMFGEKAVIEMDIDPFVSNLDTPADLKVPATYTGPMLSTQLATPTMKKRDKNQNTHKDDEKKENNSSEPDEPAAKKKC >CRE19208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:168799:172266:-1 gene:WBGene00058710 transcript:CRE19208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gem-1 MTTRRRPSMRTRMKVEDVSSWVEHNQQPPLHVRFEAPPAQDEDDNKTGSTDSLTEKPVPLDGGYGWVVVLCSFIMHAICDGASFCFGIVFVMIQKYFQASRVASILAASLFLSLPLIMSPMAGTTSDVLGCRMSIIIGGTICTVSSVISIFCTNIWAFTFFFGFGCGLGMSFIYNAAIVIVTYYFEEKRATATSMAVAGTGGGTFVFPMFLSLAVFLFQRFATELQAALIAFSIAYFIIVLIGLMIRDVEWDSDSREYKMRKFERNAKFLSEQQEDNANKKEPVLRRCNSLPNLRNFNCDIGSIQSICEVANAAGKVEMPARSKSVALFDNQPPMPTIPEYSMLNTRLANLEHLDLEYANSPCNTVRPQRKRVVSKTSMSVDHINELDDEDFKVNLFQSSSNSSGDDGESSSDDSEMSNDGDSSSSELSERQLDEPSTRLLDNAVSTVATRAASSAPTSARLIRNSLAPGNTSMSGGRVLASNAIQPRHPTNLLTMGKIPSAPMLVTRKKRKSFFRGQKSVVTRIKDVELPIYKEILENRAYRYFLVSVFFLYLILDVPYVCFYDYALEHFQLSENMASALYSVIGITNFCSTMLIGKTADIVSQKYVQFFYLGSMVGVSATLIAATFVMNGTQLIVCAGCFGLFVTSNYVLQSVLIVDAFNNDMNLFQNAYSFVSTLEGIASLIGPPIFAQIRELSGSYTLVFFIAGVVSLFSSVSFFFFIRETHNRDNKDEDLERGETNVSGEPRCQNGVGAQAEVETLLDV >CRE19124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:184947:187780:1 gene:WBGene00058711 transcript:CRE19124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19124 MRCNTVAPSVPSDLRLADVAKFIPSDVVTKKSTFDNVPLAERSAKRKPKQYSISLCKDISEVKMEFENTSNYHSWKIMEHSLLNSWSSPLFASGTPSTTNTESLFGILSTKNIMLHTVKFVEALRVICPGSIHTVTIDSGLFNTNDAFFQTTENIDILRGCKILHIGDLTDENVEKIKKCKNRPKCDWFLRNFIVAEELIVHDIYYNQNLNAHEIENLDKIDITRKTMRPNEILKCQASEARIGLREFSHDNMNELIVGWLSTSSTSLHRLSLWIENLDEWNVRSLFKGLVHYSSDEKCCILTEGTKNDYCKACTMQTFETVGIDFRRHDGACATLFFSTGTYYTFALVFIYPGESTDEHWWKYSPIIEKGEAMKNEFYKNYRESGPEVPAHEESYPSSLFSYARRSFNKMRTIERLQASLKNWEFYSLRRTYYNVTRIESKWHEGIKERKKYGYLALQ >CRE19209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:188224:189544:-1 gene:WBGene00058712 transcript:CRE19209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19209 MMRLTTCVLLAVYATFGSAQENGVDFDNPGNCGTEASNWKPCIERKVADQVFGSCCSRFVPPECRGLCIYETNAIEARVVLMHTIQPSRCRLYKYLPAIVHCAAQTHDNSECCRSNGVAELGEQCVQMCQPQSNPRRFWGVKSLRKDMVVCLARWDQIMQCHQAGLRARKVPRVNA >CRE19210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:191789:194023:-1 gene:WBGene00058713 transcript:CRE19210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-2 MNRKCASLGLLLLLAAFWGSSTAGKTAKECVFNGTFVALEDNCTRITGSLMFTGSDAINTLYSKLAYVEEINGCVQVSGTSYTRLDFFARLRTVVCTNSSLSVDFMVANNSALERLAMPVLRVSRLGLTFNPKLCITSEEGARYTNVQRGAADNFAICQGRAGVLKECNSTQNGMNAGLPDGCELIVGNLYVEGTNNQNITDKLQYVKEVYGRVYIRSTNLNTLAIPLLEKVYASEPIASATLEPTILVASNTNFTKLQVPKINFMAKNELAFMTSDVSYVMDQDLCNQLSPYGNVMSNGTVCVAKHTSDPKLWSSIIASLAIYLSVVVS >CRE19125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:197745:201463:1 gene:WBGene00058714 transcript:CRE19125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19125 MDLVTRFVLTSSKSNHFQSEEIMEPKQEGTNPIRWPEYSDCQFEDCADCGLVILGSMFTCNLCDNHHICASCYNDTIVEESIHSKKSQNLPAEHPHMHHSYRLDMSPFGKLAFPVHDNPCASCGSKVFPGLRYVCPEICPDYGICATCFIKKKGTDEIELFEAVQANPKLEKKYQIGAAIVTQKYYGIGLARLDWFQICKDDEDQMKNLGRKIGFAVYQYPTNLPQEERNSLNPDQLEELMKNIEQEANREANNNNTKSKKKFDSKFIPEFGYTKEQEEHIIKLRDELFDINRRQKKYKEGESNIVETKFVFVSFFADGSHSNILPLICLRISDTRTMYVESTGRAYDDWDDFLSKNKLPECNILYPYDGLYEMEPGGILNLRYDISRRSKLPSKIAKISDRSAMGIGIAATIGSVIGLFTPLAPVAATTLLYTAIGTGVYTMARSGYHIVDRATHNENVNPLRSRENFVDWLAVAASLASFGAIGGSAYLTVMATQGIEVSQAIEYTVNAAIFANFAVSGIALTASGYNIFEKVANGERPTPLELFQFSTSLLFFTNAAVNLQTAERLIQQTTQEKINDYRDGLKTAEDKQQFDNTINENMKKTEGQAGNSKIKANLETIKDIHKAMLADKHVEAHIHEHELPKKSKPMPSSSVPKMQAKQLSVSDKKIVEEHLQALDAKGLAKLPASKQKITTYLNVGWDDIAAKVKAVLSGGAGGAITEKLIEGKSKKVVTIDNGDEGFMGAKVESIPNGVSIRKGVIDRIEITLNDAGEPIPHFVEGTIRSGTEQSVVRIRLENRGALGNIRAKPKVRMYKENSSKGVEIDDQELSENYFMCYDWS >CRE19212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:204448:206383:-1 gene:WBGene00058715 transcript:CRE19212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-13B2 description:CRE-CYP-13B2 protein [Source:UniProtKB/TrEMBL;Acc:E3MJG1] MGILVCLVAVFAVLVGYFKWIHTYWKRRGIDGPVGMPFLGSFYDLSDKEKPRGFVINKWTKQFGKVFGYYEGANPVLVVSDVDMLQELFIKKFDYFYARKTTNLIHGNLECSQEEPRINLFSARGARWKRMKALASPAFSVKALKQIHDTMENSVLCMVDHLTKHENGSAFNIHEFYQEFTYDVISRLAMGQPYSEQFNNKGVSIVKKIFLRNNRVLPWYLAVLFPSLENTTKNLFFNHESVRGGDVGQLLNFCEVAVHDRIKERVENKKLGIDNTQNDFIDMFLDYYTGEEIEDTQYGTTVEKKVTSEDVIGSCFVFLLAGFDTTANALAYASYLLAKHPEKMRKAQEEIDIVCTSENISYDEVTKLKYLDAVVKEALRLYPVAWFACSRECVETTTLGDYLIEKGVRVEADVTALHRSKEIWGDDADSFIPERWFSPTPRHNMSWIPFGAGPRQCVGMRLGLVEAKTALAHVLRKWSIVAGPETEEQLHIQGCTTTSPEKVTVYLETRF >CRE19213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:207333:209305:-1 gene:WBGene00058716 transcript:CRE19213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-13B1 description:CRE-CYP-13B1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJG2] MDIVSCIVLCLIVLIVGYFGIHQTYWWRNGIKGPRGLPFIGSFYEVADVNHPRGFVLHEWTNKFGEVYGYYEGTIPVLVVSNLGMLQELFLKKFDNFYARKLTNMIHGDMESTAEEPLVNLFVSRGSRWKRLRALATEGFTSKSLRQMHDTVEDSAINMVDLMSKHEDGAAFNIHEYFQEFTYDVISRLAMGQPNSELFNNSGIAITKSVLLRTHRVFPWYLAVMFPKWQYWIKKVFYNHENIRGGDVGKLFMYCAKAVTTRMKERAENSKLGIENAEQDFIDMLLNKLAVKIEDTEYGTSIEKKATVEDVVGSCFVFLLAGFDTTANSLGYAAYLLAQHPEKMRKAQQEIDNVVGTGNVSYDTMPNLTYLEAIVKETLRLCPVGWFACSRECVTPTTLGNVKIDKGVRIEADVMSIQRSKKIWGDDAEEFVPERWIDSPPPPQMAWIPFGAGPRQCVGMKLGVAEAKTALAHLLRRYDLLTGPQTEKELRIVGCTTTSPEAVTLYLKLR >CRE19126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:211392:213484:1 gene:WBGene00058717 transcript:CRE19126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19126 MYSNFCSSIVPLPFRYEKIISLYMFRTAHSVQKPHHSSVPIPIFSKSTYYNSIGHEHSLARRILSTYWPIPDAVVLYKMYVISRHSIILVVLVIIDCLLTFYTCTFELVPQDFKILNLSVKFSETMSDLIIDNVPLTKEWLADVVEKKIGVKPTIGTINLILQSGILDNSELGYMSMIRKVELHFNAEQEENHPNLPKHVVLKIACSAKGSGVIENAGGEASSSEDAAAVEQFMHNTECDYYQVFAKLTEKPLKVPTIYAAVKAGEKEAPVPVIVMEMFEDCKVYDLITGFNEEQLYKIVDELVKLHIFSLTTEKWKEVERDPTMIAMADMFGMMVKGIADNLAKQPGLEIISTFVRNTFEKDPKFLQTIGDEYLEESGFCCSINFSFFQYIKFSERTSVMTHGDLWAPQILWDKEDNIAGIIDWQITHRGSPMEDLHHVLSTCTSVENRQKLTKPLLDYYFDKLSTALDARGVKMPWTREEIDEEYKHCYIPGAALTIFANGFWANSPVLQTDGKPDIARIGESFARCKSYIEEVVKEHNMS >CRE19214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:213995:215126:-1 gene:WBGene00058718 transcript:CRE19214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19214 description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:E3MJG4] MYNVVFVLGPPGSGKGTICSKIQQNLGYVHLSAGDLLRAERERAGSQFGALIENHIKNGSIVPVEITCSLLENAMIASKDANGFLIDGFPRNEDNLEGWKKQMGGKVNEQFVLFLSCPVDVCIDRCLHRGQGRTDDNVESLKKRVETYNQQTFPIIELFEKVGMVREVNSERPVTEVYDDVVKVFATANQK >CRE19127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:220283:220639:1 gene:WBGene00058719 transcript:CRE19127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19127 MVSYPVINPIFQINFDFQFFRALLALCLVSSIGAVTLAICQNYCASVNGGASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGSCMTGNNFRCCLATTPAKTQEFKVSGCNTLYNNL >CRE19129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:229801:234020:1 gene:WBGene00058720 transcript:CRE19129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jmjd-3.3 MTAEKTQKILNVIESSDQNPRNETYLTGSSPLGYISVAPTAILDSRGHTKKRSQRSSSGRMGQPDAKRGKVLETRHALLWYHAKCLKDAVERKECVTVEQKNIIAASYEEYEEFGCSSLIGKADQDYQEMKKILMRLTQASTKRSQEDVEVPNVEEEGREEQPNDGEQPGEESFHELEEEEDKEESGEKEDTEELPSRKPSQKRRNKKRNNYYARFPPITLFTTPLIDQDLVNASGEQVVELASEWKKTRKNKVYESDVKVVQDIYNEFNTQFAESKGFDVKYGEEEGYAADMTFFEIKSEQDLMALRNEILESSACLIRGIPEALKMDLDKFSCEALEKIAGNQKVEILLQTPQSSTENVEMKYGKSLGWRTSSSQIIPKITYSEFLKSYKEQKKIYDVAVDAIINNPENKDQLIHNYIADKLESQYKYDRKEVTFPVAPFATNIDLNNADNCAEQIVEINKLVEFLRPKEGMMKLVKDKILGVNEVQCYVKSPGSRTPAHQENQLVASVNLNMGPGECVWICVSMAYAAKLEKLMNKKKISPYHTKYWPTEQDLIDAGIPYQKLIQKAGDLIYVGVGTYHWVQANGYCVNAAWNVAEMSPVQLAAVAYSHDNNLELQYGTLLPIYDVLLEIAQQEGHPELKSLAKRLLIRPLARAIWEWDYCEEVLEKCPEPVPSEFVTDENRCWRKKCRRNQLQNIFCVQSEAKVGLRERLRSLLQKDKRRSPGDFRQLPIDFWFRSAMQLSSSFLSQPSIFQSNVPFLSRQCFPSNSNVPSQNVFQMFHSQRILPKSSASVNLYVLLTCSPTRSSN >CRE19131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:240970:242391:1 gene:WBGene00058721 transcript:CRE19131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19131 MNLDQQKRSIIGSKLITKFCLTDSAHKELYKQAPLLHCWPCFTFKFNFQLGMFPKPIVDFCSLIFLVGVVSSLTTNEDIFNQSKGLVRCWELNQVGNHSSGYFLSEPKWPFCTYMPSEKNTFFSAGAGDELPENELHEFIRVFGLTDEKYGLATICFQEVIQWTAIPYPPSVAVRCACKRDGCNAPKEFAVFLDFNKHPIPKI >CRE19216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:243801:247551:-1 gene:WBGene00058722 transcript:CRE19216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19216 MIDPDQTLEDLVTSGLNLPRRTPTNQNRGDSVIPGSNLPDGLSMNQSRRDSTMSHENEIDYEMMDIGQTNGEDVVDHHMDDDHMEDIDNDHFPEDNQSESNSQEPPVEEAKKKTTRKRVSGNDSATPNKRRNTGGRTKKPKGSESDDAAEGEAQNEKNQTTVVKTQSGRTVTKPQLLTIKNDEKRRSATKPNAAAPESEKDDVETAKPCKKATPTSVSAEKVRQTELIAQNNKICAHNHMQQYLNKCSKDSPGYKRAEQILKKYKERPLPFCEIPISAVHPDRHNYRNAGDFLLKMVAPVPSNFSQASNLKGNAQKCPELLQTGKLFVDCWKDSAWKIIKLAQEYQNTGQNKVYESQNKVMFPEPSLLGEAKEDDFFESHGYSKPTTGDEHEKKRLPVTVIKSTKEIKDEDYTAFFSHCSIAVVEDFGAARGIDDEKFSLESLSKLIDGKTEVEALMTIPEKSDSNTRSFYNVVEGVAKDSGWEIQNIRRTFSLEKLTKYYKDCERISSNACERLVEKPYDHEEIIEELIKKHLDVQIPPPDQFPSGAIVSSFLTNLDLPDEVLEILKPELDKFPDCFNPSSINNMLKHVDGTLNGIKTPQIYGKIYGSKTPGHCENGGVASINYNAGKASAIWYAMNFEFIGEFLKFMEDKGHDFYNSAVMPDEAELAKRGIIVTKFEQKPGALVYVGPGTYHYVHTAGITVNVSWNLIPKTFTQMAVMALFHDHNALKNYESVIPLEPMLWNMLEQNEKFDKLTLRVFLAMLCRYVIFSDEKSIFSLISLSNNCNIVFRSLAHCTMEIDAAENRGMNIEFLGAEKYKDSFLGTLRCSKKDCRKRALFNLITVDKSRNIHCVNCAKATDKVYAKFTLTQLAARYEQLKEDNGLNAPLK >CRE19132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:249941:251503:1 gene:WBGene00058723 transcript:CRE19132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-8 description:CRE-SET-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MJH2] MDRNNVVNPSKNSQRYQNTKPYEMNHNVCTDHPSRPVDEICCYCGKDEGKHREDFENQKQRPKIEDVLIRCGHGSEDNGKQCNHRMHLSCATFAKPMMNFNTQYLSLKQNNNAVWCSDHFCEICFGEGFQQTASCGELLHDKKTIRAFHTNCRPIGSKMLGGSKIELVKRPTNYTGDHMKLCGLCGKSGGKLQKCKSCIQSFHLRCHQTTSGSHDRLTTCRDCIFDVQIRANEKTFLLDQGVLEVVTTCKDSETNLPEGVVSVLSERHRRPINVQRNCLYTPPQEICHTVFKSWKQLYKDHKDLPAVSKFLQNLHEYWPVVQKPQKKVIESYDLHQSFVKFLKKNKQEVPDFKPKPAEENKLVKIKHFGQKGYGVVAKKTIKPGDEIGTYYGEVITIEERERRKTLSIISKDKEAKHYCFKAKIDYTVVNGAKRCNYKEDVIIDSSCYQNETA >CRE19135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:260023:265215:1 gene:WBGene00058724 transcript:CRE19135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19135 MGKEKIEIAAEFLSNYSSEFFNCLYCGKDEASALKFLHHTKNCPSRAHAPGDSQASTDKMAKKWAEFRLGFNIWRKISNIAFEEKIKEEMECYCSDPNEEPDLTCSARRVCVYKNQAESILEAIKDSYKTYLTLRTQLNRQRDFSSIFKKKKQLKQLMKENEASDEPADKKKNYEEHLNSISYDISDALLTNFMEDVRSTVHSEEETYSQLLKVINLLKTNDLENLQKKDEVDIYEGGVSDKEMDDADSDRRMRLSVNWVNQSIRKMWRLSKDIDKHTVATLQAAENAIEDLNIDVLQVAFFNFQQRIRLANDIWTSAVMTMRKCQELYETEYYDLVQFGVQFDPTPVPEPFLSPSPPIFQLRHTVRPLVKLRFVLEKYYNYQLRDVDTIKKCWREKIRKLKAGAPMKKEDKVRFNLFQGLLKQILAFLDEYNLRPYRSMGTKEKFDEVGSCNFETMLFQVGEVLHPEDSIITDEDDEAIEKWIAPEIREPAYSIVEFQNAQAAVKLTLKKKSRKRKRKAAATDSSEYDDVSGTSTPDDPISKARFPKIRRNAMPPTATGLLLQLAERAKQNKELEEEKSAYRKCKINYDEFEDDPMAYSLLADEPAREETVVTRKAEDPSNISLFDGTPKNSPKRKFVSKNNSILVTIEHPEEVRKSFRNGFKNGSQSSNSYEQMDSVEIGGVGQDKQAAIDKKTQEELDRLFAEELMREEIAASLARNPVHKYFGVMDHREFHGYPVEEKKKHDLDKQHLKLLAKSKRFKRAEGDYLAGNCLVSYRKENAKNRTPELIMDSGKEMIDAQVNETMKFILATVADSHADVMVDQPGEDHQRKLPLRQLHTTPPTPLDSNRTATFS >CRE19136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:265854:268562:1 gene:WBGene00058725 transcript:CRE19136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flr-1 description:CRE-FLR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJH6] MENENKSERIYLQLYDYETKEFSGLTTYHGLVRIYNSNTWPSRIFWVVVVLSCLSLFMIHVGLSFPEVTICNLNPLNMSKVREMRISSLTLSYILKYFNEIVSISLLFVFKSIMLESQTKSQYSANKIQDEKFKNYLSQYKNGTGNNFDVQDFLKTVSKTCQETFVSCSFGKEKMENCCEHVRTEMTETGICFRLSNKNNAYRQWYSGNGFGWEFVLNGNNDISEDYEQLDLEFERGFVIMVHESNKYPRINSYGFAVSPNSQLHAAIAMKNISLLDKANWGSCSKGWSHNETTDFTYTANHCEIDCKLRKVQKECGCSPLAYSARHSDLYSSRVCTPYEISQCFQKLRGTHGLWEDGCDCPSECNLLEFDVTNSYSDLDGRSRGFSSHQVQTDISHVSLYFSRVAYERIEQQKQLQTADLLSNIAGSMGLFLGMSTVTLLEIFIYLFKSVWGTVNSTRQQQFVDAVAEEEKERAQSIVIIQNGGNDEDPKAPRFPGGDRKMSGNSIHIHLDRRNSRMLRGGDLFSAPRGSVSIPSQLLSPLSKHNRQSISYGQLGRKVSAMGLSLPQAQDNVESGTGPHPPKSPIRRCSTSTTPNMLTRKLSFASQHSDPAQPVHLSRKVSTSSIFKSQLI >CRE19137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:269954:271580:1 gene:WBGene00058726 transcript:CRE19137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19137 MSSQPASTTALRPRPLMVLWVSARQSTVPKEDLRDNFCEANNSSSDSNDDVKDDSFELLEALPAMQHDMMLIDINDTRLQHLSDYTGIENLSASLFTSPAFPVDGVIFGPNHRLMICLNCRRAKQPDAPTIKIWFLVDSGSNCTFLDEKTITKLTGSDVIPSAIPVSIQDENSVIECNLSHSHFKGANVLGMRALLDLEVTIEGMNGKNKSWRLAKQ >CRE19219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:278546:279313:-1 gene:WBGene00058727 transcript:CRE19219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19219 MNNFALNLAALQNLPEGDPIAANNIIRATAQDTLDQVYQLGITNGFLAGMMPPRNENADVNPLQDTVRLLIDTLNQQNQLFRQEMTEHRNKTVGMILEVLAFMFQEQEENRDVIKEITQSLINRDRPRPEAIVIPEALLRNGTHRAPLCNYHTRQRNLRTPTTGTRKSARLAQKTGVPTIVRTEIAPNPTTGRKAVPKKKSTSNQRK >CRE19138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:283158:286570:1 gene:WBGene00058728 transcript:CRE19138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19138 MARELNNHYLQNPVMDTQSRRVFSLQDFPPISDTTQVNNHIGNNYGGNNFQGNGINGSSNNSSLVSNNYQNNHNVIPTYFGGPLMGQPVEQPQSIKGHGVLTWLSSKAGLITTADGTVISFQSKEFCDQNLNDLLQVLRVGFTLKYHAILTDGNQYTANQVSPLFGEEATEVFKNSKEVDLEAANPNPPNAKDAYSSALEKTAYHALLSTFQRNGIHKIQLSSLHSQMSNYGDDKLYRYVGSSSMKRRQFVERRTHVFCLQNDDTITLQYPAVYQCVVLLSSFLLRHGGVSSIQCLYDFYASPEIPQNVKDHVGYDRQNFLNLLISQNFAFAVFPSRAYVSARRNLPDFDYCEFIEQNFPMLAAPIPMPYEQQMQQQPQLHQPLFQPHHPRGVQRTMSVPMGDSYGMTGRPFNQNSSVQHRPHHYNNQFTAPMPVNSSYQAPAPVNGSRQTSPHQNDLWRSGSRMGGWLNSVNSVSNAQTRQPSRVGSPSGLDFYNDNQLSSEFGNLLSLRQNSGKISTGSQVDDSRLGTEGCTCECTCGRTSVNDTSSTRSANAGVIGSSRALPTILPGVSNLGLLGNRPLSISTSSSDDQPQVADSYDLFVSNDILTGNFGSLRFGNF >CRE19140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:299474:301448:1 gene:WBGene00058729 transcript:CRE19140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19140 MAAMCPVLVIKKNFAELIKAVSRYGLRLVVVGRSTAVDSNNEYIPLLQQLHSQRSWRISRFLSYLPASFFYFSAHLRSEVCLPCGMSGVGIIAAGVAKGNADHITVSGHAGGTGASSWTGIKHTGLPWELGVAEATHQVLTMNNLRSRVVLQADGQIRTGRNVLIAALLPSDEFGMSTAPLIVLGCTMMRKCHFNTCPVGVVTQDPILRVKFEGKPKHVVHYMFMVFEDSPSLVSRSCTKPENGHNKIFDNEIITNLLSVSESQRRSQRDMETFLAKRVSDYVGKCISGGKIVVFPRKRLIQVGGKLHHWKRRFVRSYIWKRLKLVEKTETIRTIECDLCILAMKFVGPEKTVFEELNLKTEPRSNILTPTDKYDSEIAKVFAAGDCRRGTICRCVGYPRRRQAARQVDEHLMGKTTLCGPGGIVSAPIQQKNAV >CRE19142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:305017:306453:1 gene:WBGene00058730 transcript:CRE19142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19142 MSTTTQPEFHKSHHPVASQELFTFSIYPFQAELTKTVSRSMGKDIRLIVEGHSIFPPPKNLRSDPALFLFLPNSSSIWSVRLQWKLGIPEATHQVLTMNNLRSRVVLQADGRIRTGRDVLIAALLGSDEFRMSTASLIVLECTIRFLQFSQQKGHYVAIRIYPELRPTNVSKCLHPRRKCQAKIDEVFSENGHHKIFDNEMIPNLDRTFGIRISYETPNMESWDGKDLLGAFLAKRVSITLEGDAHDYVGKCISGGKIVVFPPKNASYKSEENSIIGIVALYGATSGDRSYSAHERNLSLFYQFKLLCCRFLLFLIY >CRE19229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:338981:340556:-1 gene:WBGene00058731 transcript:CRE19229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19229 MNNTVNESSSDECFRKRDSDDFETESDLDEEAMAQKAARKAQFAILHKAYTKRQRLLDTDSDDDDSSSDECFRKSDGDDFKTDSDLDEEAMAQKAACKARFIRMYAAFRKHVRENQSDSDDDNSSNISKQKESEENKNNAGNQNKTIGGQKIGAVNRFVGADEVDFAEAAVLHVDSASKPIDNKQSETQSVNVKNRDRVQSSVNAIDNASGEDQADNPRNINETESDEHVNNYDSQNMGIADHQMVADHRFVEAEEVDFAEAAVLHVDLVRMPIVIKQSKTRSVSINNSDRVQSSVKDTANEIEEDQSQNPRNFLNLDQGSSGTTNILKHYDTKKADPIPMKTIIKKRVSKKSFKDEDPLYRPPGEKIGAVHPKMKSPRPTRSRGDAGLSKGLSNKKKHKVSNAVKEAMDLFYRHHT >CRE19230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:343586:345045:-1 gene:WBGene00058732 transcript:CRE19230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19230 MDHRFGLTFDQCWDLRAASLRKEVNPDDLYRIVLQKPLLHKIGIFEEGERNDPEGFDNSDGELSSDEDFDVEQDLRNSPAEDLDSDRDEDSDEDSDEDSDEDSDEDSDEDSDEDSNEDSNEDSDDNANENGSQNMDNEGQKIEAENILIGAQHDSGMRQEENPRNYLNIEQGSSGTPNVQKHSMKGIPRDSGLARKREISLERRRQIQSDSDDDETSVKTVEELSFDYPTASNTAQQVSATENIGVSFESSSSINTTGNAISTPNQPTHEETGHGQDRHPRNILTTEGGSSGGVGANQQLDESPAERKARFTKMREAFLKRQRQYDSDSDDDEPTAKISKQVVGLDDSTASSDTQKATQNDEIPQESSHSAIGREDQQENGNSFAEQELSEMADEPAGSPQTSQSSDDGKDSGIKSKNNE >CRE19231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:345984:346709:-1 gene:WBGene00058733 transcript:CRE19231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19231 MSKNSVTTKRNDRHTLKQYRFYDKSAIGFDEAGALADFTRIPQGATILRGTCEDPYPSTLLQPTNPRSSHCFSHPFGPITQQAMARGRQVLADRIISMRTQLKDLLAKEGSTRNWEHISIRIGMFCFFGIRQQQVERLIKAHSVYLTKDGRIPPSHQVNWRCIASSHLTFSICHNKMLITLYFWFSYSPC >CRE19151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:348735:349089:1 gene:WBGene00058734 transcript:CRE19151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19151 MSSYEQIPGEVHTTQPQPQSPFETFQQEEPEPPKETRFYCIPICICVEIMACCAEICMGIFNCPRGRANF >CRE19152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:355179:356554:1 gene:WBGene00058735 transcript:CRE19152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19152 MSEEESPPAKTPLQFESWSWAQNVQFYLDVPSNRRVKNPPFVYDKNVEYKYGCFKYGDKILSGPVPVSAPVLDLPPSVSNGHQRAPKGQGIASEQEEDESDSECSDDDLPYKAEIDEEEWKRDCEDAELWRKEQEQAMRNEIAKQEELLAKKRRLETSVPYPSYHPRAGNYKYQVWYKKGAPDGFQLDPAFEICKIDNNADSASHKRVKSDLKHLPVWFQLDPTFKAYKINNDDDSASHRMMKFALTLLPLMGIEISGESETVFHEHFILTRVGIDNMEVDDIFFRRCVERSVQHDPPLLLEIMEQFYFYSEKKGQKHPIAQFYPPSYTYEMIEYCEDRYWTEHQGELPGRLKYPQKRVTWVVDPPTPAEWKEIMDIKYSFIQPGGEMFVLNFAETKQELKCCVGFGLELAGCSEKTVEVGKPNIE >CRE19232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:357253:359473:-1 gene:WBGene00058736 transcript:CRE19232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-40 description:CRE-CEH-40 protein [Source:UniProtKB/TrEMBL;Acc:E3MJK8] MEEKKAPVQNLLDQLCKINEMPLDFDAVAKLKQSIRTNPLHQSIQSVLVEKKQQLFLSPQMIKEECVENEERLDNMLRAEGITDNHDNVKHEFELGGDDQDEYRRELANVRKKFAESMKLCQENRANFCETVKYVLHSHGEFRPITHSAIEKMAQIVSNKFSKVSELLKQSTCESVIQLRRRYFDARRKRRNFSKSSTQILNDYFAAHISHPYPSEEVKQALALQCNISVAQVSNWFGNKRIRYKKTMSKNEEEHREIVRNTAGRPSANPYNFGFPGMPNPYQMMMPGQPMAVGMAPFNFPVYNPEMMAQYQQSLQNNRRDS >CRE19153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:360591:361661:1 gene:WBGene00058737 transcript:CRE19153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19153 MSFHNDSSYGNPGIYRRSIEEIMYTPNRAAPAKKVFYDETLSTLKKVTRLKDKFENGTLNITENEPEQADNSDEGEDVCDGPVFANITTPLPKPRTILSIKRPQREVEETDEEDCFNPGVVETPILRTPSRQVSGSSFINSRLKTSQSDVKNMEYAIPESVDRYKTQSAGSYSSSASSTSSQETRCKTPTENATFNVNNQNYYSKFARAQVHEKFRMYFKWGSETLRAIAVAVSTMRLQALENLPLFEQDDRVRMMKKIYESCKKGANKEMDALCSALFDLLDDVSEPRLSIHEEYVVQKYNKKMQKK >CRE19154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:362284:363575:1 gene:WBGene00058738 transcript:CRE19154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-40 description:CRE-SRD-40 protein [Source:UniProtKB/TrEMBL;Acc:E3MJL0] MTLDVYRGFLQIFYPVFFVASLLIQIILMYLIFYHSPKSLQLMKVFLGNTCFFQILLVFVTCAAQFRMISTSVPIELRSYGPCRYLEAWVGYSMYQMLQTSAFMSGMSILITFFFKYEVVRCIELPRCRIIVIVLAFHIPIFISMIMEVIMIITQSLPDDVRQCYKLLNTNVEEFSVIGALSLKTLPSIINFALISGSVVLAPFVCFFYKNKILLRINSQLNQHSKQRKTLIQVFVKGLTIQAFLPLIFYVPVFALYFYCILTHAEILFQQYFMTVVPSLPALFDPFVTLYFVTPYRRRLKIWMRIEKASKIMPVITSQVY >CRE19155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:365002:366309:1 gene:WBGene00058739 transcript:CRE19155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-41 description:CRE-SRD-41 protein [Source:UniProtKB/TrEMBL;Acc:E3MJL1] MPTSEQYRAFLWWFYPNFLGLSLMSQTFVIYVIVYHTPKNLQRLKAILINTCFFQVIHVTVCFVMQFRQVSSYTPMEIWSYGIGRHLEAYIGYSLYHVMQTATFVSGISVVITLFLKYEAARVVKLKQTTRVLIITGILTPLFISITMEIILVTTQALPSQIRERYVMINANNTDHAVIGILSFDVVASQVNAITMSISVVLFPIVGSTSRSKILKHIRNTSDRVSAAKNAQNKIFVQGLTLQTFLPLFFYCPISCVYYYCIITRQEILFQQFFMFLLPAFPALFDPYITLYFITPYRNRLKSWMTKTKVTSLLTVVNSQLSNNNMPPQI >CRE19156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:366706:368179:1 gene:WBGene00058740 transcript:CRE19156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19156 MSSMYRAILSVLYPIFFIIVFPSQLLLIYIILRHSPKYLKTLQLVLFCNCVSQIVSLVLCCLLQTRQVSHLTPIEIWCYGPMRHFDAVIPYSMYYVAQAATLFSSVITFLTIYLKYEAASSFKQAKTLRVIVIILLFAPIIILSGAEAYLIITNALPAEIQEKFSTINIDSSDHSVIGYITLKTYSSVIIFVILCCSVFILPPIGFFVRRKILNVVTSNIDRNSSLKKSQSRSFINGLTLQAFLPLICYTPMFIYLFVILVTKSEMLFEQYFIGVFTILPTLCDSFITMYSVTPYRKQIRTWLGMEKTEQMVMVAPHSQMF >CRE19233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:368479:369683:-1 gene:WBGene00058741 transcript:CRE19233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19233 MYRIVFSFLNPILFVLALLFQPLLIYTIIRHSPSNLKSLKAVLLNTSCFQLLHATTAFLTQFRQVSNLSPVQIWSYGPIRHLEAHYCYIVYHILQTSAMVSSLSAFFTIYMKYHASRYIVSNNKPINIVKIILGSIILASVTCEVILIFIQSLPAEIREQYKLINQNTTEHSHIGIVDSSVAPSLINMVIINGLVFMLPVLAYFLRRKVIAIIAPSIDGVSAAKGSQSRTFLNGLTIQVLMPFLLYVPVFFCQQFTFFTKNELLFQQYCIFVCPAMSTVVDPLIALYFVKPYRKQVKIWLNMERKEMPINQSPSVFIVV >CRE19157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:373183:374357:1 gene:WBGene00058742 transcript:CRE19157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-39 description:CRE-SRD-39 protein [Source:UniProtKB/TrEMBL;Acc:E3MJL4] MLATVESFYRTFLDIYCPAFFFGTVAMQGILIYFILFQTGAKMGVFRYILGHTSVCQLILLFITISCQFRMISSKVPVEVRCYGPFRFFPAVYTYALHQAFQFFLFMSGMSIIVTLYFKLMTFNFTRMTKAAMIITFLLFHIPVAFSGGLEIYLVITVSLPKEIQEMYALKNLDVSLYSTAGTMKLQTVSFMNFLIMVGSVFVYPFASFWIRHRILKHLNHHLNSFSSHNKAQHRSFVTVSYSRTMIGNTFFFQGLTLQSFLPVLTYFPLFALYVFCVTTGAEIIFQQYFIYLMPTTPALIDPFVTLFFVVPYRKKILTWCGLNKRSSVGPQSVSTVMS >CRE19159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:377200:379071:1 gene:WBGene00058743 transcript:CRE19159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-14A4 description:CRE-CYP-14A4 protein [Source:UniProtKB/TrEMBL;Acc:E3MJL6] MSLLIIAFIVITVIYVLHFYWRVRQYPKGPFPLPVIGNLHQIPDGNLEMWFDDLSKIYGPCYTVWSPLPCVVITDYAHIKDAFVTQGETYTYRAHRPPESLLQPHENTGILNSSGDNWRLQRRTSLKILRDFGMGRNLMEEQIVKSIQEMMVQLEKSVDKKRAEIFWPIQLCVGNVINEFLFGFHYKYDDCDRFKKFVGVVDHHLRILLGKCSLCVSAFLWLRHLPIIGELGYHRIKRNIITYQSFIEEEVEKQVEKYDGSSEPENFVHAYLQQMKQSAHPGLDMKNLCACALDFWMAGMETTSNALRWHIAYMMKYPEIQDKIRKEIFDIVGTSRLPSMSDKPSMPYTQAVIHEVQRHSNMVPFLGTHQSTHDTELLGVKIPAGTNVLAQTWSVMRNDTIFTNQLSFNPDRYLLSDGKTFDKAVLEKTIPFSLGKRSCVGEGLARMELFLIFTALIQKYEFVANGPVDMSYNFGAVLTIKPYTCEMRQAY >CRE19161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:382546:384305:1 gene:WBGene00058744 transcript:CRE19161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19161 MSVLIVAFLVFITSYIVHFYWKVRKYPKGPFPIPVLGNLLQFPDKNIHIHFDDLAKKYGPCFTLWTPLPSVVITDYEYLKDAFVTQGEAFIQRSNRPPETLLQPHPNTGVLASSGENWRLQRRTSLKILRDFGLGRNLMEEQVMRSVHEMLTQLEGIADKKNVDMFWPIQLCVGNVINESLFGYHYKYEDAERFKTFVKVVDRHLKTAQGRIPLLIAAFPWLRHVPIIGELGYHSIKRNIEQYQTFIDEEVASQVKEYDGESEPDNFVHAYMKQMKQSGNQGLDMPNLCASVLDFWLAGMETTSNSLRWHLAYMMKYPEIQDKVRKEIFDVVGTSRLPSMSDKPNMPYTQAVIHEVQRHSNMVPMLGTHKSVEDIVVKGQNIPSGTNVFAQIWSILRNDSVFEESYKFKPERYLQKDGKTMDKAVLEKTIPFSIGKRNCVGEGLARMELFLIFSALIQKYEFVPNASVDLAPEWGAVLTTKPYTCQLIPQTE >CRE19162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:384828:386588:1 gene:WBGene00058748 transcript:CRE19162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-14A1 description:CRE-CYP-14A1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJL9] MSVFIIAFVVFILLYITHFYWKVSKYPKGPFPLPFIGNIHQFPPDHVQLWFDEMAKVYGPCFTVWIPFPAIVLVDYEHVKEAFVTLGDTFTYRAHRSPETLLPVHDHTGILASDGDHWRLQRRTSLKILRDFGLGRNLMEEQVIRSVQEMLVQVENITDKKNVDMFWPIQLCVGNVINETLFGFHYKYEDSEKFKTFVRVVDKHLRHLQGKMPLLVSAFPWMRHLPIIGDYGYHNIKNNISSYQTFIEEEVASQLKKYDGVSEPENFVHAYMQQMSQTGNPGLDVTNLCASVLDFWLAGMETTSNSLRWHLAYMMKYPEIQDRVRKEILENVGTSRLPTMADKPNLPYTQAVIHEVQRCSNMLPFLGSHQCIIIQIDTKTEFLFLISGVEDTLIKGKHVPAGTLVFAQIWSVMKNDPVFKDANSFNPDRYLQSDGKTFDKAVLEKTIPFSIGKRNCVGEGLARMELFLIFSALIQKYEFVATSNIDLSPEWGVVLTSKPYTCQLIPQQ >CRE19234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:387147:388236:-1 gene:WBGene00058749 transcript:CRE19234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19234 MVLLRNLCLTALLVAFATATIDCSLEDTCFGEPYDCDPNTECTSLFHFDTYGNLHLFLRNFTDPKGYAAFAVARHPDETIEYFICLPRQKQLLRAIAELGELVLVTENNLSGVVDSLEPSYFRCLFNAIELPIAFEREQFFFVSKGTYDEVLIILDGVQLYNLDRYSDSDEDIDEEETHPVVHHIGSPAVSHRVVEEARSPRHPRSRSFRRREEENFEEEEDRSEEDDLSEESIEEEHRPSHSKSKRATYNPEEIYEKEDYEVPKRKHNKRSPRHNQDEYELKTVDEEDMETDDDLYDNVKNADNSYFLSFCKIMVIGLMYGVLYEQ >CRE19235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:389193:392505:-1 gene:WBGene00058750 transcript:CRE19235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-32 description:CRE-NHR-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MJM1] MFTAEVNPSHIEILSHTEQCVVCGDAADGFHYGVRSCRGCNAFFRRAVTFNMSFTCRRGGRCPVDKNARCACRACRLAKCYAVGMDKKAVQPKREVTTSMSGSFDTNDTDYDLRLGGTRTSPNYELSDMTSPGSAFTPLNINLADFTPAIPPSPPNDCSLITRQVFDFSEQKRRRRAMLCGSLEEILSEQDMVLRSPASSEDFTTIFQAQMVLMFEWVEKLPEFRMLCDHNDKTKLLRAFALKYMLLDNVYHTYELGYRDRLVLVNNNFIVPGNPVNFKGTDVVDETLIREIMFGNRMLSLITELVHPISSQNMTYGEIMTIRRVMFWNPGNVQLSDMARALAAEVQYRPKLCHIYAFLTREGSRVEMEVQACNVAMKELQQYLSSEGVDDIDARIQFLLLLVPAFTTHHKTMYDIVRLIPSFGKMSDWNNFMDDVLNGV >CRE19163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:395192:396353:1 gene:WBGene00058751 transcript:CRE19163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19163 MRLSSFLSVQLLFLCIDLCHGFTCGENNTLCEVCKKFSETEGVHTYFAAEQCSIYAGVQDETGNYTIPERCELLFSKIHPTSCQLAVISDVDLLGYYIQYGIRMQDHSMLLSLERECCSSKWNWWSRLENKTTTAGMGDATEPCDVVQGAFVGFNLVRINPANFTPTGILCQCSNQVSFLPVVKKPDNATSVSILLAMTVTLGIQWFK >CRE19236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:396690:398057:-1 gene:WBGene00058752 transcript:CRE19236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19236 MSRRNPVRNARSTSRYSDLNEPKSEFGQVPDCNLFVVERILNKRTTRNGPEFLIKWKGFPETDSSWEPRKNLQCDRIIEEYERMAARVTGRRPQSPTYERPYETRRGYGFVRGDTSQPSTSAASAPSKQPHVDWVGKSVVHILGMTKAPGTMHFLCKMNDGSVTLVPDHIVHERFPNQSIKFYESRLVISSKTFAPIK >CRE19239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:403435:404725:-1 gene:WBGene00058753 transcript:CRE19239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19239 MNNPLASSKKIEVVKGDDATEGVVSKDETHVQELVAKGDDNKDASKGVEEQNHDPDFKTLDEFLKDKIKEAVAVSIDLRTTSPADLAKMSDQQREIFFSKKISTIFEVTTAHIHTFCNTVANEGIEHFEKEREIENKESYSYSELYTLQYVARNKAHTSAKAFVGALMAERLKQAGPSVDLAGPTHTVVRFYALARLEDHFDALCKKEDEEYKSKNVDPNGSPFDETEEQKLEKKEKEKKGDRRRVSYMVKVWNSLKVENEQMFRELKAAQRRKAQSEADRGFLEANIVLLKIRERAQMENARNREMSARLQQAVNEMNSANQITHQVIYPPLPPPDALLYPMGSTTRCVCKPGCQVQLQEPSYHILSPDDLAYWGITEERQQQLKRGAPRSPPRK >CRE19165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:411982:414873:1 gene:WBGene00058754 transcript:CRE19165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19165 MVRPRSQLWHYFVIFGLFLYIFCFTYTGSNWSRKFRESPKTNNEGLIMSEMHNDEYCVAYNFLVATDSFREDGLEPITLAIHGTPEMMKMIEKKPSNWDGPISLGLFVDFHSRQALEYISEVHRCDEEFRKKVTIHFAFRLSAFQDNCPLIKIASKNRECKEFLVNREKYRKEVAGSFQLYPSNLMRNVARRGAKSDIHFIADVDMVMSKDFAKKVKPIANKMIDGKNKKLLVVRRFETNETTIPTDHKQLQAAIKNKKVFQFHHKFFFNGHKITNISHWFNVSESTDKIVAWEIPYTSSLWEVQVILHRNDLYNADYFPARIKVMQSLIYSLCRANYTFNLLSHVFDVHEGIKLDDTMYSKSVISHSKKYGKKRAYERYVKEIDKSYPLTLKRCGKFVM >CRE19166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:415971:416852:1 gene:WBGene00058755 transcript:CRE19166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skr-21 description:CRE-SKR-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MJM9] MVVGQRNPLFKIRSSDGQIFVIQDWLIQKSKSFSVVYPFMKDSAQPLQTTVSSFILEKIIEWCHHHRHDDADQDYRLIPVWDAQFLNDNNGIVFLLIEAAYRLEIRGLLDIACRAVSITLGRSMNEVKVMLRVGEPEDVFNVDDELREDDEEDADMIPAIPAA >CRE19167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:417733:418185:1 gene:WBGene00058756 transcript:CRE19167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skr-19 description:CRE-SKR-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MJN0] MQVEQPVALYKLRSSEPQIFLVDRRTVAMIGRLEELFTTVGLDRIPSDQLPPIVLELPATVLRKLIEWCDHHKFDAPFDESQPLPAELPDWDTNFFMIRHTLLFDLLRAARHFDVPGLFSMCCHVVQQNPLEIMGGLFNDVPQERSAAAA >CRE19168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:418782:419288:1 gene:WBGene00058757 transcript:CRE19168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skr-20 description:CRE-SKR-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MJN1] MTTTKRFKLISEDGHEFIISKVALRASKFIVDKLAEAGITEENCETMDPILVPFHHVLVKQCVDWLNHHQCDAPKTRKIKYKHFSKWDKKFFKMESGELFALLNASHALGIDELMNMGCAVAAELIRGKNVEEIRKIYGIKSDEEQMEELLANGGEGTSTMTFTVEKY >CRE19169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:420627:422988:1 gene:WBGene00058758 transcript:CRE19169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asp-4 description:CRE-ASP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MJN2] MNRCILLLLGALLLVQGLHIHKKQQKLRTVSLKKQPTLRETLLQAGTFETFAKHRHGYQKKFLKAHGNHHFDKYASVKPLGEIDELLRNYMDVRAQRLCCLKSKIIFQAQYFGTISIGTPGQNFTVIFDTGSSNLWVPSKKCPFYDIACMLHHRYDSKSSSTYKEDGRKMAIQYGTGSMKGFISKDSVCVAGVCAEEQPFAEATSEPGITFVAAKFDGILGMAYPEIAVLGVQPVFNTLFEQKKVPSNVFSFWLNRNPDSDLGGEITFGGIDPRRYVEPITYTPVTRKGYWQFKMDKVVGSGVLGCSNGCQAIADTGTSLIAGPKAQIEAIQNFIGAEPLIKGEYMISCDKIPTLPPVSFVIGGQEFSLKGEDYVLKIAQGGKTICLSGFMGIDLPERVGELWILGDVFIGRYYTVFDFDQNRVGFAQAKTADGHPVDPAPRPYRSVFDDDESDESTEQDDQ >CRE19240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:423495:426220:-1 gene:WBGene00058759 transcript:CRE19240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19240 MSNNTNNNSTNNNSTDTNSTITTTTAEPANTTAEYLLQRCYESVLSNGQSDIVKYLMYFCGGWIIAWCIITMLVNICHKNSGHQRYVHFYEELSIILLAICMCILNFVFNHKSKVCKFVAIFNHFFMCLTAAIFFAEALFASSMVHGKSDKNGSVPWILYYLFPIVLAVAPTLATFFTQEKYYGTAYLHCFADSTVDMFWGFVIPVWVLLTIAGLKAQLACIACDRQLPSQDVAQCYWARRSVKSLVLISQYLFSIWLMILFAAEHQVLLVVLDLTSSVFQKIYVFILVTLMVILFGPALFVCHTYCHLNTCQKWAGNGCFASLYAMCPPKPVPTEKDEDDEDKPDAIPEKTLPDEPMSEKNEIPESEKPTNNDRPPGLYSPTKTPGPNELGPNKHTESQKFYSWLTDTNGSGKSGDILFRPSVA >CRE19241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:427346:430178:-1 gene:WBGene00058760 transcript:CRE19241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-9 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3MJN4] MSMLLYYFASAVKSIQFHVDDDIIDKLNYYYTTAIITGFPIQCWVPATFTEPMEQYTENYCWVQNTYFLPLHDYIPHNYAERENRQIGYYQWVPFVLALEALLFYVPTIVWRLLSWQSGIHVQSLVQMACDSRLLDLESRNRALQTIATNVEEALHVKHQVMSGNRLKLLNLIICTRSSGAAVTFLYISVKILYTVNIVGQIFLLNTFLGNRSKWYGLQVLNDLMNGREWEESGHFPRVTLCDFEVKVLGNVHRHTVQCVLMINMFNEKIFLFLWFWYFLLAGATLCSLFYWIYISVVPSRLLNFVGKYLTGIEGYKMVDSQSLRRFVFHFLRQDGVFLLRMVATHAGELPCYELAKTLWNNYCDNKEGKMHDV >CRE19242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:445652:447156:-1 gene:WBGene00058761 transcript:CRE19242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-6 description:CRE-GPA-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MJN5] MGAGATGLRGARLSPEERANSSKSRAIDRALSKDHTDDLNRFKILLLGTSESGKSTIFRQMRVLHLDGYAKEDALEYLSIIHSNCMEALTQLVNACSDFGIHHDITVQEDVNRFEDFKRKLRDPEGLVIPVVIGRCMDRVWQSSSLQMCYETRRFRFALLDSAKYFMDNIVRLTEDNYVPTIQDIVHCRISTTGINEIVFNHKKMDFKMVDVGGQRSERRKWIHCFDNVDMILFIVSMSDYDQLDPEDHKFNRMKQSYEIFKTIVHSDLFRHASIVLFLNKYDVFVEKLKTSPLRRSFKNYEGDNSEESARDFIKKLFRRCITDRHKFFVFETTATDTGNIDLVFGSAVAHIVNENLRSAGLHE >CRE19170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:449808:454253:1 gene:WBGene00058762 transcript:CRE19170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cwp-5 description:CRE-CWP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MJN6] MANATLLCVYGDASTVGATCPCDGITLDGSDQEHCWLVLPREGRSWSAGDDSCVAVGGHLGHPMDDNYGTIVSYAQKESSLPVLTGIVASNDGVSIAGALCQNNGFWEVISNTTGIFTNQSNLTATCTYIKPSTNGIVFDDCGIESNVLCDRPLEKQDYCSIMANCSSTTTLLSTTTAMSSTTSNLSSTSPTTQLSSSSSTAGGIASLSTTKVGQLTSTVTDAGNGNGNGASVTTSASTSTTCKCTGSDVTTTTTTAAPAGSCPQQTGCLKHQWKIFGMCVDWRAMLALLAIGLLLFLCCCICHCCMHACMFCTPARKEKVNRNTVVAPVPVLLPQKVDYDVEKSETVVLPPPAIQPEPVYITVPEKHESVYGFHTKEIIHVMPERPHTKDVATMTDILDNELPTFMPVPTTAPLMKRKKAVKKPKKMFIPATADPMNSIEEDIAAEIPIDIPDSPGARSVAPLGDVVDPVPIVPLNFARNPKPMMPEPKSHDDIPLPGQSSIALPPQQQKPNMSSDNGEFDPSGLRSPPIPANAKPNDAAPKRMTFSPIADESEEETGARIPSPPREPKASGGRSGNNGNNNGSGLSPNSAPENRPTLKNQRLNEMPSPTPAGNPPAPAKSPSSSNGGSSNPFANLGGASPAQEDNGGRAPGDDVGMRAARGRLGGAVRGGGGGGEAPSGWKPWAKSGARR >CRE19171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:457164:457494:1 gene:WBGene00058763 transcript:CRE19171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-3 description:CRE-NLP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MJN7] MSKTVACLVLLVLAVVYVYSAPHGSFRAKRAINPFLDSMGKRAVNPFLDSIGKRSFRPDAISEEKRYFDSLAGQSLGKRSNNRYELFDNYF >CRE19172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:464041:478266:1 gene:WBGene00058765 transcript:CRE19172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19172 MGHPTEKATNISAEDFSSSDPITPSGPHHQQSASEPGRVSTSTASAQTSSHPHNHQKGILNRLPDYFRDTVGWFIGRSRRSKERTATTGTGGTAVANAADRMSKRVASASLAIRPQAKSSDLMCVCDSIDGNTITKFTSQPVGIEKREWIAHNVLGLFEHVNALCGTLTEVCTQQSCPHMSYPGTSKAIYQDERGKRQVYPAMQYIDCVITQCETMSRQEEIFPTKYGNKFNDSFEPAVKKMLRHLFHCMGHMYLKHWDVLGALQLSPQCAMVFAHIAEMGRIFNLLDPKDQEQVDECVIEVRPILPIISQTLSLDDPDHPNDSDRSIRVPSSKSGSWGGYPSPAVLSCKAYAQTC >CRE19243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:480930:482677:-1 gene:WBGene00058767 transcript:CRE19243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19243 MAMNLQDRYDNELKRCYCTRRDCNFSCEVASLCDSISDGILKKSFIKEVEIAFVEQDTISGVLRGAHGDWCEMFKDNANEMDTHMCFIKNSVFRAAVVMQFIYNCTMGVYKSCRNRMLHQFLIDPFGRIIPENGDFVHRIITNPRFNFARLFRSSIRILNHEIKRIEFTRECVHEFVQFLDLQLPQVELTNQNLIHKVTLEGRKDLEKTLKRHTFLWKTYKVWHVKNRNFLLTTERMMACNLCKLIRMRDMHVLALNHWNDFSAIPDPLHPRNFENLFSKL >CRE19245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:492588:496701:-1 gene:WBGene00058768 transcript:CRE19245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19245 MHHPKETLLIDSTNPPYSHLIKYRYDNPTREQSPPTSLFADKKRVMKILGGFSIILVLVFLVAGSHGQVGKGCVLNAAASPANRRKFSGNISDACLAGVKSNECKTWRMTNAIILKNKTTMEDNCRSIQRFIDGTTSSCSAKSLIQYLYPGGDSLNECNFIYPFNSVALKRAISYFNHSKTLVRFSDQDNPGDGMFKLLDQVSKCVSKNPIKNDGAILNIFTDLTYGDLCESNLFMTSFFDLLLNFVDSQYIVKFYFVDQYLQDQKNHLENILSGCNYMNPRSFCEIVDRSKEDDLDEFEICLADNASDDKPSQFDWQLLLIIGIPCVSLTICCIAFFVCCLKCAKLKMAMMRMNVFSNDNHNPQTFELRKKWLGKRKQFKDMEMGSCKDLDKPAAWSHFASANNYMDIQALADANKKDIWEIDTKNLLVQEDHLLGNGAFANVFKGIVKGKIPLLVVNNSLNMTVESENNGHYEAAVKKLPAHADEQNHLDFFHEIDFMKRLGHHPHVISMLGCVSNPYEPLIIVEYCSRGDLLKFLRRHKEYVLMVCLTAFEFQIYLFIMLQNKTDDCPVEADMCLRIKDLVSIAWQVADGMSYLASKNFIHRDLAARNILLTKSLTAKISDFGLCRYMDSALYTAKGGRLPIKWMSVEALKLYEFSAKSDVWSFGVLLFEIFSMGDVPYPTVQQVDMLEHLLAGGRLPQPIKCPNEIFNIMRKCWAEKPEDRPEFNEIRGEVTVMLNLDDESYGYLSVQSEDGGLPKYTSLTMQDSKKIEKYNMNCLQETVPCSSAGGSQELDEDGDYDSGSETHSQSTCAQLDRALGERYGEEQGQEIKQIICEITSKSIKKPRQSTGTRNNSTHRP >CRE19246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:497885:500502:-1 gene:WBGene00058769 transcript:CRE19246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spin-3 MVNERRDYISIVVLFVVNLINNIDRYTIAGVLPDVQTYYNIGDSMGGMIQTVFLISFMIGSPICGYLGDRFNRKYVMLVGMVIWLICVCVSTMIPGHLFPVFLVFRSLVGIGEASYVNICPTMISDMFTSDKRTRVYMLFYLAVPVGSGLGYIISSNVADATGSWQWGVRVTGAAGVIALLALIFLVYEPERGAADKLEGKTAVRQTTSYLKDLKILLRCPTYVVTTVAYTALVFVSGTLTWWMPTIIEYSAAWTRGYPSIKKLPDDFKTQTNIIFGLLTTACGIVGVLIGNLIAQCFLYGWLGAWSKTKRAHSIGAGCGALLATPCLFVLFTCGHSSEILTWVLVGLSITGLCFNWSLNVEVFNQVVAPERRSTAFSYVTMISHMFGDASGPYIIGAISDDIKSSHVDSPEWDYKSLAYASMVAPCMMTLSTILYFTAAVLFQRDADKLEREMKSKENDDKETVYSIDIWGEDSLNQTSSKF >CRE19173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:502613:503002:1 gene:WBGene00058770 transcript:CRE19173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19173 MAAPIVSSSPPFNMDQINQINAFFSSTAGVITFSAIWLFCVIALILITGWLLTRQEEENRISDSAREREAQGHTLLNGSAVDPPVDIDLPTIRTNEEIGKYHRMI >CRE19174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:505748:510154:1 gene:WBGene00058771 transcript:CRE19174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snx-17 MVSSSAMARNYGEKPSTSSMEEDLEIERSMRNASIIDHQYEAEQHKDHRVLNAFNPTDKSTYSDMIHIDVPDTRQLVERSDGVTKYTAYNIHINGWYHGSVRFSHLYEFAELIKQKFSQRYKGPEFPAKKLFKLDPKAIDERRQKISKYFQAMVQYPEIARHYLIEKKLLGFQIDSFRATSQYVSLDIYLGNGEKTTIKCLVSDSTLEIMRILAEKLGFKNKDEFIYHFGLFMAKGRDPSAACYSVTPDNFNPLLTRFLRNFEAPFVSLSTANQKYNENGHYNFLCIRKLIWDTRIEESILDDGVFVDMIYRQAIQDFKNGHMDPIKEDLEYKMKSCIQRKDKIMFLRTCHLLPTYSYEHLSACTCDYPRPGTPCEIKFGRRQIVLTTNDGTGAPKASLFRATRIRVWRITQIMDKISFQFEYLMAKDTFEWITLDTNQSILMSLLLQSIGSEILYEHNNMSIEQQVMKEKQSKGNYVEKSDKLPRDPKKPIIVLKNAVEEADPLGVMEHYHNYNRMLTTISDGIPQRNQAFTDITNDDL >CRE19247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:510260:511825:-1 gene:WBGene00058772 transcript:CRE19247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19247 MYYDERHQYNGERYYPRQDPFDSPPRITHIHTTVHPYGGPTRDMDIAKTFDHEPFRHTDPKYKCLCNKMHVKQGCKCILAFLIIVAIIAALLLILNWNIGTWTTLIIHAILLLGIIACAFALVLAMKTEKEKLLLPVAGIAILGAIISIVFLVFTIWSLIDPSGATGQLVNNFVVSQNASHTDDQGLHENREEIETISAITLVIALAALAASLWVAFVVYKYYMYLKDMKFARVPKNQVHIEITDLKKGINQ >CRE19248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:515740:519762:-1 gene:WBGene00058773 transcript:CRE19248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19248 MARYIIPSVTISAIYGVYKSYWPDKNDKKKILSVEDAVKLLNVNANTSEALRYIQHTESKEFLKTLNPAAVALLARSGSELCMKIPIKSCVDQKLDVSEALSKFNLGDKWNSSIEWINRVACPEEDLSCSDEWLVRLPSQIERLRRMLQLLFLTTEKTFDVSAIDVDVIPFLFNVYAEFYRSNVDIAVLAMKILSNIVGADEKYAKSMLDSEWLPLISTMVMNGKSLMERLLSHKVCQNALSSLKSIDYRLPSDVYEMHLPDEEPEFDIVLIHGLRGSVAYTWRQKDSDENLLSTCWPKDWLPLDIKRPFRIIGLEYPSYIFHFTGTQQSLQSRSERFKEQLEIAGIGKRPVLFICHSMGGLLAKKLLVDSPNLLKNTIGVLFIATPHKGSPVANWGYSVFQPTEDVVMLSESNATNKKLNDDFSAVSKDIPVIVSMVETLESNIIANAKSIVVPNKSAVFEQGAVYHIADVHLNLCKPTRDSASYGVIINFLLDCFREHDKHPKA >CRE19249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:520348:525875:-1 gene:WBGene00058775 transcript:CRE19249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19249 MLARIKTGPWRGIVVIGCFVTYMMILCFTIMAPGLSPQDYFGVYQPVFGNIPDNVDLSYWDQCDLPNFDVYDDEIITMIDPNANPSWNCNKNFKQLTYLKGGAWGLVNKQPNVTCRARCHWRKSDYQNIIGNWSESPGRMNCEVVEATCERNKTNFYGYLHTQILPTPPRPPKVETKNLTQYDVTVILLDSLSYTQARRSLPRTISYMSNHMDAVIFPFINKVGDNSRPNGMALWFGKLMEKLDRSIFEEKTVMGDWTNEYMCNVFKDNETSMFQEFQNYGYKTFLAEDWAKGTLNYPNCKGFDKPPIDHFMRPFQIALEGKISALWVTKQHLSAKTMCREHHHTLLEYLGQFYDAYPDQKKFSWLWPSVLGHDTENGFSHSDNDFYNFLVQHRKQVSFCFIFYFTDYFKRKALQLENSFVLFMGDHGLRFGSFRKTSVGSLDVNNPFLAMSIPKALRNTTKLLDFMKANAMNLQTHFDTRATILDILKYQSASNFTETEVHQIPGEKGNSFFRKQPDTPRSCKTLPIPLQYCICQFSKVNALTYSTVAISIGEKISADINEQITEGNFTEKCIKMEFGKVVSLLEYTEKNNESTIYTVEVKMKPPSNARYKFADFTMLSRIKTVHTNRWRGIVIIGCLATYIIILCFTIIALVLSPQDYYGVYQPVFGNIPINAGLSYWDQCDLPDFDVFDDEIITMINPNANPLWNCNRNFKQLTYLKGGAWGLVKKQPNVTCRARCHLRKSDYQNIIGNWSESPGRMNCEVVEATCERNKTNFYGYLHTQILPTPPRPPKVETKNLTQYDVTVILLDSLSYSQARRSLPRTISYMSDHMDAVIFPYINKVGDNSRPNGAALWFGKLIEKLDRSVFEEKTVMADWTHQYMCYVFKDNETSMFQEFQNYGYKTLLAEDWAKGTLNYPNCKGFDKPPIDHLMRPFQLALEGRNTALGVTKQHLSAKTMCREHHHTLLEYLGQFYDAYPDQKKFSWIWPSKLGHESENGFSHSDNDFYNFLVQHRKQLENSFVLFMGDHGLRFGSFRKTNVGSLDVNNPFLAMSIPKALRNTTKLLDFMKANAMNLQTHFDTRATILDILKYQSASNFTETEVHQIPGEKGNSFFRKQPDTSRSCKSLPIPFQYCICQFAKVKASTNSTVAISIGEKISADINEQIEEGNFTEKCIKMELLKVVSLLEYTEKNNDSTIYTVEIEMRPPSDARYKTNVKILSTGEIKTLGMVERSNRYGKTANCIQSEHHRPYCYCKNNIIQKRTTKKA >CRE19175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:529168:531172:1 gene:WBGene00058776 transcript:CRE19175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19175 MGKYTKYLIYFPNGTNICAICQHVFNRQKDSCTTIYNYHFKKHHVNVWHEINGREPEPDNYQPVEKKPRELIQVVEPVWNPHDVQADTPDYAKNTEIYRSIMQLIAGAGLPISFVDNPVWRNFCSVALPKLEYKNENEFKKYELPTLYEEYKMKIVGELEEASSISLSFDRWIDPSNDYQYVGIIARLKMQSGVLIRVIGVVEIKPKNDTGEYLYEKLEELVKEYKIKRKIDFIVKDGSLNVECAARLFGKPSFNCIARKLEFAVKSGAEKSFQSTLDKLQKFRSIFDKSVEAKKEYRNIELSMSYFKNYVRHRWNECHDLFKGILMVQQEVDSLTTTTYEDWPKFTREDWTTAQDVIEVLKPIEDATRFVEYGRIGMTSSAIIPLCKVLIREFENINKLRDFCTVFTKTLVEELEVYNENEFLQMGMLLDVRFKGDFAEEKWKEHLIDKLMKSAAEGKLQEETQPNDSVKRLRDNPFHRFMREKRSETPQGTKRTQHEIIRAEVQIWFNEASDSEANPADYWERSTSREKFPNLYRLQQEYLHTPAAAPETVGHLPLNRTATSTNRGLMNAEDFSKLLFLQENIRITGLGLEFPPFYFDDNF >CRE19176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:532055:532635:1 gene:WBGene00058777 transcript:CRE19176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19176 MADSAGQAAPELGENGENVKDVVAAEEPAPKNKLADDVLKPTEAELLNPRIISPGNMTRAHHDIPCSIHSRFILEPGEAVYIKIQRKAFREIPGIKAWLEMRYMNAPRSGDVDKDWFIPSWGHTPATRSLKVKYSH >CRE19250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:533343:534483:-1 gene:WBGene00058778 transcript:CRE19250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-10 description:CRE-STR-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MJQ0] MTVSFLPWVNASHIALVGFHSSMIAGCTLTFLNLFFVSTVFGAYKYLIVIFTSLGIIFASLEVIFYPNMHSYNSATIYFTLNKPFNLIVYTGFYSATICLIAIQLLYRYWTVFDVHKLRFFQGCYVLLCVLYVLCFGALWAFGAYNFVKTDSIAEDYFRKEMLLRYNANMETLPSLAMVAFNPVNGKIRWGNLMTIVNMSFIMSIQYGIMIYCGWSMYSKMELKLQSFSVSTKIHHRQLFKTLYFNYHCNTNNILFVPLSFIIYLPFFNMELSIPTGVLLSGFSLYPAVDSVVVMYIVAEYRKRLK >CRE19251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:534909:538271:-1 gene:WBGene00058779 transcript:CRE19251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19251 MQNLPFGAFVVSGCMIVYVIFLGFASYSPTFVPENTYGVYHAIVGKLPKMYQQSETKENISGDTDTSNELEPWDKCVLPKYDVWDDQIIPYVNPDRNPIKDCDTSFKPFTALKNSSWSIVNKNKNLECKARCHSRKSDRANKIGNWSFTPGSVDCEFLEAVCSEDKKEVYGYLHSQVIPKPPIEKPNINTTGLMQFDVFVILLDSLSYSQGKRSLPRTLSYFTNHMDGVIFPYMNKIGENSRPNGVPIWFGKSLEKVDRSLFDEKLIPPDWSHKYFCNTFKDNETSLFSEFHDYGYRTFLAEDWAEGTMNWPNCVGFDKPPIDHFMRLPFQNAYERRNQGAAITQKHLKGGKLCRQTHNTLLDYMGQFTDAYPDQKKFGWMWAIDLGHNSENGFTHADKDFHNYLIKHRKQLENSFVFILGDHGLRFGDVRNTFIGALDVNNPFTGVSIPRILRRTTDILSIMSENAKNIQSHFDTRATILDIMKYQSARSFAETEPYQIPGEKGHSYIRKQPSTPRNCRTMPVPLEYCICQFNKTKIATNTANAEAIGKALALAVNAQIEDGNFADKCIKMEWEKTISLLEYEHKFRGATLYRVTAEMKEPSKAQFRASVKIVDGNIKVLGMVERSNKYGKTADCIKSEHHRPYCYCKNQKN >CRE19252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:539583:540491:-1 gene:WBGene00058780 transcript:CRE19252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-28 description:CRE-CEH-28 protein [Source:UniProtKB/TrEMBL;Acc:E3MJQ2] MQSTQISSTTPLVPSEVILPPQPPQNIPPEFKNTLSSRINLFEGFDQSFSELPNPYQPVIPLMGASSYYGSTGHSNHRSYEHSHGQTGNNETIHLRSQQQKRKPRVLFTQHQVNELEERFKKQRYVTASEREELAQCLGLTATQVKIWFQNRRYKCKRLAQDRTLQLTQIPFNPMFASAFPFGINSFGSVPSTTGS >CRE19177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:542763:546353:1 gene:WBGene00058781 transcript:CRE19177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpx-2 description:CRE-CPX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MJQ3] MTEDNISEEIMKRRVFKLSRPKALSLGAKPVESEEGFDRLTGEPVEPEMEDPDVIAARQEQEKRRKDKHRRMEADRERMRQQIRNKYNLKKKEEAREQEIAGRIAGNRKTPEQVALETLNAEEDDGIMTAINDTYEKAKSTVASACSTMKNVVSLWKT >CRE19253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:547513:548618:-1 gene:WBGene00058782 transcript:CRE19253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19253 MLHWQVFGFIAFLVGEVFSRCTGAHCDKFSCVSDFYVHRPLEAFQRPGLTFNSLCSCEQDWNSMFCNQTVTAVRENVVPLPTVCVCRKFNDHGAKCEQFMTRCYPRKNNECSCCFNQPSQWCNQVKCKNREPDFSSANTTCVCHHNPADYPYDICKNLFPRDSNFANRQAILENRERSQGTVEYVELLGKKVSTSVVSYIIVGLLLSVSVLTLVMLVLGGKRLRQKRAERQRQIRLARETLILQRADDDRYLPSA >CRE19255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:554627:555862:-1 gene:WBGene00058783 transcript:CRE19255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19255 MREMSLGTLGFFKQPDNATNGEKKQKRAPKKQFKECNKDIKQLNAAKNLTAPLAYMRDANSKKYKKVEQKLYVIDSIHDDLIEKKTEKSFQKLTNGKNTGHRSLKWDSGIQKFKEQPTEKEINEQVALIKRNASQLLRKRPLNISIFKRSHFHPFTDSKYLISKNHYEYSKMKSGEKVFFTGWKRPLSTAKGPTIVPTAKRQKTDEKCELANRTTRNVGVLLSNDENNCVSKDNLLSTKLNWQDMVNDNQVLDSNAEVSENTTFTYDAIDEFENNGEFNLLEFIDSSYENEDSTSAQFGNHVHT >CRE19256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:557803:558837:-1 gene:WBGene00058784 transcript:CRE19256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19256 MANVIQENEQQAPEVQVQINENPAIQHVEEHLQGQEKQEDELGMANIQIGVLEDDSQNVDNNQVQDRHEIEERVHRDAIPPQFDIELPLEYVNGIIRPIPIRPRNHYAEAHGEINFEVFQNAQDLPASPPPTPKPPEYVNGIIRPTPIRPRNHYREAHGEINFEALQHVQNLPVSPPPALNGVESMFVVPLFQSHPLGQSANNVTYNFIFPREVIAPMPMPMPFPEQIEERPFEAGHPNWYVGMGIPGWDDILLYSPPPPDPF >CRE19257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:579294:580055:-1 gene:WBGene00058785 transcript:CRE19257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19257 MASSSDARPPSNATPLDEECAICFGEIILRCTLACKHQFCFDCSKTWLLQAGNCPVCRGEADVNILNEPQQLNLKMGLPKGRKRAHDTQSGEEDDMEDVKPNVEELKAAMAKKKFVSTTNAKIFWLYETKTGGAWWRFSLLNEEPLEEAFKANLGTLDMEILGRMYTINFTEMTQRQKQYPDIVRNVKRVDEEEYDQLTIIGIGGCVQKNDKVNPWSVD >CRE19258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:586551:587474:-1 gene:WBGene00058786 transcript:CRE19258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19258 MDAEPRIEEVCAVCQDQKLHPVTINCGHVFCYKCIKSLIHTEQDRGIVHPKCPTCRRDVNVDVLWEKQQYNLKARLPDGFGQNIINGQVIIPGPQNVVNIPAIRRAQAAYVEVPDEILAAANQALRANNNATIFWLYAGSRDGWWRYDERDEREIEVAFQERRARLNLDFCGKTYVISLSTMMQYERENSRKARMIKRVNKTEFDQMDVKGIAGLLNPKVWTVE >CRE19259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:589062:589788:-1 gene:WBGene00058787 transcript:CRE19259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19259 MFKLAILSVAIVSVAAHLPALNTVQDARLQANSLLRCWEPMDEKNPSAGYALSEPLYTLCSYMPDPKEYEKFYVNGVDESSDDYTNIYKMFTDVAERHAVLNVCLQEGFQFHAETHPSQISLRCLCKRDGCNVPKHLLTFLDYNKKIIPQH >CRE19260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:597959:599006:-1 gene:WBGene00058788 transcript:CRE19260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19260 MVCQDIESLSVSLGGSMKWINYLNIARFTSKEDGGEEINLFISSKMNQNIVVLQSPEKNSIRAWFYFAKDDVPIASQHHVFVSSDNNEIEKNYFLKAVQWLKELVATYGLEDIELHVYMTEPPGHFEINHSFLKITEVNLYSDNVDVFRWLLPQLPQSLRYLSLNPLTMSCNQIVIPSDILSSPQVTNCENLFFACPVNFSNSQFSSLNAERLCFETTDLDERSVNNFLKVILLEFFFSHKRFQKWSSGLIGYPFSEFTIRLGRPPNFWHVCQGLKTQSWGNIFEKFE >CRE19179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:608719:609833:1 gene:WBGene00058789 transcript:CRE19179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19179 MSDTAVASLAVEATPAAVPAKSPKAKKAPKTPKADKPTKVAKARAPSTHPPYINMVVAAITEIKERKGASKIAILKYISQNFNLGDNIIKINTRLCDSLKKGVASKALVQTVGTGATGRFRVGEKTAAKKTVVKKTVAKKTATGEKKVAKKPATGEKKVAKKPTTKKAATGEKKAATGVKKAATGEKKVAKKAAAVKKPTAAKKTAVKKAATGEKKAVKKSVAKKTGDKVKKVKSPKKISKPVAKKAVKKVAVAPATVAV >CRE19263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:612543:613805:-1 gene:WBGene00058790 transcript:CRE19263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19263 MDIVPDLPDEENDVSRLNIGLRYYLIRKVIRVVVPRPDINAGYDARMIEFVAYVKYLEKKSFERAQTRDDYYALLARIIFRMQKQLATRPKGPADDPYGDIPLPHELADFMGLSQEELEEFDEEQNPQGPNANDEADSKETITGRVRQSVIDRLGTMLFPPPDPFAYFDGRMDTITEKLEEIEEEAYKQCEDRDDYFNIASLRAYRLQKEYKRSGESYVDRLVRYPVIFFQTETVDTGGDLLPDL >CRE19180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:617838:621534:1 gene:WBGene00058791 transcript:CRE19180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19180 MDNPEGGTTDANEHVVVADSEILKKVVANSLNENAAKVVDEPEENGNPLSPPMELSEGDSGGDVNGKMIENGKSMIGETEASGEKIDNNGSSSPVGSPVEEMPMENGVVKDETSETEEVGAENLENAPIEQDEVDENVNAKDNGDMVEQREEAEAVEGSSPIHYYVPMSPIIEGAAGADLFYDGPEVNYHEEEFADDDDNLQYDPENVSGHFDEEEPSEYPIEGAAMPDQQMEEAENGNVIPRKKKRPHKIGGIPFRVGPHTPTASPPLSASPPPSPQYDELDADYRFALKLQEEEDRLAQHARRSNWSNQRGSSDTSSQTIQALDEKFLMMDDGGENRARNTKGYDECYRLFNQCIDERSEEFVPTPYSIEGFEDSDYISGYREVLNGHPFPLHKPIPPVPPGSPKGPETSPLSTRTDSYRVVSNPIQIPLEELSGTRSGNLGNRREPLARVPNVTRLFGELPTEHNNNSSGSRSSGTQAPLRIGPLVNEAWKKRRRLNENDNDSEHGPTSRRRLNFSQAQSCRDEELDFQRAVEESKREYELSRNNQRSRDSGEGSSNMYGEGPSSSNGAGSSRDYGEGPSNRFGEGSSRDYGEGPSNRYGESSSRDYGEGPSTSYGAGSSRDYGEGPSTSSGPSNSYGGGSSRDYGEGPSTSYGTGSSSSYYRSGPSDSYGAGPSNRYDEGPSTSDSYGAGPSSSNNNFRFPLQPLRLRKANPHRRNGLVSDVFHIYYTFISSEYNLTHLQTESTLPSTSSGHYNPLSPLSPLHIPQDSHLYRPNSNQEEDDVFTLDTIADVDEPEISSTTLSVSDPRHPIIKWDDPEKMPPLETIPEEELNKMPEDYIEAIKIEQSIEREPEEQEEPKENQENDEEAERIRIMINDYLLIIRSYICPSSVVPNVVFNTRFEVYIRFPFLQRPLYVQNVLAALLIPDNRGDILPRMPQHIRDMTVHQFFKYAANMAMGLRLQDKRFSRVIPDADLQEAADEYLRSMEIIMLELFPEQMVYDGMLGRDISRIDLRMYEMYRDQVIEEKKDHVMKKLMQSQSRLVVDSHSVTDCRTINRTNQQRRLQSPELPELGAASMASPQPYDVDEERFVQGVVNDLLSNPQLATVEQQAAEDESNHRVILELMESILLNIDQSQEATEEYD >CRE19181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:624966:626712:1 gene:WBGene00058792 transcript:CRE19181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19181 MEAFCKEVSVNETIILAEELKNVKEWFILIQVTCCVLGVVGNILNIRTLQNPSLQTVPFMYIRALAYFDLIALTMILTHFGLIRFDHNTPIMFYTTYIEAPVINTFLIAGLYCAFFLTIERLLLITRPHHKSSSNPKTQARKKIALMLGLSFLIHLPMVLQRTLKQNEDGEYVMVNNISLLCGEPNWSIYSYYKLARECLRFIIVLLMTIFNLIITRKLKQTKDRRRKLVRRSPPQNSSPNGLVADSESSVLPVLRREESYLVRSFTENRLTVLMIVICVIFLLGNVPQIIVMILQNEAMEHNFKFQVYRHCSNTLEVLNHCLNFYVFCIASTEYTRAFFLHCECMQNMLLRFPTIARFVISRRSSSVMVSSGGFGVANKEYLSMDSIPEEAKNWVVDPSSSPYAHADSNLRSILVTGNREPRQKKSLTIVNHLAVEEEINSDHEVTEI >CRE19264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:628089:629431:-1 gene:WBGene00058793 transcript:CRE19264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19264 MIAKLKKAISDKITRVIRHLFECDLSQPCECQVRPDDYYVSADPEAKAVYRLHDDPGVIPHSVLISWIATGNVHCSIFTTSSSIAPIGSFTNARSMPPPSSTIAVSVRLMIVLSAPRPVLLSFVSAKSRSLAVVCLPDMSANHSKRPSFKSNNHENFNPGYRFDYSSR >CRE19265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:629904:642715:-1 gene:WBGene00058794 transcript:CRE19265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19265 MKSRSGWSYSDENAGHVAMTSVSSNDVRSNVSGSISSKRYRGSDTGSGMDSEGGETCAEMLLSSKNQDDEEQVDEKQLSQSLPETPKTASEGGVKKGKGKMRECPLCATKMASSAFPKLRGCQHRSCRTCLRHYVELSITENRVEVPCPECSSFLHPNDIKMLVGDIPSLMDKYESFSLRRYLMTEADARWCPAPDCGFVFIATKCAACPQLKCQRPECGTLFCYHCKREWHSNQTCDEARRPEKRKSRGLAFEEIMRNGFHPSADSTLKPGDVKACPRCKTYIVKMDDGSCNHMVCTMCNAEFCWLCLKEISDLHYLSPTGCTFWGKKPWTRKKKLLWQLGTLIGAPVGIALIAGLSIPGIVFGVPVFVGRKVHQRFKYKGKAQRRLLTATCVVGSLVVSPVMAVMAVGVGVPIMLAYVYGVVPLSLCRNGGCGLSSSDSSLALADIDEEQLYGTPGANAPVDVSQFMTDSSKREEIVSIDPSILSAVSMPHELRTRHYVNLDLRGRRTSLESGERVNYEEASVKAMAGSHHYDDKSVHTLCSGHEVTSLNDEQSSTKALAGSVMDTKSMSESMYRHMILTRYAEKQQQQENEDEEQGGSEDQPSTSSAAPPVKGSKPSTSSIHRGCLIERDDEGLLFTEEGAALLINSSPAMRSSGSVNIDPIDLFKIRSWLDNMKQMVATDAPQEKPYEPSSRPGSKLRRSGSGKSVHTIATIPLGVPGQNLSNLSIPEIVQIVNSAPSESDATITATRNNTENLRGVVENTIDDNVSTSSSSGSQKKKKRRFGIFSNWFNKNK >CRE19267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:650226:651235:-1 gene:WBGene00058795 transcript:CRE19267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdd-1 description:CRE-CDD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJS2] MATTKANLTQFEQELVDKAVGAMEKAYCKYSGFKVGAALVCEDGEIIIGANHENASYGATICAERSAMVTALTKGHRKFKLLAVATELEAPCSPCGICRQYLIEFGDYKVILGSSTSDQIIETTTYGLLPYAFTPKSLDDHEKEAEERNHQEGEKKH >CRE19182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:655091:655542:1 gene:WBGene00058796 transcript:CRE19182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19182 MNSTLVFFVVVSGLLIAVNGHLAEFEQLGYGSSGFKPQFEVSPIQARTGVNFETYRNMQKDSFNTPLFAQALRGNQVLEKRPQSPWPLRNCYLSPVQCLLPVQQHQFNKFHKRFML >CRE19269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:662164:662704:-1 gene:WBGene00058797 transcript:CRE19269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19269 MSFQLLLVLAIFVVPATSNILMPHSFFATRSEAMLENPANYQNLEENIDNVNEMTSSRFSSGPNGNVVNNNGNNNHLQTEHARNCFFTPVQCMLPMTDSHKDVQSLHGVYSPTANHVRRSVDTMLTSPWGYTDLIKRGGDNRFYQWLSRF >CRE19270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:665039:667902:-1 gene:WBGene00058798 transcript:CRE19270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19270 MEGPSGWNVMPVEVLEDIFKHLPAKNKGRCSQVCRQWNEGFKSDFSWRTFTFKDGVFVRRKFTQHSGWQYHIDHWRLKNLITNKTKAWRVLNVEPVNNIFNLYEFFRVLANYSEHYEKFSATERPIGKIHTFNFKWHLHVDQNETGGLIEDKDVGTGGQILGSLNNVLKYLHGLKSLNLVDLQLTHQEADEFLATLLEKFHEKLTYLSLLNVTLFPKPILQVGCFLKLRKLLVSPQMLCTDTLSAIACLEHLENLSIVQDEKSSQSTDISRNAWNMFVKQNMDRTRVWLILRGKPKTSLIIQPGAPVYGIRMENSAGQLTMELAEQIANYYSGSLHHFIQSGLERMKRGKKMEERVDSALIEIVSRCPLLERVACRERLSYGTAVILSVYAERNGFKVYLRKNALLKRICWCRFDMETHGILFNWLREMCRTEELVTEILQYSTNQPMVVYDDRSYKALNL >CRE19271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:668322:671939:-1 gene:WBGene00058799 transcript:CRE19271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19271 MPIITTTTRTTRTYHTTSNGSPAMGEISLNTRYLSTNRGVIKILQIIVGFIICSLLCSQWYGGRSCFGEGRLGFSSGLNFVCVVVNIVLFVLNFLNIRAWGLERIYTVICTVLFLIASILIVWFLIEVNSSRGWLIASAALIIVQFFLFLWDLKILQGESPN >CRE19185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:679626:681304:1 gene:WBGene00058800 transcript:CRE19185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19185 MGIAIDLQFVAGADEDVETSFLLNSSPTFEFAAYTVAALTNTQPTVVIQGENIDIQAATLSVNGVTVLDKVVVSFGESTKATTKHAGSATTKHNGGTTDAALQTLVDKMWSEDQDRPSAAQVTMNWGEKISGKTGNKQADLFTTVDESLFTKRQYADLITTYDNNLFTADVCKAEPAMGGFRKQYLQQVFDTFTATPMFASAFAYLQSINYKETSSLDNFKTKVLWPLWFGTYSRCKGPLGSSGWEHVFSGEIKGSEVDGQHDWVRYYKEQKGDKMVYDGYYSHDDNLIGTFQYHWNGATKPTGGFFTGTSPAFDFSVLTVCALAHGNGGNCHFKVTNFPITVTSYTQACGDGSGTCLATAYPG >CRE19272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:676890:678880:-1 gene:WBGene00058801 transcript:CRE19272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kqt-2 description:CRE-KQT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MJT0] MIILGKWQLMATYYITLVVGLSLATIVYSTEAMAQGIEDNGYSLKVPEGVNATFPSMAHSWWFTAVTVLTVGYGDISPVGALTKFLVCVLGFIAFCTFQAANTQISVGLTLMMEEENKNQQTNRLRNLAASTIQCWWRYHLATNWKPPRRYAYFVHVCYKLYVTEERINQNRVLANKLREKLEKRRPIKKKSITHQNSVTAELLKFGLKGMARPMLEKQDSFDKVERKHSLRRTRSEDRRSSLPDALAVVDPAIRKRVLFAEARNSSVETSMSSSCDVSELETQFEIKNFLEQNVDELSSKEVDISLLIKYRPLLRFYYFVMFRFVMSKFHNQRIAGQLLMIEAEIAERENQRNQKMKELEASILELTGKPTVSPFDDSGEKLSIIQRMEFCERRMEELERKTDAINEITIKCLNLMLIEQEIIKAKKDESTLPPKPPSAANKRPKSAGPRRQVTIVDQYDTLDDCETTSLDRI >CRE19273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:681614:682967:-1 gene:WBGene00058802 transcript:CRE19273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19273 MTIPTDTQKCWKPHNLLQLSHLKEGRLSETTTVKMLSLLKALANIHVLLGIIMIVLSGLADYASTRINTIRLHGLEEICSFYFVLVGLVGICGSASYRRGLVITYLVMCIHSIFIFVPAIITVSSFDIHFYQHECWGECDWHLLATSLPTNSRCQIMCGDHVDDAMRRRMTRLGTDYRLDAGLIAAAILEFLLSIVTCVVASRTLFSPLKELGDQGTPAELTVEMQPLNQSEENTDSLPNGSTN >CRE19186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:690034:691520:1 gene:WBGene00058803 transcript:CRE19186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19186 MTINKNHVEFLQAFPPQENQKCRNGNDIPNESNCRKTENELVHQENGNESGCQPQNFLVADFEISVWAPVPVPPRQRKKHRKPRKEKPSTTEEVIDFHSHLTPGIRGIPKNRFLYTKKERELTPLSSAPSTHVGLCPISLKTVELSEKFPDLMFYVLDFLRAKPIDYSKILELHVHRPTGIVYQGCNPRMIQGFLLQSFKPQTIPTAKFFCNNVFMRNQIYGSAKARRMLGVLFERHVHLRAAVELYKLLSSRLTQEELYNNGYLHVRKIKPFNALMAIYRRPQLVSIIITSYLSCVG >CRE19274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:692578:695197:-1 gene:WBGene00058804 transcript:CRE19274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19274 MMESHDDFDSQSQLQRALADKITNYAPIEEIRYVLMRGAQVDGQVTAGLTPLHYACYINYQAAAKLLLTLGAKVQAVDNIGCSALHLCAEHGHYRMIKLLLQYMKVVEQYETPEFRVGDKYPQRENIDEPLRLAIKNGHYDCARLLLTNGANPNAIYFDGPEITQVSPLDTNFLEMLLEFGADPNVFDRKGLTPIMKACRMKDKGIEAIRILLKYGADINKLSPERQDHRSALHFALLSGNHDLVKFLIANGCNVNMDEKYEKPSPIDIAVLKDDPVLLKIVLDAGANPNAVHTYIGSCLHLASCSGKILWFKKLNELKFLVLLNQYKIVELLLEHGADMNLQHKFPDGSRLKSPFVEYFRSNDSIDAKVVKLFITHGAKVVMRNPLHDCRGQLRNVLKLAALQNQPEVLELLLGLGEQYDNKAIERLPLPSELKTHISERAKNPHSLQHLCRLQIRDTVQTSEYKTLPIPEFLKAYLLGLIP >CRE19275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:696219:701231:-1 gene:WBGene00058805 transcript:CRE19275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-29 description:CRE-CUTL-29 protein [Source:UniProtKB/TrEMBL;Acc:E3MJT5] MLIDPIRGEALITEKKVTCTSSNIEVALTFAKSFSGGVLTENPRKYEQCRWKGNGSNSLSINIPLFNSTKCAVVANETSGTYSIKLLVSPVDGLIVDGFSAINVKCIYATQDITLTLPPIFNGTNALQITAMNDDNSVVTGSGGSPALTMQILEGHGIGGSPVVKAAVGQRITLDIALQNTAIYDFYVHSCYAHDGSNSPDASINIIDSNGCGVRLSRAIDVPAMSAQPTPNGPKHVYLHMYGFQFTSNNFVHFECQVKPCIKSCHREQCIREPDTKIPVIPAHRRRRHEENSTDVATLRLETVLEISPQSTLSAAALVSSEDYTRPANCYSQPALIATCTFVFLTTALLVSMVHVVYRRWTKSRKASGINDNYSVDTSSIS >CRE19187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:705208:711569:1 gene:WBGene00058806 transcript:CRE19187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ksr-1 description:CRE-KSR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJT6] MRSKTVTRTTYSNGSVNTVAPDVKAKAVQDLKDVLKLTTINRLLTASYDEESKNIERKIFSAVYQMTKVGLIDREKREINAIWFSFVGLSAQNIRHLEICSITDFNALFSITNQELRSLADRGRLDVETKRKLLQSTVILQNHWNDLYSSTSTDDAPPGPSTPAIVTSNHQFNVPSLSVTGVKMIQSSSMGFATAPKSPKTASRSIHNIPHKWHRSTKLRWSGDGVCHFCQRPLGFGILNAWEKCRSCKWKVHTQCKGRVGDSCGLTPDHLRFLFDKLIQENNGGMWKDPQSVPASRSMNEPAFQFPDTAIDSSSSTNSSAPSTPALPLGINGNVSSLTAPYRSERKFLFPDTENYSVHNRLPILVISEGDHPSTTEIQQETENHNKLASLSGGNIESEGTIVGNQEDSVESHHDVEADQGGPSQEAVDKFNKRADGGFTWERHAWNMSTIRGPNAQASWNEVTIQLETIEFDKQAPIIGRGRFGKVLRGFHYGDVAVKVYNMDHISDHSKRAEEFKLEVSAYKNTRHDNIALFLGYFMNDGKYGMVMSLSRGSQSLYTLLHVVREKLDMATTRKIAQQICQAVSYLHTKKILHKDLRSKNILLESKNKVVITDFGILSMKRLAYPRGKLGYYTSRFWTNYLAPELAMAIKTEYDEYECEDFPFTESSDVYAFGCVWFEMLTGMLAFCHETPHQTLFAKSQGVRPVLPNVKCTPELKELLVACFHTAPHERPSLTDIHAKLTALPKKQRVNRSPSFPVMMKSYESTF >CRE19276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:711943:713433:-1 gene:WBGene00058807 transcript:CRE19276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fis-2 description:CRE-FIS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MJT7] MDYGSILEERTSPVVLMNAREQYMRQCARGDPSAASTFAFAHAMIGSKNKLDVKEGIVCLEKLLRDDDDRTSKRHYVYYLAVAHARLKHYDISLGYIDVLLEAEEGNDQAKRLKEDIKSAMTHDGLIGAAIVGGGALALAGLVAIFSLSRK >CRE19188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:713950:715062:1 gene:WBGene00058808 transcript:CRE19188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19188 MTNKLSHASVLLLVIHYQLAQGLSKISIGLKPYQYQYVDADYNQKYNYEAEKVSPVQIAEEDIPAFRTLEISNIAPEFERSASAFRTVNIENTATIIPESVKPIIPKKRKTKRKPKRKPKKMHLRRVFKTRLITTTPRPIVIKENRIEEETMETTTNVINLAPIFDADTGTSYTPAELDRICSETVNVSKGFGISDIESFAKNNCFLIRLYYPSVTCSQINQLVGYCRENGLING >CRE19189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:715567:722485:1 gene:WBGene00058809 transcript:CRE19189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19189 MSFYWEKGLQQQDTGQPAQQQGQWDFINLGGAPAPQHQWNSSVQQQQQPQHQQQTNYYYQSAPSQPQQPHTNHSAQQQQYNVSSQRQQSAEQNYQQQQWMAQQQSQHQAPPSQQAQTQQTQHQPQKPKKAQQTVIEHTPLLPPAQQVQQVQPQAQQAPNFTPAPPVLAPSFQPVESHQQYYQQQPQQQSQPMYQQYVNSKPEETAAPAYYPPPPVTQLGSAPASKEVTPEPQTKPMAPAVQVAPVTQKQAAPTPKPPVLAPPTTTPVVPKKEEHLTVAAPIVIEDAKPKVTPTSSEDDWEKADMEIQRVEDENKRQKASQAAPEKPEESRESSSLGGSWATQDTEPSERSSVEPVEIVEHPVSIEVDIEEKTPRVSVSENQATPTIVNMSTSLSDDRQETPEAGHPNQSTSVVINSSSSPLEAIATSTPKDMRPEKRSSVSSQGTIGTEKSRSKKNTEKSERRNYPDMFNKHEPQNHIVEKEEESGNNSDSTMASGRPEFVRGEARASYREYKKTYHAIVDRLNFMRANQNHSDFRPSSKLANPLLAAAGLSRQHPAIRRESLGARNDGRASVPLHHSHSFNDNIYSEQNGGRRSRVSRLDPSGRPSSRHAPGYASVNHSQINPYDQRGYAQQQNPLFRHGRHSAMAGQYDPRRPYGAEQYPGAVYGQEPQEASSISESDEDEGESDYEIQGYNMHHRQAPSEHSYHPSQGGDDEGKTLYYCGVVHVNMDLWYRIKEKTGIPAGFANLNSIQKAAFMFYTVVFKQPYPNVETFHNRFNREFFRYKCDGQSEDAALFQICRTMQEQYEARRKEKELAYQNMKATLFSDENSIDGVNINYPPYHSSGEPPKSIMLTRQSENNSVYAESLNACDVYNNGPLKFSCPHSFLNISHGGQIISIQPDQSISAVVFDDIKSVLRDIPTLQIKDAAMSFKGPLIPHQSASHTVRLYITKQIENIKRSAVAMENPEANDVVESLLVWQLLETMVKQQGNITGPDIAEILAKVASQPVNIEAPPQMSNITPALNQFTQFLLGGHIDEAVESAMRNGLFADALVLTRRLFPNDERKIEQIESRFLQTRSMNNPVTTLVSVAKGEVPPVLTNPPLDDHLSWRTHAAIILANLDQRGTALSTIHQLGRALAKRDYHSAADFCFLVCGVLGGEKENPFTPVPTPYVSFFNNTYLYYYLCCREGEEDYRRYISLVNSDIPDNEANPKCQYGFLLTDLHATEIFDYALRLKPDRESLLATSVEYQTARIKYAKLLANHGFTTDAYRYCTEVARAIWVHITLFCQDDLLELCDLADSLHHRADVNPEETQWIESLRAIAQAGYGQASPTTTTVATTNQENTDGFQSYGYEQHPETVYDAEPLQPTPLVPTAPELAPTPTLSAFTAPIPSEHQIFEHQTPPEIAQQHQQNHFHEDVRHAPATPTGSVHQEQHAQQYESPFSYHSTPQDQYQYPDDGFTTPPDFNDGPLTMASSPQASAPQLAEQPVAPPPATQAPPPPQQHQQYSDQQQQQQPPQQHENQVQNQLNSDNKNQSQGWLKTIQTKVQKALPGQNPMNLPEDKNPTIVWDESQKKYIGAGVEPEPVAPPPPTASSAPAPPTGGGLRAARGVSRYAKVGLGNSPSNASQAPAGMMPQAPPTASFGFMPAPVDDDADSVDPFSGQANPTIQQSAPRPVDD >CRE30854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1423214:1425303:1 gene:WBGene00058811 transcript:CRE30854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exp-2 description:CRE-EXP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUM8] MAIAISQAVLAHRNSAATFTVPGSTEQLKFHNYRVTGNMISGAAQQHKKRLIPLRRTDAMTLAERRNYQILDDIFRSGGSDCFQQVTANSATESGQPQFLRLNIGGTSFMILIDAILRAESTTFLSRFIQLTHTARLKVADAYISSEDAYYFQRSPTSFEAVFQYYATGVVHRPSEICPASFLSELDFWRISHQHVGSCCADVIPQKREEEKEEEKVDDTTFDKLMCGKLRRRMWTFLERPGSSMQAKAFELSSTLFVAISVMGLSFGTIPDFQVTHLMPPHNETIVLPNGTVTVIQKVEQMRVEHPAFVFTERICIAFFTVEYCLRFFAAPRKLRFALKPLNLVDLLAIVPFYLELLLTLCGVDDRKLRDLRWAFLVVRILRVLRVIRIIKLGRFSSGLQTFGMTLQRSQKQLQMMTIVLLTGVVFFSTMIYFLEKDEESTPFTSIPAAYWWCIVTMTTVGYGDAVPATTMGKIIASAAIMCGVLVLALPITIIVDNFIKVAQDEQQAEQQKIDQHSEQLALEAMLNAHD >CRE31103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1403700:1414919:-1 gene:WBGene00058813 transcript:CRE31103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttn-1 description:CRE-TTN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUM7] MVRQIEKTALNTVLTINGIDSQDQGEFALKIKNRCGEDKYAIGIQVTDRPAPPGKPAVEDQNLDSVRLRWAAPTNDGGSPVRNYTVEMCTEKTKTWTKAEVTKQAFITLFNLVPGESYTFRVRADNTFGLSEPSEESEVVFVKDNSRVVEEPKKKEVKVKEQESVDYEKVAKETGPSEYKTIDIHRLPNDLQAKYIIHEELGKGAYGTVYRATEKATGKTWAAKMVQVRPGVKKENVIHEISMMNQLHHEKLLNLHEAFDMGNEMWLIEEFVSGGELFEKILEDDSLMSEEEVRDYMHQILLGVSHMHKNQIVHLDLKPENILLKAKNSTDLKIIDFGLARKLDPKKSVKLLFGTPEFCAPEVVNYQPVGLSTDMWTVGVISYVLLSGLSPFLGDSDEDTLANVSAADWDFDDPSWDDVSDLAKDFICRLMIKDKRKRMSVQDALRHPWITKMQPKPDKSGVPARQKRNFLSLKRWSDDLLPIGRLAKRGAIFRRLTMDGVFERNIAFDTDAAPSVKKQLEDIVANVGDLIATLSCDVDGVPSPKVQWYKDDKELTVPSMKYDSFYNEGLAELTVKNIVESDAGKYTCRATNDLGSIMTHAKLSVKSDDKKKKKSETSPAVIEKKKDRKTSKVVVVEEMIDMPPNFHHLLQDDEAKIGEPKVLVVTNTTLPEPTVEWYHNGEHISINDSNYLRKHDKGRYELHILSVDATDEGKWKAVGKNAFGECESEAKLTVVIPDGQFAPSFGRQLSDVKCSESDILKLEVNIKANPAPEINWFRNEAEIEHSQRHRLQFDDGSGNYSLTIIDAYAEDSGEYKCVAKNKIGKAHTVCCVRIEELLSKRSKKIDGSKAPRFRMQLPTPREVPQGSDLTLVCSVSGTPHPNIKWTKDDQPIDMTNKQVRHENGVCTLHIIGARDEDQGRYVCEAENIHGVAQSFSVVEIKEAVDKDHIKPKFLEPLVNCSTCEGNEIVLECCVTGKPIPTITWYKDGLKLIIENRMLQYTDRKGVSRLNIMNVVMDDAGEYTCEAVNTLGKDFTHCTVKVVDMGLAKTRLTPVRSRSRSRSRSPSVLGGEIQRPPVVTRPLADATVTEGNRELLEVEVDGYPTPTIEWYHDGKLVAESRTLRTYFDGRVAFLKIYEAHDEHNGQYVCKVSNKLGVVETRACVVVEAPDAAEHVTQMPTFVKKLQDVVLKTAGETATFTCQSYANPAAQVVWLHNGKALQQTKSNYKTRLFDDNTATLVIENVSDELCGTYTAVATNQFGDVHTSAQLTITGGEAKKVAASLPYFIIEPKPKINVVEGATLSIQADLNGSPTPEVVWLKDNSELVENDRIQMKCDGVNYQLVVRDVGLEDEGTYTITAENEKGKVRQNTEVSVTKSKDVKDKKEKKKVEKKDEDKKKPGRPGLPRPSGASKTEQVTIAFDAPSEGPADSYEVERRCPDQREWTKCGTTKSLELEVKGLTPNTEYIFRVAGKNKQGLGEWAEMTTTSKTASIGQAPQFSILPQQKMIVNRDDEFEIVVEFSGTPAPSVKWYKENVQVVSDDKINVTTTSTSSILNLKSQEENGTLTCFIENELGQASASCQVTVFNRASSLQSTPDHSLERNLVPNVQKQLNNESAQAGQQIMLTCRISSRSESTIAWFKDDERIESAGRYELSSDKKSNHKLVCHAVQSQDAGKYRCVVTNKYGYAESECSVTVEDVTKFIAPSFSSTLSDSTAMIGHNFTLECKVEGFPTPEISWTKDGERISTTRRIRQIEEENGTCKLVISKAESEDMGVYVCSAISVSGVDSTSSMVMIAKTTGTDSHLVIAQTLDDKQEKPRFIRAPPSLIEVNESGQFTLVAKAIGEPKPTVTWLKDGREILRTNRIYHHFVTGDGESHLIAECVVSKTSGIFSCKAENPNGTVIAETQVIVQKMKPTSDLANVAPKFTIPLTDMGIVNGHPTTLSCNVTGSPEPTLEWIYIDDSGNKTNLTSSTTSWTECRFGKVAELKSERVMREQRGTYQCVATNSSGQATTQCYLLVGELSDEPAGPPRFVKCLQDTWTPLKESIEFSVELAGFPTPDLTWYHNEKKVVEGKDVKITFPSDTTSVLSIKNVSLANLGMYYVEASNIHGVLRTAGRLNVSDERRKAEPPQFKHVLEPVLAVQPKVAFSEEHPRASSSAATARVKKGAAPMFLQGLEDMDLKAGASAAVAGKLGRKLRPHRSTTNDADKLAKALAQSLKLEEPRHSIDSRPESAANTALDEVRAAINSRNKRTCRPKFMVKPKPKKVLEEYKSLRLKTAISGNPMPQVHWDKEGIILETGNKYSIYNDGDFYYLEVHHVSTFDKGFYNCTAANNEGIITCTSEIDVLPNKEDSAAQVAKRKSRKEAKAPNFIEVLPGRSQANLNESLCVECSVSAYPCASIIWTRNSVRLLPQADRYTMSYDGECASLKFISVAPGDEGTYSCEAVNEHGSAVSTMNLQVSGMDPNAAEGIPPLFRFEKIKSVRKVVDGSRVELAAELIQASEPLQIRWLRNKVTIVDSPSFSYSRSEKMVFLTIADVFPEDGGEYTVEAKNQSGIARCTMQLDVRNNERSVAEEAPRVFDFERTTRSDPGASVELRAKVIGHPDPVISWSKAGQKLNNEERYLMRNEGDTFILRISNVARADAGKYVLTAINSSGQANAELELTVVQSTKTVGEKPKFHESPISVQTCEKNRAELRASFSGTPTPTCRWFYNGNELIDGLDGYTISTSETNSSLLINSIDKKHFGEYLCTIRNQNGEELANAMILSEGSSAALPQRRTIRR >CRE30852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1396194:1397486:1 gene:WBGene00058814 transcript:CRE30852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30852 MTTQQAKILCCGDVNGNFVELIKKLTVTEKKNGPFDSLFCVGEFFGDDDEANEKVINGNIEFPIPTYILGPSNPRYSYLYPEESIEFSSNLTYLGKKGLLNTASGLQIAYLSGVEGTSKEMSCFDKSDVEELLTPLGTQVGFSGTDILLTSMWPTDVARHSHNQPSKPPAGSILLAKLAAQLKPRYHFAGLGVHYERQPYRNHRVLLEPARHTTRFIGLAPVGNKEKQKWLYACNVKPMRKMEKEELTAQPPNASEFPYRELLEEVAAKETLERMNGKGQRPEGSQYRFEMGGGEDGGGNGRKRYNDGEAKVFMKTVYSLRASLYRLTVSSSEKSLIP >CRE31101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1399312:1400864:-1 gene:WBGene00058815 transcript:CRE31101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31101 MSLRRFPNAVNISSEILGQQLKFRNGRVSQNRFLKAALTEILSTYAPQEPKKHGLPTDTILNIYDKWGNGKFGMILTSNVLVDPTNLEAAGNAIVFKEGDSHERRALFSHWAKIMKQDGALAVMQLSHAGRQTPELVNPTPWSASDIQLVSAARFTTYGKPKPLTTEQVKKEVVDRFVYAAKYAYECGFDGIQLHGAHGYLLSQFTSPTTNKRTDKYGGSLENRQRVIIEIFDAIRAEIPASTGFLIGIKANSVEFQAEGTTLEQAKEMCRVYESKEFDFVELSGGTYEKLAFCYERESTRKREAFFLEFAEQIRPVFNKTVVYLTGGFRTVFAMVDAINNNATQGIGLGRPITAEPDLPKKILEGSVNSAVQDSFNQNDMAATAMASGSQMEQMGRNSLNDAGRNIMDQISDFSNDETVQRFDQALADHLVQAGIDIADGKRPKPIVVFN >CRE31100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1397533:1398866:-1 gene:WBGene00058816 transcript:CRE31100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31100 MTAKRFCDNLSVSSDILGEQLKFRSGKTAQNRFLKAALTERVSTYDPENPKKHGLPTNFILNLYDKWGHGKFGTILTGNVLVDPTNLESAGNAIIFKEGDGEERRALFSQWAKNMKQDGALAIVQLSHGGRQTPITVEPNPWSASDVKLTGERRFTPFGQPVPLSVEQIKTQVIDRFVYAAKFAYETGFDGVELHGAHGYLLAQFTSPTTNKRTDQYGGSIENRQRIILEIYDAIRAEIPASTGFLVGIKTNSVEFQEQGTTVDDAKEMCQVYEDKGFDFVELSGGTYEKLAFHHMRDSTRNREAFFLEFAEKIRPVFKNTVVYLTGGFRTVKAMVEAIQNGATQGIGLGRPITAEPDLPKKILEGSVASSIKNALDENDFGITNLASNTQMQQMGRTSYQQTEQK >CRE30851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1394842:1395820:1 gene:WBGene00058817 transcript:CRE30851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atf-8 MASCHEPSAFKSLCAHPSTLTTPFDVSPYSSAFHLPIHPALLSNSHLLHLNTYNPTSYDPTDRLFEQNNNNSEKAESCSSRDSSHDSSSPTSTGGSSRDNVIVRNESKRKKDQVKDEAYWERRRKNNDAAKRSRDQRRVKEDEMALRAANLEHENMLLRVELDQLRAETDKLRTLILTTPSTSITIPIPLHPIAPLTQLAPTTLLTTQSPSSVITTSQIFAPLPLKIPTTPPPSSLLRSTVLVNNSSKT >CRE31099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1392755:1393436:-1 gene:WBGene00058818 transcript:CRE31099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31099 MFPNLPTLPSNPIFSGFAPILSQFPLFASLVPRPPQRDHVITTGKCAGGEIKIVTVFPWKNTTVNRNMGHMDYELSRLQKYSGISTNEFCPEITKTPVQHNSNFAVIYRFPYLTSTCDRLRLFVRNAVSWSSEVSRARVACECDKTVELIRG >CRE31098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1389985:1391880:-1 gene:WBGene00058819 transcript:CRE31098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crt-1 description:CRE-CRT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUM0] MLFMRLFHSHTLSSTFRSARPTRDVASRWFCPAFFRCVASVDWSQADVGQSTRRTSSRVSHARDTSTYSVDAAVELSTPSVSVLLLSRVCFQFPSPGIGFIVFVVLFSPRISISRLFWYPFKIKTVFFTESTWCRFIGNEMKSLCLLAIVAAVTAEVYFKEEFNDASWEKRWVQSKHKDDFGTFKLSAGKFFDVESRDQGIKTSQDAKFYSRAAKFDKEFSNKGKTLVIQYTVKHEQGIDCGGGYVKVMRGDADLADFHGETPYNVMFGPDICGPTRRVHVILNYKGENKLIKKEITCKSDELTHLYTLILNSDNTYEVKIDGESAQTGSLEEDWDLLPAKKIKDPDAKKPEDWDEREYIDDAEDVKPEDWEKPEHIPDPDAKKPEDWDDEMDGEWEPPMIDNPEYKGEWKPKQIKNPAYKGKWIHPEIENPEYTPDDELYAYDNWGAIGFDLWQVKSGTIFDNVIITDSVEEAEAHAAETFDKLKTVEKEKKEKADEETRKAEEEARKKAEEEKEAKKDDDEEEEKEEEEGHDEL >CRE30850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1387688:1389458:1 gene:WBGene00058820 transcript:CRE30850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smut-1 description:CRE-MUT-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LUL9] MPLPWAQKEEEETATTEPVFRGNVEAPVIQNPVAIEQLDQFSYRKNCGTLFKNRETVASAKANCDRLDEFCEQNIVVLNNDSSQVSDDYDIDKDLKTSITDERLSKNLLRVSIKSLNAVQRKSVRVIRDGLGLLLEAPTGIGKTYAFLIPAIEKVIEEKRNRSIEPKKPAPIVLIIANTGTLVKQVYDRCELILGLKCMDDVEPMHDVKIDMLIAEHHFTRDACDIAFATMGKLKATIEAGDVILDNLKMIILDEADKMIDPMAFGMDIDNIMNKLSEEVKENLQACFFSATYPRDSDGSIVLTAIQTKMLGDKPWRLVYCPRMPGYITQKVIRLPRPTKDIASHDWIVKMNVIRSLIDKDLEETNCKKEGPYEQTIAIFCETVGRAAQVATALRLLGYNFSPLCRLLTKQQQAVTVNDLEFKRIHGVVCTNIMSRGIDVSSIKHTIIMEMSNQFDTYKHRIGRVGRDGNGGTATVLIDHNTLLNGRSAAIVDQLYTFMKQSEQEIPEWLEQWFRSRHPQDFDYA >CRE30849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1386620:1387313:1 gene:WBGene00058821 transcript:CRE30849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30849 MPVDAKKLVKKASQHLKPVEKLDCETPRSTTTDGETIKFDYMWATHLSDEDFEWAFALFKANMYEMYHMSQWGYDENSKRNELRATTSRFIIALNSKGEKIGYTTYRFVVDHNIPVAYCWELQILPDYQNKGIGGMMLDTLERLSAKTNMTKVMATVFLYNAASLGFFHKHGYVSDVTCPSDDSGLDYAILSKETPSS >CRE30848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1384789:1386301:1 gene:WBGene00058822 transcript:CRE30848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30848 MFLLLQLLIFSLFLNGPLAQTCQKDMDCITTISLTEKTCSFLSVSSKSVDFNKTSFHQLAENMKIDQIDTPQCYMSAGGKGTKLLIKCYCTRSFFAGCLSSGAMAEALYQWRRDHESTVDEHTKDVNLEFESKVDCILKGFQKYQLRIGSEYIFFLSLLISVISDFPPAQKLWDITCKDNAIMEVKTTQSLPIKPLAKNTRLAIDLRCGKQGKALPTVYCSEDYCHNEEVHVTENTLIPLRTYSMIMRSIRNGKSIQSGCKQSTSLQETQCVLTAFHSIVENFLYQNGTQRTAPPSVIQIGMSRAKLKTNQSEPETSLKSIISWLFGLAYFISFFFMCIIPLCSCGNEHRNELGHFLNPNLKDIEEILLADAHKNEKPIFPVKNLDEPKQEIIDVAVTTNSQMGNITDLGSEGPTGPGGGSIKSMKKDLKSGEDGKDLSADAAV >CRE31097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1383138:1384358:-1 gene:WBGene00058823 transcript:CRE31097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-10 description:CRE-SRH-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LUL6] MNLIYDNREMCKKPAPIGYNYGLTGAHLVAFPIYVMAFYTLYEEKSPNFKIYKKYLATHVVSNFLFELHLSVVMKPVLYLPYPTLRMTGAFFFTYINGFFMFFIFYFFIASTGWSILELLYYRFKLISNNNLCSKWVRKSASIVKGGRHTLVVFSGCTFCFLGLCVTGMFEQTKYKQKLEVFLNLLKFQFSFCFQIINEYPELLCTSAITLPKTTNDGIKPIHLFNVSVLASTILGSFLCATMGVVSFLALDEMVKESQSSMRTIAMHRSFLVSLFCQIGVHGVMMGFPLFIYIVSIIFHFEGNEVGYVAIVLASLHGAMSTLAMILFNRPLFHLAKSKLQSIFFPRNISVGDVSLVSSSLSRPNDSVRNGC >CRE30847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1381440:1382657:1 gene:WBGene00058824 transcript:CRE30847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30847 MDLLLLLNNVIKSQLIKPTSEVRILCLPTVVQREIFGHFKLEELLFLSFCSKRFKYLIQSIQGHRLKLIKTITYTFSSGKFSIGAETLFRLTFREGYSFITPMELFGMSRETPCCWSRSRCYSLYNYDGERRDSIIQGVHSYIQQFFGPSTYYEIESFNELPTSLENIRRSSIFLPANSTPEELEACFENSPIQEYVRLEGHLKRDLSPNSVIYETKYLDVDHFRVSDVLIHFKGERLRCNHTFLDNTAIVKFLNAWKSNERSKNLKVLTIHAFDLDKDEIMRKVDHKSLNPREFIKWNIRNGNGGNTITTTSRDYLIREIDGERAYLNITPKTVHLAIFGSTEIHITFESK >CRE31096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1374098:1379778:-1 gene:WBGene00058825 transcript:CRE31096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31096 MIQALLLLASVLGASVYYWQHNKEWSYVQTLDGVDRGEIIQPNYNVHPILYNECVWPILDPYEPDILKYIKKRKRRLACRPSPEVEVEWIDAKIQITFKSEMAMSCVASDLSGFSANDDVFVSPAIKLEADSPLEIPYTNFAVECEQNGKRVYRKSFYNYKKDQAEKNIQAMESTPTSPSLAVLYLRSMSHSQLRREFPKIVKSTNRFGFYSFPMFNKISDNITDLVNRTFWHDEVKLTVGQYMKNERYCKIFSNTNLSGIPSDYDLSNFGQSLKSDSCSDDDSVAERLIEQWAQFSIQNTDHCYLSHIFVNNTIWSKSLDDTLSAVIEQFQLNEVFKKTLVVVVSAEGIPVGTFGNSYTGKVEERNPILLAHIPDKLKKMYNDHMFHLESNQNRLITHLEVFDLLTSFSRLSKDQAIVPVRDDFMEWKRDHVRGISPWQTLIPHNRTCYHVPIADEYCLCMENKIEIEKEYNQTYAIASRLYEKMETEITTNYTCIQETTWIEERNYTSVYNLNEKVLNGTDPYTEFLIFGVRAYTKKTNSRKNVSKFLFLFPVVCSKFQFFVNVLGLFKHDVKDDYNFDKMYPYVTDTLKSGCLAGYMERFCEMCHGAHFLTS >CRE31093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1367786:1368955:-1 gene:WBGene00058826 transcript:CRE31093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31093 MIMKWVAVLLISLCTVGIEASPGDRSIWYQECTQICINRYNCSRTFGTFDWVRGDCFWCRYECMWETTEHFESNFGKVPQFHGKWPFLAIPLPFGFIIQEPASVIFSLLNLFTVYKMLQRFKRMKDLPNRTMWLIYAHVGMFTWISSTLFHMFDCDITEKMDYFGAYTFVLSAFYVSLVFTSPQLQYSLIGRRLIKLMQLLFIGVFLKHFKDMATHFDYGYNMFCCITFSLCATALYAHHLYRRKINLGSLQEPDILLMRLIIWANLATGLELLDFVPVFWIFDSHSLFHLATIPIPIWWADFLDITYDLDSTVDRKSTILKIA >CRE30846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1365981:1367595:1 gene:WBGene00058827 transcript:CRE30846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpi-2 description:CRE-CPI-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUL0] MKAILVVAFIVSCATITVNASMVGGFKDQDASAPEYHAHAWKAVKGINDQASNNGPYYFVPIKVIKAQTQVVAGVNTKLEVLVGESSCKKGEMQVHELTASNCQAKDGGNRAIYQVSVWEKPWENFEQFTVEKVRDVSADEQI >CRE31092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1353070:1356339:-1 gene:WBGene00058829 transcript:CRE31092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-17 description:CRE-DHS-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LUK7] MTTTYKRTILITGATDGIGKQTALDLAAHPDNFVIIHGRTEEKCIATKEWIGKENGNSSNIDYVAGDFAVLKEVAIIAEEVERRFPELNILLCNAGVLYPRRLETKDGMESTFQVNYLAHYLLCNLLLPVLSHNRSNVIVVGSVLHTWPSLDWADVMAEKEYEKYLQYSRSKLMCHLMAFALHRRMNIARQHVNVNIIELGKEKEPNNNGKLRTTSALSSSMSTLSICRQAGNLAQLIEGPCLEKISGKYLDPSGKQMRSGSDATDERLQERLWAYSKELCHDYM >CRE30843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1350636:1352682:1 gene:WBGene00058830 transcript:CRE30843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-picc-1 MSDSGSSASTVHYAKPVLRHVPIASSTTPSSLGSSSSSSSSCASTSKQTPPRSPVIRYPTVVVSNNSVLSSPTSLTPQATPSYAVPVSRNQMQYSASKLQYEHMRHRCKMLDDENQKLMRMQSDVVNDANRRVQMHVNEIRMLKEDNRKLTVSNKELRDLCCFLDDDRQKTRKLAREWQKFGRYTSNLMKQEVHSYHQKMVNVEEKLSTKEREVDELRQLCMYLDEQRQSLMSHAASAAHNDCDDESEDLGCGSSEQSGGSEGHNDDEKLNEFNKCFNQHKESTLRRIMASSMCSEPSEEEERREVSKRERSRLLGYIQSLENRIKHLEMSQNHESFWNSTSNVGSDCDEKTIIERGWLGEEVLMMNSEDGNMGDKAIMTTSSASSSHIVGNDKCAMFDSMTSTMTSSGCTTYASSGTDADSVFVMGDEIGKIFWSFRINYIFISEIGNLEVRSLSRIEEEATSASDTLKESARMPPKIAPPVCSSLVLTHFDDDDCTPRLMRSASESCRPTTTLISSTRAAHRSVSVEKNNNNNLQHHV >CRE30842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1344853:1345664:1 gene:WBGene00058831 transcript:CRE30842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aps-1 description:CRE-APS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUK5] MMQYMLLFSRQGKLRLQKWYTAYPDKQKKKICRELITQILARKPKMCAFLEYKDLKIVYKRYASLYFCCAIEQNDNELITLEVIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLLAGEIQETSKKQVLKAIAAQDLIQEEETPQGFFEDHGLG >CRE31091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1343095:1344569:-1 gene:WBGene00058832 transcript:CRE31091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpt-2 description:CRE-RPT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUK4] MGQQQSGFGGRGNDRGAGDGDKKEKKKYEAPIPSRIGKKKKGSKGPDAASKLPAVTPHARCRLKLLKSERIKDYLLMEQEFIQNQERLKPQEERQEEERAKVDELRGTPMAVGSLEEIIDDQHAIVSTNVGSEHYVNIMSFVDKEQLEPGCSVLLNHKNHAVIGVLSDDTDPMVSVMKLEKAPQETYADVGGLDQQIQEIKEAVELPLTHPEYYEEMGIRPPKGVILYGCPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPKMVRELFRVAEENAPSIVFIDEIDAVGTKRYDSNSGGEREIQRTMLELLNQLDGFDSRGDVKVLMATNRIESLDPALIRPGRIDRKIEFPLPDEKTKRRIFQIHTSRMTLSEDVNLEEFITAKDELSGADIKAMCTEAGLLALRERRMRVTMEDFQKSKENVLYRKKEGAPEELYL >CRE30840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1334829:1340263:1 gene:WBGene00058833 transcript:CRE30840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fos-1 description:CRE-FOS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUK2] MFEQPSSTTSTTTSSGGSGSETNHYFELGAPRTPANQGHPTSVIVPPRQHHHLNNQHQQNDSSPITPCTPYYPTHGYGFPLFLGTDFLQFPPSDLSSPLTPNISSPLTPHPFGQIPGIPTNQLYNRSSFPDFYAATSSSPMVQYSTGKKSSAGRKPKEEDNMDDDDDDKKLKRRQRNKEAAARCRQRRIDLMKELQDQVNGFKHLNEKKTAEANDIRNKLNSLKNYLETHDCKLSPEERMNQMRHLTIPQPSQPPPQHHHLHHQLRVQPPRADSVPYSVKSGHSSSSSEQHSPIEDYKPSIDQLQLPPISCIQQPKDRSHNLMPPPPLPASTSSAGMQVITSIPVSHSVSIPIINRSENIFVEPELKIPKIEMDRTLASLAEDVERPSTLPMLSRTPVNHPITTPGRIFRFNGEHYQTPQGAQGGLFIGGGADGSDFLASNTGLTPSGQPTMNFVSTPTPIQPLPDADLRPL >CRE30839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1325487:1327826:1 gene:WBGene00058834 transcript:CRE30839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpg-4 description:CRE-CPG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LUK1] MHSVNLLILIIFLPVLHSNPFPTLPPFSTVSPETANAYLRSFLPWWPNETDFTLKSAPTPPESGNSTENSTANSTEIIETSLGATDEYENKTSTDTGEEELDASMLRVQDVPSSPLDEFSPESAPKNFISDSINHHNGNFIINFDEMGDCPRDCSSDLREALGIVLKDMSHVERYHQICDKYLNASACVNEDIRCDKDDRGMFEVMTSGLHYMCVEQELAFNATIKCIDDEAGLVQSGKGDTQKFPLYFCIFSECDAQCQTKNLFMNWMMRTAMADTIQQGVSGIVGAATGTNPNPLGFLQNGAAGGAPTGWADILAQRPPSAQDAQQGFENFRQFTNDLCRIGDCMLDCIRSKFNTRCEGSAGTLLSEVFVRPIAASQNKLSILRPVLGSFMPEQCNYLHNNADLKKHRIDSTMDEELKRMYAEKMAKEIRDRTTQDELLSNLVPLDENGVPLPRALPELKSIDSPLDVSVKTLDQLSEFIKNRWIFGQYSFFSVLEMYSKNETKPVSISDKKNAEATSAEPEKVEAVVNKTVETTTAKTVSNVTYETTTIKDEYVEKTTPETSGEVSLDDTASGNFTEASGEGSSEEEYSGSSGEASGDYESSGSTREASGDDLLTDNETSGEGYSGETDSSGEKFDAAFESSGRRQTDNYSEASGEETSGEGSSESSGEGSGEYESSGQEVLPLTRSDDMYKI >CRE31090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1319025:1321657:-1 gene:WBGene00058835 transcript:CRE31090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fut-8 description:Alpha-(1,6)-fucosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LUK0] MFKCIAAVGTVVWMTMFLFLYLQLSNNQSSGGDSIRAWRQTKEAIDKLQEQNEKLKSIMENERRERNDQHRKILEQSHQVPANPESQSIPKPEPVKKLVAKPNKLGTIEQEVQKRMLDDRIREMFYLVHSQSIENSTKALIENQMISLMALSAKLEKLEGSEDERMSQRSEITNRILKSIEKLQNPQSCGDVNTLVCNLDKECGFGCQLHHVTYCAITAFATKRMMILKRDGSSWKYSSRGWTSVFEPISKCSFDDAVGKAEMKPFADPSPERVVSLGIVDSLLTKPAFLPQAIPEQLLSTLSSLHSHPPAFFVGTFISYLMRFNAETKEKLEAALKAIPFSEGPVVGLQIRRTDKVGTEAAFHALKEYMEWAEIWFKIEERRQGKPLKRMVFIASDDPTVVPEAQNNYPEYKVYGSTEIAKTAQLNNRYTDASLMGVITDIYILSKVDYLVCTFSSQVCRMGYELRQPSGADDGSKFHSLDDIYYFGGQQAHEVVVIEDHVALNNKEIDLKVGDKVGIAGNHWDGYSKGTNRRTYKEGVFPSYKVVNDWRQFNFEALLD >CRE31089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1317576:1318606:-1 gene:WBGene00058836 transcript:CRE31089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31089 MKLLLILVSSAAVLVAGQLEDTQKPDDFLWNRWEPRTIDCVTSGKKDDCVLLAPNSRIPFDITKFKCRREPMPQTNWNTLAVNSTTRLACPLNCPVNFDLSVLQKRPYVNKNCQKYYTYGKYWDVAANDWYIWQTEPCVAAISTHCRFNDVPITYNPTKATGKNVEEVKLGGEILQTKQSEQRKKVVVA >CRE30838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1316129:1317472:1 gene:WBGene00058837 transcript:CRE30838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-16 description:CRE-DHS-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LUJ8] MFCTFSQLLFYHLLFFLLQHLLQYIFHYRMLELIYIPPLLCLLYFLLRRFVLENFYVESSGKYVLITGCDSGFGRRLAIQLLDKRVNVFAACFTQQGISSLQNEWNLKKGAKGQLYTIQLDVTNQASVDAAKQFVVKVLDEQKAKLWGLVNNAGIFSIHGPDDWCSVDEYSTSLNVNTLGAVRMCHAFVPLVKKSRGRVVTMGSTAGRLHGLYVAPYVTAKFAVEAYMDCLRLEMRQYGVSVHILEPGCFKTELLNQDAQRMRIQRIWNNLSAETKEEYGEDYRRNFETAWESGVNVVANPNIGWVVDCYSHALFSWWPRLRYCPGWDAIFMFIPLSVFPTALQDWILAGLYRLSPGPSLTPAVLVKNKKRRTPVQWIQFLSQLAIIPLLYTIFFVKNTQKQTVETAHPHNVTVTE >CRE30837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1309796:1314713:1 gene:WBGene00058838 transcript:CRE30837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acy-2 description:CRE-ACY-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUJ7] MSTVMEMSTTRAEIRSVLVKHWRSLDKARLHTIHLWLLLYAAAQIFIEYYFSEVYAVLMLEADDRPQSKENHESVKKWSPFCFYASIFFIAYLILTCFAIRIRQPVSQLFLDPHKEGMGLVLVSLSLCVLLPAFSWILHLPVVIFVSRERIHTNEHDHSALYTSLCIASVIQFFILPRHRRIFFIVAVSWIVANFLLIVAINWDALNVNSLFMCSCLSLFLHQGVIAIVGVIADSNEAGNRAEIAKKLTEAVYRRTELETLKDRQEQLLLSVIPAYLADQVSKSIIQSSSTGTGTGKANSKNHKLFHDLHVQVHDNVSILFADIVNFTVLAAQLTARDLVRTLNELYSKFDRDAQRLQCMRIKFLGDCYYCVSGMPVNRPNHADMCVVMGLEMINTIKQVRLATGVDVNMRIGVHTGSVLCGIMGLRKWQFDIWSDDVTLANHMESAGVPGAVHITKSTKDRLLGEYCFVDTIADDPHVMSYGQQTYHILPDKTSAIERTASIYRSSRLSTSPALQSRVSMKAKVSKMVEFWGAETPFANFTKKKFSTSHDPALITDEIARRPTYINTIPSMTLIENNLTNFSFNNINSMFNCELPTIPASPKLLWPFSRKSITCNLSDCVLLMIVCIPSALANMLLCSLYCPSDVLQQISLQQFFTIIGLAMLALLGKVCSYAGPLITMVAFILSSCIPIGPHIIIKKSKLDGVMAFNSLIFLPSCVSHLVSVFILYRLPYSHRCFLFFADFVIFQVLLSIFPAYGAEVYVGYMGYHLSIVSISLSLLVVLLFFIDWIVSFHNCFKIHLYYPQTNYERKRESACHVSFQNEERDVETMQDINKILIENILPSSVAAKFLSPDRAVNNILQELYARQHDNVCVMFASIPNFKDFWSEWDTNRKLECLRLLNEIVCEFDKLLSKPKFSSVEKIKTVGSTYMAAAGLNESETDCEDDELIYLEKQNHGKFNNNLRRGNLAFRNANLMIEFALAMSQILDALNRDSFQNFELRIGMSVGPLVAGVIGAQKPQYDIWGNTVNLASRMDTHGEPRKIHATTDMGLILQAGGYRVQSRGKIRVKGVKEPMETFLIEVDSKRNSSVSGEPQQNHNNNNNKDNTCHSTL >CRE30836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1301575:1304469:1 gene:WBGene00058840 transcript:CRE30836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mcp-1 MRITLFSFTLRPRPVDKMPMRKIVMLGANARTQRSVSSIQLPSEYSPSASSAPYFNYSPSDFILDLRSHQKEEESYQNGNSHNDDEEKKQLKHLLHERWENAKQYNAFNYSLNCMYKCLDGKYDLSMQLNIERGDLRRKPMHFKNIKEPFNHLRFNFTKLHDNEVRLVPIFYIATSVSQILFYLKCDSDPISNDPLDRHLVAVNASPLERDHSLIVPSVNKCNPQVLTLQAVRIAVDLMLLVDDDMFHILFNSLLGQASVNHLHLHAMYWPYDSDLINRKCEPLHDVPGVFVIRPPCWICPAIVFQLDCIENYEQFKMNIYKCVEHLTETNQAHNLFLARAQPIRTTGAEKEEDRRGERPQLVTCYVFPRMNMIGAKPPSNFNPAANELAGNLTSYTIRFFESANEQSVIRIIEEEASLDDDSFQSLCFDLADVLIGRTVGTSRPHDLDFLEGLTSPEIDELRDSFQSFMPRSPSIRHRNSTPRTHSEE >CRE31088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1298061:1300344:-1 gene:WBGene00058841 transcript:CRE31088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pcm-1 description:Protein-L-isoaspartate O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LUJ5] MRRLEFISTLIIFTTRSMAWRSSGTSNKELVENLRKNHVFASQRAYDAMLAVDRGDFTRNDPYQDAPQRIGYNATISAPHMHAAALDYLQNHLVAGANALDVGSGSGYLTVCMAKMVGSSGTVVGIEHMGELVELSKKNIEKHHKEMLDSGNVVLVEGDGRQGFAEKAPYNAIHVGAAAKGVPKALTDQLAEGGRMMIPVEGEDGNQEFMQIDKIDGKIEKKTVEHVIYVPLTSRDKQWSGHR >CRE31087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1292053:1292794:-1 gene:WBGene00058842 transcript:CRE31087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31087 MIFSFYSSIFVSLLPLLVTSSFDEDLIIERRPHHVDWEDLFMEYNRYSAPNRNKQQVNITLEIVGIRKDKVLFELTQDWRDERLRFVGVARVPVPSHIQPWYPDTYIRNGWDVVVEQKSLELNYDGTFQFRQKYQTAVDLDENGKELTLVISSFNNYGTERIHYNLVDSKVDLSTHTHITSKQVLRKSDNLHFDDIYITIHPNPIDSIISSNSTF >CRE30835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1289646:1291787:1 gene:WBGene00058843 transcript:CRE30835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30835 MRLITFHDSIINLFKMSPISIDMTIHKHLRQNHRTEHPYRAPEEEEDLAHINMTLRYALIVFGMVPFTTFLLGIWVAAHPHFYRIEMHKNMSDYIKANYQWNETGNGQIWVPWENNTKWNLGSYWNNLYVNNQTAYTFGINDHNFCNERKDDQFKYGGWSTSIYRSSQLYSSVQTSLRYGVLFVFLPFVFNSFILAKSLVIQHQTLASVVIGTLYFCNEVILHISYFAVLTLHVTHEQDYIPISNIYFSIAMILSILKLMLRNFTEPVGLSTIIRGVGVFVLIVAHDPLTASVQDFIDHVYCDTFVTPFICVLELTCILILFFNNLYDIQQSRLVHLVVSQTIDDVNVQKMARKSVFRRGKPPSN >CRE30834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1285635:1288182:1 gene:WBGene00058844 transcript:CRE30834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pst-1 description:CRE-PST-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUJ2] MDPLSWGRGWYAIQSISGSVIQYLKEARDKPPDELVWPFRLFLILLGYSTVAIPAALLICYVRRNRHAFETPYLSIRQILRSFAVGNPEYQLIPTGEKQASSSRKDGDSIPQTRAQCINVIMLLLFFFSGIQVTLVAMGVLQERIITRGYRRSEQLEIEEKFGETQFLIFCNRIVALVLSFLILSKDWTKQPPHVPPLYVHSYTSFSNTISSWCQYEALKYVSFPTQTICKASKVVVTMLMGRLVRGQRYSWFEYGCGCTIAFGASLFLLSSSTKGAGSGITYTSFSGMILMAGYLLFDAFTLNWQKALFDTKPKVSKYQMMFGVNFFSAIFCAVSLIEQGTLWSSLKFGAEHVDFTRDVFLLSLSGAIGQIFIYSTIERFGPIVFAVIMTIRQMLSIVLSTIMYGHELTFWAAIGFLIVFIAIFVDIHKKYSDKKRVPQRS >CRE30833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1282115:1284641:1 gene:WBGene00058845 transcript:CRE30833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30833 MSDDEAAVPGNKPIRLPKKAAKVKNKAPAPLQITAEQLLREAKERELELIPPAPKTKITDPDELKEYQRKKRKEFEDGIRKNRMQLANWIKYGKWEESIGEVQRARSVFERALDVDHRSISIWLQYAEMEMRCKQINHARNVFDRAITIMPRAMQFWLKYSYMEEVIENIPGARQIFERWIEWEPPEQAWQTYINFELRYKEIDRARSVYQRFLHVHGTNVQNWIKYAKFEERNGYIGNARAAYERAMEYFGEEDINETVLVAFALFEERQKEHERARAIFKYGLDNLPSTRTEEIFKHYTQHEKKFGERVGIEDVIISKRKTQYEKMVEENGYNYDAWFDYLRLLENEETDREEIEDVYERAIANVPPHSEKRYWRRYIYLWINYALYEELVAKDFERARQVYKACLEIIPHKAFTFAKVWILFAHFEIRQLDLAAARKILGVSIGKCPKDKLFRAYIDLELQLREFDRCRKLYEKFLESSPESSQTWIKFAELESLLGDTDRARAVFTIAVQQPALDMPELLWKAYIDFEIASEEHERARDLYETLLQRTNHIKVWTSMAEFEQTIGNFEGARKVYEKANQSLENAEKEERLMLLEAWKECETKSGDEEALKRVEMMMPRKVKKRRQIQTEDGVDAGWEEYFDYIFPQDQAAKGSFKLLEAAARWKKQREEAARAAQEELDNPVPAKDEEEDNEEQKEEKVRDGDSDTDLSSETSSSSDSDSSSSSSDSDDSDDEK >CRE31086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1278000:1280518:-1 gene:WBGene00058846 transcript:CRE31086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31086 MRRRRTFIYSIQIILIASLVFLFYFVTISDKNLFKQGFGKKFRNESSSSFPRQAHLLDNYCKFAWTEPMDNTLKKRLKFPKRAGCEKYNIDLFKRYPATGEFSMKSKAHRNKLECVAQVLKGGLRPAAHTYQLGKTQTFSPKLNKRFWINANNFILTCFSGQLVIYRKQFMGFKMDENLKLVVDGPFEIPEPEAKILKSGSKQFSISILGLDSTSRAQFGRHMRKTTDFLQRMGSVVFEAYNKVGDNSAVNLIPILADELSETENMNLLDETGDVNLNKILPTKTPLNPDTIQWIWKHLPPEYITMFNDDVMHTSRGLFHYPPDNFLDGFGESPARFYYRPYYNHLYSQLSNWWRKCLDGELLAEVFIDSWFRFERIFSKTPHFGFTFISSLTHDDPNNLEMLDHTLFHRLDYLNRTGCLENTILIILGDHGNRVHPLNRYTFAGKIGRFEERIDKLDNISEERAPYLSILVPPRFRKQFPEKYRNLRENSQRFVSNFHVHSTLKFITSLSKNLESSRRNKSLFELQPINSTCQDNRVISNHCLCMVDASQDISKVPNSESLEEVLRTYLTDFNECFNVESLQCGNVVSSGFQIQQDVKKEFQTETLMPNNYVQVWARTKISDSEVVRKKERASAVRPKFDKNQFYEKFQVIYPYLTCNLQSKNGDSVEVLTQFRLIQLSQNITIPYPPRLSVHSPSQCSHFSFPTDYCNCVDLLLAV >CRE30832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1276318:1277920:1 gene:WBGene00058847 transcript:CRE30832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-galt-1 description:CRE-GALT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUI9] MPRLTASKLLLLSVLSFLITIFYLFSKTSVSLETDPDGSEYDLDTIDEEIKYARRLLDEIPDPSKNRVQFSKIDDNGYAFSAFTDDRNGNMGYKNVRILMFITGSDEFSCEINGKRSYGVTLYELSENHKMKWGLFILNCLLPEGITFNDVNAVKISRISTGVTIQIPIRYRIQDEKSMTPDEYDYKMSTCVPALFGHVYYARKIIEFVELNSLQGIDKTYIYYDPVKMNDEGTRRTLNFYSDNLKINLIEFTLPFNSHDVWYHGQLATITDCLLRNTGITQYTFFNDFDEFFVPVLQNQTLLETVSGLFEDRKVASQRTALKFISTKINRSPYTLKNVISTKRLETRFTKCVVRPEMVFEQGIHHTSRVIQDEYTSPSHDGSLLRVYHYREPKYCCEKETLLKKRYEYKLREEFDSVVNLLEV >CRE30831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1274056:1275632:1 gene:WBGene00058848 transcript:CRE30831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-17 description:CRE-SRSX-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LUI8] MSINYQDLTLALQIYTVVLCLIGLFGNINLIVATCRHKSLRTKMGCLIMISTIAHTICLVSELVCVKLKLRFTQTHRDECFRSVVVYMFAVLFQSTLFLMMAIDLFLAVIMPIRHKLWRRGPYLLVLCIPPLLFSCFALFIEEIYINHDDLLICTVTLAAPPTVRFWGTLVTFSTIFLAVTLIFITAFKVHANERESARRILRHSNSVTSNTKCSDAKLLKSLSTLMFFFICSWSLSILLSHVSLYFGKAVAYEIQKYNILLSLPTFCQNFFVTGLRSPRYAKAYAEQLSFLPFVSQRVSQFRQNSSVKSAFV >CRE30830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1270206:1271837:1 gene:WBGene00058849 transcript:CRE30830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-18 description:CRE-SRSX-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LUI7] MKQLQVYIFHFDRPPEVELLIRKLSSFLIENSQHTFCHTSRSSSFFLLFVFSPSIFCRFRVYIEAMNFQKETMPLYFRMLPFLQAYTVIVCCVGLFGNVNLIIATCRYKSLRTKLGCLLMISTISHTICLISELISVKLKLRFTQTHRDECFRFVVVYMFAVLFQSTLFLMMAIDLLLAVVMPIRHKLWQRGPYLLILCTPPIVFSSFAIFIEQLYINHENLLMCTVSLAAPRNVRFWGTIITFSTVLLAVALILITAVKVHINEQSSSSPFIRHSSNSMTKRPRSSEVKLLKSLATLIFFFICSWTLSVVLFHVAMYFDSSIGYQFHKYTFILQLPTFCQNFFVTALRSPRYARAYMEQLSFLPCIKARKSILKARQSSNNCSKPNHIAEL >CRE31085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1266132:1267032:-1 gene:WBGene00058850 transcript:CRE31085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31085 MFHLSTQIQLIGANTGRGLVKNNAILEQVAKQNEFLKTDRPECVGYELPAGFKRTETFACSKTEIYNCLDCEPTCHNLVPKCRKVRRIFATVFTIHDTRVQRSVQEQCNKGCVCKNGLARNTEGKCVTLRECAAQSPPKNDSVKGEEEDGTVMKTVKKMVPVIVNDVWKALFNSISTPDTQGKTGNKDVITPVLTPVETEFRDKNVNITFTAPAPSEIKDEKQLQKGFYIDYP >CRE31084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1252541:1256161:-1 gene:WBGene00058851 transcript:CRE31084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31084 MNNGVRFFYSRLLILHKASLLTPRIQHVTFSSVPVVELDNKLVRRGDFRGVTAAKEKSRAKNPDVILSRMQEYLRKNYRVSGDTILFEVVRQIEEGNENFTNHVIRSADRWIPMIIQSCGHPLSNIENSSKLEILERLWTQLKNKMDSPPVYAWNARFRVLNEIGADWDPMKELDSMERSGIEPNAETFHAVVDKISASGDVEGLKNMVYTMATKGFPVDAHINAASVFAFSIRGHYMKADSLCQQAATKYGDDGARLAHGAAVRAAACRGDNDRLRQILRKCVIGSTKKLALSADDILETIWQMAEKSRDGKGAECSQLVEQMLNCTSRDEGFFRKLFREIERHICHRHYYTALSLLEDTKRVSDCLENQRKSTFLHQLVGRLSTQLIRNHEPSHLIRDIANRVHYAFNNKNSNIRIRMYDDLLFATLMLKDMELDDRLEYFRNLIDEIDVKRERIHITLPLMTSENDLNQRLAIIYRLSTMGYRNWSTIDNEPLVQIILQPLYNNGRPIRDQSKLDKLARILKSYGIPERQTWLILHKWAKQKAKDETNQPEEQWSRPFARDLRGWCRAYYSETFETPKTTSNKPVPILYDKLKSCVDQGDITKVSSVLSSNGWPTDTNFAEIVPPVLNLYLMHESWKNVRAMLEELSPFSCEWDEDSSKTPMKNYHLLSVLRRMAEEEETLSIRGITDYAYELRTLFPNASCHYEGFFETQNEYKKLFVKCFERLERQGFTTTTVDELIDFLRCLVKLDLIQLHPSETLTVFFINILLKRVGWSEALNTWQKFLSSLHCPNGTVALLRYCLQQNTDESKKNLQFVIHRGSTFLSQSRITAMHLAVLIGMKRFEEAEKACEQTSIEIDPNDCLMAMRLMSSLKARSFDDQFMLDFAALCLRKLGMAKNKEASQSMQADLLRICDTRHMGPTALRIYDLFSEYGVELREEERNRLKTAIEKHSSLSKKWIFKPDGFLNIAANDVIITTSEEARIQEKLKLTS >CRE30827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1251715:1252354:1 gene:WBGene00058852 transcript:CRE30827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30827 MASSPFPAESLESRARTPLSKVYFSLSRSAQLYFSIRSLLYFPTSRFKMMFGTSSIILVITMMSVLVEGNWLLQRNLQWQGGMGGMPQQEMRTIKKTFLPPRYQNLWGQGESSKVVGHSALAPQNMDDYKMRTQQRQVFSWQPSNSFNEW >CRE30826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1248653:1250206:1 gene:WBGene00058853 transcript:CRE30826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30826 MCSKPGPLGYSVSLTTAHLIAAPIYAVAFYTLYAEKSSNFKVYKRYLATHAVSNIIFEFHLSVVMKPVLYLPYPTIRFTGIYSLRYINGGITFFIFLLIIVVTCWSIVELFHYRFRLIVDSNLCSAWVKRAERIAVFARWTLIVFTISTVITLALCVVGLFDQRMHKMKLIQIIDVHPEILCMSALILPKSSDTGLKPIHLFNASAFFSLIVGSFLCTFMGCVSLLALREMVLQTRASMRTIAMHKAFLISLFCQISVHGLMLGFPVCIYITSVICNFDGNEVGYVAIVMASLHGTMSTLAMVILNRPLYELFISRIWRIFSPNNVFFRDQSSFISMGGSRF >CRE31083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1245411:1246723:-1 gene:WBGene00058854 transcript:CRE31083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31083 MVHYHNLAVFVQAWHIFFGVLGAVLNGLLILLAVFKSPKAIRSYSKLIIDFAVTDLFACLLDMFIEIRMLPSPNEASLTNVLNGFCTYFGLTTCTIGLSLFIHTLTHSLWSLLISFGYRYLILFNASLKTKHVLITLLIFYIPSLIQAATYWTNFVDRSTILPIARRVYPEYDFETEPGLLTGITNLFSVSATYAILHMTLPVTPVYITILILRRSITKKLMKSHSIMSKETKAVHAQLLKALTFQAVIPVGAWTAIYVYFAMQFGLIREVIFEYLIFSTVIFLPVFSPVTYLIYVRPFREFLFRTFCCKISPSAVEEKNRTKFYTQYNHSTTRK >CRE31082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1242549:1244099:-1 gene:WBGene00058855 transcript:CRE31082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-15 description:CRE-SRD-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LUH9] MVHDHNLAVFVQSWHIFFGVLGAILNGLLVLLAIFKSPMVIRLYSKLIINFAVTDLLACLLDMFIEIRLLPSPNEATMTYILNGFCTYFELTTCTIGLSLFIHTLTHSLWSLLISFGYRYVILFNASLKTKHVLITLLIFYIPSLIQAATYWTNFVDRSTILPIAKRVYPEYDFETEPGLLTGITNLFSVSATYAILHMTLPITPVYITIFILRRSITKTLMKSHSIMSKETKAVHAQLLKALTFQAVIPVAAWTAVYVYIAMQFGLIRGVIFEYLIFSTVIFMPVISPVTYLIYVRPFRQFLLRTLCRKCAPSAVGDKNRTKFYTQYDYSTTRQ >CRE31081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1239324:1240525:-1 gene:WBGene00058856 transcript:CRE31081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-16 description:CRE-SRD-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LUH8] MINDTELVSDFRILHSVFGGTGLILNVILLLLAIFVTPKAIRLYSILIINFAITDAFACLLDIFIEIRVLPYPDEDSMAHIMNGVCKYFGLTTCAVGFSLYLHTLTHSIWSLLISFAYRYLILFNTSFKRKSIILVILAFYFPSFLQAVTYWSNFVERYEILPIVQRVHPTYDFSESVGLLTGITDLYSPSVIYGMFHTTFPVTPIYIAIFIVRWKIIRVLMKNQDSMSKETKAMHSQLLKVLTLQAILPATSFGTSYLFMGLKLGLLTGQIYEHLVFSIAIFMPMISPITYLVFVKPYRLFFIRYTLSKLFFNLSSFRKFCKRCCKTVKQEKTQTKMYSNQDHSSSANRK >CRE30824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1235079:1236185:1 gene:WBGene00058857 transcript:CRE30824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30824 MSTSTAPSSASSDADSLNHDDNPIKAVIFDYGEVMWMQSRNVHVYRKIEEDNKLFDNSLIPTLVSKELSSILPKGFQEDLLTGIYTAKDFDRLFLSAYNRKFGSQVESLKFFSATEYDNEAVYEEAVLTACRKLRERGIKTILMLDTYHVDEKRNGRRIPNMEKYFDFVLESCKEGVKKPDPRFYQVALDCADLQPEEVIYVDDSKINCESAAVLGIRYIQVFNSMDMLEDLQEILGFDLD >CRE31080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1227301:1227941:-1 gene:WBGene00058858 transcript:CRE31080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31080 MLRMVSNMKLTCEDPTELQKTLAPYFAGFNRTTECADAEGSMRFMHPQGVIVQKNTTSTFGKEALTDLFKRWYDFTGPYYFNRYDEKYSGGGDWIVVEARMELVKVQGKEVILRGEVMHIWKKEKDEWLMFYEQYHVDN >CRE30823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1226276:1226963:1 gene:WBGene00058859 transcript:CRE30823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30823 MVVSLTIKRLLSSTVDGSAHLCVYDANHNGDDLTVTISCPLASGCCEKGCCKLPVSFITNSHMNTSSLSEEPISNTSQFNETILLITLTLMIFFFGCISILFIFRKVRIGGVLLIVLLVIQIQHIRHKEHEPDFVYHAYRPPQPKVSKHPPPTVILTDYRNMTLE >CRE31079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1220449:1221775:-1 gene:WBGene00058860 transcript:CRE31079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31079 MSSSSNVAQLQQMGQVAGTGPDEVFQHGTIGKFIRMELEGGFSSFVRCIYGAKRTNNNTVTLVCERDQECCEHGCCPKDQHWMAGVYVLLAFVLLVFVVGTCLMICCYQRSKNRQRKEELEAAEFRQAGGYAPSQVGGGAYSSYGGPTY >CRE31078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1219002:1219653:-1 gene:WBGene00058861 transcript:CRE31078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31078 MTFTMTTCLLSFFRMMSFALLISTVSSAVIQPRIQEISSSTPVPWNSQQDSSGERVRRQYPYGMGNYGAYNGMYNNMYSGYGGYSPYSYAGYTGYQYPYTNGIFPLQGGYYPGSIVGSGSIWAAGGGLVGNMLSFLVG >CRE31077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1211067:1211529:-1 gene:WBGene00058862 transcript:CRE31077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31077 MLSAAIVEHAALGFAFAVLSLFVLAFAVIAVVLLTISHSYAEGDPLMEDYNTLFTDANVETGEPIETVVGYTPKHADIV >CRE31076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1197684:1203058:-1 gene:WBGene00058863 transcript:CRE31076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31076 MSVQDKNVGFELNETEFPELSGKLTGRKEKGRRSAVANRHARQAAKAGAFLVKTIDDPNHVLGKKRKERVASESHDGINSNVVYSMNKNKRWEALEAEATWETAGGEQSLPVQIVERVLTAKDTIDNVVSLTEIDKNGDVVRHETAGGKGANLQTLLVTGTTTNNKKTRKARKESEASTTSESSETSEASLDENGEPKVQYTIYKPHKNHKVLAGKLFNRSYNTRKAGKTRKNLKRVDRDEMSISIDEMNQSIHIDDSYMYKPSRDFKFCLGDYITAESCTTKPVFIRRSSIESVQPSEPAVTTFDDSEDESSGPLNVVDISQVLRTPHTFEWALLDVSEWKDIEKQVEEMKKDNKVYVQWLDADLTRLSIDASRLVLGEKQPHGASVLMIVVERAILAKKEHLKVLLNSDIAPSGPFSWQILRGLLKHATSLQEVVTMLSKLVAEWKEGSIVAPECIDVHRSQKSRFEMKRDLFQPAFNSMMLATAAQVMSAEKMATILRDEAMNTEEPEEKFERIDFETDDFDFEDADEDKEPVPEKLKCATCDCIKNSELFELDDSWQCRECLCKHIIDQIRAKCIPLEIPFVLGEGQSAYDILPAIIPLPLLNFYTKIAATESLANVDGGDIGECPSCKQLVHIDKNINEFHTSACYSCGIHWCPTCEREPHFPMTCASYAAWIEKWEKEYELHVLEKTEYLKRIKCACGYTMEVRDQANRAECGGCGRVFCPQNLEMLELAYWSIDEKTGAPVRRLETSSVLPAISIESIVASKQIKKEFSDVCGEARKLRFSVSKKTEFEKAVRKLKNTYAGVEKLRDIRKTVSFSSRFTYLYLTVCFRCDFQTRYASIEKSLSKILDQFKQLNIASA >CRE31075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1194676:1195596:-1 gene:WBGene00058864 transcript:CRE31075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-140 description:CRE-COL-140 protein [Source:UniProtKB/TrEMBL;Acc:E3LUG9] MGKLILVGSSVALTCCLVTVFTMGSLIQEISDMKMEVEDGMVEFRVSLYYLLQINNIRFFQEIHQDTWNRVMIKHTNPTGATDAPANFQTLFGVRRSRQSGFPEQCNCGPRSEGCPPGPPGTPGEQGPQGESGPDGDDGKPGLAGEVVAIVHDLPGGCIQCPPGRPGPRGPPGEVGPAGPSGDNGRKGPPGPPGGPGAPGEGGDSGRPGNPGRPGPAGPRGEPGTEYKPGQPGRPGPPGPRGEAGPAGQPGAPGNDGESGKPGNAGRPGPPGPPGKNGTPGTRGEDAAPGPDAGYCPCPARAAYKA >CRE30821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1190102:1191061:1 gene:WBGene00058865 transcript:CRE30821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30821 MVYNLLSNPLYSIFRELFLALFTKIDERIIEETVEKFGVILRNKHIRIKTMIVSSLCSIFKHYRNNYPITVFCKVPGMEEMAREQVHLVLSLTKIMLASIRHQIHVKNFYISYCGNQEEVLCFLPFLRPGFLKTITLDNRKSENAYLDQVVILPQVIQAEQVCFDEFEKDRIPIESFWNIPNVRITKATYNFHEMNQLIQHYFKHDYFEYFAMARVDDDWFPKDSNTFIDDENRKSMIVKGPKFAIKIVHKLEKRAIVLNRILFTY >CRE31074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1188101:1188845:-1 gene:WBGene00058866 transcript:CRE31074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31074 MNDEVNLKERRPGPAGPRGEPGTEYKPGQPGRPGPPGPRGEAGPAGQPGAPGNAGESGKPGNAGRPGPPGPPGKNGTPGTRQDQMLDTVHAQLVPPTRLKHYFLVFHNNEHFNRKTLFLFISQKHSVYFH >CRE30822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1193472:1194616:1 gene:WBGene00058867 transcript:CRE30822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-76 description:CRE-SRH-76 protein [Source:UniProtKB/TrEMBL;Acc:E3LUG8] MSCQSLMVSSLMTPVSWLPTVSSSIMGLFSKLGISPAAQAYSTGTILEIIPCPHEELFELPVFTISINPEYRVYAIIILLVCTGVLLQLNVYAATCIYYLVFSKSKNSSRVTSNRQKKFFYGILIQISVPYGFLIPAVIYSCYSIFNNYYNQTFNNLLVIFANFYGTVATFALLFTHSPYRDFIRRCCRLEKRKNTTTGKISISGNRPSVFVK >CRE30820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1182392:1184613:1 gene:WBGene00058868 transcript:CRE30820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30820 MSGSEWLEFQYRLITVLVFFSLFCNAVLILLIQLKSPKKLGPYKYLMNFISIFEVFYSIIDYLVIPVIHSHEPPFCLSERIATFKGNNIIFWMLIPVIFLMIWGTVSFFCCGPKEVMTNFIRNNLIEDFNLSVDEVVYIGPHFYTEDGKFLLDIDEVNSSLMTIFYFVWKCYKLLSGVLSTQVDSQRNTEDFNANSLLLWFSNYCETTTKAYYETRLSLRTCSLRTKRLVDAMPFSFEHVKIYTEPFMIIIRADMEQTITYSRIAKLVYNCLWEMFLFAFKKIDEKTIETELNELGEILRNKNIRIKTMEVSLTLLSADIPPEFEKVSAERIRLVSSLMKIILGSLDHQLHVENFIIEYRGNQDEVMCFLPFLNPNYLKFIKLKNCEANNASMYRILNLPQVVQCNRVWVDGFPRVQMKLFWNIPRVILTKANFSFHDISRLIQHYIQHDTFEYFSMEGVSDDWFPDDSDTFIDDKNRKSMIVKGTKFSIKIVQKREKKTIVLDRIPLILQTF >CRE30819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1171243:1177130:1 gene:WBGene00058869 transcript:CRE30819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-418 description:CRE-LET-418 protein [Source:UniProtKB/TrEMBL;Acc:E3LUG3] MSTEEDTTLVEDESMEEGSVTQEATEETEEDEEQDQGEEEPGPSDRRRSSRKKGGKAKKGSKKAKADIPDPYSATSEEVFGALGMTDVQFDYDLEEFQGITNLKTFSSIIKPQIMEANPGAIVSKMYPMFQVKYKEYQDHMAAQGKPVQKQPRGTKTPTVATPVAPPKVIPTKTRSARKKQRRDSEGPDSDQEFENFIKQQEQLEDDKVKEKEDAKIKRAAAKMEKKKEALEAARAAKKARLEQGEEVENNDFCEECKQGGELLLCDTCPRAYHTPCIDSSMEDPPEGDWSCPHCIEHGPEIVKEEPQKVNDDFCKICKETENLLLCDTCVCAFHAYCMDPPLTQVPQEETWNCPRCELVKPEQKAEKILCWRWKEIPYPDPLPEGTEPTEDDLLLKPPRKMAPRREREFFIKWKYLSYWQCAWVSEMMMEVHFRMLHIMYWRKNDSEIPPDFEESVTSRHHSDNDPFKLRERFYQYGVKPEWMQIHRIINHQSYAKSQQDYLVKWKELSYDQATWERDDANIANYEEAIIRYWQHRERMLNDDVPKNVQKMIAKQREAKGLPPKEEESRRPKKREKVDIRKKYDVQPDYVSETGGNLHPYQLEGINWLRHCWSNGTDAILADEMGLGKTVQSLTFLYTLMKEGHCKGPFLIAAPLSTIINWEREAELWCPDFYVVTYVGDRDSRVVLREHEFSFVEGAVRTGPKAGKMKTTENMKFHVLLTSYETINMDKTILSSIEWGALVVDEAHRLKNNQSLFFKNLNEYTIHYRVLLTGTPLQNNLEELFHLLNFLSKERFNQLEAFTAEFSEISKEDQIEKLHNLLGPHMLRRLKADVLTGMPSKSELIVRVELSPMQKKWYKNILTRNFDALNVKNGGTQMSLMNVLMELKKCCNHPYLFVKASLEAPKEKNGMYEGTALIKNSGKFALLQKMLRKLKDGGHRVLIFSQMTMMLDIMEDFCDVEGYKYERIDGSITGQMRQDAIDRYNAPGAQQFIFLLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRAHRLGQKHKVMIYRFVTKGSVEERITSVAKKKMLLNHLVVRAGLGGKEGKSMSKSELDDVLRWGTEELFKEDECVADTAEGEGAEKKSTAQEIVWDDAAVDFLLDRDKKEEAAAPEEGEGKADWQNEYLSSFKVASYQTKEAEGQEEDEEEEVEVLKEGDEKEPDPDYWEKLLKHHYEQDKEIESQKLGKGKRVRKQINYASENMGTDWSKQNQAQEDDDDNESYHGSDNGEGLNSDDDDYDERRKRRRDENSEKMPPLMAKVNGQVEILGFNPRQRKAFYGGVMRWGMPPQDSHQSQWFVRDLRNKSEKVFRAYASLFMRHLCEPGADGHDTFNDGVPREGLNRQHVLGRIGLLSLVRRKVQEFEPYNGEWSMPEVQDEIIARAANGSVEGSSRNSPRPKEVKDEQKEQEVKDEPMETGEEPSTSAETPAAANEASETTETTETTEATEGSTAAPIDEPMETEEASQEVKVETKPRAARPPFKFNICDGGFTELHSLWTNEEKVARNGKEYEIWYRRHDYWLLAGVVVHGYGRFQANFNEIINDPRFAILNEPFKEAGADPAVSDIKSKFMQRRFKLLEQGLVIEEQLRRAAHANRHLTPDNVGPLAQRFADLENIAESQANIAKESAAGNRNANAVLHKCLVQLDEILSDMKADVSRLPATFTQLSSVTERLNMTERQILSRLTTKDQDAKAGRTPLPPPGPFVTPVLRQQMDGIQPKFAALFSQFMSPNGERMEEDEPVEAEEEVVDVKEEEAGEEQAEAGERTPEVAETTEAAEIAETAETVEARETDGSPPVLSAGTVNKYITNHQYYISEDDTESRNDDVAGPSDAGETPVVEEKTDAEPEPEPMET >CRE31072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1159227:1159592:-1 gene:WBGene00058870 transcript:CRE31072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31072 MIPEFPLPTVDADFYKSQICQLPPIFGHAVNTPQLIEPTSFLTKMDSPIVDSLRLNCLQNAVKRATIRQSNLVSKPTRNTVSSRSINTLNSLSSLMSSLVITQ >CRE30817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1150388:1154294:1 gene:WBGene00058871 transcript:CRE30817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-17 description:CRE-CYN-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LUG0] MRLAAQLILLTIAAYTANAGQASRQAAVNKAIEQTKSRLTPAASPLRVPPRANSSPGKTENLDVDRLNRLIRALDKTWIMPKAGAKEPVGSNFQYKKTCASIYKARHGACQQLGFGVMCFNYCHERGEKLAFKCQDTSDTSYCKQSGTFDTFLAKYRKDGYKAKAYIHQMISRCYATTVCNTQTGILNSTIIDEDPEPVEKTTKANRLKLLTRKPGNALSLMKLKTKTTTTTQAPEEVPEVEETPEEEEEHTTTTRKTRPVKKVVNNTLRQKPTPAPEVTTTKANIWDKFTIGNKAKPTPKYIPFWQRLMSTTSKPIEDEATEETELNTDAPEEVVEEASTQAEVLEKEVEETTPEESETTSIPEEDLVETKSKVHKTNAESSTDAPKHTFKPIPVTVPSTGPELSHQKPNEDVSGPGFWNRFQPNRWFDSIHYVTNTGK >CRE31071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1146337:1148772:-1 gene:WBGene00058872 transcript:CRE31071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nstp-3 MTMSENDSKFKYFGILLLTLQQASMPLMARYSRAREDSNVFFTTVNVFMMEIIKVVVCSGIIIYTSQSISKYVNELKSAIVDHRSETLKVCIPALIYTLQNNLYYIALSHLEATTFCITYQMKIFTTAIFMYFFLGKKLSPKQWWALVLLVLGVADIQYVYSPPPASEDIEQNPMYGFIAVLTMCFTSAFAGVYLEKVLKSSNASIWVQNIRLALIGLPISFLSMWYYDWEKINEQGAFRGWDFVVVCLTVTNSIGGILISVVIKYADNILKAYAQSMAIIGAAVGSWILFDFSPGFMFLLGTFMVIISIIVYTAFPYQEPESKLSAYLKSQQNSSLLMIKPGGK >CRE30816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1144262:1146213:1 gene:WBGene00058873 transcript:CRE30816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30816 MQFILILSLLLHLVDSTNVTVLLVGSKHKLTEKRVIERRKQILADCEQQKLSCSVFDALNDISKDSDPQGMWAIVPAILKLPEVSDWTIVAEDTSEVNINQLEKFTKSKSSADMVFSGFGLKDNEPTIIHHFGMNSPEGFKYPLISAGFILSKSLVEVIREVDNQERWSGFAIDAKYEFALLIHKWSSVLMDHESSFFCCGDPSETCITSCSPPPTDTNSLLDSDIHVMIKTFKGHHKSRISVLKNTWTSEITRLEYCSDVEDPTISSIDLGIGNTERGHCAKTWAIFRRFLEKTGTGAKWLLVADDDTLMSWKRLKMMLELYDPDDKIIIGERYGFGFSMSGDTGYDYPTGGSGMIFSRSAVESLLQTCPSCAADNDPDDMTIGICAVTSGIPIVHESRLHQARPQDYAPEYLRDPISFHKFTDIDPVSIYYSYLVDFEDLIEREKHFIKTEL >CRE30815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1143156:1144166:1 gene:WBGene00058874 transcript:CRE30815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30815 MSSKSSPPTDPSWTTSLGKALSPRSEWPDKDELLDVVYWGKQVLSLLVGLVFGFTPMTGLLGIISYVVISSVVAQHYVTKFQKVDEEDVGGFWELSKEGFGAAFATYMVTWITVYTYTMQN >CRE31070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1138925:1141998:-1 gene:WBGene00058875 transcript:CRE31070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-142 description:CRE-NHR-142 protein [Source:UniProtKB/TrEMBL;Acc:E3LUF6] MEIGMSPPSFLEVTSGIGVDLRLQPSSSSSNYECRVCAHSAHGVHFGVLSCRACAAFFRRSVVMEKKYTCRKANQGCRIDKTERYLCRLCRYKKCLQLGMTADNVQWNRDMISSTDRKRQSDDDGTDIDAYLDWSPESKKSCLDGPPLYDLSKILNKIHKTFMEFKIPVDDPVYQATNTLGKMDWALRRHRKHHKMQDFRIANTVRLDQLVDMWGNEMTKVAEFMMHSEEFRELSSEEKFSIFKLVWQISQRFEKLTMSLEIFGKRALEEKILITSNNTAIRMEHVDVDLSKITDYSSIELRKMFHPLCSRLYDHVARPLLELDPSSIEISFMLCQIIWHIAGKTMQGNILNASEKFIAKIADDLHQYYMKEYKMSNYAGRLIKLMTIVNSLQRIHLDRLKLIQLAKIFDVFKVKITDPCFFQD >CRE31068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1134115:1135900:-1 gene:WBGene00058876 transcript:CRE31068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31068 MELTYDLWEKISYSLADHPPSLCLLSKSSAKLRDLVSFVIGHYEAHLHVTFPKDECCDHAEKSSKEKVQFEMILNRKGAYRRGKAHCHSIFTCITEKTEGAIEDLLELFARELITLRFNNFPENSDVFTVFNKYSLLKCEDICFNGSLPMRNGVFDLFQSCRNVKRLVISNPNMNADFTQFWPKLKGSRLETLDLMSKNNCFVFWDIESFHHSSTKEFKMPPSLKQLFFCPKQGIECQHAENIATLLYSGSWKRVYIHSESVLEEINKRLYKPLKSVTEFCFSSKAFTVDYVISFLKKCDQVKCFNWFGLHSCVAPIVIARLLTDIPRSIVFGGCVACKSGETVGNGGFMEVGNRVAVVQVTKLLEREEFRAVYGRTLADAVLFERPFESNSVSVMYIQKKDSVCGPNCIDIHEISQKAGLLL >CRE31067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1129391:1133312:-1 gene:WBGene00058877 transcript:CRE31067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31067 MRDAAFFIICHCFLRIFDLENTAIISFGNILTNFQRNQMSFSILSRLNRCVPSPALAQIGKRYFSSSNFSQILRRPPSSSIRFRCVRNVSNSNPLPKMTEAGKNIVLKRHDLIVKGAQDAREYRGLELTNGLRVLLVSDPTTDKSAAALDVKVGHLMDPWELPGLAHFCEHMLFLGTAKYPSENEYSKFLSAHAGSSNAYTATDHTNYHFDVKPEQLSGALDRFVQFFLSPQFTESATEREVCAVDSEHSNNLNNDSWRFLQVDRSRSKPGHDYGKFGTGNKQTLLEDARKKGIEPREALLQFHKKWYSSDIMSCCIIGKESLDVLESYLGTLEFDAIENKKVSRQVWKEFPYGPEQLGKKVEVVPIKDTRMLSVSFPFPDLNNEYQSQPGHYICEFSFLLYSSSFYFNISAHLIGHEGPGSLLSELKRRGWVSSLQSDSHTQAAGFGVYAVTMDLSTEGLEHVDEIIQLLFNYIGMLQAAGPKAWIHEELAELSAVKFRFKDKEQPMNMAINVASSLQSIPFEDILSSKYLLTKFEPERIKELLDMLKPANMYVRVVSQKFKGQEGNTTEPVYGTEIKMMDIDKESMQKFEKALKTSHHALHLPEKNEYIATKFDLKKREAVKDAHPRLISDDGWSRVWFKQDDEYNMPKQETKLALTTPIVAQNPRMSLLSSLWLWCLSDTLAEETYNADLAGLKCQLESSPFGVQMRVSGRREPERHASLTLHVYGYDEKQSLFAKHLTNRMTNFKIDKTRFDVLFESLKRALTNHAFSQPYALSQHYNQLIVLDKVWSKEQLLAVCDSVTLEDVQGFAKEMLQAFHLELFVHGNSTEKEAIELSKELTDILKSVSPNSRPLYRNEHSPRRELQLNNGDEYVYRHLQKTHDVGCVEVTYQVGVQNTYDNAVVGLIDQLIREPAFNTLRTNEALGYIVWTGSRLNCGTVSLNVIVQGPKSVDHVLERIEVFLESVRKEIADMPQEEFDNQVSGMIARLEEKPKTLSSRFRRFWNEIECRQYNFSRREEEVKVLKSIKKDDVLALFDKKIKKDAAERRKLVIFVHGKNEDKTVVDEVIKKNAEAGKKEKEVLYLDQLRQFLPLYGRPQAAIDLKPIGLDPLEHKDTSAPKSKY >CRE31066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1127015:1128966:-1 gene:WBGene00058879 transcript:CRE31066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31066 MQFLRFPILVQKEILGNLKLEDLVVLSSCSKRTNYSIQATEKPRLRKIKTIRYGLSDSESIDICAFSDTFSGVEMYIGIEYDAWERLDLTPMEVFGISRVDTWRPNTFSEKEEFDTVRRTFIIEGIHNCLLDLFGSSVNYEIRSYDRQLPPSLTNIKNSYIGSFAVTDAEKLEACFRNSPNQENIVLNGMGPLKLCQNSVINQTEYLMISCFETCGDDILGSFEGKSLNLISVHLQQASITKLLNDWKSDLGFRNLKFLSIRHSFNENWNIVKIMEDSGVRRLVDYSVLKWKERTMPTVLRGHNEWKERRIVTRKYVDRDSDGERAFVHISPREFYFVVGSSAEITNGGHWFNW >CRE30814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1125394:1126490:1 gene:WBGene00058880 transcript:CRE30814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30814 MKYPTIIDSLQLFREQDHPLKAGLDPKCRSTKPLCPDSFAKVMKSIDTFIFDADGKLTCVLWLGESVMPGSPRLIDYLVKHNKQIIVLTNNATKSRAVYAKKLAKLGYNSQKMNKNNLVNPAAVVADTLQRSGLDGKRVYLIGEQGLRDEMDELGIEYFGHGPEKKQEIDGAFMYDIKLEENVGAVVVGYEKHFDYTKMMKAANYLREEGVLFVATNEDETCPGPNPEVVIPDAGPIVAAIKCASGRDPLTVGKPCTPAFNYIKRKWNINPSRTMMIGDRTNTDVKFGRDHGMKTLLVLSGCHQIEDIIENQMNERDDMVPDYVAPCLGALVPERM >CRE31065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1119557:1120815:-1 gene:WBGene00058881 transcript:CRE31065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31065 MKLKINSPLPSPVDSDTENDIPKLKFPESRIHSGLDPNCRSTIPLCPKSFSKVMKSIDTFIFDADGVLWLGESVMPGSPRLIDYLVKHNKQIIVLTNNATKSRAVYAKKLAKLGYNSQKMNKNNLVNPAAVVADTLQRSGLDGKRVYLIGEQGLRDEMDELGIEYFGHGPEKKQEIDGAFMYDIKLEENVGAVVVGYEKHFDYTKMMKAANYLREEGVLFVATNEDETCPGPNPEVVIPDAGPIVAAIKCASGRDPLTVGKPCTPAFNYIKRKWNINPSRTMMIGDRTNTDVKFGRDHGMKTLLVLSGCHQIEDIIENQMNERDDMVPDYVAPCLGVLVPETNQVYY >CRE30813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1115320:1119266:1 gene:WBGene00058882 transcript:CRE30813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30813 MLVLDLKEVFGYDTSFSLKIKRIISKRHHTLPTSTHLHLDVLYFSTTMYPTSYSYHIDRFLCHPQRVLSILFFPFIRSLFFILELIFSTIKVSGKMTIKAVIFDMGGVLLPAPMHYWKTVERAHNLRDGSVVETILSLDFYCHFKLFEIGQLTAEDLDPLFTHVYNFQNNRVGDVLPIFVEISGQIAHSTLLPEMVALVKSLRLAGYRTILITNNFFTDRAKLIPTIPLEVPLLFDDVLESCRIGLRKPEVQIYQLALERNKLQPSECVFLDDLGPNLKPARALGITTIKVVNSQQAIQDLGNVLKLDFTHPAETRDCIPREVLPVEKVNELITRSTSSDNKVTTIRKFRHGQSNPTYYIRTTKGSQYVLRKKPSGNLLPKAHQVDREFKIMNALQGLVPLPRTILYDEKTLDTPFYLMEYQKGRIFLNPSLPELTPPERRRVYEEALRTLATIHSVDYEKVGLKDFGRSDGYMERNLKRWSDAYKMSKTEDIPEMDKLEAYLKENLPKSGKSTIVHGDFRVDNLILEENEIKVKGVLDWELSTIGDPLSDLATFLFVYYVPNRMKLLPGIGDHSESDLRRMGIPTIKECLELYSKYTNTPLVDPELWTYYMAFVVFRFASIVQGVYMRSQLKNASSTEAAMLGPLVRKLAAEGNQMISKLHASKSYGQLTIIPSGMSAKAQKYYEIVRDIVHNDVIPLELELMEYYEEGPHRWTIPHPKIEKLKEKAKSLGAWNLFISEHIDPDQKYGKGLTNVEYAHICELMGRSIFAPEVFNCQAPDTGNMEVLIKYGNEEQKKKWLVPLLNGEIKSCFAMTEPDVASSDATNIQGSIVRIGNEYVINARKWFISNASHPRCRICVFMGQVAGPKKSRIFQQSMILVPMQTEGVKIVRNTHVFGSQDAPGAHPEITFTNVRVPVENMLLGEGRGFEIAQGRLGPGRIHHAMRLIGHAERAIDVMKDRLMTRVAFGRKLVQFDSLRKELALSRCEVEQARLLVLKAAHMIDTVGPKEAKSEIAMIKVVAPNMSINVIDRAMQQQGARGLTPFTPLASFYVWARSLRVADGPDAVHLETIAKIELKSRL >CRE31064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1104857:1105935:-1 gene:WBGene00058883 transcript:CRE31064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31064 MFHHFSLLAFPFYELILFNIPFTILTLFRLPSSISTSSLKMTILFSKMTTGNSPQTNGTALGVRIIGGSFLCLSIISSVIACALWNTENHTLGNNIFYYGKLFSRLQETFQYSKISVGLFATQMLNILIVYLMNRGITLQKAHYLQPFIICALLHLIICILLSAIFFLYVVTRATFYSVWSDLGFFFVFVILTGFWIIAISLAREYRDYVRVISFSHSELYNEEEEDIIYDDFLHETLPSFV >CRE30812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1100210:1100392:1 gene:WBGene00058884 transcript:CRE30812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30812 MIFNLQVSSIGIVVNTYVLYALVKKEGLLSIFYKLCISKTICNLITCAAFLMWSAPCTFL >CRE31063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1096272:1099198:-1 gene:WBGene00058885 transcript:CRE31063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31063 MRLLLLKVSFLLSFFIPNVISQFYRGELEPRYSRKTPFRQQTEIPEPVFGIGHGIRRFNGDKSSREETPLSPFFGERREPGRRNSGREDGRDYEENGDEENRFGGGGRGRGRPFGFGRHGSPEDEEEGQFPGGEHDFKRPNLPDPSVVKERFDKERKEKNDRRGEGEDEEENHQKPSRGRARSGEDEDGNGHKGEEEEEEHRPRRPPPRTKDSYEEEDQSHRRNYRPHHEGPDRERQHHRGHESEDQETENIAETPSKVVGLNMQMVAGVYPKEKKGPVVEDVDKRGGRFVDKPDEREQNKFFRGSEPEGHEDREKGSGREQKPEEPRDRRPARGDSGESEKGGRGEKSEGGDGKEERRHEGKREKSEEQPRREERERGPEEPPRQDQQQQQQQQAPPPPKPQIPPFPAFPKFPSFGGFQPFQPDFPTRSFGGNGGFAGGPWNEPGRPTGRQTAPGEDVGEGEDRSGQDGRRVHQQNASSPSSDNSSANDESTERRHSTGDHGKENERGRKGEERERGEDGRDGREHLESREERKEAEIPEKMKTEQQQPLPGPQGTSPRKEFPTPGRFVTNIRAKKSGEVLDATTSPSTTSTTTPFPPITYPSTTTAAAPATGIMLPTEAIVTKLRSIVNQRIKVA >CRE31062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1094984:1095765:-1 gene:WBGene00058886 transcript:CRE31062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31062 MTFSIGFLLLYLLCLVVNSIESRAFGQRVEYQYEFDPKRDIHPRVHVKFDDVTDETELMRIFNHRKSSEVRKSPSRYEYSPPTSPFFEDNDRFMVPYEEMDRGNRDAGWRNVPFTENQEWHREFLNLPIHKFVQPESQDDLAEQMASFLRRQSESILSRHFPSIPRHRFTDPPEFMNTPMPKFAF >CRE30811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1093333:1094845:1 gene:WBGene00058887 transcript:CRE30811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcst-1 description:Aminomethyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LUE4] MNRLVSRSALLSATRCFSRTSEVSGKQTCLIETHKKHGGKLVEFAGYDMPTQYADLSIKESTIHTRKHVSLFDVSHMLQTHITGKDRVAFIESLTTADVQGLQENSGTLSVFTNDKGGIKDDLIIMKTDKDFLFLVTNAGCIDKDLPYLLENAAAWRSKGKDVKIETLDNRGLVAVQGPEMAKVLQEGTEIDLSKLTFMKTIVGTVFGIEGCRVTRCGYTGEDGVEISVDPTKAEQLVERLLASQAGKVKLAGLGARDALRLEAGLCLYGSDIDENTTPIEAGLAFVVAKRRRETLDFPGAEKIVKQLKEKSWPKRRVGLIAPAGRCPRSHLPLIDPLDKCALGFVTSGCPSPTLGKNIAIAYVDKSHSKEGTKFIVDFGAKQAPVEVVKMPFVPTNYYTGKK >CRE30810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1090728:1092342:1 gene:WBGene00058888 transcript:CRE30810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-peli-1 MVDDSGLDNDTPSPPAYSNEAVFDDDIYGELILLGFNGQTENRATSKRYLTKKVLKRRDVANGIKKCTVHNVASTDNKIIKDKSRHTVSFHSDHNKSVVIEYAQDSTKDMFQIGRASDEQIDFTVIDTWMFLPENSDAAVPSRPQVDLLEKGDRTSTISRFACRILIDRENSNKAYLYAAGFDAHHNISINQKSLKWTKSNGEVDGLTTNGVLILHPNKDDLLDDTVDKPMYKWREVSINGDVYEPRVTRSSSAKGVHVPEWTNMLQDGTLIDLCGATILWRTADGLERSPKMRELEMALDRLNAGRPQCPVNLNTLVIPKKRNGRQVNRRQPYVYLQCGHVQGKHEWGIHNNSGQRGGKCPICLVESERIVQLSMGMESSFHLDSGVLDHAFNPCGHMASKQTVLYWSRIPLPQGTCRYDPVCPFCYQLLATERPFVRLIFQDNCFDDDTIRFSNEA >CRE30809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1083280:1084188:1 gene:WBGene00058889 transcript:CRE30809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-1 description:CRE-CLEC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUE2] MVFAVIALVVSAILIPEALADPCGDSNWRYFPQTNSCYKLIDENLPWTIAEFKCLFQGAHHVSIDSPEENQFVHELSHWSEIWTGAAFFGKDMHYVNSDGSRYGNFENWKDGRKPPMNRARRCIKMDANGEWFQSCCKKKTYTICEKKAAYSASSYSGANNSVNGFRFMRHRS >CRE30808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1075867:1079111:1 gene:WBGene00058890 transcript:CRE30808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30808 MMVTLAMFRVSDTTENAVLEVKGARGFCTVGPASFTGSPPRISSSDLPLQSGISYVALAISSENPFLHCGENQKQFWAFEASAVPSVTELQKIVQMRSTCNISDKRRSSAIFAVRRNQVGDNTTCTGEKSATRKASDSSEVKAVEVRSQGPIRVYTLCVNDHTLIRGTSIVISSREAIHISRYSCENNHKLIRGTTVMFGGPGTAERCVVLCVNNHMLIGGTSIAFCSREAIPTVTDTFENDHMLIGGTSICFSVSEKTLMFKALTRNDLKTVGRSQFAKSSLEPISQLAAVIYSRLIAEDETSASTDAEAKKNNVAAEQKRTYASTDTVESTKDTQVLSHNFSSVTGVIRKQKLALIVMSVNGNDVKSSEPLTTSSKKKEEHPKFAKLELVNKQSQKTSKTSRSQHTTARSQVIKTNGKKTGKKFTGRPVTRQQQVNSAKCRAEPTYQKAVKDNDTEMRISTSRQLCATRRHSQVTPAFGFCTVGPAGVTGSPPQKNVSVVISNTLDKPAFFLTASATKLQKCPRILMISFASGPPLQSFAGKIDEDFNVFERTFRDRITLTTMKPEMHLTCLLNYLIEDARDKAEEVIKKNEDASFQDVIDALRHRFSSSHKVSAAKSQLRSTKRVAGEAVEVFYRRIIMKEELKEPEDILLRAMKIEADLNAESDVPVNITSQTNDSLPQVTAVHSKRVSERPLKNGRVKGTCHNCKSKGHYASDCWRGVQVNRKHKSQSNHKTTPASTSSVTESSNDNEMIEKLKQQIKLKEEQLQFKDAQISKLKKKQEGRTQKQVKFNDADHSVSIVHESGNTGQNISEIGQAPSTSHMCPYIASVPIRANGYATTALIDTGAQITVSSKHMCKVLGITQLDQPLSTHAAGFGLNSVEISGTAMVTYTIGSYKIRHKTHFTVSRCTPDPFGGVEIIIGTDILSRMPPVQFDFRNARLQIGDDVILLGSKQPPQILFSIPCSPVSLTEAISSLQQFTDAVQKDRDNKSVQFKNRSKKQSRNRNSSPRSAGHQTQVPGTTESSSHVRFQEVSDESQSSRPNQ >CRE31061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1069553:1070728:-1 gene:WBGene00058891 transcript:CRE31061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31061 MSEVQHFGSCHCGAVKWTFTGPEVLDGCRCNCTVCNKKQNQHCIIKLDRFKILEGEDKITTYRFNTGVAQHKFCSICGVQSFYHPRSNPDAVAIMPHCIDGNTLKDVKWSYFNGQEWEKEMDKRAPAAQ >CRE31060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1065704:1067317:-1 gene:WBGene00058892 transcript:CRE31060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31060 MSVYTAVPGVSRDDVIKFSKDFMAGATAAAISKTVIAPVERVKLILQLQNSQTTLAQENRYKGIVDCFIRVPREQGFLSFWRGNWVNILRSCSQESLGLSFKEFFRKYSLDGVDPKTQHSRWLIGNLVAGGGSGCATLATIYPLDFIRTRLAIDLGKRKSDREFTGMFDCARKIIKSDGVPGLYKGLIPSLQYMIIYRGAYYGLFDTTAPYMNSDGKMTFTEAFLVGQVVTLIAAMTSYPLDTVRRRLMMGAGKKTLPFHNTISCFKYIYTKEGPKAFFHGALVNAIRGTGAALVLAIYNELQKYM >CRE30807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1064862:1065655:1 gene:WBGene00058893 transcript:CRE30807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30807 MLKILKGQEESITGLIVCEETSNASSLPLVLHFLSTASTSNQKVTIVTSKLTETNYKLICSKAGVRWNPSQISFIEILQPFGSFDVAAKEIMDNLHQKISETTPSVLLFDDVSLFEQFGATGVEVAVFVHTLYSHLKSLSTESIILFAPFSVASPATNILRSRCRVFAQTTPVGHGFGKDASSKVVLTIKSPSAPTTKKGILLSGERTINGTWVSVE >CRE31059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1062806:1064532:-1 gene:WBGene00058894 transcript:CRE31059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmgs-1 MSLGRCSFTPVTDVGIGAIELYFPQNYVEQSDLEEYNNIPSGKYTIGLGQQQMGFCSDNEDVVSISLTVTRQLLQTYKIPTDSIGCLVVGTETMIDKSKSVKTALMDLFPDNSDIEGVDIKNACFGGAQALLHAIDWVTVNHPVDKKNAIVVIADIAIYEDGPARCTGGAGAIAFLICPNATIPIDRQFSACHMKNTWDFFKPITATASEYPVVDGSLSLASYLEAVRQTYTHFMEKVARHVTGIDGLNSFDAVFFHSPFTKMVQKGLAVMNYTDSHLRHKDQNGNGVENKLDANDRAGMTRMIELSSEVWKNKTDPYLVFNRRIGNMYTPSLFAQLLAYLASDKCTTEEHNILFFAYGSGLASAIFPGRVRQTPNLEKIRDVAKQCIKRLDSRQQFSAEEFTKTLKKRENFLHSNEFPKVPKTSSESSLFPNTYFLDNMDELYRRTYTLHEEPTKVLSNGNGIHH >CRE31058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1059308:1062522:-1 gene:WBGene00058895 transcript:CRE31058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31058 MGRDRSRSSSDSDDYHRSSRKKEDSHRRRDDRHRDSDRDRRRRSRSNSSRHRRSEGSQRPRSSRHGSDYKDTSLMTRPSVASHFGTPPIDVADFDNWVNILAKVDQNEDVEYAREKYRAFLSRYPNCYGFWQKYAEYEKKMGNIAEAKAVWEKGIISIPLSIDLWLGYTADVKNIKNFPPASLRDLYARAIEIAGLEYQSDRLWLEAIGFERAVYIDELCRGISKANCKRIGILFDKLLSTPTFHAPSHLERYVQYLNTIEPHLLLNDREYEEIMKMVCKQLDKPIGELVHQVQLSYICQTGENGMLNIVNESGEGTFPVNVNSIQHDPTALQLIRSEIIARRSKIYERNMKECEIRSGFEINIKRPYFHVKPLDYPQLFNWLSYLDFEIRQGQEDRIKILFDRCLIPCALYEEFWIKYARWMWKTYKSKSKCREVYLKAKTHCPTSLNLVLSQSGFEEAAENFDEALKVLDTFRREYPGYVLLELRYLGILRRKAEKEGGTASEYVLNQYEALIKDSQASPNLHSFYSLKLARYHLKSRRDAKLAQKVLKKAVSVDQFNLQLYSQYVDIAYTSESMTELDVIQSFDLALDSNLRLEDKVRFSQRKLDYLEELGNNIQAIEDHRDYHYNLLGQLPDSVTIRTRFVNDPNRATLQPAAMPPQQPPPMVYAPQMQQPAMNMMPMMPMQQMQPMTYMNPAQPAVQLTLIEPTSSTM >CRE31057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1053010:1057238:-1 gene:WBGene00058896 transcript:CRE31057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-13 description:CRE-PQN-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LUD5] MVRWQPLLFALLVVSSYAATTTEETTKPTPSTTPGTSETTTSESTTTTTASKGTEEVKEKEGPETRNETAAVSRAKRQCCFAAQNSCCPQQVQSQCNCAALQIQCLHQTLYLNNKLKKTLQVQQCDCANMLQTQCGCPSISSPSCDCGTLQMQYSSCGCGALSYQVSCNQCQQQSQPQVLLEVFPNYSKLIIPFQIIVVQQPQQQCSSQCMPACLPSCVQSVSFYEKSFKSQLRNTNFQSCAPACQPMCSNSCVQQQQQQIIVVQQPQQQCASSCMPSCQPSCVQQACAPACQPMCSNSCVEQQQQQIIVVQQPQQQCAPSCMPACLPSCTSGCSGNNCGNNQPQVVVVQQNQQNQCASSCMPSCQPSCVQAACAPACQPMCSSQCVEQQQAQIVVVQPPQQQCTSSCMPACQPSCVQQACAPACQPMCSSSCVEQQQAQIVVVQPSSSSSNTCASSCMPQCTPQCVQQQTICAAACQPSCQSSCSSNAQCVQACLPSCESSCVQQQQPVVVVQDAQPSCPSACQPSCSQQCIQTQNICQSACQPSCQSSCGSNNQCVQACIPSCQQTCGQQSQPVIVVQQPQQNNCVQACQPQCQQTCGSNVQCVSACQNSCQQSCGNNNNQQVIVVQSNPICGQACQAPPVLQCVPQCQPSCQQSCIQQYQFVQAPAITMCQQVGGSCGCGSGYSQCMQGVCCLRKRHRLAKNKL >CRE31056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1050137:1050688:-1 gene:WBGene00058897 transcript:CRE31056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31056 MDCQIGSRRCATWDEIEDAPQIKRLREDKVQENVPQQAIQQPATTQQQQQQSPQQTTQAPAQQPKFYISAPIQGYTVDNQGGCRYLFF >CRE30804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1045009:1046298:1 gene:WBGene00058898 transcript:CRE30804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30804 MCVTRPSLRTARRSRHIPTDPNEESPPDYWSKLAHSNFRSTVFEHLIFRQNIRLPSTFPQISQNLPAAVLDVLINAIVDGNDTHEKAMAMRRRGFSGILKKRQLEEVTLSDCGSVFQFLKKPQRDTFTVPEAIQVQRPEMHEVDYRCYSGDFISNLVIAMTMVVTSPYLCKIDRLPIGVLAFERAMCFIYDRPTHSIMLLDTHMHFKGRAGSVLCVASFENITDFVVAVTKLVFHEVCKSADYIGQFEITCLMLTNLMNKVHKGNIFVPIKPSMPRVHSARPLRPIRIKAKKMVCVGHENEDEDSGYESSS >CRE31055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1048725:1048949:-1 gene:WBGene00058899 transcript:CRE31055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31055 MVPVIVDVWKALFNSISTPDTQGKTGNKDVITPVLTPVETESGDKNVNITFTAPAPSEIKDEKQPQKGFYIDYP >CRE30802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1040025:1042289:1 gene:WBGene00058900 transcript:CRE30802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hex-2 description:CRE-HEX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUC8] MFPMRCLRRRSIDFILKGVILTTICLFIVHMTSSYPKGGISQRTLDSMQKQQQTPVLVQKRENFEEENGVVGEQQSKKENPFVKASLKNLAPREEVKMDRPSREGEFYKNVVIHFDLKGAPPKVDYFLEMLRLISKGGATGILLEWEDMFPWTGTLEQFKSTDAYSEADVDIILNEAKTLKLDVIPLVQTFGHLEWILKYEEMRKYRENDAYPQVLCLGNEEGVEFVKEMIRQVAKKHAKYGIPFFHIGADEAFEFGVCRESLDWINKNGKSGGKQLLALAHLKAIAEFAKQEAGDSTQILAWHDMLKDFDSRLIKNLELGQIIQPVVWDYSENIITLNDYIFSTLAENFPTMWASSAYKGANYPSASTSEVRHYETNNRNWIKTKQAQERKFKNGFQGIIITGWQRYDHLAGLCETLPIGTASMMLQMQIALNAPGSDLETTRRQAGKLLGCQTFNVDGVKTVSNQCTYKGFQTYVIYQSEVPNLFSRIDGELGKNHHLMGWANRYNRQYNISQNWYHKEMLPFVQSLVAQYDRVENDLRASMKDLFFENTIDEFIYENLGEMSEKLHGYLDEIQRLDKLRAWPKRHFPIRK >CRE30801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1035610:1037747:1 gene:WBGene00058901 transcript:CRE30801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30801 MTLKPSSETFLFFIFYTICCVSSSENVEFPCQILDPLLFRINSTIYVPQNSSAPFAISANFTCTYPFFVQKNEYLRLSVINSLLDKDVIEFVDSVGYRRNLTVQSIANDELFATFGHGHVRIITGPQGRSRALLVFQWLEMPDSNWSEKLHKNESGQNVDCMDIQQKAITFTAVDDKERIALSIAHSGYVFDRFDSYFIFDGPDTSSPVVGRMSSHVVVPFISSNQSVTVIGLTKQVVYSNVIANIQSNIAGYRKYQAAVVIDQYGGQLDSINQTIAVTFIAKDANQLYITMLRFYEDRWSDTCEMKIISGSPSPISKHLLTYTPSSELDMLFPQQFPTSQVTVELTDCSVYMVLSKNTPANFYMVGDDERMGYVFTPSFLDGQATPDWNFTLSSNQSCHFSTTVESVTIYNEQVLAITVYNEKGYSAMSTVITGNETGGAAEGIGTSVNMNLFGSKGQGQAKIRYHISKISERITGVSILALLALWTIW >CRE30800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1033757:1035393:1 gene:WBGene00058902 transcript:CRE30800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-117 description:CRE-SRX-117 protein [Source:UniProtKB/TrEMBL;Acc:E3LUC6] MSIDEFLSTGEPSDNVRIVSAILLTIVSLFGSFFNTVVFVSLLLRVKKKDGFLKICCTKSFGNDIVCIGYLFWPIPVTFLNYYFLPHMFNAFMGQLVGWFGWSIGPLSQVLLTSNRVLAVFFPHLYHRTYRFAPSNVSFATRNFIIFCFDFQVGIVICLILSFLLFIAFFPEDCHYLYSLKDIGWLPEQSFCTSVRRSIFIVSMIIIVIITSGCGILLFFKLVADSNTMSTIQSTTRRSKNKKILFQTLTQNMLILLDTLNTTVTYKIFPVLFFQFLTLSFSMVFLRALEGFIMFKMNERINKGVRLLLGFKKVELKSLHGAQSVMIWNVSSTSRHMN >CRE31054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1023810:1028045:-1 gene:WBGene00058903 transcript:CRE31054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acy-4 description:CRE-ACY-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LUC5] MVERTYNSIRTHKQTRLSQRPISKKTSSQMRETFGSQVLRELEMKNRMECPSIDEILSFYHFPWEKFRYRKNSQKKKRSTLYSFYFEQVNSGRIQFSFVILLSICISEAIFSALSSTWLNFSVLCILTIVISILSFFHAKRTELVCIASIVSCVIISACSSMRTSITYLLIFSTYSLLPMSFMLMIFSTFCLTFIVAFLGVLTDYTVGLDIILTRIMMVILVNVVGSLVYYPTEFVQRKTFHETRKCVQSRMLLDKEMHRQEKILLAVLPKNIAFEVKKDMQDTHEERMFHKIYIRKYEDISILFADICGFTNLASEYNPKDLVLMLNELFARFDKVASIHQCMRIKILGDCYYCVCGVPEYQKNHAINTVEMGRDMIEAIRLVREMTLVNVNMRVGIHTGKAHCGVLGLKKWQFDVWSNDVTLANQMESGGLPGRVHITDATKKYLNGAYILEEGNGASRSKFLEKEKIKTWLVVDRSPDYDMVCQETTNHPLTIPWKSTRGISKQERLTGMSTRARGASTRNGLSEEEVLVENVDSHLRQGIQAIHQENWKSMYCQNWSLKYKQVRVENKFVRMKYKDIPFQVTLYVAIVAICIGIKFGLMNLMMFSFIPQMFILLTSIMIFILTRVLIKHARLVSMVTGVLFILLSIQIVVLMGMFQTKLSCDLETCYKNSYTDFFEIFEICTLATCVILSVDFLTKLLMSLIYFSSFIVLVTIKLISINKYETLLTTYANFCVLSCLTLLLVVFSTRRSELISRYDFIWKLQALDEQLQMKRKHEQNRSVLENILPSHVAKHFVEDATSVSKLYHESRDNACIMFATLTAFDKFYIECDGNNEGVECLRLLNEIISDFDQILDRDEFKKIEKIKTISTTYMVASGLAGEECADNSHVEAIALFARELLLKLESINIHSFNDFNLRIGINVGPVVAGVIGSDKPHYDIWGNSVNVASRMDSGGVAGRIQVTEEVKSILEPLGYKFECRGQINVKGKGMMTTFFLLPDENYECELYK >CRE30799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1022873:1023408:1 gene:WBGene00058904 transcript:CRE30799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30799 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LUC4] MSNYSNSSTSPFRQACLIPTPSVPTQPSVSIKIFPPFAEFIDFGGASRHVLTNDGTCRVVFKVKCSNNLVFKVSPVYAFLDPGATAELQVLRREGPPKHDKLIILLKEAKKGDKDARVTFTDSTHTVHKHILPLLTRIVEEQ >CRE31053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1019761:1020911:-1 gene:WBGene00058905 transcript:CRE31053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-memb-2 description:CRE-MEMB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUC3] MDTQIKSVREEIENVQLLLIAIERQTGHIEIDRLLQDANSSLMKTETHMFRLESHLGKEPPTVRQMTSYKVDQLKSDVYLIKNSLRSMTNRHNNRKKQAQEKEELLKRRFTTNQETRVSLEFDEELDMNDKLKHSNNMIDQMITQGANIFDDLQKQKFNLRSINKRFHFLTKSLGLSDTTIRLIEKRVREDKKLFIAGVICCLIFMFCFYYWWQYC >CRE30798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1019163:1019629:1 gene:WBGene00058906 transcript:CRE30798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30798 MQLSSVFSLLFSCMVAVSSALPASYSSGMSDPLLASLGLGSMGMGGMGGMGGMGGMNGMYGGMPPPMPPPGMSPYGMGGGYGMMGPMGGMGGMSPYGSGMSPYGMGGMGGYGSQMSFPFMGKK >CRE30797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1017210:1018117:1 gene:WBGene00058907 transcript:CRE30797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30797 MYSDVSFDANVHCEICKGDKVQRKSSYFGIVAMYCLWWCGVGLLIYKLSMMDYCESCYYINFMKMARPKRMLLLVVDDRSQLEMPPVDEEELKKKFDANLLQR >CRE31052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1013369:1016069:-1 gene:WBGene00058908 transcript:CRE31052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31052 MNNPIVLVLLSSGALLLTVTGNGMEQMMTAEGPISPNYCNSSDILEEAGLIKSTLGQFLGYECSEEFYHCRWQSDGFRTYRKKCKPGLVYDVLGTQNCNYDYNVKSCGVRSGGPVQCNGTDFYCSLSEQCVPMSSRCDGHYDCSMEEDEQNCPLCTAGEFACKVSEQCISLDRRCNGLIECDDGTDERDCDVCGHGLFHCGKSNECIPMDERCDGRRQCPHGEDEMLCKKPEMEKKFTCQSRDHEIPTNQVCDGVAQCPDASDEAYCEYPGSPKSQAAISFSSNLTPVSESRPGPPPPAPAPEPEETEEDYEYEQIKDENAPAAFPMISLVPLPPTTTTTTTYIPPTTRVITRVPVAATRVAKPAFSRPRPKLPSTDDNIRPTISQKTVQAVATKITRPPKILETSRTSLRTTSQTLPPTRQTTNFEKKMPQAIVQPPRSPILPQPKGEKVFIMQVTASPSVRPPLQELPRASSGSRPLTKALPVRVPAADNDNILAQITSQLNGGMSP >CRE30796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1011436:1013035:1 gene:WBGene00058909 transcript:CRE30796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30796 MPGSTDQEIQMTGNEQPHVNMGNGLTEHRTHQYINRPEGEMDMMIGFFLMNGFCVSFFLLFGLCVIFSCLRKRPAYFRRKQCSESETVAPTVQEGSFKPKLKSIVSQVIKSNKVTTKITMDPETMEMESSPDDSQQTKLSAPQYSRSPTPTTHDRKTSLTVSRQASFDHSRRCSTSVSQENSAMIRHNLLGPLSFDDLHYM >CRE31051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1008256:1008981:-1 gene:WBGene00058910 transcript:CRE31051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zhit-1 MFFAPRKSATNASQLRASTRISNLEGNRTLDESARKNRRTRQLDGLEQDNSHDDPHANIIWNKAAPKFGDEMIGGSAKKPKKTKDDKPGPSVHGDKARRRKLARPEFNKQRFKKSFNAHVTEQTKAISSSADADYRRINAYFLSTAPPSCKPPRKFCAACGKTSNYCCTRCGAKYCGIKCRDVHNDTRCMKWLA >CRE30795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1007002:1008227:1 gene:WBGene00058911 transcript:CRE30795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crn-2 description:CRE-CRN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUB7] MALYELVDIGANLGHPSYLKDLNDVLDRAKQAGLSKIMVTGTSEKISQECAKLIEKYPGFLYFTAGVHPHDAKDWNDGTLESIKALQENPKCVAVGECGLDFNRNFSPQDVQREVFAKQVDLAVKLRKPLFIHEREAHEDMVKVLTAAGSDLPPTVIHCFTGTVEEAKKYLEMGLYIGLTGFLWKDRSDNGVQAGLRSGEIPIEKLVLETDAPYMYPKINDKKIPKEIKSLITPETEALHKFSSFNRNEPCSLAAVCELVAAFAGRDPKEVARITTENAKKIYKLE >CRE30794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1006137:1006791:1 gene:WBGene00058912 transcript:CRE30794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30794 MTSKAVSLFSRVFSQNRAFASLRETVGERDATLLYEPKFQDSREFPEYTTINVRIQGHDFGSLEKYQAYIHKTAKRFGFSVPDSYAVAAQTQKAITYKPYSTVAESEIDLSNYDRVVRLSDVSAPRFSLFTQIIRAHIPVGVTMTIKEHEKADEDSRYIPDQLLKQKQEELKALDDPNVRRNLGWE >CRE30792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1002691:1004700:1 gene:WBGene00058913 transcript:CRE30792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30792 MCLACHSLSSQYNQLSSRRARARGVSSLERTSENAIDFDYLTSNLPYSANDIRSISTLTPLPNKPLLTVSDGGKLTCNFDDEMEYCSWHNTEATEMKFWKGKLDPESASNFDSHRFNSGSTLSFSTENNFLLAGGEPMVTSQTAAIEMEIPCQYGDADVKFDFWTNTLNVEVRYCIAKSGTDFSTCQILQRVSNPLNFSVPTTADGSKVRIEVTNIDQDSIALIDNLHYNGQICELIDEAVEHSLIPFGGESSSLPSLITGEPSPVTDLTVQKFFEEDANTVEETETPSILGIRKITSTADPILSSSSQVNVKELSYCSALTCSFNEGDSCFYGLSGVGSTSAWNLSDRLIGNRHTGIQRVNLDDQSKVGFAYVGSDFKSEAGNIFVAESPKFSLTQEILLIFDVYIRSITPKLKVCIDNFDNCPYNSPLLKKDKFWRGQQRVTLKEGSRKVFFVAENVKKHQFLAIDNIRLENVDGSSLC >CRE31050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1000708:1001668:-1 gene:WBGene00058914 transcript:CRE31050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pas-6 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:E3LUB3] MFRNQYDSDVTVWSPQGRLHQVEYAVEAMKQGSATVGIKSDTHAVIVALKRAQNELSSHQKKIYEIDVHAGVSIAGLLSDGRILARFLQTECSSWRWDYKQPVPIKKLAEAMQLKLQANTQYYGRRPFGVGILIAGYDKDGAHIIQTDPSAEVVSMHGTSIGARSQSARTYLERNVDKFEKCKTSCLISVFHLFILASPEELIVHALLALRDTLPAEENLSAQNTSIGIVGKDSPFSLLEDAQVSVHLNSVSTHPRTTAQAQGGGAEPMQM >CRE31049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:995655:998859:-1 gene:WBGene00058915 transcript:CRE31049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klc-2 description:CRE-KLC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUB2] MSLAGSTIQAYRQRKIESLAKMSNMSQDDVTTGLRTVQQGLEALREEHSAISNTLETSVKGVKEDEAPLPKQKLTQINDNLDKLMCGVDETSLMLMVFQLTQGMDAQHQKYQAQRRRLCQENAWLRDELSSTQIKLQQSEQMVAQLEEENKHLKYMASIKQFDDGTQNDTKTTSDSGPQPVTSETLQELGFGPEDEEDLNTSQFAQPTPAHSMAASANVGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQALEDLEKTSGHDHPDVATMLNILALVYRDQNKYKEAANLLNEALSIREKCLGESHPAVAATLNNLAVLFGKRGKFKDAEPLCKRALEIREKVLGDDHPDVAKQLNNLALLCQNQGKYEEVEKYYKRALEIYESKLGPDDPNVAKTKNNLSSAYLKQGKYTDAEELYKQILTRAHEKDYGQVSADNKTIWQIAEEREENKHKLEGVAANESAGWAKAAKVDSPTVTTTLKNLGALYRRQGKYEAAETLEDVAMRAKKQHEPLRGPALGGVDEMTASMMGSTIGGSRMTASTSQTGLKNKLMNALGFNS >CRE31048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:988948:990774:-1 gene:WBGene00058917 transcript:CRE31048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-6 description:CRE-GLB-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LUB1] MGNQSTKSSHGTTRVSHSKSAHHNSSRVTSDVIPRSASAISSHDRYYSESQSSSPPAVCPVSAPGKYRNVKGKDIPPISLTDEYNNSDDEVFCSTRSSTQSPLTHDGTRQKVGSPVNRRSVNSTNSDLLEAVTPRSHISVKRTVSSGQEHTADHSNMQKSSSLKFTRPGVRSEGKETTPSTSSNPTIFPCIHQFLHLTQPQILFVRKTWSHARNQGALEPAISIFRNSFFKNPEIRQMIMFGTKNEGHERLKRHAQLFTVLMDDLIASLDSPSATVAGLREAGEKHVWPVKNQYGCPFHAHLMDQFATAMIERTLEWGEKKDRTETTQRGWTKIVLFVTEQLKEGFQDEQKRARRMKAQIKTSAGSASFEISSRVKQSDMKRFHTVDNM >CRE31047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:986604:988179:-1 gene:WBGene00058918 transcript:CRE31047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31047 MDYLKPVLGTTSFHPINVNSIIPDGIVRERRQFFVSNDLNMTNDNGNNLKFEGYTHKSALDFYAPENRKKKIYPIVNTRTPRRPAILYYGSEDSNQNYRNEVLDFRNEKSHPYFVVRVRSHPPPPYQGNYFQSGDDVRDLHFSDTGQNSWYRSRRNIEQEQDMTRISNSFLYENSKNLPFKSWNDMDHDSRNFEKMSITSSRRASDDHSSTMSGSSEIMSMHDQIVRFSATSSAPEDNTTNSILAEKEATLVVRLEQELTQAQICNHRLNQQLKVLANSSDKVIKVELSNVKVSY >CRE30791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:983796:986574:1 gene:WBGene00058920 transcript:CRE30791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-5 description:CRE-GLB-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LUA9] MNESKTSGIGKMYEEFKITQLVNETLTTVIENVQINHDKISTKAKPRPLSAIHEEIREYDQLSRELEKEYRKSMRIVDDDFELARTHWIQLQKSNKQGLAIRGCFLTMLEKFPQVRPIWGFGKRIEGRVEETWKPEIVEDFYFRHHCASLQAALNMIIQNKDDRNGMRRMLNEMGAHHFFYDACEPHFEVFQECLLESMRLVLNGGDSLDDEIEQSWICVSREENVEEMYSDYFQLLQTIRLHMGEGVEIQRANYLSQCLIPKEMEEVRDNWSKIESYGFRKAGILLCESAFESYSILLKMHNLSMTLPIEANKTSESFVALSDQIMKALDKTIKSYTPEEGFANLILEIRDFVIKFLVVEVCPPLIRKSFIDGMIHMLCKILNIKHVKEDFLHVWKKVYRVMEQSMIANIVEY >CRE31045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:977545:980303:-1 gene:WBGene00058921 transcript:CRE31045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31045 MKGKKDDDSNQLLQDELRKTEQKYQEAQKKLENFEASIRQQESQIKELNVALMESKQQLERLGEQRSGEENAAKEEIKKLKNQVQQTEQELEAQKKRVQEMAEDRKVLESKASVADEISTLMSSLNSLREENRQYEEEVRSLQTDIRTLQDEVYQQQDAVSDWKNRAEKAEDDLEKQSHRVRDVESSRDAEITRLENEKRQLEEQLEKAEQEQGQASREASESVRNMKIKKIRIDFQREMTEASINSDRQIQTLKEKTDSLTRELESSRRRMEQLQGKVTMNVFFKKLYFPEDQTKFVGSHDEIKTQMMKDLHEAQEGMEKLNIEIGQLKAKNETLQSELEESEHLSGRLKTQLEQAEKKIEDTKSQLHAAEDLADRLQEAQILSGNVESKFSDLQKENKIEMEQIIENHKKEVEKLKEELKKSHTEHTSLESVLEEQQKELFQLQNQLQDEKEQSSSFVALNQRLEKSEKEKESLEERLKEHVSKSSDTSKSIYDLEEKLSELVKTNDLLVKDVEKLSSSLESKTQQLKEAEAEKKKALEAIEELKYATPAENPEIEELTSENARLAGELLKFHSAAEKSLQLEKEKISKQFEERLKTVTLEKTQLASELQMAESKRSSLENQMDDLRTQVETAERRRRVDIHQLESMREELDQVKNDNEKLKSSTPVAPPRSNTRTISNMSAMTNWTQADFSDCEDLTRLRTEIDKQKRLIIVLRRKLQGLQQ >CRE31044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:973625:975443:-1 gene:WBGene00058922 transcript:CRE31044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-48 description:CRE-UGT-48 protein [Source:UniProtKB/TrEMBL;Acc:E3LUA6] MIIRIITFLAVTLCYTVSSHKILVFSPTASKSHMISQGRIAEELANAGHDVVNFEPDFLDLTDKFVPCKKCRRWPVTGLNNKLYKDIQNEMSGNVFQKSSLWSKIFNSNRDEHQDEYNNLCEEIVTNKELIEKLKAEKFDAYFGEQIHLCGMGLAHILGIKHRFWVARYPLILFSLSTINFSCTMSVSMRDSLGIPTPSSLLPFMSTLDGTPASFWQRANNFVLQMAHIRDEYRDVEWTNQMFRRHFGQDFPSVDYIAKTSDAVFVSTDELLEIQSPTLSNVFHIGGLGIFNKEAKLDEEFSKVMNNGSDVVLFSLGTIANTTNIPPSIMENLMRITQKFKDYKFIIKVDKHDTRSYQLAKGLDNVLVTDWVPQTAILAHPKLRAFITHAGYNSLMEAAHAGVPVILIPFMFDQPRNGRSVARKGWGILRDKFQLIDDPDSIEEAVREILHNPKYQEKASRLRKLMRSKPQNASERLIKITNWVLENDGVEELQYEGKHMDFFTYYNLDIIIVAAIIPTLIFIVLRISNITVAVKSTKSKKE >CRE30790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:968856:973594:1 gene:WBGene00058923 transcript:CRE30790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cft-1 description:CRE-CFT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUA5] MNVSVNLSKNARQIQTETENEQQKNSYVSRLLELPVSKLLEKCRSSEITVDDLPILEDDQYSARVMSGFKWKRRVIISILMKFWKSFASAFAFRITAIFADYASILLLKYLIDSAEISAALFNFFVIAVLILFFMQLKSLLLGVHTYLVGEDSALMVTVLNNIIVKKALHLTSENNEYGRPKITKLLTTHSEAVSNALTYVHHSCSSLIELTIALFWIWETLGTRTIIVVLIVALVYIVLNFIDSYVYKKSLRVQLGCRDQRVEFEKTVLNSMETIKMFSWESHFIQKLKALRGKELSVFRKTLSINSFMHSLNVTSPFVIFFVCFAVYGLQYEISGLRFEDAFVLIAIFNYMRRPLHLIIPSLEAVNKALHSANRINQFLIVKQSPKVNSKNAPLPPLEPDVDIKIEAANFSWNEKDDIMKDISFQVKKGEKHAFIGFPLCGKSSLLFSITGGVEANKRKDVDNILFGNEYVKSKYDKVVAACDLKKDIFSLPRCDATILGDKGFILTSTQKGQISLARCLYEDADIYALDKAFAPMDRATSKKVFQRVLGENGYLREKTVILATNNIELIKTFSTVHVLKNGKIETSGSYEQLLEESEIMNELLNQSKIDQIIEEKYSDEKKPRKTVMFDDKVLKKKKKKQSMEDTAPTFENRSVYPFYFRNGSFISSSLYILLLVARFVLQAFAFFYVSFWLDPVWRKNECDNCPQYMFLQMLSFLALSAILSTMLSYTFYVLTNVVTSRQLYKHITNAMFAVPMIFLSQNNKESLMHMLTSDLDIVDTQFPLFFKVSFESCLHILMIFSIVCVNVPVFAIFVVAFLIFLVGLLRYFLPALHKISSLEEQKRDLFLCGSTEDFEARLLVRTFMKTRQTLAKTSENADVLTRCRVAKSSTLRWLSLRTEFISNLMICMCFFIASICLKVSYIGNAQFALSVASILCISELVSTFIRTTCGLESLKPHVQRISNVREYPKEPLIDQCTIRDSWPDDGKIEIKNLNVFANKYKHVIKDVSMSVEERENLGIIGKAGSGKSQLAMTLILMSSADNESHIIVDELDIFEMSVKTLRSRITVIPQVATINPLVNINVLFKNAKIFNDTLRSNIDPCCQFADSDIWLAIEACQLREYVRSLPDGLHQMVSAESMTNEQKSQVNVCRALLKGGQIFVIDQATKLMNEPTKSLVNETLRQSLKQSTTIWIGEDFADVEHCDRVVVIENGVILTTNTPQNLISEFGSLQKCLLNLSN >CRE31042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:966498:967721:-1 gene:WBGene00058924 transcript:CRE31042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31042 MSERIPDIDPNEQLPNVPGQNQDLGLDNPPEPDNASIRAERGSPTPSSVTVDNAPSLPDRSFANLPINDPFNNDIPEVPIPSSAISLSSQALSDPVPTPSDTSRPGITQHDAQSSSTGHPIDPQEPHEVNNEYLAHLLDLGFDEYTAQLSLQRTNNAGVEQAVAWIVERSNESDFDDDSSSSDNETEEGMGAVQSIGGRTHKMVLVANMSLKMGTGKLAAQVGHATLGVYRQAMNSEAGQNAIAAWSRHGQVKIVVKGQSTEQLMDLCKAAKDAGCFYYLVQDAGFTQIPAGSRTVLGIFGTVEQVDSVTGGLKLL >CRE31041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:964876:966097:-1 gene:WBGene00058925 transcript:CRE31041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-1 description:CRE-GRL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUA2] MLAPILLLLYFSFGTSSANCGGYMCGGGGATSYYQTYAQQQPAPRYQPSYTPVQQPSQQLLQYYYPANTYQIAPQQLPQPMPQKVSPPVISSSIDKEATVTTGKMNYDEFEKELEKLKPASAVTSEEKVDNKLDLSVLNSSIPTQSITYIREFPGGYSPEAFLTRPLPYRPVPYIPMQLPAACQQYFMPRPMPQVTTPQVFQLPSAYVTAPPMTVRQQPMSILPPPINDCCGKCGAPCKFRSKKNVIALASKIFTAQFVPRRDTDEEDEPKDPKCSSEKLKALMNKYITRTVALSKRLIQKNAESELGGYFSVFCSIDDFSYVARSEMFCQLQKNDITCYAFKHK >CRE30789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:959464:964573:1 gene:WBGene00058926 transcript:CRE30789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30789 MKLRFLLFIAGVFSISNQELVSKAEGCFLRCVAQCVAFVEKESSSLQSVETCKPQCQKFNNNNLCMDNTCWSTCNGELVPERTLPAPSNLTLKGVRGLSWNAVPGAVMYYIGYRTMNSKKFTSDDFVITEKQTVDLSTLTNIDPCSELEVAVAAFSEDGIGNESKELKISSPKPTANPKLDLLEMEYFNTTLVSDFYQANATVHVEFRYKTDPWFLGQDDLDVMPLFHLISCVDPDLSQGIPVPDFMVHVENSTISTSIGSDMMDRKCRYVYYLQSVTSKKCNTMTEMPSPPSSALQTVQVDCDIVKNAPCFKVPSYPSPICGMVDSVAFSVLARDREDFNDPSANLTVNVTFKPVSRTNELPTLYYIGIYGAASEYNTKEEEAFLGVNITHVLGRESSCKSFDRSGACLAENVNRSIVLQGIHPKTLYGLTICAVKDLRNLTLPDLTNDSKSVRPRAAKIMLVEHTHETHQFVPYQLLIQPADFSLTNTIEDINVSRKRRQIDSDFYNTTESSSSGNGTLEETDYLGRNITEEHVWDNSTSSSDNFTSEFTTEDPDNFTTTTMPPMPTTKAPGQLIWYILNEDKNNAGMIVAIIIGSLAVIGLAIPGIWFYFRWKSDKKLKFKMGQMGKTNYNGNPYTDFPIFAANKNDIWEIERRNLIIHNDKKLGSGAFGAVYLGKLIGKSLAHKDANSPLGINLMRAENCQVAVKMLPEYADEMSKHEFLREIALMKTLGYHERLVNMLACVTESEPLCLVVEYCDNGDLLQFLRERCKYMMKLDDLGINYHDPPENDNYDTNMIVTLKQLLQFAVQISYGLEYLSQKGFVHRDVAARNVLVHEGTACKIGDFGLCRYIYADQSQYKSKGGKLPLKWMSPEAIRHYEFSIKSDVWSFGILLFEVITLGGSPYPGMPPEDVLPHLESGGRIEKPDNCPENFYDVMMQCWSADPEDRIEFSDVRMQLATQLEDITEDYSYLKLDAAKDYYNVQYGDEKLKPEVVIIPDEIIKPSKLIMDELSVKNLRIEEI >CRE30788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:953266:956886:1 gene:WBGene00058927 transcript:CRE30788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30788 MVSLNETDDGESTQEWYRLLVVEKSMSLSDFMTSKRAGMLSDFPDEREQTTEELIEVISKFPNDFLQKEEVSLLLDYFLNSIESSALTGGAVIRGVHHLVLKSKNLPDNFEVPLMRVLFKEGNMQSWAQKERQLQYDILIWLLKNKLQYLKCLGPDFLATYMKSVSGERDPRCLVHMFSSFLEVSHNFALGPFTEDMFETIACYFPVEFRPQKNDTITREYLAASCASCLVSSPSFAPFCFLFIDEKLNDDDCSDEEYEDVLNLLIIACESFPPQKNLSLLEPIIDGIRKVGLNPKSKGEMPAYVAQSFEALMKMCLKSSAMNPRAIDGVVATIIENSEPFVLQAEMGLCKKALTLLRCAFNSLTEKHSQIIMNQVIAWILNLIHGDSVNAAGNKADIVQEGLEYLIDWIQLASKNRESSLGALNVFEQSIFESCSRAREFKPREAMTAIYECAAIYFEVKCESEELINQSRSYIALALRTPVDTDSELQSLLKLIRIYSMEHFDQIEIVLNDNPTSTWNSEKVLPILCAISSSHEAWKSLKAEIVEQLEKKMKNPIDGSLECYIQMMTASTENKELFMEHFETFENVFFKVDCDESESISKVMQSISLLLTNDDHEKFMKRIASRYLELEGSQLLQFDKKYILSYLQYKNTKDLLETTRRKISDRSIRQLFFAIVNRSSPSEPSEVPEQLRYHVVEVKAKLLKNAPRCLNIFDEFLTKVASDDSESPSSSFEYLLDFESADSNPEKCRYETSSPLWRQRIFCQIVPIFKRKFEEAGDKKHRLVEMLPHLLKFAVPLDAQQLKKQFAMLLPVLIQTISLESAIPSHVTRTIPMFLTISEPLISDDLHTVLNYLCSIARNNESKMTNLEDALNGLNILARRQPPKSLHSEVPLVVTSINKILGHKKRLLRMMAADVKNNWEMVITK >CRE31040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:950010:952082:-1 gene:WBGene00058928 transcript:CRE31040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-15 MSVSVRIVLIVIIGCGITVQKESEKGEPSKPSIAIVGAGISGLSTARRLIELGIDNFDIYEGLDRIGGRIHAIPYKDGFLQMGAQFINGAENPLYKIANRLGLIADVVSDTAHVDNAHFAFGNQNVHEGDIKTFLDFTSKLDPKYRSIAKHDEKTARRYTFKEIFTLDYMHFLKTQNFTDQQKNVFDSLARSFRSYWEFEWAADWSTLSVHVLKEWNDYGPECESFATNKIGFKAILDDIAAPIPKRAFNFNKRVENINLNSYSGRIQLTVNDHIVPTEYDYVIVTSSLGVLKKYHHKMFTPPLPRQKIEAIEKIGFGGSCKVFFEWDQPFWSNNTYSIAPLPVRGMISEKLDAFEEETTILQVVDWAPNVLSAWYAGRGHQLVDNMSEEELKQRMTRLMREMYNDELIPPPSKIIRTQLTKNELLLGSYSYMTQVQALSHISHSQLAIPVKLEGRPKILFAGEATHHRLFQTTIGGYLSGRREADRAVNDWFGNGHIFNQTRKMEVEDDTLIHEQRSVPYISQPITSI >CRE30787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:947188:949847:1 gene:WBGene00058929 transcript:CRE30787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-47 description:CRE-NHR-47 protein [Source:UniProtKB/TrEMBL;Acc:E3LU98] MSEKLPPGTLCAVCDDVATGKHYSVASCNGCKTFFRRALVNNREFVCQGNKDCPVNKGVRCACRYCRLQKCLAVGMDKNSIQNDRDRIGYTKRKRKHDDNEPESSNHHHHTEHVRDGSSGSPQMNDDSPENCDMKDIKIDLNCLDPIAERLTTLENNFTLLLSRCADLHSYATLEDALNAPSRFMQPIACEWSDHVVLTSRDDKMPFWRQRLIALYIDWAKTFSTFRSLPYTDKVAMVTNHASSFMIMCEAFRTPEHVKADVVRKRPDLPAIVSTNSGSGCSRVSSAACSSASNGEEIHDDLTNLLHAACIQQSINKGIRFGEAPAEEKVAMPIPIREIKQELLDIPISDGMIQLPTEYGNLPADYGTWIPQDYGHPTAGHEGKSDMHNFFEAREFCAGRPSSCNLNERAVKTVTLVEPRLFPTLTTSSASLSGITPVLTMMIDLVMKPFRQLNFSTTEFALLQAIMFFDPDTEGLDSASQRNVIAEQKKLLAVLFRHLQKSYNSHAANERYASIILRMPSIRRAAAKKNESLQVLDMLQMHEMNSLVKETSLGVRPSTVQQRMGIGGGAGGCMTFSSEATAEAQ >CRE31039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:945136:946639:-1 gene:WBGene00058930 transcript:CRE31039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-19 description:CRE-DNJ-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LU97] MFGGGSSGPVDTALYTTLGVKPDASQADIKKSYFKLAKEYHPDKNSDHGDKFKEISFAYEVLSDPAKRRLYDARGLEGVQGGGGGGGGGFPGGLFSHFFGQGGDDDDDDDDMGGHPFGGLFGGLGGHRGGPRRRKFQDTVHPLNVTLEELYLGKTSKLKLSKKALCKTCEGSGGKKGEKYKCDGCRGRGVKTIVQQIGPGMLQQMQVACDACRGTGGKVPAGDKCKGCNGDKYENVSKILEVHVLPGMRHGDKITFKSEGDQADVRNNNYNKLYTIDNFQPDGEPGDVVIVVQQKEHDLFKRDGDDLHITRKLSLNEALCGYNFLIKHLDGHPLVLRSKQGDIIKPGTVRGVLGKGMPNKKYPELKGNLFVEFDVEFPKDHFLDDEKAYNVLRSCFPATKVINVTPGAAEVSVMEYDEKKYSRGRGGDAYNEDSDEEQQEGHHGQGVRCQHQ >CRE30786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:938648:944927:1 gene:WBGene00058931 transcript:CRE30786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30786 MYSSSISRQDVQKKRSKSSSNSQLTRSSKKSSLESKFLLPNSLQKKDNSKSTTGLDLLLGQSNEQKVVPEKRDPYTQEIFDRVFAGKFVNIPKPQSKLVRVFTSSTFTDTTVERNALMEEIYPKLKEYCRETYGLDFSDFQVVDMRWGVRDESTDDHMTTKLCLSEIANCQRLSIALNYDETLKNSSPTELSKLFQHFKKITYLATRERPLIILFDSLDLLSTIDGAHELLWFPPSLPPFVKLFASLTPGASLIYSKMQRLIEDKRQYLMVPSLGKELGFRVVQEWLSDKGRTLSERQWSTVSKALDKCTLPLFVKLIYATVARWKSYSRPQETILFSSLQESINVLFHRTESQHGKLLVSHALSYISAARSGISDSEVEDLISLDDKVLDDIYQYHLPPVRRIPPLLWSRIRSDLPGYLSERAADGVIVLNWYHQQFRQVAIERYFKNVNHLETCHSAMAEYFLGVWGGVPKPYQYTEMQKQRFGVTENEGLADRKVPKQPNVFSSKDGNHRYNTRKLNELPYHLLRSGRVDELLTLCLFDYEFLHAKVSSFPLQSLIADYEDAIQNVRDVEISRQLTLAVDALRLSASILRKNRDIRHLNFSRNPSMLAFELLGRLLPLVATNKYVSKLLIKCDKEGPQHNAFVPAHHCFHAPGGPLKFSLEEHQFAVFGMQLTSDRKLLVSTSTQIIVWDVATGDIARVVNPNIDGVFFGLAVSENDKFAAAYTNNNQVIVASLVTGEFTAIDPEPFVTQMELQAIRFVGNNNILMWSKSQYLIYTVNGNIVSQGSESEGEHNNLVHIFYRDKLNMMFLLWTGERDEWRLVLKGTLNDEKTQTKGKLNNFYCEASITFLDNYFRKGFACVAHKSSLDPDGDHNFALVRIALSGSKYITEDVIQEGLPNRINDIKIFERNCAGSQSQNQNPWIVGVMIDSFLLYRDNCEFKPIFLKLPLNVRNIPIRPRHTTTAVTFASHDTVFVAGVRKHLFLWNVASTELLRSLDAHFGRILNLDSVSQLGQNILISSSLDHTIKIWNMENIFEKSFSVSTMEQSIEKISVAKDNPTLAAVQTRKNIGIWDIKSHRYIASLVANVHGAVVSDSLLAADGRTIVANESDQLLLWDLRTQSVIHSVHAPNVFQIFYMNKEALIGKRRKGEELPIQNKFQDGVTGVVITLFKGHDSLLVFDAVEKTQKMKFRPRQSKKQKDVMINKIIAMPSNSNQVIVVESDNKASVWDIRVRKFHRTLTQFNGVVSNDGKLGLFAPAKGGLFVIDMRSGQVAKTLIGNVTEGVNDVTCSFSPNGQYVFYYHSGHKTLRVFRVLDCQLIGTFRPHATITCWSYDPDGFFVILGAQDGSLLTVVLNDPLAKEETLNKIALLPCRRHLAEFLHIHVPEEAELDTFDLRNLGAVTAAVTRFKSLLDNKKGGGVTKKSHVCSIM >CRE31038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:934125:935882:-1 gene:WBGene00058932 transcript:CRE31038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-18 description:CRE-GRL-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LU94] MNCQCQSSCNSPCLCPPSMPCYQQQPAQTFYTQPAPPVIYQPYQNQQQQQRPSSYLANIPPYMQPYAQQPTFFSTPPPIQVQQLPPGTPSLSYPSSSQMFIAEQSSINSQYSPSGSPGPSTSDSQDKLNLQVVSFPTEFRLKNISHFQPPALKQKEETFENHINEKSQTYETDRPLSDIFYETNTGEDDNEIDRNYSPIQTTTENVYRNTDTTTRKNYESSTWPTSTIAVTTKRYETELKRGEGNSDISMSGYGFDSGSTSAPIMLEFLEHPRHYENMSPATPNNTDNPDVTDFTQEVDAFYKSSTSHPVQTFEYGAVRDARDSSDNRETSTPKFPFDRDEEVKPKNKWTSKRKIREKEDSSKCNNPILKDLMEMKMTASPSISKQMIYSAATEMWVGRNVNVICSKHSFSYVVVTSPIFCEHRKKTLTCFVFFQP >CRE30784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:932947:933970:1 gene:WBGene00058933 transcript:CRE30784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30784 MPTTFAPVIGLSEHIATQTCNCHYPIGLVIFLIFTIISLLVCICVCCFDLGQCNLCQGDSKKEVKFRRTSMNARFVEPSKFVIDRKLSMDPYLTEYRQLFNSGSVTSSSNSHSRCIENPQFSFSTDRSLISTV >CRE31037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:925276:928031:-1 gene:WBGene00058934 transcript:CRE31037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glc-3 description:CRE-GLC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LU92] MNRRHCLLGLLIILLWAVGGNGDASSDTEIIKKLLGKGYDWRVRPPGINLTIPGTHGAVVVTVNMLIRSISKIDDVNMEYSVQLTFREEWVDGRLAFGFPGDNTPDFLILTAGQQIWMPDSFFQNEKQAHKHDIDKPNVLIRIHRDGRILYSVRISMVLSCPMHLQYYPMDVQTCLIDLASYAYTESDIEYRWKEKEPVQLKKGLHSSLPSFELNSVNTDLCTSKTNTGTYSCLRTVLELKRQFSYYLLQLYIPSTMLVIVSWVSFWLDRGAVPARVTLGVTTLLTMTTQASGINAKLPPVSYTKAIDVWIGACLTFIFGALLEFAWVTYISSRSFYKRKKMCSSRNSLLMETKQALIIPNTVVAQFQDQPSDVSSLFRNSKFSPLLFQEVEMGLTSSPPDVWIRRHNNGKTVTEVNGLLNHTNDESAELIIIDAKHKNRRFIWWNNFKNVRLIRWVRHRLDVDDNAKRADLISRVLFPTLFIIFNFIYWIKYSQYHVPAAK >CRE30783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:922683:924919:1 gene:WBGene00058935 transcript:CRE30783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30783 MNMLSLLIFFGSLFLVVHPFLLSNDDEPQRCYELELENCFREAFLSAIHPPIFHKRDVTIAYNETTVAPDDRPMDKKKRVRQIQICHDVRKFSSCFIRPGCSDQQAANIASVQYHMVFGKKLPMHVFLAYRGYGRELCDQQCYGNNMQKCKNKMSQDEQQEEQGYILELSSIIGHIAPDRDVTVACSRFNKLLINLMRLRKTHCGEMARCTCTDSTVQQGVDGCNSGCERLLHLDLDQLVNSTQNSPISFFLCNLFLLLSYVFIVI >CRE30781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:912454:915828:1 gene:WBGene00058936 transcript:CRE30781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-6 description:CRE-ACL-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LU89] MDLDVESTSITELRSHCETCFPLMDEVVPIREKYVDLLEFSNFNGIPYPIVDPSKKPRRFLADFRLVQKIYIVYIVSIFRYSWSVPLSHDYPLVEKDVLSSKRVHKVISRLKEQNDEQKNRAVQFFTEISARLSKFICKCCAYVLYKVFRRLMDKLLVCKEEIQELKRAEQTGIPIVYLPLHRSHLDYLLITWCNWHFGLKLPHIASGDNLNLSGLGWLLRATGAFFIRRRVDPDDERGKDQLYRAILHSYIEQVLGKNMPIEFFLEGTRSRFGKVLTPKNGLISNVVEAVQHGFIKDCYLVPVSYTYDAVVEGIFLHELMGIPKVRESVLGVFRGIFSGFRKSKQCGVVRMHYGRPIRLTEYLATITASLSNHRTRPVRMTKLSTSFSYRELVPWHRTHSETVDDRTMIRAIGFHVVYEAQMMCSISPVAVVSCLILAKWREKTSRNTFEQDCVWLCEQILSEGGDVVGYQSKKTTGKALASYAFDKLQSCVKVTDEYVAPKESHSSFIQLAYNKNSVICRFSIKSVIAMVIVSRPVDATLSFDEIVEDTLSLCDWLQFEFLFCRPCDSLRELVHNVLSNNEWSHPIHGFLCSEIEEDGFLDAGDFNSGTLRVRDSKSRETLQFFANLVRPFIQSLYLVSSFVTSERCSVEPISDNNVIRELCHESLAGKINLPFSPLLESINSDSFKNALRILKDKGLLQRNLPNSTARSSNSRLTELISNLQRVLEVK >CRE30780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:909486:911309:1 gene:WBGene00058937 transcript:CRE30780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rhr-1 description:CRE-RHR-1 protein; Rh-like protein 1 [Source:UniProtKB/TrEMBL;Acc:Q1PSW4] MRSPMHQNQLTLILGAFQAAFLVIFALYGSYDASALPSKEKNVEEAVRMTNLYPLFQDTHVMIFIGFGFLMTFLKRYGFSAVSINMLLAVFTIQWGIIVRGMASAHNGFKFTISLEQLLTADFAAAVILISMGAMLGKLSPSQYVIMAFFETPVALIVEHICVHNLQINDVGGSIIVHAFGAYFGLACAKAFGKKEQRGHQNEGSTYHTDIFAMIGAIFLWIYWPSFNAAVAATDDARQRAVANTFLSLCACTMTTFLVSQAVDKHKRFDMVHIANSTLAGGVAIGTTANVVLEPYHAMIVGVIAGAVSVIGYKYITPFLSEKLGIHDTCGVNNLHGMPGLIAGFASIAFLFIYDESRYPAQYDKIYPGMARGEDGKRMFDEKTQALNQLMAIGLVFLASTVSGYITGLLLKLKIWDQVQDEEYYADGDYFETPGDYDFTSRIVTSVSKIEVAEYNPLSQKEV >CRE31036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:902045:903633:-1 gene:WBGene00058938 transcript:CRE31036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31036 MSRMKALTTSPPSQLNNETSPPRKRPAECEICLRAAKGHHCGVASCKGCKTFFRRMCVSNTEFKCKLNEDCFDLSKRTVIHLRCQACRYKKCIDVGMNPLALELKSEEENALNFKQLVKRTRDEEQQANLKIYVLETRETNSMQVINMLSYLELKIEQFRISAYNPSFFEFGTFEEMILRQSRFGIADRLGPMPGWPLPRDKIVKEDILGKSGIRDVPDKPGHFSPNKKIWMLTNTLTNIEYAKTFMFFHKLDFEDKAILISHVTLICMNLNNTYFAISKKIDECLQPDGTITPQNDEYNYPAFSMAFAPLIRCNVQPTEYVLLKAICLCNPSESHHIEIDIIHLMKSDSAIHGLSEHAQSIIIPERQQYADVLFDYCVKHHGNGAPSRFAELLGIIPILEQQQQKQKHIHIYHIAPIIAKYDMVLGFLDDIMFS >CRE30778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:897862:899157:1 gene:WBGene00058939 transcript:CRE30778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30778 MNNLKVFGRLQIAKRSYSPLPVDPLLRFKTISQTQKAPKVLITGSLGQLGRGLNSVYKYMYGSECVVMSDIVRLPENATDVSDYNYLDILNQSSIEEIVVNRNIDTIVHFSALLSAVGEQNVPLALQVNCRGVENILQVAAKHKLRVFIPSTIGAFGPTTPRENTPDLTVQCPTTIYGVSKVYAERLGEYFNHRFGVDFRSMRFPGIISATKPGGGTTDYAIQIFYDALQKGKHTCYLRPDTRLPMMYDTDCMASIIQLLSAESETLKRRTYNVTGFSFTPEEIADAIRRVIPSFEIDYDICPIRQAIADSWPMSLDDSEARKDWGWNPEYGLEETVQVMFALLRREEDKPTKIVSTA >CRE30777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:895522:896831:1 gene:WBGene00058940 transcript:CRE30777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30777 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LU84] MIMQDVNSIIIDVLSASTHEKPICKVIHEDRILKMLDMSKEVIKSQGALVEVDAPMKVCGDIHGQFPDLVRLFHRGGWPPTSNYLFLGDYVDRGRFSIETIVLLLAYKVNFVRFAKNFFLLRGNHECEYVNKTYGFYEECQKRYQSTRLYDAFQDVFNILPLSGLIAERILCMHGGLSPHLKSLNDLRNITRPTAGKTGLEVDLLWADPIIGLTGFMENQRGAGCGFGRDIVLKTCADLNLDLICRAHQVVQDGYEFFAGRKLVTIFSAPHYCGQFDNCAAFMSCDEKLQCSFEVLRPTSGRLEIREKTLLKDETT >CRE30776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:893380:895177:1 gene:WBGene00058942 transcript:CRE30776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmsr-7 MECPHDAQLFDTDSNSTQAILRKLAHFQRWYQPIHGYVCVLICVFGIFTNFVHVAVLSRPNMRNSAVNCILTAVAVCDIGTMASYLIYIIHFVLRRNNSCTPTFTHSWLQFLLWHVVLSITLHTTSLWLAVAMAFIRRMTLRVTALNSQWQRPKFAWKLCLIIYIIVFILCIPNMLVHEIAKVEGHSWKPSPSCKGFPTNYTEPVYTFMVSHAATINNCRLFKWNIWMIGILFKIIPCILLIFLSFGLVAKIRDAERHRRKLTSVPSNASTDSKPLKKKNGTPDRTTLMLVVILLVFLITEFPQGIISILCAIFTTDVHKYLYFYIGDVLDLLSLVNSSVNFVLYCVMSSRYRQTFWEVIIPSWAYAMWTTRRGSPTELSQLQMNNSLRLGRKQSYTPLATEPDPGRDEECSFEASMSDNQNSSREHQL >CRE31035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:884545:886791:-1 gene:WBGene00058943 transcript:CRE31035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31035 MVEAPSFRMICVAVITSIAGSFHFGFNLVLTNPSQEAFLSFMNQTLAKRFDGGLSDNTLQNIWSFVVAILFLGALAGSFCIRFIADWVGRKNGLYISIAAGVLGGGMAIASKFIPLFELYIVSRIVMGWSVSVSLGLSALFLSEASPKQNRGAVGMMTGTCVQLGTVCGSVVAMPQIFGTDDLWWCIYATEIGIMLCFGAALPFFPESPGFLIQRGATEAATKSIAYYYNCEEVEAQKHLNEIKEEQKNSTRKFKMMDVVIKRSLRDKAFIGVVVTFAMSFSGVAVINAFAFEILKDTGLNVLEASLANDAISVVSMISSIIAAVIVDRNGRRPLLLISFAGILFCNLIIFGLMFTFYQYGYHVLGFILICFICIFTFFFALGPGPLCYFINAELVGQAARSAAQSWASVIQMLSRFVIVTAFLPMKNQLGEAWSYLILFVAPVAVSLVYLYFSLPETKNKNPFEVEEAIEDLPKFPLCGGSRRVYDRKQSEQMVITRQMQLVEDYGSIESLSYRL >CRE30775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:883127:884282:1 gene:WBGene00058944 transcript:CRE30775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30775 MYSSLFLILIISLLCVVLDGKATSEQLDKHLGAGMKHVSCQMRITGFYMKRHCKKHFNTTLRCDLDEHERRSVKKVAKLCCEDAPSCEPSELFDEFCCQGSDCEETECQPWDDSLEEIQLANLVFSSDELFNTLQERIIAEMKLGNRPDYFPEVLAVYYDNPELIEENETHIRRYFKAHRLQTAHIAKSFGIIQRHDNQFKF >CRE30774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:870868:873573:1 gene:WBGene00058945 transcript:CRE30774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30774 MSDADMNRKFIEMMELMQKQMAEQAKKHEETIAVLTKAVAENSQIDGPSGTSSGLTVSQSQLMNDIGGRISVFQFDLETEKTFSKWYARYSTAFTEEGKGLDDKNRVALLVSKLSEEVYEQYSRRICPKLHHEVNFKDTVDILKEMFDIKKSLFSHRFACINIARDGDSPVEYTNKVNALCELAMLKDIDPDGWKVFFWLRGLDPTQDTKARAYFLKYVEKKTELGEKVNINELCTEWQKIQSQTSAVSEMEKSDTAVRAVYARRPSNQDQSREKNTSEDGNSEGGKCWNCGKRGHRKPDCPKPLTTCFDCQKKGHMSSFCRSRKDSSSRKTQHVAIVGGASSEDAEVNSVRQYVSVEVNSESVEFQLDTGSDITLIGREDWSRIGKPDLERCRSKVKSASGNELKILGRALVEFRLKGSVGTGYVYVREHGNLLGLDWIGRSKEMSYHMGMMVDELTHSNTDAIHGGLNGKFPEVFKKGLKRCVKKKAVLKVKENATPVSRSKRSSVQGASRVVEKVVSSCTISQGGKISRKIPLQPWKAPERVWQRVHIDYAGPENGQYYLVAVDAKSKWAEVMIVKSISAISTVRTLKDMFSQHGYPETLVSDNGTQFVSKEFATMCQDAGIEHVRSPAFHPQSNGQAERFVDTLKRGLEKLKGEGSVNNEILSQFLLHYRSTPSNALGGLTPAEVHLGRRLRTRLSLMIPQLNKTVDSEQVAMKEQFDKHHGVKARSYRKGDSVFVKVFEKNTWSWKPGKVNQRQGRVVYVIGLNDGRERVVHANQMKMRLEESTQEQSNEHEWATTMFDVFELPTVWSARKSIDEARRDNATSTPVMDSPQRVHQGQGTSSSPAQQVQSRASTQPSSSQSTTTAVQGQRLAPSPVQPRRTTRVRKNVVKYDPSYHS >CRE31034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:863741:867154:-1 gene:WBGene00058946 transcript:CRE31034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-216 description:CRE-NHR-216 protein [Source:UniProtKB/TrEMBL;Acc:E3LU79] MYPRYEYAHFDINNYERSPTLEPPPNYPTTSFEPTGQSLPEIESIGSKQKCRVCNLESHGMHFGVQSCRPCAAFFRRIVVLDLKYTCVSNTQKCNVEGRGRNVCRDCRYKKCLAVGMTTDNVQYNRDSHNNKNSAGSSGRPKNNKRNVMSDEEEAAGSSTVKLGGPIRLDESFLGYSDGSSTTRGNSQLDEGSEERDSEEMDKIISDLKYLFVEVGILYIPPKMITQCSINQLQRLTHALVLYRQNQQSANQIKFRDTINAFTDGKAYLQRRSEKFSKWVKNVDFFDKLSEQQKIDTVKLSFTIFDRLERAQMSVKTFGESCITEKKITLSSYCAVDWRTVTINPETAINTELANNYIITEFHSHINSIINDVCQPLYELQLSDTETAFLLTHVLVYYGSEKVSHELVEKFDDLREIVTSDLHHYYTKQIGVEVYANRLLKIMSVVNAMKKIHADRVRKSELIRIFNIYKVSEKRTDFNCS >CRE30773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:862601:863671:1 gene:WBGene00058947 transcript:CRE30773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-9 description:CRE-SRD-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LU78] MVLEVIVLSYYTILGTLGIVLNATLLYLSIFRSPSQIKTYRILIINFALTDMFSSFLMMFIAPRVIPLDLAMAHIFYGLCHYAGPFLCYASYSSLLHLFMHSMWSLLLSFAYRNYILSNGPPSSFKLFMCAVLFYIPSFIQFIVFTFTAGDPHTILNMLRVKFPDYELDITTITGITDVLSPAATFSILNITLPVFPIYTAILIFRRKILKKLGKNTEHLRTETRNIHKQLLKALTLQACLPILFTGGVFLFFLQAIRLITHPILECLICAIPAPIPLLSSIISLYHIRPYRQALLRLFTRITMPIPTKEHHSSAVVLAPKHKISVLSASRLHRLSIPNNS >CRE31032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:851941:854435:-1 gene:WBGene00058948 transcript:CRE31032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31032 MASVFISAAKLIFVFVTSATVNAQKDDSDQLMMNRMSNIAEKYSNWALGKSDYQVRTPNSYQITQVQTPPRSYNTAPVKRFFHHVRIQPPPPQAKPISYSPAQQESYSQHPIDHPIYTTTSPPTIFTTPYSNIPNPYTLHSPNVTQSPYYYQQVPPPSRISQLPPEHRQVFRTPIRIQPQREMISMNPNFPRLMETEVPIPSPPMFPTNSSNFPNHMTHNFGPSILSQLLNPILQEPTTPSPLIQTAPQIYTNPNFPTLSPLPLPPVQEREPTKEEVMKVAAKKRLFGMPRFPMDYHRGFYQVSGMSCMSGWCGNECCEVRRQFSPPTTTIQATAIPGSPGPPHPLVLVVHPSPPVQQQCSPACQPHCNQQCVARLQYMHETNYYNHILEPTCRPDCMPSCHVDCLIIPPQQVRCNSFNCQCLAGYVQCAAFTCCMRYPNLAARMRTNVMTKSSEEEDTTTSDEENVINEKRMYTPNRVRAEKRKHGWGYHENILSNQMFSDIEHVTQSPKPSYWTKKRVVEGRPVRKPTTTTTLTPCPTTTTSTTTTSTPTTTTTTPSTATTTTTTTTTPVPTTVRITTTSPPPTTTTTSTTTTTQLPPTTSTQKIIMNLTPIYPDMFMKANKVPMIPAYGMWAIPQTSQVTESPSDGFDNSLAGKKTSPTEKRNSVGDIRGRHVIRSENFLDVLSELAQVKTVSYKPRVLLFIIPGKNQGRHH >CRE31031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:829008:839110:-1 gene:WBGene00058949 transcript:CRE31031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uig-1 description:CRE-UIG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LU73] MISERIQRSQSPVDVSLALSEDSTITITELNNRNGSAFNANSINATPVMRRRRSQMSGVRQRNYRILDQNESKFILQQQSAAAIEEKKGTLLRRKSSKKLIEPVPMIITPPVLMARTQCCAVCNKEKSSGYVVTTRTQSYPLEAMSAVSTDRTTDEETARLSFVSSSTGYSSARSSLRSSDGGDETNNNSNSAGNRDSAISTTFSLSSQSSARRSALLTKGSLTHLDRIAIELLDTERTYVEDLNAVIKGYMDFLVEDRERLKVTLDAISSLFGCIERIFAFNKQLYNQLDLADLDCVKMSRCFVDNCGKFEDYIEYCTNYHRMMSTLYQLQQQPLVARALLERQQALNHSLQLSAYLLKPVQRILKYHLFLENILKNMPTTTHPEELNQVKRAHEVMTSQAARINDEKKKAEHIERVGQLQSTLQKWKADEIQISNLSAYGDLLLEASFRQAGSKTTRLLFLFEEMLLIVKQRGSNYVCKDYIMVGYWILFSEFCHYLIAFNFQCSNLMLNEWICPEEPLSFQVLSFDNPRAQYVFLASSMEQKRTWMQELKRMMLDHYSIEIPEKTKQLMLSIDNTKVVPFGRPEFAEVSMKNHKKVPKYLEKRRKSVDAKEESSRRRSLSASRLLGGSKTSISEPIREEQKCTCHMNGGIYNGGNPTTSRSIVNITEPSTAAVLSSRSRYQQARNRRLPPRHNQESRSTSSRRLGDDEIDRTFDQLYEELVSYGDSAKQQTSATNTQNHRQRLRSEAPPSTVTTITVSATPATATLSVAECANTRLRSKSLTRLDEYEAEPICLKPSIERRYSKVDQLKKRSRKYQVNQEPEAADVLRPSVGRFSLTDHEIIIHGEEPYKRNSGRQRTPLTAQQAAELDDYFPSSSSMNSAPQSCSSSATPSLMSIIRQYENA >CRE30770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:824346:828323:1 gene:WBGene00058950 transcript:CRE30770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zip-5 description:CRE-ZIP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LU72] MNMNMNCSCGDPSCGYCQAARGANSNNYYPFGDFVPMDPYDMSLNTIIEEDEDKDDDDVDEQENEQDDFVDAMGEMMIQQEIDAGGISFTQINELQVSTITTRMSEYSGAGSSSSSYFSPFGDYDNPSGEPSPKCIKMTPPTVLLSPSFSFSQLNIAAPRSAGSLESEESEDESPKAEQLLQFEQLFKQSLLGLNQFSPNVINSSNDERVEMGSEDEKKRLRNTEAARRCREKIKRKTEDLEEELHVLLARNEIMNEHRVRILSQIQEHVRTMNIMIERNPALERPLRYQAAEIVAVYHKQIEMKRKAIQDYWNNTCNKSY >CRE31030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:819381:820765:-1 gene:WBGene00058951 transcript:CRE31030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31030 MSASSSSTTTTTTMSTMTNTATTQQGTSSNRRTPSSTSSSSCLIKCFLMERHWRHLLFSLSLHTLIYILLHMCFPARDLAQAFLADILILLLAAFGTARKLPLLIFPSVIVKFICFSLCAGVAMLSLSETSINMKTKEARMEFRRQRNYPEVVNITFEDHPTVCIWIHAMAVVVALDLNIGLKAFYSSFDCMKKPVVEEEKPPSYTVCVTNSSQSALPPTYEEALEARKNMQSQIVPSRKNQRNSGIFVV >CRE31029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:810586:812720:-1 gene:WBGene00058952 transcript:CRE31029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-odr-3 description:CRE-ODR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LU70] MGSCQSSENSEGNARNKEIEKQLNADKRAGSSIVKLLLLGAGECGKSTVLKQMQILHSNGFTEEEVNEKRAIVYNNTVSAMCTILRAMDGVLHLPLENGQKEAEKAIVMKVQENGEEGEALTEEVSKAIQSLWADPGVKKAFEMRSEYQLPDSAKYFLDNCQRISEPGYRPNDQDILYSRVATTGVVEVKFKIKELDFRVFDVGGQRSERRKWIHCFDNVESIIFITAISEYDQVLFEDETTNRMIESMQLFNSICNSTWFLSTAMILFMNKKDLFMEKIQRVNITTAFPDYEGGQNYEEAVNFIKQKFAELNLNPDKKTIYMHETCATDTNQVQLVISSVIDTIIQKNLQKAGMM >CRE31028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:802217:802653:-1 gene:WBGene00058953 transcript:CRE31028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmd-11 MVADVLRQHSQLTPVYRMFPPVPIFSRVPVPTKFKENPKKIYYCQRCLNHDTPRPRKNHKCECPYADCTCDKCGLVEKRRILNIRLQNYNTVENDFDGPSPIDDDGDSKTKGG >CRE31027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:791174:794116:-1 gene:WBGene00058954 transcript:CRE31027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmd-10 MTQIGLYPAALTKPFELSLSAIKSVLEPENPSYSKRVPNCQKCGQHGRKSRLKGHKRNCPFRECPCAKCAVVTERQKLMADQIKIRRRQRKDTLMNFTREHITQTINAATAFSNSQNSLQNLHSLLYGSIKTSPQPLLSSPTSSDASSYSPSLPFSPSLPSFMSTSSGSSPTSQTPTTIPLTPTAPLMAPLPMSTGFHLNPLLGLTSQTQDAAMLLQTILDQYRLLEEAASMSISSSPSKDEDSGDEDSDGMNSNAIIDVCTV >CRE30769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:782140:783128:1 gene:WBGene00058955 transcript:CRE30769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30769 MRTSTLIFVCNIALVIVSIAGLCLTSSIFDFIVVSKLYYTVPDHKVLISPRLAFLLFPSSTLSLLIAFLSLIFLSAGQRIIKFAVDNQMILSFFHAAIMGLAAALSAYVSFVCIQNANDISGYAFFATPAQFQQASFWYYTRLRALVVISIVQALLNGTIVSVLYLGISCKYRTFVQLSEKPTHPDLVERTTYFA >CRE30768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:779229:780393:1 gene:WBGene00058956 transcript:CRE30768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30768 MPGDNKFYKYFVVYPFNVLSISKITVIILLILSLYGWDVKQRTSGSNFLLAIYDILAIGVASISLWFIVQKFYWLKVESVFNIIGILLSLASISTSFVTTLEPASMQSLTHILTLSIWIFSAFWAWRETYNNVSNLFISEWGQGGEDTEIEYLEQHF >CRE31026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:771987:773240:-1 gene:WBGene00058957 transcript:CRE31026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31026 MAEHRGDTDKAVEGGEKRNGSWFEFVGIRLTVLHAIKMSSEEPKQIAYETESLRKVAFFGIAVSTIATLTAIVAVPMLYNYMQHVQSSLQSEVEFCAHRSNGLWDEYQRFEGVSGVAGRIKRESYHRSVGGARRASKVRRQSYGGDAAVGGFGGSAGGSCCSCGVGAAGPAGSPGQDGAPGNDGAPGAPGNPGQDASEDSVAGPDSFCFDCPAGPPGPAGAPGQKGPSGAPGAPGQSGGAALPGPPGPAGPPGPSGQPGSNGNAGAPGAPGQVVDVPGTPGPAGPPGPPGPAGAPGQPGQSGSAQPGGPGPQGDAGAPGAPGQPGQAGAPGQDGDSGSEGACDHCPPPRTAPGY >CRE31025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:769203:770203:-1 gene:WBGene00058958 transcript:CRE31025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31025 MSSEDPKQIAYETESLRKVAFFGIAVSTIATLTAIVAVPMLYNYMQHVQSSLQAEVEFCAHRSNGLWDEYQRFEGVSGVAGRIKRDTYHRAIGGARRASKVRRQSYGGDAAVGGFGGSAGGSCCSCGVGAAGPAGSPGQDGAPGNDGAPGAPGNPGQDASEDSVAGPDSFCFDCPAGPPGPAGAPGQKGPSGAPGAPGQSGGAALPGPPGPAGPPGPSGQPGSNGNAGAPGAPGQVVDVPGTPGPAGPPGPPGPAGAPGQPGQSGSAQPGGPGPQGDAGAPGAPGQPGQAGAPGQDGDSGSEGACDHCPPPRTAPGY >CRE31024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:767378:768791:-1 gene:WBGene00058960 transcript:CRE31024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31024 MFFLLFSFFLVQILNAHLIKNGEPEPIKTSPLEEIDAEIPPEQKNALYYFVILMFVTSTIVSTLLTGAFLVASIFLWRRFKHMKFFWFLSILTLSIFVLSILNLLINVPATLFSLLTKDFVKSGLFFCNFHSIISFFPDVFLFMSYIIDICHYTILFCNLVIAIQRCFVFFFRNIADRVFETPFIYLWMISIFVLSLLVVYAMMYNNCRYKYTMADEHYILNCQTVTVVNLPPPKGIQIVNTFYKVPELSIEFSDGNSTSIFASLYNLWHLYRPIYKNNGYEKVTDEENRNHNFEASVFYLLLFPNGDIRRRCNSFFLLFHINRNQKNGFCEIIGCWVTSEFKQSTT >CRE31023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:759436:766558:-1 gene:WBGene00058961 transcript:CRE31023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31023 MLSLLCILIFINQIFGDEPLCINGIDAVICTPFFKKNDSKETSLSEVSWLNESEKLIIFQVSAEIPPEDKEGVYYFIVTLFVFATFASTLMTGAFLAMSIALWGHFKQAWKKFHLNMKFFWFLTQLTIAVFIMSSLNFLINVPATLFSLITKEFVKSDAFFLMSNVIDFCHNAVLFSNLLIAIHRPLVYIWMILVWILSGAVVLSMMSKNCRYEYDMAVLEHYVLKCRTESGIAISPSSVIQMIEIAMQFVIPIFILAVYIALVAKICTIKQGAQNNYELTILKQAVFIFALFQISSIVFLLCQTLKFKVATAFLIKRVINTVNQCMSNYKSFEIQTEIFAGAATPCFFFFTSKDIRKLVTVKVSASSSQNNSNSQQRRLTITAVIPPKDKDAIYYIIVSLFVSATFASTLLTGAFLVMSMIFWKNFKQMKFFWFLTQLTIAVFLMSSLNFLINVPATLFSLITKEFVQTDAFFLMSNVIDFCHNAVLFSNLLIAIHRPFVYVWMLLVWILSGVVVVSMMINKCRYEYDSRVFKHYELLCLSEKDTVTGPPSGIQMMEITMQFVIPIFIFVVYIALVIKIFTMTKATQNKYEITILKQTIFIFALFEISSIVFILCQTIEFETATAFLIKRIINTLIENVPPEEKDWIYYLVITTFVLFTVLSTLLTGTFLLSSVYFWSSFKAMKFFWFLTQLTLSVFILSTLNLVINVPATLFAHLTQDFTKSVFYIAMSYIIDFCHYTILISNLVIAIQRFFVFFFRHLTYRVFDSLIIFGWLLSVWSVSGTVTIIMAMNNCKYNYKKTLKHYVLNCQPLKSVVDLPPPKWIQMLELILQFGIPCLILVMYVAVVIKISIMKKTSLNKNEIRVLIQAIIIFILFQTSSCVFLFCQTLEFNSATAFIIKRLINTIEIFAGAATPCFFFFTSKEIRKLLSTKISAVSSQGNSHVNLEAEVPPNEKGSFYYLVITLFVVSIIASTLLTGAFVVVLILLWGHFKTLKYFWFLSQLTLSVFILSALNLIINVPATLFSLITKDFVSSDIFTILSYTIDYLHYTILISNLVIALQRFSVFFFRQLTYRVFDSYVIYIWLLMIWILPCSIMFVMVRNNCRYLYMLSHRSLHGHFVLDCHMPKSVVDTPPPEFIRIVELTLQFGLPVFILAMYIAVIMKILTMKKTSLNKQEMRVLVQAVVIFFLFQTSSVVFLYCQTFDFTVSTAFLIKRIINTVCEKYTVSTSPLLLFS >CRE31021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:756366:756632:-1 gene:WBGene00058962 transcript:CRE31021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31021 MFITVFFFFYLPTLVLSDNRIIALEAEVPPNEKGSIYYLVITLFVMSIIASTLLTGAFLILLILPPHSGREN >CRE31022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:757023:758495:-1 gene:WBGene00058963 transcript:CRE31022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31022 MFITVFFFFILQTSVLSDNEVKILALTAEVPPNDKGSIYYLVISLFVMSVFASTLLTGAFLGILILLWGHFKTFKYFWFLSQLTIFVFILSALNLIINVPATLFSLITIDFVSSDIFTILSYTIDYLHYTILISNLVIALQRFSVFFFRQLTYRVFDSYVIYIWLLMIWILPCSIMFVMVRNNCRYLYMLSPRKVNGHYNLYCPTQNSLVDTAPPELVRIIELTLQFGIPVFILAMYVSIIMKIITMKKASLNRHETRVLIQAIIIFVLFQASSVVFLYCQTFDFTVPTAFLIKRIINTLEIMAGAATPCFSFFTSKEIRKMLSAKIASYSSQGSSHVVVRKPTLVEVES >CRE30767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:752422:755958:1 gene:WBGene00058964 transcript:CRE30767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30767 MLNSLGPGNLVKAIEFLARAALEGKAPSTARDYLKENAARRRWIQNNGLPLNETSTLIYLASRSELVGGGSLAKIVASFKMSNTEMSKVGRQLAADVIRATRRKEVQKRQQPKAVSWSELQVVAGTKSNDEKGERDTLILLLSHQALLRAEEAANLKWSDLTQTNGILEIRVRKAKNDQQALGRSTFIPCSDGSDLDCRLKRWKVCESLRSRKSEYLFSNLNNGAGLSASAISSIVKKKLMEFGIDGTHHSLRRGAANDLQRLGFSKEEIKARGRWRSDAGLERYLVDTPEAQGISAGAEEKEDGPPVLIRHLKRRNQELLLLDKDPSALKNVEKLRAIAAVTSSEGSNAGDAKLMALAQIMSQGGDNRNQRSGARRQWFPAAEEAMEVKGHLGKKDSSMEARLLETFRNVLKSNASVAAKLDTIVPSAVEPGKVYPNRLSEHIQFWCELTGERWILEVIKNGYEIPLDKHFPLPAPEGMRKMAKNNMNFVITEINKLRETGVVSVAESPMVVSPLHVARNGEKLRLILDLSKLNKGLSPARFRQEDWKTVWPFLSEACYAATFDFRSGYHHVKISEASSDLLAFSLSDPPSSPFLKFNALPFGLSTAPWLFTKIFRPLVGRWRAAGINIFLYLDDGLILAKTREEAERAVIMVREDLKAAGVCVAEDKSNWEPSAQFTWLGIRGDLTERTVRLTEKRENSLRDQISLLKRSRAPSVLDRQKMCGYLSSLTIVAGHEAIGRQRQMASVIAEETVGLGRAGSIRRQLSEGEISELDFWEEKLESGGMIRDMEEEFEPQWFLFTDASAEGLGAVLKNGSGQTVMKMSELGGTGFQNESSALRELRAVQMAVERMASWKRGAVLIHTDSQAAVIILRKGSMRRTLQIVAERVWESLRSIGQAKFIWIPREQNKEADEASRDFDYDDWAVQNWAFEWAQKRWGEVKCDWFADEQNTKTELFFSRLPEPGTLGADVFEHVDKAGAIGLAWWVPPPALIPRLMRVARQKKLRGILATPLWKAHPSYQALVNERGEFIPEIRDSRIFKVNTKIISPGRGSQRGERMASEFCRSPFMLAIIDFSF >CRE31020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:749868:751739:-1 gene:WBGene00058965 transcript:CRE31020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31020 MKLKLVSTEDDANDSSTQVDPLDCGGDGVVEQEDPGIKHCLVSKEDLDFIRLGKAVANLDFSLIPTKKLTRKVKFGGEEILKNVQKAMEFISSSLGADVVKTPFHGVKKATAAMTGVHRNTVMYQEKKKLQPQKRPLKQLSKKERNRHAAAQLNIVQKTKLRKYIHERWANNQQVSTSLLFEWAKDNIGFKKQHTHFYHTLKGMGFTYKGLDVNTVVDERSDIIWNRKFYLGKKKNYDEENYYIASTDETWFHDGMGAKRGWQMANSSSYKRARMADMSTPMAGPRKAKTRGKRAICLATLTEDGVLPDSDLVIISGVNPENQNEDYHQDMCSDTYEKYIRKVVPLVKQAADKKNRKAALIVDNAPYHNKTLEKPPTMNGNRADIIEFLLKHNVGFDPSLLRPQLAKVMKRFIDANGGRSAFTVYEFDVWARSQGVEIIRLPQYHCYFNPIELLWGQMKQHLRNTGSIADKLETVRQKAVQFLRSFSAEAAQKIINHAKKEECEVREMMEERALTFEDVSGSGMLYEVDENGEMVPIGFDDEEEYEVEEELEEHIDSSSSEYEFDTFEPEIHDETDMV >CRE30766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:746361:748944:1 gene:WBGene00058966 transcript:CRE30766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30766 MTDEGQVPATGSFSMELMRQFKDEFLEMIAPELLAMRKGDEKVPELSQKGLQKQAEINVQVINMLNNGAADLGKAVEEVVGLLKRRNQELMLLDKDPSALKNVEKLRAIAAVTSGEGSSAGDAQLMALAQLMGQGDTRSQRGSARRQWFPAAGFGGRQSGVRNFSAYSQRGGERAFGTKRPFSGSSTSGDFPKRKVYPNRLSEHIQFWCELTGERWILEVIKNGYEIPLDKHFPLPAPEGMRKMAKNNMNFVITEINKLRETGVVSVAESPMVVSPLQVARNGEKLRLILDLSKLNKGLSPARFRQEDWKTVWPFLSEACYAATFDFRSGYHHIKISEASSDLLAFSLSDPPSSPFLKFNALPFGLSTAPWLFTKIFRPLVGRWRAAGINIFLYLDDGLILAKTREEAERAVRMVREDLKAAGVCVAEDKSNWVPSAQFTWLGIRGDLSERTVRLTGKRENSLRDQISLLKRSRAPSVLDRQKMCGYLSSLTIVAGHEAIGRQRQMASVVAEETDGLERAGSIRRQLSEGEISELDFWKEKLESGGMIRGMEEEFEPQWFLFTDASAEGLGAVLKNGSGQTVMRMSELGGTGFQNESSALRELRAVLMAVERMASWKRGAVLIHTDSQAAVIILRKGSMKRALQSVAERVWESLRSIGQAKYIWIPREQNKEADEASRDFDYDDWAVQNWAFEWAQKRWGEVKCDWFADEQNTKTELFFSRLPEPGTLGADVFENVDKAGSIGLAWWVPPPVLIPRLMRVARQKKLRGILATPLWKTHPSYQALVNERGEFIPEIRDSRIFKVNTKIISPGRGSQRGERMASEFCRSPFMLAFIDFSF >CRE31019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:745045:745662:-1 gene:WBGene00058967 transcript:CRE31019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31019 MFSYRSSIGHYVLDCPAPHSILDTPPPKSIQIVSFVVGSTLCLPKFQMELILQFGLPVFVLAMYIAVITKILTMKKTSLNKQETRVLVQAVVIFFLFQVLQQFLHLSIYVLQTSSVVFLYCQTFDFTVSTAFLIKRIINTVCEKYSLKKSLITFQLEIMAGAATPCFSFFTSKEIRKMLSARIATISSQGSSHVVVRKPTLVDVD >CRE30765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:744207:744747:1 gene:WBGene00058968 transcript:CRE30765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cni-1 MFQMAFTFAAFCYLLALIAVGFCIFFAIYTVICVDELRTDYKNPIEQCRNLNQLILPEYLIHGTFTVLFILSWQLISILANLPLAFYHIYTYVNRPVMSGPGIYDPTTILNRSTLSSTLRISWIKLAFYLISFFYYLYAMIYTLVTAN >CRE30764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:740662:744097:1 gene:WBGene00058969 transcript:CRE30764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-him-17 description:CRE-HIM-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LU54] MSKRPSTSTHPEEDEDNIVDEQRDKMADEDPNDFETQFDEESEQGTSCRTPFLTNHLEFLEHHNPDMTMKELFSRKRARTQPQPAGYVDTAQPRYYDRYKQQDYTRRNDYMKYCHVCRKKTVGKLRVLPGDLRMRKVWILRSNLDEERSAELWLREMACEGSHGGEFCESHFPPGCQHLKGNQLLPLDVRPVEGRHSVIEDIQFDFDAIHLQCVFCGRNGPLATMLPFIRNRCKRSRWIDVLSAGNEAYKGRLTAALRGGVTQFLCDYHISDSSFEINGFGEWRLLRNALPDPRLVASDKRGERKYLVDKCRDELFWQREMWKNADLLSQLGTGDEEQDEVIQEESIHYMQNPVQHPEDDLMSVPPVLIPVSKIDTEINSVPQMEPSTSSSVTDIKPLNNQIDDQIEYSDDSDEENEMIEKLGDIPYSKRLCQVCSAVEPIGNEYPPIFPFKFTIRTWPFDEYRHRKWLEIMDWPPEFEESMKTLWQKRKTEGSLSEAYHFCPINVCQAHLDYRQLPERMEEWHRTFCVLCDSCMSDKNLLVPIPHNLETRRKWVDSLFPDEESSKFHVKKVVWLRRRFMRPKPTRYRICVYHFNRAAFLVNEEGKVVLDEKALPLSMDSDDFDLTPRGPNSVCKCILCDDWKKVEHMVPLRNPNSDAERTFLVDTLIHSEKLIIKKALSSIAKANRTALICNIHFPDGMDPFSIIAERRIMYGVQTECVLCGHANDCTAMIPFPGLEDQRLRTKWINSMCREPWIYRYLTTRLEKPGRHYLCASHFNRNSLRYHSGLGLWRKASACPVLSCTTEEERQEVWDLSKAQPLYHPLILEAFDVDGFGPLDYDDVLGFLGPDRMLEIENELNYHGRTEPVVRRDTRRKSHERGFYDDMPNIYEPEELDDVEVGDPNDGEVVQEDCIIYENDHHLEHHEGLIVEDEVVIGEEE >CRE30763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:725362:739403:1 gene:WBGene00058970 transcript:CRE30763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-noca-1 MASLCSSLIGWIKNDKEVRLRKRGDTSRRDAVEAGFEPRDAVPRCHSTQSLRDVQRVRSYNNSQFQASDLSLNPNGSVRAACDSTSGSVIAPTAIVNPAQQINNRQNHIHPHRQQHHMSYEKDLVQHHHIDVDRRRSLQALNGSSALYQLSNGSPNGGARSQFSPSDLAVNAPVHHVGSRVRVASVNQICDSSSGPQYSIDQRRSVHNIANPVRNSFVEGIKNTSTPKNQVAVAPLAHKSRHLSESRDEMRTERRGSGGGGQINLPAYTNFLMRHPGEERLVDGPVTTASDARIAYLEKKIRELEMSQKEQQSSNSTPNQSRHSSSKSSHFNGSTNMSTSEQLRVQEMSEELATKERKVTSLESKLLKAYQKIERLNEDYNGRIKGLMYDSERARDDLSRCLDKIQQLEGELDETRAAAQNGDHANEQEYHDLRDKIWKQERELQESRTLLTRLREKEAEFERMRSEKGYLELKNENLNKKLEAKKRAVEELERSVSTLRLEQTICQQSCSSGSTPLADEMETMSDIRPSLARPYTKAHSTLGSHNMSPLSHSKSSGLTKSFSNFALNSSKQRDDITPMMSRSIREQNRHITMCRAMVVCLKDTVDRMARGENPDVARLLGVKLNVMSESEMDDDEDFEADESKPFSMMSAESALAKQCTKLADLDKDLDTIRCQLADWHGQTSAEGEGDREVCRVQ >CRE30762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:718719:719851:1 gene:WBGene00058973 transcript:CRE30762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30762 MLKFINNLACMNKKPKNKLALTAGPSESASSTIEISPRTTSEDVIDETLNQSVAIPEGPLLNTSHFMSNILSDVSYDAAAFQNRATPIDFCTREVKADDDVLSIPSRRRSVNTLTPSPIPEETEDNLTDKNATYSPDNLTKNTIFEPRNRHCTPRLIKVRKHARVYNGNESIASASSSSASSSNGENHRIDSVRARASKSAISQQLKLRLTPVIGGSLRPIRAKRHSVAFDGNSTFTALDRRRSELDRSHFHERLSCKFCRALILYLRAFRRGYMMYV >CRE30761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:704265:716006:1 gene:WBGene00058974 transcript:CRE30761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhc-3 MYEAGFGNIYHPKCHGLTCRDIPRDLDYSNRRLVHHNHQGKRIKKQNGRLVFFKGVEIDGKQIKRPRETSSIRKKIKTTITDFKSEDILHEECLPSFPAWKGQIESLTEKLKDGGLETTLRELNLNWKDWTREAAKAYLSREEIRVPCIGRAPWRHIMAQNTEKISSQLRLNNKIITMFFKKYRERCLIDCSFLLSENGRSVFELEKQLKDFVVHTKKILSFEWPMAVANLMLDEGASWIPLFVTSTSHTVFNAVAATMARLLYDVVMENINRVKTFFDVDDRAKLIIILNEDEKEFDYWQRINILLDLCKIPRVDHKIYPRMFTEAWIDVRNWFDSQSIVFKPLPQPFEQLNSRISQVQEMLVSFEKDPDDVEFWEKIAKEVLDIREQVLKQGTRTLKGFIIYDSRDIKKRSLARITELEERTKHIILTRLIVKNTKIREVFTLCSGKLFRNDVLVQLRNIEEVQNELPNIKDKIQCVGKAYKVYMEYFIMPDYDIRQFYEISRLGFKLEQLVNFVWDRINHDRQWITKQVSEQTSKNYYECEMIEKEWLDCLKKYRSAVRINEVQKVQIKMGNLEERSAILKGKDEKLIGQRSLLGLPSSPIDCVRIAKVCEFFSKLSTLHFQTLLHHESCLRMRISDVDHNFLVAETERLQAEKDSLVEKATIINEHVTTSKEALLKIQNVLVEFEKLLPVLGAISCQAMKDRHWKMILQDSETSVKVEGNPLVSELLEMNFIEKADKFEQVGAQAEKERVLETSIDKMRSQWKSATFVTHQGGELLTTELNVQMQAHLARSQTILSSPHAFSILDHIRHWLDTLLNLNTFVHLYKKCDIRWKKIEGVFSTEDIAYQMPHEFRTFKKISLRWLHINNQITEERPILEQMDLVQQLNIDLSELEVLFGRMENGFHAYLRKKRAVFPRLFTLSDELVLSLICDSREPANCKSYIPLLFPSLTTFDQNTKMEIISVSTKSETISLVKPVNVNLSKRHVEKWMHELDAQIKYTLRTRIRLLIEKMNYKLSPVESILSEPIQVASIYLKIAFTWQMENSMKQNSMAILASELKICIRDCQHATIHKQERREFLPVLYHIYKSATHLVNKFINEQVIFIDDWRWTSQLRYYYHMENVFIRVGTVSARYEYEVQEVNSIVDCRLIDEAVKYFIYMNHFGFNGKVLGIDVHLARQIAGALGKPFAICDTEQEMDSKMLIEGSLLFGGTVFIGQNSCEYLGKSTEKDVYTSHNLANLKYHFESEITLNSSFMFLLSSSFRTARNLQLGSPSYYKHIDETLSLNSIAHREKKIQKFQIISEFENYSGAVNLWRNYTEEMLTKIVENESLDQEQIIETTIYRSLNVFMNKKVTVSPWSPMNCYFQNKKLLENLLNLTFLKPKKSPTPSRTPKSSDVPRKALDPDPLQIREKTEDLISLLQNHQVVVVCGASLTGKSRIISKAAKLKSADLEIEYGLWEDIQVFGESLKNMRRPNKWIVIDGFMSQKTRQWVHRLTNENTLFPWRELPIIASCEKVIIETDCLSDDLKFLPMVFLDNSDDFIKETTLETTEKQRIDEVLQYYFEFKYRKLELIRKVEGLKKQIATNSEKVFENILVTSLLTFVPVQQLRSFFFKSASDEKLLEFYKNVPYSNGWYAHKESMEISPPHFFLIHSIGLILYSDFIPLLVCPPSQEFFEFLSRLQVELDALGWHTITMSIDEKVTIEEIKQFVDNCSIMFGGHQNQQDKDIDDAQEGSNFFLIRGIENATSEVIDWLRHEFERKRILKMLFITPYSVETFHKRIQRFLFQINWMDINGEESLTLFPLSNYNAVLKNLTKDIRIKDVSDSIQNHLIDVMLDARILSFYEKVSDLKLSEKYELVKGKTFIEPGELCSIYKNGTQDLAERNKRIRCDSIIISPSDAKNINIIEKVNQTNYGHVVIVGNDTSKALDTLTVTTELNRHVLYTTQGIKTIDEWRDLMNRVLRDCLIDGKETVLALKVTSDEYLVDAILADVTCICCYKLVPPRYLTRLMLSEFGEKHTLEGKGIWEMLETKLSALKVSLVMRSSDFSWFMNCHRMLLSMLILIWWGDPSKKEQEQEILDELHNSELFSKQQIEQIMKVIDDLVGMKTLNTRAEKLKMLSTIMKLAKKKREEVRKTMTKYEKGMEKMKRAEEQVAGMQGELLRLQPQLVRTSIETSMLMSTIEKETIDVENAREVVAANENKANEAATKAQSLKAESEAELASAIPALESAVEALETMTQSDVSSLKTMRFPPYAVRLCMEAVCILLGVKPAKITNEIGEVVNDYWVSGQKLLSDIHFLAKIRSFARDTISKKTVKLIREKYLSKEEFDPENVKQCSLAAEGLCRWVLAIDMYNQISKIVEPKRERLRKAEVLVKQHLKQLEVKRKALLKVTEKLQGLSDQFSQMCQKKQELESQISSCEIRMERAERLVQALSGEKDKWKSKITDIMHEDSLSVPYSVGSALALHVFGKLPIDQRQRELRKAMRFLFPKLEVNIMCDLKTLVLLVDDPICFVNDDNRALEFLESKFEKVVVSNQWTETERQQCLSQNSVLLFEICDENKGMAKEIEEAEYYSQMEDEIIIKIGNTHHEVNKNFRVFFRIRTNDSGLKDSIIIDNQFSDGELRHDICEKMGSANWFETLNHYNEMVESRNNDIAMMEKTENEMLDLLGRSKDLDDERAIDLLAEARNLQSSITARNKEIAEIETSLRAIELKMSNCIDYSMKVIRMCYSLHLFDRFYRISLASLVDVLQTKLFDNITEINLDEINHKISELFWNFLGHFLAWEDKMIVHHLLFHKMNYKVEKLEMEMKDRISMDSFMNFSSFILKSEPKQLILLKYDSDVYTTILQFGQVTRNANWRVYTILDHVILKLEKLVDEPIWLLLNVNNSDQLTVGKLKTVMEKLKNFPVVHSSFRIILGYSDEIECSDELITLTPHRFYFSSSSSLSQQTRRILANLSIRTSLDKSEEKQRLQIIRLLSFHYGLKLRRKYDPEFTVIVDDADLLAMMKLYQELRNLSDQPNEIQDVMKVKSSVIEPIYYPKTHCPVQRNMMSAMIQWIIEMNLSIPADTLLKNLIREDNHNFEDFSHFMQSHDESILCGFNARISKESRLALDRKIVLKMKRLFEPEEKKLEESGQKIESRSFLSEIENGERELDARKVNNLIEIVAYLKMQFCLKYKLAITEVTVTAEFVNDNYELPKLGSDSDVPMVKLSHCVLFSAHFLQGEIRELHNRQNQYVTVLLKSSKRTGAGNPRSLPLICPFTQNSVAQIPFHSQFPIAHWHLRGVFVTSARCI >CRE31017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:702136:703903:-1 gene:WBGene00058975 transcript:CRE31017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-41 description:CRE-CLEC-41 protein [Source:UniProtKB/TrEMBL;Acc:E3LU49] MYWTLLLLTFLVSVSADTCPNGFTLLDATQKCVKLITTAAKHADASASCSQYGGHLISVHNAIDNRAYLALASASTTPYWLGIKCSLSGSPQSCLWDDQSGNAGTYNGFANGYPLVEVGSCVYSPTQGSFAGKWLSGDCDTMVLNFICEASTNTPVTDTCSFQYNGNCYFPTLSSLSEQEARFACQQECADLVSIHSVEENNYVQALFTNNAPSYIRIGAMTTDQNVNTWTDGTNWDYSNVGYSDTKLGYCWSMSLTNDIVSAGKWISSKCDTPIPFVCKRKVGQQCGSTAGPTLAPGQCNSPQFYDNSGTFYSPSWPYSYIGQLTPCSYILDTPVGSLAEIRFPVMNLDNQASIAIYSRIEDTTPLVVLQGNNAGNQWYTSTTNTMRVVFRPCVNNCPTDGGVYRWQANFQPSNQVTQPPPVTVTPNPNNPSGCNSTILVTPGYITSPNYPGLYPNFLECFYHLSTNGGYRIKLDFGAVDTEQCCDNIVVRDGPLLGSPVLGVVSGSWPAHAKIFQSTSNSMFVSFTTDASGQGMGFSATFNAY >CRE31016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:700124:702008:-1 gene:WBGene00058976 transcript:CRE31016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-225 description:CRE-CLEC-225 protein [Source:UniProtKB/TrEMBL;Acc:E3LU48] MILSNNYFLLTLVVFIICIIHVESSCPVGYDQVNSKCITITPQRFTHHKALLTCKEKNGHLVFVQNAIDNTAIVNYASNITSPMWIGAICKVNKQPKECTWDDGSTLDYSNFLPGQSFFIFLLITSFPGYPVTNIGTCVYIDSPNQPLKGRWISATCELDEYHAIFLSVSAEKDPNAPSECLSKCLTPLAKLQRSFSYVFNNFEKVCDLLEDGAFCSRKCNQEDQTKFYQYTTFYRIHCIDYEEGRLEKNNCFYFGKQNVSDIQEHLTCIAKASEDADLVCKDKCKQAHKVEKTASKETKMKKECLTLECSTLCYFDELAESCPEARNVLLKINIGQVHSMASGVHPITMEKMLPECRNLHNTEYMRAKLLASSSSLLMDHTPSEMETEEKPVITA >CRE31015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:694588:697767:-1 gene:WBGene00058978 transcript:CRE31015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eat-6 description:CRE-EAT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LU47] MGKKDKKQELQDLKQEVKMDEHTVPMDVLVARLETNLETGLTRQKAQEILQRNGPNALSPPETTPEWIKFCKNLFGGFAMLLWVGAILCYIAYSVDYFTMEYPSKDNLYLGIVLMTVVVITGVFQYYQESKSSKIMDSFKNMVPTFALVHRDGQKQQVRTEDLVVGDIVEVKGGDRVPADIRVISAFGFKVDNSSLTGESEPQSRSPDCTNENPLETRNIAFFSTNAVEGTAKGIVIYTGDNTVMGRIAHLASGLDTGMTPIAREIEHFIHLITGVAVFLGISFFIIAFILGYHWLTAVVFLIGIIVANVPEGLIATVTVCLTLTAKRMASKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWYDQTIHECDTTETQVSQEKRSGASFEALVRIASLCNRAEFKAGQQDTPVLRRDCTGDASEIALLKFTELTQGNVLAVRERNPKIAEIPFNSTNKYQVSVHDNGDHYLLVMKGAPERILDVCSTIFLNGKEVELTDKLREEFNNAYLELGGMGERVLGFCDFILPSDKFPKGFKFDVEEVNFPLKNLRFVGLMSMIDPPRAAVPDAVAKCRSAGIKVVMVTGDHPITAKAIAKSVGIISEGTETVEDIAIRRGVPVEEVDPREAKAAVIHGSDLREMSEDQLAEIIKYHSEIVFARTSPQQKLMIVEGFQKQGQIVAVTGDGVNDSPALKKADIGVAMGIAGSDVSKQAADMILLDDNFASIVVGVEEGRLIFDNLKKSIAYTLTSNIPEISPFLTYILFGIPLPLGTVTILCIDLGTDMVPAISLAYEEAESDIMKRQPRDPIRDKLVNERLISLAYGQIGMIQASAGFFTYFWIMADNGFMPWDLYQLRAQWDSRAYNNVLDSYGQEWTYANRKILEYTCQTAYFVSIVVVQWADLIISKTRRNSLVQQGMSNWTLNFGLVFETALAWFMCYCPGLDNGLRMYGLR >CRE23175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:22782:23709:1 gene:WBGene00058979 transcript:CRE23175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23175 MAQTSDYLQLVGLHWPQGKSWNREKDDIVGAVKVPGISGDTYVHIPAVTGSHRKMRLIGKGVKRLHSIGHGEQIRLKQQSLKYFNSFLLMCPTNIHG >CRE31014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:687589:689794:-1 gene:WBGene00058980 transcript:CRE31014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31014 MSSIQTNDMKTIESTEPDYTSNSYPSTSSLGRTMSFGSERTINSDDTFHNVPSLRTDTLSSLSGRNRGALSSNVVVDEDGGEDILKVAKRKENLNEKSDPSNDEERPSKRQKLDVEENKIEITVGQLQEMEIKGTVLRPIYSEKLSELRRINLEKMFDLQNASLPTIIVSKTDTNMSQGTVLEGSHRLSTVQDLDCKSTGYSNFRIPVHMFEVEDGLPKDDIPLMITKKLDLIIAEPSGETIKHVMGRWADDQITTTILNSSAYNGQGQNRACLLCGGRLLKPESGESAPNSSWKLSNLVTKWLPGDKEQSTPSLVILQ >CRE30755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:671737:673989:1 gene:WBGene00058981 transcript:CRE30755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30755 MDVSISIENESLHSILLNEFSKNQCRNTRQQKSVFSSKHLVEQYLKNRKKSEATEEEILEFEKIRCTLLYEWNVKNRIVSEMKFSFDFTKILTSTIVWMGCLQITMFEGYKSVWYHHFMGLIWLFAAIILMYVVYVFVREKYFTAEEDVPIGYKKICNFNPIQLKLEHRHPSYTDYLMTVIDFYSEEVMPLMEVIRIYRNQEYVLLMCGITIFSTITWIEFVRWMIYMFLVDWSAYLCLMVLGTYGSMSTFVLFLMCSSFFKKDESDFKSIQR >CRE31013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:682341:683727:-1 gene:WBGene00058982 transcript:CRE31013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31013 MIISLKTLIHFIQYAGFVIAHISNSTLLVLIFKRANKLFGGYRRVMAVFAFYSLIYTWIEIITQPIIQTHETLPIVIVMLDSPLKHETWIGNEIACLYCASFALVISLLAVQFYYRYIALCKETIMNYYEEDTRNSSFIGAIYYTVEQNGEKKWRITDVMGYLGCILINISCFTTILICAFKIKCNMKNSESSLSFKTKEINRQLFVTLLFQTLLPAVMMYTPVGIVMTLPFFRIGIGKLSSLVGVLLAIYPAIEPIIAIFCIKDFRRSIMCRNGNQYIKPISFAASSTAYN >CRE31012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:675429:677274:-1 gene:WBGene00058983 transcript:CRE31012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31012 MSSIQNNDMKTIGSTEPDYTSSSYPSTSTLGRTMSFGPVSNSRSSSEPPSKRRKLEADWEESKEEITIAQLKEMEIRGTLLRPIYGDKLSELKNVPVENMFDIRNASLPAIIVSNTDIELTRAIILEGCHRISTVLDLDCSSNGYSNFRIDIIMYEVEEGVFTKAWAQCLPLKFSESFKSESSVSSRVELDSIPTVILNIGMQIHSNEEFRTKGVFNTHDSAFFTFKFLERTTSDAELTKMRTHPQERGTFWNRLVKEGRVLASGNSQLPMLPLFVLLMMPKSQKETVRKLKDGSFPTGNRLVKLHNSLQLILEKAAGNVDKEKSEESDFHEKIEEIDSSSICFFFARLPTEEIPLMIEKSQNIIVAEPSGETIHQMSKWPVEQKTTAILNNVIYGGEGQPRLVGERLLPRGLRHLAPMSSRKLSNFVSKWFPGQSDGEIHTVRGFLTVPE >CRE30760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:684572:687416:1 gene:WBGene00058984 transcript:CRE30760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30760 MDVSISIENESLHSILLNEFSKNQCRNTGQQKSVFSSKHLIEQYLKNREKSEATEEEILEFEKIRSTLLYEWNVKNRQVSEMKFSFDFTKILTSTIVWMGCLQITMFEGYKSVWYHHFMGLIWLFAAIILMYVVYVFVREKYFTAEEDVPIGYKKLCNFNPIQLKLEHRHPSYTDYLMTVIDFYSEEVMPLMEVIRIYRNQEYVLLMCGITIFSTITWIEFVRWMIYMFLVDWSAYLCLMVLGAYGNDDDEGEDDGEEEDDEDEDDDDDDEEGGFGGKSSANIFMEDDGTSDVEMGSNDYEMAGDKFSEMLEDLEGEEEKKNGKNNSGKKRGIKRRGGGFKRGDAKKFRKH >CRE31010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:670338:670940:-1 gene:WBGene00058985 transcript:CRE31010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31010 MIISPKTLIHLIQYAGFVIAHILNSTLLVLIFKRANKLFGGYRKVMAVFAFYSLIYTWIEIITQPVSQGNIKLEKFRNSIESGNTDILNFWRICRSNIELCFSSFKLSSTSNFWSVKIIQTHETLPIVIVMLDSPLKHETWIGNEIACLYCASFALVISLLAVQFYYRYIALCK >CRE31011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:674180:674584:-1 gene:WBGene00058986 transcript:CRE31011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31011 MLVRKHLWVYFLSIHFIVDTFPEQLGKLKGIRLVVLFIPCLICFIGWFEFIDFAMPNTVEKQELMRETIMNYYEENIRNSSFIGAIYYTVEQNRERKWRITDVMGYLGCIQLQNFVW >CRE30759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:681432:682227:1 gene:WBGene00058987 transcript:CRE30759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30759 MIFFRSFLLFILLVVNVETEKNDKDVSCENETSSTVSIENGTITPSITNYRTTTSPSAKTTPKRLCAHPRAAVFKRNKGYWCSLLYVMYSSDEPGNVPISYEEAVEACTFKNSTLSSLETIEEYTYWQNFVKRSSDNYTGIWIGVGYNEATKQYYWNDGHALPALTKQPSVIEPNGKSAWFINRNTSSPDFGYFGFVNVTGTGKPNVNGIICGAPGI >CRE30754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:664708:666025:1 gene:WBGene00058988 transcript:CRE30754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30754 MNSNTTWNPSINTPEKKKIWKDRILPRIQKSLEVAKNIFVGNLVFIFAVLTIYMVLVLEAYRENQSYIGASEKIEETKKSFGYSTYYGLVFGVVLEVIRNSLRHFKKPPMPFYVPTIGSVVSLVLGIVTVIVGNVDDADWFKQIAIGFIYGKTIEVTLSCYTIWNGSAQAIGAYIALHFAVRMAMIIENAGFANSVQLIRITRYTMTVIALFCGYQLAAESEKLQREVLKKKINDAENREVRPVDEENGVEIPMERMPVEEAPDQQHLRRRGTHPNIPNP >CRE30753.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:659080:662764:1 gene:WBGene00058989 transcript:CRE30753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acly-2 MSAKAVSELSGKEVLYKYFESTGIVSAPHAFHVKAGDKFADVAAKYDWLAHDNKGVVKPDQLIKRRGKLGLVKIGTPSELEAWFEKTANTYVKVGQTEGRLHTFIVEPFCAHTDDEEMYIAIYSERCRDVIMFYEQGGVDIGDVEEKARAVHIPVKLDDSEMSITERELDVLLGPCKEKDVIRKFVKNLYEAYKTLHFTYLEINPFVLTNGKIHILDLAAKLDETANFLCSDKWSSRTASARIARSIEFPAPFGRDLTTEEQYISDMDAKTGASLKLTILNRQGRVWTMVAGGGASVVFTDTVCDLGGASELANYGEYSGDPSESQTYEYAKTILSVMTEGTPRPDGKVLIIGGSIANFTNVAKTFGGIVRAFETFIDKLKEHKVSIYVRRGGPNYQEGLRRVKDAATKLEIPIYVFGPETHMTAIVGAALGLKPMPTVPTAPQTTGQFLLSPERNTAGTERPPASPAATTQHVEHPLTRRHPLYQSLFENDTKAVIWGQQHKAIQGMLDFDYVCRRHSPSVVASTYPFTGDNKQKFYCGQKEILIPAYKSMAKAFASHPDATVMVTFASMRSVFETVLEALQFPQIKVIAIIAEGVPENQTRKLLKVAEDKGVTLIGPATVGGIKPGCFKIGNTGGMMDNILASKLYRPGSVAYVSRSGGMSNELNNIISQNTNGVYEGIAIGGDRYPGSTYTDHVLRYQHDDRVKMIVLLGEVGGVEEYRIVELLKAKKITKPLIAWCIGTCADHITSEVQFGHAGASANGQGETAACKNTALRVAGALVPDSFDDLGNKIRQTYEELLRLQIIVPQPEVPPPAVPMDYAWARELGLIRKPASFMTSICDERGEELNYAGVPITKVLESDMGIGGVLGLLWFQKRLPPHANKFIEICLMLTADHGPAVSGAHNTIVCARAGKDLISSLTSGLLTIGDRFGGALDGAARQFSEAFDQGWSPMQFVGEMRKRGTHIMGIGHRVKSINNPDKRVEILKRFALNKKEFAQETPLLDYALEVEKITTAKKPNLILNVDGAIAIIFVDILRNSGMFTTAEAQEVIEIGALNGMFVLGRSIGFIGHYLDQSRLKQGLYRHPWDDISYIMPERNL >CRE30753.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:659028:662764:1 gene:WBGene00058989 transcript:CRE30753.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acly-2 MSAKAVSELSGKEVLYKYFESTGIVSAPHAFHVKAGDKFADVAAKYDWLAHDNKGVVKPDQLIKRRGKLGLVKIGTPSELEAWFEKTANTYVKVGQTEGRLHTFIVEPFCAHTDDEEMYIAIYSERCRDVIMFYEQGGVDIGDVEEKARAVHIPVKLDDSEMSITERELDVLLGPCKEKDVIRKFVKNLYEAYKTLHFTYLEINPFVLTNGKIHILDLAAKLDETANFLCSDKWSSRTASARIARSIEFPAPFGRDLTTEEQYISDMDAKTGASLKLTILNRQGRVWTMVAGGGASVVFTDTVCDLGGASELANYGEYSGDPSESQTYEYAKTILSVMTEGTPRPDGKVLIIGGSIANFTNVAKTFGGIVRAFETFIDKLKEHKVSIYVRRGGPNYQEGLRRVKDAATKLEIPIYVFGPETHMTAIVGAALGLKPMPTVPTAPQTTGQFLLSPERNTAGTERPPASPAATTQHVEHPLTRRHPLYQSLFENDTKAVIWGQQHKAIQGMLDFDYVCRRHSPSVVASTYPFTGDNKQKFYCGQKEILIPAYKSMAKAFASHPDATVMVTFASMRSVFETVLEALQFPQIKVIAIIAEGVPENQTRKLLKVAEDKGVTLIGPATVGGIKPGCFKIGNTGGMMDNILASKLYRPGSVAYVSRSGGMSNELNNIISQNTNGVYEGIAIGGDRYPGSTYTDHVLRYQHDDRVKMIVLLGEVGGVEEYRIVELLKAKKITKPLIAWCIGTCADHITSEVQFGHAGASANGQGETAACKNTALRVAGALVPDSFDDLGNKIRQTYEELLRLQIIVPQPEVPPPAVPMDYAWARELGLIRKPASFMTSICDERGEELNYAGVPITKVLESDMGIGGVLGLLWFQKRLPPHANKFIEICLMLTADHGPAVSGAHNTIVCARAGKDLISSLTSGLLTIGDRFGGALDGAARQFSEAFDQGWSPMQFVGEMRKRGTHIMGIGHRVKSINNPDKRVEILKRFALNKKEFAQETPLLDYALEVEKITTAKKPNLILNVDGAIAIIFVDILRNSGMFTTAEAQEVIEIGALNGMFVLGRSIGFIGHYLDQSRLKQGLYRHPWDDISYIMPERNL >CRE31009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:641119:651719:-1 gene:WBGene00058990 transcript:CRE31009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-max-1 description:CRE-MAX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LU32] MSDSSPSPLRSPEDEELLAKSSRIRLWVTKRMKELEDQNERLRAQNLRCTTQLQMLRSFTEKSRKIKAEMEMSRSITGTLPVLRDENRTSDDSGLTSDDTDRKQMSVSISECTPRVQRKANRTMLKNTSQGSSTSESSPCEDEAKPMPLPRRVHQTTTERDSLNSYAEDYEYDIEESSFERAEEGIRNENEKYADYVNLHEFVAIKDDKVVYSEIVKHRSVEKEFLPALPSHQPRHWESRLIQAAEKCLSVVDGADSEGTSTGPSVSPYHVSDVSRISSNNRTESPGSRKSSKLSRNSSPRVPSHHSYEDGTAYAIRDLCLGSDYYTPPDASARYSAGTPNSRTSLIPSRETMEKAGYWTHLTDSRIKSLKRRYVIFKNGHISFYRKHGNRDEEPVTKINIADIRSVSKIEQQGAAYAFQLVTATDKMNFMTESEKTTHDWVTILTAAIKATTLREMASRVTPIDASISGWMTRVKCGLSKKVFAALVNQKLMFFKNSNDLVPNGFLCIQGAQISEKQNGTEEYSGSSDEQLETTKDHPNQRKNNDSLCVQIANEDPVYLILRTSEDKEKWLYFLKSASGSAALCGTTFEILVQRMMAENVGNDSPLWKDLLFTSGEEIPKDTMTSVDPSERKKTLEIARACQLFVSVLMRPQATQYHIDLAQNILSTAVQQEYLRNEVYSQLIKMTSGSMPFGLQGWKLLALTIPLFLPKQYSLLWLLKKHISRWVDLPNESDETRMAIFCESALDRCLRVGGRQEGPSRLEVTSVLTRDVTRTKFPHSISVKLPNSEYQIVEFDGSTEIGQCLSSLCLKLGMRPALLSGYALYMNDPLTHSLLLLKGKQKLCDALSTWETHSRDAQRGRVSNDCAAALSLRMRHYWSHLKSTETPIERQFLVWRASEEIVNGRIPLSNQLCESLAALYAQMVFGDANHSLSDQQFDFIGQRFYPAKMLDVACIKSLRLQINTNWSELIGMSEQECVRVILQVLNKWPLFGSDLHEASMRTDNERKIYLALNDHSVSLLDRRHFDVIRTFPYSSLSTFGQFQQDFMLTVIRPLTPGSHPDEAPKERLTFSMQKNEIEQLTLHLAEYIRCQKLVWKVSK >CRE30750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:635922:637457:1 gene:WBGene00058991 transcript:CRE30750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30750 MNSTSLFLILTYLCSFLNTSFAVTCYRENSLLSNKTCEGDFCVAIRTGPWVTLRVIRWDDEMTCYCNTDFCNNDKIFFSNFTSLPIIECKQVHRRKYMLVSCNNCIRIISYVKNRIGPSNSDDEELVQCSINGESSEFVGDTTSLREEMIARNFFVDACYNISMHKEHFYVYCRCAKTDCNSPEVPIPYPLSKPTVTCYTSGFDASIYPKKYEKPDTYFQDNYRMLMANDSYVDVESNLFISKYQTFFVSLSGTCKGDYCFIATVTADTEENEMDVYYKGCISANEHGNYSIPLGYMYLNEIPYYICNTDYCNLNRDTALAKNATVKVVRSIEKVDEKYSEKRSILFLLCITLFIFMF >CRE30748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:628944:632797:1 gene:WBGene00058992 transcript:CRE30748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30748 MYFMLKFFIQTLYFSEVASVKCIQNNLYGQNLTCEGDFCVIVRPGMFSMGMIQTCISGAQKPSYPCSLHYDDELECYCDTNFCNTPKLYRSNITVLPIIECKEVTGRDYTAAACNNCVRIKSYQIFDGEPTENEYIQCGRNGESSIFIFDPILSPKEMIAKSFFSDACYNVSMHPDHFYLYCRCKQASCNSPESDLPYPISPPTVTCHVSGFDEDVNRKNYKNRTSTYYQELTTNDSYFDKGKECRGHYCFIGIDQAYIGEAPETSSVHYKGCVSANEQGDYRIQMMVTLAMFRVSDTTENAVLEVKGARGFCTAGPAGFTGSPPRISSSNLPLQSGISYVALAISSENQFLCRGENQKQFWAFEASAVPSVTEFQKIVQLRSTCNIGDKRRSSVIFAERRNEVDNNTTCTEDKSATRKASDSSEVKAVEVRSQGPIRVYTLCVNDHKLIRGTSIVISNREAIHISRYSCENNHKLIRGTAVMSGGPEKAERCVVLCVNNHMLIGGTSIAFCSREATPTVTDTSENDHMLIGGTSICFSVSEKTLMFKALMRNDLKMVGRSQFAKSSLEPISQLAAVIYSRLIAEDETSASTDAEAKKNNVAAEQKRTYASTETVESTKDTQVLSHTFSSVTGVIRKQKLALIVMSVNGNDVKSSEPLTTSSKKKEEHPKFAKLELVNKQSQKTSKTSRSQHTTARSQVIKTNGKKTGKKFTGRPVTRQQQVNSAKCRAEPTYQKAVKDNDTEMRISTSRQLCATRRHSQVTPAFGFCTVGPAGVTGSSPQKNVSVVISNTPDEPAFFLTASATXXXTCVHT >CRE31008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:628070:628729:-1 gene:WBGene00058993 transcript:CRE31008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31008 MSIPAVTTVRDLGIYFSSQLSFTHHHALIIRKAHQRINIFFSVLKYASWKVFIKCYVVYIRPLLEYGTVVTSPIQKENVIMLESVQKSFIFRVYKKFKMSYSSYFEALEECDLKSLEHRRLCIDLIFTYKLMVTKEIIIDDPIFEFLEHSRLRRHRYYLKSLTTNSNKLSSQILSNRVLRCWNSLSELVFPVKPSTAVFKSRICKYDLNHFLSLNPTNY >CRE30747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:622443:627362:1 gene:WBGene00058994 transcript:CRE30747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30747 MGGAEKEKEAEDTPTTLETPTAFDMDEQQSGMEMMLSAMVSPLDDDLPWQFDDQIIKENDTLDSAAQSPSSSLFSDFDDSCTSSFSSSLSSPSFTSSSDPGSESPRRSTRCVYSFSSITASALSSFDMMMSMFPDPTIPTVSDERMMAPPATPVRKNVVVRRRPRECSMVCWLFRTLLTSEYRALPVNAIFEIFEETMQQTTAGSGRHYRQSIRHCLMTSAVFVRVLTPNPRKDFLVMNEQGSWWTVHPDCEMACAEHVFKSGSAHRCPPQASIPAYYARVRQEEAKTISTGSTSAGPIRSHFGYSSAPSSECSSSSSSSASSPAPSMSVRRFDPIRKVTYGSINSGPRVAYKPFQSGYTQKMTRMETATPQQPTLLNPRSILLNDITIDHEEVV >CRE18058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:57540:58741:-1 gene:WBGene00058995 transcript:CRE18058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18058 MKLLKLPSLVQQNVFEFLEFKQLLFLSSCSKRTRYSIQSLQKRRWKDIKFVQYSFDENDKICVSVRSEFLIGFFSLSPTTLEQSVITPMEAFGMGPEIPIRLHPKYFGIYLYNRKQKHLVVQGIHDYLYEFFGSSSIDYEVESTENELPPSLKNIKRTCINVPENTTAEELEACFAASPNQEYIQLDGDFNGNLCPNSAILGAEHLTVISNKGHGDEILLGFRGKRFNCDCPFHDATIVQFLNEWKSNKGFHNLESLEINSYTSKKYYDVMVLKDMDVKQLDRPQDTLRITWQMSRSYTFPITSFVPVKSWKSGFSSRDYLIRDGDGEKASVSIENHFVSFALWNGNSCEMENIND >CRE31007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:598712:603193:-1 gene:WBGene00058996 transcript:CRE31007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31007 MKMNPSNLFLLFSVMNNADEWRLSPLSAEDFFAGLSLIFIAIFSISVYIIMMKIMKRQDKEIVGYRFLISAGCSDLLLLVNYGIWPGLTILSKSEIIPKTWRTWQQLYLDWAWFSMVSHYSVVSWSRWMAIRKPLDFRNQKRKTSYSLCALCYLVALVLVLSTHFQPWYVTFYYEPSSYGMLAENFPLYLSGGQSALFLAFHIAAIVPPIVFYGWSITLLYNRRAKMLLGHQQTVQNSIETRLLMPCLINVVTFIIGQVLITVGTGEGKWAGYTVMVLFAANSALNPFLLLICSKTLRKQVLDLLGVAKIATLDKLETSTVYRNIHAVNGDFCSSKLVSTDTGSGSRRFLSLPTFRSKEDFICGTPV >CRE31006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:593276:595198:-1 gene:WBGene00058997 transcript:CRE31006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srr-1 description:CRE-SRR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LU21] MVEAGTTLGLGGGLYVKPGVEPTQLPSPPAPKLLGPFEPLIRFSGLDCHKLRKESIRSVKGVVSAVVALIILVIMVIEIFTYMGIQDKPFSVGWAESTAYAFMALQAFISAIAVICWTRENFVSQFEDTLSRLRTMRLSTSQSIDDYTNFHRKAAVMIVPIFAVVLSTSFYSSVTNRYQLNDNSTFYSESILHQFAPFIDFVGCLASSMAIIVYVTVNTALNREIKHFNKELTNSARFQQLTLPQVLNNYSKRHSDLIQLTRFVNQHLSKYGAIVPLFSLTAFINVSYIVGSFKASLDPVMYVLLNGWTIVCMGITIAGLSPLVKVQNNIQETAEILMHDDVLQTCGDDQMHHTYRVTLDRCLHSNSKMAFLNAFPVDSNCFNRIMFFVPNISAAMILYRLSHPYL >CRE30743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:591391:592554:1 gene:WBGene00058998 transcript:CRE30743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30743 MPISQNEQFVKLLEVQSVKLNEVKNNLRLNRYKAQQDIRHAVAAMIVSLRERERQLIGDLDRAFYQKEGKLINQLDIVAEELAACNLGLPAANAILNVDDDVRLELDLPISDIRAVHEKITKLGEIRVSHDCQRLPVKKVGRTLPLDMEAYEDDSMWLLSKKSKTDTSPETPHEVDTVKNWLSRLPDGNAALNFDLASFASGETKSESSESTASSFELLNEVAMCLKYTANADRAFRQRMETIHNEPMDKWLLRSDEECTSTHLPRAPVPESFRDDDDDLDFDGDDEDMEAECSSDSCKFENVINKLQNSDDSQWLNRPEGKSEDDEEDQSPAANHRRFIQKQMQ >CRE30742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:587417:589923:1 gene:WBGene00058999 transcript:CRE30742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lap-2 MSLQSLLSTKIVRAASIADAAFDAVVLVGSQESVKQFGAIQQVSAIAPAVDNFLKLHRGAFHSASLVQVDSSVVPSGRLILSGTGHVSRDYDDVRRYQAAARKGIELALSAGVKSPLLITLPNARFPNAELVAALGALTPVYTPLNVREEENKQKLQQLGLLAVGNSDTSARLEQFVEAYDASFTVCRDVGETGPERMAPPRVAEYIQNAFANGNIKVTVVDDQSVILKDFPLMAAVNRAANAVKEHQARLIRLEYTGEGETQDTFFVVGKGVTIDTGGCDLKTGGHMFGMCRDKYGSAVVGGFFKAIDVLKPKNIKAVGYMCMVRNSIGSRAYTCDEVITSRSGKRIHIYNTDAEGRLTMLDPLTLAKEEALKAKNPHLFTVATLTGHEVLSYGYYAAIMDNGPAKASGWARKVQEVGDEFGQPIEISRLHPEDFAFHQAECEQADLRQGNTKPSVATLRGHQTPAAFLQMASRIDEHGTDSAHPLKYSHIDMGGCEGDHPSVSFPNPLVTLVAGLVLPHV >CRE31005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:580652:583864:-1 gene:WBGene00059000 transcript:CRE31005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31005 MASVLSSFFQRDPKSQFPYDIPTEEQHHFDRVSIGNSFKKAEPGELATIFWDNRSSTLKQQAQKLKTMRHPNIITYLDSIELEGTFYLVTEKCKPLELYLKEAGLTESQKEFVVSWGMFQLMNALKFMHEAKLSHENIRKGVFVTAGGDWKIGGLHLVTGFSTPQTDLNQLAIILWEVFNGFNEAITRPQAPGKIPQRIHELYKKIGAASAARLTVSDIIKEYRLTGGYLKNKFVDTLLFLEEFELKEASEKQSFFMHLRENIDIFPEDVAKYKILPKLILTYEYGDAGPNILIPLFKLGRLLDEVEYQRTIVPCLCKLFGSPDRTTRVKLLERIDEFAPHLTQQVVNDKIFANLTSGFLDTNPAVRESTVKAMVSLAEKLNYNNLNVELMKYLARLQGGDEHGGIRTNTTICLGKIGHLLAPAKRQGILISAFTRALKDPFAPSRMASVLALSATQHFYPIVEIANRIVPSLIPLTCDPEKQVRDQAFKAIRGFLEKLEKASENPACIPELEAGVKAGASSILDHEKVPQWASWALKSLSGKFYKGTPPPEVKPGAAPGAPTASATSSRPVTPNSLAEKEKTPAVSKPAATKPATNDGWGDLNDGGDMFTVKDSNDAIVDDWADINPKSNDTDDWGIGWDTPIATAKSSPIPGIKKSSSGNLSVKKPAIGRLNLPSSASAQNKTKKAIDDNIDSLLGISAPPPASSSAVKPNSLNSLMSNSSSSTANTGWGFDDPIPALTNTSSANKGWDDDGWGDNSMSSGVLQPTPVLQPTKVVKDDKEARRAEMQARNEARRKEQMERKKSSGAMKLASAEKKMDDFADW >CRE31004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:579491:579978:-1 gene:WBGene00059001 transcript:CRE31004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31004 MRILLILSTFIGFTMCRLMCGIEPITTNWMEIQIKLDCPAILEHHRKCCLAHGWCYVYKKVSMEACNNEYCRCVDALAKEGTCKMHSDNFCMNVKNLGPMLWPTINENGMAI >CRE30741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:577427:578137:1 gene:WBGene00059002 transcript:CRE30741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30741 MNILLSSLLILAVVFNLATSHSSSSSSESNEHHHRRHRRPKFGYHPHGYQDSSHSGYHGDHSFNGGYHQNYHGGHDVIPGEYNNGHNAGYQGNPTGYNANYQSNPWGNNRGWIQV >CRE30740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:563848:577129:1 gene:WBGene00059003 transcript:CRE30740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fmi-1 description:CRE-FMI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LU14] MNECIIMFILVFYLSLLIGDSSESLLHDNDKYYSSNSINVVCKPCAVPSSSSVIWLPASRPPCLHPGQPVIHWPDSISDSSVLCPIPGPPDSIQSSQLSLLDDGLLLVKERVCFFDGPIDFHYDYICDGKLYRTKMRIGHSISRKNLETRRSKRWARRRNPDSNAVHFQQEKYVKELPEDTPIETIIASVKASHASSQPLYYSMVAPQDSRSQNLFTLDTMSGEIRLAKSMDREVLDKHILKVTAYERVDPTISASTTVVVHVLDVQDNSPIFEKDSYFGEIREDAPIGTTVLSVFARDLDSGENGEVEYSLGEGNGKNLLAINSKSGVIQTAAPLDRESLSLIRLDVIASDKGTPKRDSKALVEITVVDVNDNAPVFASDSYNVTIMENITLPAVIATVKATDEDFGTNGKVHYSMASSAGIGGLTIDYSSGEVTLRERIDAKNSPITVVIRAKDGAQPALSSTVPLTINVIDINDHAPTLIAAQKMITLEENVAIGEEVGRVYAIDEDSGPNGIIRYSMEGSEDFIIDEDSGVIKTTKLLDRETTSRYSLKVTARDMGTPPLNTTTTMTVVLKDINDNAPIFDKKEYNVTISEEMPRGSQIITLKAVDNDEDQKISYRIEEADRDVFSILDIGDQGAILSVSGELSRQDHKIRVEISATDQGGLQGRTVVNVFIDDVNSAPYFNDHPFSVKIPEHSPIGYPVISLKAEDHDRGDNARLIYSIDSNQFFRIDSSSGDISVASDLDREDRATFSVIVTASDHASPPLNTSTQIEVILDDINDNAPQFTSSSYAATISEDIPVGTSFLQVSAIDADIGPNGIVDYFLNESSSSPAIQLFRLDRTSGTLRVSSKLDREQFAVIVLPIYARDRGTPSLSASSEITLTLSDVNDNAPSFEQLSYDLYIAENAPVGSTVGTIVARDADEGENSDISFRIFGGADAKLFDIEEDAEQNGVVRILTRAEFDYEAKANKFFFELQASSGQLSSTVPVRIHVSDVNDNKPVLKDFVILMNRFDNVPMARQIGFIPAFDPDQNATLEYFLEENDLIEAEKYTGKILVKQEWKRNMDVSFKTCVSDGANTECATCRFIHVLVEPDWLAESFTLSLARMTVDDFWDPMVFQRFRDAMSTLSNWKPSDIHIIGVKQHLDDVIYVNLAITDHGRVVRGWRAIELVKESIKKLEKMTLLQVEVIRDESCANEPCSHMAKCRQTQKFVGEMKAHETDNFIARTLNTVNTFVCECPSGFTNSDTRGECDTRLDECYRGRCSNNSTCVSYENTYRCECKAGWIGRHCEISVHALTCVPGYCMSDSLCELEGNQMKCRHCKYQGEDTDDRCHLRSVSFEGEGLLNVNLDLPRTQWTMKFRISTIAHDGVLVFTGDKRSDFVEVSIVDRVLKVQFSLGGEKVDAKMENDVENRVNDGEWHTVTVEYSNKQITMSLDECETYPSLLLNSSPNCAVRAKLNLEKKCEDPTVPCYRYLDVSNGLFLGGRPGTSKQIEKAFSGCISDLSVDKEDVDFSTIKEMHKVGHVHEGCRPRRDFCSTSEGQCPATTKCINRWGGRICSCPQALHSTGVCQSTSGTQDLRGHSLFEEESFVLYQPTQVSVPFEISFEFRSSRADMQVFALEFTQRSVHYNLEIDDGTLKYNIGDSSIELPSPEVSSKHWMNVVIKFEADSVATSINGIYSAEAKASISDMNLESLYFGIAPGTGHPSRFEGCIRYVLVDGRPISVKKKGKTRAGCVVPNRCSVDSICPSESTCHRAWNKHKCKCHKSFVGDTCLPVCSVANVCSSGTCVASNTTTGYECICPAGKSGKNCQLEAPKQICPSGWWGTFPRCRRCACSQTKGYESQCDKKTGACMCKKSHFSTINGCVKCECGFGAESTECSADGYCKCNGDAVGRRCDRCSRFDHQLDSKTLKCRPVTGKCPSEIEYSIQWPTSQKGSIVRQSCPTGESGLATRKCLETGRWSDVNAWNCTRPEYSIMVNKFDILEPAKLLAMVANATNTESSIHGRNQQIAAEALSRLVDHEQSMPLKGRAHIKDMKFTEKLIESLGKVMSEQPADEYSTMIAKLWSYAETVAETHESVNFLSPFIVANEHIVFASDKLDFGNILPKFNNFVDLRPSGFPRVRAIVTGTTQVVYSIVPYPRCNRCENPMIAVVANTSDPIIVEFEIEEDDGWKYPECVRFDEKSGAWTTRGATLIGLNLTHAACEFNQVGVFTMFVNDQSSSIVRVAQMDDMTSPAIAGVALFLCFLSVLLTLSRKSLKTHSVRIGFILFFAINVLNLFFVHKTAINQAYCPVRNAMLSFTSSAPFAWLFLYGLYIYRMLANGSASPSVTTSLLVGIVFPCIISFTTFFFTDNCSLSPHLWLFWFIILPIGLFLLLSFYAAATSVLVSLHKKYDVFVAKYNVKKAVFQHFILTLFTLGMTLSGLFANQLPLPVEVVEISQSVIYLIAALVIFLWCICDITTKSSDSNPNMWLDTQKSVMAESTMADPQCASPLLSPQHQHHHEVVADSDWMPDVIPNNHPLSSINEPDTPRRHLQPQNREVINILSSPDQILNEGIGHVYRNNMGSLPRLRSAQDEADDAYYTYTASRRYKNTTSTFHRE >CRE30737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:556286:558005:1 gene:WBGene00059004 transcript:CRE30737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30737 MPAIDRTVVVELLLLLSCNLVESPPWDLCPEYQHDFGHCEKLYEKCLEETPELVDCPLDRRICYEQIDVKGEGCKYIVENARKEKNVSLVTRDSVVKYLDSTMMKDLYYTIQDLRCSSLDREYNETLRNVISKRQATGEFVQTKIANAMACNEFIKQKPQIATLKTKLIKSDRDTFHQIPISFDQWRCGWSLGDIARVILRRTALIATPSSAIHFNHCCAIHMDCYYSRKDQTDCDEKFQICTEDVVQSLKGQKSGARVLKEILTEIIAWDGDAAYEFAKTIVTKYRTYSVEMNSWFTGFSASDSVIYLVLPTRKYEKQDKKMIENAYGSCPLNNIETSSYYTEFINCDIYSDDSQEDCYISLKERFSNMKQVDKKCSDAILEVKKTLGPQEPSWLYSTAKFLLSYLEHVYVKLVLEVIFLISMGWNFYKFVRPHAGRFFCAVFSYCSQCMAARRPRGEQPNERDSDGPEQVQILNEHL >CRE31002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:553979:555526:-1 gene:WBGene00059005 transcript:CRE31002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-try-10 description:CRE-TRY-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LU11] MNIFLFLLSFFPLFPSGSSIIEGFPANSFDALSLVSVITRFPNGNTNVCGGVLIGPSIAMTAAHCVFSNDEFALTAKVTLGDVNLSKQDDREQEFRSHAMAISKQFLNGVSEANDDVAIVFLPKRADVCESAISPQIAKLPSTWSLNFKETPNIPSQFQLENSVCWIAGWGKTENTTASHSDTVRQMTVKLSIRRIGRRKYLMARGVLGTSRTCMGDSGSPVYCFIDGKRTLIGTVAHIGSFVKMSQQDPSNHLQFCRDFEYTFISDWRESSERIAEILEKYGELEQLNEGQDMCFGSGKL >CRE31001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:551574:552851:-1 gene:WBGene00059006 transcript:CRE31001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31001 MRWLLVFLLIFPTSIFATEWDAYNFPNPTAGQFQECKMRTTANICDPDGVLSEQSRYRLDHDLKQLETRTRQDNARTFCDKKGVTAAMAVAKHVKGGTTEAVETMANDMLRKWTLDPQCKKAVVIVVSTDDMKFWVARDDKVPVYADEFTQIFMQQKSLFQQQNYQQALTNILQATWDKALSKQGSPRQPNRGNQGPGNQGPGNQGGGGKPGGGFKMPSIPGWFWLLLVGVILPTLCCCCCIYCCCCRGRGGGGGGQQRQQIPTDPMGGGGGGYPQPPPQGGGGGGGAGGMFRNILSSGGGAMAGSMLGRMFSNRGGGGGGGGMGGGNRGYGFQGNSGNQGGDQPVYDDNNGQGGGGLYPSQEVKDRGGGGSWA >CRE30736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:546882:551060:1 gene:WBGene00059007 transcript:CRE30736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inft-2 description:CRE-INFT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LU09] MAKKRTNSKKNQKRTDSTNGSQNTNSPPTSDASRPTSRLSNPSDVENSGHRKHTKEVPFKKPAQVFGNKASRLNSGTKKDVSDDKKVAVTVEKCTDLRPTSSISSSRLDDTDIHIRQMIAEFRDETGGEATRLKAIADINFMIGSTCSFLQRFTIRSKLHSYGFLSALASHTNRSPGCKEIDAEVETYIQSESRDARMMGLTDDNRSPIEVLAKLLSKTNDKQWKKMIDLLDFIDTSADIEECITSLKKPRELEKELIDAEIQTEEISRRFLQKDASRRGISHNLSTTFNDIPEESAVFCDYSTSDDESKTSNSDPTTPDALDSNRNLKKWATATDLPMQAKLGVVDDFFRSNCSTSTFSSDCDDDGPISPLLDKTLPAINEVGDSSEENESSELHTAFNTSLPVQFSDRANIAFIDDTSSTSSIPESLGFSEIMTMSFEMIDTAIKGTANIPPISSSAPIPPPPPPPGVSLTGSLPPINFTAPPPPPMMPGVPPISRNAPTSPPHPASGMAPLSTNAPPPPPISGMAPMSTNAPPPPPISGMAPLSTNAPRPPPPPPLPGVPSISTNAPPPPPPPPMASKGGPPPPPPLPLDMLKGAMAGLKSFPGGPPPPPPPPPFPFNGTGANAFSPGTSTSPVLSPGVVKSAVVYRKQKKTAFVRWPKLTMQMQDAGTVFNDSLCVDFNEEERSKMEEVFEEAPVKQLNRKIAGSVGRAFGKSLRAVTSSSDVNTMSARSPTNPQVLVSPKALTIEILIKKLKPLDFIELIEKLERNDTDGIKVDLMTTLNNNFPDKDELDPFLKVEFGTLTHASDQFCWHIARNKHLRLRIELFITKENLTSEIGKFQSQIESLQEGCKLARGEVVQILLRKCLQYGNYLNQGSMFAEASGFQFSYLLQLLQMKGKGQHISVRLVDLIVAFCDLPTTQLEEIQSKMVTVRSLNLKDLEDAVGQVQRTIRKLDGQMRSSNVETLIAAYQPFMDQTNEKLQNVQNGLSDVKSREMELQVYLCAANTTLQAIFETLEQSMKIVLDAVKQAASKARITRASSMVTLPSSSVAQRSLREGEVLARNSMALKSRDLPVEELKKFFSHSSFGPQRTKKIPASPLTTSNISPTRTIRRRSKEAPKTESDETGPPEEDTLPSTPLEPPSVTSLRREVLDLSSLVGSPV >CRE31000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:544022:544651:-1 gene:WBGene00059008 transcript:CRE31000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-far-4 description:CRE-FAR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LU08] MNYSLLGLFTVVFSISLAFPFGDNPDQSEGFQKFKNLLPRELVDAYSNLSQQDKEDLKYVFRNYQNYQNEQEMIAALKQRNPSLGSRVERKMFELKRKVAGLSDESKEFVENLLATGRQVYARKLNGQQIDRSELRQIGMGVAQHYRSLSPYSQNELQTTFPQIFQFLQQARAQGLRGMLQGFFGGGGMGK >CRE30999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:541739:542435:-1 gene:WBGene00059009 transcript:CRE30999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-far-3 description:CRE-FAR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LU07] MSRLLAFNVFFFAFIGLSIAAPTKEPSLFTQLLKEHDEILPSEVVEAYKALSGDEKEKVKDVFKNYKDFKNEDELIAALKAKSPELGEKAEKLQAKLQKKINGLSAEPKAFIEELIAGGRGLYARSVNDEKISAAEIKLLIDTQVTSYKELPAEAKEELKKTFSGVAKFLEDDKVQTLIAKLLEKTNQEH >CRE30735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:541015:541593:1 gene:WBGene00059010 transcript:CRE30735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-far-5 description:CRE-FAR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LU06] MLFRLLFISSLFCFSFSADGIFEAVIESYKDALPFKVVEAFDNLSPEESAIMKEVFMNYDKFTSIADLIVAIKKKSDSLGSLFEKLYIEVDAEIQALTPETKKFVTELLGIGRAIYTAQIVGVPLDPKEVLPVFAKQFPSFKALPDANKQELEKAFLGLYKFASNDKIKTEIDKLL >CRE30734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:538424:539415:1 gene:WBGene00059011 transcript:CRE30734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dsl-7 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3LU05] MFTTLLLFSIFVPIFECTGYLEIRLTSPYVRVLNTTVEITVGADKSFHKIPLSPYYELALTNVPIEFDQPANIIIRSGPVKEIGLTDSVINPKIFSTQKMSIVTPKAGLPFSGFRIDIKCDTNYFGPHCGRYCDEEQARAMGRRCNILGNIGCPIGFRGSKCDIELPVDSGICKCKNGGKCVNSFDSKMTADFPICECPHGFEGAACEKTMKLYEPKISTRLFESTARFHDLSNISVPNQLFDEKLDASAKLISPTFHMSQQAIGRAHRAPRKQTTTLIFDPVTLSFHSAHNNPSFNRPALRKSYRTVRDDKV >CRE30732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:531195:534180:1 gene:WBGene00059012 transcript:CRE30732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30732 MLLLFSFIFSLYIPIVSLTFYIESHSISAMNRCILLALLPLITAIPVAVNIDDQDAVNLGAYDRARFDLVESFHPLQPASAVTNDQIAEVRAKAAGVKQNEALISIDLPTQMQSGNPARIPERLAFGEWTEWTSWSVCQNGERSRVRTCVSRRPALRVVCHGDAIEIEKCYVDAGEGHIPVAADPWSIEREISGDFA >CRE30997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:516692:519288:-1 gene:WBGene00059013 transcript:CRE30997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-shw-3 description:CRE-SHW-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LU01] MDSEYRIILNVGGVRHETYKHTLKKIPATRLSRLTTNLANYDPVLNEYFFDRHPGVFAQILNYYRTGKLHYPLDVCGPLFEEELKYWGLDSNECEPCCWMTLSGTRDTQDVLKTLDELDIEVDQTEGPELYKRFGWEDDYHNQSLSAWQKIKPRIWRLFDEPNSSRSAQFIAAISVFFLITAIIVFCLKTHPGLRIPELAPFGNFSRNHRSTSSRIHPAQINIDKSNSRPHPTFMYIETICNIWFTIEILARFASCPSRFDYLRAPVNIIDIVATLTFYIDLLSSMFGATADLEFFSIIRIMRLFKLTHHNSGLKILMHTFRASAKELMLLVFFLVLGVVVFASLVYYAERVESNEDNQFVSIPIGLWWAVSSSLFFSNFEIINFQIVTMTTIGYGDITPHTYLGRLIGSICALAGVLTIALPVPVIVSNFAMFYSHTQARSKMPKKRRGVLSMDQVAQHVKHAPVRNSNGPTQRKNMEHTPLVSNNHTPPHTLI >CRE30731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:514901:516527:1 gene:WBGene00059016 transcript:CRE30731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30731 MEKMFVEDLDSDINAARDKLRNIDEKVTTLNGRPPRQRISYNDEPEEAPLRNVGRDAFGLKDEASPWTTRREIEAPAGIRKRISIEDRIDRRGAGGRQSFDNQKRQRPDYLDNEADEEEFGKRQKRSLQSTVVMPALDSKGREEKIEKLKETENKDSKQRNRRLFSSLVMGTLTSFKKTEKKTAQQEKQEEVEKRLEETKKKDLESRNNELLNKRREQEKELQGLQRKKAMIQYAEQHVNQLKLMRGLDKGHQQFRSLELKQATERQLDDQIVERQEKLKRDLEGNEGRECIGESREISQDDEGEDDEYEDDGEEKNENGGY >CRE30730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:512987:514367:1 gene:WBGene00059017 transcript:CRE30730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-46 description:CRE-LIN-46 protein [Source:UniProtKB/TrEMBL;Acc:E3LTZ9] MNSAGFPKLKSPVSFQTVSIEEAFRTLEVLSKRYPPQPKTVHVARLQPGRVLAEDIEAVEDIPAERKSIVDGFAIIVNKPGTKRRIVGSSTAVTPYDAQLQQGECVRITNGGVVPDGADTVVPFEDVLLYGEMNSIEVITKLDKGENIRERGSEANAGDILLRDGYRLDSISIGLIHKLGISQVEIYKKPRVSLISIGNESSHQRMNGNFNRSQLLALFKIQGFKSIDAGECADKLVEIEKKLNTTAEFSCVIVATGGYEQILNLAEKLNLTVAFNKISSNPGKFAFAHGSINNKPVFLCILPQKAAAAWIGANLFVSPFLRAMEGRTLTKGMRWKSKLTESIPKSSEISFVRVQSEFINGKLISTPVSSDIFSRANSILKIPENIAYSAKDTVNVLLVESP >CRE30996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:511470:511910:-1 gene:WBGene00059018 transcript:CRE30996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30996 MGAKSKYVIVQLASVITGSTRVWVRERAADKFAGIFYDPAYGKSCLFEEVKRVKGKTELPKRIRGIYNIEN >CRE30995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:510241:511294:-1 gene:WBGene00059019 transcript:CRE30995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30995 MDFNDPTTVGILVAAVVGLLTILLLVFKSLRSSKKNRVLFVGLMDSGKTTIFTQLSQKEAEYPTTTKTFTSMVENKITLRIKDKEREIIDYPGNDRLRQKLIDLIHSGSILRIVFVVDSAAFSKNSRDVAELFYLVALENVDKVPILIACHKQDLTLAKTEKVIRNSLEKEIGLINKSRAAALMGTDGSDEKRATLTDTGVDFSWEDLKKQEVSFVTTSSYSEDFGVHEIASFVRA >CRE30727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:503004:504254:1 gene:WBGene00059020 transcript:CRE30727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30727 MRILHFPDVVLRQFFENFSFEELLKLSFCSNRIKNLIRSNQHYRFRKMKTIAYYLTSESMFNITGKSTCSYLHLTLMPHPGEHGNPMKIFGLEPGTLCCYSYSESSNVYLYRKQKEAVIQGVHEYLFQFFGSSINYTIFSQKTTELPPILKDVNGSDIWVPDDKTEEELESYFKDYPIQKYLKLSGKLNSRFIPNSVVYRNEYLKIDSENYGDEILLNFKGRHLIFIYTNFRDSTITQFLNKWKTNQGFQNLKALFISFYQYPKEILFDRMLDNLEIMGNIDVRHLKPSEDALLVKWREMKTVSYPHTCMTEEKTLQSRDYLIRDGDGQGASVDITQRCFSFVVWDSTENTHIIGSKNE >CRE30994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:501471:502506:-1 gene:WBGene00059021 transcript:CRE30994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30994 MCITFIKTARSSLDKYKLILLNNRDEHLLRPTKEMHWHDEILSGVDEQDEARGTWTGLTKNGRIGMMLSITQTQESKDFNAPSRGGIVSSFLKANDTSEMMESLKKDAGKYNGFQLVGLEQNDSGLYDVKTLTNQQVDKIEVCEWNDEYHVISNSPLTKPYQKAIYGRRLISERLRDSNEMSVEQVFENLMSIATDKTQCYPDAQLQFQTQNTDEYNQPLSAIFIKYPEGTREYGTRCHTLLTINQDDHVSILERRFLPEESTWKDVRFEFVLKIN >CRE30726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:498296:499878:1 gene:WBGene00059022 transcript:CRE30726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30726 MTFFSQFSRFSSSIRKTSEQKPWDKSVIDFDLEVLSACVQAMVAENKYDKGIVLTILKLYQFNPETDFSGARLFSLLILRPCVDTNRLGSQELRRIFDLGAVLESCNFVVFWKLMKGTYKPSTNTTEPFKVPSEIPKMVKNLVGFEDSIKHYACRVISVTFQNIEKKLLSRLLGGASDKEVTALAKKFGWEAKENGDVFFVANHEGTIKTLNIDEQVQF >CRE30992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:494599:497317:-1 gene:WBGene00059023 transcript:CRE30992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30992 MYEQSLKKKNPIKNIITYNITNVYNYIDDFSHLSMIIYDENMSEYVQHDKQLVKQFIFHKANTNTENVIIISEKLQDGFGFRLIEEIDKPLNPDGTLFVSHVNCTSKVYGLLQYRDRILKYDGQDLTRMTYRAAVNVFVQAKIGHVANLLIERECIPYPMKHYVTSKDSKCTVGDDSRRILLQHFGSNPDFLKDLMLDACRDLERMCDLIRTSPFSEYTDDELYIEMHYDFKNNVVLIHDNGMGLNMNDVMTNIKNVGESHISKIKMFKNINNSIISHRGHSLITTAKAAKKLIMRSTMLSSSSILWEMNGSEYMVAEMIDRQKRGTQVEVHLKQMEFSDETQFRLYIESIAPSLPFPVNILDTRAANVTSDHSKQEHKKKQKRIESIQKAFFYMCSIYQQKESILEETLELQTTYECDASSRPSDLLALQTEMMLDKSKFFRHNSTTNRKVLKEFPESGNISSIVTGIIRDQNTVALQRLLMSLSDQCNSEKEMSFERLQRDFHEAIEGVNRYNPENVSDLAACVQAMVAENKYDKDIVFTILKLYQLNLEKYDEAVVRQVLLKTLMVLPSSDFALAKCLIDTNRLGSQELRRIFDLGAVLESCNFAVFWKLMKGTYKPSTNTTEPFKVPSEIPKMVKHSVGFEDSIKHYACRVISVTFQNIEKKLLSRLLGGASDNEVTVLAKKFGWEAKKNKCYIIERSDVQVDYYYTTHLLDNIEPEFAGQFLAADSSITTPLL >CRE30991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:485694:486488:-1 gene:WBGene00059025 transcript:CRE30991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30991 MVDIFSIVKSKEISFESRDYLIRDADGRGASLHFSRRRFMFVVWDSIENTHIKPSVVPIAISFFDTVLDVTHSSLSTKMTTFQFWKDGFCQKNPLGKMPDLNSFSTEIDILFS >CRE30990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:481800:485107:-1 gene:WBGene00059026 transcript:CRE30990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30990 MQKWSVGVRPKEYETKTVEDVYNTVEELDTKEYEDPDYFYDELGRVRRTERKMAKKVPMMGTPPPIPPPMTPTHIAIHNPKNSTSKRWKIACVSATVTLLAVFILATIISFFFFVSSANTFQKESYGLPRPSMESSLLSKRSDMEITPNHLLTLLITKRKICILEAASGDEAKSRDAFSIDHLESARLIFHSNLSHAGVPVHPLQFQRFARSQGIDNDCHVIVYDRGQMIWSAYTVWIFKLFGHQKVSLLSGGYLGWKTHQARSGQYKTEQGDAAGKPRQGDFLASWNDSVIITYDDVLLNTEIDNFDVVDAQTKDEFLGTAQGALYGHIKGARNIPVDAVYDWAVGQWKDIDHLKGLFTNNALSLRKPVIIYCSTSLRSSMVWWALTRSGYNGKIYFGGWPEWVVRAPDGLKVIGTSVES >CRE30725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:480629:481619:1 gene:WBGene00059027 transcript:CRE30725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-3.K description:Eukaryotic translation initiation factor 3 subunit K [Source:UniProtKB/TrEMBL;Acc:E3LTY7] MSFERLQRDLHEAIEGVNRYNPENVSDLAACVQAMVAENKYDKDIVLTILKLYQLNPEKYDEAVVRQVLLKTLMVLPSSDFALAKCLIDTNRLGSQELRRIFDLGAVLESCNFAVFWKLMKGTYKPSTNTTEPFKVPSEIPKMVKNLVGFEDSIKHCKSNFTRIPFEINDFSDACRVISVTFQNIEKKLLSRLLGGASDKEVTALAKKFGWEAKENGDVFFVANHEGTIKTRNIDEKIQFSHVADLLTSIQPPLTH >CRE30724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:479885:480509:1 gene:WBGene00059028 transcript:CRE30724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-68 description:D-tyrosyl-tRNA(Tyr) deacylase [Source:UniProtKB/TrEMBL;Acc:E3LTY6] MRVVLQRVTRAAVTVSDEVVGSIGKGLCVLVGIHRDDTEEDMKYIIRKILNLRIFPASEQKPWDKSVMDLDLEVLSVSQFTLYGQFKGNKLDFHTAMAPTEASKFYETFLESMKKAYKPEKIQDGKFAAMMSVDLVNDGPVTITFDSKEK >CRE30989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:475887:479443:-1 gene:WBGene00059029 transcript:CRE30989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30989 MGEKEEAAPVLLQCLESGRVDVICSILSQMKNQADFHAQVDKLCCKEGTLLHKAVWLDAADSVAALLSGGVNPCVQNSEGKTAYQCCQSEGVRLSFVREALQSINLHKTERLCQLIRAGVPVDSVDTPDTKNTLLNWAADFATPEVVKALLTNGANVDLANFKEETPLHTAVIKANAEVVKILLSAGANPSLKTKKGQDAFALAETHSPALLSLLSMDQIARDVRHHRSVDDMDDRMSLISCTETLNTQILNDSIKYEKYIEGEIDSWTDLLWPQPKLISIKHQSPRNFEFPKDGKLKVYFDDCSSANPRQVMQIIELSKPLLVSVGVDIEYRGHRLADHETSALEGKVTCGVFEDGRPSGSYTLTIDAIRGVEVVGSDYAGIRHAFATFVQIVRLHKYALHKHVGHSNGVSTSVPSSPNGNASGDHSLLNGNSASKHFPDISADGTIKELTIRDQPDRAFRAVYQDFSGCRILNPDTILKLATRLSSCKANYLFVNFEVRTTDRYQLPYTNRELFHMMQVCQELFITFVPSIDTQSNYLEGDQARTIIDQFLDDFPLCKVVHFGPNLASLLISDRKRSFIFEIRDPMIILAVLTSIQRRVPRIYLSTHVDGKNGPLLSTLPPFVTLCVEGTWPFEVEKYVSPRVSVVIKFSTGDDGYLCASPESVAKKALLAAKLSDRQTVLGSMVCDLSTGCEAMPPSLSYMSLLASVGVAFNGSTDMKKYAFLLPVIAAHHMLLDGDMVSLFEQVQTLGKVEHQLTKYAYGYWKPNSSSSPNADISSGSETTLFGMSANKKMPISVFVEMILNPENMNMERLTPVVFKKARIELKRTTSALDATSKLLPYSYDLALVLEEIRLVTELMVLVSKLGQYMCVYGSQSIDRKKSFEEGLPYSPGRVGVINLPPAIRTDLANTYGFRFFVFNDGLFFRMLRVRGQFQHVWLSRSIPSTLQNALKMFDNLFRALLPHDLQEMGKQLL >CRE30723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:473996:475577:1 gene:WBGene00059030 transcript:CRE30723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-2 description:CRE-GRL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LTY4] MLTALILLQLLIPPSIQFFFGSGGGGGGCGCPCPVPPPIPICAPPPICAQPAPCSVAPSYPSYSPSYSPSYSSYASAPAPSPFYQPGWSPAIPSYASAPSYSTSYSAAPPPLIIPSGPSYVAPVSAPNYSLTPSIAIPGPLPPSPVYMPAPGPLSIPVVTSGYDQISIVTTLATTPSYLQSGYVPAASKAYDEDNSIEGMAPPPPPPPIDIPKEPLTYDYRTSEVVTPSDYYKPAFVPSASYMEDVTEEGQLVEKQGYWMKGQPVSQASSKYGQFETRHNILKRMKTETIPRTNNTCNSIKLANVMMRAIVDDVSVSKRMIQHATKLAFDGAKFDVFCAIGEFSYSIHSRKYCEVTKQEVTCFAFR >CRE30988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:471969:473117:-1 gene:WBGene00059031 transcript:CRE30988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30988 MLHQDYFQKPKPFLLGSYVNYITFPIEKSNLYWNFWIQTIHNIDWGFTVDTTESDKTNNVKFSIYQKKTPENFRSIELDVGIVVDNLENSEYSIKVSGNYEFTEENNNMVIEIPGFDKIIDWKQGFFDDKAEEKKFKIGYNMVVKSVRLYDSVELFDFYNFDPTIFDTSVKAFGHTMFVSKKMISLQSEVLSGMIEAQNIEWTDLPSTCWFDIFHDFLQIIHGVDLFLYSMFQHLFPEIILIILESNIGEFLKLADHLKAPRISRVLLKLVAWRT >CRE30987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:469933:471518:-1 gene:WBGene00059032 transcript:CRE30987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30987 MTEEGSPLFKNGDGLFNTHVYLEDLQEVIRDQMKTNAELGPGTKYTIVGDGNGFMSRVVLVDPDWTVSDEHLPKRFVLKITSCMHVLNVLDQMNLPDKRESALWSIFEYEAQGLHNREVNLYEIFGKWDIDNLLLSPKVYFSKKFDSENQTKGFFGMEFVENAITRHLYINLKPYELHAVLKALAIFQAEGLKLNKEEQESVTGYDLEKIVGKMFSVSGLKGIFDQAREINPEELSETANRVEAFGLELVNFDMVKNLNTYLGIKKDVLVHGDLWSANIMWTEKEDGELKVNKIIDYQSIQLGNPAQDLVRLFTSTLSGSDRRAYWEKLVEQFYEYFLEAVKDKNVPYTLEQLKESYRFYFVTGSLLMLPMLGPIAKVKLAEMTDPKEIEECRNILTEKAEIILEDMEHWHLYSRDTIKKWQGEGAETTL >CRE30986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:467561:469298:-1 gene:WBGene00059033 transcript:CRE30986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30986 MTAEKKTTILDNGDGLFSTHVVLEDVQEVIGEQMNTKARLGENTKYTVVGDGNGFMSRVILVEPDWTVTDDHLPSKFVLKITSCMHVHGLVETMKAKSPNALTPEHEAALWAMFETEAQQLHNREVNLYKITEKWNKNDVMLSPKIYFSKKFDENNKTKGFLGMEFVSDVIIRHLYANAKPHELHPVLRSLATLQAESLHLTDEEKQSIHGFDFKQLMGSMMNDDGMKNIYAQTRDINPERLTERANKVEAFGLDVVNFELACHLNKYIGIERDVLVHGDLWAANILWKEDDDGKYSVSKIIDYQLIHMGNPAEDLVRLFLSTLSGGDRQAHWERLVDQFYEYFLEALGDREVPYTIEQLKESYRHYFVTGGLIMMPMYGPIAQTKLSYSTDTENVEEYREILTEKAEHLLEDLERWHLYSRDLTKNRKEAETSV >CRE30722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:465090:467370:1 gene:WBGene00059034 transcript:CRE30722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30722 MSSEPFSLQNTVTSLLSFQVAPIVHPALLEGPQNEVVPSLIFPSNRVGAKNRLRSRVFRDASSQGYKGLVDSPRLFRASGRFHKRVQSATTHDIQSVPLPQDTLQIVSYKYDAEKHNEKKMSDNDVITNEEAEDHARGIERTTMSVKPREDETTRTVPMTTTTQMPEHNSINEIKENSIQPVRPKTFSQTVTANGAPPSLFNPNSQLPPQPQPPFVFTPQPPISPPTFTMPSLVPQTIQQLPSSLPQQGIPPQQPPPAFPQASQMGINPVGVPHQPSNALVAGVPNFNQPQQPVTLTPLGTNPTPAIGGLPQPNQVNPNGLPQQPPQPPQSALSQNRNGEKQDTHEQLGCGWDWLTNSCKDVFALNWCGKCHDFGNIFLHDCKCVAPLIPLPTTVRPSQQPPQPPPAPQRHPLFFWLI >CRE30721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:460127:463109:1 gene:WBGene00059035 transcript:CRE30721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-67 description:CRE-PQN-67 protein [Source:UniProtKB/TrEMBL;Acc:E3LTX9] MQRIFLCWLAIATIAHSVAAKRFMKMFADAGIGIHCPSGNKVYIHPISGDLQLCKQQLGVYNETSCPGGTACERFPILIPGFQDYCCWSESNPESESSSELEIVPPMSKRKPGVTVEPIDEEENEKIFPIDEEEEDLKIEEKKSVRKKVDEEDEDEEEDIEWEFETTTVRPRKTKSRKVTVMTTTEPPMLVTTTIKTAPASRRPQCNDPEKTVLIDYGNRLRDCYFTQCLRGFKCEFNREIRRFICCGNEIDVPPAGLPRIPEPSPVLKKRPFRPNRPFGRLNDGEDEEGDVDGEGRGPRNPIVPFIQTSSFQGPIGPPKVEPMIENNNIDSTAIPSPNKKNKYDEDDDYEDGNGNGCGGNGCTYGRGRGGNSNQNSGGGCEMNCRRNGGGNGDGCGSSCRRGNGNGNNRGNGNSQECGSGGCPWNGNNNNNNNNNNNNGNRPRRPRPDTDYEENENGCEADLSGMNGNNNNNNNGPVPPIPEPRPLCKGLKFKKTGNGGGNGNSSGNGNENGNNRNSGPPPRNNGKGNGNSSGNGRPMRPPQSSGSGSSRRNGPPPSPPRNGGNQNRGSGGSRKSGNNNNFNGNGNGNGDGGYGGYGGNGNGNDDCDSENRCGGQGGFGNENQRFNSRKQPPPPPSSKSSSNNNNGDRKVPRSVPFFPPPSSTSNCPEGAEYLDGFNAPKCLPPAIDSCPMSHKKCRYSTRFGHHVCCNSQ >CRE30718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:454389:456035:1 gene:WBGene00059038 transcript:CRE30718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lipl-1 description:Lipase [Source:UniProtKB/TrEMBL;Acc:E3LTX6] MRSWSTVLLAVLATSATVFGHDADPEMKMTTPQIIMRWGYPAMIYDVTTEDGYILELHRIPYGKTNVTWPNGKKPVIFMQHGLECASDNWVVNLPSESAAFLFADAGYDVWLGNFRGNTYGMKHKTLKPSHSAFWDWSWDEMQEYDLPAMIEKALQVSGQDSLYYMGHSQGTLTMFSRLSNDKVGWGNKIKKFFALAPVGSVKHIKGALKFFADYFSLEFDGWFDVFGSGEFLPNNWIMKLVSESVCAGLQVEADVCDDVMFLIAGPESNQVNATRVPIYVAHTPAGTSTQNIVHWIQMVRHGGTPYYDYGEKGNKKHYGQGNVPSYDFTNVNRPVYLYWGDSDWLADPTDVTDFLLTHLNPATIVQNNKLIDYNHLDFIWGLRAPKDIYEPIIEIIRKDVLNIS >CRE30717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:447905:453943:1 gene:WBGene00059039 transcript:CRE30717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nid-1 description:CRE-NID-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTX5] MKHKKLLILVLLSLLIEAKCEEPTEEEKKNATAEFYPFGQPAGDTVGISEFLNGFITKTFQRLEYSMDQSAITLKIPLVFMEISVDEIFLSHNGIVGLGKPVPEKHGGLMEIKTPVIAVYYAPIADGIIDYRVTSDDHGLLAKMTQDVKDVFADAIEFHGLQAIVVTWSEIQNAERDGRASFQLGIVSDGISTYAIYHYGLLPWSSSMGYYAQTGFVHSLKKMQTNVNSGGPDVKELAALSNNQFGNSFIFRINNKDIEDPKEEGPEEYDYNNYDQEYDGEQPADCPKDKFVDNCPAECRTLRDERGCERCICAERPNAPPAPQEPKPELENTLEDDEDDEQVDGTRYQEPAREQHQQHHQHHQHQQHQQQQQQHSGNVAAKMSCSQRDEKSCHANSVCQDLEGGFCCGCDTGYYGNGKECLPKGEPQRISGSFEGVINRIQIDKTELHTFATSTDGNVHTAVSKIPSDLGHPLLFLYSVGGVMGWLFADVQSPNVYNGFQLTGGLFNRTVAIHVGQNNYVTIKQEFSGRNIHDYFKSHLFVSGTLPDIAPGSEVIFPDYEEEYVRERRGYLTARAAFDVVVRDGGNTQTYRMNVEQQITFEECPFKEFDRDHSMKLHVKRINVVYNADEGVVRYGAKNFATRSVGSAPAAAPSGGHFDRRQHGQSQLASERPIEIPSQQESISTDSVCAPGRHQCTLPNMKCRVVEPAYRCECEPGYQAAHDASSHIGWICQDLDECQRGDHNCDQHAKCTNRPGSFSCQCLPGYQGDGRSCIREHHAAHHEHQQQQHQNPGVGATSEGLCTAHNQCHQWGECVFTSEHPTGRCKCRGWYVGDGVNHCGPPDENKPKHNANIPQNRGQACGSYVCDVNAECMPEPSGGSECVCKAGFNGNGVTCESLLVDHHAHSSHNRHEQQQQTGSLGKVCRSHDECSEHGSCAYHHSLGYYQCACTEPYVGNGVDCTLPGSSASASEQGCDVTRDCSEFADCVYERTSTGATFRCVCQSGYTGDGKYCMQSQLAISALSPAVPQLPSQPAVQSTASCNPNCGPDAQCVYDDFNRQYRCECYAAFVGDGYNCVPLAKPNMVPAQPKTCVESSECHINGHCVIDENGAGEYICQCLPGFRGDGFLNCRGADQCNPSNPTACHQNAHCTYDEILTAHACRCVEGFKGDGVNCVPYAPATNCNLEPRICHANAQCVMHHDTNSYECICKPGSSGDGYKSCEVMDTPRCNNCSVNAYCAQNPTSGGYQCKCNAGFNGNGYMCVSMSSCLDDRSLCDTNADCVPGEAGHYVCNCHYGYHGDGKTCSPDSSTRSDKLLVARGMAIFERSTNPDEYGKQLIVIPHHIPVGIDFDCKNEKIVWSDMSGHSIRTSSMNGTEHKSFMQKELSSPEGIAVDWSSRNVYYADSMNDEIGVASLNGKFKKSLVTEGLVNPRSVVLDLYGRHLYYSDWHRENPYIGRVDMDGKNNRVFLNEDVHLPNGLTILPNRRELCWVDAGNHRLSCVQFNGANRRTVFSSLQYPFGLTHDEEQKFYWTDWKDNRIHSVGIYGEGYRSFQISLGGSGKVFGILAVPKTCVGPATPCSEDNGGCQHLCLPGQNGAVCECPDNVKVKGC >CRE30983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:441770:442247:-1 gene:WBGene00059040 transcript:CRE30983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-27 description:CRE-TTR-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LTX2] MSSSTYFFLLFALISSTSALLGIGRTQSVAVSGRLICNGQPASGVKLKLYEKESTFDVLLEEATSDSNGQFRLSGSKTEISTIDPKLNIYHKCNYNGLCYKKIGITIPDNYVSSGKTPGKTYDIGTLNLANQYSGQTTDCLN >CRE30982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:429683:433889:-1 gene:WBGene00059041 transcript:CRE30982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30982 MTSSSEGEILQVGQVIRERWKIKAKIGGGGFGEIYEATDVQNHHERVAIKVESSKATKQVLKMEVAVLRRLQGKKHACKFYGCGRNDKFNYLVMSLQGKNLADLRREAPKQCFNLSTAVRVGLQILNGIREIHSIGFLHRDVKPSNFAMGRTSQTMRNVYMLDFGLARQYLNAKGEIRSPRSAAGFRGTVRYAAVTAHKNKEMGRQDDLWSLFYMLTEFLQGQLPWRKIKDKDEVGKMKEEADLNVLLEDCPNELHLFAAHLRTLGYADTPDYGYLESLLNKIVKDNDISWEEPYDWELGYDNMATRQKQQTNGNISARLKSHTTAVIRDQRNENRYIFTSFFLVPHLLIFRALDTQAPITMGEDEDEQTNGQMANLGAGFESTHEKAAEKPKYKRHEFLKPKYGTVNLDVIDAVNARFAACDEENFRGGSADVEANFQLPSSILKQQPQVAESSLSIKRVAGLPVDKNRSNKSITLSINNRYKSGAKTNKISSFDNTNAQMDDNSANNVRTAQTIMSKWQGSFDASCEEMMDGADTEEKAHGRLNPAFPVPKASLLSTPRSMDSNTSPGGNSPSSPMNPRASPIQQRRLSRSEKDRNGMHRTASCGAAQSNSIISHFKGLINSFNNLGVGSRLSRTTSIDETANRQNSAPPPLSQHQQINNYRNDDNSYGMRHSTSMGVQNSQNTQRISATFNAFESPEERERRRERRMRRRSVESNGRVELETPPPSVRSPVVVNKSSVVHAPSNSPPVKIRDNGIDEEFRIPLEENNKPLLFYKRKRYQFLNFPRTSSKS >CRE30981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:421211:424476:-1 gene:WBGene00059042 transcript:CRE30981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-24 description:CRE-GLB-24 protein [Source:UniProtKB/TrEMBL;Acc:E3LTX0] MERPFALPYDVAPLYYPLAKLNMDHSMMPVISITRTRRCSVSSPLRFTRTFSEDSLDSLEKNGRKELRRQRIMENGGSVEEKNSLSPLTHTTCPHLGQMGRSRTECPEVESLRLPLTAKRSAGDLSCPPSPTRRNLNEVIGLTAYQQKLLVQSWPNIYTTGASGPFANSLYSTLSARNAKAKELMTKANGVAMFSKSDMDCSMMHCRVTVEVLDTVIKSLDTDHYRVTSYLTEVGKQHRHLKAEGLSSAVWDDLGDTIMDCARRRCEAVRKHKELRRAWLAIIAYIMDNLKQGQSMTRSSSSYDLTYDTSKVPCSKKNSSN >CRE30716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:417612:420427:1 gene:WBGene00059043 transcript:CRE30716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bus-19 description:CRE-BUS-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LTW9] MVYDMLRSRMARVRELRESIWKTNLLHSCSIQLFSRCLRLSCPKERAYKNVAHQIRFPEGGVFEIPKQFDNFTVLADKFRAYKEDHFGYITTLFICAYLYKQTFAIPGSFLLNVIAGVVYDLWSGFILCCCLTTLGSTLCYLFSELFGREYVFYYFGQKLTYLQQKIDDNSNRLLPFLLFARMFPISPSWLLNIVAPFLNIPLPIFVVSALFGKLFSLFCPFCLNLVLSSRFRLISQVSIRICSGLAPYNFICVQAGYILRDLRSWDDVFSTTTMLKLFSFALIPLAYAIYIRPRANKHQLVSSSDEMDEKVKKMEIV >CRE30980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:407743:409455:-1 gene:WBGene00059044 transcript:CRE30980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30980 MTGLGNADASSSPGRVLLADNTKNAAFRNTERNGLIHISHCHFPSHFSCLFACLFYTLFTRSPVAHFIIDIFLIFIIFIQKMDLEIDEQDQDRSPTPQSSISENSGSERGSERGSETPIDTPDTIETIEPVIISALPVTQLPAISLSGNNAQRTLWIGDVPTDWTEDTLNQVFTESGHPPYKVKRVYVKEELKGYCFIEFITFEEARQTLFDLNGVKIPGFKDIRYNLCFANDSYNPNSEFNLHVSGVPDDMADAELYRIFDKYHSCRGAKMFRFVDGSSKGSGFVRFGNQTDQQMALVEMHRTRVGNTRIAIKLAGSRGERIERSESAPYKQRRNDRRRDEKPSQYRNGTVVPQQQQVFDAYGNPVIVPVDKNIYGTDHPWAPFPDDADLDPIIGLDLLTTKYRPQIANKRLMIDTDQFMLDLESSRWSSIAFKTNIKKEDFEKRLVDNPWTAIP >CRE30979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:406223:407381:-1 gene:WBGene00059045 transcript:CRE30979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30979 MISSLAFIFLVFVHFSNAQQCSTPQTLYNCYISYLGKYGVVPDDGYLPRSEFLLTQMTTHGLPVICKDFDELTSCLGAAATYCVSFNVFYSYASGSVILTLYFYKKFHLSRRDPQREALAYLQNHAFFEFACGNGKDLFMNNIDCLQRSFEQVPLTNRMQQCGQSSLVVSDNSCPATLEVTDCVRQQIVQACGASAGAAACGAATNIERRLDFLDAACLTEMDARCSVCQLQILTSVILLLIHRIIFS >CRE30715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:402293:406043:1 gene:WBGene00059046 transcript:CRE30715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30715 MSFPQNYGSFSQMGHPPPKETKKSCEVKSFALPVFCTLLGIVITAFITWHIARGHYTTGEELVDFFKLLSPKTGVFRNEKDSPIITQPPEDTVPASDLRLPDSASPISYDLVIKTYLPGYDYVADSKNKTFEGQVTIKLNITKSVKKITLNSKDLNYTEELIKKSSLFVNGEQVSFTLDDKQSTFEKIFFNLDRSVGPTTDAILKVFFGAPLRTDMSGLYQTTYTNSQGVVKMAAVTQMEPVYARRMVPCFDEPAYKATWTVTVIHPKGTVAVANGIEDKTEDIQTEFISSSFKTTPRMSSYLLAIFISEFEYNEATTKSGVRFRVWSRPEEKNSTKYAVEAGVKCLEYYEEYYNISFPLPKQDMVALPDFSAGAMENWGLITYRENSLLYDPRIYPGSQKRRVAVVIAHELAHQWFGNLVTLKWWNDLWLNEGFATLVEYIGTDKISDGNFRMREWFTMDALWTALSSDSVASSHPMTFKIDKAMEVLDSFDSVTYDKGGSVLAMVRKTIGEENFNTGINHYLTRHQFENAEAADLITALADKLPDNVIGPKGVKLNISEFMDPWTKQLGYPLLMATRTNKTHISVTQERFKILQSGKEEEKYSNPIWGFKWDVPVWYQVAGSPDLEMKWMKHNEPLLIKSDKPIIINAESNGFYRAGYTDEMWKEIIQMLKDNHEQFIPQTRVRLIDDSFSEARAGLLNYSVPLQLITYLQKEKEYMPWSGTIAKIRELLDMYGTDPEKDVVHKFMIALAEKTPAKRDVDFISKNYLDDTKFFEVSAGQGILLNDCTHGDQACAARMVKMFNEEVIAKCDSTRILSECSQIAAPFRGEAYCQAVKNGDAETFNKVFHWYKTERNQVEKGNLMNAITCSRDIITLKKLLLDAMKPEGSSFRLQDCASLFSKVCTNDATSDAMLNFMIDRWDDMMIRLAGDHSGFHRILSSTVNTLKTRGGLEQLRKFRKKVPSAAELGIDKMEETAEVTITWRETNLKFVTKYVEDLMKKL >CRE30714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:398834:401690:1 gene:WBGene00059047 transcript:CRE30714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30714 MRLLLILTFSVLTTWTLAETANVPWRKITNTRLPTNLYPLEYTLNLTTFLPGYAWEANERDLSFEGTVFIKLGVNETTNKVVLHSDSLEIYNAVVNDINSSNLTVTTWEASPEDQFITLYLNREVNSDEQFEIIVNFSGKLRDDRKGFYITKSTRADGQEMLNAVTQFETTAARYMVPCFDEPEFKATWQVNLTYPTGSVALSNTIEDGTVTSGNFTTTHYKRTVKMSSYLLAIFIGDIQYKETTTDSGVRLRVYTDPATIDQVDNALNVSKIVVEGFENLFGIKYPMEKLDFVTAYDFAAGAMENWGLLVHKPNFLLGWGQEVAEVVIHELAHQWFGNFVTMKYWNQIWLNEGFASYMESIGYSFIKPNFDFDHVSVYVEVFLHVQQFQFHLMQQSEAKELDKSIPLNEMTYTEDGSIDIITFYYPKGSSLIRMLEILVGKEIFINALSSYLLKHQYSNTEDKDLYDALKEFHQPLLCGPEEVDIEEFARCWTHQNGYPTVYVESTETGVSLTQRKESPIEADFRKYEECGNRWDIPIWYQEAGSDNENIQLKWFKKEDNELKLTVQEPVIINANSYGYYDVVYSNGLYESIAAQLKNNSETYSDNSKLRVLIDVNKYAILDKVPVHNAILIAESLLNDKSIFIRANAERALLKAKAKLEKQKETIETDIQVIENRPTESPNVNMFSGCDSSETFLECYKRTFDTNLDACLTFEKDKSVDTTDRLAAWLKSDKSDESRQTILRLLSCGDIETFRMFVSDTSYNWTPFEKELIVGYFKEYLFEKKNGSKEKKGKKASKSRIYFDEKSMSARQLLSTQH >CRE30978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:391269:393625:-1 gene:WBGene00059048 transcript:CRE30978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30978 MASQVWFIVLVLVVTTSAKSFTNVSAFPEMLYGSNLTNFRKECPDQLSQMDSCIVIYRDCFGLMRATRECDHQFCGCVGESGYIRDDSTPQKCLDHLNSACVTVRSKGNLFKNQIVEENTKSAVNAIEKNLNQIRSAHKTVVEQCGIESKIKDEEARMLASLNTTNRLFPMTMTFFAMVNVEKFISKSEKCQKSIDLYERALQNLDEATVNRLEIPYRFWFIGAEFGSIGRVVTRALAVETCENASPELNHHSVVRVNCYDRRVNRSACDEKYRRDTKHTLSTTESKKLKCKMFIDLVEQVQSLRAAQFYEEAVDYHENGLKIDVNGDSLTRGFEGFFKGSMTRRETKNDIVRLEASQNSPLILQQLYDDVSMSCGKSKNTADVVTSCAFKYAYCIHKIKKECMIQLSICLDHIDGIDGICEEKIKEISSFLWPSTATTPVPTGESNAAKAITRGAKEDSFWWIARMIALFVFIVVITLLIISIGAAIYQQGCVSGARKICGEKHSINQGKQEEDRLVETPSSSSSDVATAAEIDAAPRNDESNDQEPLDGEEEIARIGNDDNYEIIQMSNNQEDSQHSNIKTETDSIHNFIIIPQDTVDHT >CRE27944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig924:1714:3721:-1 gene:WBGene00059049 transcript:CRE27944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27944 MNVSVCTILFVAGSAQYDESFARTECWNQYKIEKLCRPKYDKCLISKSRNCMEVFRTCSEKNALPGASYFCQQWLVNVTNPLKETEESYSLELLNRALGTDMIFGTSEMCDIFVFKYHNLYLFNLSTPSLSAIATVQKTIVSYCQCPIDMQKQVEERSPPQSIKRNIPNHFYYGGLKNYECWKEVDQYLAVILCGENSTIYINQEWARRADCLEDPKFSNCSPKFIEEIEKISKESEKPLFCSTYVEIIGKALLIFNRTSFNYTIVYKRETIDSDLHWVQYRDDELYLMSDLNDDILFKCFSFKRTITACNFTFTLCNRSSHDQCDKNLIECFKKDNWLSQECLAVLIPPKYSADILSGFVNLAKNHYWTVIALLLVAIVLAGCKKLRDWLFELLSIKLCISLTKLFDKGADYFDALLKNDPEDRRTDTVTTEVEEIDSDADGNLNNEPEPCREDGGERLLPVNALPPTNTPFTRNIETGQRPLNNESSSNENVNPEFDRIRDKPQFSSSLKNMAKSFWRMNCSMGTVLHQRCIYPSFNTAHNALIEKFQSLRNRVRGNREDRQMIPY >CRE30977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:384361:388982:-1 gene:WBGene00059050 transcript:CRE30977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30977 MDVFRTCAEKNALPGASYICQQWLVNVTNPSRETEEDYGLELLNRALGIDMILGTSEMCDIFVFKYHNLYLFNLSTPSLSIIATVQKKIVSSCQCPIEIQKQVEERSPPQSIKRNIPNHFYYGGLKNYECWKEVDQYLAVILCGENSTSEYIIHMNHKITTNFSVHINQEWARRADCLEDPKFSNCSPKFIEEIEVCLEGENRLLIDVFLFQKISKESEKPLFCSTYVEIIGKALLIFNRTSFNYTIVYKRETIDSDLHWVQYRDDELYLMSDLNDDILFKCFSFKRTITACNFTFTLCNRSFHDQCDKNLIECFKKDNWLSQECLAVLIPPKYSADILSGFVNLAKNHYWAVTALILVAIVLAGCNKLRDWLFESLSIKLFIFLTKQFDKGADYFDALLKNDSENRRTDTGTTEVGKVATSTVDNSNNKHESFGEDGGEPLLPVNPPAEYQNNSNTSNTPSTSSISNIATEQTPSNNQSEVMTSSNNENGNPKLDETKDKPQFSSSLKNMGKSFWRINCSMWPVLYQRCKFPSFKTIRNASVEGFQSIRNRRKMLPEVSVVCQKWIENVTESLENNKDAYIMTLLDKTFHRKMRRRSSEVCDVSVFEHPDFSNSLNLTLSVITELPTLIFSFCQCPNRPINDDRPRSHPPTHSSFPIHFYYGGLRNIECWKEVDRYLVETLCGEKSLLQINHEWARRTDCLENPALTNCTPKFLERLESISKESRRSTFCSAYVEIIEKSLPFFNSTTFDYNIVPVNISVTSGFPGVHYQDDDLYLLSQLNDNITFKCFPVKRAITSCNYSYIHCSRHFFNQCDESFIECLKDENEFSPECVEAMFPIKNFAQFLIRLLNRTWNYKWEIFFFVAAAIVFAFSKKLRDWLFVLLTIKLCLALTGQFDRAAAFFDGLLLGNPEEPESKEMQINSGFSDNEQDENLGSNNHNPDKIEEDVTLDFPFIDDAYSRSTETSSDQIREIDGGSQSESSRDRPRLPSTSNDMWKSFRKMNSNMFRVLHRRFICPAFNAAAEYFLHSEGRNIGNHENSRFLP >CRE30713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:382332:384223:1 gene:WBGene00059051 transcript:CRE30713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30713 MDPRSFKYSLFAVFLFTLAILYSFNVTPINILPNQTSSNVAVSSGEIDPKDFPNYKIEGMDRFEWYTKKYKKELKDEKPPKIEEVSMLKAYEFDVEYSISLTTPGKFKAILYCRYFDANGTEILPAFQSYNFPEFVVNCEKRKGTKRISVSTEAKNNYTNPIGFVDRTKKEYKHEFSFCMSPIYGKEPKWLLISEVIEHYKLQGMTHFYFYIFHIDEYSNALLEDYVRTGEVELTYLLERNDRELLHWQIVAFRDCTLNSRYHSKWSLFADIDERLLMTQYNGTILDYLRGVKDETIAGVQFRQQWIMKTEFMPEKYEGDKQIDEWSPTLRWHNSSAYGPPGHTVKTIVMPEKVLAMWTHYPSMLFPGYHIHGLKTEEGIVRHYRDLQMWNWGKTWLKEIVKFGPMSMTDYPVKYQKNLTNAVKKRTKYVYENYHPREE >CRE30976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:376451:379101:-1 gene:WBGene00059052 transcript:CRE30976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30976 MLLWNNLLSVLNALVYSVENLEGFENGLLDDASIINTTIVGDSRVHQHNQGTEDNSIVTAIDYGNASSCPKAAKYGTGPPESCTEPTDPNNMPPSELESWFTQEMFEDLFPFANLGWGPSSCWPYSYAAFRIASRYFPEFGTSINVTNTVYTADENKKRDLAAFFAHAVQETGENNIALYDTLADQDASNCFYRGGFYNWFEGGPTSSFLDPKTPGYTPADGASCASAGQYCSASDQITYFYPCSNATIDNTAAPYKGCYFGRGAIQISYNYNYGQFQDWLRSVNINVDLLNEPNLVMTKMDPPLAVLASLWFYMTPQPPKPAMHDIVMGNWNSGAKNKAAGYDGPIFGPTSLIINNECSGEDPTNPGGPGESRRIKAFKWFCGYFDAPTGPEQTLSCKNMPVPLDQIFYNLSYQPDWSSTWKEVPCTCAPASYGGLIFYYDPEYYPASFVAQNAYNRIKCIASIYANPSMYSMDNSTSPCLNY >CRE30974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:372896:374474:-1 gene:WBGene00059053 transcript:CRE30974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30974 MSWSPLGRPIRVGLFEHDPDAFNCFRRMPKKPCAKPGAEMEIISMVMKILDWQWEVVSLCFLKLFFILILKIDTEKEFDVVNDFGNPKPDGNFSGIMGLLAEDKIDMSGLSMRITPARMAFAHFTFPIRYFQQVYIIKRPPENDFRNFVFAPFTTDMWLLLLATIMGVSTMRFACALYWDSRVGSKFNIYTSSVLVSLVWLADHSQFLFFQETFGLMLKQRVQDPTVISTMLLEGFLIVAMMSIAQYYQTSMNSRLTAPPSSRIPFYHQNQLIELLLEKETYLTYYFNLSLEGSTEKNEYNIKRALAMNPIVVRAKEVDLIKEIQKGGVFYSTYDIEFLPQAVSVWDKRQGLTVIRDTSGILSYVAFGFSISNRKLCQMFNKALLKILPGIASITLGPGYGTKKQAEDITVQVKKTRLSFKKHLEQLFYIYLIGCGIAVVFFIIEKIFYRVRVLNRQKVCFCVPSKPPRIRCDTPMYFHSVTLLTTLKTLFIPINKI >CRE30711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:370204:371664:1 gene:WBGene00059054 transcript:CRE30711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-19 description:CRE-SRXA-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LTV7] MPLISYSQESFYSNNTLIKSDFMDGIMILTYFTHLFSYLFNIVLFYVVSRNRAIKTKAYVTHMIWVEIILNVCQHLSVEFVLGIEDQTNIYVFKMVCILFMTLQMWTVNTIRYTMTFMMAANRFICILNPKFNKYFDSEIITLFGIGVWMLAFIGSWYLLLLGCFPRFNTSTFVLETDCDYLTWPDFIYKSHYLLFLTLTLNILMVVYAKLRRCGFFNVSVARVSVVAATRRSRHENYFIIQSFIVFLVMAYDAAYTSLRKNYEPQFHSLPFNLQIFLGWFNIYSSNYINSLIYFFFHKTNRILILRTIFCEPKWLKTKKVRPA >CRE30973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:361564:362221:-1 gene:WBGene00059055 transcript:CRE30973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30973 MKRLLTSILLLFVYESEQRGPPGIPSANPVTAAPIRRQPAVTQAVTWAPQTEAPNTWQQQQPQQQQQQNNWGQEQENQSPAEENWNPEWRDRGPPPPDWRDRGPPPPDFDGWHHRPPWRRGPWWRRPPPPWGPPPHGGPPPPPFGGWRGL >CRE30710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:360109:361361:1 gene:WBGene00059056 transcript:CRE30710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aos-1 description:CRE-AOS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTV5] MTIEQSENVEVSKQELQIYDRQIRLWGMEAQTKLRNSKVLVIGGSQLGAEVAKTLSLAGVDEMHLVDHRFVENSEIGANFLYDASIDNTRLTKWVAAKNFLTNLNRNVKLFIVEDDILSKSDDEIESYVRGFTIVIVLDETYTRTAKLNAICHKHQIRFVAGAIYGWVGYAFFDFDGHSFLTKVEDPSSQGMTTLDDDHKNNSTAVVTVEDEQFEQKTYSYPTFSAAFNSDFSSKKAMRKCKRVIPTSYFLVKTMLRASMDSSLTGDIDKDIETLTPIWKEEVLDGNHTIEMQPVQPDKFDHLYPPEFSPTSACIGGIIGQEAIKTITEGKLPIRNIFIYSALDSTGIACDFPLS >CRE30709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:356554:359648:1 gene:WBGene00059057 transcript:CRE30709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wdr-20 MMQQHMLGPSTSLGGNNNSALQSSVLSDGVLLSHSQPTREEIKKSFVAEDGVYRIVQSAELSRPRPLPHYQMAPGLGAAANQIAFAGSTVRVSFLNAATNSAGTPDTAAGSSSFYEKKELNGSPTRLEDNEDAADIICFNVGKELYVYAYRGTQTDTDLSRPIDKRVYKGTSPTYHIFNQETSGTGTCQLVIGFTLGQLQIIDPLDKTTPVPTSKLYNEDRYIDKTSVTCIRFLPGDSNIFLASHVSGNLYVYDERVSASSSSSNGSSQPPPWIVQNEGEKYTTYGWKSKNPRNPVTRWQIGEGSIHQFNFSGPDAKMMATVSHDGFLRVFMYETQELIAVMKSYFGGLLTLAWSPDAKLIATGGEDDLLTVYSVVQKKVVCRGQAHKSWISQVQFDPYVVRRSKKDSESNGIAPTTTLDDVSREVTMRSGPSSSGEPSYGIPKAVSTLSKSSLASSNTINGTQKGDEIVYRIGSVGHDTFLCLWDITNDMLNQSNHRRHRNSTIIAPPIGLDCPSNQMMGRLEDLPEISPGGAGGSSTASDSQHNTLTQNQSAPQEKPKKKRFNRKAFGLSKFTSGGSSNASSSGQRTNTLSGAGTSSDGTRRNTPGITSQISCCKETRLLGSTFCPGIRDVPIIEPLTCKKVSHDRLTVLEFRKDCVVTACQEGFICTWSRPSDKDDMKQEGVNSTAAATPESEQKPSVSATASSYGYGSEMSNGIPPSRSSSAYSNHELQQLRSPNATSPSYRVAAASTSAYHRPTYAWQNAN >CRE30972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:354908:355823:-1 gene:WBGene00059059 transcript:CRE30972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30972 MSTLYHVCDKIEQRIIWIDCEMTGLNVEKQTLCEIAVIVTDSELNTIATGPDIVIHQPKEVLDNMEDWPRKTFLENGLMDKIISSKYSMTEAENEVVEFLKLHALPGKSPIAGNSIYMDRLFIKKYMPKLDEFAHYRCIDVSTIKGLVQRWYPDFKHPRKACTHRAFDDIMESIAELKNYRESIFVKSNTPSF >CRE30970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:350300:351678:-1 gene:WBGene00059060 transcript:CRE30970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-26 description:CRE-SRSX-26 protein [Source:UniProtKB/TrEMBL;Acc:E3LTV1] MVDLYLLPLAIFFIFCSTIGVIGNTIMILCCAITKRLRSPCHFLISLTCLIDAFHISGQYPFIYQFFTGFTSSQSECYFMLILPIIGYTAGGPLILAMGIDRFIAVTFPTSYRYYQQSSVKYLALQLIFPTIYTSFFLVFGFLERDINEQITCANPLALKGASFQWYNYTCAFIYVAIFFVYFRVYFLLKHSQTILDSRFKSVFRSILVTVSFVLLGWATTTIANSSSYSVTDNLDTAHLIQTYAGITVNFAAASNVFVFYSINSEYREAIQKLFGRKSKKLGVAHTETSTTQDLGAHSSKRSKSLSVLSMPKFFSSSKLIPKSSRRMTLVI >CRE30969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:348853:350120:-1 gene:WBGene00059061 transcript:CRE30969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-27 description:CRE-SRSX-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LTV0] MFDYINPAILPLGFFFFFCSVIGVIGNTIMVFCTIRTKRFRSPCHLLICATCVADLLHVCGQFPFCVHLFGNRKLKFSFRVHVLVYSHILSSSMFLYPHYPDCWLHHGRLIAVKFPTKYRYYQEEPRNYVIAQLAFPVLYSIAFLVYGFLVRDTDAKNQMVCSNPLSLNGYSFQMFTYTSGIIYIAVFFIYLSVYVMLKSNKASARFKNVFRSILVTVGFVLLGWVTTTTANTLSYSITDDLLTIQLIQMYAGITVNFAAASNVFVFYAINSEYRAVIQSMFGIRKKGGMIFEPSSTQTVTKVVPRSVSQPSVMFQQSPAAAARRMTVV >CRE30968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:346494:348466:-1 gene:WBGene00059062 transcript:CRE30968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-28 description:CRE-SRSX-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LTU9] MFDYVPKEILPLGFFFFFCSVIGIAGNFVMLMCSFRTKRFRSPCYILITVTCLADAIHISGQFPFCVHLFGNLLDSFWVLTQLENIFGIHRISVSDINFFKNLIKSLTTKPTSVTVTSTQAQCFYMLTVPLIGLTSGGPLILSMGIDRLIAVKYPTRYRIIQDEPKLYIFVQLLFPMAYAVIFLIYGFLVRDTNVKNQIICANPLALNGTAFQMFTYSSGVIYVFVFIVYLTVYLLLKNNKASARFKSVFRSLAVTVGLVMFGWVSTTTANTLSYSISDTPYTAQLIQMYAGITVNAAAASNVFVFYSINSEYREVIKALFGMKSKANSPAFEASSTMVTVKSDANLQKRRSTILSSKSVVPIIN >CRE30967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:344879:346122:-1 gene:WBGene00059063 transcript:CRE30967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-29 description:CRE-SRSX-29 protein [Source:UniProtKB/TrEMBL;Acc:E3LTU8] MFAHIHPLILPLGFFFFINSFVGVIGNGVMLICFFRNKRLRSPCHILISLTCIADLLHLCAQFVYCVHLFGNMTSSQAQCFYMLIIPLSGVGASGPLILAMGVDRLIAVKLPTKYRQLQDEAKYYIFGQLVFPIVYTLVLLYYGFHYRIVDEELQVACAVPLALMGTPFQFFTYSSALIYFLVVVVYGIVYYLLKASSASKRFKSVFRSIMVTVGFVLFGWVTTTLTNTLSYEITSVAFTAQLMQMYAGITVNFAAASNVFIFYAINSEYREVIKSLFGCKSKAKSPAFEASSTMVTVSSVSQMQKKRKLTMASIN >CRE30966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:341167:342810:-1 gene:WBGene00059064 transcript:CRE30966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-30 description:CRE-SRSX-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LTU7] MFSHFFGSIPVHILSRDLCFFSFFRNRFSDFSFFQKMFEDVHPWMIPLTFFYLIIIPIGVFSNAVMIICFFVNPRLRSPFHILLTLTCLADGIHVCGQIVFVIQLLTNSFSYQSTCYLLNFFPVIGLTIAAPLLMEIGLDRLLAVSFPIKYRELQFQKVLYTSIHLIFPILYTAAILYLGFIERNNEWVSPKLIYALFEIVHFRNYRQVKCAIPTALAGVSFKVFTLSSHAIYVSIILAYAMTAVLLKFHDTSESSEFWVTVALKITGSRFKAVFKSIGVTVGIVLFGWAITTVSNTFGYFVTDDQEIFNLIQMYSGITVNIAISSNLFIFYTINPEYQLTVKMLMDGSCVKLPAFADSGSELQNLRKATKSQES >CRE30708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:340247:340597:1 gene:WBGene00059065 transcript:CRE30708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30708 MSLLFVYLGFNFMVTVFGFTFFWKLFPKNRMEATSRQNDRKCR >CRE30965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:334785:336027:-1 gene:WBGene00059066 transcript:CRE30965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30965 MLIMKRILKLVTPFELFAISLCSKKSKMICKSTRSQLQCYMGTEEFILKFSSDNEIRLKFDYCLKTEWVFKISTPRRRNVLQKFLSMFPKNEQKSSKRAFREVINNLSINTWVPLENKSISEVSIQLFTSQEGQTATMYAFILHLSDVFNVPLLTIELHFQEFTPVENEDIIDYYCRDRGTKPAVESLRLVGKRLNTPEDDEVVDSILCRQQARYKLQLLLEPTPEFKIKPIYLQHHPIYFEAHHSHWISFEQILEFQSRIIILLNSIINRTHLITLIIKWNDGWTPAWRMITTIFEEDVDIDECVRSLILIGRINNLQVHREERIDTVDEQMLRYVHYCLRRPDGTIGEILVQNNKIGGFFVSCEDERNSPLYPKFSLNNDV >CRE30707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:327953:330871:1 gene:WBGene00059067 transcript:CRE30707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30707 MDYPYPEKKVEVRKQTAQRPFLRARDTPPLAIALLYGFQQVMVCVSALLTVPIIMADSLCPGEDIAKLRQVLISSTFVSSGISTIIQTLFGMRLALLQGTAFAYVPSVQGFMSLPENLCNATEHDHVDPSVYYAKLCILQGCLMASSLIPMFIGCTGLVGMLTKFIGPLTVSPLMLLLAFSQTDLMVTHISKHWVAIVQAVTLFATILYLAEVKVPVPGIKDRKFHWYKVNIFGQYPYLIAICTSWLFCIVLTVFDLTPPGSAARVDKNISLQVIESASWLEVPYPGKFGAPQFNLGLFLLFCLSAMTSVFESVGDYHAAARVSEERPPPSHAINRGILAEGIGSLISGLLGPGVGMTTHTENIGVIGVTRVASRWTMVMAGLFLIVLGLLTKIGALLSTIPDPLVGGVLASSMAMVVGVAISNLQTVDMALSRNMGVFGFSMMFGMIVPKYFTKFPVATGWSWANDILNVLLQMPMFVGALCACILDNTIGGATREQRGLRPRGEIYEGGIDECTYSYPKWVMNILNRIPGVQYIPCMPKEKKGTNRVSGEEIRL >CRE30706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:320694:324936:1 gene:WBGene00059068 transcript:CRE30706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-3 description:CRE-EGL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LTU2] MKNTHVDLICVFLSIIIGIGEAVDVYTNHFHVHLKEGGGLEDAHRIAKRHGFINRGQVAGSDNEFHFVQPALVHARTRRSTGHHAKLHNDDEVLHVEQLKGYTRTKRGYRPLEQRLESQIDFTSVMSPSDPLYGYQWYLKNTGQAGGKARLDLNVERAWAMGFTGKNITTAIMDDGVDYMHPDIKNNFNAEASYDFSSNDPFPYPRYTDDWFNSHGTRCAGEIVAARDNGVCGVGVAYDGKVAGIRMLDQPYMTDLIEANSMGHEPNKIHIYSASWGPTDDGKTVDGPRNATMRAIVKGVNEGRNGLGSIFVWASGDGGEDDDCNCDGYAASMWTISINSAINNGENAHYDESCSSTLASTFSNGGRNPETGVATTDLYGRCTRSHSGTSAAAPEAAGVFALALEANPSLTWRDLQHLTVLTSSRNSLFDGRCRELPSLGIKDNHRDSHGNCSHFEWQMNGVGLEYNHLFGFGVLDAAEMVMLAMAWKTAPPRYHCTAGLIDTPHEIPADGNLILEIETDGCVGTQYEVRYLEHVQAVVSFNSTRRGDTTLYLISPMGTRTMILSRRPKDDDSKDGFTNWPFMTTHTWGENPTGKWTLVARFQGPGDHAGTLKKFELMLHGTREAPYNLIEPIVGQTNKKLDTVQKAHKRSH >CRE30963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:312765:315823:-1 gene:WBGene00059069 transcript:CRE30963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cul-5 description:CRE-CUL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LTU1] MLFDDEWVKAEPIVNALLHQKSVTPAEWQDLFFYVYKITSWVEDGPQRLRDILTREINGYVQEASEKIRKLKSDESLLNGYIKEWNRFYRQSSILPLPFKKLDDNRVKSSEQHFEPIRVVMLDKWNEVIFSKISDSLLIEALRLVKEERDGNIVNADNVIGIRESFVGLNNQFGEELLVYQKTFEKQFIEQTSEYYSKICGKLLSELGVLEYMVYADKKLDEEQQRAQKYLEMSSPSSIRHMEAAVIALVENFEDTILAECSRLIAERDVERLQRLYRLIRRTRTGIDTVLKCIDAHIRTEGLNDMKNNADSLATDPEKYVQQLLIMFDKFSALVREGFCDDARLLTARDKAFRAVVNDSSIFKTEMMNKKGRSFAVESKCAELLANYCDLLLRKTQLSKKLTSEEIDEKLNQVLLVLKYVENKDVFMRFHRAHLSRRLILEMSADQEKEEMMVTKLRECGMPSDAVNKLSRMLQDIELNKDMNASFKKALTGTNNNKSVADSINLKVLNGGAWGRGGSEKIRFSLPRELEDFVPEMEAFYKKQHNGRKLCWMHHWSSGTMVFGTGSGGRFDLEITTFQMAVLFCFNDRANDKISLETLRLATELPDAELMRTLLSLVAYPKMRSQILLCDVPSQNITFRDFTDSTNFYINHNFHLIKNGKPQQRGKVNLIGRLQLSLEANAEKEHESIVALREYRVQEGIVKILKTRKTTTLAQLTMELVEILKPLFIPNRKIIKEQIDWLIENQYMERRPDDINTFVYLA >CRE30705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:310904:312169:1 gene:WBGene00059070 transcript:CRE30705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30705 MTSFEPAQVDVGFMQTGLLTMPNLVERRILDNVGFLALIQLRKVCRYLRDRIDEMNPDYGVESIYLAYYRSSIRTYIDSTDGSKIKLILAKCGPDTLIQWDKRDARKTMLIENEEIEASFWKIFEPIFVNQKTPLTSLTLEESYFNVFQDTQFDEFFNNTTGDTICSECGHELEKDGHGVFHLRKLNEDTRHTRIEEHLERLRCMMGSRKKLLEVDNLKLEEINQEQLSIMSTYINMKKVKTIDIQDFQDEERNIRFDLEGLDSIESLGLFGPYLTAPIHPFKSIRSIHCAVDTIDPNLIMELKTVVLIGKNGFFIYTFQAISTSPSLQDYGLSYGTINAEEEMYRRLGPDTTGEWFYLLKDTNMILCISNNDDCFIRFQKIEKSELPVNAIIQ >CRE30962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:308955:309774:-1 gene:WBGene00059071 transcript:CRE30962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30962 MRSSLNIVLFIVVVEAQMEQLKLDLNPIHQLCQPCIFAATAVKNTNKSPTVKEAEKKTCNTFLKNDLVCNGAVEVAGIYLNWTPAEKICENFRMCSTLFDGKKKFRAPVREGKRPDELTLRVLKVFENDEFPMQRFSRQADPVNYLNFEQIQSFEKLLREHNTEISDALINFLTKFQAALNLYLNENRK >CRE30704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:307199:308864:1 gene:WBGene00059072 transcript:CRE30704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30704 MAVSRKNSSTIYSEHPTSKNSSHYYQKKKEKKDPRNAVIINFSQGEKVTVEDVKRELAKYGLVRSVQLCTMDSCQAFVTFQKSSEFSARKIVSKLLKLTSGILFVKQFIWTICRPEEWKNCMEHPAESTNLAGKGVTVDELNSIFTNFVQHNSSMSQTSIFSDSTQSFSSFSSLSLSRSYSSSSSPNADSCDSSVTITPFSFYPAQSTSGFINLPPPYHTLPDTAFFRRTRIIPPPSIQPISITQTIMDCYIKSIQKGDSQFHRRRPLSIGSRMDLECNWAISF >CRE30961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:293606:294855:-1 gene:WBGene00059073 transcript:CRE30961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30961 MSSFYQLIVVFTILALCKSYVVVVDPIERIDEEAAASDPQNPAVAPSDPILLRKRKSILVGLSEHTEEVCPTKIRQNHRPQYGHIANGSRVEIQQDEESFLEATFVECLGENRPPCHGVDHDLFISECVTVYEHRPANVRVVKSGGPFFAASIRVPILCECRLRRQFKAFDKKK >CRE30960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:290840:291295:-1 gene:WBGene00059074 transcript:CRE30960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30960 MRISILLLVFLSVALACDIIVHLKSDTDKKFSGQIAASNGKKSERWSYLKKLQKNTFQQKADECGLKDWEITTWDEYGKPVSTVKVTLDGIGRVTYKVGDDLKPVQKDRQGAICKGQCAPL >CRE30702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:289928:290849:1 gene:WBGene00059075 transcript:CRE30702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chpf-1 MGNSSSLMLRDEEIEEIMNETEFNRNQIVRLYSRFLSLDKKGQGYLSRDDFLNVPELAVNPLGDRIVDAFFTLASSNGDNEEQQLNFRQFVRILAHFQPISRVKKNALNSRKDKLLFAFKMYDLNKNDYITREEFKVILNSMVGANITSDQLDKIADRTIEEADADRDGKISFEEFCRAMEKTDIEEKMSIRFLN >CRE30701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:288454:289588:1 gene:WBGene00059076 transcript:CRE30701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30701 MSAATKKRYITNKVGSEFYELAEEDIIAQVRQSRGNNLHEVYDQNGDSYVVSMPTKFRKAVWLRRDQFVVVRPIAEGDKVKGEIEYILDQENVLYIRELGKWPSCFEEQALKMTRESKRGNTNDQMIDDDMLPPSESEEEDDESEEEEGTYDEDEDVDDEDEEEFDTYNPNRMQAPSK >CRE30699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:286924:287678:1 gene:WBGene00059077 transcript:CRE30699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpc-25 MFILSLLRDTVSIKPHQLSSDQQMVIKKRLNERLANKVVPDLGLCICVYDISEIGDSFILPGEGDCRARVTVFRKMYCFNSKVSDFQFRMIVFRPFVDEVIEAKVIGSSRQGLTLSIEFFEDIFVPAEKLPEPHVFEEDGQVWYWEYAQEDGDPPAKLYMDPGKIVRYRTTEIIFKDLKPDLSMEEQKTEKSMEIKGTMASTGLGCIGWWTSEEEDEEAVEDEQDEQEGVAEAV >CRE30698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:283319:286737:1 gene:WBGene00059078 transcript:CRE30698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tftc-3 description:CRE-TAG-315 protein [Source:UniProtKB/TrEMBL;Acc:E3LTT0] MDQPSTSNSRPEEEEYGNILEHDDDFPEEEEYDHSQDLDDGTIAGVDIKPHLATLGRVDEGGNDHAAPALEDEDLVNAINMFMRNEYDYDQFMRVTGGQTLREELGTKRKRPAPDDMDEEGEEIDTAEDDEDDEDEDRELMGEVEDMPHPNYMDEPPSGVDRYNALPEEARYIMTQLMSGERRPAEETSSPLNLAEALKNQFDRNSEERAAPLKQVKLEPHGGEASSSTAPAESHRDRKKGKRLDSLLGMANVYAAQGNITGAMELLREVIRTDHRHAVAYQQIATVYEQEGDREKALQFGLLASHLNPKTPADDWVHWGDEANKLGRIEEAAVCYDRAIHLNNENWQYYEKRIEMLDQLNLRPLAMKTRLQAAQLINNEILQVDFQWFRELIRKVAQYYITMNDEDKAIQSLEAFVLRSREFGENAEAQHDTLVGMYIARKKFQQAGKSILSLCEGVRALFMPSKEPACLVSHQFELHNINGLFQITYTNGTYECIPFPPIGNVQYEIVEQAFPVRMHCHLVFCLFSLNEIEQSSDLEAKLLNRTFEMEEDEACLLDVPRLYKNQGNPKFAMKFLEKLSDNPSYKYEESGAYWYLKGTLEMGQKNDAAAMEAFNKVLLLQPDHVDNRIHLSTLQQKAGLFDAALETLENYDLEVGSALPDERLLARRSDVLFESGDSDQFVRITRMMLAPHFYRVYVAPEVLKKRRVAKGKLTTPALSNTLKTCAFNVIKHTNWERLVKRLGAMVEANGVYTTTLTGTDIHDYCLKLIECLNKMEKHQDALIVCCYAFLHPTLFKADKTTTFQNLVYYCAIKARCWNLAFEYVRFYYTFALMVPTEPENAKHADMLQKRLFNAMNYVLVNSQNVCYHRFIMRALVKNKDNHALQAISGNNSLITGTYRHAMGEYLRVWVNNRRNPLICLLLALTFTHMSCKKDLSSRHLIGIRGIAFMKKYSRVRTCNQEVYYNIGRMFHQMSILPLAKHFYDKVLHAAPPNVFAFDDDGNEIIVPAEKYDLRKMAAHNLALIYRTSGNHYAARAIYEKYLVV >CRE30697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:281425:283027:1 gene:WBGene00059079 transcript:CRE30697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-143 description:CRE-TAG-143 protein [Source:UniProtKB/TrEMBL;Acc:E3LTS9] MFHSLRFFSDMTPQEKSIEKEIEGEPEDGEISDDDDDAPMELPTNQPNLLINYPSSDDEEKSPEDVINAYKRKIEEEKTKTEPTEPEVVDPKLCKVCLKVEFKYRCPRCDLRTCSLDCSKRHKAENDCDGVRQPFVKVDKLSQYDSQKSIEDQKFMHVMKEKVGLGADQTTASGNEVNQSDGEEKPFDPNALRYNTNSATERYLLNAARFRHVWLGFTNEAGNESRHEQHSDTLFWNLKLTFKKQTEDGGVEVFEKTVANIPETIRIATVLKQFFKPRQYGCIVSESDLDVEKLKPFIERGIEDVNVYMEVHGNPDRFYGVLPDNTILEMTRNRVVADYPKFVITLKDEFIEGMQLLAPEELEQIQTKYGGVGNERFGGGGGGRGRGGRGFHRGGGGRGGGGGPNHHNNFRKRQSNGGSNDGGFKRGRGGNFNGGNRRGGYQNRNNQHNDSFDPFEPFSGPNRLPMEYN >CRE30959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:278948:280160:-1 gene:WBGene00059080 transcript:CRE30959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30959 MRFVFTKCQIGNKLFLRLSALPNLFQIEELQSFPCVSSLIWLHFLGLFLPIVNIILVGFFSLIFTNITSHTIVYFPTLLSLVYHDSMADWMVPLILIYSITLSYELPEKLKFGSLKISRDIVIWITMLIYGFLFNSLSPWDIVTIRRIHHENGHISTSFNFIDAFVVALSLFFICLHGYRMSFRIAFRDNRFHITLLFVMIKLCFLLTSACFLPAIPEHSLTLESIDPSSSPTGHIFSLDNLKLYESVIQSAVPLHYLAWKHVFFKNI >CRE30958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:277220:278416:-1 gene:WBGene00059081 transcript:CRE30958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30958 MDIVPSIQPFYILKLPFIPLNNIILNWYPSEILDFSFASKKCRFILKSMKFVDFDMGLSLRRDRYLIKFHHQSVQYFHFHIDNLKKRNKYMNGKVGLNDYLNFRSEICLKWAKVWMDYICDLFRAKLSFLYLNPEGTPLEMKTVAEWMNTLHSKFKLCDISGDHAISYYINAFFETANFPIRHLNFELRQRYPLWTISCFTSNSEEVMITTKNSKNPVNWINVDQLLTSNCVGIMIEACTLNETDLNRIIRGWIDGNIPRMEFFHAAVKPLNFELLLNGIEFEKKDQTLIRFFKTSLGTKEIEFRFEGGYDIRRNDGTVATLQQVNQFAGPRLMLRFMFCVWPKIERGLRYSTNFFLHESTENRRKN >CRE30695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:270425:271282:1 gene:WBGene00059082 transcript:CRE30695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30695 MASPSPHRSSILNLFKAGVAPVDIIKRLGVTSGTVYDLISRFKKLGTFLERRGRGRKATVVTPDRIKAVKERIRSIAHRSIRKMAKGMKISRRLLGRIIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLNCINPNCSNFFSTVIPTRQNPASGTQHLPAEHQARNTAASGLHHLHAFCFLKRVGSTCAATRMRQHIRNTAAADPLLFSST >CRE05389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:40361:40726:1 gene:WBGene00059083 transcript:CRE05389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05389 MSYSSYFEALEECDLKSLEHRRLCIDLIFTYKLMVTKEIIIDDPIFEFLDHSRLRRHRYYLKSLTTNSNKLSSQILSNRVLRCSNSLSELVFPVKPSTAVFKSRIYKYNLNHFLSLNPTNY >CRE30694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:268250:269034:1 gene:WBGene00059084 transcript:CRE30694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30694 MTSLFFAPIIPSIITIMTFLYSLSFVFQRTETLETAFQSKTGSRTIWIISSIYSFWSHPFNSFNKLLLYFDTNHYLYPLILINLMDCFLIISSTVFIIIKLMDVYKHPKHLSNYELASLTHVTVVLFFQHMLFIFILLMIFFFQSSSLDNLKNVLVHKRGTWSLFCIFVPFSYPYSMLFSLLFSGPNKEMRRVRSVTFNARRMRRVCFRIEVI >CRE30693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:267367:267647:1 gene:WBGene00059085 transcript:CRE30693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30693 MNSKFLLCVLAVIMCSVVQEASAQYYGYASSYYPSAYYGGYGNAYGAYGAYGYGLGSAYAGYYGKREAGFGPSQNNQ >CRE30956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:266576:266858:-1 gene:WBGene00059086 transcript:CRE30956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30956 MNSKFLLCVLAVIMCSVVQEASAQYYGYASSYYPSAYYGGYGNAYGAYGAYGYGLGSAYAGYYGKREAGFGPSQNNH >CRE30692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:263406:265395:1 gene:WBGene00059087 transcript:CRE30692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30692 MLFDQLWDFLISHPISIIITLLIIYLTHITLKPLNRVRRLGDVGLFFGKPELRGFYRERQLERLKLLRRIGDLPPVFPNGWYCVCESERLAKNQIMEITVLGQFLSLIRSESGNVYITDSYCPHIGANFNIGGRVSNTTLHLVFTPTPSLQVIRDNCIQCPFHGWIFSAETGKCVEIPYDDGRIPEQARVTTWPCIERNNSIYLWYHCDGLDPEWEIPEISEITQEIWNFGGRTEHELMCHIQEIPENGADIAHLNYLHKSAPPITKGSDIIETDLSNPQPVVQHVWDGKWEVKSEENKHCGVMHLNQYMTIWGYRVPLTDSKLIAEQHGPGIVHMIFDFGIWGKGVVFQTVTPEEPLLQRVRFRMFSNIPWFFVKFFMTVEAMQFERDVFIWSNKKYIKSPLLVKNDGPIQKHRRWFSQFYTENSPRMLKDGSLSNQAKSIHDW >CRE30691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:261351:261887:1 gene:WBGene00059088 transcript:CRE30691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-19 description:CRE-TTR-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LTR9] MAMQLSFLHILCFCAVLSTSYALLGMGRKQSVAVTGRLTCNGLPAKDVKIKLYEKEKIKDVKMDETKTDVNGEFKVSGYKTEITNIDPQVNIYHKCNYNGLCYKKVGIKIPSNFISIGILPKKTFDIGEINLANQFKGTTTDCIN >CRE30690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:260395:260677:1 gene:WBGene00059089 transcript:CRE30690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30690 MNSKFLLCVLAVIMCSVVQEASAQYYGYASSYYPSAYYGGYGNAYGAYGAYGYGLGSAYAGYYGKREAGFGPSQNSQ >CRE30955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:258368:259623:-1 gene:WBGene00059090 transcript:CRE30955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30955 MSDILKTNPTALHTCIYYEFLREKDVEEAYKNFCGTVGDDVIEYVDFEYWFYQFYHGNLDFDYDRSTDPKPLILTDLPTEMLTEIVGNLDILDRFNVQKVSRKFEPVVNGFKYEFEKVSIYNAKEGTQFGLKKLFVYYKKGKKYRLVRHYCDKADDNYLNMELSALATMLTNSKSPINSFCIKNRRCEAIDIMPKLIESLSQEVCPLFFAKYVRLELQHKDYVIPIISLFKPGVLEEIAFVDTKLDDDTFAKLRLSHLNRFDVFLDRISAEEIVILRDILSQFVNLKRAEINLANPMNLTEIGIALGIEYDNSGQITYSHRIPNAYKLLILKFSYAFLVTIESI >CRE30689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:257830:258112:1 gene:WBGene00059091 transcript:CRE30689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30689 MNSKFLLCVLAVIMCSVVQEASAQYYGYASSYYPSAYYGGYGNAYGAYGAYGYGLGSAYAGYYGKREAGFGPSQNSQ >CRE30954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:256729:257218:-1 gene:WBGene00059092 transcript:CRE30954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30954 MIALTKSLALCTVFIFLSISILLFIAVFYICKYHYERIQLAQCAIYMKPEEVDKNCSRRGEKEIEEDCELELPYYSVLNIPKILLTPEELPPEYHELESARASPLPSYDDVMYCDQLSRSFQNLLSPR >CRE30953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:256099:256387:-1 gene:WBGene00059093 transcript:CRE30953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30953 MNAKFLLCVLAVIMCSVVQEASAQYYGYASSYYPSAYYGGYGNAYGAYGAYGYGLGSAYAGYYGKREAGFGPSQNNQ >CRE30688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:253303:255569:1 gene:WBGene00059094 transcript:CRE30688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-182 description:CRE-STR-182 protein [Source:UniProtKB/TrEMBL;Acc:E3LTR3] MIELLKDIIQCLALSISIVLNSVLTYLIMTKSNSKMGSYKYIMMYLSLSALCYSILGLIVRPDLLSYSSCFAVYVKNSSSFFSPDVMIYLMSFICAFYFFFASLIAVHFVYRYNALKNGAKWAYFQGKFLFCWFLISPLLYVNWTLNCLLVFQPNKKSTEFLRNRMAADFGLNVDDVTYIIADFYQVDDSGKFAPSPWAFFSGFNFLVMTVVSLVVIFVFGIKCYYEMTHVIVPNRNHSITQKLLQTQLFRALVFQTLIPLIIMYLPLFVLFIFPMFNINVGFAHYVSISISLYPALDALPNLLFIRDYRDCLYNFMKKPETPKSFELYSVRRGSTTTSSARKSTVNLGRVDLG >CRE30687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:249809:251776:1 gene:WBGene00059095 transcript:CRE30687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30687 MNKLAECHGKESFFENRFIFQVTSHIISSIFLPVNCWGIYCIAKKTPQSMKPVKMYLLNLHIWSTLFDLMCGSLITPFFFFPSLSGSPSGFLTDIGIPTLVQICLTFCIFGGLCSAIIFVFENRQYVLCENTNKFRLENNSTRVVYYSFVLAYCCLSIYPFSWNIPEQKTAKLRILGIIPCPHPEFFSDNTYVFKGPEDTAAFGYFGLCFTIFLASQISFFGGNCFYMLYAFDHVTLSAYSKRLQRKFFITICIQIFIPMWVVVLPLSYFLGSLFTGYYSQPLNNLTSIFFTSHGFISTICLLFMNPPYREATFDLFILLISMCSPSFKNRLIESNRPGKTSFVVSTVSR >CRE30686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:246252:249041:1 gene:WBGene00059096 transcript:CRE30686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30686 MEGFNPQQPTQPASLKRDRPEDDEYGIPTKRVAEDADLTLGNPYMDDNETVNEKYPIPESAVGIVIGRGGSEIQGIQAKAGCRVQMSSDNDNSGVRQVTLEGSRANVEAAKVLINEVVARSQTPRPQYGFPRAQNTIDIPIPPNRCGLIIGKAGETIRQLQEKSGCKMILVQENQSISDQAKPLRITGDPQKIEIAKQLVADILNSGGDGNGGSGLQMHHQGGGGGGGASARGEVVVPRSSVGIIIGKQGDTIKRLAMETGTKIQFKPDDDPTTEERTAIVMGTREQIYRATERITELVRKSTQQQGGGNMGGGVANDASTFFMSVPAAKCGLVIGKGGETIKQINAESGAHCELSRDANASPDEKVFVIKGGRRQIEHAKHLIRIKVGDIPPNTPFRDDSAMSMQPQFNAPAQAAYGGQQQWNPAAAQIPAATQNPYQVQGGGGWAQNQVFAQQQVAAAAVAAAPYASAGIIPAQAQQVAYQQPQVVQQQPQAAAATVTPSVDPVTGEQDYSAQWMEYYKSIGAHDKAEAVEAQMKKKKAEAAARVAGSVGMVQQVPMGMAMQQMAGQARAPAQAYPAYSAAGGVAYQPQQYQQYQ >CRE30685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:245513:245795:1 gene:WBGene00059098 transcript:CRE30685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30685 MNSKFLLCVLAVIMCSVVQEASAQYYGYASSYYPSAYYGGYGNAYGAYGAYGYGLGSAYAGYYGKREAGFGPSQNNQ >CRE30952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:244740:245020:-1 gene:WBGene00059099 transcript:CRE30952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30952 MNSKFLLCVLAVIMCSVVQEASAQYYGYASSYYPSAYYGGYGNAYGAYGAYGYGLGSAYAGYYGKREAGFGPSQNNQ >CRE30951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:243040:244307:-1 gene:WBGene00059100 transcript:CRE30951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30951 MNLSSLPGSIHDKLEYMNFKFQFNIVSLILLISYLTVLFTIFIVCKMLKHFQKPVSCHVQKSVFYSFIWMQLSNLLFYIFDNLVFRIPPSGILTSWLSSTSPAFFLKFSYYFLLITNYFSTGFSLLFCLIRFVVVYFPESYRDKIRSLLKLYIPMSSILPFLLTLFLLPATVYCKQLSTPYSFGEIYLAYIGAWDDIYYDPFNVLVNFSCTLTIFMINILLLCRIRQMMKKRVNHSSRIIKAEVSLTLMTITMNIPYVANAGITVLLMKSRRPFLKLLVFQIISFLDFSISRYTLLPRQIVSDLGLCAVPWIFYLTHPMFRKKAAAVTVSHMSSRSKSKKKNWRI >CRE30950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:241957:242875:-1 gene:WBGene00059101 transcript:CRE30950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-148 description:CRE-COL-148 protein [Source:UniProtKB/TrEMBL;Acc:E3LTQ7] MEKLVVGISTSISILAIISFLFVVPSLYKTVQEAQAEVTFTVSNFREETDLAWIELMDVQISILPPSLPRVNPFNSVFREKRQSFSSLPSWCQCEPVKPICPPGPPGPPGQPGQRGPPGNPGIRGQDSTVVYAPITCPQVSQDCVKCPPGSIGPNGPAGPAGPVGPAGQPGYPGARGNDGFPGAPGESGDNGQPGKPGQDGQPGRNGADGQRGYGAPGAPGAPGKPGPQGAPGMDGYPGQNGWQGQQGPAGQDGFPGTFGEPGTPGKQGNSGIPGPDASYCPCPVRSATFF >CRE30683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:235658:236860:1 gene:WBGene00059102 transcript:CRE30683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30683 MDVNQHFPPISRSEKKSYLDYYPAPLGHFKTSYIVDNIHPVLENRNYKINTKYFNKMNIGLSHGMTMVNARLVLFAKCRVNDPNVGQWRIKANVKFTLNKFNERIDSIIYDCGDVWFDNNIRSIQRTTLLTANDLLNQDFGFVRGTQMIFGTDIRILEVEGFHQPVVMNHRVPPENPDNRVVFAYTNENLYCSKEILDVLCEKLGRRKVSSIGTFNFPLASRGPQEEFLDCLYGSPIPIYGRGGLSVLLHRADHFLVRALIQRVATAVIHDAEGNGLKWEFLKLAVQRNMRRVVNHWLIGKQSIKKIEIQRLCAYRMSGEIMKAIVKRVLEVGWQSYIDSDNPKPKKSRYL >CRE30949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:226856:230362:-1 gene:WBGene00059103 transcript:CRE30949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-akt-1 description:CRE-AKT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTQ4] MSMTSLSTKSRRPEDIVIEGWLHKKGEHIRNWRPRYFMLFHDGALLGFRTKPKEGQPYPEPLNDFMIKDAATMTFEKPRPNMFMVRCLQWTTVIERTFYAENAEARARWIEAIEAISRKYKNQTVPHTHEELMDTNPPPRIDDEGEFAGAAHAIMGQPSSKPGDLASGIDFKASMISIADTSEAAKRDRITMDDFDFLKVLGKGTFGKVILCKEKRSHQLFAIKILKKEVIIAREEVAHTLTENRVLQRCKHPFLTELRWSFQAQWHLCFVMEFANGGELFTHLRKCGTFTEARARFYGAEIVLALGYLHRCNIVYRDMKELKYSFQTNDRLCFVMEFAIGGDLYYHLNREVQMNKEGFSEPRARFYGAEIVLALGYLHANNIVYRDLKLENLLLDKEGHIKIADFGLCKEEISFGDKTSTFCGTPEYLAPEVLEDNDYGRCVDWWGVGVVMYEMMCGRLPFYSKDHNKLFELIMAGDLKFPNKLSHEAKALLQGLLVKDPALRTGGGPEDALEICRAEFFRSVDWEAMYRKEIEPPYKPNVVSETDTSYFDNEFTSQPVQLTPPHRSGTLPTVDEQDEMQSNFTQFSFHNIMGSINRIHEASEEAEDYEMT >CRE30682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:223316:225482:1 gene:WBGene00059105 transcript:CRE30682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rop-1 description:CRE-ROP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTQ3] MAEELNEFQEAANFDETKLRELSNVCARLRRMQLLDADMEVVVVEGELQRIPRQMEQVKEGQVVNNAGGYVFPVSDETQVRRFLILGSDKGTYHQSSEKITMDNAQRIIKIIEEGNGHMVLKELALINADNRNPKMSAMIFTLALCARIATHDTTKKNECPMLHTYSEYIHQLHSAAFRLLPDVCRTPTHLFEFVGYCQDIAESTKAGGAKSSTGWGRSMRLAISKWYKTKTAEKLAMLLTKYPQREGWSHRDLFRLAHPNLMEDGPEHTRRADRLEREQLFRFAVKGDLVKRKRKMNEDEIAEVESKWDRKALKVDYTEEQLIKEEQSRALDLVEAYLNLKQEQSEEVIVAAIKKHGLVREHLPTSSLNSKLVWETLFDVPMPMTAMIRNLAKMTVVGALDDKRVDSIIKRLTDQEELRRSRIHPLNLLTARAVYAQGRGDKGKLAWEPNQKICDALEAGFYKAFVNAPPTGKRYCLALDVSGSMCSPVSSSPLSCREAATGMSLINLHNEAEVKCVAFCDKLTELPFTKDWKIGQVNDYIDKLSFGNTDCGLPMTWATENNLKFDVFIIYTDNDTWAGNVHPFEAIKRYREASGIHDAKVIVMAMHAYNYSIADPSDAGMLDISGFDSAVPQIVHEFVTGKI >CRE30948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:219382:221902:-1 gene:WBGene00059106 transcript:CRE30948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-232 description:CRE-NHR-232 protein [Source:UniProtKB/TrEMBL;Acc:E3LTQ2] MWQSSSSNQLEDSERAQDTLSTFSLFDPLFSRFDMLVQEPSSSNLTCKVCSEPAHGVHFGVASCRACAAFFRRTTVLGKKYTCRQKNENCATNNEERFNCRFCRYKKCLQCGMTPENVKYNYDSAVHHTKKPSPLMKNEYQEHPSDPSSPVDLVNNMDHQLCIRQTRDVVKDVTYEVQTDEKGEPIVRLDITGLLNNITSVLDDFVPSDDIKSLNTLRRMNHALIEYRQIHHSNVIKFVQSITMREILMTGNEQIKSITKFLMHSVPFRSLRNEDQMQMLKSIWVQWKRLERYVSTAQIFGKRMYEDQIVTLVPGLAAYLNKIHVDCAAFIYKKAEGMKFFMENFNSRMMEEIGKPLVDLELTSMETAFMLAQMSWQVAGKELQGDVLKASESEQEALANELHLYYIEELRLPNYASRLIKINNIINAAQKIHFERQNFMDIVRIFDFFRVHVSDPEMYKAYF >CRE30681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:217917:219227:1 gene:WBGene00059107 transcript:CRE30681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-18 description:CRE-SRI-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LTQ1] MRRLIYGNFSTKNQYLNLQMLNSSRESVELSIRIFMGTSGTISLFVNCFGIYLICYHHGMMDDYRFYLLYFQIVLLVFDIYFSILMVPIPLFPIIGGYSVGFLTKFFDIPTHFQMIFTLWCVGNTNTCIFISLLKRHQVVAALEQKNMIPSRMLRISKYSSNLLPIIGTIAYAFSEPDDVQKKIIIDEKYSSISTWLSEIPGIAIYDIDISRNPAFFFLTFGIMFGTLMLSACYTILYGQLYVMLKSIKSKISNKTFKKHSVAVVSTVMQNLVFVLFFLFPIFLLAIVIYSGKDASAACIIMVGVISFHSSVNTLVMCFTFPAFRHAILSVPFRLCNNQSRSVSWKCALEN >CRE30680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:215097:217036:1 gene:WBGene00059108 transcript:CRE30680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ric-4 description:Synaptosomal-associated protein [Source:UniProtKB/TrEMBL;Acc:E3LTQ0] MSARRGAPGGQRHPRPYAVEPTVDINGLVLPADMSDELKGLNVGIDEKTIESLESTRRMLALCEESKEAGIKTLVMLDDQGEQLERCEGALDTINTDMKEAEDHLKGMEKCCGLCVLPWNKTDDFEKNSEYAKAWKKDDDGGVISDQPRITVGDPTMGPQGGYITKITNDAREDEMDENIQQVSTMVGNLRNMAIDMSTEVSNQNRQLDRIHDKAQSNEVRVESANKRAKNLITK >CRE30946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:193218:195078:-1 gene:WBGene00059110 transcript:CRE30946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30946 MFSIFRIFQTINQDRTLYGIPSIGENSFVSTSQMNRLVNLSEDDNGSDNQIDLENETEQKDEDKPPPPKRKRLEDDGAPYKIKQTKITISQSDGQMNKAILIEGNHRLATILNEEYASSGYEDFRVPVTMYEIDDGDFNKAYTNCLDLKVCETSQERSRVNLEGIPGVVLHIAILKDSVVFVLSYLQKIVSNKEIESMRSHPHKRSEFWRTLDEKGLVVPAGNSNLPMTPLYFLLMRPSTQKISLKKLEDDDFRTTHWLVVTACNASLANDEAAATMISKHPPMKAVKIEECLQKLTNKANVEMKAVDDAKTNVTEFVVHALVEDIPDSARCFFFQRLPKESIQKIIDKKLHKIVIARPTSETLGEMAIWWSDQRELEILHESAYDGDGTQFPCAILGPGLIAIKTTTNAPTSSTNVPTSSTDVPTASKNVPISSTKLLNLFQNRFSGEKDSKIHTVIGYYEVSK >CRE30945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:188930:189888:-1 gene:WBGene00059111 transcript:CRE30945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30945 MRLITLLLTLKLSQFHLGGVVSVAARGCAMNQREIIERRIARAGGGLFGDAAGYETRGISKFGLRYYYGERGWKVGSSSSDSFNTDSFRSTVFTPSISQSHSSNPSNFWVISSFNTPVRFDNREYYWNVTQARESITSKVFCEYQIGEDDGQLQTTTFLDGTLVKSIYFGCAGTAVDCCGMYCCHVFEDYVGLAFLCIIAGGLIFTIILCCCITGKELNRLNN >CRE30944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:187211:188117:-1 gene:WBGene00059112 transcript:CRE30944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30944 MRLTIVLLTLQWCPLISGAAFVFHAGNAGRSIDLERMIKLRQEQEALRMARAGGGLFGGAEGYSTRGISTFYFRNKYGERGTKAGSFSSVNFGTRSFRAVVFNQSSSQSYSLKYKNSWVIPSFNRPVRFDNREYFWNLTQARENITSKVFCEYQIGEDDWQLQSTTFLDGEPVESIYFGCSGSSVDCCGMYCCYTIGDYLVLVVLLVHGLILVGVLLFFVMACCAIVRHRLDSTI >CRE30943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:184937:185794:-1 gene:WBGene00059113 transcript:CRE30943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30943 MRHLLIISILQWSPLLSGAAVFIGGRGVNSKEQAALRIARAGGGVFGGAAGYDTRGISTFSFRHTYGERGIKVGSSRRSSSFGTEAFLYNVYNTSFNTPVRFDNREYFWNVTQARKSINWKVFCEYQVGKDDGELQTTAFLDGTLVKSIYFGCAGTSVDCCGMYCCHVMEDYLMLILFCVMIGGGLWMLYATLKIHVNKSRVGN >CRE30942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:180111:181358:-1 gene:WBGene00059114 transcript:CRE30942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30942 MKLFLLVLTLICSDVNARGGGGRGGGGGGRGGGGARAGVGRGGGMGGSGIRGSSSFSGGVRSGAGGWKTGSSSSPNTYGSSAFRQTVFSTQYSQSRAPFTSSLTNALIISSLARPITYDNRDYYWSSSHAREKVAPEVFCEYQIGPDDGELQNVTFPNGTSAKSLFFGCTGSMVDCCGMYCCHNVGEYVAMGFLGAILILFVCFAMASSCKEDSDRRRYYAATNSARPPPESTNRNNIPMVKVSYSDAYGHRNL >CRE30941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:176877:178492:-1 gene:WBGene00059115 transcript:CRE30941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30941 MNLCRRLWKYLLVSVILYATVFLNLDRIRQYSNESSAIQITEPLTYETRNKIYVAQFKDQNHTYQFLTVPKKPCTNNTKLQITILSTAGNFEIRQAIRDTWANPNNSKHVNNDVRISFIVSAAQNQFLNYSLQKEIEVFDDLIVTNLYESYELLILKVHAILNYKQNFCESADFQLKIDDDMAVYMDGLFDALSDKKQASVDGISGIIWKNSPPVREKKHRWYVPMTLYSQKFFPPYIDGPIYLIGKNAIPRMLEEAKNYSQWIIEDVFWTGIIAKALRIRQINWSNHLLRYVIELIPSRLKCLKGKPSIYAVHNMKGPKMIHDGYQKITGVKC >CRE30676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:175761:176755:1 gene:WBGene00059116 transcript:CRE30676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-149 description:CRE-COL-149 protein [Source:UniProtKB/TrEMBL;Acc:E3LTN9] MFEEKLLVGIASLASTIAILTCVVVIPELYSTINEMHDQVLDGVKEFRVETDAAWVEMLDVQVMVTPPSQPKVNPFNSVFRQKRRSTFSGLPSWCQCEPTKPRCPPGPPGPPGQPGHRGVPGIPGRNGKDNYNTIAPPACPRRDPECVKCPAGPPGPSGVCGQVGRPGPDGRPGQPGRRGNDGRPGQPGPQGNAGQPGRDGRPGQPGHPGKDGRKGHGAPGAPGRAGQPGRAGAPGHPGKPGEQGPHGQCGPAGHPGQQGNRGSDGHPGAPGNPGQQGGDAAYCACPSRSVMFLKRH >CRE30675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:173633:174570:1 gene:WBGene00059117 transcript:CRE30675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-150 description:CRE-COL-150 protein [Source:UniProtKB/TrEMBL;Acc:E3LTN8] MFEEKLLVGVATLASTVAVISCLVVIPSLYSTINEVHEEVLDGVKVFRVETDAAWVEMLDVQVMVSPPSKPKENPFNSVFRSKRQTFSGLPSWCQCEPAKPRCPPGPPGPPGRPGQRGTPGNPGPRGQDNHQVHAPIQCPRRDPGCVKCPAGPPGPSGPSGQAGRPGPDGRPGQPGRKGNDGRPGQPGSQGNAGQPGRDGGPGQPGHPGKDGRKGRGLPGPAGRPGQAGKQGAPGQPGRNGERGQDGQPGPGGRPGQPGNRGSDGHPGTPGGRGLPGPDAAYCACPPRSSVFVKAKH >CRE30674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:168925:170037:1 gene:WBGene00059118 transcript:CRE30674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30674 MADTLQTNGKATALAIGGNRMSTRSTRKKKTTMLSPKKFCDFVIGKTPGKSFEAGVAELYKEYYLESPTFINYFRAPKEKNASESVWLYDQTRVIVPDLEYYHASWVDGLRPNQYILAQAPRDEAAAKDFFKMVDHVKAEAIIIVESSDDFSSQIAPKFEKLPTKKGEKGTDDLSTAVIKDHGKNLKAVKFNRADQMAPAELVEMVERTRKYLGSPLKGPTVIICRDGATRCGVVAFIDLECDRLTKYGRVKHGDTIKAIRFTRCNTFDTFEAYDFAINSLVELCHKQKKK >CRE30940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:167142:168506:-1 gene:WBGene00059119 transcript:CRE30940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30940 MKIHRVSFDLPIELFTKRDLSTYPRTFAINNTFSFHFVSYPSHSVPNSILMDVPPRFNLPGLSLRAIRAVLLHFDIPDLLSCSQTSLRFCNIARSLKYKTTSLEVFTDRKKTYLKLKNGDEDGGMMYFKKDFDKNVVTVSLDEDQERVFYNEYNTELYSSLTAAIIYVTDVLRITVSKISIWPVGLSLTTLHNLTKLRIEKCEELEIKVAASTKSVYFPNRVLVKVIRNICGTERFHLGMPMKEKFKCDPSYFKCHHLTIDRPTPWLTGKILFKMRCAQMEITQSNLKVKDLLDFVKKWMKSDSSEHREFEYLDITLEKEIKDFPFPDTFQAKKWNKKLRGQHYLAGTEVIDCEHGGDVVHKDGRIMATVCIQSERKRFFFGVWHNPFPVIPQENVPEIVSAENQ >CRE30939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:165033:166574:-1 gene:WBGene00059120 transcript:CRE30939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30939 MATPDILSTLPLRAIQAVLLHFNISDLLSCSRTCLRVCNVAKSLEYEPISLDVDISIYGTSLIFNCNNVCETWSFKESGNTVTVSKKDPPNTIIYGEGSNNVYYSLTSAIIYVTDVLKCTVSKVKIWIQGFKPFMLHNLMELRIEKCLDLQIYIALGETTPVIREQWVAEVIKNVCATGRLYIGVPTDGSFTCDPCHFKCHLLVMDKPTPWLTPEILWKMRCPQMIIKNCPFTLENFLAFVDRWKVTDRRDNRKFEFLDMHFGDLLKKKITDGILRGKSWDKQLRGQYYLTDEEVIDCQNGKDIIHIRDERLMATTLIHKNRKNLFFGVWHNPFPVIPDGILQAPRR >CRE30937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:161502:162733:-1 gene:WBGene00059121 transcript:CRE30937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30937 MATPAQFNLPALSLRAIQAVLLHFDIPDLICLSYLSSSFYNIVKSVMYKNVWTHVSSCEEFGTNLKFLNSSGSDYGIWDFKMEKRKIGTLARREKNAHLYSSVTSVARYVVELTGIPVSNVEIEIDFFSIATIHSLKNLKIGRCNQLFIKSGILDDKGIPNRVLASLLKHNRATERIYIHAYTKKSFECPISFFQSRRLDVRYPAPWLTKELVFKLKCISIRLKENTILSVEDCFDFVKRWVKSDLKELEKFEYLDVAFEAVIKKLDVLKCLQMEEPIQIREWDEKMRGSYYRLPDETLNCEKGYDIIHKKWRLVATVLVRDRRFFFGVWHIPFPGFP >CRE30936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:159403:160593:-1 gene:WBGene00059122 transcript:CRE30936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30936 MAAAPFPLRELSKRDYETVLTLFNLQDLITFSMISKKCRMLPTVVRRRQGYLEVSISRDGTILHLSERGNPKIKWAFNDRIRENPRSFIWEIDDNRMEMHLNETEVSIKPISAHFSYVSSAIKYLKELLRWSVKSVNIDPANLPNTMNLADLKVEKCKILYIFGTRIISDQELTAILENVQKKNFLKINIMIYNDFLFIPNLLNCKRLLCEKPTQWLTLDKLFQLNCTKFIFKQSRFKVQGCIDFMEDWMDGNGEGIDYLLVKFATLETKDPVILPYLEDIDVVEHRSSGYILNQYTYLDCEDGYDIKHDSGKVATWQVAPDYFYFVVWHNLQHNILQRTPFEF >CRE30935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:157116:158506:-1 gene:WBGene00059123 transcript:CRE30935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30935 MIIPIVFALFAVCNSQKLLDLKSFNAGGDTKIDATGPYSIYVSASSDDDALLKQISVKTSDNQVKTLFDLKNNKLLVNTGLLQPFAVTTSAVVSSTLSQDQMNQLKGYLYVTTAQQLQNTKGFLVYDVGASEKIQISAGLMPDDVTIVFLNTNYKIQPYQPSTISAWVQAEGSSVNIYEGIPTDVDEKKDSQIFSNPVTLSGGNSKFFPTVQIFSLNLGSFYVKSHKGVQFVIESSFTDIDNSFTTAKTTTGFYMKPIASPDRKVTIHTGHDNNFNGTTGVNVVGNSANFAVTGPGNWAVTPSATIQPWSVATIADTLSFETVNSVAGEVFVQYYLIEGAQNIPTTTSLPGRSTTARGVQTTTKSTATVQFLTSMLIPVIGARLL >CRE30673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:146860:156398:1 gene:WBGene00059124 transcript:CRE30673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-che-12 description:CRE-CHE-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LTN0] MTSLFDALSRTNDRLPSPNFLPEDFLELLKSPDFDTKLTTLIRAATVAKREEEWFHKFQKKGELFKCIDKIITDDRWELQHQCIKFLVEAMPTFGSATEYCMCFVMPNLIPKLVSNKVTVRKITHQAIATFLRLKPEALQSFLKMLTNFMPNCNSKLELITELHHILIPELVKSNWQCLVENFTTKSNIQGCEEQAGVLLKKLHYFIGNESWQKILINLSPEKIEVLERITENVQVEQSDAKAGNGVLRASAKPQSGGERRLRFGIVPSLVCALISEDDANQRIAGLEKMKQVVDQITGEEIARLVPHLHSYLLMLSNVLEDLNFKVVVLALDIVRATAHHLKGHMEAHIQQFVNLVAKHFGNQKSVIKQIIMMTFMELFQNINPKTVGGCLRVFLENKNSRVREEVINIYTASLMTISPSKFNLPPLVNILVPMFHDAKKRVRLAAFEQLSVVAYLLNGKTEIIMKAVRDFEQDQKIRGLVEAVTARIRRQVLPRIRYDGLIEYSTPPMMDSFDLAESEMNLPSNADLSWIVSNGGVEPDPFERTMSPISLAGNLASIRRNRMLQQVEKEKPAIVSNLNAQQPAQQPVQQPVQQMVPQQQRVQNGTEKSKEVTENHRSLEVNQKMMMTRMKSDDSFVRRQGSANSNPNSSTSSWEAPRRPPISPPEKVVPSATKKEKEVNNNHIEKKGSLKKMRARSDTNLSEDHQTDELENDPPRSFDDRPAKASGQYSFQDFESPIAPAANMGKKSISHHSLPITSHPPLKHAISQPQKRGNNNGPAFLRSGQGQRTKSVSKPHREPTALPKTYTSLDSSNMSVNLALKKMANDEWSEKVDGLNMISTLSETQPKQVADNLKDVIIAILNECKNLRSSVSRVAIVTIGTVGQNLNSKIDMEMEKICAVLLTRAGDVSNAFIRDDATDSLNKLVKAASAGKALQGIILAGAKSKNNTVRSSCATFIYDIITIQGSSAILNNPAALSNVLPVLIQFSKDQSPQVRTPGKQSLCFLSKDPNFERLMRKNANESDLKTIKEVLANVEKRGGVDSLESTANLSGSLSRIGSTRRVQKKLPDSLQLDLDEIRTELLAAGWERRLSGLQRFEEMCSHACKAVASDTRLIEAFISRLGDTNGKVASYAMETYLSTMGSMAKLYSTEANLKAVLNQLAFALTAHLSSKSEEHKHLARTCIQHTIRSIEPVSLLPAMTAATKKSNIKQRPFITTQYCELCKLAYKSKPKQVEVMALPLLWDSIKNGPPDVDNKKAAQLLAKTLAKLVGEKQLLDLATAELDPNRKKQLDALIR >CRE30672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:141115:145621:1 gene:WBGene00059125 transcript:CRE30672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30672 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3LTM9] MHTNCLALFVILVTVTCQPPGNIFHLGFLHCDVLQKNVEGSTAYVNYRTSASAASIAIDRIKREGILAGYDFKITILYDQCDENLAAGNAIKLFSDYNVDVIIGPTTNIAAIPVFTLATFYNVPVVAWGMASSAALDDYSRYPTAGIISIGSRSLAVTFRQVMKEYGWDQFVYAYSLEGDDEKCETMRDDFQNMVAYYGDIVLSYTVQIMDHSEEGMLAVLKDVSTRGRIIVPCFHEGNTRGLHRRWMLVAARNGFVTDEYVYIIPSLRSKGYAVLQTDGSYRYPWVEATGPQPSDQEAIPGFQRSIFIVDMQGQGNVASNYSLFEDEVIQRMKQYPYNCTDACAAKEYQTAATYAGQLHDAVYLYGLAMAKQLKTVPSQYKNGTMFPSFLTGSFTGVGGPIVIDNSGGRSPTLYVLTLDSNNNSSMIMTVDVDEQEAVVHKLYTNEATAVWSHRKGIRPLDEPVCGYTGSKCPPNVFLQYLGWFIAAIIIIVLTIFGAVLAFVFLFHSKRQEVERQNALWQIPFKTMMTVAKKGKGEHSMRSISSVPSTISSTRSSTLSEVGETRNYLFFQIQNDVEMEKIAARKYTIRTLFDNKTCAIMRQVGMRLIDHANLNKFIGMSLDAPQLLSVWRFCSRGSLADVIRKASLQMDGFFIYSLMKDIVNGLTWIHESSHEFHGMLTSKNCLLNDRWQLKISDFGLRNFRTHDQYTKMDRLWTAPELLRNDDILGSREGDIYSFGIISAELITRSSVFDLENRKEDAEEIVYMLKKGGLQSPRPTLDHDESLEINPALLHLVRDCWTERPSERPDVKQVSSQLRSMNTNRNDNLMDHVFNVLESYASTLEDEVAERMKELVEEKKKSDVLLYRMLPKQVADKLKLGQTVEPETFDVVTLFFSDVVSFTTLAGKCTPLQVVNLLNGLYTIFDGIIEQHDVYKVETIGDGYFVASGVPRRNGNEHTRNIASMALCFVKSLADFSIPHLPGEKINIRVGFHCGSVVAGVVGLTMPRYCLFGDAVNTASRMESNSKPGHVHISEEANHMLMNLGGFTTESRGEVIIKGKGVMATYWLVRMDESAAPKNLKK >CRE30671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:138522:140103:1 gene:WBGene00059126 transcript:CRE30671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30671 MNIANPLSYLSQKVVFEYTDANVRINLAKRIPSIRSIDQLTPLSINYLRLDDNVTVINDTKYVLKVFRKYPDNAQIPKHHEKENKEGGADYDINPFGFRDLEKHRNPGDIVLKGGGIDELQYRDDEEGKLELERLLAYFTSVRNRNTFLCDQIEKDSINQVVTVQDPFIFLNPTEDDDDGEEDDDQSEPGSDIDDMDLDDLDEYVSFSPSSREEIDRIEVSHHNYHSAASLLPFQYGGTRPFDLFIQLITGSGTSIHTERFNYTKLHHAISLLRKKVFGGRRLITVNCLEIGMSSEILRIPFPLKFKVKNLKIMGFLGTTLEELTPVIDSSSFPLETLEAKLNLGDNADETVTKMLVINNERYISPDLIHNLKHPNIYCKLDRLRGREFPRLISNWVEMRMSIGFRISFSIHCREKAEKIIEVVRKRSENAVMENNK >CRE30934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:136104:136679:-1 gene:WBGene00059127 transcript:CRE30934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30934 MNTFQVTNIRSSASTPEESESELRCSVWLKDVSIRQFHIFSRENSLERDLLISRYRLVYNADRMKEDGLPFVREAAMAKLFASQVTTVTESLRSQSTYYQVATNTPSKCVEWLGGVGFTKEFPVEKYYSDCKIGTIYEGTSNIQLNTIAKLIDLEFKNKA >CRE30670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:134213:135750:1 gene:WBGene00059128 transcript:CRE30670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30670 MTEKSIELSDLPPEMLQYLVEKCDFVTRRRLRASSSLMYRVVDSTKLYIPSVKMVNWNGGVMTVKLTTLPSDPYMIGFQKNETGGTLIWSHFQKGVIIENSDYMDEAFDWFRQMCLQKNVTIGWFYISPSDDPKKMAEKLDEVLGKSETPLKIESIYCAGRESSDSMWKVMEYCDKRLLKEMQIDQLDDEIFELFGKQDEIVKNLEKIEINCLCNASVEEVLSLNASVISLNSENFTDDLVYKLIEKFTNRRKDGSAFCIKNSQKRNLDLEMIPPGFKKTDSTNRFKEYRNQLINTNHPTVHLRLREDRVRLQIGDTKILNFWNEDESDSDTNDDSDSSSGSASDSDANDDLLDDRAFDESDFENDEDEF >CRE30933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:132985:133511:-1 gene:WBGene00059129 transcript:CRE30933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trx-2 description:CRE-TRX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LTM5] MQNLFRLGATFARSSLAVKPTQMTSRLTQFRNFSASVFDIESSDDFNEKVIQSAVPVIVDFHADWCGPCQALGPRLEEKVNGQNGNVLLARINVDYAGELAMDYGISAVPTVFGFKNGEKVSGFKGVLDDEQLDEFIENLLAD >CRE30669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:130582:132978:1 gene:WBGene00059130 transcript:CRE30669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30669 MSCLTIICPNAKRVQVKTTPMMLIRQVLEEACLKSGFEVNSHRLQTQSRKPVDPSLPFRLSGLANNATLEMTQKEALSENAVIDLAIQATSGSRHQKKVGVNVMLSDILKEFTAEFGENLADVVDGSVPCIVYMNKRYTGAELSANSLSSLGVSTGKCLVRHMRVKLNAAELSAMETKLKEETERKKVLDANFAKLKAENEERDRLEKQRQEAFEKDKEAREKREQEQLKAILPETSSAQETTSMDTSEFQHRDVLQEVPVQNPNSWSFDGPAFSRQTPQSAMKLEELNRLLERVDNSLTNTAVESRMDAMVNALADGGRISLAEVRTRADVAMEEEKEPVEVFSDPCERNAVVFKKGTKVQKEEPMETEEFFEVGVEDIRNMQKDLRRAVRDQTQASFVSKDYLHKKNRQLKMEAYQHTVIRVNVGEHVLQACFNSTEQSSNLDIFMKSVFKTTDWKLLFTNMKVVTSETKNFVDLELAPKSTLIASFGGHAVNAADVLHNINEVTQEVANTISDNWLAANKSFIPFNSTVNEDRKQKRSTIQASTSPSSSGPPAKSAMPKWLQTGKK >CRE30667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:127807:128307:1 gene:WBGene00059131 transcript:CRE30667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gna-1 description:CRE-GNA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTM2] MSSIFDASLLAPHVPQDIPENLKVRPLAKDDFSKGYLELLGQLTAVGDLDQEAFEKRFEAMRVSVPNYHIVVIEDINSQKVVGSASLVVEMKFIHGAGSRGRVEDVVVSSEMRRQKLGAVLLKTLVSLGKSLGVYKMSLECVPDLLPFYSQFGFKDDCNFMTQRFQ >CRE30932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:126138:127217:-1 gene:WBGene00059132 transcript:CRE30932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30932 MLDKLWDVRQALPAYLLTVTLGLALSCCFTLICPHLCRLIPALTTYGKASDQLEDNSIVAKISVPKRYFSNFCKSNTIIVFFRWFKHFYALGLLTLFLCLHCIYSLIHNPNYLPSIALRFLATLTRSYSIPPIDPSTALLALLLITFHVSRRLYETVFVSVYSDSRMNLFHYGVGIIHYVILPISIMCETQGISKKVENFHVSMDNITLTQWAGAVLFWICNWKQHQLAEQIANTRKGPRGLIRNYAYGICFGGWFNLVSCPHFLFEICIYLSLLLVIPSAYVYRFVTLFVCINQTFAALITHSWYHKTFPKYPKTRKALIPYVL >CRE30665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:115742:124615:1 gene:WBGene00059133 transcript:CRE30665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crm-1 description:CRE-CRM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTL9] MKCAFSLLFFSVLFIVSNAKTEKTTVSCGSCEKETCPEVSGCAKLKMDQCDCCQICVRQVNESCGEPGLVCDSHLSCDQENDLDGSSRCKERMHEECLKAICPVVFHRGCPSDSQLITERPAPGKCCPPPGTCHCDQKKCVPSVPTCTKEERLVMTEKGSETPGECCAKYECQKKEKKCDNVHCPPMIQDEEECPSDSFRPPETIPKESCCPVRQSCKCRGSICRPAQCPDGQVIHVTKKGTGYPGRCCDDWECIEGETSKAKCYYNGVERQPMEVWHANDCDTCQCIKGVSICDKGDCPKVNQECTWIGIPTGECCPICLGCQTDNQTKLERGATWQKDDCTSCTCSELGAHMCEKYMCKTDCENPRKVEGQCCPVCDEPTIIRPPATCPSLELCSLRCANGLRRDNIGCYVCECLPDEVPTNPRCRELNDENCEKQCAHGYLKDEDGCTVCKCSKCPPLHQCHKHCLYGFETNSAGCSLCKCRASSKLDKKPNTTKSTKTGGAGSSQMTEYHSEKCISFSNDGHQIVRDGGEWWSDGCRHCFCENKQEFCSLISCPTKPSDCADENWVQKEDECCPSCTDQKKKPKSSNSLAAQKHEHTVCQSPGTGRLFTDGETWQLAPCVSCTCRVGHVLCRTTECPPIACPNPEYENEDDCCPTCPEQKVVNTKNEKGDTIVCTDDSGTAHIVGKFS >CRE30664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:109781:111779:1 gene:WBGene00059134 transcript:CRE30664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arx-2 description:CRE-ARX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LTL8] MDSQGRKVIVVDNGTGFVKCGYAGTNFPAHIFPSMVGRPIVRSTQRVGNIEIKDLMVGEECSQLRQMLDINYPMDNGIVRNWDDMGHVWDHTFGPEKLDIDPKECKLLLTEPPLNPNSNREKMFQVMFEQYGFNSIYVAVQAVLTLYAQGLLTGVVVDSGDGVTHICPVYEGFALHHLTKRLDIAGRDMTKYLIKLLLQRGYNFNHSADFETVRQMKEKLCYVAYDVEQEQRLALETTVLSQQFTLPDGRVIRLGGERFEAPEILFQPHLINVERPGLSELLFGCIQSSDIDTRLDFYKHIVLSGGTTMYPGLPSRLERELKQLYLDRVLHGNTDGFQKFKIRIEAPPSRKHMVFLGGAVLANLMKDRDDDFWVSKKDYEEGGISRCMAKLGIKT >CRE30929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:97677:99671:-1 gene:WBGene00059136 transcript:CRE30929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30929 MSLKTHFKFVLVVIIYFAHIYFRRLPITLLPLIREEIVLTSDDIGILVSSHALLYTIGRLLFGIASDRYSKVALLLLGLITCAACSVALAFSNHLWQMLIAMMLLGIVQGAGWVPATLLVQSWYSKSTYGTMFSILACGSTFAGILQPFSKKFYWRHVELYTGAGMLVFSIVCYIALREDNVSPKHEEDDEEPNPEKAKQKKSGLRTIVGSIVIWHISFVYLFTMEMRTICETWVQLFLTDSKISPDVFQITYEIGGLVGTMASGIVIDLATRRFDVDATRRVIAVSYTCLMMFSAAGIFEFAELSSIFGFLAGMFVNGSINVWCMIASQAGFTKIQGTVSAFISFIASSGSMLAGSPLAFLISLFGWRVFLYIFAIQLVIVLIVSSFRVPLRMNTETKDSPNEVDDDEGSGVCSTVVDSQRNLTNFNKETRISMLFALYATKKIKPFKDILASNKV >CRE30928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:94819:96970:-1 gene:WBGene00059137 transcript:CRE30928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cept-2 MVTTRSRSKNNRAADKDRSKERFAYRPRSTFEESDALVGYKTIDHYLQYDCLLTREELQRLDEHVYSAVDTSWLDELCMKKFWEAVVLYYPLWVAPNLLTLIGKFLSDAQKKIVFFSGLIVNLTTVLVLSFYCPTATETAPSWAYFLAAAGLFVYQTLDATDGKQARRIGASSPLGELFDHGCDSASQVFVTLNVCYALQLGTVRCGVFIACLISVSLFYTAHWSTYCTGQLRFARFDVTEAQWSIISMLLCTAVFGPGIWSIGVFGYYLKHLLLAAVALGTVYQALGYLAVIFTDGVGKNGSTVAGTSVLFPICPLMAVIVPYCMIYSKSASTVYDDLIVIFVLQFGAVAAKATNRLIVAHMSRSELSLWDWIYMGPIALMINQYYDIKIDEPRLLKYTTVYVYLSLLVYCLFITRQICDHMGIFCFKVSPQRSNK >CRE30927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:90992:93652:-1 gene:WBGene00059138 transcript:CRE30927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-13 description:CRE-VHA-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LTL3] MAAESSYGFVYGVSGPVVTAEKMAGSAMYELVRVGHQELVGEIIRLEGDYATIQVYEETSGVTIGDPVLRTGKPLSVELGPGIMGSIFDGIQRPLKDIADITQSIYIPKGVSTNALSRDARWDFAVNKDLRVGGHVTGGDIIGTVDENLLIKHKILLPPSACGTITFVASSGQYTVTDPLLELEFAGRKQKFSMLQVWPVRNPRPVTEKLAANNPLLCGQRVLDALFPCVQGGTTAIPGAFGCGKTVISQSLSKYSNSDAIIYVGCGERGNEMSEVLRDFPELTMEVEGVTTSIMKRTALVANTSNMPVAAREASIYTGITLAEYFRDMGLNVAMMADSTSRWAEALREISGRLGEMPADSGYPAYLAARLASFYERAGRVKCLGSPEREGSVTIVGAVSPPGGDFADPVTSATLGIVQVFWGLDKKLAQRKHFPSINWLISYSKYMRALEEFYEKNYPEFVHLRTKCKEILQEEEDLSEIVQLVGKASLAESDKITLEVAKIIKDDFLQQNGYTKYDRFCPFYKTVGMLKNMIGFYDLARHSVEVTAQSDNKITWNVIKDSMGDLIYQLSAMKFKDPVADGEAKIRKDYDDLAEAMANAFRNLED >CRE30926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:89618:90952:-1 gene:WBGene00059139 transcript:CRE30926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30926 MESESQKNCEYILPIKKRRCKMLVKRGNRFCGEHSIHDPSNTERMICPNDGKHTILKSELELHLKRCNSRIIEAEYIKIDANSIRGETKSTDKIDRRATEEEICEVVHKIWDCYETDVKYRLIVENKNNELVDKKLAENTQLCSEKRKHLVQISSILGHLESSGCLPSSSSSCMFELGAGKGQLAYWISKAAPNGNYILMDRSGSRNKFDTAAKRENPNLSMSRYRCAIEHMDLSKIDELKNSNEILAVCKHFCGSATDAGIRSLRNSGLKFNAALLIPCCHHKSRFAEYGGHEFLEKWEMNDEASFAALRYVASFATNGSVNIDTSGGWKSLHPPVELGRRAKAILEVGRAIWLESVGFETKIIEYVPPEVSPENLLILAIKA >CRE30925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:88525:89300:-1 gene:WBGene00059140 transcript:CRE30925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-1 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3LTL1] MKFLLRASTLAGQSLRFASQRPKVFFDVSIGEEPAGRVTFELFSDVVPKTAENFRALCTGEKGVGKSGVPLHFKGSKFHRIIPEFMIQGGDFTRHNGTGGESIYGMKFKDENFDLKHTGPGCLSMANAGPNTNGSQFFICTVDTPWLDGGHVVFGQVTDGMSIVKKVEQIGSRSGTPTKVVTISDCGELKSE >CRE30663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:87573:88479:1 gene:WBGene00059141 transcript:CRE30663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30663 MLQMFVYFILVVFYPYPVLSNKCSDAHHDCRLIAVKCLSPNRIEQKLMEIACPLTCGYCISDNIVSGIVSSTTVKPSENIIMPSKCIDEADDCADRINFCQRKFYKRMMSLQCAKTCKFCDPDEEYEEEEAEDSREIHLSNMRSSESDRFTHISAIMFLSAEIGEENVQKLEELKMVDDVIELMRQVTASSAAEKKPRRFSKKPGNFSAEVTNSQDDCVDKSSDCERNRKLCTHKAYTSLFQKICAKTCEYCGLADSTNDLESSGELF >CRE10957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1021195:1023733:1 gene:WBGene00059142 transcript:CRE10957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10957 MDQTSFGRDCESAYGSEVSSNATFKLQKDRFQIEESTTKKEIWYEWIRNRLRHYMILELLFSICLVLILWKQYHISSQSDKTLELISSIQSEFRNFKIDIESNRASKPTDPMNLDGGNKKLEEFVEEVMKDIKNPSIERNQKWKEYPKQVIPTQDNSSSNNSVFQINAASLILGASVDSSRSSKSDNNPLFGRDQSGYVLIDRSDPPSDKAWCSNEKNPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPSRYDVLACLDYYCNTLEPLVSNCEYRATRDNEQEQFCSIPLNRNHSSIGKVQFHFRQNHGNVMKTCAHSIRVYGETKEVPKVKERTLKQAETCSKLTYDYHHKSWTYNIVCVLNIKSYNYFNYFQIDYKNCTVLYSNDCCNECPECCDECVIKDINSETVFFCVFFIIISPVIIGPILFFIALIIDFGKSTKNEEESECEQEKEIPSKTPRMQIEIRLALKLLMNQDIHQKFVFSPVSVILGIYPFFELTNPETRLKIAEYFLGGATEEEMSEYFIDLLSVIKASRLRGPYIPDYYYSCAPNPYYWRFGIHTLDEKTINDFKTRKLQFIEFVSEGKEDMIINSIPYNPLLDDVIHDPIFRERTFNFTENSVQTILFME >CRE11131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1019607:1020700:-1 gene:WBGene00059143 transcript:CRE11131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11131 MNWRHDPRLKQLLEKATLESLFKNQSIYCNDEVQEMEITLRHVWKKYEKKDTLIEKLMENQGNEAEPLMQESWNSLCEKTMNQGQDHTPENMLTFCKLFRQIGDYQLACEMIWCCASLAVQEFITTRQLKVKLHSQNDKRSFMRALSHEIGNQFAVFEVCHLSFFSNSHKARCVNAAFVEANDFVQQLRTYHLTEEKKNELEKNNFNDDEL >CRE10955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1016301:1017736:1 gene:WBGene00059144 transcript:CRE10955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10955 MDAEDVLSSSVRKYFESESFKIMLTGTVKECMETATKRMNRLELSLRNLEDQLKLQEELKLAAENPTPIIELEINLGLKLLKQSDTSNPFVVSPVSIISGVHPFFKCATPEVRLRMAKLILEGGTPDDLTEYFIDLLSVLRATVHFSEIVNLEGPSDPTIQYLYRGGYHGLTKNVFDDFLSMKLKFLEIESSSENCESTLLNTLSCSALFNHWFHRFGTFEGKFHDSPDSQRDVEYMLWPSHRHFFSENDEFQMVQINLRQCVTMNVFVPKTRFGLTSILKNLRDCEQFSGLIKKTKLVYVDISVPKLKINTEVKLESLMTSFGADKNLYKEVTKTVMESDEKITSLIHRSQFELDEKEDDETEYDYGVLECYRPLNPIFFEQKIEVKADHPFLFMYLKDNHVLFFGCYQ >CRE30298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1009:7815:9298:-1 gene:WBGene00059145 transcript:CRE30298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30298 MDKTSIGRDSESAYGSEVSSNATFKLLKDRFQIEESTTKKEIWYEWIRNRLRYYMILELLFSICLVLILWKQYHISSQNDKTLELISSIQSEFRNFKLDIESDRASKPTDTMNMDEGNKKLEEFVEEVMKDMKNPSIERNQKEYPKKVIPTQDNSSPNNSVFQINAASLILGATVDSSRSSSSDNNPLIGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPSRYDVLACLDYYCNNLEPLVSNCEYKATRDNEQEQFCSIPFNKNHSSIGKIQFHFRQNHGNVIKTCAHLIRVYGETKEVPKVKERTLKQAETCSELTYDYHQKPWTYNIFDFKNCKVLHSNDCCTECPECCDECLIEDTNSETVFICFLYILLSPVIIFLLLILTALIIECFLCIRKTSAEV >CRE11128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1002048:1006788:-1 gene:WBGene00059146 transcript:CRE11128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11128 MSARPAFEQFTAQPPQPAGEVVSQQAGDSAQGQELTISKLENAITSMEEQGLQNDHRHAKALLLKQKLQDGVPQGGPPAENGAVEGANQQITTAQLNQLKAQVSAYRLLARNEQVPESLISEAVMLRPKVTTLLPDPYEYAGEGENGEKLPYDLMKIFNLHQIRCNRPSTVPPPNGIDPVGMLKQRENAMQNRIGLRMKLLSNLPADLPDHMKLKAEIELRALRVVNLQTQVRSEVMSCIRRDTTLETALNPYAYRRVKRQSLREARVTETLEKQQKMEQERKKRQKHTDLMQAIIQHGKDFKEFHRNNFIKASKSRKAVMTYHQNNERERKKDEIRNEKLRMQRLMQEDEEGYRALLDEKKDQRLVYLLQQTDEYVDSLCSLLRQHQTTEKKKKREDKKFEKGSLDDEARVHVREISTGKILTGDMAPKTEEIELWLETHPEYQLVPRDQLSDDEDDEDEVVEPPPEEKEDEYAGMDEEMKAKMIIEKARNEEDEYDQKSKKQEADYYATAHKVKEKVVKQHETMGGGDPTLQLKPYQLKGLEWMVSLYNNNLNGILADEMGLGKTIQTISLLTYLMEVKQNNGPYLVIVPLSTLSNWQSEFAKWAPNVKSVIYKGTKDARRRVEAQIKRVDFNVLMTTYEYVIKEKALLGKIRWKYMIIDEGHRLKNHNSKLTSMLNGFFKAQHRLLLTGTPLQNKLPELWALLNFLLPSIFSSCDTFEQWFNAPFATTGEKVELNQEETMLIIRRLHKVLRPFLLRRLKKEVESELPDKTEYVIKCDMSALQKVIYRHMKKGLLLDAKMSSGARSLSNTIVHLRKLCNHPFLFQNIEDSCRAHWKVNEVSGKDLMRVAGKLELLDRILPKLKATGHRVLMFFQMTKMMDIFEDFLHFRNYTYLRLDGSTKPDERGDLLSLYNAPDSEYFLFMLSTRAGGLGLNLQTADTVIIFDSDWNPHQDMQAQDRAHRIGQKKEVRVLRLITANSVEEKMLAVARYKLNVDEKVIQAGKFDQRSTGAERKLMLEKIIQADEEEDEEEVVPDDETVNQMVARSEDEFNQFQSMDIDRRREEANQLHRKPRLLEEQEIPEDIVKLSFDFEELEKAKEEGREIVEQTPNQRRRRKEVDYSSDLLSDEQFMKQVEEVEDENERFVAEKKKQRKRKMAGLDENDDTMDDVVLQHKKKKTDPELLEKINEILALILDIKDEEGDPICEPFQTLPTRKELPEYYQVISKPMDFDRINKKIETGRYNEMSELNDDMVLLVNNAQIFNEEDSIIVQSSKIIEKTWKEQYEKFNAPPKPDTPPPAPVKKETPSTSSSRPSTSGTPSVTDRQRLQQQAQLAQQAQQQAFLAQIAKLPMAQQQQFIAMQMLAAQQQQTGAAAVNTAAAQQQIMMQMQTMMQMQQLAAMAATQGTPKKEAKKEEAKKEETPVKKEEEPSTSAASDKKKKPAEKEKEEAEPMEEDEEEEEIIGKKKEPTSGRRKSRPTRRYSNEDYDDEDEDDE >CRE11127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1000368:1001424:-1 gene:WBGene00059147 transcript:CRE11127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11127 MRTGITGVNVSIMSPLQITISVKSNEETCCMYSIQRWRMGETPKKIWNFKGTKIMIQKNYNCFTTKAAHSPEVQIDSILSYLMDLFKISINSVVQYDMHFPVVIPTIIQECRQLEIYNRVMNNQQMNAFLRNMPIVSKRVILNLAVENDFLCDPDINFKFSSDYLKIGNSNWITRGILFSSSCAYIELSNCRFPSEVYIDFVRNWYNSDSTRLECLEVLSTHVNLTASVFDELKPVRWDAKQRNKEFRTPSKLIDCGNGLDIVRRDGLRATIVSSNYSFIFAVWHRPFDN >CRE10953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:996323:998933:1 gene:WBGene00059148 transcript:CRE10953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-siah-1 description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:E3M5Q1] MSNRNGGGGGGDYQEVIESLRRTQLIFEEEDTSAPEIPVVTASAPSQPRHHRLNQNNSSSVGGGGGSGEEMSNNNHNSSINNTPPTALMAQCRISSPSSVMSPTVTVTSGTVQQGKTLARIQGSSPNNISHSTPSVTQAMQSVSPHIPIVGAGADDSSAEILSVFECPVCLEYMLPPYMQCPSGHLVCSNCRPKLQCCPTCRGPTPSVRNLGLEKIANTVRFPCKFSNSGCPLNFHHIDKMDHEELCEYRPYSCPCPGASCKWQGALSDVMDHLKKVHKSITTLQGEDIVFLATDINLPGAVDWVMMQSCFDFNFMLVLEKQEKYDPAQSTQMFYAVVQLIGSKKEADNFVYRLELSANRRRMSWEATPRSIHEGVAFAIQQSDCLAFDTSAAQLFAENGNLGINVTISRLDGPQRRHPHDIDPAEVEYD >CRE11126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:990135:991663:-1 gene:WBGene00059149 transcript:CRE11126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11126 MSVPTTSSSSSNREQKGQEENDEGSFAKPVKNGKTFANPPSFKNWGGLPGLSDVYRFKFKETDHENVPTDKRLLDVEIPVHSIKASDFQSESDLFATWLGHATVLVNLEGVNFITDPVWAERASFTSLVGPKRYRPPPMKIKDLPDLDFAVVSHDHYDHLDAEAVKKITDLNPQIKWFVPMGMKSWMENAGIGVDGSSSVTELSWGESAEFEKDGKKFQVWCLPAQHWGQRGPFDRNRRLWSGWAVIGAKRRFYYSGDTGNCDSEFKKFGDKLGPFDLAAIPIGAYEPRFFMKSQHINPEEAIGVHQLIRAKNSIGIHWGTYHMGSYEYYLEPRDKLKELMDSREDLKNTSFVTIEMGRIWEAPDDA >CRE10952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:986388:990036:1 gene:WBGene00059150 transcript:CRE10952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smgl-2 description:CRE-SMGL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M5P9] MYSVTQEEYEKHRGALFRHFLPDEPGTSRDGYNGNRRGEKSAAQELIETKRKSVQSYFTRFFRERKGETNDHFDLKRVKYDDLGIPQDTGGLNRLRFKGENLGIVPTQLNHIPPWLYRHFEYAHYVALQFNQHQKFQKLQKLRKLQKELPIAERATEIVELLKTNQVLIVAGDTGCGKSTQVPQYLLKAGYTGVACTQPRRIACTALARRVAFETLNQYGSEVAFQIRFETTKSQRTKLLFLTEGLLLRQMEKDSLLEKYNVIILDEVHERHLTSDLLIGLLRDLCSKREDLKLILMSATINLDLFKGYFEGAPVVQVPGRLFPIDVRWHPIKQFIDQSEKKTHKIDPEPYLRILELIDKQFPSTQRGDALIFLNGVAEISMVAEHLKNYAEQTKGWIILMLHSTLSVEEQDKVFDQSPTGVRKCILSTNVAETSVTIDGIRFVIDSGKVNLIKHEPGTGTQKLTEFWVSKASANQRKGRAGRTGPGICYRLYSQEQFEKMEDFTQSEINRVSLQEMSLKMISLNLGLDPRTFPFIEKPSEDVLNEGLEILKFQRVLRSDRDLLTLTALGNMVSKLPVEVPIAKMLVYGCVVDEIEVMLTVAAGLSVQSPFTNRSYRELEIVERRASLTSPMGDPFTLIAVFREWVLQKAYEGSARRWTMENGIDEHRLYEISKLRSQYRQILEDAGLVEKASAAETGEDDSRQRRIDQGEKRKLYDLKRSQRNNDRRQKVLNANKHFDKILEDKEEEDLEAEKDPLKADVKTVEFLLSHKQRDVETIRKSHKISRRTAEVVRVIIAAGLYPNFSILDPVNKYGYGQEMFAHTRLKPFAQIHPNSSIAQYHPESIDPRQSSEGFSQLHQVPFYGLLLETTKPYICNVMPVPAVYALLVAQKIVIEDWTELIVDDFLELKFRKSEDCRSIILDVKEIRYELTKGLASKLVGEEGFSSRRLTKYIDLLNQSIVDKGIELSVKRNVHPPKVLTGIGIHSPDGGIDKEEDVDDLVQRFEKNDVTTHDDRDEEDQKVLDELRSDVMCPPTRDMVEDAEKLEKKKKEVPKESYYEKLLKRQKAKEDKGDDKDMKKPKLEPIDVKEE >CRE11125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:984618:985406:-1 gene:WBGene00059151 transcript:CRE11125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11125 MDLSEREDQTSDPDEEDVECRKVSKKIANVTLESDSLPESPLTAEERQVRRKKRTKRKGQQMDVDPTPRAKAESEFYTLDYCNSFFKVIGKHMDCDGFESHISSSDESYSEDNRGREADDEQSDWVGDTTPTRSPFETPFDRRVHIRRSQPNVHQTSSMQKKIEKFAREGGRGELVIETRVRDRACSSLLQRYLYKYHLEVVRRHRGSITLRKKFESPGTSNAR >CRE11123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:982381:983509:-1 gene:WBGene00059152 transcript:CRE11123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11123 MSLILLVLIPVAAFILFLIVLLFIFFSIPSRRDFHFFRRHALVTGGSKGIGFQLAVGLIERGCNVTIVARNVKDLQKASDELQVLADQRGQRQKVQWKSIDMTADYNVIKTAFDDCAKELGPIDILINNAGHSVQAPFSELPVTDFEKQMKVNYLSAVYATRAVVDDMKARKTGHISFVSSAAGQFAIFGYSAYSPTKFALRGFADTLHMELLPYKVNVGVLYPPNTDTEGFKEELLTMPEETKLMSDAAGLFTPKFVAEAHLKDIADGNYTTTIGLDGWMLGVLTAGASPEKSLFRALTQGALAGIFRAITLVYLGYFNGITKKCYRRRLAEKEEERENERKEE >CRE10951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:978654:982136:1 gene:WBGene00059153 transcript:CRE10951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10951 MEEPISCLTSPEQRLLEERFYINGVFGTVIAIFGVIANGFLASLFLTRSIYRNSPFFFLGFVALFDTLVDATFLLLLPLEVSSVYYEESVAYQIWLKYVRHVYLFAQIVKISSVFSLIMASIERYCMTKHWTFVGFEMRTRWLVLFFLVCAAVFIKYMSEEAVVVTRENCFGFRRWAVKIQRGELGHMGWLHSLAIFLPFFTLIFLNGGIVRMLRKQNVQQLRSLIAELTLGHDLSKIRRKNLRSATRTLIVIISAYLISNLLSLILIITEYFNPDYLHVHHPDLNRLATDSAALLTVVGNAIRCPAHIFSNSEIRTQFRIMLCGEREKKEVKQLTERRRTQEKLDNPWMALMWVSANNQSSDDDRAAFMPRAFMKRHSAIAIV >CRE10950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:970675:971578:1 gene:WBGene00059154 transcript:CRE10950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10950 MSERESDGPLTSLGSVSTARTSRKEMHANNIVPFNASLYTILTIIGTLQISVIIPSIGSFVSFISALFLFEWLNLHFQSMTRSRRACFDVANVLETYQPRNRTHGHLFVPDPPTPCVKIDEDGSHDMPKEKNAFSNARDSHYENMYQKAIQMAKEMDQMEKIAASPNLDASQNVELKPANPEQDKKESEEKAKQAKKKYDDDMSKPFSC >CRE10949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:969057:970316:1 gene:WBGene00059155 transcript:CRE10949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10949 MRCAREPTKRKSNNLLREDVNYKRHKSMSKESSPCPEEVLTPDVMISNILQLHELVNEVIEDQDLPEAAIIELKGFDASATTMEEYMQKREEIRRMVGDSEFFDRKTELNLGVASLAGCQLEVDKRVTTRRMSRKLHDECGINEKDILYKGLDYQGTEAKASKELRDSRQASIIESCVDFQKQLQWKIGIMDQVKAKSKPLLGKTYPMSDGPSIRTRNGQDKQRETMKQQQKELVNQRKTRQKKEDDPFQTPQKKVEFNALDERRIIENYQLEVASEVRSHRPTVSRGIAPIPYNINILDDIDEDD >CRE10948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:967522:967975:1 gene:WBGene00059156 transcript:CRE10948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10948 MKSVTIILLTILIGESISLTMLGLERGSRVGENMEPPYFPEEDDMPPARDPGVPPSYAKAIGTIAGRDLVARRWKENEPIRRQILDVEGVPLRRRPLIARVLNKGGGLHLP >CRE10947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:962683:966015:1 gene:WBGene00059157 transcript:CRE10947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10947 MCQHHHNRPKRDPCSAANINEITVEHTAIKWTVSFQLKMIIGQATLRCRCLTDATKLVLDVRDLSIRSVSINGIDCDFRIAPNVYTFFGSKMSVYLPPQFQKMGTILQVTVSYGTSPDATALQWMKKEQTADKRMPYLFSQCQAIHARSIVPCMDTPSVKSTYEAEVTVPTGMTCLMSAIGQGSKGDDETTTFFYKQPVAIPSYLIAIVVGCLEKRDISDRCAVWAEPSVVDKAHWEFAETEDILASAEEIAGKYIWGRYDMVCLPPSFPFGGMENPCLTFLTPTLIAGDRSLVSVIAHEIAHSWSGNLVTNSSWEHFWLNEGFTMFIERKICGRLVSEDYRQFMAFNGWTNSLIPAVYEQFTPTHQFTKLIQDHTNVDPDVAFSCVPYEKGSALLFYLEQKLGGSEVFEAYLKDYLKTFAHQAIDSWQWKDHLYKYFADQKEVLDSVNFDLWFNGVGMPPEKPNYGQSMVEACEVLLKKWLAADESTVGNITGDDYFAMQPLQQIELLSQLWQHNPPIEHYKLDALNKLYKLNDSQNSELLLNWLRLCIKSKWEACIPKALDFVNSQGRLKFCRPIYRDLAQWPIANKKARDVYLKGRSQMHPITAEMIAKDLHIRHSTHTVCF >CRE11122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:960409:961665:-1 gene:WBGene00059158 transcript:CRE11122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11122 MATKSFPILELPYIPLSIVLKNLNQMEVLDFSFVSKKCQLIIKSIKFAEFKISLSLQPTRSPIVFYHNSLWIFRFTIDDLKKRNKYLKGKTKTTKYFYKGDTICAEFAKKWTDYICSLFRRDVSYLRMNTNASLEDMTIISKWMNKRQSTLEYCDLTGCDTNSKSIELFFKKAKFSINRLSYELTQPYEMKPFSCGILDATEFFATSTTSECPVNWIKTDEIINSSCERILIEACSFDENDIYRILKGWVEGGGHSRMKFFSAAVKILDFELLLDGIEFEEKDESLIRPFKFDFMGKEVQYYIYSKEGSISEVRMENLRRFNRETHLVLKTTCFGSQCQCGQINHNIGYPFLLYFSYFDSGFVVYSVFRLVFAVRTKIKVVI >CRE10946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:955981:959926:1 gene:WBGene00059159 transcript:CRE10946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cha-1 description:CRE-CHA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M5N9] MRPLCQNRQCQLWRLHSTGKSPYNNFFLKFISRYLEYAAVVAVGQRASLATTHDAAQKFVRQATPLQEQLLEIAEKSPNWATKFWLPEMYMRVRIPTPVNSNPGYIFPKVKFESKEDHLKYTALLTRGVLEYKNLIDTRQVCREKSTGAQKLQMCMEQYDRVLSCYREPGVGEDTQIRKQRTNDGNEHVLVMCRNQTFVLHSRINGALVSYADVEHQLTKIEEISKINQNNTTKIGASGVGPRDDAALFWQDMLTVEQNSKSYEWVKSALFVVCLDMEDEVDYGKNDTVNISAKEKEFIVRGYSTLTGHGSSVFGLNRWYDATIQLVVSSSGVNGLCIEHSTAEGIVIINMAESAIRYAQKYFKSKMVWNDVRNVHPKSLTWHFSENSRNILKKQAEVFDELAKELELEVLIFDEFGKDSIKKWGVSPDGFIQLIMQLAHYKTHGHLVSTYESASVRRFGAGRVDNIRANTQEALEWVTAMTNKKETKERKLELFKKAVLKQVKVTLENISGFGIDNHLCALFCLAREREEVTGEEIPSLFMDPLWAEVMRFPLSTSQVTTSTDIPDCYLTYGAVVRDGYGCPYNIQPDRVIFAPTAFRSDRRTDLQHFKKSLADAMRDVKVLLSN >CRE10945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:952580:955576:1 gene:WBGene00059160 transcript:CRE10945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10945 MGFNVPVINRDSEILKQEAKKWLEQQENQKKCVLVIVSIALLLDNMLYMVIVPIIPKYLRDIHNYEVSFEGYHNETHRLANGTYLVKEVGGRIEYLDEELELGWLFASKALLQIFVNPFSGYIIDRVGYEIPMILGLCTMFFSTAIFALGKSYGVLLFARSLQGFGSAFADTSGLAMIADRFTEENERSAALGIALAFISFGCLVAPPFGSVLYSLAGKPVPFLILSFVCLADAIAVFMVINPHRRGTDSHGEKVQGTPMWRLFMDPFIACCSGALIMANVSLAFLEPTITTWMAETMPETPGWLVGVIWLPPFFPHVLGVYVTVKLLKSFPGYTWAIAMIGLSMEGIACFAIPYTNSVLTLIIPLAFVCFGIALIDTSLLPMLGHLVDTRHVSVYGSVYAIADISYSLAYAFGPIIAGWIVTNWGFKALNIIIFITNIGYAPVLFLLRKVHSYDSLNGGGAAPAQNGEMTQLNNTAPGYSQVGGKTETTVFNDSYQGWEDQQSYQNSANIPNHAVSFQDSRPQAEFPAGYDPLNPQW >CRE11121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:942828:943743:-1 gene:WBGene00059161 transcript:CRE11121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11121 MSGAPPPYTSQPQPYGNAGYVPQGQNPYPAQGPYPQGYYNPNQPQVIYVQQPAPQQRQSDDSCWLTSILALCCGCLIGEVCCDSPMLCCVIPCPIRLPRFR >CRE11119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:938035:939344:-1 gene:WBGene00059162 transcript:CRE11119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11119 MEAAMSFIENELIRTQETNSSKSSTDVDSSSASTASSSSSKNTGGTQERADGNVVTPPPLPIPMAVTPSPSATSSASHNGVGPAVKRSRVSLTDGMTPISSRNAAVAAATSLGLSFLPGLSQWGTTREEEEDEIFARMISIKLSKLDARTKEVAKLQVLKAIFDAQFTTPPTT >CRE11118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:935351:936346:-1 gene:WBGene00059164 transcript:CRE11118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11118 MHAIIPIQTCRRLLNSAIARFPRSPPSFFLFQCTKMSCEASPSTSKEAQCEICGRILKSEKHLKNHEKTHDEDERKLECATCQKRFHTAELLRKHLIVHKIAKKSIICDICGNAYSSNTALSKHQRTHKSTKRFTCPTCFASFDLSDPFKIHLRKHDNLKPFGCSYCFKQFSSRSVCRRHVQLMHENQKKEKTKVDVK >CRE10944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:932769:934560:1 gene:WBGene00059165 transcript:CRE10944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10944 description:Protein phosphatase 1 regulatory subunit [Source:UniProtKB/TrEMBL;Acc:E3M5N3] MLAAVPNPGRTMKLLLEPLCSSSATCYFDDSCCSEPNSPDSGFSSDDSIASDVSFTERLTRSKTLPSALRRSRSKQSVKRVRFADSLGLDLENLQYFVKEDLSSSPQQFSIHFSSIPSSSSPPQLPAFTKTLINQPAPRLVLHNFPYRSEHEYNLKTRESKVCVSALRASGSSIVGQVNLLNVAFDKVVVVRYTTNGWETQDQIVASYSHRLFATEDIDAFNFTIAIPVKLTEGKCEFCVQYQVAGEEFWDNNEGQNYVVNVSLKAASPFSQRYGTVPHENRSMVPRGSSTRRQQQRRWGRSLEESDEEKEMAYVPSRRLNFH >CRE10943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:917971:923607:1 gene:WBGene00059166 transcript:CRE10943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10943 MRSFLVHLLLFLNLIHSIRSSEDVFDVRIHEGADKGSRLTMSQELQKSTEPLGHCFGQLETDVDWIEWKSSRSAFYTSAQMPLSATKNSNNLVGTLHLLCAGNQMHTFPFRVHITHRNHHPPTFSKNSYKFHVPTTLPIGSVIGMLEVKDQDPVIYNSERKLAFTKDEQLVEILQDGTFKLKSDLSILTPYTPQRMQILAIDYGSPQLFTIANITVIPVTVSMVRELHVNVATEEYQIFEWEHPQYGTVDKYRLSIRRDDQVVYEEELEAAKTLALTKITLSNTMNVSFQVTAIDENGETSSEWTQIGPIDRDVICKGECSRGGTPLCYVGSFNRIEQFVDSRGAHCLCYPGFMGVSCETIDRCGPERTVDIWGGVDWTEVNTNISLLVPCPYNPVTENKFLERRCSWDSEAGRAIWERPQDRNSCQTQTSVLAHLGLIGTYSAKAATISAINTVTRFVRDLLSYPSFSRELSKHAQFDQKIAEMTALILDAVVQADLDRIPGNTTMLRADTWRVIESFSLTLPIPYSLSSPDNGIHMKAIEWVKHAEPNDNLIGKKCRLQLPSTDENHVIRVVCASNGTLFELLEPKSPVISVKPDSEEPFGSTRMSIYLRYPDTLDNYTCVYYDEEAGAWSTKGIRRIEHNYHGYVKCETNHFGIFSLLPDRLFYNSESFWKDLASHMPTVTSLVTLVCTVMLLFMAAVQKNQPIDCAFLFYLFFVFMIHLSHLLLFLAPQVGEPFTFSTLLHFVLQFCVIASSGLLCLVLYSIHTTIISYDIKEEEPQGCFSRPLHVIGMGILTPSLFTFTTYYFLDGRDLDIGRLFERTDWLFISNYLLPTAVLFSISIVYAVWNVYIASGTRTNRRCSSDRLLALGPAINASVTSLFMVFFFCSALLLFFFREHSPMAIFFFCMFQFFHVVTAFFFASYLFRLRFLLQRGFDSNDSTDSLERKRDISRALLEHVDTKSDIASDRVVIDGGFTEAGFTPATHYQYSPTEYIPPGSMTQIENNNRYLSLHQNIFERAPMVSIV >CRE11591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1137:3180:4576:-1 gene:WBGene00059168 transcript:CRE11591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11591 description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:E3NQL8] MESLEGKKCIGCQKPAKLRCPTCIKMSLPDAYFCDQTCFKAFWPIHKISHTDVSGAYNPWPCYSFTGALRPARVTDRRTVPDHIPRPDYALHPQGVSLEERQSKSERIIKVLTDEEKEGLKVACKLGRECLNEAGRACEPGVTTDELDRVVHEAAIERDCYPSPLGYYKFPKSCCTSVNEVICHGIPDMRVLENGDLCNVDVTVYHRGFHGDLNETFLVGDKVDEESRKLVQTTWECLQQAIAIVKPGVKFREIGNVIQKHANANGFSVVKGYCGHGIHRLFHTAPNVPHYAKNNATGVMKAGNSFTIEPMINAGTFHDDKWPDDWTAVTRDGRRSAQFEQTLLVTDTGCEILTKRDQNRPWFMGQ >CRE11117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:900646:902041:-1 gene:WBGene00059170 transcript:CRE11117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11117 MLETFKKGLHGMVKWMGESIKRIVHPSDNSDRKMLEGDILLIEEGNLKVDKYGTEPKPELTDEEKLGLLAAQCVSNYFEKAGELRRCSNKKETQINGRIDIKPLTDGSSPEATPLETKLEFLKNENTFEIEPTIIQVVQLMDKDKELFMELFEQEMQRVDKEILEEKERKEILYYSKILEELEKAHKISRSFPKYVIMSQLIYIFSIGFSIYSTYWNNWNEIMSCVVICMVFTACSMPNIHIEHNTCFIDMKYYLEKLQPLLRKTEFSVQNLITFADFRARIFAVVNKPCGFSYVNVYILIYYVIAAVTLIKNLLMFPKIGVSLAVQLYIDLHIIFYPLINVLFIKRALPRIDDSNSFMKRYKNKFFSNSSPTFTTTIC >CRE10940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:895293:898208:1 gene:WBGene00059171 transcript:CRE10940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10940 MEMRVDEGHIGNFMVLNSRLVKIKETPSKSEEEKNGLIKQIGEKCVPKQFIPVLKREMKEEGSQTEDISKSKRKCPETRAPSEIPVSEPKPSPTLDDIISFISSTSADFTNISKQLTREAANAIGGCTRLILRTIIENAKTNALRENRQRIVPSDVDLAICSAEINSDFTCPLLKPTPATDRMYWGRKMTKPKKTIDANSSEIVSHERFCDSIMIKDHWLVVDGVQPCVPENVIPTEVKQKYQEQQQETQRVFGYGVSGVRKQIPEKRPTTQTVLMLQEHQVLYAEMTKILTNGSALERQKVLETIETDTGFQFLAGRFVILIAEGVRLHIGTKNIRGLANLLKLAWSLMKNPHIWLEKYLYVLVPSLISCVVSKSMVPIVDPARAGLKTKTSTVNVGTPELTSEDRERIIRDLEFEFKLRESTGKLLAELASIYKDQNLRVRIIQMLRKVLTGNKDPVAIYGVLCTFFAFGSLVSFFSLCISLTFSNFQTINTVVLPRMHDIFCSLQASRNDIPTVKATMTKLRKLLVETEIERMEVIQNKTIELIMKIIFENEIFNERKLADRDAYVALYAEFGALFYDYALNTGMINENTGHLKVNRPSLLLQRKDFRIELEKFRRGRRTVSAHHPHHQQRNLAMMADDELLDNLLDDSNRPWARAAAQVEETEQNTWISQDKDTFLRKPKLFLVRKEQDQDAKLVASFIRPLEYGYMPSLPSTRSHRRGFADAAPNETAPRESIIGQSIYASRASDSVVVSKESDEILRRFVARSDEQSGAIIYGRKMLMTPQGRSTIDDEIAQAASQLSSVRLRNSVAANPSAVTVDEMRRGRFLHRADRLDNV >CRE11116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:894428:894928:-1 gene:WBGene00059172 transcript:CRE11116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11116 MGRKKKETPKENKGKKNVKNKPGYARAEHLHRCAIFLSKLGVKNNDGFSKTSRHVSRLCRQVMDTEMVHLDREQKQKFCKNCREVLVGNYEKTEISVKQRGSITEKCGNCHKERNYMTKDGYGKQLKEKMDSKC >CRE11115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:892431:894291:-1 gene:WBGene00059173 transcript:CRE11115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11115 description:tRNA-dihydrouridine(47) synthase [NAD(P)(+)] [Source:UniProtKB/TrEMBL;Acc:E3M5M6] MTETPEELVQDSRDINVAPIKEEFVLPKEDHELPAEVQALPKRERRGMNKTRRKDMKHAETRIRACAVRLCPSVIQPVACKFGEKCNCEHDISAFLEKKPADIDTECPLFDARGTCPFSYACRFGLAHLDENGKQTEKSPSKPYEATTNCHSMKIQVALRKHDYPFEKSENALESIKNETIGSMWQEKERKLDMKKLDGLKYLAPLTTVGNLPFRRICVDYGADITCGEMALATSILSGAASEYSLLKRHPSEKIFGVQLAGGFADTMAKAAQIVVENFDVDFVDINMGCPIDVVNQKGGGCALPSRPNKLYEVLAATKSVLGDCPMTVKIRTGQKEGVLKAPETVEFMKKSPWHCPDLITFHPRSKEQRYTKLANWDYTHAVAEASKPVPLWVCGDVLSWEDYYERLENHPVNGIMIGRGALIKPWIFTEIDERRTWDISATERFDLLKKFINYGLDHWGSDDAGVERTRRFLLEFLSFQCRYIPVGILERLPQRINDRPPRYQGRNELETLLSSQKADDWIEISKRLLGPTPEGFQFIPKHKASSY >CRE11114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:888739:892260:-1 gene:WBGene00059174 transcript:CRE11114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-2 description:CRE-TAF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M5M5] MDVDDGSGTRVNGFAKPFPPKPRDASTVATPSNSTPNVQAPPPVVDAPDKYYVPGVRDKSKFRVLSQQVEISNLSIERRSFNVRSEMVILPLEKSLHHLDLHIGESSLLPNEIPGSTSKITINGIECEYSRRRHLKDVTEAIEGQLDTKNIPSLETKLNEKLQENDYELQITVPKDLKKRMKHRKAVRLRVDTVVQQPTRGIQFVDFTGGRKDDVHVFTYHTPYLSGAREWTVCLDEPEQLSLWELTFELDPELVPVFSGELTEKRLKNGKIRYRFHQTVPTDACNIGWAIGKFRMEPHPESPTIYTFSLPGLEPFVNHTTMYLDKMVEFLEEKLSCRFPFPTMKVVFVDQSTEEIQVYSSLLIVPTSLLYHKKIIDVVQETRQKLIQAIAQQFFGCLISPGHWWHWWIPQSLARFLTSLYVETKLGTAEARWQLKRAMDDVCDYEHQWGKIILSPDQMENKKLSLHVDPRNEYTASPLYVDAMLKKGFLTMRMLQKRIGLEPFMRVLHRLLTVGLDMSEKKTTPAAWRHLLISTEAFFRSVSSVTGREIPTFLEQFVRTGGHAAFAVKFDFNRKRNIVEIEVKQDDTEGNGRTQYTGPLSVVVQEVDGAFSHTIQIDGAISHAEISCHSKGRKQKKKKVPILTGEEIEIDLTNMDAESPILWLRIDNDYLLIREISISQPMFHWEYMLKYERDVIAQMEALERIQALPSAHSRSVIVDAVSNERFFYRIRCRAAFVLTSVQNRKSEAIAVGTPVLINMFRESFGCKSSTNIPKPNNFVVTAQNLQQYFVMQSLPQAISRLRKQTGECHEDVQSFLLDLIKFNDNSTNRYSDDFYRASLFNSLAASIHPSEFLPFRVDLPENLSSEFRVLIKEFTYALNMDTVNPSYGRVVGIAALSGLYQLQKCGYLPLDSKLLWAFAHRNSCVQMRRCAVTLIIDRIVNDIHAVDTKMYDLSMLLNLVLTEKDPSIRMLIPKLLAQTPPFGTYNNTVFGSDNPCNTPEIAEKLWSLCTNPQLDGCIRSGFLDVYYSLYALGTPPAVGGPDESIGIHRAYVTVPNAASTFATSQWHNSGYEAARRSPPRRDFGDEMMNLMH >CRE11113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:887764:888401:-1 gene:WBGene00059175 transcript:CRE11113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11113 MQKLSSSSYQKSLIDRFTNPQLPFLFSSSPKIFHFIFESFRMSTSTESPDTPTTSQPLLPKQTSFVVNDLEERIRESARIASPKRAPSLPDPRILSDLETHTKEIVGNIDTMLRDMRGSLHGMSDLTLESLQCYNSGVEKACDAADSNVKSTYAMLAKVEEVNQSMGNVQKLAGQIKEMRRLVELFETLFHGSLK >CRE11110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:879707:880814:-1 gene:WBGene00059176 transcript:CRE11110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11110 MRRERLGSRFPLLQLPMVPLKQVMRNWDLYELFDFSLISKRSRMAVKVHSMSSSIELSVTFNQHFGICVKRRETDYHFQFSMLNPRNQYLNRITPFEHESDDWYNSLNLNKLWIDYLCTIYKCDVVYIRLDGDICTGKFVSLSNWLNGRQKSLKDCIILGLVVDSKEISAFLEKCKITRYLLIDRQQSLKIEPIHCNFQMDLLEIHSVTSTDWLSIDTILTSDCIQIMLGNFKFDETDLNRFLKEWINGSNQRLKRFRVIVKDLNLEVLTSGIEVEEIPVTVERIFENKECGSKKLKLKGGYDIRNNKGMLATFLKTPNPKYPIGTVQFDMFVWE >CRE10938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:870857:874886:1 gene:WBGene00059177 transcript:CRE10938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10938 MSGTEPDRPLSRCLSEIRPAPPPNPQHHPTRRFSLFRRPSSRSTVDRRASCDFTSAWLKTSNFDLREVGGQQESDNQPVEEQETMGIPTQNEALDHVRFSEHIERPVQLTRIDSNYSISENDSENGSTTDIDKTPESAETTWMRDKIAKRNPVKASPSRRRNGRICSLIQTIMLRLLSVDENSLGPSARTSLLTACTVFYALFLTIFSLVLELAHLLNDEESRKLNKKDIIFGLYMYGGSLVFFFYMYIVLLLNPRWYSTMDYLRKMFGVCLTRPKVSPSSDSLSSAAATVRKVTHSSPSAGSLFLRLGSVVFGVTGVVYYAFLVFLCDLDPTCSGLSISLDICAILFIFIQMHFIFCNWKLSITGSHMVARIGTMHLVAANLWTWIRYVLMEEGVMEKEIREVFKHRPVVLNQSHSSEPSAELFSESSREDEHDKKIGQGSCQAVECFLGSLSEIMFTSIVEYSLIAAAVMYIVWRNIGRQDQGSTYVKRKHQIRVDCSKTTTGLFLGLAFLAVTFTSMVVYYGFTMMNKSKAAAHVYAFTDMFQYVLSTIGVLTAIYQMRALKYFNKKTHIQNSDQELLDQILLSIGLVGELIYSVAGLVGLTGDKAWNSFSFILLFVHIFRLIQVGTQTFLLHVARSVRMGGEDKEAQPGKQAITFLLTANLAIFFMNLFESEKAGVSEVIIEYYGKRSWVFLVRSFSPLTIFYRFHSSVCFAEIWKNVYAAKTHPVHNTATSTATPINI >CRE11108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:865069:867692:-1 gene:WBGene00059178 transcript:CRE11108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11108 MRGISRALVHFIVLVQIMCCVYYATNMIRRRQGFSLQHSTSDAPNRKYEGEYIKYETKKYGSDFCVAYNVTRATGDFRDDGLEPISLVLHATSHYMREIEGQCSSRNWNGPISVSLFVDRTSSEAVDYLHEVHRCSTKVNQKNFQLSLHIVYRMSPFQKVCDPIIVKRSLRKCSTFNATISEFSNLPYPNRYFLGSRERGRVIPPFQIYPINVMRNVARKGALSYIHMTADVEMVFSEGFAVKMKALANKYITEKDKKLLVIRRFEVDNKAHVPVDHKELFVMIKAFRAFEFHHKYFPAGHTIESLWQWFRMSKNQTEAYAWQIDYKIILFRSSSWEAQLILHRKDPYNPEYIPTRIRDQQSLVYELCRANYTFHLASHVFNVHRGVKTQETNLSSAVLTHQKRLRTRSYKRFMHYINSTYPDTFDQCGKFVM >CRE10936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:861612:864461:1 gene:WBGene00059179 transcript:CRE10936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-21 description:CRE-EGL-21 protein [Source:UniProtKB/TrEMBL;Acc:E3M5L5] MLHAMRPVLLATALLAVTAHAFLGFGNGAARKAEDAKWSHYHNQEQLETKLTEINEKCPEISTLYEIGQSVEGRPLVVIHFSTTPGEHIPTKPEVKLIGNMHGNEPIGRELLLRFAENLCDGAVNNDKEIIQLLNSTSIHILPSMNPDGFELALSTEPAQRQWLTGRSNINGVDLNRDFPDLDSIFYELEKIKVPKFDHLLSLFEDNVDRQPETIAVGQWTLSLPFVLSANFHEGDLVANYPFDAAIEENSQKTAYSASPDDGTFRWLAKSYADNHAHMSKNDHAPCDGTSQDAFARQGGITNGAKWYSVAGGMQDFNYLATNAMEITLELSCEKMPAGSQLPQFWEDNKKSIFEYVWKSHSGIKGMVVDALTGEPINRAVVWIRNGTESTPIKHPVTTWSEGDYYRLLPAGQYEVIVAAEGYEIAAKNVTIENKVRDSALVVNFALSPSAEEPSESEQEQIAELVNEITRRR >CRE10935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:859806:860713:1 gene:WBGene00059180 transcript:CRE10935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10935 MQNWNLIVDKQIFIINIKLLHVFNGKITRFQIILFRMDVEDEESTSSAPVKVQAKKRKRRRKNKNEDQEEYEENKLYANQINQKFGSSKGFKHKDETPMFEVKGGKATIKNSGNHRLTVSRTLDFHMNKLFQWEEEPDAIESAYICALCHESGRKRELFGPYYTTHNPIKHWPTFLAKKPTAKKPVKIELWFHGSCALWAPNVHLHGSQLTNLEHQMDIFWSQNCAICRKNGASIAVQNKKNTFVHYPCALNKSM >CRE11107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:852797:859393:-1 gene:WBGene00059181 transcript:CRE11107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-5 description:CRE-CED-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M5L3] MQFLITDFIFSAQYTFDDPLPSNDNLPRLELFIGDRICVYGQHGEWGFGRKFDEKNGKCGIFPLSYVQIVQKSMFVSTSDGYLVVDEISRIINEWWTKIKELMQEGTTIGSFEDLMESFNELLIIKTKIESGGIPIEELSKLRLRVSKLVDRGNTILHLDVVIRDDEGVPLDVESLSLLRTYEAHISSQKRVGSLLRSKPENVTLNDSFSLLLSIKSVELHCKYSCEISITLYDLEKKMFTTDCYTFLWNAGSGKHADLNLKALFTDFAKEDIRKKYLMVTRVVHVAPIESSNATMRKHGHETVVPKNFYCRQSYASDIMEMSSVFLAGFIHEAKERVIFLNRETELPIALKSYHATHRIPKNLSNDMETKLLISTQLVPGNVSQIKARHPHLFSRSPAVILRRADRTAVSIEDTRNEMYITLMQAELSGKSSDRNIEARLHVVESNGNVMDNVFETISVTGSQLSTVYKSIVVYHTDKPMWAEPIKIVLPASASHDVYLRILFYSKKSYDKPKPEKGPFAIAHLQLIRSAGLVCDGEHDLAVYKIDNPGSHFDDSNVSYMSLPATRRTVKESIGSSKPHAHGFSLSEKSFALISTHSCSSMLTQNEHLLNVLRWRMNCVNLTPSLIALAQPIGDTEHEMIRFLSHLLDALFEIWHDRETSERIVFDVIVAVLKLCEEPRHYPQAAKIFEAYLKRFSFTSAALKILNCLNHYVISDSEDSNEKARNAFKVMGPLFKVVVVSKKCGIRFQEFEDFEKTYKQYLREFMKSLVMLMSEKKQKMTVQNTALKNIPTIIDLLYESDSVSAENLCGFIVDLMNNFGCNIVTRERLNFIAQIVETKFFSVSFSRLAICREQLLSPCLQNALHIIQLDNMAIEKGEFADRAAECASIIAAILERLFYDAKSGESGDRELTSFILMVYRPLVQAMIRVIHDDKHTDDDARGHFFSVILALLDKMSAQMFSEYVEERPSDIDKRDFLMEMVQMIRDLLNRNAFPSTLERYVIHKSLRFVMSAVQTFFSNDKFCAEMWREYMVTVVSFVTQEGLNSKHEWMKNEDEDVRIQLRKAAAKDLRSMWFRLSPSQKLNYIPSMVGSFLKVSLVDDDETREATIPIFFDMMQTEYNTSASRSFKEFASELVSQLDTNVDQQSATKGFKEHFRQLSITLCQSDKELMGNGGEELIERIDRLLTALIEYHEVASKSLVECADSLMSRTVQLMRYYNQYNHEELYVKYIYKLYDLHISYGNKIEAAKTLLRHATMLNFEDEALPPWLISRVLNRHCQTNRQLKEDLMQEAGALFTKGEDWEDALIVYNQLIPVYQSILIDYHKLSELLKKIAQLYTSIDRTERAYFYYYLVAFYGQGFPAYLNGHKFVFRSEQLEMHGEFMQRIMKMYDNPEKIMKTDPCPHLVSSPGRYIQVFNIDPIATGCSFDDNPAVNPAIKKYYRHYNIQTFEYSKVEDRKETKWTSIDPSSEFMRNWLVRWRIKTADSLPTDLRFTEVVESAEPIYVSPLQNAVEQMRKKNKELNETASNAVYNPKFDLKLLSRDILGVVSAAVMGGVKNYEVFFTEACRNICECGEQSIIMELSSLIIEQVEILEYCCYVHASRCEGEARAINDTLADAFESHRRYVEENFGKTRSRLPSNATIRLSAYESADGSFSDMSGMTTLKSTKAAALGSAVANLLSSNKRTSGPATPVNLASRSAPSFDNLSSKLRSSLAISSRMTPPPRPPNPISPANRGPSPVYEGIRMRAHYPHQQSTVSLNQSLNAPPLPPRPDLDPNRTLKRKN >CRE10934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:844018:851828:1 gene:WBGene00059182 transcript:CRE10934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tax-6 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3M5L2] MASTSAGQNSTAKPDTTVATNNSRERERDLKQFTERFVKTVQFPVSERLTVDQVYDRRTGKPRHEVLRDHFIKEGRIEEEAAIRVIQECTSLFRNEKTMLEIEAPVTVCGDIHGQFYDLMKLFEVGGSPATTKYLFLGDYVDRGYFSIECVLYLWALKICYPSTLFLLRGNHECRHLTEYFTFKQECKIKYSERVYDVCMESFDALPLAALMNQQFLCVHGGLSPEIHTLEDIRRIDRFKEPPAFGPMCDLLWSDPLEDFGNERNSEQFSHNSVRGCSYFYSYAACCDFLQHNNLLSIIRAHEAQDAGYRMYRKSQATGFPSLITIFSAPNYLDVYNNKAAILKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEKVTEMLVHILNICSDDELMAECDDTFEGGVPSARKEVIRHKIRAIGKMARAFSVLREESESVLALKGLTPTGALPAGTLQGGSRGIREVAAVSGVDMGHTIQTFEEARRLDKINERMPPTMATPPAQSPSQSPIPSRQTTPQPPQNGPSNSSSS >CRE10933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:832118:833204:1 gene:WBGene00059183 transcript:CRE10933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10933 MKYFLLLSLVFVVNCLPPPEGLKRAKQVGNDGNGDVAGVEPPPNLQLRSRMMAAMNSHHTQPIAATKANIFNQPLILTKNKKVAKPAAKAIITQSITASTEDPLKNVGEVTRPPTTSLSPEDIRKVCFNQELICIKSPFQKFSSVGDEKVNLKVRPVLKTNFVDANGNVVRDVVSVPIRVSDGHINSIPKNSKNATSSSAVVETEKNVNVSFRSSLPLWLF >CRE11106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:814822:824185:-1 gene:WBGene00059184 transcript:CRE11106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11106 MYRQGTNQSVCSDADEFTQEELQEFAQAFKLFDKDGNNTMNIKELGEAMRMLGLNPTEEELLNMVNEYDVDGNGKIDFGEFCKMMKEMNKETDQELIRLAFKVFDKDGNGYITAQEFKHFMTTMGERFSEEEVDEIIREVDKDGDEQIDLDEFVNMVAPIVSETNKTDPFAEPAASANPTTK >CRE11105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:812750:814677:-1 gene:WBGene00059185 transcript:CRE11105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11105 MVTDTGNFLHFHVNDIPHLSAILLFGLQQMLVCFSALLVTPYLLSNMLCAGAETIAIRLAILHGPSFAFLPALHTFEEMYPCTQDTDTSLWKEKMQLISGSLFLAVLIMPIMGVTGLVGKISKHIGPITIVPMLVLLCIGTVPDIEEKISLHWISIIEILLLIIFVVLLEDVEVSIPGYSFSKKQFFTTKMRIFSQFPYLLGICLAWFLCWLLTVTNIEPTGGPARTDRNESTFVFHSTPWIQVQYPMQFGFPQFSFPLVIAFTASTVAVMIESVGNYGICAQISQQGSPPSSSINRAFVVEGVGSMLAALMGCGTGVTTYSENIAIMQVTKVTSRITMQCAGLLLILIGVFSKAAAFLAMIPEAIIGGVLAAGMSMICGVAFANLQTVDLRLSRNLTIVGLSIVLGCTIPVHFEKHGFHTGHKTMDDVLGTLLKMRMLVGGLIAFCLDVMARGATRKQRGLEGRLEREDVAVERDGFAFSSWANQTILKIPSITRLPVVPSEKKIRRVEEMRAKRVKENVLEDKF >CRE10932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:809541:812539:1 gene:WBGene00059186 transcript:CRE10932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10932 MSGLHFHVNEVPSPPSIMLFGLQQMMICLSSLLVIPYVVSDMLCAGDQALQIRVQLISATFVTSGIATILQTTFGMRLSILHGPSFAFLPALHTFQATFPCNADTNTNNWEEKMQMISGSCLIAVLIMPILGFTGLVGKISKYIGPVTIVPIMSLLTIGTVPDIEEKMALHWISIVEFLTLVLFVVLLERYEVPLPVFSMSEKKIKFTRQKIFSQFPYLLGISIVWFMCFIMTVTNAEPRGGEARTDQNASITVFDQTPWVQVPMPLFFGPPKFNLALVCGFMASCFAAMIESIGDYNLCAKISKQARPPPSNTNRAFVVEGVGCILAALWGVGTGVTTYAENIAIMSVTKVTSRITMQMAGVLLILAGVISKFAAFLSMIPEPIIGGLLAMGVCLINGVSLSNLQTVDMKISRNLTIIGIAIIMGLTTATHFEKTPLNTGNQIVDDVFGTLLTIRMLIGGVIAFVLDNITGGATRRQRGFISEMDEEQSDMEEQPTVESNGYALPSCVNQFFLKYSWLTYLPVIPSEREIREIEEKRNKLDIIEKIEKA >CRE10931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:806961:807929:1 gene:WBGene00059187 transcript:CRE10931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10931 MIAVNHPKFKWDFHCSDGEESMEITELMAAATLDVDMDTTEASDSSGNTSDNVPDHIDDFSILMTDRTEESDSRGVSLHSDFSQLSISANNHKGEPFVLFDVLRNISSDSRSKSETPSIVEENKFIKRPLNHYMEWTVRKRSELSMRYPSKNAKEISIELGKIWRSMDMAVKKALKEEYQRRFKLLKQQGAKFKPYKPFGEKMKKEKFPVNKVNKEIHKEIYEVPETKKDKPPQQKEETEKQPEQDDHAEFRIDLIEEYHSYMYDMRQIFGY >CRE11104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:804250:805746:-1 gene:WBGene00059188 transcript:CRE11104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11104 MAEIPPNIPIDLRTLMFYNIYQQKTIEKSYENYEKLCSATGKLPFSFEKFVNFFNLCSKESLSGDLDIRLCVLSDVINEKSTEKSIKDLRKAFGKETIEKDDHDYWSERFQNSSPPFNLLTFSDLPIEIVVKFVESFDIAKIRQLRNVSHGLQNILDEEVKPPCKKIQINIRDRGFFVEKKKRKIEIKVKDVAILFKEVSPELKNPKLRLNFLFINLVYGYYKVSGDLKWRSHPEFNEARQKRIDDFKGFLVSMNHKIHSTFLTMTASNVEDVVTVLRCLKSGYLRKITLTIEQESESTLNIGDMAATDQWKQAKHVTINKLISSSIEHFYHFDTFDIRVQEISIEEIVKLVDALSKSPDFTACTIYKRNCLDTEALKRKLNLENRDTLQTYPIPNSNLSINFVSGIKITRGEEDPRSPRL >CRE10930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:795320:803058:1 gene:WBGene00059189 transcript:CRE10930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10930 MVALVLKSRINGWIPGNIRHRAVLVDRQKDPCVQLISATFVTSGIATILQTTFGMRFSILHGPSFAFIPALHTFQTEFPCNSDTSTNNWEEKMQMVPDSFLFILRLNDYQISGSCSRFHWSYRKDFEIYRTSYNSPNYEFIDNWHRARYRKENGIALDLYCRFQYLLGIIIAWIICLILTVTNWEPPGGEARTDKNVSLAVFEETPWVQIPKPLFFGAPKFNAALICGFMASCFAAMIESIGDYTLWGVGTGIKKFAAFLSMISEPIIGGLLAMGVCLINGVSLSNLQTVDMKISRNLTIIGIAIIMSITTASHFEKTPLNTGNKTVNDVFGTLLTIRMLIGGLIAFTLDNIAPGATRKQRGFVDDDDYEDDNEKEALTSVEHNGYALPSSGNQFLLRYPWLTYLPVIPSRKEIEDIEDEKQGDIGKEKILC >CRE11102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:782715:784702:-1 gene:WBGene00059190 transcript:CRE11102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11102 MAEIPPNIPIDLRTLIFYNIYQQKTIEKSYENYEKLCSATGKQPFSFEKFENLFHLCSKESLSSDLDIRLCVLSDVINEKSTEKSIKDLRKVFGKETIEKDDHDYWSERFKNSSPPFNLLTFSDLPIEIVMRFVERFDIAEIRQLRNVSHGLQKILDEEVKPPCKKIQINIRDRGFFVEYRDNFYLEMKIEAVEKKERERKKEIKVEDVAILFKEVSPELKNPKLRLNFLFIDLLYDYSTVSGHWAWNSHPEFNEARQKRIDDFKGFLASMNHKIHSTFLTMTASNVEDVATVLRCLKSGYLRKIRLTIEQESKSTLNIDEMAATDQWKQAKHVTINKLISSSIEHFYHFDTFDIRVQEISIEEIVKLVDALSKSPDFTFRDQVEKELDKKERMLTEISEMKKDIEKDMQLLSEQLNEIRIECNQAQRQPALVENEREVVKRLRLAENKQAVTKYSESTIDLKK >CRE10929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:779120:781798:1 gene:WBGene00059191 transcript:CRE10929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10929 MSFQCSIDLSYFRYIGYSHYSSDYIWNAVSYFLSVEINILSKSFHRLSILHGPSFAFIPALHTFQAEFPCNSDTSTNNWEEKMQMVPDSFLFILRLNDFQISGSCLIAVLIMPFLGFTGLIGKISKYIGPVTIVPIMSLLTIGTVNGENQTLVWLPLFRNPLLLYLLGIIIAWIICLILTVTNWEPPGGEARTDKNVSLAVFEKTPWIQIPKPLFFGAPKFNAALICGFMASCFAAMIESIGDYNLCAKISKQTRPPPSNTNRAFVVEGVGCILAALWGVGTGITCYAENIAIMSVTKVTSRITMQMAGLLLIFAGIISKFAAFLSMIPEPIIGGLLAMGVCLINGVSLSNLQTVDMKISRNLTIIGIAIIMSITTASHFEKTPLNTGNKTVDDVFGTLLTIRMLIGGLIAFTLDNIAPGATRKQRGFVDDDDYDDDDEKEALTSVEHNGYALPSSVNQFLLRYPWLTYLPVIPSKREIKDIEDERQGDIGKEKI >CRE11101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:776489:777986:-1 gene:WBGene00059192 transcript:CRE11101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11101 MAEIPPNIPIDLRTLIFYNIYQQKTIEKSYENYEKLCSAIGKLPFSFEKFVNFFNLCSKESLSGDLDIRLCVLSDVINEKSTEKSIKDLRKAFGKETIEKDDHDYWSERFKNSSPPFNLLTFSDLPIEIVVKFVESFDIAKIRQLRNVSHGLQNILDEEVKPPCKKIQINIRDRGFFVEYRDNFYLKIKAVEKKKRKKEIKVKDVAILFKEVSPELKNPKLRLNFLFINLVYGYYKVSGDLKWRSHPEFNEARQKRIDDFKGFLASMNHKIHSTFLTMTASNVEDVVTVLRCLKSGYLRKITLTIEQESESTLNIGDMAATDQWKQAKHVTINKLISSSIEHFYHFDTFDIRVQEISIEEIVKLVDALSKSPDFTACTIYKRNCLDTEALKRKLNLENRDTLQTYPIPNSNLSINFVSGIKITRGEEDPRSPRL >CRE10927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:773719:774839:1 gene:WBGene00059193 transcript:CRE10927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10927 MTGLHLHVNEIPSPLPILLFGLQQMMICLSALLVVPYIVFDMLCAGEKALEIRVQLISATFVTSGIATILQTTFGMRLSILHGPSFAFIPALHTFQAEFPCNSDTSTNNWEEKMQMVPDSFLFILRLNDYQISGSCSRFHWSYRKDFEIYRTSYNSSNYEFIDNWHRARYRKENGIALDLYCRFQYLLGIIIAWIICLILTVTNWEPPGGEARTDKNVSLAVFEETPWVQIPKPLFFGAPKFNAALICGFMASCFAAMIESIGDYSKHIMMIF >CRE11100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:765297:766806:-1 gene:WBGene00059194 transcript:CRE11100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11100 MAEIPPNISIDLRTLIFYNIYQQKTIEKSYENYEKLCSAIGKLPFSFEKFMNFFHLCSKKSLSRQAFMNLKKLRSYLIFRDLDIRLCVLSDVINEKSTEKSIKDLRKVFGKETIEKDDHDYWSERFKNSSPPFNLLTFSDLPIEIVMRFVERFDIAEMCVRRSFENLLKSLIFFSRQLRNVSHGLQKILDEEVKPPCKKIQINIRDPGFFVEYRDNFYLEMKIEAVEKKERERKEEIKVEDVAILFKEVSPELKNPKLRLNFLFIDLLYDYSTVSGHWLWNSHPEFNEARQKRIDDFKGFLASMNHKIHSTFLTMTASNVEDVTTVLRCLKSGILRKITLTIEQESKSTLNIDEMAATDQWKQAKHVTINKLISSSIEHFYHFGTFDIRVQEISIEEIVKLVDALSKSPDFTACTIYKRNCLDTEALKRTLNLENHDSLQTYPIPNSNLFINFFSGIKITQGEEDPCSLTL >CRE10926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:760281:762763:1 gene:WBGene00059195 transcript:CRE10926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10926 MTGLHLHVNEIPSPLSILLFGLQQMMICLSALLVVPYIVSDMLCAGEKALEIRVQLISATFVTSGIATILQTTFGMRLSILHGPSFAFIPALHTFQAEFPCNSDTSTNNWEEKMQMISGSCLIAVLIMPILGFTGLIGKISRYIGPVTIVPIMSLLTIGTVPDIEEKMGLHWISIVEFLILIGFIVFLGQTEVPIPVFSFKEKKIQFTWQKVFSQFPRFQYLLGIIIAWIICLILTVTNWEPPGGEARTDKNVSLAVFEETPWIQIPKPLFFGAPKFNAALICGFMASCFAAMIESIGDYNLCAKISKQTRPPPSNTNRAFVVEGVGCILAALWGVGTGITCYAENIAIMSVTKVGQRGKVIVGLRELYAMITKHVTSRITMQMAGLLLIFAGIISKFAAFLSMIPEPIIGGLLAMGVCLINGVSLSNLQTVDMKISRNLTIIGIAIIMSITTASHFEKTPLNTGNKTVDDVFGTLLTIRMLIGGLIAFTLDNIASGATRKQREFVDDDDYDDDDEKDVLTSVKHNGYALPSSVNQLLLRYPWLTYVPVIPSKREIEDIEDERLGDIGKHKVEM >CRE11099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:757452:759108:-1 gene:WBGene00059196 transcript:CRE11099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11099 MHIKSESQEVSGRKSLSPSPLVHRSIHHQSPLACSKYVISDINLKLIVITRKRRKKESRASFIDKNRVICVNEMFNRLLLVSLIGASILGATAYKTKQLAHSHAKTIPSSDDFIGCPTNNDFYYNGTINSPMYPYNYPPNDKCYYYISAEPGKVLKFSFSHFDLESCCDFVTIYDGPTVVSKKLVQIGGPGSTVTTPGTYYTTTRNAVITFESNPTIQKSGFSMQYSSVNTVSPCNRDIFLVVNGLANVGTQSNFEKEIKFIANQLTPTWNVGLDKVRVMLNLQTDIDYAIIWAADDVPTNANVTQEVLTMLDYIPDVTQDNNTDLECLFRYAYDGIDDSKEFDERYGIEKVVIVFVAANANDDQDYNESFEFAHKIRTEQDAKVIVVGMGTGLDQAKLSKLAYASGFAFFSTSYDNLQSLIPQINNAICSGLSSQCGP >CRE10925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:756787:757360:1 gene:WBGene00059197 transcript:CRE10925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10925 MPKQQFEIIDYAAPLFVGAAFALVVFLLTFVINFAFIGRSDEVTAFEKLGARYNLRVGPHRVSLVKSAMEKHVDEDGHEY >CRE11098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:743810:748499:-1 gene:WBGene00059198 transcript:CRE11098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-7 description:CRE-GPA-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M5J4] MGHCTSKDQKEGKRLNRRIDEQIKKDQSMSLRIIKLLLLGAGESGKSTILKQMRILHKDGFSQQDLEMIRPVVYSNCIHSMLSILRAMFHLQIEYGEPDRVRDSQLVFATVHANKEELTEELAQAMQRLWHDPGVRECYRRSNEYQIDDSAKYFLDNLPRLSSPNYVPSEQDLLRTRIKTTGITEVLFELKGLTFRVIDVGGQRSERKKWIHCFDNVNAIIFISSLSEYDQTLREDNCTNRMQESLKLFDSICNSPWFADIHFILFLNKKDLFAEKIVRSPLTVCFPEYKGQQNQTECINYIQWKFEQLNRSSQREIYCHHTCATDTNNVQFVLDACLDMIIAKNLKSMGLC >CRE10924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:742707:743337:1 gene:WBGene00059199 transcript:CRE10924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10924 MAFPPLCSLPSNFREYKQLSVSNSRMQILPYHFEGEVVRGFGRGGKELGCPTANMDDTVIENLPDGLKVGVYYGKATFKGNTYSMAMSVGWNPQYHNEKKTVEVHLIDYAGGDFYGKRLSAVIVGYIRDMRSFASLEELKTAIAKDIEIARRGTTEQGKL >CRE10923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:738410:742576:1 gene:WBGene00059200 transcript:CRE10923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10923 MEKKSEEGKEAFRMFPIGDEESSQLFTTATECVNTVVDLKRNGQMIKEKDVEELVKKLKAEEMNFSFIQQQSAGAAIIISARIVLPGSKKFFDLEALVPLFIQALEMVSSFEKLEGFRWRHVHPLFQFYVEEIPNHSGAQHFLELLQKIMDVMKLSPTVNDDFFSYNNSMALARLIVKMNEIINNQDGDLEIRKRAVVLLHSMVKQCPPERASTVSLYSSGLCTAVAKLLKGGTNIPSLIKSALLLFKDLVILVFADNAVKLDTKTINWDEYIPQVHNYLRGQTEEWRTTGSEHIQQCIRDSLSSYMNHRSWDVKLAVCEMTLEIQEACKDLLKEKLYGCLMSLYIHLRYDQSTQFQEIGKKALELVKNKRKAEEFFYQQLDIHISRLPVRTHNEDGLPEINIVSAILTALGDSVRLLSTTGSRTMEALLKSLADSIVIDKRKIMITSDVAIENIEQALRKMKLQYDVTHSAIAQICQILADLGGIEVVDMVHNLMRMESPGKRASYHIILAHLLSSLKTSDTPSDDPIILMLAEYLVLETNRSCIIKLKEDTKPESHQFEIDWSTCVESLSLTNLALCMRFIGQTVNRSHISTQCLCTVLMQTTSQSWIVSESAQFALKIIAEESSRDQKKKDDPDAVERLIRRYSSHILNRVSLACTSSTNYHMAPILFQSYLAYGEIPDHFNVFKVIVEKMLYALDRNQQQYSYSLLQALLFFMGCMNRDYPNHEPLSPPKESEDEKDLPTPQHIIVEQILLRTKHMLSSEHIPVKIIVLRLLSQGIEFMKLYDDMLLPMIHQNWFGLMAIAKELEPNTLGAVIDRVVDMAEKSGTFVHNKILKEFWPLVETYFMKQISRREEFSHTSEFAVTTRFINSIPRIIANAGITEKEGKKTFMKIINSSIENKGKFAFYCDKQKKIIDAYYKEGKSVYDE >CRE10921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:728927:730739:1 gene:WBGene00059201 transcript:CRE10921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10921 MFLFQFKLRGMSDHEDASRGASPASSAASSPLAPVSPRSDINAPQSPTGDVEDIYKGEDELPEVPESPGASSPVRRSPSPASSGPQSPSSPSPIKTSKVVIDSDDDSDGEGTSKKRTLLDSDASDTEVPKKRIMFDSDDSDQEEDSSKKEAARKNLFGEDSDDDDEDRPKKKDDDLDELVKGNIEEEERQESRPVYDSDDDDDGPRRGRNNFEWDFDKMLAEKKAERKKKTRRGKDSGIDIINDDDGMVSRLTERMKHAAKSDRNANVERKPAFQKIKMLPEVKAVMLRAGIVEVLIENGFMSALSEWLAPLPDKCLPALDIRITLLKLLHNPRFWKLDRSTLKQSGLGKAVMMLYKHPNETKENKAIANKLIGEWARPIYHLDTDYSTVSRNEREERDYARMPEKRKKKLRSREEEPEEEETPKKPRIRDADGLGSTKSSDLKPGDKGYINRARVPKPSTKDYVIRPEWKVAGAFKGEKKASGSNRYDQTLRDFQERTKKSKANRLVKVSLEGRNMGI >CRE10920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:723614:726924:1 gene:WBGene00059202 transcript:CRE10920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10920 MNILDGILKTSAAVPNAIFGQNSFMIRNVIEDQGKVVFICLITVLFSILPVGYYIVLLNVPEKVIQNFIFDNFENIFGLKLSPTEESLVWSLTVSSQGVGALIGCLMVGPISKYGAKHVLMRWNNVILIAGSLLMFVSYWISFPIAFIIGRILTGVYTGLACAFAPLYLQQVIPKNIKGSMSCFLHIAVCFGSSIGAVFSLPFMFGDEDTWPILVVLPAFFGFVMLGASYFIPDTPNNLLQMGRYTEAIESIKFYYDIEDSDEDEIIKEYWDMVPEMPEQLSLCSAFMNSSIRRGILLGMVVSATQIFSGSMVSISYSTEMFRAVSFIDILVPFLPALGSIISILLTIPALRWVETRGRRPLLLKTLMFCIAANVFLLIFTLMSSERTGWASWGFAAAFFMYGVGYNLGVGPVAYFLPAELVPPEAASASLGAAVAVNWICTITTTLFYYPLSKLVGGWSYLIFIIPTSLFSMILWRLLPETKFHYKIDPLEIRLLTDLGPSIAPNYGTLDLDEPTLF >CRE30308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1249:2900:3696:1 gene:WBGene00059203 transcript:CRE30308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-1 description:CRE-INS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M5I8] MYWFRQIYSPTLLVAFFTILILSPTPSDASIRLCGTRLTTTLLAVCRNQLCGGMSAFKRSSPEQSWAPSTYDLFHIHHQQKRGGIATECCEKRCSFAYLKTFCCNQD >CRE30309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1249:4793:8634:1 gene:WBGene00059204 transcript:CRE30309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30309 MNLDVPSGRRKTIKFRTRNFSIEDERYWIQPSRCTSVDMSSREIINKLNEMEQEEKLFITYDVIEINPKFATVGGSIFEFINNSMRPVLKCHLSQVKDTNMLHLIAASFFKRSDLHEIWAKCEYPIFAGLLVVYVSNKMRKLFLEQHEPVIAEAFEKIQDTFDDECTALLDQCFHNSERDTRAVLDTNYHLFIHTDGCPSTAEDMEVMALAAAAKAKNFLSHPACQREIDFRWQPGFKLGQMAFCLFFFFPPLFFLRGRQRIKKREIKTMMVKMDMAGQMTSITYSNFMIRVYNFYTSPNAKYVIHTLFRLIYVIFYAYVLMTMTRKTMVMNELDEFLDEMAIIVWQCAYLTEMAVLVYQRGFYNWAERNTADLYRNYLVAMTMIAWSLAVIAPPTWPIRAFAIISADLFFYFSFVFATLRLMKIANVDAFFGSIVLMIKKMIPIMVKFMFVFMVFWFTYAVCHISLAGHLKSTPNITDVVWPWLLFSSGAFEIFGEADEEDKLGTVSKCSSAPLNWDVITDSSVQCWFKTSMIPVFLFCYMLVSSVLLVNLVTALLSKKYEDIDKVSHIYWKYKLYSRLIEYEEKLWIPAPLSLVFYILKFLFYFLSKIPFIGAIFECFMKVLRCFEGTNYARDRRKNRRYEAVMNGLIKNSDRWTGPTEEEKNAVQSVRDQMKIMEQDRKRKRYETDLLRHRFEEIMSEIEHTNRFKRIRSQTFNNA >CRE10919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:707733:709315:1 gene:WBGene00059205 transcript:CRE10919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10919 MLSAMKYLFLALVLFATSCLTEDITKISESQVPNEDTVSKDETEWRLAAIQKLWNERRKMGHTPLTKFSPPGFPNADIFFKNETATTTRTLKHRFAWALVLWAITEGKVTSKTSAVYDSTSGNTGSAEAYMCTLVNVPYYAVVADNLEEEKVKQIESFGGKIIKVPVAQRNLKAKEFAAKTNGFYMNQFGNAEKAEEFHESGDFYFESTNVYHEIIVQLKKDKSQTVKIPDYFVHSAGTGGTISSVGRYIARYGAPTKVILSDSQYSLFYDYVIGNKFTNQSGAGIWTPPGIAGIGYGYDIEPVLYGETTSLTRNVIHESMKMPDIASVAAMRILDEKGYNVGPSTSLNFLVSLYKAYQNKAKQSAIKHRLTIVTLACDPGDFYRSTYLKDEWVEKSFNKFGGVQGMECWKKLIQDSIDTGSDFVSKGLTMCPGSYKV >CRE10918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:699774:701380:1 gene:WBGene00059206 transcript:CRE10918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srb-17 description:CRE-SRB-17 protein [Source:UniProtKB/TrEMBL;Acc:E3M5I4] MYNYSVEINDEYCKIMFPGAFHPAFQLVKVYHILLSIVSVFSITYFFVNYNNQLAFHFNIKILFYYQFLTCFIQSATLAISQTHHLVLSLIATSPCDVVLPPFLFASFNLPLIFSLLCMEFSQILMVIERTLASCLFVCYEKTTKTIGLFLTAIAVIVPALTCLYMYYDDEFNYPQMSAMATSPYSLVKINYIFISVNVMNFITLMHSIGLYRHNQRKINAVKNRDQFILSSRFQMNENIISSRLLWWLSTAQLIIFLSYGCLMYSLRIFLTGPRSAVWQAVTELCYTPPLYCAIMPIICIISAQNSLKERNSKIQSLITLRSVGKEGWDNYQGMLQKQWA >CRE10917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:695114:696817:1 gene:WBGene00059207 transcript:CRE10917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10917 MYNYSVEINDEYCKIMFPGAFHPAFQLVKVYHILLSIVSMFSITYFFVNYNNQLAFHFNIKVSCFQKYNFNRKASDLVLLSILNMLHTIHHFSHLTEVDAYGYNFEHYSFSDFCDDDSRMLKRRGPRTTIKQNQLDVLNEMFSNTPKPSKHARAKLALETGLSMRVIQVWFQNRRSKERRLKHLCNYLRHYEQRGLIPPPIHFRNEEMDTTDFNSFCGNFEEEDDED >CRE30294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1009:120:683:1 gene:WBGene00059208 transcript:CRE30294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30294 MLDQLLCQKNTGNESESQNKPQTTTVPFPLRNSLITALGAMSDVTFIWKYENTSVNIVKEKDPSIRNIHLVDWMPQQALLADSRLDLFITHGGLASTNEVAFSGKPAVMIPVFGDQTRNSRMLERHGSVLVLRKENLQYPDAIMKAVMTVLNDKSFEQRARNLAKLLNNQPESPRDVFLKYFNFVAR >CRE30295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1009:3273:5241:1 gene:WBGene00059209 transcript:CRE30295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30295 MSTYLLFSLLLVIPFLVCGSVERPKKVLVFLPISGHSHLKFMGTIANILQDEGYNVTLLMPILDEALRDTTPLVRKIKNRILVEQSDELIEANVQFKNGGGRENTWVMNSGIIGFLKLGTKVANICKASCKNVFQNEELIQYLRDQHFDVAVSEPLYSCGFALFDHLGIETTISTDSHLGLEVSKVAHGASITTSYLPAVFSSGSEKMGLLGRVKNFVESYFNYHFNSKIYVNELAGVEGIYKNGKGWRELLRKNAYMFVNSNPQMDIPSPRTSKFVDIGGISSGEFKQEKLPAEYDRILSLRKNNVLISFGTNAKSMYMSDDMKQSLIKTFESMPDTTFIWKYENTTVDIVKQYNKRINNVMLTDWMPQTALLADPRLTLFVTHGGLGSTNEVAFSGKPSVMVPVFGDQTRNARMLERHGVALLLTKYEIADTKKVRGTIRKMLKDKSYSMKAEKLAQMLRNQPESPKEIFIKYFNFVARFGKPHGLDSYAAEMSFVEFYYIDFLALLTVLSVALYILTSKILKVSQNLKNLISIKFKFD >CRE10914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:676536:678029:1 gene:WBGene00059210 transcript:CRE10914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10914 MDKTSLGRDSESAYGSEVYSNATFKLQIKESTTKKEIWYEWIRNRLRYYMILELLFSICLVLILWKQYHISSQNDKTLELISSIQSEFQNFKLDIESDRPSKPTYTMNLDGGNKELEEFVKEVMKDMKNPSIERNQKEYPKQVIPTQDNSSPNNSIFQINAASIILGASVDLSRSSSSDNNPLIGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIELAKYIRPISVSYQHSKWSGMVPDGAPRRYDVLACLDYYCNNLEPLVSNCEYKATRDNKQEQFCPIPFNQNHSSIGKIQFHFHQNHGNVMKTCAHTIRVYGETKEVPKVKERTLEQAETCSKLTYDYHHHSWTYNIFDFKNCIVLYSNDCCTECPECCDECLIKDTNSEIVGFSIFLIIMSPFIIGILLFLICLIVSPIVLILECLFCKRDESTLEE >CRE11092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:673456:675902:-1 gene:WBGene00059211 transcript:CRE11092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-59 description:CRE-UGT-59 protein [Source:UniProtKB/TrEMBL;Acc:E3M5H7] MELYFLISLFLSIPITSHSLNILFYVPTLSHSHISFNTKLAEVLTSYGHSVTVLLAQVDETLLIENVTDYAVLRKKVGVPRGHLRQVLWSNPGPYEDSSPLNPHIFYKLLKVSRTFVTACENIAFDSLFLDSLKEQRFDVGLVEQYDSCGFGIFKAIGIDSTVWLSATAIYRPQAEALGVYLPYSYVPELFAHFSDKMSFLQKVINVLIGQATSFVLDVFVQGFQSRIFNQDLASISKETSSLMINSVPFFDYSMPSSHQFSNIGGITVDKKGTKKLDPVGLLLLGGLKKLIFKNGFVLVSFGGIARTIDMTSSMQQIFFDSFSKFPHITFIVKYEFTSNSTATMPDNVILTPWIPQLPLMAHKNYKTIITHGGWSSILETTMHSKPMILMPLFADHAKNSKVAESKGVAVLLDKMRLSQRRVVHAINTILTNPRYTQNCEKFSRMFSDTPIPHEDLIEWRIRQAAKPSRKAFARHLRPKEPDFSLLKISFILVPAFLVFFTKRFR >CRE10912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:671520:672720:1 gene:WBGene00059212 transcript:CRE10912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10912 MARRCLHRRTEITSKDNVKGIESKEEMEGCCEDIARFASYHHWIRILVHCASLPYSRLQKFIPLIYDKSLKNLVSGIRSSLAWKCSPARDETSVVSSYIVCVEEIFPGQFTPSVSHRFIKELESAGRLLRNYTQNIDTLEHQTGIKRVVECHGSFSKNTCTECGDQTDGMVIRDDVLAMKVARYKNKVDLIVVIGSSLKVRPVALIPHCVGKDVPHILINRESLPHYNADIELLGNCDDIIRDICFSLGGSFAEMIASYDTIMEEKRQREPVKEHHPVVSRNKRQLISQQEFLNICLREKNGASESDDEPNLKRPRMSTSDNIRQHQTTFRQYREGYVS >CRE11091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:665379:667167:-1 gene:WBGene00059213 transcript:CRE11091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11091 MPRTNYKLFEQYIAEDESRAGVDQNLYNNEGRTKDNGWPEVDESEEEEVEMDEGCDISIIEESGVLSGGDSNMTLDDEQTEENESVSGTQRMLRYGLKIVSEMREMLKVVSTYEKVREGENVVKDLEFQRHLVTHDDSVAEEAEKQWNFNRNRFTTHYLCNNCGKFLNARMSGCDQCGCTVVVSFVRIGAFWQILELVETFLPEILEIRRRLKSGESMNHNLRSPFFSERWQDEEDDHLNLSVVVSIDGVHVSGNTNKLWPVSLILVDLPAGIMQRTTSIVMEGLLECKETPSTAVWNSLLPMLFVDIENGYGKVEGVSFSCRIMTCTGDQPAKRAFYGMKSHHSSLSCFYCLSAGTYYKLHGDSRREVRPEDLTVCDSREEEGYVSGWQKRLTERHIGIKTKDYQK >CRE09934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1859:2654:6051:1 gene:WBGene00059214 transcript:CRE09934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09934 MREFYAFNLHVRKTFRPLFRAGKLMQQYVVDVWSRVEQNRLNFYMQNQASLRSEQMSGLQDYVAGEEKGPVGVRIILPASHTGSPRDMVQKYQDAMSVVAKYGKPDFFITITCNPKWDEIQECLANGQTATDRPDVVARVFKMKIDEIKRDLFQRNGLGEVMAYIYVVEFQKRGLPHVHMLLIMKPGSKPRTAADVDRLISAEIPDKEKNPLLYELVTTMMMHRPCGVHNPSSPCMRGDVCTKKFPKELRETTSTDNDGFSLYRRRDDGRFFEYKMGRIRVKLTNQHVVPYNSWFLMKYKCHINVEVCGAISSVKYLYKYVYKGTTRASIVLRFDEAGNPNQVIDEIKQYLDTRYVCAPEAAHHLFGFPMSERSVSVVQLPVHLPGDQTVLFQQGQEAEALARAESKNSKLTAWFEANKKSAGAVLPDGTFPLTLRDSRSFYYHEMPEHFIFNSKTGTWNSRKTKEFSIGRMYFISPRNREKFALRQLLLYTKGSTSFEDLRTVQGHKWDTFVEAARASGFLSDDTIYEQTLQEAALFHSPCQLRGLFVTLLLFETIDNAEALWDKFLDDLSEDFEHQGYSKSESEAMAYFDMFDRMEAMNEDLKKWIKNRTSEFIDMDKSSTMRCARILNSLDVGGLFFLDGPGGSGKTYVYNCLANIIMGMKKKILTMAWVGIAAALLPNGRTVASIMKLDINNGCKTCRINLRSDLAKWLLECEFVLWDEAPMSPKASMETVDRFMREVTGIDLPFGGKVVVLGGDFRQVLPVIERGGADEQIANCISRSLLWKDFQIFHLTTNMRLTGGALDWKKRLLEIGDGKMGDPVTGEMQIPDGLESTGDLAEEVFGDLLESGDVEKLAKVAILTPRNKEALEMNNSVLDKMPGTLRSYYSLDEISNKDGKPEIRDSMHFTTEFLNKMTPSGMPPHELRLKKGAIVMLLRNLDVKNSLCNGTRFVVVEMGDRVLQCKFVSGARQGQTVLIPRIKLNYEKNLPFTMSRLQFPLRLSFAMTINKSQGQTFDKIGLRLDEPIFSHGQLYVALSRTTTREGIHIQAPFGIVNNVVFKEVLL >CRE10908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:660102:664165:1 gene:WBGene00059215 transcript:CRE10908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10908 MSSLSPTPAVPAPADNSLDLHVPAVAAPLPNDGPPTSDDAPRDPDSPAYLPSGLDPLDLVEEDGSVDYRSESEISMKKAADATRVAVKRQAESQDDREHRLKLKADAKAVLRSQQSEAEKSCINRRKSQKTATDRSQESEEQKTSRRASVASRAAARRSEEPDDVVKGRKSSTRIRNAVSRANETVRQRVLRNAADRVRKSTRQGALLGIAASGAQLDPHYIGRMDKVSDAKNFRENIRQYNNSTAMACMKAEVNLPRGGPYTYCVHKQVYRLIGDLHPAPGEPRNFAQIFIIDTEQAAAELAGREMNSSCSKEMFEKLIDILKQHHPHAKSFKMMFEVEKEEQEKAALEKRPERSVKMTFKIRSQDDQRRYQNPTADEVAVVYVGDEEEIPGKRGLTVHQKSGKLQSLHVIDPNCDPMTYPLLFPKGQMGWHPKIPYAKKKGNRVNVTMREFYAFNLHVRKTFRPLFRAGKLMQQYVVDVWSRKYQDAMSVVAKYGKPDYFITVTCNPKWDEIQESLANGQTATDQKWSRRGDGIYIYVVEFQKRGLPHVHMLLIMKPGSKPRTAADVDRLISAEIPDKDENPLLYELVTTMMMHRPCGVHNSNSPCMRGDVCTKKFPKEFRETTSTDNDGFSLYRRRDDGRFYEYKMGRNVVKLTNQHVVPYNSWFLMKYKCHINVEVCGAISSVKYLYKYVYKEKQQELLSSFASTMLEIQINKNSQFKTFQLRFNFRFLGDLSEDFEHQGYSKSESEAMANFDMFDRMEAMNEDLKKWIKKSYIRVHRYGQVIDHEMCKKLGEQMRESLNKEQAEFIDAVLNSLDVGGLFFLWXXXXIS >CRE11090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:655055:657341:-1 gene:WBGene00059216 transcript:CRE11090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11090 MADKREADYTKRVDEAVLKAKDLAQKDVEAAVGSLKDIEKLTRIDADMKSNTRVVQYMVRLFVKIFQINFLFFQAKLCFEGQKWDLLMETIMTLSTKRRLIQIAIAEMVRDAVAMIEKMPSEELKMKLIETLRTVTAGKIYVEVEHARLTLMVVKKLEAEGKLDEAATMLLELQVETYGLMEMKEKVLYLLEQMRYSLVRNDYARATIISENIILNNIEFFNNSETEDVQDLKLKYYELMIRFGLHGGNYLDVCRHHLEIYETKKIKEDSVKATYHLCSAVVYCLLAPHTNEQWDLLNRIAIQRELETDYKDILNLFINQKLISFKRDIVAKYETLLRRGTADSPDTGIFDKSIEGEKRWSELQLRVADHSMKKIARDYTMITLERLSHLIGFSTDEIQTVPLNTIVRSYCMRILPNRPSQIVHLRWNQRTVEQLETNDTAVKIISTNINTGNSSSFLTDKFCLEYCFDVFNELSNGKISKNWWKTEGN >CRE10907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:653309:653911:1 gene:WBGene00059217 transcript:CRE10907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10907 MSRKRFSFIHLLVRIQFFLTQACLDYYCDNLEPLVSNCEYRATRDNKQEQFCSIPFNQNHSSIGKIQFHFRQNHGNVMKTCAHSIRVYAETKEIPKVEERTLKQAETCSKLTYDYHHHSWIYNIFDFKNCNAKQMVKLLDLQNPNNRLDSFSRKNADFPPENVDFQPKNWQPGEFLEKREGALH >CRE10906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:650885:652966:1 gene:WBGene00059218 transcript:CRE10906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sir-2.1 description:CRE-SIR-2.1 protein [Source:UniProtKB/TrEMBL;Acc:E3M5G7] MATTNGEVQEIREEEDPEISEMHIENAPEMSDESGDSGRTRTESATSISSESWQNNDEMMGNLRRAQGLLDQGATPLQIIRQIFPDFDVSRVAMMSENAHFALLSDLLERAPIRQKLPEFNSLADAVELFKTRKNILILTGAGVSVSCGIPDFRSKDGIYARLRGEFPNLPDPTAMFDIRYFRNNPAPFYNFAREIFPGQFTPSVSHRFIKELESAGRLLRNYTQNIDTLEHQTGIKRVVECHGSFSKNTCTECGDQTDGMVIREDVLAMRVARCKKCDGVIKPNIVFFGEDLGKDFHRCVTEDKNKVDLIVVIGSSLKVRPVALIPHCVGKDVPQILINRESLPHYNADIELLGNCDDIIRDICFSLGGSFAEMIASYDTIMEEKKQKEPVKEHHPVVSRNKRQLISQQEFLNICLREKNGASESDDEPNLKRPRMSTSDNMDTEKDTFHDIQKHKSEDDEDTMNSDDVLKNIKHPRLLNITEMLNDNKCVAISTYQTVFPGAECSFDLETLKLVREVNHYARSGCSTSESSSSDTVSQSNAISRAQSMDDFVLLEERQKLSYEQRSDSCDDNLQYELSDPINPETFSSLCEQMRIQ >CRE10905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:649223:650469:1 gene:WBGene00059219 transcript:CRE10905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pges-2 MRFFGVRTIQIASLAGFSWGGSKLDEVPTTKPRTCPIQIQRPEQVKDDVLLSRKVINHLDKSNLKLRLYQYETCPFCCKVRAFLDYYGFSYELVEVNPVTRSQIKFSTQYKKVPIIRSGETTLTESSLIVSQLATFLQRPDQTLDQVIQMYPGVDSTNEKGKPVVNYPNKFFIMKGKMEGETNMANAREEREWREWVDNWFIHLISPNVYRNWNESLETFRWFEQVGDWHRAFPAWERILAVYVGAAAMFLLSKTLKKKHNIEDEREELRKACRDWMAAIGPNRKFLGGDQPNLADISLYGAMNSFYGCSAFKEVILEEKIVYWWRRMDSVVKNHEGRKTLESRVLIVQKQ >CRE11089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:644527:648234:-1 gene:WBGene00059220 transcript:CRE11089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-iars-1 description:CRE-IARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M5G5] MSGLSTVPDNINFAKEEDKIAQKWKDENTFERSVELSKDRPHFTFYDGPPFATGLPHYGHMLTSTIKDVVGRWAHQNGHYVERRFGWDTHGLPVEYEIDKTLGISGPQDVMKMGIANYNNECRKIVMRYSGEWEKTMGRLGRWVDFKHDYKTLYPWFMESVWWAFSELHKKGLVYKGVKVMPFSTACSTPLSNFEAGQNYKDVVDPAVFVGFKLLDNPNRMLVAWTTTPWTLPSNLALVVHPDMQYVVAKDKTTGIEYVVLEERLGELKNENLEVVEKLVGKQLKDLKYEPLFPYFAHMREERNAFRVLNDTFVTSDSGTGVVHQAPYFGEIDFQVCVNNGVIAKDQKMICPVDENGKYTSEVKDYEGIYVKDADKLIMKKLKEMGNLVRQAECKHSYPFCWRSDTPLLYKAVPSWFIRVETLIPRLLANNDETYWVPSFVKDKRFANWLRDARDWAVSRNRFWGTPINLWVSDDGEEVVCVGSIAELEELSGQKITDLHRESVDDITIPSRSGRGVLKRVSEVFDCWFESGSMPYAQNHYPFENRKIFEDNFPADFIAEGIDQTRGWFYTLLVLSTALFNKPPFKNLICNGLVLASDGAKMSKRKKNYPDPMEIVNKYGADALRLYLINSPVVRGENLRFREEGVRDLLKDVFLPWFNAYRFFVQNVQAYEHETGNVFDMNAHVASENVMDRWIESFTNSLVGFVRKEMDAYRLYAVVGPLTKFFDTLTNIYIRLNRKRVKGDNGLHEQHHALAALGRVLILIVRLMAPFTPFFCEYIWQNLKKVIGASEESVHFLMLPKPDESLIDESVERRVEVMRNVIDLVRLVRDREGLAVKYPLKEMVVINRDSQFLEDVKSLEPYVLLELNVRKLTVSQDKQKYGITLKVCYNSEYFFSRSKFFQAEPNFKILGARLKGEQKKVADYLKNKITETELEQFLLEGKLTVLGHEISSEEVAVSYANGSDQGHGFKTHSDAKTIVMIDTTEDESLVEEGLCREVTNRVQRLRKHAKLVSTDTAHVHIVVHPNDSQLAQVVAAKLKDIESATGTPIKLGAPSASAKAPTATSKSAVKDSEVELWLFAEGDNFEGITVVDGTKKVRVHLKTENEKLNGYADLLYHVSSKVFWHLLIYNL >CRE07961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2055:1118:2891:1 gene:WBGene00059221 transcript:CRE07961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07961 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NU70] MAKEKINTEDQKKVRGFVQRIIGKLIFKWNHHTTMDLFCTAELAELCMRARELIWSEPICLKLEGPICVMGDLHGQFDDLLGMLDLNGWPLTESEMIWFEEKTLKIKRSGRNEQKSSAQPESTPIKTPKGSGEVKSEMEELGYKRYLFLGDYVDRGLFSMEVVILLISLKLAYPNRVYMLRGNHESRSVNCHYGFYREVTRRYDPSLYECFQNLFNVFPFCAVIENSIICMHGGISEHLTNFSQFTAFKRPLEVPDVGVLADLTWADPDPTVKTYKASTRGASYVFGAQALRAFLKKLNLQMVIRAHQVVEDGYEFFDGRRLVTIFSAPNYCGQNDNTAAILCIDKKLKISIVGYRPAQRDKKFEKEKRSKVPPKPC >CRE10904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:638428:639502:1 gene:WBGene00059222 transcript:CRE10904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10904 MAKNKIDNTSLALDKDFTIDQLLPKELVDHYMIRKPIGVRVHKQDCRITHVLPESILIGKAFLGDCIVGIDDKVITSGDELHTKLKEKTENIHLSLKRNMFSWCFHIRTTVEKIQIDRDVERITPNPVNHYFVLIRLRPTPEMQIIDLGLFVKYNNRDRLEVDYVTPNSLASIHLKAGDVIREVNSQQICSKSMLRYHIANSIASSGVFTLLVESPIRDTVRDHIDLADDVVQIADKAAEEFKKLGKGYVPQPPKRANPKRNIKLKEEKTEYPIVSDIDPSKLRPCKNAS >CRE11086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:626325:636798:-1 gene:WBGene00059223 transcript:CRE11086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11086 MASLQAFRPLQLNETQVCVISSYPPREMSEINDNSAEHSAAPCCPRRTRSPLSKRIRQRETLAIGGMSDLVEFDHLIPFEADLNPEKNGIRHRVFSTFYHFGAKLYDCLYAVAIEIPEDGETVPISAVLKSLNYEHFLTPECRFPPVSKLLDVIEKREMDDCQILFKISDLIEDHKTEKPETFGPYDPSNPNRVPIQCAVDAATTVASMAYCFLASSFAEDLMKAACPEAYGYDDEEDESDDEEDGTEDDDAVKPPKEKKIPILNHRKLPPVEPIELQQNAMLLLATRIGIEQFLILANEMGKVQFQGHKLSTITPLMEAAASSSELIVNRLLKMGADPNVQSVPNCNTALIYAACTDARDVVREILMSEGPIKPDVYLINNFYHDALMEVALVGGVDTLKDFLDAGYPPKFLDVQSTTRQESALTLASLKGYSQIVSTILDYHDKHPPTTSDDLRDACLERYSALMEAAMEGHVDVCKLMLSRGTPTEMSENVHIEAQSPLLLACSGGYPEIVEVLLAAGARVDEISNKNSTCLMEACCGEQGDQVNVVRLLLAKHAEVNYLHPDTGDTPLSLAARFGHIGIMKLLVEKNGDLTAGKTSPIVEAAAKNKLECVQFILAHCKAIPQEQLSRALVAGADTGCLQIVEELVRAGADMNFEQDERTAMMKAAKNNRYDVVQFLVNKGASVNFKSSKNDATALSLACSEGHMEIAQFLIRNGADPMLKMDDGVNCFMEVARHGSFDLMSMLVEFTKGNISLDKEPPKLGINRCKTNKKKKKNGTGVGCGMDTSEMLMMLNGVLPKRKGSKQPGMHDLPYSTHEIDMLTHLLKLQQQMVSYEAHKSADKDTPNLNKVLEGLQIGYGFTAEGKINFPPPPCRVDMDKLYNGELVPNIKLWAELVAHGWMEMERKVGRPVEMSSFQICSEGHSTNAAAAVSAVAAAATGMDSQAYLASVFAKMNNGEEMPRVPATVGSLNAASAAMTGISFHSDDAMRLFGGASFATKMVSDNKKTCNHQQFATVHHIQEAAFRAALLKMDAMYKERKGAAISVVDMESNFPIDAKETRITAKSPPVGPKTTSMTVPKPEKNSAEVEVTTEQPGVDMSFQKDGMEPSQVYPKILKLAIEMEQMYRSNPTDKAREIAVTTAYIASTLPEQICLEMNVESGDRLLKKLLSGMSEKQKLAMMTRARKTITTETDNELLRRSADSLSDKRLKEEYLKIFRETADCAFYDKCVREKKLKAAEQKHARTSTANVGSQNSMAPAKSQAGKVVASQQQSGQLRRTHSEGDGAERAKARSNAIDKSTDTTLETPLTIACANGHRDIVELLLKEGANIEHRDKKGFSPLIIAATAGHASVVEVLLKNHAAIEAQSDRTKDTALSLACSGGRKDVVELLLSHGANKEHRNVSDYTPLSLASSGGYIDIVNMLLSSGSEINSRTGSKLGISPLMLASMNGHKEATKVLLEKGSDINAQIETNRNTALTLASFQGRTEVVKLLLQYHANVEHRAKTGLTPLMECATGGYVEVGTLLIEAGADPNASPVQATKDTALTIAAEKGNDKFVEMLLDHDAAIDARNKKGCSALWLACNNGHLSTAEVLITKGADPDTFDNRKISPMMAAFRKGHIEMVTFMVGHAKQFPNETDLSRAVQAIESEETKAKCNSCIDVIRNAKKAQAESAEKAANSLLEQIDEENAKNEEKKQKQKEKKNKKKEAKKKEKVEGASQPPEPEPEPAEENVEEPEPAPVPEPEPEPEPENVPVPEPTPAVVEEPPKDPPKPRRNRRKTNPDGVPKGPKVVKEVKPIVEEEPSELPYAPIKVTIPPPAQVQAPMVSPSSYSESEEWCKAGKEGKKARPAKRPDGRQTAPSSGGSSQPKNASATSSVASERQNPWEVDTKGSKVFEFTVLGNIVSRVIGKSGSNINAVREATGAQIEIDKLGGSKEDDRHITVRGSADTVSHATNIIYLLIHDKNMLITDAIRTVLRGNSSVASSLSSEGTSRSAVDSTSYAPSSIPQSMSSASLARQSSSPAPVSTQPQAHPKPSKSHGHQTSKDHSGGSSGGGNVWQQRMAARQEKEPAPISQSPKPTVPSPQQVRQQTPPQPIRQQSVPVQTATVPTPLKATTPTPARATTPLDRVIAPPVRRETPVAAASVQPVQQVHVPQARQEPVSAQQQQRLPEPVQRHVEPSGQAQRYPEPISRPQSSAHPMQNVQQQQPTFSKAPGTRVSTDFSRAPGPPTQAASNVPQTKAEVFDDRLAFGQFKQTAPGPPGTPNAQSASSLNTSLNDPSNGSIDFDISKLRMFDDGKTGGNIWGKGGEDSDTWGGLFTQFFPTSSTASVSSPLSSTVPTPMRSETRNDTEWPQSDAFNQLLSEQSQMSSNLGASTSSRQQQVTPGMSSLESKGWMPSSFTPSARDPNRTQPPLFARSPSSSAAATNPSTLLQQQQQQQQQQQQQRYQQQIHEQQQQQQQALQQQRMFQQQFSQTQSTLQQQQQQMYQLRMNPAYGQLAQQLLDHEKTSAPGPSHQPPSSSQLANSYYPSPSYTDNSVLGQLNLATMAQRGIKQFDGFNNDQPVNDSILAAIIEQKKSQPVLPSSGYMHAAQEQPPPFVGPSSSVTSQRMGMMRPQPQPQPFVTTPQQPPPGFGSLGAAPSNQGTVSQRQMYQFQQGPQQAPQFNPLTPLDWNTVQQQQQRQANPQNPSQSAPTKWNNWNNI >CRE11085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:624529:625442:-1 gene:WBGene00059224 transcript:CRE11085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpd-8 description:CRE-LPD-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M5G0] MLSRLSRSVVQAARSMYIQVQETPNPLSLKFLPGQQLLPDASKTYDFNSAAAAKQSPLAVKLLRVDGVKRVFFGEDFVTVTKADEHVDWALLRPEIFSTIADHLQTGKPVINEVAATDGEAEVEDNEVVMMIKEILETRIRPMVQEDGGDITYVGFDDGVVKLKMQGSCTGCPSSGVTLKNGIENMLTFYVPEVKEVIEVKDESDDLVERELKKFEQSRGIKE >CRE11084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:623895:624460:-1 gene:WBGene00059225 transcript:CRE11084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11084 MMYESRLFLILFALPAGSLGWDHFQFDTTLFCNYNGRPFYDLKIEWWEQDTLSAGEKISNAKILQADTGRFSFTMEGAMNGDEWFSAGYRPVAYISHDCTDDGKRVDLVLSLTTLCEVGHTCHYRVIKDITNTWGKEDMKPAGFLHDNMDPFPDFP >CRE11083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:623111:623692:-1 gene:WBGene00059226 transcript:CRE11083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11083 MSRIKMHRSLLLLLAISTLSATAWDHFQFDITLFCNFQDRAKYNLKIEWYEVDSVFSGEDRITTPQLLEPNTGRFSFSMPGAMNGDEALSNGYKPKAYISHDCTDDGKRVDLDLTVVKLCNTENTCHYRIIQDITNRSGSAEIEASGFKEGDMSPFPDFP >CRE10903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:617535:618711:1 gene:WBGene00059227 transcript:CRE10903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10903 MKFIRFTNPHVLFLLLVSIELSLFLFRSVFVGKPPSSVRAARECLHLQTNTLETDDHFFQRMWSSLHQVVDKCSYRHFRDRIKVIGSAKQSIFWLNWLVDTNDHQCNAIFIHSSKSVAMERELKRYTRKCVVYSLSDKHTETARYQDPRYSVLTLKQNVSSKARHLGWGVDHYARDADSVDLAYFTVVQVHKLRYDSINIFYDGSQHIKSLFTKSPFDEANLATCQFNIIYKRPKGRLARRDFRYNWKRLLYDRRYLVANVRRLHGSDDALSILLLNISEPYCWAKYISNQPFVPKNV >CRE11081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:616764:617087:-1 gene:WBGene00059228 transcript:CRE11081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11081 MINVDPPSGNYPASGGNSTHNIISESDSRLAFKVKSSNNEHYRVRPVYGFVEAKDKAKLEVNRLAGPAKEDKIVIQYAEVPAEETDPMAPFKAGAQQGEIIVKLIAA >CRE10902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:615956:616279:1 gene:WBGene00059229 transcript:CRE10902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10902 MINVDPPSGNYPASGGNSTHNIISESDSRLAFKVKSSNNEHYRVRPVYGFVEAKDKAKLEVNRLAGPAKEDKIVIQYAEVPAEETDPMAPFKAGAQQGETIVKLIAA >CRE11079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:613472:613944:-1 gene:WBGene00059230 transcript:CRE11079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11079 MSKEEFQKIHDEMRALKTAGKHDEFAKKFAAKDAIFMGPLHDPCNAADAAKLAGSEKMAALVKSDFNVKIDDITQLGDVVIERCTITAKLPTGDKTGWSLAVWVKEGGAWKIRNSCTTFKAPIPQQ >CRE10901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:610381:612854:1 gene:WBGene00059231 transcript:CRE10901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10901 MFNILVFTNGQVDRDPSFQSMCEFWNGKDSYRPRDNGYKSMSGDKCSFVFEVATDTEASARRYCEVNVPYHINDAIPGERTTCKAEATLICKNQWVQMFGRCYKITKELMTRKDAGEHCEKEKATIAFLHREDLAFRINEINTFSDYFKFVSRLWIDASEAITKDVIQNVQGGNLLLALDGFMYNLPNIALAIVDSSEMAMVLCEYTPPMNQAESNYLLKKYGEIYHPTIVTSHSSYIRTTSSLNRNVDDETANNRYCTNVLKPFIPDGKAQAAIPTRDFLNELARKKVGGIVRTSAFSARTTKTDRQNRQCVRNSNSIFHTYVSGLNNKGGYEPVESSEWRQNEPNEMCDGATSSTAIVLSHSKPLGLETMSDARYAPLYCQSVVDAYEYTNCPNGYQMFYRKELGQRWCHKYYNGPGVPMLNYDEAQAKCASEGAALTGYTSPEELAFLDDLLTKGNNLNRDTLIGAKRRDDCPQYGNKYSGGFSPDVTHRCSRKNVFEWKNGVAPNPPNIEADWAYPDEPNHGYDDEKCLVLLKGANSDHFRADMTKKLNDHSCTKKYHYICGMEAPIVKQ >CRE11078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:608695:609817:-1 gene:WBGene00059232 transcript:CRE11078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11078 MIILTFLLLVSTTVIAAQNVIHIQYFRRPEEYGIFRFDLDNGSKSWESYIYMNRSANIREEDIYKLIKPFFEEEPSIRRYESSSITRHLGKQFEYVLKKYPVHEMIFENLCSQMKPKFSSILASTSQLPRKIKWVIEHPSAFFLIPYGFTKGVGRDEYHIEIKGRASLDTDNLDYDALQNTQKLIIKNDSEMKNGWSGGKLLKIKAPQALIEGLNEVKTDEMKEFAKVCLSEWLAGERFIQKWDVELEDQKQNETLYFENDGTTLEVSAKNDKFMMSTYKTPTEPAFQFTTL >CRE10900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:606838:608633:1 gene:WBGene00059233 transcript:CRE10900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fmo-2 description:Dimethylaniline monooxygenase [N-oxide-forming] [Source:UniProtKB/TrEMBL;Acc:E3M5E9] MGTKKVAVIGAGASGLPSIRHGLLYGADVTCFEASDDVGGLWRYKSHETNESSVMKTTVINTSKEMTAYSDFPPQENLANFMHNNEMLNYLKAYAEHHGLLKHIKLRHRVLNIERSQNYEKDGTWKVTYQNPEGKTLEDIFDGVLVCSGHHAIPHWPTPFPGQNEFKGRIVHSHSYKDHKGYEDKVVVVVGIGNSGIDVAVEQSRIAKQVYLVTRRGTWLIPKLETRGLPFDVIMNTRFFSLYKLFPQSLLNSLVEYRINQRVDHDLYGLKPAHRVFSAHPSLNDELPNRIANGTVRIKPNIKKFDGYSVHFEDGSVIPHVDEVVMSTGFSFEFDLIEKGKLVPVTENEVDLFKYMFPVATSDHNSLCIIGLIQPFGSIMPVSEQQSRVFFANLFSGNHIIPRKSQMSEDVVNKKEAMAQQFVKSRRHTIQVDYIPYMDELAELIGCQVPILKTLLTDPILGLRLFFGPNAGYCYRLAGPHTWQGARNAILTIDQRVRKATTSKPEPTNYTIPLLISTLILFAIIFFSM >CRE11077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:602577:603339:-1 gene:WBGene00059234 transcript:CRE11077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11077 MPPKSKKAETTAAVAAPAAEEPAPAEPAAPVEEPPKAEEPSAAKPADKKQVVTKSGRAVRAAKKPLPTPAVESDQEKEDDEYQGDEDDEEVTFEPAPKKKARGKRSYDEDVDYGKAKKSGRKTTVKKGGSKPSTRTTARKNVPSESEEEEEEEEEEEKEVKNNKGAGKKAHAESDGDSSDGEAPARKAPQRASGRTRMSGAPPSARLAANKKKNDDSD >CRE10899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:589278:601859:1 gene:WBGene00059235 transcript:CRE10899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-epi-1 description:CRE-EPI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M5E7] MSPYDTSPWATRALFIVVTLLAQLTYSQVLTPSQITISHRKPIHATSTCGEIQGQPVTEIYCSLTGSSQYTPLNSYSYQEDDQQRSWSPDNAIVRGGHGCGLCNAGNENSHPASNMVDGNNSWWMSPPLSRGLQHNEINITIDLEQEFHVAYVWIQMANSPRPGSWVLERSTDHGKTYQPWFNFAENAAECMRRFGMESLSPISEDDSVTCRTDQANLQPLENAEMVIKILEHRPSSLKFATSEVLQNFTRATNIRLRLLGTRTLQGHLMEMNEFRDPTVTRRYFYAIKEIMIGGRCVCNGHAVTCDILEPQRPKSLLCRCEHNTCGDMCERCCPGFVQKKWQPATAHNNFTCEACNCFGRSNECYYDEQVDLNKQSVDSKGNYEGGGVCVNCRDNTEGINCNKCSYGYYRPEGVTWDEPQPCKACDCDPDKHTGSCAEETGKCECQARFVGENCDQCAPGYYDPPECKPCECFVNGTVGDVCLPENGQCPCKPGFGGTFCDTCADGFTNVTAGCVECVCDSTGSEHSNCSAATGQCECKPAYAGLSCDKCQVGYYGDDCKFCNCDPMGTEGGVCDQTTGQCLCKEGFAGDKCDRCDIAYYGYPNCKQCSCDGAGTTSPECDSTSGQCPCSGNFTGRTCDKCAAGFYNYPECRGCECLVSGAKGQTCDSNGQCYCKGNFEGERCDRCKPNFYNFPICEECNCNPSGVTRDFQGCDKVSPGELCSCRKHVTGRICDQCKPTFWDLQYHHEDGCRSCECNTNGTISGLNTCDLKTGQCMCKKNADGRRCDQCAEGFYRLNSYNQLGCESCHCDIGGALRAECDIESGQCKCRPRVTGLRCDRPIENHYFPTLWHNQYEAEDAHTEDQRPVRFAVDPEQFANFSWRGYAVFSPIQEKILLDVDITKASVYRLLFRYRNPTPVPITAIVSIVPRFSHTQDVEQSGKATFAPGDLPAMKEITVDGKPFVLNPGKWSLAIATKQRLFLDYIVVLPSEYYEGTALRQRAPQPCLSHSTKNTTCVDLIYPPIPSSSRLFVDMEKVPFNYVNEDGTTTALELVPVEILPSEITGPAAFVRADENPRTVEAKLDVPETGEYVVVIEYHNREETDGNVGVLVNQDDKEVLNGNVVVHHCPYATFCRELVSSGGTIPYIPLEKGEATVRLNIKPNHEFGLASVNLIKKSDFSSEYLQQVPVCIRKDGRCVPQNYPPASESVVTEAEAGSNMDKSILGDKLPFPVSNSKEMRVVPLDDAQVTQYPSRTQKTITYFQATIEISGVVPTRGHYMFLVHYFNPDNTPINIDVLVQNEHYFQGDSCNTHACSTVPLAFCPSISGCRALIRDKERPEVIQFFMDDKYTATFYHNSSQKGPIYIDSITAVPYNSFKDKLMEPLALDLSNDFLKECSEENLKNHPESVSDFCKQKIFSLTTDFNSAALSCDCVAQGSESFQCEEYGGQCKCKPNVIGRRCERCAPGYYNFPECIKCQCNVGQQCEERTGQCFCPPHVEGQTCDRCVSNAFGYDPLIGCQKCGCHPQGSEGGNLVCDPESGQCLCRESMGGRQCDRCLAGFYGFPHCYGCSCNRDGTTEEICDATNAQCKCKENVYGARCEACKAGTFDLSAENPLGCVNCFCFGVTDSCRSSMYPVNHVSYSISSDFITIFPLFQMSVDMSSFLTTDDNGMVDNKDDTVVYTSEESSPNSVYFIVPIETKDYTTSYGLKLTFKLSTVPRGGRKTMNADADVRLTGANMTIEYWATEQPTNPEEQFTVKCKLVPENFLTAEGKTVTREELMKVLHSLQNITLKASYFDHPKTSTLYEFGLEVSEPNGADSVIKASSVEQCQCPAPYTGPSCQLCASGYHRVSSGSFLGACVPCECNGHSATCDPDTGICTDCEHNTHGDHCELCDEGHYGNATNGSPYDCMACACPFAPSNNFAKSCDVSEEGQLLQCNCKPGYTGDRCDRCAAGYFGQPQQVGGSCEPCACNGNNNLTDSRACHPVSGDCYLCEQNTDGRHCEWCAQWFYGDAVAAKNCSSCECDQCGSQYCDNKSGGCECKTNVEGDSCDRCIADHWGFSKCQGCQACHCGTAAFNTQCNVENGQCTCRPGATGMRCEHCEHGYWNYGEHGCDKCDCESDLSMGTVCDVRTGQCHCQEGATGSRCDQCLPSYLRIPTHGCRRCDECVHHLIGDVDNLELEIDVLGTAIANISSATIVGARLARNKKEFNDINEITKMLNDEENSFGNVFGDASDILTNATQILNKLNRTKNHSNNTAAAFKSLTVNGTEFLNDVAKKAQRARQSVRSLAEIALAIGSSSKAVNVDPRLLREAEETLMSLEATSADPYPEKAESVPGKLKEIQDKIQAETDKLEEQKKTFEAQKKKAEDLAAYLNSAQQLLKESKSKADRANNLAKMLQLAKVQNLVAAISDDLERVDTVKGEFQKVNVAIGNITESLKDNREAINTKLTTLNETRNDLAEAMEAAKKRVRRDEKPADMKLINAKTHELHLQSTTLRQAFDNNKDNSDQALEAANAYTNLTETLRSAKEKIAKAFELLEEESKYAEAVQAAKDKPVSDELKEKIAELSKQSAIDVKDAEKFKRELEQLTEFTDKLRKRKEAVKAGIPKYNKETLDSIDDKVKEIEGLKAEVDGNIEETRAKIAEIAGKAEEITEKANSAMEGIRLARRNSVQLQKLAPVVVSKFEELKKLSAVRTGKVDSIKDKVLNVREMIAVARTAANKIKLGAHFQKGSSLDLNIGQRVTRSAAHADISFYFRTEEEHGIPLFFGNEETAVGSRAVPTADYVAAEIEYGRPKVTVDLGDAPAVVKLDTPVNDGLWRRLNIERIGKTVNVTLTKPNSVETAETKSSVAGGNKSVLNLNQQISKLFVGGIPTTARVSFPSIKHLLILIFQITKALYNRDFVGDIELLKLHGEPIGLWNAREKGNVNVSGAQKKPKMTDNADELVISLDGEGYTSYKPSHWNPRKATKISLSFLTFSPHGTLFFVGKDRDFMSLELSEGNVKLSVDLGSGVEQWITDSSSYNDGKWHTVSIVREEKHVKMMIDGETEVHEGDVPGKDSEMSVTEFLYIGGTPSGLSVRTTIVPLRGCIKSVKLGSDDVDLEATHASKGVRTGCPLHTVRTVSFLSDRTTAGFNNATEFSEDVSVTFKFKTKSIRQTSSLFTVNDDEDSVLSVTINEEGILTVTSGEDVATLELAASPDEKWHYVSIRKTKQIIRIDADDSFSNEVTRKHADDSNPDASFLSAFFGKSGETPSFVGCIGDVTLNGKLLDFSRSDIKEISLNGCSLSDDENVSTTSTTTTAAPTDADVAVLPNDDEEEETTTTTPATTTEEPTEAPAEVRMKDQPIYVPPNAKRDSSYHFSDHFEFVDLSVRPDGHCSLPQDAMIQFEDAEGFNFGTQQHSRIEYDVLPEAIDKSGEFTFKIRPTADNGIVFIATNKRTDHIAVILEHGRVIFTYDTGSGQVVIKSDKSIIDGRWHSIKVSRRGKSAHLIVDDDSYESEGASNQNEDLIETQPPFFVGGVPSDLAGFVRTLVPGVRSQFSGCIKDFRLNGKSLNNGKEFGTEQCSQFSEPGMYFGKDGGYAIVQKDYEVGASFGVEVEIRPRMKDGVLFSVGVLEYITVEFVNGSIKTTVESGSGGEDLWHHPATDNEYCDGQWQAFKISKKRNLLTVTVNGKAHIKILKKAKTDVLTKDPLYFGGLPEGVTNKGITTNKPFVGCIRFISFGLKKERKMIRRKKQVDTEVFDVYGDVSRDGCPAI >CRE10898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:586368:587865:1 gene:WBGene00059236 transcript:CRE10898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10898 MDLENSTIFQLPSELIIQVLKNCTFQECYKVRLTCKTLKKLIEENLKYLASTEIYHIDLARRRVRAWRNFSWCDPIPFESIEYISKFFCFVNVKVLTVNKLDEELCAFLTENAQKNMLRFEQLRLFHSFSASHESICQLLLAAKCRVINFEAAESNLQPINFELEGFKKLNSLCFEMDDSIDEEFYDSILNNCQAKKFIFHSCDKIPQRFVRNMFEQWLIGSRELEAVRITTNQNFNFGDIISGLNIQNVDSSTWTMKNVKEDKATITMRRNNFHFFVAFEVNDHHIEDILYKIPFIE >CRE11076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:575708:577430:-1 gene:WBGene00059237 transcript:CRE11076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11076 MFPLLGFFFFALDTTASTQIFSENVGKVVVTQNTCSVVPHRVWKRFTEDQPTPKPLNEYSPETIKFLQTWVHTAHGVESRLENMLLKWDDQSICFDRPSTITLKKIAHGGEFSSNMQKFSYLEVPEHWAARNEDDLGTWKNIKLEECSTTDGVTYRCPESAFKDSCSQKDLEKCSERIEMDSSDQFFTMVRRLGHSHVVATRARRGTIIRSGNVFDMKPVDLPERIFKLTLAPTDIMSIGEQILKN >CRE11075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:571387:574949:-1 gene:WBGene00059238 transcript:CRE11075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11075 MLFRVLLLVLASANLVDAYFGLDQSEQIPKVYTCEIDGIVDNVIHQLHGNLVNCQNPPMECWIDPHEFLIKTQTLVNCQVPNDDWIFYDEKECAFQFYYRRSDCFYENRCYSMSMICVPVISFTASFKIAAGFVVLLAFCLLFLVTCCIRKLCGCKTEAKTIPQKSQIVKLREIPKEEPMRLHNNFVPLMYETAI >CRE10897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:569448:571111:1 gene:WBGene00059239 transcript:CRE10897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10897 MAPAAISWYCFTQEEKNDLEHSWSLVEAKKNHIACDIYEMIFNQCPEARRLFPKLKFVGSKPDRKNNEFAFQAMRFMQVIEGAVKALDHLTSLDVILDNLGRRHGKLEVNGKFRSYYWSVFLECSIYCLRHAFSKRMNDKEVDHVIILWRYLLRDVMKKIKAGTTADIAHRLHQMSIDDSRKYSLPAIQHKESNASSAGTDFDDIL >CRE11074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:556960:559255:-1 gene:WBGene00059240 transcript:CRE11074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11074 MTVPVRYLVYGFSTSCITLLYALRLAFHSTIICQLENSTDSFLLDEDLKQYTFQSVGFGLAVGLVPLHFLNFLGTRNVTTIYGIIGIISALFYPTSYQLGFYPSFIIRLFQGAPLGILLWLIAKVATEWTPKSETAIAIAILTSVYQLAPFVAQISAAEMCQYFGWEYTYYFLAVLCAASHVAFYYVYTDRVEDNKLATSEEKLFISDGKGKDSSQVSQDVPYSQLLLDSTVWATWFANLAFFSSLLVFLQYGPLYMNQVLGFSVRTTGYSGGVAHVFCLFAKVIFGKVMDASKMDMSKRLKTAWTVIEIPSLTLLMALIIVRDVYIQMVCIVIFITIHGVAIVIIVKTQTYRSAEHNHVLANGNTLCVVLCLFLQPLVVKFLVQSNTFDEWSRVFGLHAILILVSVIFFSCKVDATPAKWTGIQKVATDKIEQP >CRE11073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:553468:555905:-1 gene:WBGene00059241 transcript:CRE11073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fmo-1 description:Dimethylaniline monooxygenase [N-oxide-forming] [Source:UniProtKB/TrEMBL;Acc:E3M5E1] MAPPNNRKLLIVGAGASGLPSLRHALLYGVDVVCFELTNQVGGLWNYKPQETDLSSVMKTTVINTSKEMTAYSDFPPEGTMANFMHNTEMYRYLQNYSDHYELDKHIKFNHKVNSIDRNEDYDKTGKWKVNYTDDKGVTHVTVFDGVLLCSGHHTTPNWPTKFRGQDEFKGRIIHSHSYKDHRGYEDKTVVVVGIGNSGGDVAVELSRIAKQVYLVTRRGTWVFNRIFDYGKPIDMVMNRKFLSDLRSIVPAWLSNTVVEAKLNMRFDHKAYGLKPAHRVFGAHPTVNDELPNRIACGTVRIKPNIANFTEYGIVFQDGSKIEHVDEVTVFVVMSTGFSFEFGLVEKGELIKVNNNHVSLYQYMFPTDLSDHNSLAIIGLVQPFGSIMPLSEMQARVYMEQFTGNNVVPSKREMIDNVHDKLEKMARRYVKSNRHTIQVDYVDYIEELAKLIGAQLDMKQLWKEDPWLAYKVYFGPRVPYIYRLNGPHKWKEARNAILSVDERVLMATNDHSQVEPDYSVLYIVGILLVALILLYILF >CRE11072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:550992:552034:-1 gene:WBGene00059242 transcript:CRE11072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11072 MIHLRLFAFFLLFFLLPFQFSNAINCFAGSRGYIKGKQEQKFVNETCDEGMKYCLESYTEDFDSVTASCQTLSTSRRILNICESGKPLISSGLTTRCCYDDLCNNIGIEKKLSGLKNK >CRE11071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:544551:550799:-1 gene:WBGene00059243 transcript:CRE11071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11071 MFLIDNNGRVKTHKNWQFPIREVCHFDFSSVGKDQTEMTAPALGLLLLLLLVGLAAGVQYDIRGNIDLIRSYDINPEFYDEKDFTEKLEKVSARMAEIIGSDFKDFIVHNYVIHGEDTAVFHAHLSLSSNPSVSRQDIIDRIVATEDVQIYFLQQKELEVQTDVSSRFRVVRADVDHCLHGGILLPNATCSCLPYYSGANCEIVSCRNNGIGQNGRCICPPGLYSAHCEARTCSTKIESVVDFSSQSLILVINTRTSMAYDLNVIIENIPVLVQDYQNQNVNVASYIVTIFRYSAPVYFMETTSFTTSDDMLNYLQGVTIAPSKDDQPILDAINSAQSTQVSMRPKSIVYVFADSENMIDPTPSTRLSNNNESMVVQQTLAWRNKIVLVLSQWTATPLDPTANHFDVLRRVVTAVHGDLLIVDKTELMDVLHFLLFYFINGQNNYVQYYNNVAKTIPILSDASTQNSYLLLSVENGDSIPNVQDKNGKMLTPSVSGKRFALYRIQQSVTDLVTISADTIPRHNTRVWFTSPDDILISYSDDDTVDNNYAHTFNGFRQRPAVYSSFASTSGVTVTRLDSYNSSTILPASAFAAKSTSCVFQYQLTATSSCPPGPFVHNVVVATANGNKYRVVPGYCFTPDPHSAPLWACINNGTRKGVQSCNCAANWQGAHCEVPQCQNGGSQDKFPNGGGHGNCVCPFGITGSFCEIMSCPSTSPDTFESYQRSFALVVQNSLSANQALVRLNDGLTAMLNKGQSDDFEDFVLTTFKARTINGQSVPDITSSKFSSASAFLNATTDVGIGYSYSPSGLQPGLFALESTMKSMDYDKSSIFFFTDSAPVITASATNFSDIVQTAIERQIEISIVIIPPYGSLDLCPTNTLFEEYEDLARITGGNFINFCQQYTTSGDPVFNFIASYGSTHHHTEVVSFYTVPDCSNFTSRDFYISSASNDAYAVVYSPTVQSFSVAVTVAGASQALVPDSHSVPFFASFQIKIATGSTLNYTLQLNGSSSDQCFVRITERSQFSTYLGFSPDPSFDRFSKDLTYATHQQPVLHLSSTLQSDPYVSTSTYDDQNKETTYSSFDKRTSGCKYEYIMKDPITCDRAGDTFTMETTIKTNEVTIMRTQRAFCSDLVGCINGGILLGGSCQCVNGYTSLHCELPTCQNGGTVVDFKCQCDAKHDGELCQYTKCNDWNFVETHDPREYNFQQVVFVVEVNTKNMVVPTSILQKNIQAFVDTTDNINLPKQYTLVTFDDNNIRVIASSTQKDVFLAAFQNGITFGTNSPSAVMGLDAINTAYATLLDLPGIMYVFTANPTAKPNATYPVVVRQRFGVQVNIVWMGTDLTLANINSYYSVIARQSNGRILPVNGLFTAQVSISAVLTPPSEKFQILGALTPTVNENQLILDDGAKDCSAGVNYQFPVGNLATTLVLAATGTGVSVTVTDENSKSVDLTNSSTFTDVNTLIRTINTVGHSGGSWNVKVKSTGNCFLQVRVNSPLQVVPKFTNDQGDDYGSGTPRVGAGATAASYITFRILDSYNSDSNNFGSFITVIESADTDPATPWSTTSNFKNTTVLVRDPVGCASQFVTPLLTWTSTYMKFVVRGIDSNNNNFQRTFFFNKNANKSDCQNGAGVDAYGFCQCDAKHWGNLCQMRRCQNGGVSAYGVCDCPNGYYGDFCEQYIST >CRE10894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:538463:538805:1 gene:WBGene00059244 transcript:CRE10894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10894 MKVSEFNQGTSYNGILPTRPDMSEDKVLIRKLKMYSILFFILFILFLITTIIVSVILGINIKNEQDLEDQLETCIKMRDSFFFKSKDPSQIIDT >CRE10893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:533325:537874:1 gene:WBGene00059245 transcript:CRE10893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-14 description:CRE-PTR-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M5D5] MTGASALEPPAFVRLLNRFFRKCGLFVADNDKTVLIVTLLLTAFCSSKILFTKQEDDIKTGYTPNGARSLDEIEVFSDFFASTGDPIAVFLFATAKDNSSMAEYNAMKEVVDILDFVGENLTHKGESFYTMCTDFCQINEPVRQYYNGLAMRQQNLSDVGSRFQLTFPMMQVLGKELDLSPNFFGVRTDEDGQVEYVKIIMLQIRANIPKDWKKEDAAEYERMISQYFHFKYNSTYVTPLAMSLTYTGDEVVRTGLTIFPYIGVGFAIMTTFSVITIYWSSSRLDQWTVHKISEAILACVCPLLATSSALGTLFWFGFRFGTILCVTPFLILAIGVDDAYLQIHACMRLTAEDSSMTKREKIARMLVEVGPSIAITSMTNLFAFLVGIYTPTPEISLFCAGNAVAILFDFIYQITMYTAILSICENLEMRKNATKRKDSDLSFKNEQFTVMLDSYCDWVANAYTHLLVLFCFIVYIYVSVRGALNINIILSPDKLVIGDSPLLQVNYLRDTFVLPNYTTVNIFVQNPGNLTIQSNLDYMNSLMESFESYPECLGKKFSHYFVRDYESFRESSLEEMEMLEDEEGESLKNKTAAEPFSKEAMSDFLAWPEFQHWNGFVKFDENQKLSRFWATVSYHGEGLGDFQVRRKMLNRWRATADQFAPLNVSIFDDYAPFVDTLETILPATISTSVCTLLCMMIVCFLFMYNVFTVFVATLAITSICIGVFGFLSMWGIDLDPISMACLIMSIGFSVDFPAHITFHYFREGLHDPQSTPAKRVARSLAAIGFPLLQCGISTILFVLCLLFVPTYMGEVFVKTMILVVTLGLIHGLFIVPAFLCAFTAINETCFSSTKVKNSQVSPGLIYESKLSISP >CRE10892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:527342:528187:1 gene:WBGene00059246 transcript:CRE10892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10892 MRRLLPFLLLPLVLCQITPVPKMDITPIPIDKTDPNVKEFQRIDSNKDGQLTFTEFLLSDRPFLEHQSRRFHGFDGNGDGVVTKKEFEDYFKKLEKHKRRSDMFFNKFNRDRRDPFQASFFDSFPKNPQGEEGSRELSGPLHNPSMVLL >CRE10889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:517184:518566:1 gene:WBGene00059248 transcript:CRE10889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10889 MMRRGGAATFFAVLSVSLLLYISYSSLNTSTTSFRLRDSAVDKVAKNYSAWHDCLKRNISIYDGNPDGLWGNLWRGIKSCEVIPEMKGLFIGDYPNSDETKRHIVPKMVSCRWKTSKFLYNFQQLPSVIVTLGIGHDTGAEEKLIKGLPHGSEFFGADPMHEINENLYTKLPGKYFPFAVADAAGLAEANVLINTSYTTKTVVTLDIIYFLKNLVKRTFIDDIWIDGEGAEYGLFDHFFNDGKFDENGITFCQFNIEIHKPDNLQKKLFHDFIFQLLNDRRYAIYRPVQGHHMRLFMVNFANEECVYKYIL >CRE16934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:183760:185994:1 gene:WBGene00059249 transcript:CRE16934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16934 MGSIEKIQLIIETNNYAKYWFGSDVALVQHPLLDYYADDIKLYSHDPVLLQHGINLVSKWASANALPLAHTKTSLLRLGPENSRHPFHVDSIPISESTVVRDLGLLTDSNLKFDLHISKLSSLALLRCNQLLKAFKSRSLSLYRHLFNTYVLPLLEYCSVVYSPSPSSVLSQKLEKPLRLFTRKVLQRCNIPYSSYLDRLEILDIYSVRHRRLKSQLILLYKFICGAAYLPNIQSYVRLSNSARRPMTLICVRPDIKDFFSNSIPLWNSVTCNTHKFLSPGEFVSLLNHSISRL >CRE10886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:502017:504362:1 gene:WBGene00059250 transcript:CRE10886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10886 MMRHQKTIVLCESEKAAADKEKPEISEQIDPSIYIRQGLMNGMGMGQQFGMGQNAMNQVNFAFNCKCTSKSTNPLAPAPMDANALAQQQIVQLQEQVRRQQEIINRQSATKNGFENFTQLIALANQMDCSCSSDNSGMGGSVGMGGMYASQGGMGGYPPITHGFGFPAQRGVPIQTFGGTQQMIEVPYARS >CRE11067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:499431:499788:-1 gene:WBGene00059251 transcript:CRE11067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11067 MASFVMLSFLVVCVLFVCATASSYDSVDKRVDEVMPEFQKSLFQLLDKRPPVVHSRASRVDCMLALRSFELCRNLL >CRE10885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:497776:499342:1 gene:WBGene00059252 transcript:CRE10885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nkb-2 description:CRE-NKB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M5C3] MIGNSSSGDGSQEKKSFLDKPNSSKTANSAVTGASEKSNKTEKSSKKTEQKTDRSVKSTKTQMTEKANQSIVSQKSTASTQSMGRRGPKMSDGTEFDTGPYGYIFSFIYLAVLWGLAIILAILLVYFNYSRLDPQFPTYFGHGSFLGGVPSEQFCLTSFRMITHFSEATFDPNPRRFLEEGNINVMEWNIYEFKSYLNYLIRYKQLLKKYSGGDTIKTRVIGKGLCSNQSLTVDKSCQFDRFTGFGECVLSHANLEHGFGFSKGQPCIMLRLNKIVGWAPILSNQTECDDGDLCCGTGIQFECKSNDDVQFEYYPKTGIPSCYFPYANQRGYEQPYQMVKLANISFNTPTTIECYPKDSSLRTLDSGKVNEARFHIKMTKDTVDEKKKD >CRE11065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:494606:496220:-1 gene:WBGene00059254 transcript:CRE11065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11065 MTRLSAEEEIELNHYRFKMPKHSYIFYGFWSTFIIIIVIMTHFNEYSEPVIPWDNCDMNTTWEPPMRSFINDMQLKSELHKIAKFREKNSLYRKNNFSRIEYGTSLLSYCKAEGDLICDWKTKEAEVQVPAGLIIKSITRSIELDPYFSSGLRFLILQCMSFRMTMALIRSSDVLTSRNRSFPRFIQFILSFAPWLSCLQSFSLFLITSLHSEFDREYLAFFQPYAYLMIGIFTFLEMLCDLLQDAYDGRFVFIQTPRFYIKSAFFVIFSACFPHVVLHWLEFFRTKRCHAYVPFVDGIFEYICAASIIAYNFINAQTNVEMYITPTSEDVKSVVSYPPEVYSPVGCSDKSVIYESLSSVRR >CRE10884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:491514:494438:1 gene:WBGene00059255 transcript:CRE10884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10884 MFKYCNSSFSPFSFLKTFLFISMQFSPFFSNSNQMKRDDLQGIRGLAIGAVIAFHFFPRWFPNGYIGVDMFFVLSGFLMTMIFGSKPITSESVYLFYYRRAKRILPLYLAIILIGSLLVFIVYPSTFVPMNMDSAWNSIFLYHNMAEHSDNNLYFKMLNQAEDIFTHTWSLCVEMQFYLLAPIIFLFLSFCTTGSMLTVFHLIIIVTSLGNHLMATEQVAFNSVFCRVWQFLIGSAVYFLSVELIELDTKTIKYTELLLEEQGDSDEEEKEENDEDDEVIFSKPRRNLSRSPLYILLWTSLLFLIFLSFAPWAIPASALRITCTFLSAIIILTGTLCETNPMKNRVLVYIGDISYSLYLVHWPIYVYVKHYYENQFPVYIIGILVSIILAIIISETFEKWYLKLGKQETLFMILICYISIVLIVFKRNEISSFVDNVKYGHEENLMGKVHSSYENITLKQAILLNKKWAREEYKNLVIPNCYPNKTEHGFCEFDKGNLTGDMTVFIVGNSLTPNLGSLVYKTFNNHAKVMYKYSNSYCEILTVASEKRCRKAHDTYEEEVFKKLPDVLFMLDRQDKLRRPIKGPVEKDEIFREALATLRKYENNVKKKIYMLESYTPSTNLPLSKFAQMLEEGKKVKQSLYKLDLSYLNALQRQEELVKKCSKCELIRISDILFNGNQTKNYDEETKLGYYYDGLHITPFAMNLILPLFQKASDNFS >CRE10883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:486375:489318:1 gene:WBGene00059256 transcript:CRE10883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-43 description:CRE-LGC-43 protein [Source:UniProtKB/TrEMBL;Acc:E3M5B9] MIRCLLCAIFILIINYLVVHGSAEGIFKAKAFVAFPSPTSSIFDWVPFKPSGSRQSTPLIRLKVRAINRVVYTKKDSLLKSVENVEEYKKEQEKQFEAKPTSIEEKVIRVNEPDKMYEKGEEPKIFLFPKQGGTVSKIGDDFGKEEVIGEENDDYGGKPKDDDFHLMYDDELKEYVLKRQLKMDKNGIIDSWNGGDSKEKGGREKVGEEEEGEDDEARRILESEEGGLGVRGNGTILKEKKKNFTEVTLEEEGLNLEDLEFKQHDFRPGKSKIRTYDLAVMDPRFLEKEEFSVRLTPQQRSDWRDKLINLTLLEERRADEHRDYGSSYILPVLKSVDYDNTSVPLAFNDIPVNVAVAFNFLYLANFDSEMMEFSMDVEMEFSWIDIRLVSNYTKPIRIREKPIIEQIWRPDPYIVNSKHSYFHYVSFPNIRMRITPEGLVTYTVRVSSVCSCFMSFCLYPHDRQECDLRIASIAYSNQYVKFHWHSNPIRFQSKITLPELHITKIKTGECSLKGKLVDASCLKILFSLERDSARFVIEKYVPSTLAMMFAWVAPYVPYNYEDVRIITPITVLLTLVQMEKGDKEIRTSYLTSIDVWFAAMKSFTVLSLLESLAVLALIKRSRAMTKNAERAANEFERCTFEAEAYRLNRLYHRVDSICRFSSPVVFVAFFVYYVLFIAQGNENCVQI >CRE10882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:484412:485808:1 gene:WBGene00059257 transcript:CRE10882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-efn-2 description:CRE-EFN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M5B8] MRLPIFVLLSLFPIFGWTRKIPDINWISSNPIFDVSNTDHVISVHIGDRVSIKCPKSDENGKYEYSYIYMVSDEEYDHCFLSKPRLVGACDNQTINASINIVFRSFTPTPGGFEFQPGKNYFLISTSDGTQEGIDRKKDGLCTTKQMKIKFEVEQDRRGIENPKFAARTLKKDRDAEHSTQVMYVVHDGEDIDDDDDGSNACSYLVSIIILFASQYLL >CRE11064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:463292:464461:-1 gene:WBGene00059258 transcript:CRE11064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11064 MCDISKRIPVSYFPPPIGFPQMPADNGQMPMEDGAQLVAKDIARMSVKGVDWWSDAPMPDDVGGFIRFQPNDENQRPTTENQRPAPMTEMTEKEKRDVETFLNYHPTGESKLIVVHPVEGEEIVLEPEHDNQGYWCSVQLNDCKTMVSWREINLIKAYLKSFQKGKPFLTRNMEFVVDSLETSVVSDDKRMALMGLPMSEKGKHNSIKTSFKDGIKVYCTGGTVKVSVLSDVTIFVQSPFWNHANGQDLAAVTRLGSNQPRPVIFTVFDFEQFNNHLERAKQHPPTDKMNEFLQSICGFNVSVSKGFGPDYGNRTIFETCCWLSIKFTDPLLLFDKYYRQYRLAPDEINSRT >CRE10881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:460013:462752:1 gene:WBGene00059259 transcript:CRE10881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10881 MTTCLILLLFMAIGVEIVSSNQHSVLERYEERLNSALSKRTGVPRTVKRYKCVEEYVTYDQHGRAVTSYQGSRFTTTMEPPTYRTSGEKEIRRKPAKKVTISINRNSEETTTQMVTSSTEKSEPTTSSKPFDEMNDEEADRIIEEMYLKKKESHATESPEIVTEVIRTESPRPLPPPPPPPSPPQQRAYSTSSSSSSNPGVQRYNPVKQNKIDREGSDEDYPSSVYHRRSRKRPYDMSTYDDYDVIPLYRPMRREYRMRRRRPILFSDDFSDDTDFDRRIENSPRQQTTRDLSPLRSLKHLRHIPPSFPPPQYRPPVPPPMQLPISASTNMIHNPMPLPMAPQMRHPAAPPGAHQMMAMPPGLGHIPMPPPPQPLQPIQQQQSMNSGGEDVLKMKPILQANPQEMQTATEESCQKIKTLAKSFMIKDVSKWARSNCPVLQVTILGLTSLKIFQHFFQAYAPNASCELIFHFIDSCRNKRFF >CRE11063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:453335:455551:-1 gene:WBGene00059260 transcript:CRE11063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11063 MLKTSVLLACLAFSTIVADGNYFQVLIREIDNPAGTLKNGQPCSNFGFLGAGCNTWMKAVATASGTNISNINLPAAIQINKNMETRVSNLNLVVSDDYLDSVDAFTGFDLRVVLGTDSDSTNIIDDYIIHVSSNQEQGVYTYTSLRDGTLATTISIAWSTNIAPQSTTATPEPTTTGVPFTGSTAVPTTTPRPPVDCSEVVNVTSGVQTVYPDGMTPVSVYCDQTSYGTYTVIQSRGVVGQNITFDFPSVSNYSAAFGEPGIGNNYWFGLDNMHVLSGVKPYALQIDLCCGTKLIAKQVYHNFKIDTAEKFYKLTAVADISGVGLDYSNSGKDLAAAFSTSTEWHGPKAHDSCDQFEFFDDDSSIDPPPQSKPYGGWWYGSCGNNMNGFLYPSRSGNCTVPLEIFDANGMLGVNMRTTPGLGFNGYDVDLQSYDRVRMALFTFDSSTIDRTDSSFCN >CRE11062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:451276:452270:-1 gene:WBGene00059261 transcript:CRE11062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11062 MRVILTALLIIASLFIHVADAQYGYYSPYYGNYGGYGNYGGYGGYNGGYYPSYSSYSYYPSYGYNNYGGYGNYGGYGYNNYGYNNFGFCGIRCRRFQRRLMWAQMAGYGMYGRK >CRE11061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:447948:448539:-1 gene:WBGene00059262 transcript:CRE11061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11061 MRSLLAVLVVILALINATNAQWGFGGMPMGGMGMGGMGMMGGGPYGGMGGGPYGGMGGGYGGGGGWGRRRMMGGMMNPYMGMMYGR >CRE11060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:446629:447285:-1 gene:WBGene00059263 transcript:CRE11060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11060 MFGMFLTVLLVPFVVQCGKSKKPKKKPLVAKGKNSKIEKNKKSKKPSQKQQEMQSIDEAKSNVNEDDKEKNSKKSKKADTLMDSKKSKKNDDSMKAKSSQKTKTSEASLKKTKSEENKPTTPKNEEHLTLTPENPIPGQVSVPPGIEQEYKPPPEHERPKHPGFEAQLEPGEENKTIQQVVQFTDAQDF >CRE10880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:444443:446428:1 gene:WBGene00059264 transcript:CRE10880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-thk-1 description:Thymidine kinase [Source:UniProtKB/TrEMBL;Acc:E3M5B1] MDAEAVKNEMTCCSSNSSLSDFNTLPRCPNRVGSITVILGPMFSGKTTELLRLHDRQIIAKRTCVLVKYAGDTRYDADLVATHSKMTGQGRTVKAHRLDEVSSQIFSDDVQVVSIDEGQFFDDLAETCEELAQRGKVVCVAALNGTFERKPFPQISLLLPYANEIKQVTAVCVECGSQANFSFRSTLDKKVEVIGGADTYTALCRECYVQKSEEKDAEEQLKTGCDKNENDITGIVMAKKEQRSDGSVSPPRKKIGLSKSVALESTVRI >CRE10879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:442648:444095:1 gene:WBGene00059265 transcript:CRE10879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10879 MSAQASRQKKTDQDQRAADQALLNVKQQFSGKYVRKKFQAAIQDNDMEQDENFTIIDKLESTGISSGDISKLKEAGYYTYESLAFTTRRELRNVKGISDQKAEKIMKEAMKYVQMGFTTGAEVHVKRSQLVQIRTGSAALDRLLGGGIETGSITEVYGEYRTGKTQLCHSLAVLCQLPIDMGGGEGKCMYIDTNATFRPERIIAIAQRYNMDSAHVLENIAVARAYNSEHLMALIIRAGAMMSESRYAVVIVDCATAHFRNEYTGRGDLAERQMKLSAFLKCLAKLADEYGVAVIITNQVVAQVDGGASMFQADAKKPIGGHIIAHMSTTRLSVKVIRGHSLTVLFFCRLYLRKGKGENRVAKMVQSPNLPEAEATYSITNHGIEDARED >CRE11059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:433884:440757:-1 gene:WBGene00059266 transcript:CRE11059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-55 description:CRE-PQN-55 protein [Source:UniProtKB/TrEMBL;Acc:E3M5A9] MQPMQPHGNNRWDGRDDVSFEFQDSERFEEDSQVSLDDSIDENQHNWRGWADCSSTCEAIQPGLSCVVYQYHNTSGRYSSASTRTVVAGDPNNPLAHHPMMSRGDNPTGILLTLSEISAKVCAEKWSFQQLEEMYMHICVTRAEKMNPPPPMGPIPEKIFVSFIVHCFPQSTDEIRMYSTLANGSADQYEFGKMLYQWGNVRDVSQTGFLLSGNISNTPPDAGNSDNRDSFHVTVKVDRCRIVECTCECSNRSSWCKHVVALCIYRISERSQIKFKETIADAINSMNDKDLRKLVQWHINDIPRKCIPGFQKLIDQIKDPNSTINRLLGAPDPTDGGHEPISRYDFPEIENKVRRLLIKYCVPAPAVHCDVQYLSSVQHPTHTEWTTMIKPLRCREPEGMWNLLQMVREMFARSDDNAVALLRTITDECLSNSQVLLWWYISKLVQSGNWTQANCFKAPDSQYLAQLHCSQLCDEVVLLWKLVAINPRAGKNYRSQLAGYLQAYHRTAVTRLKNMITSAPPEKNDSMPSTDATVLSNYKIAEILQTITNVDIRYQLSAVNMKFTLNCFPGFYPAIQMCHYLNEQNIRFGVRENAIFYVSEPNLTVSYKMVPPHREKSLKKKKRKKKLLKKRMEEEGQAWPIRKQEEYRGVDGVWRVVEEDHDMNQSLNDSTESGQESDVQKGPQRPEVPKKKKIDSAEMDVNEVLAASFSPLDALEARFLRVEAYGTHGYRADAVENALKNSEYLVDSLAEQCQEFVKTNEERPSTSQQSASTSSSSSNVSDEITGSDRDEEVAKANRFLSTMEKILYLTKVLKDSPHLQHTVFDISMRTLSLPKYPFYTKHHQILFTYLETEFVAILDQIWQTVQMTASQMEKVRARAIEIIETDSGNNGELPPIAMTKFLFLALYWTEPPNNHHRPPPQNATTTASPALQHNRRLLIPSDSDLALHVSLHIVGCRPIISERYVLHWETIRREKSELTSMLLVRYKDSQERCALVIDQILDPKLHRMYQHHLSNAAFFLERCPVYTKRFKPGQRPVFPYKDIAEEQVEEGEPENTLTDYQAQIEAELIRLRISDFPAAAPINRNRTTDNPPRGSVSSENSGYKASDPSTSRSTDSVESDSNVPGPSAKKPPGPNYSRNQKNQFHAARRNKKRNARSFSNDPGTTVTDAAVYHMQELSKKILFEAGGTQNNAVWGGQNLGGTNRRLHLCAMAISIYALGMSNRISPNWNTRTYSNIASWITAQVEDIGSSSLELMREIWMAHFTPNEVAQMSDRIAPASDPAMRHEAGRMALSVLPFAHALTDDEVIHALRRCQQGGRDMSTAALLAMDTTQFREVGRSRPLFEAMNHWQELSYPEDRQQNPMRQQAPPAGLVANPYVNAGVAQQVNVPNQPANRRQHPPRGHILPPLQQVALLYPQVYEADPTVLLPPSSYRHENIERSRFHHSRSAPQLGGQEENADTPRVVPNQQYMVPPPVPGNALLNAWHYGMRAMDCLATSPGEDRQMYLKFTSTPPYADDIQNLHFISVQLGTEYIRTFYQHAARTILSPYVLHNYAKESVRHFPHLSVVAQNPRPADAAPVPLIPTNFPPPPNRNLSSIRYPNVKYCTAGHITTHMISCGYHEITGELFERCCDQYLQATVNKMNSPRIVDNSDVSRQLIIIHKFGQLCDFVRGAYDAFQCIPHIGRQLFEDFVRAFKRQKAYKRESAVALNPLLQQLCAQ >CRE10878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:432508:433560:1 gene:WBGene00059267 transcript:CRE10878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10878 MLYQKKPINQKLNKMIPLPNVIAWKVNPADVLHRGRVMCMFRTKRTFLPDDISSLKMRMTTVTRNGDMIVYDTKDRGLIINLRDATDVLTECDKYKSKKVKYSRSHIKIRMPRGNIHLFVRDEAIYKWSAAILEAHVYCRPKPFVLIRKEIQSHQEPVTAIEKAPESPTSPMTSSNSGLITVIEKRSTSEDTLIPSVRRGAVPVGTLRCKIENEIVKNPKVEMLPEPTTSQQKSSQPTSSEQKHFTSFFVLHEGGIRTQGIPVQVKTEPLDDNQKTFLNLERPEEESKGSAKKEWWMRSLKC >CRE10877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:430726:430973:1 gene:WBGene00059268 transcript:CRE10877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10877 MASLKFNIMLLLVLLCAFLQTADAQFWPPYGGFGYHCGFHCRRFHRRMFWRGLLWG >CRE10876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:424398:429793:1 gene:WBGene00059269 transcript:CRE10876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10876 MRRVSRRHLAAVVVLFITTLPHIIHNQNLGLGGINQNIGGTPQNPTINQVSPGQIFSGTGNNPYYGVNLVPFGPEAGDLMVNPSMLTAGMTIDLYMFFPYYGGLYNYTTISVNGYLGFATVLDQGPTINVGPETTDWPRQEDPAMIAPYLCKQQVPQQGNPARRAGVYYRLLLRQSLFGRESNSNLNLGGTLQQNAFFGQAASQACPGTADSYVRCDSNSDYFLDQMMIWVQEGVAGGAMFRADAAVVVTWYNTASAISGRSDIDAGQTGTYQVVWLTDSTARLSYVIINYDRLGFDAQDFRGNSRSGRCRAVFNGGNHTGTVEVDPTQPYKNTPKVLAQRSGVPHMVRGRYMFRVDDVVRPAGCSNKTGGTYPIMIYPNIVNMLGDMTVDVNACCLDRTQTYIMMIEEREVATCQVINPAIARCSLPRIYDWGTKTVYFQPESRGANDEKAFVGYIYFVPPTLDPMRLDIGNIYEWYKNPMTNYLMSITWYPRNFTNPDILTNGNNMGVRISDDSMYGVQLGLYIVGYREFKDDEIKKFRPEYRTLARITTYSNQNNANYRWMPQEEVINTNQVQQWYLTDWERMHTLYTYRVGFFKLAPINPNDMNGTQLLPGLVSAPISLHWLWTPENQQFSTLTLNQQDRDQRVEFVKEKSREMCHDWYDEDGALWNFIRDTETNTSCPCIETQALLDLGRFMPHPRCSQMFRDITCTTVIGSKNCYMSSSNIYSSYAGGGNTFNNQDTNRFMTHYGQVCCYDESGFLMQTPYQPVIKTQREYFYNPGYPLRAYEFGTAPYMGQFEVPGLSVFHNDYMPYFLCCKFADFRCQMFYWRRPSSACQQYQPPAIGHAQGTGVFQTIDNDKFIFNQPGVFNFLYIPQSVRTPEVRIQTRLERYPNRKVDFGLLGRYISQYELVQPTNATVITGIAMEATGTERVIVMTRKDTRRFRYRTNIIVGNILRYFDTIRLQRFRGVLIYVNNVERGQPEIYVVLEEAQIGVKVTESYALDIDRLPNYQESMGILDIQISVSPQYGVRPDGDKTQEAQYRQLYNLPRVSGLIRPYPDQTSGSLNEGLTLNDVNSDAYRQQIINNYLVLGSGEPGTQQNQAGTLNQMMPSDNMFTTSRDEDKQFDVFPEASMRSEPVYKTAPVFDTGSYRFVPQTGSMILQLLNTCRDLQNNPNTDLQPYQSIATLSYGLQCPDDPGSVLTECGDSVACLYDYALLNSKILGQEEQDAWNMFTTDRALAIRQYNSCGAINIEYPEYMMKTPALSSGYLQGDVARFECYQSHWVKGDHEYKCGIVVDYNRPNEYRFEWNKGNQPWCRSRIKENYFKWLAVIFGIVGIIIVILLIFLVFWCIKQKKRQESRQYSGTAAYSNNAFQNQTYETKPPRTLSVGDLSNAPRTVNMPPPRGTTATPMTMEPRGFSPAPTDIQGSQGAGVLGLNTSV >CRE10875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:419740:422077:1 gene:WBGene00059270 transcript:CRE10875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10875 MSYRGLNLITRQAIVHSIFRHANLLTRTTIPLASQDRGFFFGKRREPRCDLRNQSIVQEDGGRKAAWLGDGPLQHGWFDGALTTYSAIRRGPTVGGKEMLGTRVKKNGKLEWEWITYEQAIETSDHVSQAVRKLGIETGEESKIGIYSKNRPEWIISDMAVHNFSNVSVPLYDTITNDDMHYITNLCELKLMFVDLEEKTKQLIRDKSYLPTLKYIVQFDKVSPDTREMARENDFQIWSFEEFVEMGKKEKPRQHIPPTPETLATISFTSGTTGRPKGVMLTHLNLCSATMSCGEFEQNMAGGDGYLSYLPLAHIYERLCTLSNFTIGSRIGFFRGDPTLLLEDIQALGPVSVATVPRVIDKIHKGVMKQVVDKPIKRMILKAAIAYKLYHYKMTGKATRKTWVDKYLLHKIQMLLGPNIKQIIIGAAKSDFTSLQFMRGAFGIEVLEGYGQTETSGPTTIQLVGDTRIGCVGPPMACSLLKLVDVPELGYYVNKNGGEILVKGYNVTSGYYKNPDATTSSFTEDGYLKTGDIGRFTPEGSLQIIDRRKNVFKLPQGKFVAPDLTETLYTSSRFVQQIYVHGDLQKPWLVAVVVPDPEHLAGYAKTKHNIEGKTYEQLCNDPVLAEDVLRHFVQLTEGHKRPRYEGVYAVHLTPIAFTAQNGLTTPTLKNKRNSLAQFFKSDIEKMYKTIETSELKSLAQ >CRE10874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:401233:417516:1 gene:WBGene00059271 transcript:CRE10874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-43 description:CRE-UNC-43 protein [Source:UniProtKB/TrEMBL;Acc:E3M5A4] MHPIYTFLSTKNSGEKRQRATNQINLLTHLQQQEGPSSIQHHLRPPLPPLPPPTATLVSPAEQLYHQQLAMMNACTRFSDNYDVKEELGKGAFSVVRRCVHKTSGQEFAAKIINTKKLSARDFQKLEREARICRKLQHPNIVRLHESIQEESFHYLVFDLVTGGELFEDIVAREFYSEADASHCIQQILESIAYCHSNGIVHRDLKPENLLLASKAKGAAVKLADFGLAIEVNDSEAWHGFAGTPGYLSPEVLKKDPYSKPVDIWACGVILYILLVGYPPFWDEDQHRLYAQIKAGAYDYPSPEWDTVTPEAKSLIDSMLTVNPKKRITADQALKVPWICNRERVASAIHRQDTVDCLKKFNARRKLKAAISAVKMVTRMSGVLRTSDSTGSVASNGSTTHDASQIAGTSSQPTSPAAEPGKPRSSISLFLSFPNFYYHFMDETICTPLSFLSLFFAVFPFPSSPKSSQRHLNPYRMSNHFESPTTTSHSSSTTKKIANAIAELVSRRSSPSIRRKTEADVLNNAARGRKVSAPGNLQHALVPVIDVVVATGALASSSVENISASTSRDSDGRSTASVKRTRPESARQTRDISGSLYSDLTASSSTVSATSAPDIVLKKDQADQVGEEKKEKEKVVEQVEEEKKKSEKVVEKNDDLGRNLLNKKEQGPPSTIKESSESSQTIDDNESEKGGGQLKHENTVVRADGATGIVSSSNSSTASKSSSTNLSAQKQDIVRVTQTVLDAISCKDFDTYTRLCDTSMTCFEPEALGNLIEGIEFHRFYFDGNRKNQVHTTMLNPNVHIIGEDAACVAYVKLTQFLDRNGEAHTRQSQESRVWSKKQGRWVCVHVHRSTQPSTNTTVSEF >CRE11057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:386551:389125:-1 gene:WBGene00059273 transcript:CRE11057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11057 MSFSLEMPQMLPPAPRDCYAVPGKPGNSDPLTVVGWEHMDLKLFYPSALFSTWSIRTALYPLAVLRSQLQLQKQNTVYRSTFHAYTEISKREGFRGLYRGFWITVPQIGCSFIYSTIFEKCRSILHENGVKSIGGAAAVAGGLASFATQSIFVPTDIIAQYMMIYKNTDKLTAGHDKAVIEKVATYVKSGNGLGTSVMKSIYQADGVLGFYRGFWASAAVYIPQMLTFWPSYYWMLGLFSKLNPSTDRSLLIDQAIAATLGGSISTIATNPMELFRVRLQVHRSSYSKTLETMLRDEKTAIFTKGLTPRIIANSIYGGMVVVGYEIVKRLCAKEEYKHRIKW >CRE10873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:382947:386379:1 gene:WBGene00059274 transcript:CRE10873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10873 MPKELLDVFPTRRNQKIADETDICNTRRTTRLSAVGELYTYCTYAGTVVVYQNPKRAPKPPRISYSPDPKPARPRAKSVRPAIVAPLSVPPVPLNPVQHVSSNAIQQIQLNSLQPVQLQFQPNKPKTARQKSQSVPPKSLQSKPVQPKPVQPKLVPPKLVPPRLVPPKSVQPKSLQSKPVQPKSVQSKPVQPKSVRSKSVQPKSVQPKLVPPKSVPPKSVQPKSVQSKPVQPKSVRSKSVQPKSVQPKLVPPKSVPPKSVQPKSVQPKPVQPKSVRSKSVQPKSVQPKPMQPKPVQPKSVQQKPMQNKQIRSKSFRQTSVNTNPCSQNAAQPNSPRQKRSPRKPLQLNNSVPQRKIQLRSARENSIPRKPLLLKSILKKTVPSNSIWTRQNSFISRPIQPKYTSVPPRTIRFKLPLQSSTPPRPIMKIDSSERKPIRQQSLPPKSILQNTVQPNEVPVNRQPKTATRPVRSQSELSACSDTSAPPRTGLKRAASCSQSLQTKRLKQQEEEVVSIRSYPNKSPIPFSDDELDDEVFSPSSLPAPAHHSINTTGLPASNTSERFEDVTFDDIFIKEEEPDSGYEPIIKNPGNPCDDGFYEVFTINDVDHEIVVSNDCKDAKSVYHLNGIYDVDDVDKAYDIDEIKRIKTADIVNKLEDTNDVNEFNRVNDAYDVNTANAVNDVLDFDMADNANVANHFNAFNDVNDFNDLMDVNDFNNVNFFNDSNKVNGADVANVVKDGYDFNGIEVFHDANVPKDVNLVNDFKNMNDANNVEDPPGGNIGDVVNDAYDVSIGALYSSESALPVFANGKVAIEYDNFDFSVSCALIRNLRCFDENHEGSLIPLLKLADREIINKLCESTVEDFYVREFVPAFDNMKKYQEEPTKFVNSNEGQFERYVEDGRVIEVPLPPKPELFPYQIDKRSKAEIVKETNKKIESEWQNEVNERQRIAEEWINQQAELEHERFINYQMELDQDFQRQMLNNPPLINMFPVPYPELNPNNFPFYPQFPPIPQNPFVIPPLDLNYYNIYPPNMPPPFNPNFHM >CRE11056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:380387:382167:-1 gene:WBGene00059275 transcript:CRE11056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11056 MKTGFSFSISLPFHQLQACPNERRFSKYSASLHFTCHFSHHKLHFSHYRIKSFIFSLQFLISDSPHVILSFFSRKMVEQTTVSAGSMKISFGVKRREENKVQASAPAVVIDVDVDSDEEREQDEMERAAKRRRMTHFEDGDVQGDVDKAKEAAVIPMVVEHDWRTQKLLEKEKAGTLTEEERAKLALVLPNGIEDENGVEGDGEKIVVEEGRVDTEDADYSAIPIESFGLAILRGCNWKDGDGIGKNPQKVALKLPRRPPGLGLGATPKNPSGDKKNKSTGKEKEEKIEEIKAGSLIKVVDGRNKGIYGKVEARDDDSNSLFIRTAIGGRAIKVSQIVAVAVSAKEYERDSKCLNKSEYDRERDRIEEERKKHEIERPSTSTSSSNHHKSSSSSKSSSSKNEKSDDSRRSEKLWARTDLLVRFIDEDFKRGSLFKQKVRIVDVAGKDDITIEDDRGNTHYSKLIFILFHSTKQFLADVRQSWIETVIPRDVGEKLMIVAGKRAGKLAAMLDKDKRKEKVTVRLVETNDVVTAYFEDVCAVKIRHEEDYE >CRE11055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:379026:380189:-1 gene:WBGene00059276 transcript:CRE11055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11055 MESFLQDDEEEKISQLSELELDELITTKFVETREDVEGKIEVARAVGSPMELDIFPGEDILLEDYACLSRPIGEINLDNAVMLLNRIQNSSEADLNKVKLWDVFERSKKSKNTTRLTEVALIDYLISSDHRPSFLHIFCRRLIESDIPNTLQKALVESKPPTKYRSLFRVTVKTEMPLKQFIGQLDKLWSSSPAIQLQDVYVTALKKNFDGTHQKLRTPDVRWFADMEHKIGKAGAHPMVASARFVFGVVDKPFVCVQADYHNELDSSGRRCKVSIALFTLLNSNLVFQTIVIEQFVNPIAPIDRRVTFGEPLVETIHHFEIDDDLPFHNLKFKIFDLNQFMEQIDPISYKNVTLR >CRE10872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:376916:378917:1 gene:WBGene00059277 transcript:CRE10872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10872 MHSLITRRVLVLGNASFRKFTTARMTQTNGINGNGNSASTDLLDTPSAYTQKSNKTHKSIDLRSDTVTVPSVEMRKAMAEAIVGDDVYGEDTTTTRLEQRCAELFGKEAGLFVASGTMGNLLAIMAHCQRGDEIIVGRYNHIHRWEQGNYAQLAGISATTLEVKPDGTMDLDDIEQAIRVKGGYIQIYDESCDVEVTSIPDCHMPASKLICIENTHNYTGGKALPIDWMKSVKMLAERRDLKVHMDGARIYNAAVASNTSVKHIASFADTVQMCFSKGLGAPVGSIVVGPKAFIDRARHSRKALGGGWRQSGVLAAAAHVALDHADATIRADHERAKKLSKMINDATPKNLRNKVYAAENDITNMVLIHCVNGVSVQQLNDFFQKHDVLAMTFDARRIRMVLNWNVNDENLETIVEVYKQFLKQL >CRE10871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:375152:376623:1 gene:WBGene00059278 transcript:CRE10871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10871 MNDPLDSLSNDEFEFIETFDEDSEDRDDQWSMQQSIKIEPISIQMPNTIQSERAPSPVGTRAPESLSDPDRTPEASLIESPLLTQSLKENTPMHTPIASLVDPMSTAVPTLQNMSLVSESECSNNSSLVNVADVESTEVALRTSLLLVGELKAQLNAQASTLQKLNSSSNLEENRKLSDQMKDEFQLKMQESAASVEKVIAEKDLAIEQLKVQLAQSQQVAQLWKQGAEKNSNASYSDSKTVIDRLLEENSRLRNQVDEEVARRLQETDHRKMLAEQLKEARGGSTFDPPATMIARQLTDRTEYSLRLEQELVTVRQELEEAKNALKKATEESSNKDQIVSALHEDQQESTRMLVSNEQLINSLKQKCRQLGVLEDFAS >CRE10870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:373349:373872:1 gene:WBGene00059279 transcript:CRE10870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10870 MLLILILFYSAPLLVNSFLFGFGGGGGGCGCGSSCGSCNMGCSCLPPPPAPVCLPPPPPPCPMPVPCPPPPPPCSCPPPVTMYQPCMVPQYVPRCGGCGGYSGGYGGGYGGYGGGCGRRRRSVNNQTIH >CRE10869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:371400:373071:1 gene:WBGene00059280 transcript:CRE10869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10869 MSKLSDCEPLQKRDSITPRAYVKSYCCCCMFDRRREDPAIFDEENIRYRAFFGTMHIRQVLVILAIIKTILVFSFLFLQVMDTESPLGVFSATFAFLTVCITNILLVAGVRLKRYIFLIPYFTVCVLFIFILILHLFVDFLDTANSKNTVEIQPILHNTVLLFMICFEVYMLSVVWRAFVYICDFNMQRQIEKIVKKKSMVKRSFDIEYDLVRNEIIRAEVKANEEFV >CRE10868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:367644:369688:1 gene:WBGene00059281 transcript:CRE10868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-21 description:CRE-GLB-21 protein [Source:UniProtKB/TrEMBL;Acc:E3M594] MKLNCFSRNSERSMYELSSDEMQAVRDSWKRAKEREIGKHILQALIERKPQFKDYFGIHVDEKNDDVFSCREFMLQSHRIQNFLDTAVSSLGFCPIGNIHQMAYRIGQIHFYRGVNFGADNWLTFKKVTVESVTQDGGSSESSVIDMKSVPSLFPSNSSTVVIIGWEKFMSSVIREMKRGFLDEARRNCHDEETRF >CRE11054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:358032:362155:-1 gene:WBGene00059282 transcript:CRE11054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncx-3 description:CRE-NCX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M593] MSYAANLTCKSGILISALETTPRNALLYLAALFYCFLGIAIAADIFMCSIEQITSATRKVKRQKKVGRLVSKEEEDGDEEQFEYIRIWNPTVANLTLMALGSSAPEILLSIIEIVGNGFKAGDLGPGTIVGSAAFNLFCISAICVFAVGQTTKRIELYRVFVVTAFFGTFAYIWVFLFKVKSVLDLDACFQVLIVITPNVVDVWEAVLTLLFFIILVVLSYSVDVEIWKKKRASDLQEELELAQQDGGKVDDDKPEKLSNEIKKWASTFSLTHEDTNAVVVDSTPSVDTVRRWTRTISATYPSLSEEDQAKLLAYRVSRTMSHDRLYYRIRAIRQLSSSWRKSEEEEVQMIEKQESTDSGNRKKTFVEFSARVYRVDSTDETVSLKIVRKGNMDSKFTVHYSTVNGLAKKDLNFLFKAETLQFNPGELHKTITVKLINASNWRPNDVFYVHLKIQDEDEDAKIRLGACNIARVKMPDESAMMLGGPLVEFVKPNYVVKENAGYARSFISRKGGKLKDPLRIRYETEDVTAKQGEDYTSVKDGIIVFEGQEYEKYIDIDIIDDKMDEKDEAFIIELLKVEEGDVSIGTRRKATITIISDDNVLKNITNVHKLMGHYMRQLRPGKATWKEQILNAVSVNAGDLANATVSDCILHALAFPWKFAFAFLPPPTIFYGYPCFIVALVAIGLVTAVVGDVASIFGCMVGLKDAVTAITLVALGTSLPDTFASKIAAESDDTADNAVGNVTGSNSVNVFLGLGLPWVIASLYWASKGESFRVDAGDLGFSVTVFMICSVLFLVVLVLRRKLELFGKGELGGPFGPKTLSTLFFVSLWIVYVSLSIWNMYRTDNK >CRE10866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:340458:342505:1 gene:WBGene00059284 transcript:CRE10866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10866 MNESDTKRLRMLLYNNSRSISHVHVFGEDGSGRSEIVRQVLNNPEHDWVFNSLLKHLKRCLQICVFGDFLYADGSLKLLLESLATSLGFKTRGDKAERFINNLYENVDWPDENNKKIIIFLDNAQSIVDYPPAPLQCFLESYKAINDLTVRFVTSAPSCFTQYHINLIHLSVIGFHIATPSQETTKCLISKADSSIDPKFINFAIQTLFMYCKSPNTLFSIVSSSSWWYDSTVKFFQISDAWEMYSKRIRVHENGPKFDATLASKCVGKASSDKLGDVSGEQRLDEQRVVENETSFEAMPLAMRQFFFNLSNLIFISRYLLIAAFCASNNPQQADSRYFVKNHGKDKRSEKKELRAEENRLAKELGPKPAELQRIICIYETFLKLNETDISGFDLKNVIASLDSMGLVSVMNRNNLDTPKIKCLISLETAHRISGSLNLELRNYLEHAT >CRE11051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:338002:339381:-1 gene:WBGene00059285 transcript:CRE11051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyb-1 description:CRE-CYB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M588] MHLTYQSTNQAEGLNKMLRAGNNIRRPSNNVEKDNIKLQMAQKPGNGPLKPINAKGGKQVTKPTANIQHGAGEGSLQIKTKRINIQDNETKLLELAPDIYKYLVHHEKKFILKEGFMEGGEPTSKMRRILVDWLVQVHIRFHLLPETLHLTIFILDRMLETKTISKSDLQLLGISAMFVASKFEEVYLPDIYDYEFITENAFTKKQILSMEQVILNSLHFDLSCPSSLVFARCLSRVLSEDETNPVDQTAFFYAYNISKCIGELALLDAVMSSVPKSHIAIAAMIIALNVFPVEGIDPKKAEEAVIAQLEANKAEVTEAISLLAQVAYKNFKQPKLVAIKNKYQSTKLGSASTHMNDEVLDKIEALGRQSDME >CRE11050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:335113:337165:-1 gene:WBGene00059286 transcript:CRE11050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-21 description:CRE-GRL-21 protein [Source:UniProtKB/TrEMBL;Acc:E3M587] MVRNSVFLIISLTATSSGFLLEGLGLGLAPQRPQQCCCPPPPPPPPCGGGGYEAXXXXRMFLATTHNRVGDGAEVRIRRSEMNMVVVHRIQHTDGPEAYDGGGGGRGACPPPPPPPPCGGGGYEAPPPPPPPSYASGPSYAGSYGPPPYSSGPYKARSKRSMEVKASSGDVLCNSVEVRDIIKKGMTEDEKESRETVVALLKAEMNREYVVICSKQHFDYLASSDSDFCSVTNSAGITCSSFVF >CRE11049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:332656:333101:-1 gene:WBGene00059287 transcript:CRE11049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11049 MIVTNWDDMKKIWLHTFYNELRVAPEEYPVLPTEAPLNSKANRKKMTQSMLKTFNTPAIRNHETTEVSITCPAEHI >CRE10863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:328098:331215:1 gene:WBGene00059288 transcript:CRE10863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10863 MLDRPLMEVNETGNGLSPIITTPPLSLLKLPQLVLRNVADFWNPFELYTFFLISRRTSLIAKSLKKRKKYQLRLTFNQFETCVTVFIAFNWNFFTSHHQSTTGDFYQVISSEFRTACFHMVFPELSVLNLLGNLMNLFEVPLHSCVTDNGKVNHTLLIHWLNDLPHDKIEKISFSCENAKEFDYILKTNKKEWGELELFSYNRDNADSEESYNISNQFSTVLCEKATIRCLPLSRSSIINVLNVVELDIKESQLSNQYLKQFLRNWAAGKSNSRLNQAFFAINEPVQLKTILEGFPFVKKDPRTTKRYIETDAYGEKLSFWIFGGYDIQLHDGRTATLQWHKFQRKFEDSTIPLRWIQKYEDVNELENNIDRDAHENMFREPENELNSDQLPDLSFNYVNLFSICITKFYGDKRISLVEVLKFSGKVIVLTKQQTIRTSHLEYIDRRNFLTKYTR >CRE11048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:318746:321711:-1 gene:WBGene00059289 transcript:CRE11048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11048 MTLLFLSLILFSVTIGIHCVAKFEVTSVSDSSLVIKMVEAGNVTNFDITVQIFDLSKQRLFRRTQLDHVKNDQLLSFDGLKPDTWFAVRIEYRLNFAEDVVDNGLRMTKQEMVIKTKKTSRDDPKKIEQMVAFIDDLFVTKDNIYIGVGSVFKEIKKISTVIVPELRCSKGVLSPVSQQVIQHASFHFDLSKLPKEDRKCSTICVFPYLRILTENAVTETFRAKEWCGSAEEARHLLTNRSPKMSLVSYFIILILSVILFH >CRE10862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:317155:318610:1 gene:WBGene00059290 transcript:CRE10862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10862 MRRCFSTATNYFEIHLKNELAGIKQAGTFKNERVIQGKQGVLVKVTGSDKPVINFCANNYLGLSSHPEVIAAGQKALETHGAGLSSVRFICGTQDIHKELEEKIAKFHGTEDTILYAACFDANGGIFEVMTGEQDAIISDELNHASIIDGIRLSKAKRLRYKHLDLDDLETKLKEAKESRFRLIVTDGVFSMDGDVAPLADISSLAEQYDALLFIDECHATGFFGKTGRGTAEAVGGSPHVINSTLGKALGGSMGGYTTGPKPLIDLLRQRSRPYLFSNSLAPSIVGSSIKVFDLLMNDSSFIGSLQTNVSHFRKSMAANGFTILGNDPTHPICPVLLGDAKLAATMADELLKQGIYVIGFSFPVVPKGKARIRVQISAAHTKEHIDQLIEAFAKVGKKLNVV >CRE11047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:313966:315928:-1 gene:WBGene00059291 transcript:CRE11047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-54 description:CRE-UGT-54 protein [Source:UniProtKB/TrEMBL;Acc:E3M580] MKRLFIFLTIPILANCLNVLFYVSVIAQSHIPFHNTAIKVLLDRGHTVDLVIAHLNEMVKIHFPAGIRQNYTFGYEDPNFWSKNAVHLFNIFEKKSVPFAEFLAFDDLTFQLCETVVKDPNLLEYIKKGKYDIGISSDYDPCANIIMHAGGVPVKASMIPTPMFQPQIYSAGLPSPASLYGTVLYPMHDESFFSRLFHLIRHTYNIYFVTPKLMARYDNLLLKTFGPTFPTAEEIERNVDIVLVNSNEIIEKPRPISHKIKYIGGMGKKKSKPLSEEFDQILDSADKGVVLFSFGTQVPTKKVPIEIRRNCVEAFKKFPEFLFLWKYDNLTEDAEMFDGVQNIHRVEWLPQTDLLGDIRVKAFISHMGLNSYIETATAGVPVLSIPLFIDQHHNAINAAAREIGVTVEKDQLTVENLVNALQKLLFDPKYSNNAKTISKMILEKPEQSEKLFVDWVEYAANNPGLHKARTPRIIINLPGAELTPFWYYSGDVIVASALFLMLSFYILWKIRDFFKRRVTIQFKWKSD >CRE10861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:308370:310200:1 gene:WBGene00059293 transcript:CRE10861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10861 MDANSPSVSSTSSSSSTVTTPVQSQASTESAQETSHSGSRHPASLRSSSQPATLRNAAFNEISDGTWTYCIDTPNPAPSVKGFGNVPIASYEEIEAMGDRKLKVCTVTWNINEKGAKVLNHLAQKIRDRNEEMDSDIFFISLQEIPTTAPTFHEDARRILEPLLHGHRLYLSHRAWSQMVIVFIRHKHIRYAIQPQPLFIPSGTVAKPVRTKGAIGVCLRLYQRFIVLIGCHLSHATPQLRVQDYTKIVRELCFTQLAKFHGTQKGHIFASDVVIWTGDLNFRVTAENSVNWNDVEKLREKDYDDVLETEELANHKSKEAAFSKFNEPPLRFPPTHKYEPDTDIYVPKRIPSFTDRVLYWMKNSEWLQPIKYDCMRGASPSDHKAVFATFWLTVINKPVPERYRTQKSLENGNSIKDSQKSLENGNSSDK >CRE10860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:301134:302414:1 gene:WBGene00059294 transcript:CRE10860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10860 description:Sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:E3M576] MFEIFTQGFSFLNLLSILAFFTTVGLFFCGIPICRQIWKRKDTKEISGAPFLMGVVGGCCWMTYGWLKNDGTVKWVTGCQVILYTTYTIFYWCMTKKKLWITLKVLGVIGICTSLVLGVHFFGMKIFHPLGIVCLTLNIADFAAPLGGIRVVIRRWATSTLPLPLCMPISSCQLNGSCTVFSRTTSILSSQMASAHFSPSFNFFSSSFSQENQDNVLQSSDCGCGFVELKLKRQKVRDQKSSFFEIVAELGECDEKKMNRAQRWSQKIKMNVSTVAEELENVIYQLPTKDQFAYTHKIGDDDSSSEKTVETMDEKKPVVAVNPAVEAVKDADFERKVRNSLRAAQEARESALRRTISSPDLSD >CRE10859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:294919:296192:1 gene:WBGene00059295 transcript:CRE10859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10859 MTFYEDPEFPIKGNSKRPHDLVPFPKFFGLNFNTSRRDDWRTHFKRRTEINGTHAGFFLVAVSSLTKDLISFHKIVEEQSFDEHEYTGKFHFKFYDETRQLKTIEIDDRLPIKLCGALQYAQNVGDVFWYPLMEKAYAKFCGSYEQIETGLLIKSMFHVTGRNPEWFDNGAESCLPFNAEGIYSNLRNLIEKKIILVCKLRNPEGNNQSYGSGFTIIDVTEKRRISNDGILEPRKFVQLRHPSGKIPESMMADMGIYELTSLGEGLMDTEKFVNQMQCVYSVDMSMHQLRRSPGLDAFIPFIPEEENPYVNSHRAKH >CRE11043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:287468:290868:-1 gene:WBGene00059296 transcript:CRE11043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-opt-1 description:CRE-OPT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M574] MGATHLQNDPRPGSPVDHQPTTWGEMIKKWPKQTFLIVGNELCERFSFYGMRAVLTLYFFNILGFTQSSSTVLFHAFTVICYTSPLLGSILADGYIGKFWTIFFVSIFYSCGQILLAFSSIAPSESSHHPFLDLLGLLIVGLGTGGIKPCVSAFGGDQFPAHYTRMISIFFSMFYFSINAGSLISMFLTPIMRCDNVLFRRRFLLSSCLWNSSFFNDRCHVGIYVRKLLVQKSSTQGKYHLQSYWNYCSKSETTQKLRHPIKMKFQTALRNKATSSSTHHRAHWLEYSLDGHDCAMSPECKALHGNCAQRRYIQDIKQLFRVIVMMIPVPMFWALYDQQGSTWVLQAIGMDANVWGWEILPDQMGVLNAFLILFFIPIFQSLVYPAIEKCGFELTMLRKMGCGGVLTALAFFVCGVVQLFVNSSLPYLPAANEAHLTIINTLPTCDFKVQIDGREPFDLLSKTGINPEDLVTKPISFTGSTVFQPNITFDNTSPNCPKFTANPTFASATSYVLTLSPNGWTYNSVNPQKPKSGNGEFGIGINLIVPCDKIPSNVTWETCNGTEGYSGSIAMCKVEKDEVMETEIICKPNQKGKFYTLTKTNHLEVHDYINGSSSNYGKSYDTVDAKPGTYKLYYTDDDHKTFIPLMLPSIEQKHMGGVYLVTVSTRSNGDSEILATTESLVCHNRVSILWQIPQYVIITAGEVMFSITGLEFAYSEASPQLKSVVQALWLFTTAVGDLIVVIIFMLNIFSDVAVQMFVFGGIMLLVIFVFILLAIFYYEYADYSNEGEVLTEKMMVDDEHTRI >CRE15136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1442:7421:9230:1 gene:WBGene00059297 transcript:CRE15136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15136 MTVGYLALQSCLAVALLLVTAISGWGPLVLLTVMKKKGKDQHDSGWLSYLSCFSGGVFMATCFLDVIPHVTQNYDSMVNNYDLHYPVPLFQVFICCGFFVVYFIEEITAMVFGSEGHSHGHSHGPPKPMTVDIKKENVTSLVVEEASPWVVSDEKSNLLKSLTFAIAMSFHSLLEGFALGVQDSDTAIWTLFFSLLLHKSIEAFSVGLQISRSNSDKKKIVIFTILIYALMTPLGTVLGTLLQNTGGASFGKDFLIVFLESMAAGTFIYVTFLEVLAAEKGNRFNSLKQLASIVGGFVVIFLLQVAFGHEAHGHSHPVPAGSPDPSVIPPLPFSPSISPN >CRE11041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:266100:267979:-1 gene:WBGene00059298 transcript:CRE11041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11041 MADSTFAEHVATTNSRLASLRANLIDAATFPEACSELTKWCQDQRAFTAHFEDNLMLALEVAMDYGTRENYDYMLTHGLVGACFTHRKHLSKMSATRIGRWYEQMRRLKKNGGKRKRAPPKPKEPSVIPPPNGALPVLTSPCPPPSAVLPPQQTNGQPLQQPTENNNFMFSPTNSDGLVFLFSFWL >CRE11040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:254845:258801:-1 gene:WBGene00059299 transcript:CRE11040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11040 MRALFALCSKRDSSLPCFLSKKSEKKARRHTTTTTLSSSSYSTSSVRVFFFFIRRGTSMWAPPGVPMSTPGSSTGHMQPGAGAAAPYPGPMNTSQQQHPGMVASYAAGQMQLPYGQMEGNHAMYDHRMMAANRAAAAAGRPPGMMPDYPQMPMGQNPGMMRMPVQYPPGHPQMPGHPQMQFGQMMRAPPVSVYGQMNHAQQYAVTAHPNPVPPYVNPDIRLLYETGSGYHIERELLSEGQIQFGTYGVEAIVNQDAFSYLDRTPAADVILSVWPSVKQANENEARLEVYVNGTCVLHNQSAATGVPIKQFLRGGSNMIQFGYVGNQQNHFSHLDAQMAGKRQAGTISVSLNCAISKKRMITPARHHDCKKVVFDLAQMMHNNKDKTRYHCGPCNTYFKFDDINVDYFLMSVVTNVPAGVNDLIVEKNGACRPGELEENKPKRGKKKNDANANENGAHTIKRIKSEIIVKQEPGMFPDMHGRNIPFSPMPMPGSVPPDWTRLQSPSFSMQSPNKIQLGPATPATPGMVFQNPASAGSMLNMSSPRQTMMPGGMMAPGGGHPMMPPHDPSIRSGSAPYTPESVKNDKNDELLMNIEGLYITNSLNICDSERLIGQYIEGTKDLNFEDSLVFEFAGSPKQQQQLDGAQTLLAPGSTSSSNASTFTPPFDDIHLGNRPSH >CRE10858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:251451:253737:1 gene:WBGene00059300 transcript:CRE10858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npax-3 description:CRE-NPAX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M570] MIDAHCCDPEQCGSSVIDISTPHTLQRDFNNWLNGIEVPNSYESQQCDSSSSADDSGSKTSSPNPMQSNTSQDGRKNRKKGIRTQRDPSFTSSGTNLYGRPYCPGRPLSMEERTRIIQLHNNGMKVNAISKSLCISHGCVSKIISRFRATGVLLPACSPEQRKSRKRKSSMEGSSMEQSFIPVFVSMQDANGNEYLNEYYSLPVNQNDSSGYDYPVSI >CRE10857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:247315:250102:1 gene:WBGene00059301 transcript:CRE10857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10857 MTVSYNLDVSSASIFSFLRLQLRWKGSIWKYLLKELVMFVFAFGVVSSIYRSNLIIGENTRKIWDNFAALFDQTMEFIPLTFMLGFFVTIIVRRWNDIFANLGWVDNTAITIANYIRGTDDRTRMIRRNVIRYMVLTQVLVFRDISLQVRRRFPTLESIVSAGFMLEHEKEALCNVACKYSKYFVPIQWSTGLLVDARAEGKIAADLLMNEIGKNIIEFRKGLALLSNYDWVPIPLAYPQVVFLAVRSYFFMALIARQSVLLDGKEPEQPSIVSQFPSIFQVNNTIFQLYPTVPFVMSVLQFIFVVGWMKVAESMINPLGEDDDDFECNFLLDRNLAIGLTIVDDCYNQIPSVEKDMFWCSDVEPLYSVDTAMIPKNPQIGSAANYEVKQDEEEVMMMPHMDDVDIYDFESTNHLIPRKTFSVISIQRPFGSRASLASRKRSMMFDQLRGRMAKKQNKSNMFQNSASQATLHYNFESQAPSEINLSTLEMSAPKRKTSTGKLGSTNVAEEQHKLSAEVLPIVLEEDEEKSRMLEKDRNKFG >CRE10856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:237989:244841:1 gene:WBGene00059302 transcript:CRE10856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10856 MDKNKPFVIPKKRKVEVPQEEVAEDTSPSPSPDVPEGYEINEATADEEQQLLTDIAKKNTNKSSWSEECWKFMKASKVQMIRNRKLEQSFESYKRKNKIEQEVLEHRVVYDDDEIQDIAENGLSVENDGIIDIGDPRQGVLLYTSPALAYAGRYLYANIPIMVMVFRTATNGKRNEIPLSSGKPPSPSKNHSSHGLMRTEFNTMKFHRYLLEHCMAGVYHYEYMPNTNNLYRYPSMILPHAVITFQLDMTILPPLNSKKPSKINRSLPTFHLDEITPHTLIFPHKDVTAHICVPPVSKEVPFFLYESTATPEFRSINDLRLYEELEMLRTSDCFGKILSSNAVVLTNLSIASHYTLRFSEEDKSFVDRIRKYKMMLVWTRFPFTVFYLPPGDVSAEFGFPYVQNCMNLIVHRAQIGDTGVPVQERCQVQSMFFSEETPDELSKFWNKGEEYDNEIPEVDNFEDGVYEDDYEDPEADLRRARLAEDTQRRRESQAEETEASSADIEARRREDEDVKRLLALPPEEVTVTVLHTTPVDPNLKGVLKFVTSKPREEKDDPGTKLSLKWTDQAMLNHTPRPLVTTRFFENDASPPHHLDRDGLSFDEKRKNEEAIAQLVAEKHDRVVRGTDEIFKNGMSGNIFRRNDMEAGQSPSQQMATSPANTNSNGSSPMSPFVPSPDQTQSPPNSYKQPPPNFTAKPVQPSLSALPLPSNYSMPPPPITNVRTPQIGGLAPSPQLPPMRVPPPNMMIPPTSVPPPNYQIPPPRVLPTSVPPPNRMVPPPTLPPPGLLTPSLSKTVLPTCLVPNSGPPQDPRKVQQSNLSTGFIIPPSASTSSLTATNALPSPGAEEKGEEPMDVDEEDSFQTGRDSDSNAPVEQEEENDILVSVFTQSVQTPKPVSKPDLIPGIIANDMSNAPNIQHLINLSNKKRESQDTDLRSQPQVNFPINKPKPPATKQVVNVLGVDDDYETEVLSEKEASSSQDIDYRKFAPVASRRDSQNSFTKDRDDRLKDVDDRFQDIPVPDGSPGSESRKKQSQSMQEKLRAINKVAENKVQNTNEHLAYQAQMALTAEPKKEQTTAPRKPNNSMFPALSQSRFTSQGLVQNSTLPEPTPSEPIREQTPPRSPSPISSPQVEDYVPKTFLNTSKQQTCKIVPTESVLFFGRRNDLCFYFQKEGYETYRGERPSSSSTKSESAKTNTRNRRDYDSVEPGTSDRKATETWANLMNNPVKQKEKSKQPSNIEYVTLDDDGEEEGELRSGESSSSTMNSSAPKKVLSTLDVPPGITTRHRPQEGSSSSFQNNRPFTNPSPSSMVFLDHTYSKQINQNRMSASNDYAEKLTGFVDKDAENLCIFIDPEINGDAFDPNCMKATDLTRLFNLLNNENMKKKPYSPRVHSKVFVHKCSSTGNLKKNNSFELLFNTNTGLVQRLPVHSCDHDPKKSCLARCAAVVTRKLEGKRAIFLTHKFDEYSQDGRMMFDNNVRVMSIQRFEQMIAEIRDT >CRE10855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:235454:237330:1 gene:WBGene00059303 transcript:CRE10855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10855 MPPRIRLKNGGTGNEDFLTRITGQQWTKNHYFVFFHTFFSFALIHATRKTLATVKPSIILTWTNNSTGSPFMESEEHATRFLGLLDTSFMITYAMGLFISGTLGDHYNPRKMLSVGMAMSAFSVFCFGFLTETYHIYSAPLYIFLWIMNGFSQSVGWPIEVAIMGNWFGKNARGTVMGVWSACGSTGNIIGTLIASHVLTFGYQYPFLIICSLLFGYSIVVYWQLPSAPWDLGECPTPKFYSEIPILDPESHHESDAKEKIELNRPPPLGFFKTWLIPGVISFSLAFACLKFVNDGFFFWLPYYLHDGLNWPETFADALSTWYDVGGIIASIVAGAASDKMKSRTSLIFYMLVASFVSLYVYSQSPASYTWNAFLLLITGFFVGGPLNMISSSVVADLGKSDKLKGNAEALATVTGIIDGTGSCGSAIGQFMIPNIQHWYGWNSVFYGFMAMMACTSICIAPVLMKERRKQKKLKQREEKALLNSDTESSDSEDGDVFLGGKTTRRKHHGTNSTFI >CRE10854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:233046:235086:1 gene:WBGene00059304 transcript:CRE10854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10854 MSNELPRKKSFVTRITGQKWTRFHVRVFIHTFIIYVLFHAARKTLSTVKPSLINVWTSNTSTPDGPLFESKQAATEFLGALDTGFMITYAIGLYICGYLGDHYNPRRILALGMALSATSVFTFGFVTETLHFYSAPLYAILWISNGFFQSVGWPLVVCIMGSWFGKTARGTVIGAWSTNASFGNILASLVASSTVDIGYQWPFLIICSALFAYSILIFFHLPSAPWEVEKEMREDEEKEGSGIEKKIESSEESERPPPLGFFRAWLLPGVIAVSFSVQKFSSLIFFQFAISYLCLKLVNDGFFFWLPFYLHNGLNWPESTADGLAVWYDVGGIVSSIIAGALSDRMKSRTIIVFVMLLFSTVTLLAYAHSPVSYFWNAFILLIVGFFIGGPLNMIAGCITSDLGKSEVLRGNAEALSTVTGIIDGTGSVGSAIGQWLIPLVRNWFGWDAIFYGFIIMVVLSALCISPVLWRERKENKQQKVRLNID >CRE10851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:221724:223609:1 gene:WBGene00059305 transcript:CRE10851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10851 MPRIRFRNDVSSESFWTRVTGQKWTKHHVFVFVHTFMRLVCILIFQDFKFIFSYAMLHATRKTLSTAKPSLIHAWTSNTSTPVFPTQQAAAEFLGVLDTGFLIAYAIGLYICGILGDNYNPRRLLAFGMMSSSIVVFCFGYLTETFHVYSPLVYSFLWILNGFIQSVGWPVEVSIMGNWFGHNARGAVMGAWSSCASVGNIIGTLIASYTLYLGYQYSFMIACSLLFIYSFLVFFQLPSAPWEVSNEILPDQIQEENEVDEPTERPPPIGFFKAWLLPGVIAYSLAYACLKLVNYGFFFWLPFYLHAGLHWPESYADALSTWYDVGGIVAAVLAGAFSDHMRSRTPVVFIMLLLATVSLYIYAHSPASYNWNAFLLIVSGFFIGGPANMISSSITADLGKCEQLRGNAEALSTVTGIIDGTGSVGAAIGQWFIPSVQHWFGWDAVFYGFIVMILCTALCLTPVLYKEHKDRRLHRSEEVDSLLQSDSDENPSDDIQEIRRRPTNTAV >CRE11039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:218522:220774:-1 gene:WBGene00059306 transcript:CRE11039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hars-1 description:CRE-HARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M562] MPAELNAEQRKALEEEIKVLGDEIRKMKAEKAEPAVIKERVNKMLEKKKELGGTEEKPGKFVLKTGKGTRDYGPAQSALRNSVLQNVVETFNKYGAETIDTPVFELRDVLMGKYGEEGGKLVYDLQDQGGELLSLRYDLTVPFARYLAMNKITNITRYQIAKVYRRDQPVMTRGRYREFYQCDFDIAGQYDLMLPESECLGIVHELLTKLEIGEFFINLNHRLILEGMFAVSGISPKDFKSICSSVDKLDKTQWVEVEEEMIKEKFLTKEQTEKLGQLVRFRELNSGLSNLELLEKMSQLPELGQNEKFKKGAEELKVLIGYLDVDGVTSVRYEPSLARGLDYYTGAIYEAVAPKALEGTAVEKSEETAGQPVGVGSVAAGGRYDGLVNMFDAKLKVPCCGVSFGIERLFAIMEARQKNTVRTTQTEVYVASAQKNLVLERKKLLRTLRAAGIKSEMPLKANPKLLTQFQYAEERRIPLVVLIGERELQEGIVKLRNVVSREEKASFQTSEKSIIIFLSGRSPRFTRLLHSRRSRCTLDNQYVVLILFSNFLFFSSLSSFFSRSGHHNATVCFLILHIRCV >CRE10850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:214352:215716:1 gene:WBGene00059310 transcript:CRE10850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10850 MAHLLFPGVDSSIRYHHIESPKPSEDPLQNPWFPQTSSEPEPIVTENTRPESGRDPRHITEDSIEENGEERIAHFEYYEGAEEFPIKVPPEQSAALIHQSTPSNMAVIPGRIARGFLDSFSLIISFALVVLQFGLIDWYYLNVTGENIWAVWIGPDCVVLVVLGILGVLAIRHNTVQMEECCSIDSRVKYACFAWGVYALVLIFKIGTCFRLFYEDIPPTPLDNNDKLFDDFLFKAGLSLSVLIFLFIFESHHYTPLVSVRQVYISYLVAAICLDLIDNIYFLDLLWQASKDKWNLELWLEWTILVVACINFFMPTFALAKLRYAKVPRFLLVSEKLWAFLYVLLVNGPFLGLRIYLYIVLEVQQHGKKYDPSLFGVKNIAMIYIALRELWTRLQYWRMKRRAALSRNELAAAIHHQDEEQ >CRE10849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:206890:207179:1 gene:WBGene00059311 transcript:CRE10849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10849 MSVSSKTLLFFFFFTVLLLVTIVDAQRFIDVMMARNEELNGLLSNNRRYAANGNSPMLKRNSLLDNLYNIGYFQY >CRE10848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:202716:204108:1 gene:WBGene00059312 transcript:CRE10848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdz-13 description:CRE-SDZ-13 protein [Source:UniProtKB/TrEMBL;Acc:E3M556] MRFNLFNSLRQIYGRTRYYSRYSRKEKTKGSLNDLRNNMTDQKRLKFEVKMKVGRKYEHRSLELRCLFDESGKQEWILYIIKYADYTSYDYFSLSDSFIIQLFDETKNSKCTLVLVEDVLRFKMSSLSDKWRFVEFIRANLESKAHLCSGTLEIFPLQTTMSLPIHVLFHHTCLLIISQNTQKIIAHKSLFDFEMLVFKSKKLVFVCKTPQKLPELWSIHIENLEKLSDLLTEGIEIRDLPITMNQMERETSEYVQIPSADSVYTDF >CRE10847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:199647:201519:1 gene:WBGene00059313 transcript:CRE10847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10847 MVAPSKFPFLHLPHLARNEVLRQLTPFEIIILSLCSKSANKLCQSIQKRETNSGCCGNGNDIELKFSSRNEITLRFRDPRLTTWSFYFEDSIDSRSFKVIKNLYTSSWTPSEDQVKFIIFRNERTRLDHNLRLFTTGPDDIEVIERWVLYLSDLFNASLDKLHLTSEYFGIEENKRIINAFGTEGSMTTFVLEHGDVKGEEDEELIRWILENQPARRHLTLNFLPNEGFSFDFKTLKYYFWDITIENSKWISLEQTFDINSMIIKLAGSSFTNNEFKIIMNKWKNGWNPNWSSMKIEFSETLDVENFVSENLSDNEVDPASKPLLNVFANLNLRLGGAEDTIVYNVSRPDKTVMTIRINDKIVDFILYNLR >CRE10843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:191903:192736:1 gene:WBGene00059314 transcript:CRE10843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10843 MTKMNRYTVEDKEYYLNLMRSSPAKKPPEIYRDEIKKNNKCPDVKTLRRWQQVHLGSYEIPKRKTKKVRSKTSKPEETLSAPVPPVDYNPLLTQMFFLYLIAQIQPNVPIEFLLFPGPVRQAVLAASMNNLTQNVVNPNNQNPLPNN >CRE10841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:186769:188127:1 gene:WBGene00059315 transcript:CRE10841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10841 MKLKLTILLALVGAAFAAPSDLRRGHHHHHHHGHKTKAPRTTKGTTTLAPLVTTEVPIVTSSSSAPIDASSINPIIVSDTPSSLAPQPSDEPVSSGAPSTAEPVVPSEGPSSAPSEDPSYDAPSSSAQPSGPPSPGPVDPSDEPQPSGPPSPPEPSEQPNPSGPPSPGPVDPSDGPQPPNPPGPPGPSDEPSPSGPPSPGPVEPSENPQPSGPPAPSDEPEPSVGPSEEPQPSGPPSPGPIEPSGPPSPPVPSDEPQPSGPPAPSDQPEPSVGPSAEPQPSGPPSPGPVEPSENPQPSSPPAPSDEPEPSGPPSPGPVEPSDEPSPSGPPAPSNEPEPSVAPSDEPQPSGPPSPGPVEPSEEPQPSAAPSDEPQPSGPPSPPGPPGPPTRRPGPPTRRPPPPPPPTRRPPPPPPTRPPGPPGPPHHHGHGHHHGHHHGHHHGRGSAEEEVQV >CRE11035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:181415:183709:-1 gene:WBGene00059316 transcript:CRE11035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11035 MASFTSPDIDEKLAILHREMASLRVECDRLLNKHLIVEHTLSQSCSGWPNGAADTSSAYNTGGESCRSVGAHKNSVFSPNDSSNTQMIQSQQSPRVLRRTVKMASPAVQRRPAPPPPPQNLPLFSRPPTVVLLPHDSPEHINRPIHRTHQELPIPEDIASVVSSTKTPRSIIRAPSSVFGALKGSSLRKLSISRNIQMTSKNNKEESEKKEKEAEDYAKRFRIPSYSVVLKEEKKRSSFRIPVPQYFRSSKTKTKVYQVDAPEEEIEEVVDEERMAANKDLGDTTVHYKWKVKRRCDGSRYIVKRPIRSQILKKREAQLYRERAPISTDDDAMSELKLGRFHTKEERKRILEREKTKKLMKLQQKMMEKAHPSEQVIYQMSQQKLARQKEAMVMDEFVTTREVLSSRTRPDGIHGVVSVTTV >CRE10840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:180449:181329:1 gene:WBGene00059317 transcript:CRE10840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10840 MVQRVVVSKLLNSTRPLVFGMIHVPALPGTPSNTLPMSSILKKVRKEADTYFKNGVDGVIVENMHDVPYVKPPASPEIISSMALASDQLVKSRDANHPGAFTGIQILAAANKEALGVAYTTGLDFIRAEGFVYSHVADEGWIDGCAGSLLRYRSSLKADNVAIFTDIKKKHSAHSVTADVSIQEMAKDAKFNCADGIIVTGSATGCSASTEEMNQVLKVQEFPVLIGSGINGSNARDFVKAHGFIVGSDFKIGGDWRNDLDSSRISKFMRHVNSLKR >CRE11034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:177895:178697:-1 gene:WBGene00059318 transcript:CRE11034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11034 MNRKVLGVLVLVLAAHAVDPSGPPGPPPSGEPPGPFKPSGQPPSDAPPGPPGPSGPPPSGEPPGPPGPPRPSGPPPSGEPPGPFDPSGAPPGPPGPPPKPSGPPHPPNPHPSRGPRPTRLPRPSRPPRPSEEPSDAPAPSDSAVELVFGKPKPSGPPGPPPSGEPPGPPPSDAPSFFQWIFGKPKPSGPPGPPPSGEPPGPPKPSGPPGPPPSGGPPGPFDPSGAPPSGPPPRPPRPSGRPPRPSGPPPSDGPEGSGSPPPF >CRE11033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:170770:172404:-1 gene:WBGene00059321 transcript:CRE11033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11033 MPLGATNAFRHFIGLSSSFVFVLFLLFSFSYPNFPPINFFFFQTKASPNVKFLLESNIMNASTVSYEELEQFEPVPLLRHSYQLTVLYTVAYGAVFFTGVLGNTFVVLAVWAHKNLNITTDYLILSLALADLFILWICLPTTLINSIFTEWLWGQFFCRLSTWANASTSFASVYTLVAVTADRYLAICHTLKYNTSWDREYTKYVIFTVWFVAAIFGIPNWYNYDLIVWQEGNYGYRLCTSQTDQKLYFLFVNLLLAFIVPFGLISGLYTKIFITVSTHRSLAVDARAREDRVKLRVATMMLTVIIVFACCWLPLYCIFTYFFFFADQRSDLFQITSMVSFPKFSSSEYLFNFQLIRPIFQWMSLLSSSLNPIIYIAYSHKYRRAFKSILLMPCKTRYERVRSTILRRHSRGGKSTATISMSNFGTEPTNLGGAASLLIEPDGKQVERSTSDC >CRE10839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:168650:170646:1 gene:WBGene00059322 transcript:CRE10839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10839 MSLPTRFPKNFKLATATAAYQIEGAKELDGRGISTWDAIRSEYGRIRDDSNPTLSCEGRLKYKEDVALLAKIGVTSYRFSISWSRILPNGTLSEINKEAIQYYRDVCLLLRDNGIEPIVTLFHFDMPLAIYDNGTSWLNKENCEHFVKFADLCFREFGDLVKTWITFNEINMQAWSSVVKIQGELWLCPDRPEIENHEQAPYIAATNMLLTHAKIYRNYEKNYKETQDGIIGITNGGRFCFPASDSPDDQAARNRALDWLFNYTIEPILTDSGDFPATMREKLPFLPKFTDEEKEMIKGSTDFLGINYYLSHMVRDISDDETPTSQSERDASYAFVEGKWEKICGETWVRYAPDGLLALLKYVKEKYNNIPVFITENGCMNLIGEEEKKEEDILNDKHRIKYITGHLEAVAKAIDNGCNVIGYTLWTLMDNFEWDDGFAVKFGICRVDFESPEKTRTMKQSAKYYQTFIREFKKHHNLL >CRE10838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:164008:168389:1 gene:WBGene00059323 transcript:CRE10838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10838 MPLPCCRGNGSHPECFEITVPDDDSLQSKNVKCLPYSRSLPVPNPKCSFGQRQQANMATSYLDLSQIYGNTNGFVSRMRLFKDGKLALRAIGGFNNQMGIPPANLDNSVCRSYSGKPCLLAGNNRDLEFRINFLPTSGAMYTIWMRQHNLIAEKLSFVNPHWDDQKLFEEARRITIAQFQHVTFNEMVPVLVGKEQLRVMGIKLQNNGYDSGYDINIDASASNVFAAAAGQFFLTLLPSKFSIEDKKFQTRSESLLKHFNDPALIYEKGKIDGMLRFLLNAPIEKPGLHSSPLLKTAFQKSSFSEDEADSVDIIAMVIQMGRDHGLPSYLNWRKFCKLEEVNSFLALQSIFKPSVNISDFERLYESPEDIDVFVGGLSEQPAKGSLLGPTFACLFAHQMAQTKRGDRFWYENFVSPSAFTVQQIDEIRKTTMARIICDNTDTVTHVQHHAFSLPDDYGNCPLSCNSTGIIQPFNAKSFKDEEKLTTLPITKETIEKVIRLGLKQWQRYEEGEGRRISAQIADTSPSALLSHALLMAPKKESIDIARTASVLREATNILVTGNGLNKDEKLPDLDVATLQKILPQIDIGSVIGNFTPFLARDPLPKEQCLPEPLPCDHTSKYRSYSGWCNNLKNPKFGNAFTQMRRLLDPAYDDGFDTPRTRSVLGSELPSARKISNIVHSDAPKFHVKFTHMLMQFGQILDHDMMHSPISRGPKNTILNCSSCDSAQTLSIHCFPIKIEPDDPFFPAKHNDGRPRCMPFARSLLAQVSLGYRNQLNQLTSFLDASTIYGSTQCEANKLRLFSDGKLNFTDLGFNKEALPQGNQERDCRSNLQNRQRKCFVAGDERVNEQPGLTAIHNLFLREHNRIARYLKQINNFWTDEKLFQESRRINIAQLQNIIYKEWLPVVLGCQNMEKWGLMPQTSGYFEGYDDQCDATISQEMSTSAFRFGHSLIRGVFSRMNDQFQNMTNHVNLTETFSNPSPVYDKNSGHMESILMGLIGASSMAFDRHIVTAVRNHLFAKPGGPLTGLDLPAVNIQRARDHGVQGYNAYRKYCGLRKASTFSDLRDTMTSEAVTALETAYSHVDDIDLFPGIMSESPTRGALVGPTLACLIGEQMQRLKKCDRFYYETNDAMVRFTPDQLVEIRKASLSRMICDNSEYAVNIQPNVFLMPDDLANSPMSCSELPEIDLNKWVDRDYCLVDERVVNRGKTKRITPCVTCTCTLEGPECHSITIDDCSRLLRDYSLSDIQKDSVCLIQCSQHLKKL >CRE11032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:162561:163668:-1 gene:WBGene00059324 transcript:CRE11032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-14 description:CRE-COL-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M542] MSDEKEKRSLRPVAFVAVVFSTVAITSCLITFPLILHYIQTLESQVQLDLEFCQARARDMWKEMLDIETGGKKDSAKLANIVLNHRRLEKRDTLQDFWARRLHDQELRDQPVGYDNPSVGVESFNSEGGGCCTCHRGPPGPAGDGGRDGVDGVDGTPGEIGPPGPPAPPGPDPHSLFPPQCPCEAPPGDAGPPGQPGPDGPPGAPGNPGEDGKPGDQGPRGPPGIPGAPGQPGRPGPPGEPGTYKTEVGPAGRAGAPGRPGPPGQPGPAGPPGENGKGGSQGPSGLPGPPGQPGQNGAPGDVGQPGDNGAPGSCDHCPPARLAPGY >CRE10836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:158860:160366:1 gene:WBGene00059326 transcript:CRE10836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10836 MDENLHFVQRDENLILAAALAFTLELFEPKKEEHQIVEQKPPSISLAEPAQDYSDEYQPQCDRLQTDDYSEPINTRPEVSFYCDDFVEEITASDMAALEPYEMTALSKPSKPKNDPITRKTMKRTTTDFIPEPKRYSSRQVFLRLAREEAEKNRTSIPTVNKRKTTYKKKKPNPNSKSTNRETPKERKKREQEARTELAKHRKERQIIEKATTATVRAFGYVASLKSKTGKKNLSSWQNWHVITVQFLRELNDNPRNTYRKSQFHIYLVNSITVMEKTMASARPRPPEIHNLMMQFVVDFTKVWDIANQLGVKQVIGEMGRLLENVLGFHLASPTRMNPTNLPWIQTKTILELMLSIVIRVEVAYDVLILLNRIHHCFLTKWAHQTNKHMEYKSFAITQLHSLLDVLSRRLSQLCEQQKYNI >CRE11031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:156009:158222:-1 gene:WBGene00059327 transcript:CRE11031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-del-7 MSDAKCSCGHQTDQTGRQPQAARSMYNMKPPDEDMIFINPITLASINTTNYEPAMKIAQFHCNCKYLWLRELHGLSAFMMSNSLMEKIFWAIVIMACAGWSIVNTAQILKQYEDEATTTLLTILPAKQLKFPTMIFCPRNPDFINYYNVLEDMYNHLGYMENKTNFHVLQYAMTGFGFDNADGDTFNETYREKIHSYYMKWRDNRTQYEMFDFMYNKNGYTCQDMFQTCYGGSLTYNCCDIFQPTYAMLRGRCFRLMDDYYQNDTDEVSKVSIFFNNMTSPILNSGVLPQLVLYNGDSNIEVGIYPRYYLNSNDWNRIRFYQKSMILLPKSDGCSTEPVYQGKFTCFVYKWLMQLIDQYNCTVPYYKYTLPYLKDVPICEPDVIVDNFANISLTPSTIGYKCTPACSRIENTVQLTTSIDYDPDPSYMFRIEASFTYLEYEQYKEIRTTSTAGFISELGGQAGLFVGSSIMSFVQLFNSIFIQIYKLLRNYCNKKGIRVRIGLYETHPSVPADKHYDRDAALSDEPPYPLETILEVEEPQVMDADGMLLESGEPVSELEAIELETWSSKTSSFTDDSAYYPTPSFSSSEPHSTISESPEDVRLAQLVWIRQNTEEEI >CRE10834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:155043:155912:1 gene:WBGene00059328 transcript:CRE10834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10834 MLPLPWWILGTGPEEDSDNEADTLDDDSEHSEEVGESAPSTPYSMFRTPSSMPFTDTRDELPKVVNLSTFMSVAKRRSSSKFEIPTSPKVRKTEKRNSLVRNETEIMNKTMDAEGFGDFNQTIGMPFVTERILLGSPMPLGDTLNETFNLDYVDGPNEERSSEESPVRDQHLRMFLDSFNETTVLFNDTMTGDVNLNEKNEAVGDWVFKNSKFLHEEPTDAEVALEPVEDNAFKEPGQPKVQS >CRE11030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:152785:154927:-1 gene:WBGene00059329 transcript:CRE11030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11030 MDVKAVEKKRKKHKTSVQKPREPEIVETVSNQRNSDGKTRDPSKVRKHKSHGTQNKKHKKRGSKSRSKSKKKSGFLCCKKKKKKRSRKSKSKTTHKQPRIKPIAPLPPVPNEQLGEVVLVGNTPKVPVTPQKQEKEPLTPEKEGVKPTTAKSAEDVKPSKEKIGSEKDEKGEKTKVDSTNGSKKDEKEKTDQKSENQENEKKKTIEVDKLLDEIEKNEIDMSALKPLGEKLLDPKSTLAVSDWNQVSGYIPNHVSKRNFLANMSKNRFADIICMDHSRVKMSDSSYIHANWVKLNDRKKAILTQFPLPNTAADFWQMLLEQKVQCVLLIMTDQEYKSFDGDSVFPKNQDFLSFEERSIRVGEFKQVEMAKGWNLKVISVTNGTSKTFIHVHHYKNWLHDSIPSESKHIWQLQYHLEKYPGPPVFMSLSGCGRAGTYALFESANFSLLKRQAVNVPKSLKNLRNGRLHSVQNVNQFSFVCMLVGEQIAADGCCNRIGKDQENSTTAILRQLTIQ >CRE10833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:150855:152339:1 gene:WBGene00059330 transcript:CRE10833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rdl-1 MFYYNLAESKINIHMTPPSSQPIISIATHFVLRGCYAHIKSRVRQVMIQMRISRLDLVALSFSLSIHLFIFLGSPFSYFRIFPYFRMFRWFFQGDNVARSFDSPSETQSARDDRKTIGDYADNFLLFDLVIGEVEMAVVRYELDKEMKEEEERKAERRPDYSWLMSGGSRRFRKQLDAGERNRIENACERLKPCEWSKTIETWKMKTKNPESRDDIIKLFVAATHDTIQSRKHEPTITEVLKNFATGKSGHTVRHGESPRENLSSRNLAELSFIELQEIV >CRE10832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:147811:150443:1 gene:WBGene00059331 transcript:CRE10832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hcf-1 description:CRE-HCF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M534] MDENNELDHMDYHEENNAPVDETDKKLVRWRKINENDGPTPKPRHGHRAVVIRDLIVIFGGGNEGMIDELHVYNTETREWVVPQIRGEAPHPAAAFGAAAFGTKVYIFGGMVEYGKYSNDVYELTATRWEWRRMTTKVMGKDLPPAPRIGHSFVVSEKNQKAYMFGGLCNDLNDNKRNIPRYMNDLYVLDLSTAPNALVWSKPEIKGDQPSARESHTAVLYENDRVSRMVVYGGMDGNRLGDLWYLDLNTLQWTEMKITDPRHGFPPMPRSLHTSVLIGTKMFVYGGWVPVMPTAPGEQQDKEWKCTSSLGCWDVEENRWVPLQQYDTDKDDEPRARSGHCAAAMGDRMFIWSGRDGYRKAWSNQVCCRDMWVLETMKPEQSAKVQLGRAGFSSLEVSWPPVPGATGYFLQIGFGDPKDASASPAKRGQVSPRKQPAAATVQKEDEQNKGVTPSLISTQGTTYTTPAPSKPAIGEGGLPQDLFEDSEKNEGTSTSPKPSGDSQADVDSKKRESSSENATAQTSDSDVKKEIGEEKRKVEVQFENADDDLPWFDVGIIKNASINVTHYFNARQQPLEKQLHDLVEHNAFKCINEPNFTAEDKVALINGQTYRFRVSAINGLGKGAWSETASFKTCVPGYPSAPSSIRITKSQDGAQLTWEPPSNTNVSGKIIEYSVYLAVKSQTANPADSQLAFMRVYCGPHAECQVPQANLGTAYVDQTNKPAIIFRIAAKNEKGYGPATQVRWLQDQQKSMPPRSFPNVAPGYLYQHATPHLKKPRFEHH >CRE11029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:146213:147439:-1 gene:WBGene00059332 transcript:CRE11029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11029 MMPIEVELTVETLTKLVPAKQPSDSMAKVNSLSFSSSGENMIMSTDDDSMFLYDMNSGMRSRSVNSKKYGCSHVKFASDAMCALHGSTKVDNTIRYLSLIDNKYIRYFQGHDKLVTGINVSPLDDMFLSVAEDKTIRLWDLKTHNCIGLMNLSATPIATFDPEGLLFAAGLDNNIIKLYDLRSFDKGPFSSFGPLENEHGVEWTAMRFSPCGKYILICTNSAQLFLVDAFSGVIKNVFQEHQNCLRIPMMASFTPESSHVMVGSSDGMIYFYDVESGSVALKIPAPNNQPCHIAEFSPQHFVAATADSKLTLWCANDDLKARAQR >CRE11028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:144812:145979:-1 gene:WBGene00059333 transcript:CRE11028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11028 MLAEETLIPLEPNAFRALTASKKFNETSNKLLDLAYSEDGNGLLVSAEDVILVYDLHDGSKSKPVECKKYGVDRLEYLTSETCVHSDTKTGNVVRLLNITKKSYIKYFHGHTEKIIAIRSNPKSRERFISSSFDGSIRMFDSRTFDYYGFIHTAHPALIAFDPEGLLFATATKSETIRLFDVRSFDLGPFQVFRLQKNDNDEWSNIEFSSCGKFILVSTKGEVIKWVDAFTGVVVYEFKGHKNPNKIDLRATVSSGSGYVMVGSADRNIYVYSTENGSLVCKLPTPYVEPSHVVSFNPKQFLLTSLGRDVILWAPSEEYNNEH >CRE10831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:142954:143881:1 gene:WBGene00059334 transcript:CRE10831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10831 MAEIQEAARLKAKGNEAFKEKKYHKAITYYTESLECFADPVVFSNRAQAELNVDLPVLAQVDCTASIQRDPSAAKAYFRRAQAFKAMELYELAYKDMVKCAEYSKDEKMEKQAEELKGKKNIAVLELDLIERNEFLQSKDALKKITISYDKEKIEEVLLKQPDEEKAPKYLTKLPPHPKDYQDFVAAVSLLSKAPSLLPLAEYFLNIKVEKYHELFDVLLDDAYASHIFNALNFHLKTNRTIPNLAERMLKLADLSRFDLLIALMPNSQKTVIAEICKYLKSEEAFTVTTRYNC >CRE10830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:140402:142855:1 gene:WBGene00059335 transcript:CRE10830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-10 description:CRE-SEC-10 protein [Source:UniProtKB/TrEMBL;Acc:E3M529] MSGGQYVTYVQDLEQEPFDAIDLVEKLAWRMTGSAQTINDPVSLKTRFEEEIGSLQMLCDQFQSKIDTLEKQMNEEKKEYVQKLQRLHEKNSEAIDKMKQLDHTMQSVSTKVVHLGDQLESVDQPRSRAHDAYQLMQHFDEFLSDQPLNSMIFTDPDKLLESADLVHKLYSISQELNKDKFSTVQARIAQRYKVVEDLLIEEFVRSQRDEKKMAEVAKILSEFKGYSGCVDRYVEFLCQSIHPRGDGGEILADCLQLCRTQQPRISAIFPSPHTVMQKLVLNLFTGRMKETINARLRECKETEDHEHYLRDLASLYSSTLKMCKELEKLHISPDSAFLSTLTDSIFQRYIATYCTEELKYLNDQCSSLLQRFYESKKHVKKQIGGGLHELKRDVAARLMNVETYGGETFVAEDVAISILQETKNAFNRAVQLCDKEDLPRHVENIVDCLLKYLYGDHLDYAVETGLAGISLAESKTEPPAYFFSVVAKCTSVILLMIKQFEDPIFPIIKDTIAEPSVAKKWQQSLRSLESKMSLGLERQLNSIVGYVKFLFSEQKKTDFRPDSQQIDIRVSPQCQLASRFLASQVAAMELGCDGENLEALQSDLANRLFVFMLSHIKQFTYNSTGAVLLLCDVGELRTLISKWRVQQALAQWESLQALTNLLAVLPDQVNETAHSSSLENVDRQLIHDFVRLRTDFRSIKNFQI >CRE10829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:139369:140070:1 gene:WBGene00059337 transcript:CRE10829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-321 description:CRE-TAG-321 protein [Source:UniProtKB/TrEMBL;Acc:E3M528] MISSPVRLASATIGRAGYRMLLNCPPRRWSTTAAFKHLDKLIIETPDDAMEIASRLSASEQLMLKTALDDCLTKKEKGKVEDLTPEQVKGIFLVNSIPFIGFGVLDNMIMILAGEYIDQQLGAVLAISTMAAAALGNLISDIAGVGLAHYVEVAVQRVGIKHPVLTAAQLDSGKARFATNAARAAGLTVGCLIGMFPLLFFDDDDDKKKKEANKNKLG >CRE10828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:137512:138983:1 gene:WBGene00059338 transcript:CRE10828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-322 description:CRE-TAG-322 protein [Source:UniProtKB/TrEMBL;Acc:E3M527] MSDGVTVEFATRITEQCLEIRDLYEIEECVRQPNEQNLTEFLYDLSAFLLELECPHDELTCGEITSRFQSSSSRDLLSKFLESEVKTARLVTLQKIESGEIVSEKRDLELTPVIDAAFQALSSPKHAGNSNEWKLLETLRQKVDGRVSQSRRTPLFKATLDEKTLPEIEKQCKSFSRDFYNRLLLLNSRLKVTVESFLWNDRLRAQREKIQSILSSRVEEIGKIKANCDVAHLLAASSSLLYIQKASDMSRRNRTKSKQHPLSVGDAPKDRGGRTEEMVGVKQESFRQQQHNYDRQNSHNRPKNTGTFERPKTQEEQVLEQHTSREHHGQNWRGRGRGRGRGRGGR >CRE10821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:126118:127061:1 gene:WBGene00059339 transcript:CRE10821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10821 MDAKVYVGGLPSDATSQELEEIFDRFGRIRKVWVARRPPGFAFVEYDDVRDAEDAVRALDGSESAEYELVSNFQPDNVVVVAAVAVVMEVVTAVVETTTGLRSSASPPAIPNFTLFHSSPSSVFTTSSSSLPHHSAPFSSPKIFASELYQPRFIS >CRE10820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:125257:125632:1 gene:WBGene00059343 transcript:CRE10820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10820 MAAPPPPYNQAYNPYPPPPPGSVYTVQPGVPIGQGVQPAYAVYYQQPQTVIVDDCHHHHHHRNEGHGGGGDMCCLAGLMACLCFLCLSK >CRE10818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:121292:122621:1 gene:WBGene00059344 transcript:CRE10818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10818 MDAKTAQSVFGAVRQSKKSTSKEETTENFKSSNESLVKKYISLKNQLTQCTKTVEKLRNENVALREKNQELIDASLDRKIELIVEQRVKSRLAHAAVLHKRLFQTIQQTGLELNGIFKDMEPEPSDLITRRAPRMEVNLERVEESPVRQSSVDNYDDENVYGEDDITISSATTSFVQNLENGTPRTKQSGPKGRRSQLFPSLQGCSNMMMNIINVFFVEEVLSGDEVSVVSTVVRRAPMLIAPSATPGGPSKPASRKAATPRFKKPSTPVASPSCDPDEGGPTTVRRQRSAKMNIKSMKEPSTGSKLRRPGKHDEPMPYISSFY >CRE11026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:117251:120682:-1 gene:WBGene00059346 transcript:CRE11026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-18 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3M516] MSYIKTFGRVRPSAKGTTTSALVSTDKTVKVETENGQKTYELHRIFKHDSTQEEVFQCVSKKIVEDSVDGFNGTVFAYGQTGSGKTHTMLGPCNSWSDPDQKGLIPRSIEYLFELLDAKARDCQKFTFSVDVEFVELYNEDIFDLLNAKNKVQLRDLGERIQLDGAKVETVDNSLDLMHVVQRAWQARSVGVTAMNNESSRSHALLIIKIKTKEVTGEFVKDRFATLNLVDLAGSERQSHTKATGDRLKEATNINSSLTVLGRCIRILSKPSGASTYVPYRDSHLTHILKNSLGGNSKTAVIVNMHPDKEFLAESSSTLLFAQSCTLIKNAVHRNEVMTGDQENSYKKAIQELRRQVDEAPAKAREEYAKKLKVSEEAQHRLTLENDSLKKDNSELRGNYNLALLKYLSNNCTAEVIDELKVLLSSANHNQSIVEPGTQDLLAKNTTVCTLFFSFLCIYFFIQLKLEREASEKRCQQLQRELEELRSKYCDSLDTTLLLQTPNAKGRRSSSRPKRRETQYMPSPSRMAMLNEEEDEETAEIIKAKLECNVQSLRNELENEKERAYTAQKKYDDIKCDLNRKETEYERVIVEKDEEISELENKVRNNGETLERLLQTMSEVERAKAQLESTIKVNQDKHEAEMEGKVSNKSFVHDVSFSAMRNDYKSQVIDLEAQLSESKNDSQRLAITKEEINAKLQEELDSSTRSYNNLENKLQILSDENTSLKRELAEKSELAATVEEISSEKSKEAVSLQKELDNKMKEILRIKKDAEEKDKTVKDLQKDIAVNAKATVLLQADIDAKTQQISGIQQDLGEVAKKNAALLVELTTKSTLIFSLEQEVAKKAKAVSELEKQLDQNVDALADCKTIKRRYEESLENEKHSKKAYEDLKNSMNDIFLNHQKDVKLVKAKKDQEIESIQRSLDCTSKLLKDQEKNYKKEKSELQQKFDQKVGEMDKRFKEMHMESLKTQEEKIKRESMNVMESTMLVKENKIRELEERCNYFEKQHEEDTEAINSKLGLKSQKMSYVDKIRREKADMESTISQLRSEVARLSKQNREPPRVLRSRNIQQ >CRE10816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:112623:114659:1 gene:WBGene00059347 transcript:CRE10816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10816 description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:E3M514] MSDQPSAENAEVRQRRVPDSPEANLRAPDRDDVRPTSDESDMDAILQDEDRMERLTKAIPQDKGSLGEFADSMLEALPPRWRNWVVRGLFSIIMISTFTFIVNRGATWLMFLVFLIQFKCFQEIISIGLAVYRLYDFPWFRALSWYFLLTSNYFFFGESLIDYWGIVLKKDNFLHFLVAYHRLVSFALYCIGFVSFVLSLRKGYYMRQFSLFAWTHLTLLLIVSQSFFIIQNIFQGLIWFLAPVAMIICCDVMSYMFGFFWGKTPLIKLSPKKTWEGFIGGAFSTVIFGILLSLALYNRPFFVCPVQHYQTDSSNCTIPLAFQLQDYPVPRPFSFFFKILRKEPVIQLFPFVFHSIALSLFASILGPFGGFFASGFKRAFKIKDFGDVIPGHGGLMDRFDCQLLMGTFVMVYIHSFIRVPDASKLIKQIMTLEPQEQMDIFNLLQAELSKSGLL >CRE11025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:107448:109612:-1 gene:WBGene00059348 transcript:CRE11025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ric-3 description:CRE-RIC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M513] MPKVIEKERHRDNREREKRKRRRRDDSFDDYEEEGGISGWKLGLIVGVIVVCFAMLYPTLFHPMLMGFLGRSSTPSPSINQQRPPIHPAMGGGGGGGQRHPGGHPSRPDVHPAMRMAQAQAEGQSGGSKGMFTWMLPIYTIGVVLFLLYTLFKSKGKKTKRKRRNNYFDSEEDEDDDESETETKYGGKFGKKKLKGLQERLRQTEDAMSKILEQLESVQAGANPVDLDAADKLADQLENDKSAKEAVGLNETNEQYIKDLEVALKEFQSLSKAYDKEKMKKLKKRGDTSSEEEEEDIEEEEEEEEEEEEESELSEIEEEEEDVKPAKKRAVDNKKKKSKKIRQKSTSEEEEKEEKREEDDAEEEEGIDIDSEIQEQAERDKKNKNVRRRKPKKI >CRE10815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:106172:107049:1 gene:WBGene00059349 transcript:CRE10815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10815 MSSSKTLILLALLAVSALAIPSRVKRAPEPQTEAIDGTTAELSPGDDTPAQFEEEASGEEEHHEHHMEASGEIPAESSSAVAPMSSDEPKIEEPKAEEPKIEETTEETAVLSKEPEVDEKPIEAATVVSSAEEQPSTSEAPMTSEEPSTSSETPVSSATSFISSLFVLVCVYLIAM >CRE10814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:100774:102713:1 gene:WBGene00059350 transcript:CRE10814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10814 description:Aminoacylase-1 [Source:UniProtKB/TrEMBL;Acc:E3M511] MSEDIGVTRFREYLRVNTEQPKPDYVACRDFLFKYADELGIQRRAVETAPGTFFVIMTIPGSRPELPAIMLYSHTDVVPTFREFWTRDPYSAFKDEQGNIFARGAQDMKCVGVQYMEALRNWFAKGVKQWKRTIHIVWGPDEEIGHINGMKGFAVTEEFKKLNIDFALDEGIATEDDVYKIFYAERIPWWVKVTLPGNPGHGSKFIEQTAVEKLHKLIASVDEFRNEQKALLAGNPELTVGDVTTSNVTIINGGVQVNVVPEKFEAYIDIRVTPLQDLDVVRARVDQWAKEAGEGVTYEFMQFSNFKLISPSTREDPFWAAIDDGLKQEGCKYKKEIFIGATDSRFVRAQGIRAIGFSPIINTPSLLHDHNEFLNEKTFLRGVQIYETLINNLANVN >CRE11024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:98841:100144:-1 gene:WBGene00059352 transcript:CRE11024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mvb-12 description:CRE-MVB-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M510] MFSMFVTARFPCSHLFVEFPRFPQPDGDNVTALCRTLHLFHCISCYFPIGFHKHFIITIFRNKMAELEFSADNATPAVPITAMCIVADKSKAPRGFVPIVKCQDDQAEADLWRDGFFTINRQVRYICTSTEIPDSNIKTPVQVITNLIIVRESDPIPHGYVAIDYTADSREKSLRKKYVCIRTEPRDRVVDAIGEIIILGKTKKVPRDYTSAGDIDSLLICYKVIPIPQTYGIQTSNSTSNLETQQSTGGLYPGLPNLSNSTPANLDVSGASSSAFTMKNVGIPRVKAIDGIDFKVNPMFVKSDSNSGSSQLPDLSQFTHLEQSRLDDKYNYSFATEHAVLS >CRE10813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:96834:98569:1 gene:WBGene00059353 transcript:CRE10813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10813 MNLSSVLPLLVAFLISLVSAQGNKEAVELSSANHDHILGSATVVFVAFCADWCPFSRRLKPIFEESARVFHQENPQASAVWAIVDSQRQADIGDKYFVNKYPTMKVFVNGELISKEYRSTRSVEALTNFVKYQLSTAMNEFSSQDQLNQEMDKSKRNIVAWLKKDGPEFANLKKVASILREDCSFWVPTDNFGTQTTENKLSFFDPDNNEEAKFTGNFNDYDFVKQWVTDKCIPLVREVTFENVEELTEEGMPFLIYFRDPDNKSTDKLFGEAVARELYDQRSAINPLLADGHKFAHPLKHLGKTKEDLPVLAIDSFQHMYLFPDMTQMNVPGKLREFVMDLHSGKLHKEFHENLDQRMIDLAKAKAARGITDDHEAQAPSARPIDTTPPPSVFKDLKPSDKRYSILQKSEL >CRE11023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:79785:86067:-1 gene:WBGene00059354 transcript:CRE11023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11023 MKVEQASRSLSEYYTNLLIESKGKAPPNFDDTSRGVLLALIKERPTIWNSTAKLTKDDVLASFQQCSAVLSNMDPSFGIWAIIEKWCWVVESFVRCSMTNPPSEWRYNNTLEYLKPFAMIHYPYLGCISKKRRNELTEMYADPEILKVSELTDDLEFPAGIFRDEMHVFSGEEILLNNESEANSIARTVGQIINVKRRGRPSKADKRSPVDQFINLTPQSAQFQKLLEVNGTASTSFTAVSFLESDAPDDLGFTPAMYNALIEMIQETPQLWQNDNPNRKNGELRDKNFDDISRKLILKFNGSLDIQQSERMTGSFIRKIWETLKEKFQREEKLDKISQWRYYLPLQFLSNRLMPAFLLENVFIKSEDPSTSTGLVSRVNVASPAGSSQSEDSRMATSSPASATQFVSNGNKSIKTVLDNLVARSMKEEQGLNGISIIPTTQDYSELKQMLEQNKLIKVEGENGPPMKRAKQDSGSSPPGNSRMIVYNNDTRKAAQAPIATWVPPREDLAAKVKEEVIQTPPQKRPQATAVIKSGIPLERHLKKMFQQSPTIIPTTAAASIAHRNGILGGLNAMSIPQSALQQALLKKMEPPTTMAATMATMASAMPSVSAPQLHQAQALINGAMNGNSEPEDKWTLLGRMISMIAREVEARDPMAACELTRDIQQCLYQYNSKSLRPKENNSQ >CRE19624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2629:601:1861:1 gene:WBGene00059356 transcript:CRE19624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-73 description:CRE-SRT-73 protein [Source:UniProtKB/TrEMBL;Acc:E3NVB7] MNESKSVITNDELQLDFVGVGEQLFQFILSITQSYFPDPIVFPVYSSDEYWGREVPYAVFILIFCVFFIILQILVMRVMITDKDLKKLPAFQIMFMISFFDTIQLFVHLTAVFYILNSKPHFGTVDYLIGAVMNASWVSMLILSIFLNINRLISIVFHFKSSRIFSPVTMKIYFSLIFVVWCIVFFLNASGYSHMVYLLPAYTWHYAVSRIAANPLSATLRSVSADISLVDVLFSLFAHLSIFTYIYFKAAMLSKKELILTIQVVCVSMFHIIGYLTWEYFPIPWELPIGVFIGHVVWMVWNAINPMLYVLINPLVFYPFL >CRE11021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:46713:62315:-1 gene:WBGene00059357 transcript:CRE11021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11021 MLEIEFYKTIHLIQYIDELFEMAEEKMLAIISVSDKTGLIPLAEGLVSAGLTLVASGGTAKTIRDNGIDVHDVADITKFPEMLGGRVKTLHPAVHGGILARDSESDRKDLEKHNISFVSVVVCNLYPFKKTVQSSNCSLEEAVENIDIGGVTLLRAAAKNHERVSVICDPADYDHIISELKSGGTSRERRQLLALKAFEHTTSYDESISGFMRRRFAGNGERALPLRYGTNPHQKDDAELYIVEDEMPIKVLNGSPGYINILDGLNGWQLVKELSDATKMPAAASFKHVSPAGAAIGLPLNETESACCMVSDLPIDTKKPSLAAAYARARGADRMSSFGDFIALSEKCDELTAKIINREVSDGVVAPDYDPAALSLLAKKKNGNYCVLKINPNYLPSETEERTVFGLRLRQKRNNAVINAETFTNVVGKENGMNKQAVDDLIVATIALKYAQSNSVCFAHRGQVIGMGAGQQSRIHCTRLAGDKAMNWWLRQHPTVLSLPWKNAIKRSEKSNAIDVLCSGVLGSEVSFLSFLPSKHLLNLKIFLQIAIDQWQQYFNEPVEPLAEVREERKQWLSQQTGVVMSSDAFLPFRDNVDCAKQFGVSYVAHPGGSVRDEEIKEACDEHGITLIHTGLRLFHH >CRE11020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:44638:46653:-1 gene:WBGene00059359 transcript:CRE11020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11020 MYIFVMGLHRVFTIISVQSAANFPAFHCSNVRLFRSIVSRRDPRSLAPTPVHPLITGNNEKCEKYEPNRRDVFYTHRRVVFYAPDSKCNYEVEVIVFDTKRRRSETKKIKIVRVNEPSYMALAILLIATSIILFLIILIVMLKKRNRSHRGRKGETVVYAYVDDPKRNVMKVRPPGSRFMPVEYINRDVEAALTQRTAGCNLRDGGKNNMFQVLPQPIGDNVSENQYDYTTEYQVESDLSDEVFEEDICISTPNSSFRRFDSPSFCLMAPIAPFEEFDDLPAYAFQNFRFGKPYEREGNTYCLMTTAVDISRGHCYSLKYPKDYCKFLYRSFFTKHSCLAEPSRRAMRRELEILRILPIHPNFVRFDGVVIGRWENIPYQITGILMEECQGGSLYDYINSAGYVLRRQEMRTPIDHHLPITEGSPNMSSGYDSFTSKRRQRPDQNNQKEGSSYQQVSSPLCSFTEQISRALEHLHFAKIVHTRVASTSVYLTSDYTDPLEMPSEQMVKLGDFSYASGSNDAIVADPNLQPQAIFILIVMLKRRNRSQRGRKAIIIIWCIILILNQWSMWRVAVASRIVCDVF >CRE11019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:43462:44570:-1 gene:WBGene00059360 transcript:CRE11019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11019 MLPNPSFLLLKLPLVVLRRICANWAPIDLLVLSNVSKRTMMRLHSVIPRKRFKMEVSFWINSRAFVLDGTEEHVIDIPYGQKNRVNWEDENHESNFIFEDISIRNIIWMFEQMSYVFNTDIHRIAMSADQCFGNVSRILCWLNHRQRSIEDLRIDFNTLEDIANIISLCQTLNIKRILDIANFSESHTGKRLNPKFEMDNLWLRANNLDQWITLDNIMDFDCIHIDLTSFAFTSSDMNRYLKAWVNGCNFRMEYLYLGLRPLDHKILTDGVEVDEVNASIVRSYNSKILIGPTKIEGGTDICSKDGRRATFQQIINNDYLYPEQRFPFKMVVWPKDGQ >CRE05343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1572:2097:3801:-1 gene:WBGene00059361 transcript:CRE05343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05343 MSEDLRNAMTKVIVEKLRCKSLMFMPSHVCATFPFNTQNALVVDIGHSECVAVPVIEGVTMLNEFESARSICGQQLERRVRELLEKYGQMEELSGERRTLTEADWEDIDRIRLIETLSLSLICLDKERAQKWKEWEEAEGEKPSIENLCKEKTVPINGKSIVVPPVVFETAIEIFFDESLNPNSFDLSLPKILHKVVSKCPIDIRRKLFPNILLAGGVSTIPGLMKRIEQEINEIDEKNGTKYTEVVKFYQFSEIKNSPLFVSWVGASLLGSLRETIERKSLTLEQWKAGKLAADWTDMIVKAR >CRE11018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:35822:38830:-1 gene:WBGene00059363 transcript:CRE11018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11018 MPNLLEDNFDPTFQSTSKKLVLPPNRKNHAEYDNLNEEPRVNDFSSDELMKLLSFMEGEVQAREDVIDHLKKERTKILLSEAKYGKLNMNDPFAALRRDSAITDEQIDEEKIVQMYESQVDQLDKMMSVQKKSQRNAAVLLVALEKKQYKLVKKLESDREAKIRYAKQGDDLVAHLEKERNQLQQQIEFHIEEKRKAEIAKDKMEMTLGNEKKRHESIVLYLIQERKQMLLKMHELRVKAEQLMLQNQSDPNKPGPSNALNERELIEELKKEVTFLRSERESLTKTQKMMKNENMSLRETVRGQEVDLQMLRRNLTLTGAKMSIDKPGHQLPQLTPDVGSLVMANRGAKSVTTPRPPTAPPAARIPNSSTFPTEKSRLPRAPPPISTAPAPRMSIGNLPSSSSNPPRTMSSPVKKTPVMGVSSTSVRRPQSATTTTTSVITNTSAVMPLSPELEQLEAAIQSMNVVSSSPPAYSTAMAKRSSSLPREPNNNPPISSAPIRRSTMNGGMHSSTTGVVTTRIGMFLFSILIVAFTDFRSFIYLLRFEFLIITCIFPAGPSTTVASERKMSGTVKRNGILAKAFGSSK >CRE10811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:33561:35109:1 gene:WBGene00059364 transcript:CRE10811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10811 MEVDSANSRHAALLKRKATDSINEILNYGQSSTSPQKRTKKTAHHKIRDIDAYKKIIKTYKAPTWYGCAVTPRDLADFGWACVKKDCVQCVECEQYLNTSLPNICKVSFNVYNSSLQDIHQKLTTAHRTTCKLRTGAPPFRITEPSSKEIMEGVQGRLTDIKNIEEDEFKVDIPSDLHLPKLEGVSDKFMYVAILGWFVSKPKRGALIFCCDYCARELAIRTGNKFDAIHNHERWCPRIDSDEHGETSWQSDINIVMNTKNYFPHHNKYHGSAIYREAMAARRLLDNSLSTIISPSLITPPSS >CRE11017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:29310:32328:-1 gene:WBGene00059365 transcript:CRE11017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ntl-4 description:CRE-NTL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M500] MSTSSDEASDKECPLCMETLELDDINFYPCKCEYQICRFCWHRIRTDENGLCPACRQPYPEDPVNFKPMTSDDVRKHKDEKRMKKQAEKMKLSDARQYLCNYRVLQKNLVYVVGLSPRVSDAEILKKNEYFGRYGKIQKIVTSEKASLPAPHLPPSHTAYVTYKRVDDALRAIQGVHNSMLDGRLVKASLGTTKYCSSFLNSRKCFKPVGECMYLHENAEPEISFTKDDMHLGKHTEYERRLIESMNSRAPPPQSSLASQLDKILAPAANSSPTRRPPTENSNAVADVERSGSPTQNSVEEPEDDANSTNTSNVYISSFNRKNKHSFQSIDDPAPSNPADETISSRRSNANARERQWSERDEVSVAPSNTPPTDPEGNENEFEDDINRGDVNELMAKLDVNEDRLDRSSFSENDYIHTGIPAPAKHQEAPAPLMGWEALLGISTAQPLADTIVEPSTLFSTFKMDAFNSQSLFGTQSSNTNSFKREPSPPPGLGRFNSDDDLGFDPFTESSKGLSALLREEQEQIPPPSSNNSMDVLKQLFGQMPEQRQPAVQQFQQHNHQQSHHHHHPLQQHQDHSFLHQLHAQQQHQQQQYAADMSRQQDYMFSRMMSQQQQQQQQQQQQQQQQQQQQQQQQQQQRQYEQAQNFSHPFANMQHHSPQQQQQSQSSQPSSLLQELFNRQQQQQQQHHQQQQQQQAQQQAQQQQMYSGLNSYMYNDMMQQRVPFGMAPPPGLGAPGSNRSSSMQQTPSHLSQQQSQQQHHQQQSMGLFGMGNHMLQQESQQPQSAQDAFKALLPNVNVRFMDDSSMARWSHENSLRSAHVPPPPGFSSVLNR >CRE11016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:27415:28251:-1 gene:WBGene00059366 transcript:CRE11016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11016 MMCIVFLKMDSEFDIDEFTKNLAGTHPELVEGLEGTSLLDDFETDEFERKIVNEYPELAEKICELDEKTVKEEQEKKVSKKQQARDRRERLEAMMIEGRSVVEKKDETYHDRILEIKGPLELLAKAVKGELRIEVRTRAVDRIDRIFEGIPTTFDEHMNVMMKNVTETIRYGRKAKKEVGSRNRVQEFLPEFLRWKEGGNWPMPIGANSLVEHRFQRSCFVKGDSIVFVRTLS >CRE10810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:24907:27303:1 gene:WBGene00059367 transcript:CRE10810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xpo-3 description:CRE-XPO-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M4Z8] MARLAEPAVVVLNNEDPDLSYLCTDYIRGYASFLIKFYPNDTNFIEKVIRTGLQRYVMGDDMTVGGDGEEEVEFQDYRRELRSMLNVIGLRRPEAIVNAIEPWTAEVTAGGSTIPVNKIEALLNVLFHLHEIIPVIFHRNQIYFQSNMLQNPREGVSQRAARLPIVILEGLVLDGRCPAVHVLYFELACRYERLLVLQAQPVVITHIAAAFLDQRGISIPTGNVRTRIVYLFCRFVKSHKAVLGPLVSEVITRLAPLLAVSPKSEDNQLLSPDDQGYIFEATATLIVFGDLTSEMKSQYVGELATTLAMKFENGLVELNAARARKADEETIQIILQFMANIIGYSSRMSKAFNNAQSMKACNCIEIYLKLVKLFVETLSPENSFLLESTRQFAHRLVVSMEDELMPYMSGIFEKLALVSTDLDSMHHLLIFCHQTVAKYKKAMLTSGVDLGNVLAIAARTSLQEQENNLPAKDDSQRALLYVQRAFVQLLFTVIVSDCTPALNTSPGLLDHVLEAAARLALSSDQTAQKVALSSLAKISLIIPAWSARTLRVALEIPSLSHITPSDAGSTLVVHEVCATLTSLHQSDPDGFARALRELVPNGFSDQLLSALTNLKGKNLDKQVMTIYSSLRNQNAQ >CRE11015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:23785:24579:-1 gene:WBGene00059368 transcript:CRE11015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11015 MRFLVVFVLLLSVKYAHGQAAEWLCESLICDPPKKCLIVDQQFTSDEQVAQCLVENTPSPPPFGTINGGQPLFPPPTQTGTPPNIPAPLAPTPTNPPSTPSTPTTPPSPFAPFLSLFGGGAPGAPTPTLIPPFTLPTLPTTAPPTTPSPVDVCSLPPVTGSCSRARIMWYYDNESRKCERFSWSGCGNQNRFASKMQCEQTCSQNSLFKRNF >CRE11014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:21266:22137:-1 gene:WBGene00059369 transcript:CRE11014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11014 MAKSLRSKFKRKVRAIARTKKQPKTDAFLQKAVEKRDQYEKVEAEKALADGTTTTEKMDVTSDAATINTKTLRKKDGSFPSWLSGTQKKKATKKSKAIKKSKNKTAKNFR >CRE10808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:19815:20873:1 gene:WBGene00059370 transcript:CRE10808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-2 description:CRE-RPS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4Z4] MADRGGFQSGFGGRGGGRGGARPTGDRPAGRGGRGGRGGRGGRGGRAGRGGEKETEWTPVTKLGRLVKEKKITTLEEIYLNSLPIKEFEIIDALCSNLKDEVLKISPVQKQTTAGQRTRFKAFVAIGDHNGHVGLGVKCSKEVATAIRGAIVAAKLAVVPVRRGYWGNKIGLPHTVPCKVTGKCASVMVRLIPAPRGTGVVSAPVPKKLLHMAGIEDCYTAAKGSTATLGNFAKATYAALQRTYSYLTPDLWKEEALEKSPYQRHHEFLARN >CRE10807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:18826:19408:1 gene:WBGene00059371 transcript:CRE10807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10807 MTRTTRIIVNITHFSQYLDISFTSFRLLVALDHKFNIDYNMKTVILLSLIFLESIPLNGLTYNEHLQFLQLQNAAYNMYLRTYAERKQKNNVFKSWYAATTEFPPLKSEFFENDTVVGDSQKNSAVVSMDLVYSRPRTVDDDIGMPLNQPIFTKEKTNFYNYGKLYQNYYQNGK >CRE11013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:14407:18419:-1 gene:WBGene00059372 transcript:CRE11013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-8 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3M4Z2] MKTGFLSPLFICLLLNSLVLCQETERILANNGTEDNENIPSKGSTPNTNPLFLSNSSAGLYDSKGKIRLTIGHIGAIGALRNDVKILEVSHKSLLAEGILDEDLDVEIISQTGCGESYEGVAVAADMYHLQKVKAFIGPYCNAEMDAVARMAAFWNIPIIGYMAASNNLADKNAYPTLARISMRTTNSIAEATCAMLRHYGWNKVAIVTNTGVLAYDRVISFEEVFHQRGISVVKKIMFDEFADSKAMVASGLLNDIKNSARIVVCLFSNTRETSREFLTAANTQGMSVNEYGYVFPWLQDGGKDIAPWTGSDGSMLQKVKDQYANAIIVSSALSIDDVNSFDNTIIGPFVERIKDVGLTEADVDIANIYGYLYLFDALKLYALAARKVLNETGKAENLLNGRMMWQNMRKMKFIGMVGASGIASGLVSMDDRAERAPLYRGFFVSPNTDAVLPMVHMEPTMLDNCDGIANKSGCYEIVVTDIMRDFWPSIDRKMPKDEPDCGFRNERCDYTLIIIGAALILLFIIAAVSIFFAQKLLEKRALDKLPFRIYRDDLQFIDEEQLKSMLSLGSTRTKMSNMNYGSRNHAIVGTNTHAIYHKYVQRRPIIFNRADKTLIQLMKAAVHDNINPFLGMVWNEKEEMLLVWKFCSRGTLQDIIYNDNIQLDTKFHGAFIRDILAGLEYLHASQIGYHGSLTPWSCLIDRNWMVKLTDYGIADPLERWEKQQSISRDALTSEDDKSQATQSTSILYESPEMLKNREKNRVRRVDQDWMRQTQARRQLGDVYAFGLVMYEIIFRALPFPEGTNQSELVEWLKDGTKVVKPVITQNKVLNMDLTALIQDCWNTTPEMRPSLRRIKLNVETYLNIKGSLVDQMTRMMEQYANNLEKLVAERTGMLEEANQRADRLLSQLLPAYVANELKLGRPVPPKTFTGSTVLFSDIVGFTEMCQHASPLEVVSVLNGIFDGFDQFIARKDAYKVETIGDAYMVVSGVPEENGHRHINEIASIALDVHKFLSEFVVPHKRDTKVQCRLGFHTGPVAAAVVGLNAPRYCLFGDTVNMASRMESNGEPGKTQISETAKNLLLKEYPDYICEQRGEIPIKGKGMCMTYWLMGTKSEGAGQSGAYLAPSMKSSGSGFSGMLGSTSSNDYSLNLRNPTGLQR >CRE11012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:7464:11345:-1 gene:WBGene00059374 transcript:CRE11012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bbs-2 description:CRE-BBS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4Z1] MDGDQTPEEQVEIGESDETSKFDDNVELTSVFSFSLDQRIMEGCVISAVLEPDGQETIVAVSVTNKVIIKDTETSLNITETIRCIAAAPFGEGYDCIVIGTDSSVICYDVHNNLTVFRNDVPDGVNCFAYGKLGDLEEAIYCGGNCCIWGFDKSGANTYWTVTGDQVTTMCLSDYDGDGETELVIGSPDFEIRVFKNDLMRAELMETDEITSLAHVTSGCFAYSLNNGTIGTYVLKERQWRIKSKSNVSKIFNFEEQGLMVVVWKQGKVDLRFAHNGEVLSRDSVATPVASATLSRDKENPTVTVVCLDGKVKGFKVQKATNGAIDKTQQLIREFGQKKHNLMVRNYRKSCNRGASSGMELSNYEQEEQLTEAEKDRDFRIPADTDVAVVFIVSCETQLLSLRVEASHAIPIRGVLIFAEGLFEGESYIWIPPNEHQSRSVIDIPLVIDKDSTNDLHTKVFLGHVDSSVIYTTSIKKILPRFCRFTLLNKEFEKFFYMPTCFVKFKLSPRAIKLSEWVSESFTIDSSLVEIFDENEGDFKFMGLRPKHEKSLMFSIDPAEKTFTIFHDDIETVGAMVQSYSSFFQIQNMESVAHFPDVFKEAEEILEEMDPMTEVRDRLTAELQERQAAVREVLIRAEDAIAIDTIPNARKFYIRLKANDAAARQAAQLRWNNQERCVKSLRRLNKIIENCSRLRVGEPGRQIVVTCRAAIAEDNKQIVTKVLQYGVSSQ >CRE10806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:6702:7346:1 gene:WBGene00059375 transcript:CRE10806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10806 MAPRNQRRYRRGQDQTWREKWTIFKHNRRKASWHELIAYGIILNVFGAALLLIKAQLDKDVIKAAVDQKFRDAGIRVNSTDGTDEEEEITEPSAVLLVMITMGGRMANVVGTMKLYKGLGKYLSDRKRKKFQSTTERIQNGEAETECTELLADCCQIC >CRE10805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:5304:5805:1 gene:WBGene00059376 transcript:CRE10805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exc-9 description:CRE-EXC-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M4Y9] MSFFRNSVILFWSSLFLFYCSHIFFSFFQKPTPQTDSNEKMPNCPRCQKPVYFAERVTSIGFDWHRPCLRCENEACKKTLAAGSHSEREGKPYCNRCYGAMFGPRGYGHGGVESHTFHQGQTSGQVRIIVSLFSVL >CRE10803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:58:1691:1 gene:WBGene00059377 transcript:CRE10803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-czw-1 description:CRE-CZW-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4Y7] MIGSVIESQLITMILKDVIAIAAPVTETADEDQQMFTDLLEIGEEFVSRMKELGFFSPKAKLIFELDKNTIFVDRRCFAIVSKANKLINETYDKLVTVGVEDSAPKDIDLLSKAYTHAEKYGKEYGNDLGRLWSHNEESQFPSFFAFQKCTVSASTISFVNLLRDNVKAAFASEDEGARAKLALTAENIVRLYVIQSPRKHAELFSSIPNMSAIFYNNCHYISHCIMTISFEASTENQKTLLEPLLLDSVIRLRNVAADCMEKTLKRCRREMAAYLEDHSIFEHLPASYKTTKSTFAPPEEMSEMAEVLVPKEEPKLIKCLAACLLHIRLIAKNLREPLTEVVYCKVIGSLISFLLDSLVRHVVTTSDFRENDANVMADVFKKLLEVVANIVTYQEQSKVTDFCAREYFRLNEIVFVLGNRMQDIEHRWFNAKGPMAEHLSRSEVVGLIKALFADSQHRNDLIARL >CRE27971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1324:7726:8937:1 gene:WBGene00059382 transcript:CRE27971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27971 MQSENSNRGGRGGGGRGRGARDREDRDPGGSGRGGRGSHRGGRGGSERGGSQEHRFSNRGGRGGRGSHRGGSENGPPSGYRSDRESNGDQRGGRGSHRGDYKGSERGSHHRDDNASRGGYRGRGGHRGDGDGAYTRGGGSDRGRRDHADNHRRPVETTDRCNGLLSSDKENSGNRDMNQHLNPRLALNIFGLELSERIVFRHVVQMKLLDHKTNKDYILTR >CRE09963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1206:6080:1 gene:WBGene00059383 transcript:CRE09963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csr-1 description:CRE-CSR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6J3] MQSENSNRGGRGGGGRGRGARDREDRDPGGSGRGGRGSYRGGRGGSERGGSQEHRFSNRGGRGGRGSHRGGSENGPLSGYRSDRESNGDQRGGRGSHRGDYKGSERGSHHRDDNASRGGYRGRGGHRGDGDGAYTRGGGSDRGRRDHADNHRRPVETNDREQRYESTPHPRLALNIFGLELSERIVFRHVVQMKLLDHKTNKDYILTTMSARGRGNRASKQNDNFILLGKLLDRWITTKGTKKHPVYAYDGAQSLFTLEGISQNMVIQKEDALQIPGISEFLKDSIKFLNGDLEISCEPDLEKPSFVQTEINEWSDPRFYAYLDIVTSQSATRRFTIFQCKKLLNYYSGKYLSQSKGLYVNTEHMEELRVKWAVAAKGIHKGCRIVGKEHPLPILELDPQSTQYYAAIPLSKMIQYAFPRDFGSNRIVNPNLRLQRAVKLLLKAIGRLTPSLFRISTTTLQKIRSLNRNIQTSTLPAAQCGTGPHKRLMPLEYLKVLPFQSIDRRVLEEFELTPRANAPNERWTTLQHHYDAFGFNDNVMQEFGVLICNDPFQNISEIDGERVLAPKIAYADPVHVDDEKRDWKAQDKRFETPATIDHLMFVLLAGYTRSFDQDIRATEFVANAFMQRCRDKGMIIKNYELKHFEGERDSETFLTSVFKYLVTLREYPNPAFIPFVLFVSDDVPNIHECLKFEERMSDIPTQHVLLKNIRKIRDNIEKKSHGGRRAYDLTLDNIVMKANVKCGGLNYTADPPRELGAWKEVPTFVMGMDVAHPDKMASREGNPSTVGLSCNAADNPYSFIGDFLYTDPRREAIQDELLRKFTDQHVRNFAERRGFPKKIIIFRDGVSFGEETEALREVEIIEQTIIAAAKSQGLRDYAPKVLAIVVKKRHHTRFYAKGGQNGNTPTNPLPDTSVGGDIAEYGKRQIFIQAFRPVQGTAKVPSFLILRDDEEVSDESIAKMTCAVCSLHQLVNSPTSIPTPVYVAHELAKRGTGLFKAYRFKNGELHDWATLSDQLSYSTLDRLSKVRVV >CRE09964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:8053:11914:1 gene:WBGene00059384 transcript:CRE09964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-10 MEGTEVCIPGIVAAVLSAIVFAKLRHSSLEVLLCGLSLFDVVLLTSSIMIYPSMHQCSMIHEPSERVILESLQWKGPLIIFSFQHNSFVCHFFWKVTVFIFPLSLIAQAGSVWTYVAVTVDRFIAVSFPIKRRVWSTRSNSLTVLVVITLICTFFKLPSFFEVRLDHNGEVVPTELRKNQFYIEFYLFYLYVTFIQLIPWTIIIFLNAIIIHKVRLAYRAQEVMIHNSGKMNSKREDAERKVTVMATVMTMIFIFCNIPPGINYLVDRYSKTDLYRQRIPLSNVLVCVNSASNMMIYCVFNVRFRRAALKLLGCPALITTRNGSVVTRLTTDGGNGMYNLQTSSFFEVRLDHNGEVVPTELRKNQFYIEFYLFYLYVTFIQLIPWTIIIFLNAIIIHKVRLAYRAQEVMIHNSGKMNSKREDAERKVTVMATVMTMIFIFCNIPPGINYLVDRYSKTDLYRQRIPLSNVLVCVNSASNMMIYCVFNVRFRRAALKLLGCPALITTRNGSVVTRLTTDGGNGMVSYYCLVLNFQTSLPSASFSSHYIRQSSQSQPGRTDTLLEVSNNNHRVSLITPPNNMCHFVIKNCEIKTLKTRFF >CRE10151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:11939:13773:-1 gene:WBGene00059385 transcript:CRE10151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sun-1 description:CRE-SUN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6J5] MALRRPVSPQLSNRSSPPVTRSVSRNGSRQPFETSTPLTRRSLQPGMHIDTIERVFESADDTDVDLNSSKFIYREHFTVTERTSIQKELWYDWLVYHIRMIRRHLLPEFKTVRETMMVLLLLLMITKCRLCKQLCCLNELFSDARDCYSEEMPKSFEQHQPADYSSEWIAEIQKIEKSVRKLIISISSILSVQISSLQAKIDSTESNLHQLENHLNQFDLKTDQLINQLNDDNGWKESVMEELKRIKVWQTESDLSMHSLKKEVEEKNCDKVIAAEEEKKPAEPTPSFPSDIQVHSSQTSRRPHVGINVANSLIGASIDNSCSSRPVSAKDGIFYDVMSYFGSFKEGYVLLDRDVLSPGEAWCTNDDRPTLTIKLARHIVPTSVSYQHVRWSGIVPNHAPKVYDLVACMDSCCIRSEPLVTDCEYKSSNDEHDEQEQFCSIPSNPNLSSVNHVQFRFRENHGNMKKTCAYLVRVYGDLANPPNAQTPDNATASLLEETVADSMSESV >CRE10152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:14522:15259:-1 gene:WBGene00059386 transcript:CRE10152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10152 MISKVVFSILLAIVAVQAKPGGRRSPPCGIPPFLDSLPEDAQEKINDIWKDYKEGDKCYEEQGLTREVIDALPKEARKNLHKRPTLPFLKGVPKETLAKFEEIFKDKSIKWSEKPEKIEALAEKELTGDNLKKFKEFKEKKEKEDKEYKEKETKLSEEAKKAHEKIEALNKQKFEILGDLSEKAKEELFDLWRSRPHFRGGRHGGKH >CRE22731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1958:78:1105:1 gene:WBGene00059388 transcript:CRE22731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22731 FISTSEKLVVLRLGRAQKTRGPGIALVVPCIDTTHKVTTSITAFNVPPLQVSLVFYNSSKTIITIDRGLVELGATVFLKIRDPIAAVCGVQDRNASVRTLANTMLYRYISKKRVCDVTNSQDRRIMAANFKDELGAFTCQFGTEITDVEMSDVKVVKEGENMGMSALSSVAKSDAGQQLWQVIGPAFEDFAKECAAEAKEKEAAPLVDLSDGPSTSTSVPTSSTSNESSIDIDHLITVASMAIDEHLVRLIGKVFQINCKDVDPICIDLKHGAGSAYKGTALNPDVVFESNFAVFGKILTKELSPVNAYMNGSLKVRGSIQDAMQLKYLVERMADWI >CRE09967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:20188:21519:1 gene:WBGene00059390 transcript:CRE09967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arf-3 description:CRE-ARF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M6J9] MGLTISSLFNRLFGKKQVRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDKERIEESREELHKMLNEDELRDATLLVFANKQDLPNAMTAAELTDKLGLHNLRSRQWYIQATCATQGHGLYEGLDWLSNQLSKT >CRE09968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:24443:25938:1 gene:WBGene00059392 transcript:CRE09968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09968 MKGNEQELIQLARRMRQLAVFSSSVAVFVAVLSVFALPVLISSTLQAVTSVDDNLARCTADAFKMYKAIDEIEIQLIQSFNKSSSQRSKRGGGYVNSYSGQYSGQNYDSIGQPAANGQYRFFPEVRFGIRIYCNKVFFVIEAIRARRPTLYSLQQDYNGGVGGQGGCGASPQGYQTRPTFVGRKNSLLIHIHKLIISAQTGSILRDGQSGFNGGGGCIPRYGPPGPPGASGNPGRDGNDGEAGSNGGQGRDGVADIDREPCQVCAPAQQGYPGPPGPKGRPGEQGPPGLDGNTIDGEDGSPGLPGPPGPPGPPGLPGLLGEHGESNEEEVAGPPGPPGVKGGQGGVGSRGTEGNPGPKGPPGQQGNPGAPGIGGRPGLQGRPGAPGAPGSPASCDHCAPPVLEPGYQVDVKKTIKRASP >CRE10154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:28339:37718:-1 gene:WBGene00059393 transcript:CRE10154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rig-4 description:CRE-RIG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M6K3] MRCRFLLLLCTTSVLWSVASTQLVLGKPPIFQDGGSVEQKVAVEGMYFHYFKLSFDSFPGEIIRLKCDDAELAEQYEWRVNDASGELIATSRFAEVTVSRANDHQKYRCVARNTVGAAISPPSMVRSKYLDDFDSSDESVQYEVTTGIGRYFVLRTPRLISSRNLDISYSWIKDDSNQVTPDGTHFVTANGDLVVTGVKREDFGTYKLMASSDDLQEIVSKEYVVKDNGMTPSLQNIFSIIYFSPERTIIESSLPHDEVFDCVTSFGIQDDVKIRWFLNGQPISGSEVGITTTMNNRRLVISNPSGFTRGEHKLECRAEAAMGRTSDQNSTYLTFISRPVLKDLPNEVQKSVGSSLSLKCAVKKKSSLDIKWYKNGLMVSLTMNTQRGKLAIDRIKQEDFGLYQCEASNEAGADMSSVWVKEGDGNDTVAVSMSEDGRSLEEEISMETPPPRKLKFFDSSKSQEQLFPFTSDIEPLQKLTKTPKDVTVPSGTDKITLECTAAGTPTPHVIWLLNGHEIQTDSVKYDLFAGGLTIHDVRKSDEGEYTCEISGSDVKSSANVQVSGDSLIEYGPADQKSLIGTNVEFSCEVAKEYTRKATVEWYLNDALLPVNGNSGLRISRNRKGSLIIRQVGPDNTGEYRCRVTVDGREENASAMLQIIEKPAMPERVRAELHNETMPAKVRVRWNEGFDGNEPIIKHAIEIRTMGPTGLWSDWTTAIDNIPKDDGKPCCWADIEDLRPSSTAEFRVVASNKHGPGKPSLPSSSVTMPQQPPSAAPRNVAASARSPHSVMVQWQQPKEEMDSGDVLGYVVRYRLAGYSSLTWNEKNLTTKDARNTLVDELITWREYEIQVAAYNKRGLGVFSESIEVTTAEGRPTQAPKNVRVKVLNSTAVAVEFTAPEQQRIPGVNLGYKVQFWKGEPEKGELHKQVILDPDRRQLSTVVNELEKFGHYNLTVLCFTTPGDGPKSNIMKVVTEEDTPEVVDELSIAEVMYNGAVLTWNPPLKQNGVVTKYTIRHWAASSPDVKTKHEVDGATTNFTIDGLHPSTRYGVDVMASTKKGDGPVEETKFESGVPPELPGRPSMLSIGDISATTVQLHFTPGFDGHTAIRQWIVEGKMADSSVFAHIFNASSPKARSIIVTGLRPFTQYQLRLIAENVKGRGAPSEPSRTFETLQTNPETPSQRLFAEPVSATSISVSWTPLLATHWNGQPKGYLIVYREIDEENWKEVRTPALRSSEHTVTDLRPFTTYEVNVFSENVFGRSLPTDAVKARTYESVPSGSPRNIVVTAEGSKSAIVKWDPVAELSTNGDVIGYKIRVVPERDSLMADETKEIDVPGQATLMTKLSNLRPFTSYHVFMSAYTIVGNGPENSTPVLFETMEDVPAPPESFQCSYISEQEVRMKWLPPGSPNGKISVTYLFILLFLIILYFQNYIISYWKSHEPRSMAIDSTLVGNLLMFAAMSLNPNTQYTFAIKARNVKGESEEALADVMTSSVRLPVRNAPSPVRDTQSQHLPTEITIRWDESLPRKLTEDAESPVRAVQVSYQKTNEDEWVTLEKKFDYSKRRAVIKHLSPNSMFRFRIRFIGDFLESSWSPESEWMRTLPSAPFAQPISLKATPYDRNSVQLEWVVPHKSTWNSAAIGYRIHYREYPSNETWQMEEIPVHDPHEEKEEKVLAKLDSFRHYILRMRLFNSEGEGPFSAPVFVYVGYSIPKRNLTNIITEPLSSSSIRVKWDAWPKEDSETITSFKVRYVPVASVLSSVSSEEEVMIVDTNECTLNDLRKFTEYQISVSPYNRAGEGKMSQVREKTLEDKPGPVGVLRFSDVLMDSVKVSWDEPAQPNGIVTGYIVNYKGYRMQEEFKNEDQQRASRNYFDTHGLAEGVTYFFSVWAETSAGKGEQRSANVTIGPSKEGPPPPSKPQITSGQSYVTLSWNDVANSDEIVGHLLQAKRVSVAEETENGYVSQRPRRNEIKGAKSAAQTAAASTSNRPTHPIGEWITLRPTDGKSEKEQVSYRELQPSSFYVFRVFTRNVRGIGIASPETEQLFVPESIPDDPFYTTWWFMALVAMAAFVLIVIIVAILCVTGSSAKYRREKRSRSIDSLQLADGNFASFQLKGTSAANMTRSRELPTRPGTTQSWLSDQSREPPAYGSVHGDGRNSAGVMNMYGLATDVIPPLPNSGPPHTNIEAMQKLSALVGRDIRSQNTAYVTPSTRGSDNGRNEYMPTRSDLYATRSDYGRVEYRGHIPSSSGGSQPQGSPQQPPEAYDSFDEEEDVDDDTVIRGDRTMTDGADDIARHYGSTDQYRDTWRKVRDTDMVRAPILTGQPSSAAGRSSTTDSTSEGPWANPSNPSLTAGFSSFV >CRE10155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:43703:45132:-1 gene:WBGene00059394 transcript:CRE10155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10155 MIVRHMSIEEPTTKSEMWYDWLEYRIRRFMVIECVFFVMFVFLISKSFSISDEIQRTHEMMYQMQLKIDSIENRLSSRHYMKAENIESISEDSQQEISRLREMNEKLENEMKAASIASIMSHRQSALPSHNLNSTPPNSTSCSREIFNAASMMAGASIVDKLSSHTVSAQTGGYIRSGEESYVLLDRKELPLHKAWCSDESKPKLTINLAKYIKPISVSYQHTKWSGLIPDGAPRIYDVVNCLDNDCKKWDALVSNCEYKSSGYSIPKQEQTCLIPANRSRTSIKNVQFRFRENYGNKNRTCVYLVRVYGERSEPPEDRKAIERKEEDRESTCSWISWQYNNFRFLYNARNKTCPVLYENNCCIECPECCMECTMTTSFSDAMQAILLFIIVIGTLSLSIYGCLKLCQHLQ >CRE10157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:47739:49282:-1 gene:WBGene00059395 transcript:CRE10157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10157 MNRLNIEEEENNTKNAPGMWYQWLEYRLRYYMVLEGIIIIILFFSLSNYHDLASRNMELNAKLEIQIDNLDKRLDEIYELLKTNSFPKTERNKMLKNIREESIRPVEVKTSEKLIEKSNSFPITSLNYSRFEMNAANILMGASVDLGLSSSSVSSEDGFFNNFFYPFTRDQSGYILLDREELPPNKSWCSDEEKPVLTIDLVKNTEIMYVSYQHSKWNGVFPDGAPKKYNVLACLDSKCEHLEPLATNCEYEKSVNGQYIQEQMCRISSDSVAPPVRKVQFHFLENHGNVEKTCIYSIRVFGIRRNLFRTEQKKLEDKKKCEELAWNHKHSSLVYSWQEKNCTLLYSMECCSDCPECCSECKMKDFNYVFVGNILLGLFFIFVFVCWIICAVAECKKNIKADSVNA >CRE09970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:51652:53221:1 gene:WBGene00059396 transcript:CRE09970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09970 MDIKPKEQTNLVGESMSSINISMNKGRFSPNKANSSSGLWSQWIRYQVKHYMILEGLFLISILFLLINSYNVSTQNHKTNEMISNLQNRVAFLENQLNISTNFETFNEIYPEKEEEKPIEKKVVIEDIEEPETANESISVQENLSTSTPIPVISNDSVPFNAADIILGASIDYDQSSQVISTREGFLGDVENFFGTVQSDYVLLDRHELPLNKAWCSLEKYPILTVNLAKPIRLNSVSYQHSKWNGTIPVDAPKLYEIMVSCLACLNSNCEKWELVASNCEYKMTDEENQEQNCTIVEKFNWYPINKIRIRFVENQGNVNKTCAYLVRVYGEPIEFEKEEEKEEKEKDSKSQMSEEERQIKQLEKIMNEKKKKKEKEDAILQHCTQLKWFHDNARVLYNAKTEKNCVPLYSKNCCSVCPECCLECEMSLGLYNTLLALSILFGPTLIIIGLYFVLRSFQYM >CRE10800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5177:465:1995:1 gene:WBGene00059398 transcript:CRE10800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10800 MDKTSFGRDSESAYGSEVSSNATFKLQKDRFQIEESTSKNEIWQEWIRNRLRHYMILELLFSICLVLILLKQDHISSQNNKTLELISSIQSEFRHYKLDIESNRASKRTDSNDNTGNKKLEELVEEVIKDIKNPSIEINQKSKEYPKQVIPNEVNSSPNNSVFQINAASLILGATVDSSRSSNSDNNPFFGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPSRYNVLVSLACLDYYCNNLEPLATNCEYKATSDNKKEQFCSIPFNKNHSSIGKIQFHFRQNHGNVMKTCAHSIRVYGETKEVPKVKERTLKQAETCSELTYDYHHKSWTYNMVCFLNIKSYNNLNCFQFDYKNCTVLYSNDCCTECPECCAECLIQDTNWSTIGYICLNLIGILMVLFVGLIIYCAVQEAIEDANEMIRLENELK >CRE09972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:60644:62133:1 gene:WBGene00059400 transcript:CRE09972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09972 MNRLNIEEEENNTKNAPGMWYQWLEYRLRYYMVLEGIIIIILFFSLSNYHDLSSRNMELNAKLEIQIDNLDKRLDEIYDLLKTNSVPKPEKNEMLKNIREESIRPVEVKTSEKLIEKSNSFPINSLNYSRFEMNAANILMGASVDLGLSSSSVSSEDGFFNNFFYPFTRDQSGYILLDREELPPNKSWCSDEEKPVLTIDLVKNTEILYVSYQHSKWNGVIPDGTPKTYNVLACLDSKCEHLEPLATNCNYERSVNGQDFQEQMCRISSDSVAPPVRKVQFHFLENHGNVEKTCIYSIRVFGIRRNLFKTEQKKLEDKKKCEELAWNHKHSSFVYSWQEKNCTLLYSMECCSDCPECCSECKMKDFNSFYFAQIVFGLLFILFVVIFIIAVVVECLRMIKRNSVNA >CRE09973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:62979:64364:1 gene:WBGene00059401 transcript:CRE09973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09973 MDKTSFGRDSESAYGSEVSSNATFKLQKDRFQIEESTSKNEIWQEWIRNRLRHYMILELLFSICLVLILWKQDHISSQNDKTIELISSIQSEFRNFKLDIESNRASKLTDTMNLDEGNKKLEELVEEVMKDIKNTSIEINQKSKEYRKQFIPAQDNSSPNNSSLRMNAASLILGATVDSSRSSNSDNNPLFGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGIVPDGAPSRYDVLACLDYYCNNLEPLVSNCEYRATRDHEQEQFCSIPFNQNHSSIGKVQFHFRQNYENVMKTCVHTIRVYGETKEVPKVKERNLKQAETCSELTYDFHHHSWTYNFVCVLSIKPAIIEIISSLTTRIARFFTRMTAVLNARNAVPNVSFKTPTGVQSAIVF >CRE10159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:66197:67257:-1 gene:WBGene00059402 transcript:CRE10159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10159 MDQTYAEIENAYAQITTLSPNMTTPKSRHQNFHQNQLRKSRHLREQFDSRLPIEYRYPKRNSMTSSSSTRQRSLVSKGSSSGYSSSGSTSSIATVVNGPKPYRSKRDDVDEFRKESISEQQITEEKIEVLTVINKQEIVEKNEDVEVEKKNRACCIECREKEIRLELDLASHQYANLESLKQPQDPYVFLMTTMLGNLQKDDGGRKVAKVQQKIEKVLRLKPREPFNVENKLTYDRQISTFASDVTIRLRAVNEVAPETYRDISARFCEALHHGTPNSKSLKSIVKGVKNLLNF >CRE02716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig801:38961:40260:-1 gene:WBGene00059403 transcript:CRE02716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02716 MLNTVLIMLLVPTAGLGARYNSHLVKGWSNSDCVRPWQQAQMSKPTLRIPSRAIECHPDDHNCPAGRNPVCQYSLRSQKYVCCEDKKDADIPTCPKYYETLLLPCGNSVDSQCPRGYRCLGSLGDDSIKLCCKPNRTLEYREPEHTFRENRIVPRLLPIAPAYELIATFSDEQITMGQLFDALIIDKLADPPIMSAGVELQDEKLYTIILADATSKAVTWLVANIAAFDGQLEIHRRTKSAVSYQPPDSTDKPVGMHTMILALFEQHDTWTQKDLARIAMDDFHFGEWLEEYAHVLPGQPLAATFYGFSTKNDDRKRI >CRE09975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:70130:70649:1 gene:WBGene00059404 transcript:CRE09975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09975 MLRAIQRLPIKRCLCNLPEKNPKLHRTKDQRDITNMDLLEEQIRQRNSAVKNREMIVEGQKIKWAYRSELVGRHDLDKNHRNTWMAYLVIILIGFGAFVVVKSQVVMGRRQEMDAREALRKELQLSGDDRKKVAVV >CRE09976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:70854:71953:1 gene:WBGene00059405 transcript:CRE09976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elpc-4 description:CRE-ELPC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M6L5] MLNIGDSVQIPGCSTKKRLLETSSGCDSFDTLLGGALVNSSIVLIDEYRSRCYGSYLVRSFLAEGIHNSHRCFVADPVVDVKDSLLKVIPTRKTNDEHKKEVPNAPNPGGEIDMKIAWRYGNVKQVSSAIGASGNDNQYDFSKHVENPNVEVYNEEVSSLSGLYKKLCEIVREEELHTKSSGRGGPKKNLLRVVLKNIDMEIWEDYKSLGRFLACLRSLARSSYMIVYITANSYRVPNDTWRILESCADTQIQLMPFNENEKKMFRHLGTAHGYFYLKNLPRLMSVGTHTPPILDLIFEASSRKGFQIRVMHLPPAFEEPAPGQQNSSSCQNIDF >CRE10160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:72109:73623:-1 gene:WBGene00059406 transcript:CRE10160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10160 MSALLQYNKITYECTCGKWRTLPDLFFCRQCFKIKCDDCSCREIDVIFCPRCLEPSSPPDARLKKHRCTNCNDCPNCANVLSARTENEKCYLLCQHCRWSSRDANQEDRDNIRSWPSKENPFANELNEVTAYMKRLEKIENAPKDLKKGKSKAWSAFHLKDKFGVQQMVEKRRKQLVPEVNPIDVHAPTEAPTLEDEMKGRSDVLRTLDQVIMQPLTNLSESLLPVKVALQGRVVVRCDECERTLVKRDFGVATYKFKISSFAREFVPDIRLSRPIGELKVGQTSHVLLSITNLSLSPLDLTLTPQSGEGTIQCSSDPIKLVLPSPRSADSAAPGVHAEQSDVIVFRQHNRVGLRLDVIPWESDLSSPVLDLLITYSQDGSFSHLAASEKILKPEESGVDRIISARLRIDLN >CRE10161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:74998:79848:-1 gene:WBGene00059407 transcript:CRE10161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-31 description:CRE-NHR-31 protein [Source:UniProtKB/TrEMBL;Acc:E3M6L7] MEPVEMDDWEYWGDEYLEDEPTYAIRPGTRVVKVERVPMMRGELKISGATSSSGPATSYVIREKSNSGGSQNGDSVCAVCGDGIAKLHYGVLACYGCKGFFRRTLTGKYRYACRFGNNCIVDKFHRNSCRYCRFQRCIQAGMDPKAVRPDRDQTGKQKVPRIKKKQIDEELLNHMMRLQGDDWSRKLPVETRILLMQLMSIEDKVVKGDNNMSAQNTAKDPKSISLREMFESKPALDGRRMEIGYEPFRMARTEELGVIAHRRAIAAVDWVDSLTEIADAVDTEDKVALVKSCYSPLTIFNFSARTAQNTKNPDILCLCSHSYVPRRLPPEFNETNHLSNFLIDRTLNELVAPLRKLNLKEEEIVPLKAIIILNPNAKGLSEHARQAISELRDKVQDMLFQIVKELHPIYSASSRFGNLLLLLPTITTLSGLMSENMHFCQALGGRASGDNLLAEMFGDRVFDDQMISSSISPPLFENPAEICLESISPPMSDRRFVKKTDAATQTNDDLLSSGPYLPHSNSCNSLLNSGYSPPMLSSSPFPLLDDNDEAFQNDISLTELNGCEEFFSQLLEQPILDS >CRE09977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:79996:80674:1 gene:WBGene00059408 transcript:CRE09977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09977 MRENGRRGGTWQAASLLINDNGSDGTRRQKSFSADWQAGIPDDNVPRGIKHLVEKKDLENGGKWFLATGKVEYEIRCKVTEKRIGIKQYRGEKKIMKIYNGY >CRE09978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:93154:101998:1 gene:WBGene00059409 transcript:CRE09978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-258 description:CRE-NHR-258 protein [Source:UniProtKB/TrEMBL;Acc:E3M6L9] MMSSPENAILICRVCDDSNGQPHYGTICCPSCKGFFRRVYMSEKKFECYRGNMCVIQKGTRNICRACRYKKCLQVGMNVKDIRGKYTSPSTDCEVSSSAESTPKSSKDTEMGVAEAMEMAQVYMNLEKYCENNYCESNMCREEENQLIDRMLGSNVLDLIQKTSPQCPRFKREWKPLEILNFENFCRSWSRSIVHFLDFATRFPVFNQLSPTDKRAWFISRLAPCSFLTMAYQTRVEMCEGLLFGCSNVYPLKSDRWISVEDEHLRSLFSSITETMFSFVIYPMMNLKVTDLHYSLLKACVFFSTGENFSNIFSVIVFIFSGIVVTSVSDKGMEIMRNEYTKHKNALMKLLMNGVETFSEQTDILFQIQDVQNALELDTKLHFLYRNRSTSQTTRKPSIFYDFVFNHSVDHFVNNSLYEASNHKNDLLKKITLNISVLRDLTLILFIWICGDSLFLFNRIL >CRE10162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:105783:107546:-1 gene:WBGene00059410 transcript:CRE10162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10162 MDAFKDACLLDEEEKECVRVTLLDFYCSNVLRTRCHLFDVYAWVVQEWKNTNGFYKEMPPTKATIEIIKNSKKFILTNRYPPASTSSVKGGKPLISNTKKGILSTAISRMNRKKYRVTFAEVCKPSTSADNNAYKITTSKIIAYFKKKLTFQHSGSSRLEDNQTEWRNNSNSNEVREQSESTENRASDSHSLDDSTKNSLKILPVTGFCNMPLPQQHQMPSEGNSRIYANTSSKTTFLTQSLRSDARLKDMKDEKNAQKKTGRIFRRSSPFKSKAYRRNRRRVQYQVDSYLPLSDKRRSSTYERDDRSRRRSGRDNRDERASNRRRCTIDERNNPSESERKEARIDENLSDDQKKYQKKACDDREKSRTQSSVQMSAESEIQEIAYNVQNDEENKENSEASYAQSLNRYGDQTLNQYGITLLSNHNNTRRSYY >CRE09979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:108394:123343:1 gene:WBGene00059411 transcript:CRE09979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sax-7 description:CRE-SAX-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M6M1] MRSFILLLLFSGHLSAGNVDWSVIETPPQFVHDKNADTVFFKVEKSGMSDESAKNTPGNLLEQTIHCLANGNPRPSYRWKKDGKSFLPSMFPEKVVQKPGEGSLVFSRLDETDAGLYQCEAENSNGTAVDRPVRVQETWIRHFKTAEPEVVVVEVGDPYQRNCSPPASNPTARVYWILMGKEPGHFETISSSHISSNEQGTLFFHYVNETDLKSDRYYTCTAENIELKDYKFGNQFSLQITNNKRRSLQQMPPTEQYVNQSSPIALQGNQHKLHCFFSGYPAPKPRWFHNGREISEDSDSAGFRFESYGKTLVFNVTQAKAGKYDCRFATQNDIDRTFNVIIISEQPIQLFSYTNFSAAPYWPQGPPPNTNTSEGENVTFDCTTYGKPTPKVTFYKNGVEMGSPKDDRYLIEGTRLTIYDVKKGTYGKGDNAVYQCKSENKHGWLWTNFYLNLLAFKPQLLIDPGKEEVEAVVGQKVTLECKFFASPNAAVKWEAPMISGSKGHQIPADPYGVGRLVFSEVTAAEEGEYECIGTNKYGQASGSITLKVRKPTVVQPFARAEEVRMAGEEMVLPCDATADNQLEVKYEWLVDGIPLPEERMNSGHYRIGDDHSLIVANPTQDDSAKYKCIVSTKLDKVEKEIKIQFKDVPRAVHSAFVNNCDKNSLTAFIKFEHIESIHTIAPVKEFWVQYQMDSETEGSQWRTHPVPSAAHPNDQVDDQLRKTSGSATVSLQPFGKYVFRVLARNSVGDSATTTVKGICETPAKQPDKNPGEVAAKGTSPENLIVQWKPMAREEWNGANFHYIVKYRPVDEDQRDGDWKEVAVEDPFADRVTINLDDDKDVKPFQPYEVQVQAINSEGRTSVVPETVEGRTGEGVPSSIPSGLRVLEKSGTTVTLAWNGVDPATANGNFTGYKITYWVDEADQDVDEPEDDDEEKRKFRWKRSIRVKRQAGVRKTIVFGPSATQGTITDLKPATLNHAYIQVTNGAHEGPSSDTIDFQTDEGVPSPVRSLRAYPMNSKDGDEKGVVVLVWKKPRQTNGKLARYEVEYCKTQNGKQVEKTCPRQQIDADAKEIRITGLENETPYRFILRAHTSAGEGDPNSSDATTLPETTAAGVDPGVPSLVENAIGDKYFNVSFRPAKYDEETRAPVGNTYEVQYKPQDGDDWETVKPSDDGLTVHVDGLSPGTKYDVRVAALQVDPEGGETTKTFSGISKITTTGTSSRERNFYFLILLILILLLLLIIICICCVVCRHRGQNYPVSQREREQGREPILGKPDYKTDDDEKRSLTGSKAESETDSMAQYGDTDPGVFTEDGSFIGQYVPQKSLMPAERPEKGSTSTFV >CRE10163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:124302:127896:-1 gene:WBGene00059412 transcript:CRE10163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10163 MKDDPNDDQPTTSNSVKETINDDESNSENNKSSPPRRQSSGSQPQSISRQNLTPNIKTENFDSWTPTAPSSNPTPDILSAGGVPAELLTNLFAKNNGTEQKKPIFGFQASGVDFDLSNNEWHENLKLSNGHSVEKFHPYSGNPKNDSPLQTRMKGWQREYIKEVIKDSHYPTEDELRDIELRCDLSRKQILRFIAKRLTNPNRKPRVNHHDEKRKEQEERDSLGDQEDDLRDSDVTNLHHILSSLQETTA >CRE09980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:126084:127476:1 gene:WBGene00059413 transcript:CRE09980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09980 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3M6M3] MSSNKKNRSRSKTIREKEKEQSQKNTGFDEPTTADRKRERKQIVKFVARTLEKGPTGLRSDFATMKRFNDFSKMKAFKEAQEHGKNRYKDVGCLDNNRVKLGGNWPHEYIHANYVATPTNPKRFICTQAPLEKTCADFWYMCLQDRVETIFMLCNYTEKGAKKCYEYLPTEEKQDTMTFKEKGQKVTVKFESSSSIKFRESSSAKVTKTMLTIEGAGCEKLKTTHYHWIDWPDRGVPTADNAILELLEKARVSKGPIVVHCSAGIGRTGSVVMIEYVMDQLINGQTIEESDKILQKIREQRNNSVQTDHQYLFVHQVMLNFFEKRGLLDETTMGFHKDFTDQYSKCVL >CRE10164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:139910:141704:-1 gene:WBGene00059414 transcript:CRE10164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10164 MNFVFINYREEEEECLLKLFNECSRNHLCSIFIFVQSTIALDNGISEFENNVTSRRECWAKGGIISNIWRNSAGHQFCSVFMFLSFSISALSALKMVKVDGEFTVFNLGKQIDFPKIISDEVKKAFEANQPAFLKFDDKDGPNWTPTLVHESVVCETVLHYGCLQDIVINTTDSLDEFNRFDCAELHGNLIITGFSRGRFATEKQPDFSKLKEITKIGRRLIIESVQLDQSLSFDKLVQVGRHEENKEFPSIRIVSNSMQSIHFPLLDTAECSSKPPINCVLTANNHNYSSPEKSMEIKFRNDTLESIWISYVNLDSDAEATKEAELEKEKMDVQQKMKDYKNDYIDWQEEAFGEEGKDLEKTENIRIETISASLVMGIIGIILFVAFPGFSAFMLVRGRRKPDYNFKEMEKADEKTQEEKKPEVSKMAENKSSHNDDLGSN >CRE09981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:142809:143184:1 gene:WBGene00059415 transcript:CRE09981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09981 MFSECSSLTMQGLFRKLKETRAQEQQQYQGRYPDEARIEADSISRININDKSFIRPIASIGGRPVIPRRWTYGQPISEVFIDENGIAIPVRPTPSLNSILRSRGGLY >CRE10165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:143454:145726:-1 gene:WBGene00059416 transcript:CRE10165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rabs-5 description:CRE-RABS-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M6M6] MYKFFPISFFSTNCSLVSAIVYVLLTWQCLFIYALPVDGVVVLSASRSYQCFNGKKRKYKDFWQNSVDGMDGAAGAGSSNVVRQGFICPFCMEDFGEYERLIGHVEDDHPEEDSSDLAGLFVSNVKGFFDKAKRGIQKLDAKKSIAEIASNVESEFTETTEKLTERAPLPRIPKPLPPDEISSGTMRSKTREFMSTRELNINEVAVRTNMLIIRLDRLINGGPKDKDPAKRKEFEREIVPWLDDAEAVCCPLCAARFGLTRRRHHCRLCGKVLCHSCSKFLSFDTARSLTSSSNPLGNVQLDLDDKPTDTEEPESSNNEENGRRSFFAFSQKSMDKMKKAMAGAVQKVHSAAAGEEIIAGALNEQEMSDHMRVCNLCLRDLQFRESQMEKKDPPSYVQQYEYMKELIEEVKNLVPTYVRVVFSLNNGESVYTHKHGEELRSKCLEIQKNIDVLSKRIAEGADDKPNAGKEQQLRRNIRLSAVNALQGLIGQMESLPTAEECANRIVRRKEKRVKDFARVNRTMMKMSNSMPQLMAHPHLNSTSSVSSLGGPPSSDDGWTAEDNDLVFEPSSIEDDTIRADHPLYEQREQLEKFLKEAILNGRMDEMEILERNIKEIDEEMDRLGLLS >CRE10166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:146077:148520:-1 gene:WBGene00059417 transcript:CRE10166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10166 MIQRKRVSSGRTGRESNSPVRRRGSDDVRAPPEFRPYDYDALSAFMMKSLKGQSYPFLSNGFIHLVHEIEAMAAANNFTKDYMEGEIQFINEGYYPTPNLNARAMPSYDSRGDDQQSDMEGSSEPRTARAPAIPTEEDLAKEAEICLLPEEHEEDDFDEYPAYHVGTRFWTWCRKSFMGKVDSEFLENFKETILDVYSDEALQKYLVNEPWKYRKRAAHASRRKSLNTSGSKKKVSISNEVTSPTTNGSRNGTRKTSLAGYRIPNRRISEKEKDIANNNKIMPIIDSMVYTACKEYKDSKNAPETPSGRRGRHRLTSPEVSRERKYPKLEIDTESEGEDETVNGTSSQRGSSRCEIFKSSKSSFIVHSPRQLKKEKMEEEYEPMENGHSSSNGINGHSRTNGHAGTSSPKRKNGDIRHYFSSSSKPSTSTTRRNGENGYDDIEVPSDIEDFDKKAIGSKIVSKLIAGGILPDSSAQIFDELTRDDGEEGPSVSTRIEMSEEGKDDAEDQEVGELAEELHNLQMNLKEEMKEKRELFMTTWRRAKAHFAFFHTFNELKRGDYDLFKLGINMYRDFPNRKLPGISEMMLLKQALRKRNRLARIHYGKMYRRHPKYRWHQGYVPAGRRVVV >CRE09982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:149890:151812:1 gene:WBGene00059418 transcript:CRE09982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09982 MGSSESVPIPGGGTEGYHVLRVQENSPGAVAGLEPFFDFIVSIGNIRLDKDNDTMKEVLKQHIDKPLEITVYNSKSQAVRQTSIIPSQNWGGQGLLGVSIRFCSFDGASQHVWHIISVQPNSPASLAGLIADTDYILGAESVLHQADDLIALVQANEGKPLKLYVYNVDTDVVREVSLTPNSAWGGEGCLGCDIGYGYLHRIPVSVDRSKNVPQLPQTASQSRIPVGNPLVDKINTTKPPAATNFPDPSQFSSSVPLPPAPATLMQNLPPPPQPAFLPQPPTSYAPPPVSQQPPHSESNSHGHSHADGGHGHSHADGGHGHSHDDGGHGHSHDNGGHGHSHENGHGHSHEHSPSPAPAVPVSAPTPVPAYPQQYQEQVKPAADYYQQQQQQQQHQQPQQPQHQQQYQPPHPPSYQSYQPPTPASTPYYPPPASSSSNQSGYQAPYYAPPSYPPQQPSYGYKAPEIPSGVPPMFSATSAPSPPTSYVNPIPPPAPLNFPMPSLSSIGITQLAAPPTSSSASGPTSYQPPQFPQYGGYPQAPPQSQN >CRE10167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:152080:153464:-1 gene:WBGene00059419 transcript:CRE10167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10167 MMFHAQLLLVLSILLSANSSFVPITSVNHDEVMQNSRLTFVSFTASWCPFSQMLLTSFTDAAITYKDKYPERRTIWGNVDCMNENELSNKYSINKYPTMKVFFYGHLVTEYRGSRQVQALIDYVEQMENTTSLVKLNEVESLTQWQRYAVPQKGTLILWFPRGSPPFELILKAIVLIHDRLTVVVPEVSNLLEHEEHKLWFSLDGEHVQNFNGSVTNFKEIMEWVQQRSEGMVRELTFENMEEMVEDGKPMLILLRKKDDKETEKKFVEAIRRELEGDLLSKVNPLMADGGILTAVLRHFRKDVNDLPFLLIDQLVHSYPSPWSGDEIFAKGNIKQFVVDLFNETHHRKLHKKVDELLKKILAETERIEKQAELEDQKTEAPKTLTKQESLFKQLKPGKNRYSFAKEEL >CRE09983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:154132:154768:1 gene:WBGene00059420 transcript:CRE09983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09983 MLLAADPHSAIDIDKLVGWLIAQAEQTNGCPESFCLVDVNDHDVYSKSHIANAYHYDRIMLARLIYETPILAQARAEGHLLVIYGREAERVAKVLFQRGFKTVLLKGNVAQFKESYPCLVEPSIDFVKLKEIYEKKKNSVFGGRLWRSTSASRLKSVQQEERIEKSKKINSRPSSQSRKPWL >CRE15105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1503:3647:4403:-1 gene:WBGene00059421 transcript:CRE15105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15105 MLPQLSRLQTSANIRSLGFRRFASSHSHSDAKVERKPDNYRPGTDSYAYDNPWPKLNGGRLDWLFGDGWRRPLAKDQGAKMRRKWIWFSQIAHDEHKDWARFHQAAFLLFTVLTTWFTCWIMFARPDWPMGREWALREAHLEIARREKAGLPLISPDLIPRDRVTATLPSDEELRDFDVLI >CRE10169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:157719:158551:-1 gene:WBGene00059423 transcript:CRE10169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10169 MPSIPDHINLAAFKFPLGFIRIIQFIFIIIAIAAVNSWGVKVEYNCKSPANVSMTNFQEVYTFSLSKIKFTGCDSQKYDMWNGEDSAGGSAGFFYFVNITALIYVLFICFVYVIFWGIYQTEKRLPLVDLGATALFFVLFFFCSSIWWAGANTIGTATSDERLKEIFQRKEWVNQSATYSNRDVNNGQLAISVLANWVCVLCFAFNCWFIYKEVVPRESAHPTDIA >CRE10170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:158890:160652:-1 gene:WBGene00059424 transcript:CRE10170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-isp-1 description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3M6N4] MASLARPGGFAVKVISGSQQHVNFVAASPAAETVSAFREVPAEFTTAEARQAAVTRSTGGVFTNGLAVKGINVTSRRLAHTDVTFPDMTSYRRDSTKNTQTPARDTEDQRRALPSALYYGAGGVLSLWAGKEVVQTLVSYKAMAADQRALASIEINMSDIPEGQTKTFEWRGKPVFVKHRTKAEIAKEKAVPVADLRHPQHDDERVQKDEWSVVIGVCTHLGCVPIANAGDFGGYYCPCHGSHYDASGRIRKGPAPLNLHVPAYSFKDTTIVIGSS >CRE09985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:161432:162884:1 gene:WBGene00059425 transcript:CRE09985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-islo-1 description:CRE-ISLO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6N5] MGSKRGRGGASSNQERVRTHLFFGSKAERPHQKRKRRAQNKLHATVKDKKDEMVQAGASEIDPLQWTGNQRVLHFGPMAQRDPYFYYYPKGWDILYPATFGFFPYRTSKFRGSSSLICCTAFGVFLLIGGLLMSLLGYKYLYTSPFWTWPYEQRIRPPPIQIAGPILFGLGCIFLLVSLMYFLCTTKLCDLSLHHTKKHNDPARLTTITTHYAPLPPIFEKDPYQPLPPPAYPVLENRHAMTNVVKPHDEKKLYPPVIPGCSTLSLHRRSPNNIFVASPYNTLRATSVGRYQSGFLDTNSIMENRFGSRQASVASRRQSSEASSQKRSKSMGPLHRTGSNRSKGSGRSRRRENSQL >CRE09987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:165975:169578:1 gene:WBGene00059426 transcript:CRE09987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmk-2 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3M6N7] MGMSAKMGDSANIPGVFFADFGPAPPEITPEGYHEVELNKTKWVLPQWYNSLRPLGEGAYGVVCTAEYEPTGDRVAIKKFFRPFQSTIHAKRTYRELKLLRTLQHDNVLEMIDVFTPDPDATSLNNVYFVSVLMGSDLQNILKIQRLTDEQIQLLIYQVLRGLKYIHSAGIIHRDLKPSNIAVNERCEVKILDFGLARAQDTEMTGYVATRWYRAPEIMLNWMHYTQTVDVWSVGCILAELVSGRPLFPGDDHIDQLTKIMSVVGTPKEDFWSKIQSEEARNYIKNRPPIVRQDFGALFPMASPYAIELLEMMLILDPDERISVSSALRHDYLREYSVPNDEPVAMDTVKNSIVATDPAEEQATTLADWRELIWNEIRLFQNSARRLSFVSCTDNEEEPMKL >CRE09988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:169946:171500:1 gene:WBGene00059431 transcript:CRE09988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmk-1 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3M6N8] MFPQATMDQMLHPTPREGYYVVELNRSVWVVPNYYINLTPIGTGAYGTVCAAECTRSGTRVAIKKFNRPFQSIIHARRTFRELRLLRCMRHENIIDLLDVFTPNENVNDIEDVYFVSMLMGADLSNILKIQRLNDDHIQFLVYQILRGLKYIHSADIIHRDLKPSNIAVNEDCELKILDFGLARQTDSEMTGYVATRWYRAPEIMLNWMHYTQTVDVWSVGCILAELITGKTLFPGSDHIDQLTRIMSVTGTPDEEFLKKISSEEARNYIRNLPKMARRDFKKLFAQATPQAIDLLEKMLHLDPDRRPSAKEAMEHEYLQAYHDESDEPTADEMELNDDVKADTIDEWKKIIWEEITDFQKNVAFADEEEEEEEEDDKMES >CRE09989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:172547:174637:1 gene:WBGene00059432 transcript:CRE09989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09989 MILLLLTTVLALAAAYFYRFWSVREKRQVELRKIVERKRAERAESVKFAKQSAEKLDVETRNKIASLEYSELKEGLQSGTISCIDAVRTYYFKAIQANEKTNSVCMFVKEAEQWAMEWDEKAKDPEFKKPPMFGLPMSLKECVPLQGYDQTRGFVQDTFHPTETDAVLVQQLKKLGAIPYVQTNVPQSLLSYNCSNPVFGTTNHPMDKSRTSGGSSGGESALISADGSILGIGGDVGGSIRIPCAYTGTTGIKPSHLRFSHRGVCGSVPGRPLINSNDGPMSTRVETNVDFLRTVWADTWITEQDPYVPPVTWNEEAYKSDKKLKIGYYTDDGWFTPTPVCQRAVMEAKQILEDAGHTLIPFRPPRVPEVLIMFLRAVCVDAGKFLSNKLMNDIIDPLLYTQVVLWMVPVRVQRFLASFIRVFFPRLGNLMNAMTLSTVELRNTYADIEAYRSEMAALMIDQKIDALLCPVTVTPALPHVAPSKLFAGTSYTGIFNLLDYAAGSVNVTHVTKQDELDLESDYEASDPWYALAKNSSRGTIGFPIGVQVATPPYREETCLRIMREIEFGVTGK >CRE09990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:176664:179081:1 gene:WBGene00059433 transcript:CRE09990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-faah-1 description:CRE-FAAH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6P0] MLFYVVLLLLGAGAYYYHFRINRKKIIERLEKVVQRKRDDLLKNIEEARRISDKLDSKRRDYIGNLDFEQLKKELQKGSVTCVEAVRTYFHKAILAHEKTNAVTCFILEAEQQAEELDEKAKLASFVKPPMFGIPLSLKECLKVKGYDTTRGFVQDAYRPSTEDSIQIEHYKKLGLIPFCQTNVPQSLLSYNCSNPLFGTTTNPFDSTRTCGGSSGGEGALIGAKGSLIGIGTDVGGSVRIPCHFTGIAGIKPSKMRFAHRGGGASVPGKPLIDANDGPMAQDVKTNVEFLRNVWGDIDFQSDRDPYCPPVHWNESLYSSEKKLRIGYYIDDGWFTPTPALQRAVLESKKHLENAGHTVIPFHPPRLTDVIQWYFRAVCLDGGQYVLNKLLKDIIEPTIHFQVTLWMVPTWVQRIFSYPVSLVFPRMGVLMKSLTRDTFELREAYAEIEAYREEYVGLMMKDNLDVILCPASIMPAPQHDIPSKVVSGVSYTCLYNLLDFGAGQFLSDFFQRIFIVFPGVVPVTTVNKSDEEKLINEYPETDKWYQITKKATIGSIGMPIGVQVAAPPFREEAVLRTMREIEIAITGK >CRE10171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:186042:187251:-1 gene:WBGene00059434 transcript:CRE10171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-51 description:CRE-CLEC-51 protein [Source:UniProtKB/TrEMBL;Acc:E3M6P3] MFQPMLLVSLLLLPLISAQCGPGAVFQSSSSRCFTFFRTGVDFQSAEAICATLNGHLVSIHNAIDNAFVSSQAQKYFDGSAWLGAKTTAPDVTNPLNWYWTDGSNFDYQNYRVGEPSAQGATACMQLQTGTAKWLTTNCSTQLPFICSYSSSVTPTCPTVTIPSHCPSGYTWYDETDFCYKSTVRFTNFNDARSACQADGGDLASIHSQAENQFLVELSKAGITNKDKGHNDDVFIGLIYQNSKWQWTDGTAVNYLNWGDGEPNNMEKEWWTSLVADPHEDRNTEDTRWNNVAQVDMRAFICKRAPLH >CRE09994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:194321:202395:1 gene:WBGene00059435 transcript:CRE09994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pct-1 description:CRE-PCT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6P5] MSHRHEHTVSTIHVDTIPVEIHIMDPMLLSVVAKCMSAYSPADSTAEARLLSGSDPISDTENLLDLAYEVGSSDNTRYDRESENDEEDVGWEREISNKRMCKSATFHDFCDDSEYSRRPVTTLPEEDFDEEEEDEFEDASDRRELDDYEEEEDEEDDEDEIVVEEEEVAPEDIEEHEISHIPNGVPSQTTPSVEDIKKGKKKRKAEDGMRKMKKSSTFASFLNMFVSRRRSGRDSASGNRDGERLMSRSTCLLRPSISLSVVQESMILGSDGESVEVSPCTSDQTPTGVPPRYIVNVKMRQKPARKWSEEEIQKRLSLPADLRLPVAVVDKLNRTPTLDQPLTRKNRRASLSEIGFGKLETYEKLEKLGEGTYATVFRGRSILSNKFVALKEIRLEQEEGAPCTAIREVSLLRNLRHANVVTLHDIIHTDRLLTLVFEYVDRDLKQYMDSCNNAMQMNNIRLFLFQLLRGLAYCHQRRVLHRDLKPQNLLITAKGELKLADFGLARAKSVPTKTYSNEVVTLWYRPPDVLLGSTDYSTHIDMWGVGCILFEMIAGRALFPGGTPTEQLGLIFRTLGSPRPDRHPTICERPTFYPYANRHYNPEPLCRQIPRIDAHGFELLMKFLQYEGKDRVSAADALKHPFLRTICVKCCHLRDEQSVLEADGIHIERELLSSDHHHNSRRHHRGTLVKDKYRMHSSHHT >CRE10172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:203059:208767:-1 gene:WBGene00059437 transcript:CRE10172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10172 MLYENYYGILIESLESPKEIVSDNAIAYGIKEFDPFFCIYSIQTLDFDSMNCTMSIVTNPKGESGNIPKNVLEEVLKISFKAFYTYHTKTQCFDWFKDDYCFTHYPAHLEHGGTAQVELFFESIRNGVFLLKGKISSISDSTTSTTTHTLVVGEGRMYMLKLNSSTGILDNESVAVMDIEREDSEETDPSPDDRQEIGEQNDRTIGNDFDDVPLQSSGNEIGESLRETPRESPPEEDAPDDISMRFGSSESSDWNNPQNPFLEDDNNSVRVRNTEHSEKSSSGESSYSEPSMNSTVSMNSVRSSISESRVNLETTMNTAEGHISNVPVDVTEIIPGIATVIVKVVPGKTCGVVLNDSLDVVRVENDSFCESAIQVGDRVLSVNRSQCKNPNDFYSLLYASGDTVEICVKREEKTESELADLLKDDGDILGSLKTREGFKYSVTRTRTDKNDTVFGLTVTQYSNRVLVSDLRDDSLCAELLQKGDHICDIDRIPVTNHIEFQRIIEHKLMTQQIVSLLIERPASDEARKWLSDGLNQEKSNFLVIDEVSVGKNNWVDEDGQIKTKKPMLELWNPKISNLIDENSSEETIFSEVVSTMFPTVYTEDLARDEAIINQTRRSQRKPWKSTKQISQSILKAPGVVEDELAQLSMNDNYDFTFVNVNRKAAVDAEDALAVLLESLGIEEKHELSSYARLPIKGYCHIIHFSVWSPIDAKKLYRLVGSEKLWHQLFVEGVVNGTSAFVWTRVTTEQVLNGALATTIKSFNIEYELSEKITHIDGKQLKRDLANSRSYRNIMIALKNVRFKTF >CRE10174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:219098:222691:-1 gene:WBGene00059438 transcript:CRE10174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wsp-1 description:CRE-WSP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6P8] MWMVVSEDPAGRLRYQYHNLPPPSGTQTLPPPPTPSSNPSTVSAAQIYSSSPSGHHSHMQRFARGGCLSFPDPMGASPRTNTRSHTLPSGGHYYEMEQYDNVNDYSTYGGPDSSSSTSSSAEYQDPVYSTRYGGSTGTYARRHNYARCYVPISADAFYYYHHQQQQQQQQPQSYRPRHRSSHQQEQRRHRAPSPDPDYSPPLSRNKVRFHLPEEPMSRGEYVSNTYQSSRQMKVFNTDDFGDEEDDYEAVSMNPDPEPHHHDSVLVHGSNGQVSVMVKKEEPIYCSGGSDSAESSSATPSSVEADTPPPIPSHPPARHRLVKKSQKELNARSSNPSPHNGIGSTPTRQFEITQAYGGTIRGPPMTIGGGQGITPMGTMAAAPHSHTQTDGNASSSGSWFRKDKNKKKDKKSKIKKEDISNPTNFQHKAHVGWNQDSGFSNTVYDDDMDEATRNILKAAGLESNNLNEDDKKFVKKFIAKNYDKYVSVGNLDPSQISAPLPPAQHHQQPQVQQWNQTPVRPYKPSFPASAPIGGSSYPSPAAPPPPARVESHGLAPARPPPPPPSNNRGMAPSRPLPQAPNYGTPDTRPHAVPPPPPPPPPVPGMASVSTSAPPPPPPPPPMALPSVGAGAPPPPPPPPPSGANGPSVLASLPPAQNGRSNLLAEIQAGKQLKSVQQASATESSKPGDVRGDVMAQIRQGAQLKHVDAVAEQERRKSATSGAAGMGGLAGALAKALEERRLNMGIDDTSDDEDEEEDKNEWSD >CRE10176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:228445:229010:-1 gene:WBGene00059439 transcript:CRE10176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glrx-22 description:CRE-GLRX-22 protein [Source:UniProtKB/TrEMBL;Acc:E3M6Q0] MGGSASTPPKPALSEHSKQIVEEVKQHAVVIYTKDGCGYCVKAKNELYEDGITYTEKNLHTVAKVIPNPQEYINGLMDLTRQRTVPQIFICGKFVGGYTELNALRPNLANILETCSLDKGETLRREFASKI >CRE10177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:229387:232032:-1 gene:WBGene00059440 transcript:CRE10177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hgrs-1 description:CRE-HGRS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6Q1] MASKFQKVLEQATDSTLVEPNWEGIITCTDMIRSGEVPARPSLQAIRKRLQHENPHVVNHTLLVLDACVKNCGHKVHAEVATREFMEDFKNLVTENKYDEVKNKSLEMLQCWATAFANKPEYKMVVDTHNLMKLAGFDFPSLKEADAMFMAQVAPEWADGPECYRCRSIFTVFTRKHHCRACGQIFCDKCSSREMALPQFGIEKEVRVCETCYEKKVAEIKERYPALKKQLAAAIAGKKGVTPTSGDSESDRAAKEKLLREKEEEDLALAIAISQSEAEAKEKEKQANMYSLYNGIKPETDLGGYKGAAEPSTAPPPDEASSDPLARYLNRDYWQQKKEGKLEEWAASSTTGALSATAPPPSEPSIAPSICSTLMGPDENSLNAEIAAMSLGVSNGLNNSVGDDAKAQADDTMRWCQSIKDQVSVMDNRIRSNLARGRPVFNDSAIQDLFTRLTEFHSHVLSRMHTLDEQRGYYEGLQDHLANIGEARQAIDEMRDEHERKRQERLAEEQRLRQAQMQQTLEMMRMKKHAMLMEQREQALQRFQQQQQEMAMRRQQQAYYNPQIGYGAPPGQPQQPYYGYPQGQHAPNQYQQPQQQSQPQPQQQYYQHYQNGPAVPQPQTTTNQHQASMPHAQQYQQQYQGYYPQQGYQQQQQGESSGYPSQPQQHSNYQNGTSTPVENGQYSNQHSVEIKQEQPVHMYQQPAANGHNSYGNVDQNAGQQMHQPQQQMAEQPLISFD >CRE09995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:236266:237545:1 gene:WBGene00059441 transcript:CRE09995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09995 MIPLLQILNRLSSYNKSINPNSTFHLLRLPSLARDEVLETFTSIELFNLSLVSHKMRIIIRSSKNWKKFELRMGVSGCGFAFILSINMNFFGKVFVLAESYRQLFVQVKNLTLEHDLEDDFKKKYYILDNFNVTNNLWIYSQFDFEKLRNSFPSDLNFVSIYHSQHLTMHSLSTLNARIVCLLKSTFNSGNFNCFMKEWVSGAYLRTKYFSCDVLGNLNKRDVFIDINVEEHPITFKRSYSIDNHEAIVFSGGLDISRSDGISATIILNPKGFKMMVWPDAKGVSSI >CRE09996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:238421:243495:1 gene:WBGene00059442 transcript:CRE09996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-19 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3M6Q3] MSASETSLRVVVRARPMNARENKEGARRCVDFFETTGQRPFTKKLLFPFSIAYLQVIFGLQTSHLNAFSGFNATILAYGQTGSGKTYTMGTEDNDGTDEMRRGIIPRLVHALFQRIMATEAPEAFTVTVSMFEVYGDNVYDLLRADKVKLNVHGDEKNCTIVNLTAVPVIDLKGALKQLATGCHYRTKAETAMNAMSSRSHAVFTVFVEKTATSDSDSAFSAKLQLVDLAGSERLKKTEAEGNRMREGININAGLLILSQVIAALATKQKHIPYRNSVITRVLQDSLGGNSYTVFLACISPADSNSQETLNTLRYADRAKQIKNKPIVNKNPKAEEIAVLHAQIKRLQQEVSDLKQGIAPPDVKCSDVANSVEIVSLKDEISRKTQELRERTIKQSECIIRINHLAQKNARLEAEKMKLSTVITDVRNTLQNEEMIDSDELVRSVQQLIDSEELTALPEEDQDETTTGLPSSDDTVYDAERLPELQAELDDLENQIALKDENRQKALDEQREFIEAMKQRESEKTQLVVRVGELETEINKLRQETKKASTVTKIAEERRQRLKELERQHAEDKKTLSELKKLQETRRRMEETLKKTEEELKNLRTQRLRLLREQRAEASKFQAFKQKHEREMAQMKSKLQKREMDVVRQKRVDDQKLAVLQQRLAESNRTNKTLRELNLKRANRKGSTTDASAIQSLIEDELELEITSQRCHLLCEELRRQRQDVMQRINEIESRKFEGAKKRRMSNVDPDVSIVLPGEEEFEENRQKELTSLRASLDTINEEIKDSLRNETISGNEERSTSRWEKVPVEMRPILEVIYSHAVAYMRKELELEFKLTKTKNEYSAKLAIKASQEEKRKREGEEMKSEIRDLKSNVEAAKADLHERIKFLLGLVMSGQVDEELMQHFESLKNHYCDVDQKVKKLARRRTTHHQGSLLTPKPELKRNERARRAVDHYGKVVNSEDVTMDDSRHFHTKKIPTVVANELNRTTDENVRRKVAMSPIKFDDDTRLPGVYEDMENVEEGSSNNETFVKKSENDSIILIDDGTPSTSSDKTFVISTAADDGASEDIPPIRRKHRRTGLGPSLS >CRE09997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:244023:248450:1 gene:WBGene00059443 transcript:CRE09997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09997 MKNSRIFDDILEVRSQNGLFFPLCSKSFHQKYGEQACSLISSTFSSHSIVPLQQYTYSIGCTSSVCFTFLSAFCKTGIKVFCSSSLCSSGTLQLGNKCISISTVPVQGYSEAKDYCSPFSLISSLKPSEIESIRDTVLPFSLTDSRLFFTSGLRQGSTWKWANGDTVEQEISGNGRCLSYQSGSLVASDCDSEGYVLCESGRECIGKNREYSGTSNKTSNGISCLMWNDPSVLFQRDVELEILNHNFCRFIEQDGKKSATPMCYTKPNQLSECNIPNCPESLNDAIQLESGDSCTVGSFSCDNGSKCISEKFQCDYEVDCIDGTDEQNCEDYLQYYELIGAYRLIENIIEVWTFIPHVQGCARKCRESLLMCEAFSYEPKTQTCLLTDTSQTYSSLARKITSLYYRRRFSSKDVLFEVDNKTLYATKLSKKGRVCNENFSREKLSSICRILGFGDPIYVEGSLQSELSLVRLPHSLPSSDSLSSVSQAAVSSITALRLPAWNLNCLREPNCTSTIISTCQPIRILKFTSNRYRCSQCQEAACSDGSCVRFRQLCDGNIDCESGDDEGDCTAKSFRLTNGTDTRGYLEVLFRAKWEPLCADHIDEKRANSVCYSMRLGERGSVLSTNSSLLSGFDVICDPDCILRRSTSCTRHARILCISENGVSSISQCGLRYVEVNARDAARSRIARVVGGFETIPGAFPWTAALRNKATKAHHCGASVLDKTHLITAAHCFEEDDRVSSYEIVVGEWDNNKTDGHEQVFDLQRIHYYPLYKDIFSHDIAILEIPYPGIEFNEYVQPICLPSKDFVYTPGRQCVVSGWGSMGLHYAQRLQAALIPIIDRFDCVNSSQIYTSMSRSAFCAGYLEGGIDSCQGDSGGPFACRREDGAFVLAGVISWGDGCAQKKQPGIYTMVAPYLSWINAIVNGENV >CRE10178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:248582:252583:-1 gene:WBGene00059446 transcript:CRE10178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ocr-2 description:CRE-OCR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M6Q5] MGSASSTQSSLNNFVGMGRLNEDMKIYQLVDMHGGGELIPWLRYAIISSDTSFIDAYLETKVKDFLYNGGKGKLVTVTELVKLRNKERNERLGAFSRKKGKGKSGPNVLDDFNQEGENVGDLKKALKLLDGGGKGGRNESKYREISWKLEERGSMGETIIGCCLLHASELHNALVIKILDYYPKLLNDIHISEDFYGLSPLHQAIINTDCKLVYKFLKLGADVNARCYGSFFCADDQKTSRTDSLEHEYVELSIKTNYTGNMYLGEYPLSFAACLNQPETFRLLLAFKANPNAQDTNGNSVLHMCVIHENMQMFKLALECGASLRTVNKQSLSPLTLAAKLAKKEMFDEILELEGDSVWAYGDASSTAYPLAKIDTINETSGELNEASALSLVVYGQTVEHLELLDGLLDTLLEAKWEAFAKRNMIVSFTAFTFYYICFVTAFTLRPIGFSTEMITEGWINRYSEPFPGRHGKDGEPQLSPLINTTKGLKTWEEKLTQCHLRDYWDPDIPFANAYIRLVFEVLVVIGLVIQMFLDFHDIKRIGRKKWWAVLTAFPAKITFKVTYFLVLAMIPTRLACQISPILLVVDNVLITVTMIFTTVHYLYYCRVIRFVGPFVLMVYTIIATDIFRFMLIYGIFLMGFSQAFSLIFLSCEREANVIKELIQNQSVATGAEKIRYTAKIDAYETAIVKNAEAFENVIQSPIEAFVRTFILTIGEFTVLYRNLALCPANTMVWIGKVVFITFELFVSIMQFNMLIAMMTRTYETIFQTQLEYKRQRAQVILMLELSLSPKERHQYLLKYSRPTGTNKKTRSLVVSKKSSFNRETKQGQRVLEEKMKKMIEEKKAVLKRKMKDLEIKEGIRPVTGYSRTPRPHTQYMNRGANGTQNGSAANGNTN >CRE09998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:253845:254517:1 gene:WBGene00059447 transcript:CRE09998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09998 MILNWNHVDIHLILHESRFYSIVFLVCFIPALFIKCVQRGGANPNAAGLVLLENWNIFSIFRDKKTATTTQSTGPVPETAAIAQDSLMAKPISPDEGQKSKRGKGEMMDSIKVIRGRKIFRKTTQKKDKKEETDSQHTQLIDVPLGKASGSDTGVSKLTATEEQKVVEKPNGKKIQFADKMVKSTITPGAKK >CRE10179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:254649:255236:-1 gene:WBGene00059448 transcript:CRE10179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10179 MDQIPPYEFTKYVFAARAAVVTCSAFEVCSGGIFSHSEPNESLFQLLMVLFGSLEDSNILAKLIYFIFLGGTVAVSSYNIALNVDGREELQKALTADMQQEVRGKAAALVFTPAIAGAFIFLCVSGHAFFSLFVLFHVLASAGQLGLEIYEVSRGSGSVAPPADAAAPAAAPAAAAPTPSAVQPVAEPSAAAPAQ >CRE10000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:259684:266193:1 gene:WBGene00059449 transcript:CRE10000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10000 MMVSAEECLRMLQFKNCEHGELQFQNGVYKTNNPHVIDWPSAPLSIFKGTQTLETTNCFMMSTRISARYGNEVPISAAGSMTGCRFSVGSCNTREGSNSNFQPQVINEKIPFSTSSLEENPLIFRNLIIGSLSENIPTPHYNEIFEAMQGSPEALTRILSAHSNPATGPLAPNGLENLVDWWTRLKNIWQVVFYIWSLVVNLLVTFLIAVVAICGIARFYAGPWLSLLPGTRFKPRTESSNPPTPISVFTPPPTIIEFGSTDRDTPLPSKTSHLIPNPIPDCAREIRRLKTPTINVLSLEGPRYFTAQIPVRANGISCWALVDTGAGFTVASKEICALIGISRLDAPSVDHALGLGGNEVEMAGTATVKFSIGSLSVFHTTHFTSGQCTPDGSGGYDFIFGNDILQRLPKFYLDYAQGFFEMADERLPLGARKDIEIFPSSNQNPISTEDEVEIDPEFTINLSQARITDSQKEILKELLDEYHDVFSKNQYDLGSSKTDPVHIYTNTEVPIKGRPYRVPVKYQAELEKHIESLLKSRRITESNTPWTSPIVIVKKKNGSLRVCLDFRKLNEATIPDNFPLPRIDSILEKVGGSSYFSSLDMANGYLQLRLDPASSYKCGFITDQKVYAYTHLPFGLRSAASYFQRALRTVLGGLEEEVLVYIDDILVFSKTFEKHVESLRKVLHRFRSFNLKASPKKCEFAKSAITFLGHEINKDNYAPDKANVAKILEFPEPTNVNEIRRFVGMAGFFRKFIPNFSEIAEPLTRLTRKEKNFVWDRDQQESFEKLRTALIAEPILGFPDYDKPFHIFCDASSVAQGAALMQSRDEKDKDFCVIAYASRTLSDPETRWPAIQVEMGAIIYALRQFRPYVCMSKIILHSDHKPLTFLLQKAKTHDNLSRWLIELQCYDISIIHIDGKKNTVADCLSRARENDNPANHSELKDIIEFPICMKVCPALPALPKSRRSKRSQSLPLDISEEQEKDPEISLLKNVLQSKVPISSLPEPQLTRLELSTLAANGTVLTKPHSTSKKYVLYVPKHLTNLIFEAFHESYLSGGHFNWKKTKAKIGRKYYWPDMGKEIFEKSQACVKCQEKNSPVPALKEKLVPVTTSRVFQKVGLDLTGPLRTTPRNNKYILNVVCWFSKFVISVPLPDARSDTVARALLNECVLRYGAMNELVSDNATTFTSHAFGEFCDLLSIQHHKAIPYHSKGNGATERTFRTFHQLTSKYVNKDHTDWDTILPALTFCYNTTIHDSTGETPFFLINGRDPIFSIDNIIDPSPGALTDANTDEIDNFRKELVLNIREAWSHAKEQADKSRSQFTKAYDQKARPSDIEVGDRVLFKNYKSKKGLSKKLVLPWRGQYRVVQVERPEALIQDICNPAKAPQRVHLDQIKKFIEISGPAATTPREEAEIDQECQVPPPKESVSQVSRTQAEPPAEFETLETPEINTPETPEDQTTDHISEPRYNLRRTRIPTKRFEE >CRE10002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:268450:269163:1 gene:WBGene00059450 transcript:CRE10002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10002 description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:E3M6R1] MKGQSLLFYSFFLFSGFLCDGDESDQHGTIYQFQAENIDGKMVSMEKYRDKVVIMTNVASYCGYTDSNYNAFKELDGLYRDKGLRVAAFPCNQFGKQEPESETKILDFVKSSYTYTPDMYAKIEVNGPKTHPLWKFLKKERGSSVASDIPWNFSKFLIDRNGHVVARYSHSVDPLDLEEEINRLLNA >CRE21648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig872:8909:18210:-1 gene:WBGene00059452 transcript:CRE21648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-66 description:CRE-NHR-66 protein [Source:UniProtKB/TrEMBL;Acc:E3NNY3] MPPVHEPTATTSAASSVWQIGMPQLKSQVDLINFARGILSTQTTSTTASRDIQNLNPIVGSVASRKSPTAMTAVSATAANITPQIGLASLGSFTTLPPELLLQFARLDNLNLFPAVGSPTNPSSSSCSEPSTSQQQQQTSKPAAPFMPSGHGTTVDQHQQRQQQQQQQQQQSMDRKYSMDTLQQHAMQHPHQLQYFPSPKHEPSSSSNSQPGTPAMSDRRAVPACAICGTDSTGIHFGVDACAACSAFFRRTVVLNKDYSCTKGGNCTVVKDGSAGQKCRACRFRKCITSGMDKNSVQHRRDAIGKYSAGVKRELSPDDYEQPPAKISTISEPSTSAGPPLNVTPPSRMSRVPSTSRVQHTPCFNPACGQKSVLHELICRQNFLTEQRQLFYAGCLGDWFRKPSGIENQSLSELTDFSNCMFHLWKIEPRLAADFMNRNRYLDPLPIVEKLKVYRNFVIMRQSVEEPYLTWRHGGLEKRWFVMPNNTYIDFNDPAKYFDNGALKDLKLDYETTTNLFLPSFTHAMDTIGEKMKKINVTETELTVLLGLVLLDPGIYGIHESTRKFLKGVRDQLIHDVYMYYEDEMAPEHDPEIRMADLFMIVAAIKIHSIKTSENMHMLRVFDLIPADACFNQMLDVESVNVSPGGSKDAEAEQGPSPVSIPEAAREDIYQDHDDDTPPVLERNCDDPVTP >CRE10004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:290304:295650:1 gene:WBGene00059453 transcript:CRE10004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vit-6 description:CRE-VIT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M6R6] MKFFIALALLGAVLASSHYDRSIERNIQESSFRAGREYRYLFNGQLSAGLPIPSTPQGISRLQTQVNLQWTDGNTVRMQLQKTRFATSQQETNSQKMLPFERFEEVDRMDREHEQLLSMPVEFQYENGLVREIRFAEDDQPWSENIKRAVINMLQVNILKKEKHDGAEKNDNQEQLNAFTNVERTLEGECEVLYTIEESQQKKEEQRWAKSINFDKCSRRPYIHHVQTPVCKDCQQTLDQDKMSSTVMNYNITGTPSHFLINSVELRSQHLFAPISEKHQLVSAFTLNTMELIYAGEKKSEIKQVRNEKTSELIYNQDWEWAEQQWAQTGEEKYLRQMPQWTENKVEMVQKMFSLMAKQIEQGEAELEAAHTVSRIVKVLRQCNEEQLEQIYRHVAEHKDEKIAEQLRSIYFNTLALAGTRVTIQQFVDKAQSLKNMTPLKASVSIKTLVDMRYPSLAIAEDIARLCESDVASSFPALRQSCWLTYGAIVNGVCGQTPRVFVQKNGVKMCPRDQKQRIVDKLVQQFESASSRYEKILALKTLANAGLDLAVYPLEKIILNEQYETTIRAQAIESFRRLRHQMPVKIQRVLLPIYLNRQQPQHLRMSALHQLIYTQPEWPVLSQIGNQLRQERNQQVRAFTLSLLRSYANNESPCEQTFSSRVQSLLNNIPFSSQEIDRFESVYGKWSTYSRRHQSGVETNFASLFTSESVLPTEMMASLEGVLSGEWNQYFAQVGFTQQNMEKIIKKLLSNVQENGLEQVVVRGKRASGSFKPTEFLSNLLEKLRITRRQPSEQDPHALLYIRHRDMDYAFLPIDAESIPEVVRSMIQGGRLEIGDIEKYLAQGVHFSASNAAFLYETVRRIPSPMGLPVQFTSKMPTISSIRGKITFELEPKNGKSFDGLRLRFQAEPRVASTHVLSLTVICPIAEVGTKFLHQAVFNTPVDTELRMNWEDKVVIRALYNAPSEEKRIAMIQSRPVTFTRTVTPDARQYPEPVEMTYMLPAHQQLSQSLDREYKQIRVQGTLNRPTNGRIPQWIVDNNVEVYYKPTVETYEAILELDMYNNYKIEKSYEKEYKKHTGRKYLESEPEYDEQEHQEQITKKFEWLQNEKVYQHVAKLEVKPSVLKMEAEAVCNNDFHYCKTQVHGEDILATIQYVYPQTPKTVEELKEQKYRQLVVLGEMNYGENTIQININGQQSQEQKKFVKQIEQAPEHETLVEASRLDQYQTVVEYELETKSAQYFAKYWNLVQAYLRTQYPWTSRIESREESSRKNVIRATFNVEPRQRQTVNMTIETPVETTVLERVELPFQLPTAQIHYQPRNSRYEQKPVMEKIARHATKQANCVVKSTKIQTFDEVAYRNQFTPCYSVLAKDCGSEKNEPRFVVLMKKISEKKEWKNVKVVYGEHEVEMYKTEEGLVCRVNGEDFEYQPEAEMEKKQFNLIWLNKNTVKFDSDDVTVQFDGVNARIHLSALYRNQQCGLCGHYDNEKETEFYDAENQENTIPKFAKSYLYKDSKCNFERNVFEKEENFRRIERDQEDQEQEMNYEESRREQDDEPTEQIVAVERQHEICFTKKSVLRCENGKSQESKKQKLSHFTINSFRLTSTVFHPPTPGLAAKCVRSRTVRVPVDQKCEKFDY >CRE10183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:295774:297552:-1 gene:WBGene00059454 transcript:CRE10183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10183 MIISQHEFSFSLPSNPAETSGVRVLCVTFINMSPSNRCLFHLRNEINSSVSPSLFIVSIRFFLGQTHKTHLFVSDTDQMTHSGDREPLLRQDSGEFEGGKEEILEMFEACYMNCLKFYYGKKERFIPKAVVVVISIFSIIFAMDQYKLSMREIESKECNDPLAECENIPFNETLVSPFYNYLQDFTISPRYDISLCLLPKVISTVGTATVCYIDDPVKFKADNRSITTETYNDRFCEKNEMKSFEMVKYYLNENFENLIVTRNPYDRFISGFTEKCVNSLDENYCHGCGTDMRCFLQKEYRRLMRMTMLFPVYTVADTHFAPQTWYCDMKNTLKNSTVVRFSLHGVEKIKMIDDMLKFFRKRGVPERQLDEIRKELTLGKNHSSTTGTALREKYEKLIREDESIRRALHRIYYYDFLYLGYEM >CRE10184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:297836:300431:-1 gene:WBGene00059455 transcript:CRE10184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10184 MKIIFNFLILTLFSSVKCMNNDDDDSWKELSFSLRTDGNGTIKSAHIQWTDRFDDTFAYAYTNENRSDLKFLYDKPRPELFVTNKFILEAFTQFLDFFQNRTKISKVTVTIPSMTDDLKKVTKRKNKYATKPEVDSFRFNSYDSFLYTEFLRLSSGIPKQFKISFFYFPERTENILNGTILYHPKVRKVTELDLRTVESDTSDSALHQLECESIIVRSSTITNAGINKVLKEWQDGLRSTRYLKVVSDDVQKAEIMDGVSKRTLQRGVWEIKNRYNASATVTVSRVPTNLYDSKPTFEFAVS >CRE10186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:308270:309249:-1 gene:WBGene00059457 transcript:CRE10186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10186 MDNHASFFTPLQQKFVQILSNYEKDPKTLQIHEECDQMDVVTSYFKLLLLEKTRLLQYGQASFAVHLIDEEIGRLFMAPISMADIGILEECGRKALRFTELGAHSSDSDDSMHSDEDEVTLTESVRIPIETYPTYNFIGRIIGPRGMTAKQLEKDTGCRIMIRGSYSNKIYGNSAQKNHGDGVQDAIDSPLRVIIETSGPRREATARITEALNVVNSLLVPPPDGRDELKRRQLVELAIMNGTYRPTCPTHD >CRE10187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:310068:312972:-1 gene:WBGene00059458 transcript:CRE10187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10187 MGFDSPRGRGGGGFRGGRGGGSGFSPRGGGGGFRGGDRGRSPGGFRGGDRGRSPGGFRGGDRGGFRGGDRGGFRGGDRGGFRGGDRGGFRGGERGRSPGGGFRGDREGGFSPRGRGGGFRGGDRGGSPWRGGGDRGGSPWRGGGDRGVAHRGRGDFSGGFRGDNKFSPRGGRGGFTPRGRGGNDFSPRGGRGSFQARGAGNRVGFSDKRKNFGEEDDDEEEETDAPKKKFAAGTPYSKQVKNVEDESDEDEEDEEESDDEPQPQKKVVKGALAAAAEDSDDDEEDDDEEEEEESGDEIPSAKKLAPVTADEDDDDEEDEEDEEEVELEDEDEEEEEDEEEEEPVAKTPALKSALKTLDSTKGKQVNISKVVTPTTVDKKAPSTPHPVKTVKAAAGGVPQLNFDDDSDEEDEDEEVEEEDEEEDEEDEEEEEEEEVPAKKAPTIKQTLSQIGQAIQNESDDEDEEEEEEEDEEDEEEEEDDATDVPLITSKADLLKKIAANRAAQGVSEDESDEEDEEDEEEEEEEDEDEEEDEEEEEEEAPKPVVKQTQSVKQQKLAELSKPKVTSASLKRKIEEPAVASKQVKSDGASIVSEEERRRQERDSRSLFIKEISKKAKDSEITSLVTGVDSFRRKKNSNFGWIVFNTVADCKKAHEVLSKAKIQGKGLYVDFCLGESKSTKEHGERPINPLQLFINALPSACNQTDLKNIFRSATEIKILHASGQPNSKKRAFVTFGTEADAQAAFAKSNGLRVQNHLVDVFFARQQESKKNDVKPKKAAAPTQKKVVPKVSDSSDEDSEEVGSSDEGIEEVVEEPPRQKKLVKKVETKAVPRVQQAKPQFKKAGFKGKK >CRE10005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:313484:314275:1 gene:WBGene00059459 transcript:CRE10005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-31 MNIRCARVDDLMSMQNANLMCLPENYQMKYYFYHALSWPQLSYIAEDHKVVLTILFCYNLFFFQGNVVGYVLAKMEEDPGEEPHGHITSLAVKRSYRRLGLANKMMDQTARAMVETYNAKFVSLHVRVSNRAALNLYKNTLKFEIVDTEPKYYADGEDAYAMRRDLAKWAEERDIEPADKEAYAVSKNSDDKKKQRH >CRE10006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:314376:316878:1 gene:WBGene00059460 transcript:CRE10006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10006 MKGGGGIGDGKKDYQAAVHEGLTTFDQLGIALEDVGKTLDAETATTGGGFLSKVMNETSSLKRKSYDQSNDLVNMSVVPAESSYVLFFQVLFPFVVAGLGMVFAGLVLSVVVTWPLFEEIPEILILVPALLGLKGNLEMTLASRLSTLVNFTAQFLENLVRFQANLGHMDSSKQRKDVVIANLALVQVQATVVAFLASAFAAALAFIPTGEIDWAHGALMCASSLATACSASLVLSLLMVAVIVTSRKYNINPDNVATPIAASLGDLTTLSVLAFFGTVFLKAHNTESWLNGVVIIVFLLLLPFWVKVAKENEGTRETLYNGWTPVIMSMLISSAGGFILETAVRKYHSLSTYGPVLNGVGGNLAAVQASRLSTYFHKAAAIGELPNGWTVQRFTSFTRAFFSKEWDSRSARVLLLLVVPGHICFNALIQLFTYSSKSNVTPHGPLFTSLYMIAAIIQVMILLFVCQFLVSLLWKWKIDPDNSVIPYLTALGDLLGTGLLFIVFLTTDCFDPQELANNVVNITPTNSNVTL >CRE10007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:317231:318985:1 gene:WBGene00059462 transcript:CRE10007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbce-1 MEVGQRVRINFENATIRYIGEVSGYGSQIWVGLEWDDSSRGKHDGVVKGHRYFQTKHPTGGSLMKIEAVPKPTDLLFEIKDRYAEEERVENEIELTKSSKKIELIGMEQTAAKQSNIEKLISIVLDNRSVGFPPPSDSPQFILCRELNLYGNLLYKWQTVKRILEFFPRIQELNLRRNRMQSFNEDEDGELEGEESIYSESCKKLVISECTISEESMDSIIRRFPSAAEIVAFGNELTRFTVSDVVANRLTSLDLEDNLFGSMNSIEGYFPNLTQLSLANCGISSLVGLDGTSKFPNLEYLNLRGNTILDWPSVNAMRSLKNLKRLLFDCKHLEVEKGVHSYEVVVAKLSTLIDLNRFDISEVERRSAEIRFLNKYAGIENKSNHDEDIKRLIVIHGEPTLDTAKKGLTVVKIRIECGNRVESRKLPLAMSVQKIRDMVRIKEAFYIALARLFKTPHSSNIRLYLVMTEKTKQHRIELDNPLRDFGYYSPSEDRDILVVEHD >CRE10008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:319262:321178:1 gene:WBGene00059463 transcript:CRE10008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nprl-3 MATPVKFDSECHLHAVMCFLAGQTGEKIVSIYPHRKPPPKAPPKESTPASSTPGVSMKPVLTSVKDPSVGFGLVETEFDMSLGMLAQAVKTQEIGCNDGFDMKINNQRFVGYPKTWKARGGNTNYQILIVFALKQGCDQHTAAAYQTLSNKIAVSFVMLQNYFGFLEREDKWADMADSADDPLREFSKTSFMVQTLIDMYDEVRKRGNIHKYQINFVELGFCDEAHALSRLNVVPKGRQDIDEIVRKMKPYHGILLLEDVWPTPDANPIVAKLLSHCSPDRSILDMSTASGIPIFEVFMIIRHLLQWTRAILIYPLCNTNIYTSATSPQPLDKMAEKFAAQFGTTIHLAAGLSHFNPPKTLDTFIRPNLPLHEQGVRAKLVVALLRHQMLMQLHQFYYILKPYSNAVLPDPIEQCPEEFIKLIFDSQLPNDDVKRVVADICAEMLSHTAYGAVKRKLTLFMKCVPMMNGNHHLEDIKYKNNLDRAEIESIFKSFELVIATFRRPDFVAE >CRE10009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:321277:321901:1 gene:WBGene00059464 transcript:CRE10009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10009 MEKYVKSRQMYMKYLEEVSENVFHPRINEGDKQFKKLQKECEEYAKLKFTCQRLLSEASKVIEGKTEIGQRVFMNMEVRDTKHVVVKLSEDVLVELPLQEAMKVCDRKMDMLKNLMEKIQASTTRLKAELTMLLASMDLPYTEPYRFTLPPDFPFRMEV >CRE10010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:322217:322504:1 gene:WBGene00059465 transcript:CRE10010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10010 MTMHIKQSTVRSMLTVARRGKKRLNLDSDALAVLTALINLLAQETIARTAQTAANTGDRQVTKEHLKRVIAQIMLDFAV >CRE10014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:331283:334093:1 gene:WBGene00059467 transcript:CRE10014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-5 description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:E3M6T1] MGSLTRSEEMRFCQLIVEKDAAFNIVAEIGKQPYVQFKDLNPNVNNFQRTFVKDIRRYDEMERKLRFLENQIVRDEIIVPGKVDNGDYAILPTSELNTLEGTLAELEKDVKSMNDSDAQLKANFMDLKEWDAVLDKTDEFFQGGVDDQAQEELENLDEEGAIRVDKLPVNYLVGIVRRERLNGFERVLWRACHHTAYIRSSDIAEELEEPSGEKVHKSVFIIFLKGDRMRSIVEKVCDGFKAKLFKNCPKTFKERQSARNDVRARIQDLQTVLGQTREHRFRVLQAAANNHHQWLKQVRMIKTVFHMLNLFTFDGIGRFFVGECWIPLKHVEDVRRAIETGAERSGSSVKPVLNILETSVTPPTYNETNKFTAVFQGIVDSYGIATYRELNPAPYTIITFPFLFSCMFGDLGHGVIMLMAGLWFVLREKNLQSRNIKDEIFNMFFGGRYIILLMGIFSIHAGIVYNDMFAKSFNIFGSGWKNPYPMENITNWISHTEHGKEMLIEFAPEDAYDHAGGPYSFGVDPIWNIAENKLNFLNSMKMKLSVILGITQMTFGVILSFFNHTFNKSKIDIFTVFIPQMLFMGCIFMYLCLQIILKWLFFWTKEATVFGQIYPGSHCAPSLLIGLINMFMMKDREAGFVQEGGKVNGEYKEVEACYLSQWYPGQSIIEMILVIIAVICIPIMLFGKPIHHVMQQKKKQKELHGNVTVRANVVSDSSEIVINGGSKKEEAAHGGDHGGHEDESFGDVMVHQAIHTIEYVLGCVSHTASYLRLWALSLAHARKLLFYHFMFIQRLSELSEVLWHMVFVTGGLGIEGTKGFIAVYVVFFIFFVLTISILVLMEGLSAFLHTLRLHWVEFQSKFYLGLGYPFVPYSFRTALQEAELAAQ >CRE10188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:334541:339622:-1 gene:WBGene00059468 transcript:CRE10188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10188 MILPYLVTFLLLLVPPVTSSTANISSLIEALGPNKLCSVYDPFKVLPENNKFQIGGSFPLHQDDCTTLRPDTVQEIVAIQWALTHWNQKDENGDCKLGLYAGDTCSRSAESLSQSLRFLDSVGYHEPKECRTETPGAKLLGLIAPKDYESSMSLGKFLSVSAIPVAAYSSESVNALTELDVENTIATSPTIGVYVEALIRLMNQMRSNLVTVVDDGSQNPVTKRVISYLRDADIFVSESIPIDHPFLAQALEDSDSSIVVSILNKKQLTKTVRHPSIYAMSKMWISIPTEGEALDESEQLELLHKKAKLEVVSLQPKYKELPQFRDYFIRVLRNNFKNYQLLTSYIEQVYNCTNEDCDLDKDVMMKSYVQARTAEASIRMTYAFAAVAQIIGANKQYEKTCSHASSECTELIMETLETMDYAFNKQDPPEFAGERLQFYRGTENILLASGMQVEAIEIYNQDEEPVTSRLLSFTTGSPPTVIMSSLRSADQRLRSICAPYRPFCGQCPNLQNVNADHHFLSIPRHYPLYLVGLFDLHSGQTCQSMAHTDISLPMAFVHTVWTFKQRFPQLGLLKDLDFGALLIDSCSSGKQAIESVVRSETQCFRFNQAGRNITIVPKSVFGYASALHGDSQESLKGYFSSGDTDAALVSVDSEHSALQRSFTALPSSRNQALALLKLLNRMQWQFVTAALSEQDPESLSLFRSFERLALDRGVCLAEVINIGGSSRLDNVRSTTNVTIIFATARNAADYLIASKTRGNHVNVMMGDAHDWYLHAPNDKEQFPGTVSVQPRNILYGDFREWLETTTPLTLPELWYWSYIESRYGCALSQKSKVIYGKMCTGDELLKIESLGKLKQNIAGYLSRGIERFLFAMDSVYKSLCPAQNGLCLEFYEQGRKQILTQLKKTSTEDDVEIYEFLPDINNQFTYHLIANWTLTTGLRMTNQYRNGNGKTTESKCQPPMCKCFLDGDFFQRPLDSFVFRPDEPEGSDQSSYIKRQPAFGSEKIEYQSVFEHITTGHWRDHPHNYVLLALISILVVVAVAVLVLVLVKLYLRVVKGNQSLGISLLIGIIILYSTAFFFVFDPTDSVCRLRVILHGLGYTICFGVMIAKATQLRNAETLGFGTSIHISFWNYWLLLFFIVGVQIALSISWFLEPFMSTIGVIDTNVQRMMCTMGKVEFVVSNFYVMILILMALFINMLNRNIKRNYKETKWLLYSTVGCFFTWVAWITLYLVLGHEFRDTVIVVELVACATILLGFLFGPKIYILLSYEPVVVAFKRDPFPNHTDLFEKDDDLPSQRAVSPASSTSSSSNRSSSGSSYTSSSKRPVNAVGPLPLQRNIEDQSPIFHTVMRKKTKVRRSNSEHDTIMNVGIPMTSVPIIRSPSARDEKVHRVAISPPLRD >CRE10015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:348175:349109:1 gene:WBGene00059469 transcript:CRE10015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10015 MRNLLLIFVLFSMVSSWVIYRKSHKKRLICREETEWTNSTANYMTNSAVRSTSDEDDDNSSSSAETRRARKRTRSKRDIEVDPVHKEPIIYIGTSSNSTVFIDDGDFDIVSDQLTKEELEMVKQQIMDTCNALNSA >CRE10016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:351196:351748:1 gene:WBGene00059470 transcript:CRE10016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10016 MHLRVLFLSLALFGAVCSADRASNYAVHQIMNIVEKSIPSRDTTAILYLMDPSFNYSMCGYEGNLNTFKGYLEVQMNSLMDLKFLVDYDNSEIKSEDDMEVLTFHVDTQALYNDRSRVEGGAVVTAIKKKYQPIFYLSHIHQQCRVTAKRHHRLYRLFTNENLYDYKK >CRE10189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:354240:354629:-1 gene:WBGene00059471 transcript:CRE10189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10189 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3M6T5] MSGRGKGGKAKKSTGKNKTKTSRAGLQFPVSRIHRFLRKGNYAHRVGVGAPVYLAAVLEYLTAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKNTGEHKE >CRE10017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:354877:355263:1 gene:WBGene00059472 transcript:CRE10017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10017 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3M6T7] MVPKPVAAKGAKKIAKTAKKPSAKTNKDGKKRKVPKHSSFNIYIYRVLKQVHPETGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE10191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:355690:357041:-1 gene:WBGene00059473 transcript:CRE10191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-81 description:CRE-STR-81 protein [Source:UniProtKB/TrEMBL;Acc:E3M6T8] MGRLLTGPAWMGLTEFVDYVSTCFTIPINVLLLYCIRTKSGKSFGKYKNLMTFFSIQSIFFSIQATLNHMCFHTIGGTFMMFTLTNHFNLPPWGVWINLGICCISVGYVLLILSAQFIYRYFAMNKPEKLIYFSGWRRIFFLLAMILVAVVYGGCGFVGINLTPEKDISIRFRTPFLVNSNDEYFRETMGEAYNVTPEDIHYVAVEYFVRDENDERVLNYLSIGTAIMLNTIFGCMVIVIIYCGWNTYTRTHRCTAQLSNCMKIQRVLFTALLIQTLTPTILIFIPCIIFYILPIFEIPLGVDANILSISLILYPMIDPIGVLFVIRPYRMFIKKFFRRRVMNCTHKKKNKNDNIVPPVEDFPRFHSIAN >CRE10018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:359122:361154:1 gene:WBGene00059474 transcript:CRE10018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10018 MLWAFRLLAVAVVIENSNTETLLRQLFNQTYDVDMKPSDDLTMVTITPNTFILLSMDQTQETIQYSEEFLLKWFDPILSWNRSAVAYDKEWLKIEASRVWLPDVIITNSISIDELLDKDLQMADLRYNGEIRTSLPAVVSSPCPLRIENFPYDVQKCNISMGSWSFDRDFVAVNSSVDVLWPQKGRIEGNSEWELLSITMVRSDNYDTLMESWFSEVTYIVTLKRKPVYYVLVIQAPTFILCTITIFGLFTPNSNEDERLSKALFQVELCLNMFAAISMMLQLVSDMMPKASRLPLLGNYIIAEVFVVTAATIAAILIQQVHHHVHTSAIRPPTWLRRFVLCDCRRRRNSVSEVSTTSSVEVPEQAIQSFTILKTSLHQTAILVRDTLQRMSSVSENQLLWLKILDKTDLLCLFLFQMANVVVTVIYWR >CRE10019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:363019:363994:1 gene:WBGene00059475 transcript:CRE10019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10019 MRCLIVFISLFVVSTALNCYICNSLNQPDCVANFTGFSKVCPVKSFSGLKAVKPVGCRVTRQYVNEETSIVRECAYTGENVERKSNKGSLGVSRVYSQCSEALCNSANSSFQFITAAVLIALYKIFA >CRE10020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:364451:366962:1 gene:WBGene00059476 transcript:CRE10020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10020 MATEIRIHLLKNGKNAPVSRKKPEHLTPSSLHLFLLHHNVMDGLMNATGSAAKDLENKKNGMKLLGDFSKLMMAANANDTKGTSEALVSLGTDLMKMKPQNKTNTDMGKIGTALTDILNNSTETMEYSNTTQSSRQSFKDKWGWLSHKNHVSNTTDYGNNSTDFGSNGADSLLPNFDNMSEGLGANDLNPYSHKSYTVNLNMTYSHPSVLSFMCSLTMIAFFYYVPRMAYFRLSNLSNDYKHSSFVPIVCLSVIACLGAGIHIANAYYHATNNMMVSILTGSFNFGEFDMFTPFIYKSLTASVNRIVSPIVGLLCLQQISIHSKYNPQIFQNSFFQLAYCVIHIILVTAYSFYNEYTFMTSLDGIQYPDSINVDLIDIILPLITAFLFFFAKHSISRQSLYTTEKYGPNGPTTLDRVSKVVVFQTIMVFVAIGAIFYSPSAAEEEVLDSDAMTWYYFFITAQTPVVHFVLFRSLLRSRKSTRICFLVCCHSGDKIYTADNGVELNQQPRTSEPSEKNGEEENDENENKEANTIETLDHNKIVVIPDGNLERRQNEKA >CRE10021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:367482:369262:1 gene:WBGene00059477 transcript:CRE10021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10021 MSNMTEEFGGDEISSSISLEVFKLLNGTDDEVINISPDLSNNTEQANILSLLNDFFPNSTTTMTPIDAEGLEYLNDLSGNESRIIDLNKEYTHNGSMMTVSYLVMITFIFYVPRIIYFRVSRISKDRQVPGYFLLWYLSLIACVAAVIHFGCFQMSISGSSHFNSSITSFEEQLSNFYILKASAASINRLVAPIVAVLCIQQIATHTRFDIPILQEVKVHALLCLVITIFVVGYSFLREYFVMSALDSSSEVYPDRIHVDLYDVIAPLITSVLFFFARQNLSRQSVYSTEQCGPNNPTTLDRISKVAVFQTVMIFFTFGSLLMAPSEEEERHADSSGLFLSIFFLTAQTPFVHWVLFRSLLRSRKPTRLCFLSCFGQEEKVGVAPEDVEMERQQNKEANEKKDEEEEEDDDDDEDEEPETLDANKIVIMPASQVEEIIRREAIEIIEVNSEEVPEERPEVAGAVNENSQNAGERRNNNDNNK >CRE10024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:374531:376203:1 gene:WBGene00059478 transcript:CRE10024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10024 MTFDESKSWIYVQPLCAVLANSIAFMVQLVVFSQGNSSIGSAPAWAYVSLSLALIATICIGVLFMMATKSSHASPKLGFILAAVAMFSAMGSGCSLLPIKAINIYTQATDGTAKSKTSNGKPSSKNKNPPDTREKQKRAAEPTAEDYAKLDFVDKIPPSAFMLLINAVLTFLILGMLLIMFLLKVRSSGGFTKPKKQQVRRIQTGSTLNCSMFQSNRDSDRPKSGRRSPGKVNAPPPRDDSRRRDEEDRRRKEDLDRSRDRRRKDDKSRSRQDRDRDRERDRSRRDVEKSRSPRKSQQKSVERKERTRSDKDRDRSRSRKEREDRSRKEREDRDRERSRKEREDRSRKEREDRSRKEREDKSRKEREDRDRSRKEREDRDRSRKEREDRDRSRKDREDRDRSRKDREDRSRKEREDRDRDRSRRDRDDRSDDRKRNKSRDDRERGSRQDRPKSRQDRVRSSEDRPKSRQDRSRSIEDRPRSKSRH >CRE16519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig825:6631:8172:-1 gene:WBGene00059479 transcript:CRE16519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16519 MVSDGDPDFVKEKVSFLNIRKETREMFLILARDDTRKMLKTYAKANASTHESIMKPFIDLIVSTFQTLDAKEKDYEELTKEHDSEMDENIELIRENMQLQDEINFLHELLAEKRKGENMKSVGVMKGVQTEEQFCERVHISNSNVEKVTVVTETKCSNDSESENKLIDHKKERKCLCEGQGIAKIVFENELDYSEDRVSRKADNAKEIFVRKSNKALCCEDIKADEIQSSCTKSTHGKDENIGVTKRCTVANISSDKLCSGDQTPGKAQRSVTFTQYETNEQSVQQKIFSDVIDSNVDLVKDREERYVQDTVREKESMQLLKHMLVVENTKHDYKARSDSSTGGDSNEDEAKRKKVDQKAEDTVTGPGPPLNSKSSFLTSCHTIQQTLSGNNKDNVVRKNNSSDSKIPNPGKPGLKKKGDGACGVVFQMKTKRRKREEPRPRTDPPNLSMTYVKDESIPDEFSVTVVSDQKVIRSPQQHTSDKSTWSETKSKASGSGTTPSERSTSSNLVELE >CRE10193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:376263:380165:-1 gene:WBGene00059480 transcript:CRE10193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gex-2 description:CRE-GEX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M6U7] MSSNVSWEAALSNVSLLGIISVPDDQPDIEARALPLLYRANFDTNFEDRSAFVTGIAKYSEEATRHAQFNDMLAAGLQHAAHLYTWRCCSRAVPMAKSNDQQNRTEINEMVVHVLKPEVDKLMHFMDFTVSFTSYGSLLNLYISRASQFLQTMSDTDSIQRMQNLSMFLATQNKIKDDLKLKMKVIEGYEELLSDVISICAHMYEKSAYISPNEKHMYVKVIAFSLFLLDGDSVIVAKLEQKKRLNFQNLDRIFKSLEVVPLYGDMQIQPFAFVRRSSQYDASKWPLSDKESNKCHVNIVEKVKSIRVEHESYVTNFAKINNEVEISDRIGSDREHRELTSVALSGIQLLCQWSCAVVETISWKLLHPTNPKDNRDCPDDAEEYERATRYNYSAAEKTALIQIIAMIKGLQSMLGKMEPVMSNSIRKCVYVELQSFIQYAVNDPLQKAVKNKKDLLASILQSVKDSICDVGYELNRVTDTKSKSKKSGSKVDSANSSSSDIRIPRRTAAPGSTQLYMARTQLESLISEKLCGGKKILRKELDKNTIEKISNFLLKSAHWPALFNLSDSMTEAGELSQLWFREFYLEMTMGQRIQFPIEMSMPWILTDYILSCNEPSLIESALYQLDLYNDAAQYSLFNFHKQFLYDEVEAEVNLCFDQFVYKLSEMVFTHYKQLASCMLLDKRFKSEILRAGTMIRSPSAARFESLLQQRHVQLLGRSVDLNRVVSQRVNMALLKALDAAIWKFESEPLSSVVELDMLIEANRLCHTLLKKVLHSIAPFDDLFQEANHAVNSPHGRITLHVFWELNYDFVPNFVYNGSTHRFVRARHVFRKTPAREKPPTVGQVYYWGSKSLMAAFMNISNAYSQCIGTQHLKAITRLLHYQGIAVILDELLKMTHRLLEDKIKRHVKNVFNMMPKICKLPRADYGSTALLQYYCHHLEAVGKYPELKTEFCQDLRELGNMIVFCQQLEVALGQEETHDLFLAAAYIGNVPQPPSRNAQEQMKQLVKLEEKFSRIHLTEVIDKVSVDEGQKLIAREAELMTKERLCCGLNAFENFLLRIRQMLANDEVSILFNFIDFLISQIWTGGYPSNGVFWIDECVEWYRVYSALQFFLCQPTRDDNDVNAE >CRE10023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:371030:372696:1 gene:WBGene00059481 transcript:CRE10023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10023 MTFDESKSWIYVQPLCAVLANSIAFMVQLVVFSQGNSSIGSAPAWAYVSLSLALIATICIGVLFMMATKSSHASPKLGFILAAVAMFSAMGSGCSLLPIKAILNRIRSNIYTQATDGTAKSKASNGKPSSKNKNPPKTEERTKREDPTAEDYAKLDFVDKIPPSAFMLLINAVLTFLILGMLLIMFLLKVRSSGGFTQPKKQQSNRDSDRPKSGRRSPGKVNAPPPRDDSRRRDEEDRRKKEDLDRSRDRRRKDDKSRSRQDRDRDRERDRSRRDVEKSRSPRKSQQKSVERRERTRSDKDRDRSRSRKEREDRSRKEREDRDRERSRKEREDRSRKEREDRSRKEREDKSRKEREDRDRSRKEREDRDRSRKEREDRDRSRKDREDRDRSRKDREDRSRKEREDRDRDRSRRDRDDRSDDRKRNKSRDDRERGSRQDRPKSRQDRVRSSEDRPKSRQDRSRSIEDRPRSKSRH >CRE10025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:380888:382186:1 gene:WBGene00059482 transcript:CRE10025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10025 MSSLYEDRKVILGIIGTSVVSWNIFVKLRELLKKYTKTTPEWVPVGRIKSLHLYPIKSCKGKEVFQYRCTPLGPVFGEYFDRHFLVVNSEGKFYTARTKPNMVLVETVIENGIVTVSYPEKESAQFNIDEVKAKKDLRSGYLHVNLRTDGYDCGDSVAEFFSNVLDEPGTRVIMYDSGLFTERTCKTEEGWWNNPVPKRIDDTAYADLAPYMITTQASLDDLNSKLSQNVSSINFRPCIVVDDCAAWDEDKWLDLRIGDVEMQCFKPCTRCILTTVNPESGTKDKDMQPLKKLREFRLGPGKLREEFGESPIFGVNAGLVKPGYIHVGQTVWAKYKPSAF >CRE10194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:382558:389732:-1 gene:WBGene00059483 transcript:CRE10194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-efn-4 description:CRE-EFN-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M6U9] MKRPVDFLLTTCSLILLLGSFPHTSADEHVIYWNSTNPMFRNRLPFIEVRLGDVVRFVCPDNEGRSNGEYLTIYEVTEFARDECALESNKREVVRCGVETNAEKLIRSHQLPVLNPKQPIPPKNVAQFIRPVNPIPNGKEYQPGSTYYFITTSNGKASGIDQKMYGLCESHNMRLSMKVSPSQPSASTIKPKAPTRRQEDFVTKSSAEMMGGQEDEDSENDNAHLLPRDLEIATNPKFRRPSQFEQAAVSAGVQDQQLLKVIQMAKEGKTGTFENDRQAEAHKSAEKEAWHPVSVQYVADLMNTAYKNADERVTYQRDPDFLIHEEDFSANSLEYSSSSRSLRSFIAICVAVVFLF >CRE10195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:392130:395887:-1 gene:WBGene00059484 transcript:CRE10195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10195 MCISEEKQIRNSNTTNTFPSSFSRLQNSIFFYFLNFQMIEINDTCAEDPPQADAFRMILIVGIGTVVCSIGIVLNSFLLLSFRRLDVFRSNLLYLFLLACLDILVELCFMVSLFSSSLIFPSSLVWDYFRVEFLYTCWHFYIKYVSTVGQVLIAASTLLIVAASFERYICSLKSSIQFSPQRRFLFISIVGTCALFMKGSVFFELELQSLPHCPPFQNLRLDLSEITRSESYKTIWMFWCRSIFNVFLPFSLLLILNSLTITNLNKLHINGFQSVLVEQMPTLLRRNSEACAARRRKRDATRTLAALITIYLLTNTLNLLITIMEFINPDVLGSLGEGWTYKYLADLSSVLTISSTAFRLPVYFHCNGDIRAQIRDFAKSCFIDQNEKKKLNSSNFLYFEYLKLFLSAYDRLVPIFSPI >CRE10197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:403215:405206:-1 gene:WBGene00059485 transcript:CRE10197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glt-7 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3M6V2] MKENQLLVFTLSAVGTGFCVGIFLRQFDLSDGVRNLVGFPGEIFMQVLKLMVLPLIFSSLISSLGQMDASKSGKMSLIAVSYYLTTVIIACFIAMIIAFLFHPGNPELKKQNDTIVRDESHLSALDSLLDLVRNMFPQNIVEATMYRSQTAFVVVRKKIIKNGTTAEPVLLRKTIKLTQGTNILGETSLIKQLLIASSGLIVFCTGFGIIISKLGGKVKVIVEFFIVLDKVVMKFISVLMWFSPVGIISLIAASILDIDDVYVMITTMLLYLFTVMTCLFLHCVVAIPVLYFFITKKNPIHVAKGMIQPFVTAIGTASSGASLPQAISSVEENLHIDSRIAGFIMPLGNTINMDGNALYEAVAVIFIAQINNIHLSFAQVITICVTATFASIGLNAVPAGLVSMFVILSTVNLPVSDISLLFTVDWFIDRVRTALNVLGDAYCACVVQHFMESDLAREKNEKLWEDLKLEEGTSEDANRPDQLEGKRMVKRVSFVDYHHIPSPSAPQAFNSTKTYSLKSAIIGPLRAHVALQTRLSHLSEE >CRE10026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:405714:408239:1 gene:WBGene00059486 transcript:CRE10026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asm-3 description:Sphingomyelin phosphodiesterase [Source:UniProtKB/TrEMBL;Acc:E3M6V3] MLLGLLVLSLAFQGTVVAVTECEECKSIVDLLQFEWGEKKTEECVMEIAVFICETFHIEDNDVCNFIISDFSDEFMYVISKILVTPHQLCGLLMKNDCGDFIDPLAEVWNMTIPGNQPKYVPKQVVPTGNPTLRALHLTDLHVDMFYTPGLEAQCDTPQCCRPQDMNIEIVENGAVKQAAGPWGTVGSCDTPYWLLTNMLQHIASTAGQLDYVMVSGDLVSHTVWAYTPETHSFMVRNLSDTIRSFFPTTPVYFAVGNHEGVPVDNIAPHFTPKKYHMDWLYKTMSDSWKGWIPEDQEKTLEYNGCYMKKIYDGLRLISLNNVYGDRINFWLYINQTDPDGTLQWLITQLQDAENVGDKVHIVAHIPGSDGEALEGYALNYYKIINRFTNTVVGQFFGHTHSEKFYMMYSDPDDFKSTPNNVVYSAPSVTPYSDFFPAYRIYTIDGVHSGSTFVRNRFQVSSIYLNFALQQVIDYEEWYFNLTSNNANPNNVKWEQLYMSANAEYGLKGQTPDEYNQMIERMKTDTTLFNKYFENHYRRSVYDGLQPCTTQECRNGYLCDARQFHQTNKLCTDLEGQISKPKETKKKYSARFVTEKSKKRGKEECKI >CRE10028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:409860:412708:1 gene:WBGene00059487 transcript:CRE10028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pig-1 description:CRE-PIG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6V5] MSKYEVLQGFYAVHDELGSGGFGKVRLATHLLTNQKVAIKIIDKKQLGHDLPRVQTEMDALRNLSHQNICRLYHYIETEDKFFIIMEYCSGGEMFDYIVRKERLEESEARHFFRQLVSAIAFVHSQGYAHRDLKPENLLLTEDLHLKLIDFGLCAKTEKGRIDKHHLDTCCGSPAYAAPELIQGLPYKGNEADVWSMGILLYTLLVGALPFEDDNMQIMYKKIQSGCFYEPDFLSPLSRQLLRSMLQVVPERRISIKKLLEHDWLNLKYTQPVKWNTIYDKNFIDRDVARVMSKYYGLETTDQMIEKIKEWNFDYMTSTYYALLHRKRNYQEIVLPMTRNPNNTAPVNVQNILCSPTIHASLDNNLDKSGLEDEDSGSDPSSISSSDISAKMKKSCNITENSSKFAKPLSPEREKKMSYVNAMLTMPSQFTGRSPVPIRIPESPVSVRSSDSASLGSATPCRGTNRDVDKENTASKNYRMGASTCKGRGPLKITGLEDGTLRSVYTTPNRPTLRGLFSPGNSDSSHKNRQRARSSDRASIGASAGSPISIGSANSGNEPLADGRTPRSRTKTNRLPQRVFTSLERKKEKLITLLTPRKMQRDSPQVLKDVKNMVNVSMTAWQDPEEVRNMLKGVFDSEKMRYELNGWKFLATKETCHGWMTVELEIVRLQMFDKIGIRRKRLKGDAFMYKKVCEHILNMAKID >CRE10029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:413223:414710:1 gene:WBGene00059488 transcript:CRE10029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10029 MAHGHHRRGRPGREVYFKLSAKDSKQAHLFSIKYEKTSGLLEKLKGKLAEYKVDFANVFWIDHDKDQIFLQTAEDLREAIKFSRFVVKLYAEGTGEKQLKTLKKEEGEESDGGKSSSSSFSKVSRRDEKSSESESSSSGSESSDDDDEGGKDKKPRGRSVSTSSGSSSSGSSSESSRSPSGSRSPGRGGRGRRSPSGSRSPGRQGTEKKDALKTLKGAEEEGRGRRPSSPGRHGSRSPSRGGRHHHGPPGKHGRHGSRSGSPEDHRGHGRHHHGPPGGHGRRGHGSRSPSHGPPGPPGRRGHGHHHGPSGRHGSRSGSPGGRREHGGHGHHHGPPCPGRGGHGRHGSRSHSPGGRGGHGGHGHHHGPPCPGRHGHHHGGRHGHGGRGRSGSRGRHGPPHPHHHHGHHGGRGHHSRSPSPGHHHHHHGCRPFPHHHGHHHHHFPPPPPFWPPCPHPPPFWPPHRRGHCHHHHHGHRSFSP >CRE19582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1189:726:2646:1 gene:WBGene00059489 transcript:CRE19582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19582 MINVRRRRRERVSSRHTFIPSNSQNSLLNKYSFSFSIHTETLIFRAVMDADYEGGMPNCTYRYPFPPEEDTISAYALLIDGPCTCLAALLSLIGARYAIKFLCRAGLNKELTAALFALCAIDSFLLLTVFFFYGIEAMSLLFFRTNIMYDKQDFTYNLHGIASSLTTASTTLVIYITFLRFMVVVRPLRFATSMASNHRRTGSKAVRKGSAQLDDSVTNTTFSRGTYNSSSIKRHFNIREVIRPFYTPCERHLSTFSVNIPIFFEFTTTKCFDVEHNVEATNPEPTVFRSSFAKYKAVLMTLTQTIGPVSIILVLSCLTEYKIHVSLKARRKLFESQQRSRSVVLSEELKERVSRTVAVFIAVKFLIFRTMPIFFDVYENLYGIDGWGTVMSIMVRVSDFGIVLNTATNSLAYFGKKQWIEKRLRLRLMKKEEKRNAKATLSVHGNSLNASMKKSSGPPKIGLPHPLSAEKTPLNSKNIYPEMV >CRE10757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1538:2275:2734:-1 gene:WBGene00059491 transcript:CRE10757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10757 MQSTTLLALLVLVAGASAQCFGGGCGGPIFLPPPPCFGGNCGCSGNNCGCSGNNCGPQVTVVQVPNNNNGCSCNPCSGPICPPVCNSCPPQPIFIQQPSCCNQNNFSCCGFRFRRHNTAVETTEEATTAAPTQE >CRE10204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:449668:450331:-1 gene:WBGene00059494 transcript:CRE10204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10204 MWTFTVFSSLLLISSSIAQPFFLPPPPCFGIGCAPPPPSILCFQFVIAGPPPPPPCVGIACGPPPCFDCPPPAPIFVAPPPPPCLNFPCPPPPCVGVACAPPPPIVIAAPPPPPPCLSFPCPPPPCVGIACPPPPQIIFNAPSPCFGPACPPPCFGPACAPPPVFIPPPPPAPILFGSVSCCAPNS >CRE10205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:451282:452796:-1 gene:WBGene00059495 transcript:CRE10205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10205 MVRKDSESSCSSDDSHNHEDYSLSNDAVVTKYQVAAEITNAVLKEVIANIKEGAVVGDLCDLGDKLILEKTGKLYKKEKNFTKGISMPTCISVDNCICHYTPLRSEAPVVLKNGQVVKIDLGTHIDGLIATAAHTVVVGASKDNKVTGKVADLLRGTYDALEIAIRSLRPETVNSDITKNIDKTAAEFGLTPIENMLSHQLERNEIDGEKKIIQNSGEKQKGEVEKIKIDKHEAYAIDILFSTGKGQPKDMDTRTTVYKKNEAVTYQLKMKASRVFFSDVNKQHGPMPFSLRGFEEEVKAKMGVVECEKYGLLTPYPVLYEKEGELVAQFKATVLVMPNGLLKIAGLPFDSDTYQSELSVKDAELQTVLKSALKPKKKKEVKKEEPAAAKKA >CRE10206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:453596:454188:-1 gene:WBGene00059496 transcript:CRE10206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10206 MSGLRKDELVAVIIDIDGEKRKMVEDIADTVLRRVLEEEENDSTPSPIESRASSTSSDTSSPLSINQILSSSFSQQPPAISVPVALNPFQLALCQSLVANNTLHFPVFGGPSPLFPLFVPPSQPAVESVELLKIFQNILAKQKK >CRE10031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:454981:458576:1 gene:WBGene00059497 transcript:CRE10031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10031 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3M6W7] MRVLLIFFLLLSKQCLAVKVKVAHIGAVGAMKNSEKILQLSKEQLIQEGVLGDDFQIEILNQMGCGESYEGVAVGADMYHVQGVRAFIGPYCNAELDAVAKMATFWNIPIVGYMASSNSFADKSVFKTLARVSLRTTNSLAEAAAALIKHYGWNKVAIATNTGAVAFERVQSFEEVFHQRGINVVRKIMLDEYTNAKAIMNSGLLQELENSARIVVCAFSSTRDMTKEFMQAVTLSGMNNANYAWILPWLQIETKDNAPWLGDDGEYQQNVKDHFANAFLIDDVNGFDNTLVTPFKERLESNGYSTDDLEMDIFSSEFQKNIYGYIHLYDALRLYAMAVRATMNETGDENSYLNGKEVWNHMRRISFPGLVSNAGVTSGTVMMDDIAERAPVYAAFYIPPNSDNVRKVCELEPVLLTNCDGTKTGNGCYELQITDLSTGFWPSVDGTLPPDEPVCGYRNEKCDYTTLIIGGSVILVIILLIVAGFFVSRACENRALANTPWRIWRDDFRVIQEDEMKSMLSIGSSKTKMSNMSMFVKHHAVVGTNTHASFHLYPQRRPIVFNRQDLQLLNQMKQAVHDNLNPFLGMSFNEKEEMVVLWKFCSRGTVQDMIYNQEVTLDSKFHGAFIRDITLGLEYLHSSIIGYHGSLTPWSCLIDRNWMIKLTDFGIANPLERWEKMGLISTETLKEGDDKSGSAQRTSILYQPPEMLKNRESNRMRRMDQSWVKQSQARRQMADIYAFGMVMHEIIFCALPFPNGTNVSEVMDYIRDGTKSFRPTIHDRSQIHPDLVALLLDCWNENPEVRPSIRRVRLNTENYLKVKGSLVDQMMRMMEQYANNLEKLVAERTGMLEEANVRADKLLSQLLPKYVANELKMGRSVPPKTFNMATVMFSDIVGFTTICSSSSPLEVVSMLNNIYSKFDDAINKHSAYKVETIGDAYMIVSGIPEENGNEHIRNICNTALELMLLLKTYEIPHRRNVKLRIRLGIHTGTVAAGVVGLTAPRYCLFGDTVNVASRMESTSEPEKIQMSQEARDFCIRYYQEFQIILRGIVEAKGKGPVTTYWLLGKQTENQMQQQNFSQLGI >CRE10032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:463763:465709:1 gene:WBGene00059498 transcript:CRE10032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10032 MMIATKCPSRQSHSDYYSVASSCSSSCSEDESAVPSFFEQDLKPLVDASAESEDLSSLPVGVCPHCLQIFEQPISLQCGHSLCLICCNQLLFSAPPVTTSHLNRQRPRMGISHRAPSTLPGSNGIVMYRTPKCPVCRSPPSRAGPVPNLALDHLLRNMRTFRWNQIEKDVSTRGSRKWDDGPIQDCRIAVLGSAKVGKTCFTMVQNGNEVMFPDMHNESEDADAYMVEIVDGMTIERACVASNGIIIMYSVVDRQSFYHAAEIFKKLEQSREHNQPIVLVGSKKDMRGKRVVTSFEGQQLARNLGVPFMEVSSKYNDCVFDTFEELVSLIQKQNNAFKNVVKQILV >CRE10033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:466850:467298:1 gene:WBGene00059500 transcript:CRE10033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10033 MSSSSLRKIFVFLGLVAIVAAQWGVNSGLGLGVGPARVGANVNGGGYGGRGYGNGYGNNGYGNQGYYGNQGGYGGQGYGNQGYYGNQGGYGNQGGYGGGRGAYGNVGVGVQPGVGGLVGGILG >CRE10034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:471497:473212:1 gene:WBGene00059501 transcript:CRE10034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-22 description:CRE-LGC-22 protein [Source:UniProtKB/TrEMBL;Acc:E3M6X1] MLRLLILSTSLVAVAWAAAAGCEDADPKCTEWAATGECSTNAVWMMANCRKSCHSCQGGDRAWKLRTHIQTTYQNATDNKTRVVRVESVRINNVEIDERRQNVKVFGRFVLSWNDTMVSWDKEQWGLSWLNFYWIQIWTPNIVQINGGSTSNPGQVTSKVLAANYTGQIYLWSDFSFTTPFRFQYEDYPNDLQRVCYKFDDKRLLAVHFTVAEGVKNKEREATTDPFVSGWKIEDVEVVVSLLILFILPEFSSSLSPILRIMVELDRTDWQESPYTVEQLSDPRRNPFDVQADNGEICISLRRNAVYFTSEMLLPALITSLFTLSAVFFQLSKTQPILLGFSVVAQILSLILVSQRLPSFTAHTPTIRQFSLMNLSSKHFNFSVKFAGFNMVMTAILFVVSLVLERMATSQVEIPPPHGVSQFAGLVNKLLPIPKSSKHEDTSLQYAAFAHALNHVIFALSTVLYFVVILFSFVF >CRE10035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:473574:476589:1 gene:WBGene00059502 transcript:CRE10035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10035 MSSVPFFSATPEPRIPPESIEMNDLSQGKSDPRLRIIEIISIYEEKYKMFSSMSMSDEKLIFHKIRETLSIGLSIEIESLFVNDYYSFSMILAAFYRQKYALNIPENKEEEKDFLGFCQHPLHTVMVMCYAAHSMQKMISKDDFGQTLKQEKYQLLKEQLEDLACEIVNNFNSSGPEGAIQIREALHADFKSEFPALERIMTPYEEYLYRKFDKSKEIMTVAYKAKAMKFLSQKPCLNLIRIRAECRKIDIGNCDGHEKRYKIRVQINKKEKLLVNTNMKLWFHAVFRGIYIGMFAYMLCKFPVYDDFEALDRTWTQLIPFFYVLTVLIAQVSMTFIKAIDYLNFNCFKSKVLPLESDESTQKKNCLLKILQWFRTRITLLHPYFKSNKLALWRICLVIPLLVLEAIRFILLTIERNKNRAPDVKMWFGGWVLVPIVLELLYCALFAIATVSSLRFFHFVQSLGFFVHLFKKMVSGRMVCKAVSINQFQWKTVGMFVLIFCTFWFVLAVIHVSISSKLSSIIHNSQIFDPGTFMSSRNTILYTVASQGKFEIFGEVQDEDRVGNISDCGHFNRTIFDFLDMDYMEASCLFRSSMLPFLVFTYIFVTGILLVNMLTAQLTKEYEKESENSRYYKGYLKYEQLAKIESKLYLPPPLSIIYVLIRILFALFTGICSIFNLIASRCCNRQCLFPNSSKFIWKSIIGNLEGYPWGAVRDSKDEHQTEEEIKNFLRKPPKPLWEKLKEMVNAYETFNVENLKLLKKKIDEFLDNETEEERERSQSRVGTDRDRREISMHRNLSFIRNQSSLARRIQSADDDPSAEASIPTFD >CRE21617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig753:4138:9183:-1 gene:WBGene00059503 transcript:CRE21617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frm-5 description:CRE-FRM-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NN62] MPAQEVQISIAEVIEVRGYGLNAPELLSVAVSASDRLPPCPKGTVFDTENVFLNSKGQIDIKTVPTSRVDRSFVPPEWAKGEEDPMAAAVYCLGAVLRAAGAEEAADVDLFSLVNILTVAMTGTRPTAHRMGQMARFVMNCCNFVNSRIDFRNQLRGRDPASMLMCVYEELMGDEETNQIDVGDLDDLDDDFLSTNGMERHDSEMTGQVVFSGNNNMKRAVEYFEDDNRDDALTSTSSGNSTQKESSPFADFDENPPVPSRVNQVNESRREDTSSPVQFLDSTLGGIGSPISQSTRFDETFIEEYSIDLDTSGKNTLQPGPKSPFDDNFTDTSASTVPTVKVKEETRPHPNPFDEEEEEDSQFGGGTLSGRDPFDEDSGNSNREKVDVREKKFHKKEQLVHRLSSSSEEIVEASIHEDEPIVMAQIPEEEKPKPKPIPAFDNAYDADFDNSPPLHHYSAVPMETGLSPLEEAQRALRANRARHKPSNVSLAEDAKLAARQRYSNASDYNETKKMKKDEEEEQEEIDETDEVPLPVPAPIIPVLRREQSEEEPKSVRPPRYRKSREIEEPVVVEKPSRFEEVEEAEEKEDIDALFEKYRKVFDDLKMCRKRLKHFNESSTSGTIDTKGPTPILTADEYKEPQKQVPAPVVVAQESPILKRRNSLVPSRISGRQSTRRSVTSVRSMRGKRKTRAIPEFFDLTRHQNIRLRAPRPKKKLLSLHRVEDTEVVVELLNGQKVEVMCRSDVISRDIFSLIVQNMNINEHVFFGLSFLRDGEHYFIEDHQRLEKFAPSGWKSVARVGVKVPYVLHLRFKFYPQILDFIKTDVTMNELYLQCRRDILEERIQPKRDAAFELAALALQAEFGNRPPPVITDYFDIQHYLPKKYSSFEDQTRLKNILAELHGHYAGTRISEAKHKYIQICQRHPDFGAHIHRVFRTKPTSLHGSSPFDPDTGSSLWIGIMPRGISIYEQQGGAREVIAEHIWPQTQTLQFDKKRFVIVAVGSHDQQIESTFYTDHHSKSSYFVRFAASQHRWMMKMRQWKSTLRHENTISSMPDVIVEGQAIPPAPIRQSLQESPPSTPLLDSADKLFTKVSSNPEKPASRPIEMPPPAPSKLAQYDTVDEGIVCDSQAENFERVSPNTNGNVTPRAMQFDVLLTKDPANGLGLTLVDGNLNGVPGVYVKLVADNGAGMKAVSF >CRE10037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:481980:483361:1 gene:WBGene00059504 transcript:CRE10037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10037 MDSVPTWTIRSPTQTPCKFKIRSMRSTVRISFFYGEKTHSFFTMIGVFFFFLSQISLALAAPQTIFCLIHTATPSHETRAKTILETWAQHCDDFLFFTDSKMNDSIPHIYYPLLNSRDHSWEKIRRVFKYVHDKIGKKYDWYYRADDDTYALMHNMRTLLANYTSSKQHYLGLRWAFFTPRGFNDGSSYILSRPTMEAFNEVMLDPDRCPDHHRAEEDQEVRFFCHEAIFDQLIIQLAKCLAHMDVYPEDIRDEYGSERIQHFHPIEQYGIYKDTFNRRLAYYPAMKEDENFSSRMISFHHVSPYEMRMMDYIFYHLNKP >CRE10210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:505217:507426:-1 gene:WBGene00059507 transcript:CRE10210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scl-23 description:CRE-SCL-23 protein [Source:UniProtKB/TrEMBL;Acc:E3M6X7] MQIAETAAIERRTVGSEGSMNGPETNEYNKIELEDEQEVGVKETNKENGRNKENNAGTSSSDSKAGYLSFKGIFIAATVIILSYNILSVTNPRPSRYSVITKMAPQHCGLNLPARIQNLILDKHNEIRSKVALGQYSVDDDYLPPAANMPKLIWDCQLELEAQNRANECLLGQEKINEKMSGLEEVRGENAFYFRTDPFNSSDGVLRGIERMGDEIAIAGIKNLKLARYDKRIGHATQIIWGETRKLGCSIQECAARKDGSLDGQKFNVAVCKYYPTGNVFKSSTPTSIYTVGDVGSSCSEGTFIDPTSGLCVEATWHEKTEEQ >CRE10039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:518978:528246:1 gene:WBGene00059508 transcript:CRE10039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tpa-1 description:Protein kinase C [Source:UniProtKB/TrEMBL;Acc:E3M6X8] MKKDFPEIFTLKIVYFSFQAIDIFSLDPPPESETSLEADFVMAQAENACRLKLLRADVPADLLPAGCTPTDLQPAVNVKEKIEVNGESRLVQKKKTLYPEWEKCWDTAVADGRILQIVLMFNQTPVVEATMRLEDIISKCKSDAITHIWINTKPNGRILAQTRHLKNAPDDDHPVEDIMTSRSNSGPGIQRRRGAIKHARVHEIRGHQFVATFFRQPHFCSLCSDFMWGLNKQGYQCQLCSAAVHKKCHEKVIMQCPGSAKNTKETMALKERFKVDIPHRFKTYNFKSPTFCDHCGSMLYGLFKQGLRCEVCNVACHHKCERLMSNLCGVNQKQLSEMYHEIKRGTHATASCPPNIANLHINGDNKNNGSLPNKLKNLFKSHQYSVEEQKDTDEYMDNIWGGGDGPVKKFALPHFNLLKVLGKGSFGKVMLVELKGKNEFYAMKCLKKDVILEDDDTECTYIERRVLILASQCPFLCQLYCSFQTNEYLFFVMEYLNGGDLMHHIQQVKKFDEARTRFYACEIVVALQFLHTNNIIYRDLKLDNVLLDCDGHIKLADFGMAKTEMNRENGMASTFCGTPDYISPEIIKGQLYNEAVDFWSFGVLMYEMLVGQSPFHGEGEDELFDSILNERPYFPKTISKEAAKCLSALFDRNPNTRLGMPECPDGPIRQHCFFRGVDWKRFENRQVPPPFKPNIKSNSDASNFDDDFTNEKAALTPVHDKNLLASIDPEAFLNFSYTNPHFSK >CRE10040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:529001:530033:1 gene:WBGene00059509 transcript:CRE10040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10040 MVPVSLRSPIPKLGELPIIFLEKVLDRLDLISQLNLRKVSHDLRKVVDSRKSPIEKIWIKYGSSGISVKLDVWDKKEHRYRRHRMTLESFISILQNRKLYLQEFSIETWCDVDLGICEDCSSQGKQIRDGFNSLRHNLQVKRFRSECHEIDMMTILKKLQPGSFKEWELGCMWHGFVPLHWRIPEEITSSNQWKRLKRFYLDESTVSVPIEHLFHLDWFHVELPLASMDDLMKLRDNSHNSASFKEGWVEFCGTTLKEQAIKERLNLVPNPDPEKQELLFHGIDTSEEGLDIELWSGNIFFYKPYNP >CRE10211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:530386:531655:-1 gene:WBGene00059510 transcript:CRE10211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tut-1 description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:UniProtKB/TrEMBL;Acc:E3M6Y1] MEKRRGPPPCQSKKGCSNPAKIRKAKDGSQLCGPCFSKNFEDDVHETIVNNNLFKVRKNSIQFVVSFSHCSFLQRGERVAIGASGGKDSTVLAYVMKTLNDIHDYGLDLQLLSIDEGIKGYRDDSLLAVEKNRIEYELPLTILSYNDLYGWTMDDIVAKIGKKNNCTFCGVFRRQALDRGAFKIGATKLVTGHNADDMAETVLMNVLRGDIARLERCTNIVTGEEGDLPRAKPLKYCFERDIVMYARTNQLEYFYTECIYAPNAYRGYARKYVRDLEKVHPRAILDLIRSGEKVSVKKEVEMPTLKTCERCGYMTSQKMCKACLLIEGLNTGNTDLGVRKSKKSKKVIVEIEGEKKEEGCGSGGGGCGCAGAADETENEETRKRLKDLQF >CRE10212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:531852:533671:-1 gene:WBGene00059511 transcript:CRE10212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grld-1 MQEERGRSAYRPNCNGLSSYYRERFGSHSPEVYMNLRISGFDDRMERDEIKKFLTSELKKFAPFEIKVVKNPDEDERLAYVNFERNDCARKVRYTMMDRLKAVLGKHVQCDPAGILRDQEGKYIPDRFNRAIHDVKKDVGSSGGNRNRPTKEPSTWRLKNDDDEATRTLFVGNMPSDVKEREIRKIFEDYGKVEEVDIKTPINTDAAYAFVMFQTVDQAINAKAGEQERSLRNGGSRMKIGYGKSQVSRRLFVGGLGDWCDKEMLQKSFGKYGTVESIEYNNYEPYAYVVYENTKDSQKACQGLKGASISKNKDNLIMVDYAKDLTALPEKQQFMRKRRASKSPVGANGPRTPPGSPKDTVRNFEELDATYAATWSGKMALKKTDYPVKFYRVYGAERLVVKLLRDEDDAPLRLLITQRLSLSSQNLLFDKLSLCNSKDLSLGVITGKKALEDLQPLVNYFTNKEAAGVVTVPGGILYIFPFCEFALRLLKEFTPQINVFNENCPFLLGALAVRAGETPTN >CRE10041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:534484:535166:1 gene:WBGene00059512 transcript:CRE10041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10041 MVAASATRNMLHQYGRRAIGVSLLAAVGSTAAFFFGYVQPRHEAYERFFANYDPYTRMKEICAANKGYMHTCPQELAKLYEEKGKTVADL >CRE10042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:537603:541187:1 gene:WBGene00059514 transcript:CRE10042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-1 description:Receptor protein serine/threonine kinase [Source:UniProtKB/TrEMBL;Acc:E3M6Y4] MRIGHSVFFLFFFYVGVFSFDEDIETRTNLFIQQRLIPALKEAHITHVNFTRLRLCHCSTEDGCNSRTTGWVPSLTSNVTEKIFYKNTCYTDGHCYQNARPSPELSHFGCMDSKSLTDDNDFHDTAAKVCSNTTYGSLTSYWVCCNSANFCANETVITLPPLPQTVPYWGWTLITLGVLIFIFGLCYQIRKPWEEQCICFLRSKISSLQTPRDLERNKSNANNRSTPSESQTYDQNHTMSTTLDRDKTACLDGLPPGTRSMLLVLEESDSGSGFGSTKLHVATISKQIRLGSKIGAGRFGTVSRGTFKGEAVAVKIFRDADLLSFDNEREIFETRMLRHPQILRFIGSDRVDKGLLHELWIVTEYHPLGSLHDFLLENVITIDIFNSLITSAAKGLAFLHDGYDGTTNSCKPQFVHRDVKSRNIMVKEDLTCAIGDLGLAIVKPPGGTIPQHIAEKYKCGTVRYLAPEILNSTMSSYEFESYQRADVYSFSLVMWETLCRVEEGEVKARSAETVIPYIEWTVRDPTDEQMTDVVCTRNLRPPENPQWDGNKKIQYVTEIIQSCWSANPTARYTAFQLKTRLDYKISEEEEDNKEQLQQQEQLVQVQDDYEDEDEDEEVDAEVDAQFDPNKRLPGPRRFSMAGIKQLQKRIFGAQSRDIEMVPLAGMASRGNAKVHDQEQLETKNGTRTIENTKDPKDPLLRNL >CRE10213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:541849:552146:-1 gene:WBGene00059515 transcript:CRE10213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-99 description:CRE-COL-99 protein [Source:UniProtKB/TrEMBL;Acc:E3M6Y5] MTSPSPSGNVVVVGSDGNSTVSDRWPPQKPWISPPRVRIDRQFVITAVPHVLMFLLVCIVFTAQQTRISTLERRIDQLAVQMEEQLHSTPSDDNSDDVVKSRKVRNSCMCPAGPPGERGPVGPPNLDESISRKMRAFGMLYSPDGQAIQLRGMPGPPGPAGPKGLRGYPGFPGTPGSKGERGERGPVGPPGFPGPKGDRGVMTGPFGVHGQQQSAAGQLSHHSTMNSGQPGPPGPPGPPGPAGRDGRHGLKGDRGLPGFDGESKIGPKGETGSPGRDGIPGARGPPGERGEKGDTAFLSTYPRGQSVSTVSSPGAQGPPGPPGPPGVCHVSQCIGEQGPQGPPGDPGRTIIGPQGPPGEKGERGERGETGDKGPPGVPGAANLLNGGKALVGPPGPPGRDGRPGEKGEKGEQGLRGDMGLPGPEGTPGKRGRRGRHGISLVAPNGTINEDVKKLLKTELMPLLIEDISELRGKNVIPGPPGPPGPRGHHGPVGPPGERGPQGLPGHSGDRGDRGDIGPPGLPGQPGAAESTGSQSGVRGPPGLPGPPGEKGDLGPPGLPGQPGALGLPGPPGPMGLRGSHGTEGKQGPQGPPGNDGEPGIDGRPGLAGEKGDQGIPGLDAPCPTGPPGERGPVGPPNLDESISRKMRAFGMLYSPDGQAIQLRGMPGPPGPAGPKGLRGYPGFPGTPGSKGERGERGPVGPPGFPGPKGDRGVMTGPFGVHGQQQSAAGQLSHHSTMNSGQPGPPGPPGPPGPAGRDGRHGLKGDRGLPGFDGESKIGPKGETGSPGRDGIPGARGPPGERGEKGDTAFLSTYPRGQSVSTVSSPGAQGPPGPPGPPGVCHVSQCIGVQGPQGPPGDPGRTIIGPQGPPGEKGERGERGETGDKGPPGVPGAANLLNGGKALVGPPGPPGRDGRPGEKGEKGEQGLRGDMGLPGPEGTPGKRGRRGRHGISLVAPNGTINEDVKKLLKTELMPLLIEDISELRGKNVIPGPPGPPGPRGHHGPVGPPGERGPQGLPGHSGDRGDRGDIGPPGLPGQPGAAESTGSQSGVRGPPGLPGPPGEKGDLGPPGLPGQPGALGLPGPPGPMGLRGSHGTEGKQGPQGPPGNDGEPGIDGRPGPAGEKGDQGIPGLDAPCPTGPDGLPLPYCSWKPMDGKNDVWERRKRATLPRSEPISEERASIKN >CRE10043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:557757:558485:1 gene:WBGene00059517 transcript:CRE10043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10043 MSRNNDLVSTNSTSSTSQLESPPRGVQQNFYTINISFNYSTQPNAPAAPEQNPEQLLPVISNLIAQQIRDLKKQEQRRKRRSRRSSSRTSRPSKEDLFSSENDCEPMESTQRDVSMVDDVTQKSSVPLENSTKRMSKKKASKYSNEYQDLSEIQKKVKYRLVPSKNVKAVPEDELPKKKYNNDRKRREYVVLGRLNSLEVVSERDEEQKKEDAKRRSRELAKELY >CRE10214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:558635:559564:-1 gene:WBGene00059518 transcript:CRE10214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10214 MLLKQCSFVLLVLFATWIHAEEEMAIYDEVEDVANKTISGEVEVSDTEADEKEKSDKKREVDENDIHEEVKAELDKAVESLKEAAREREEEENGLLSRSNLESCGAVDCNHRGTCIGTKKTFICACQLGFSGKTCEETVCDSARDCNGRGLCFGTTNQLTCLCNLGFTGKRCQTPI >CRE10044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:560383:561447:1 gene:WBGene00059519 transcript:CRE10044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10044 MSGELIQLSAGQQVQRWKIEKKLGEGGFGAVYRVFDSSGKYAMKVEGANEEIQVLKLEVSVLTELSKRGNRHFCRIEDKGRFKNFNYVVMTLVGKSLEDLRKAGNGGHMSMGCSIGIGIQALEALEDLHGIGYLHRDVKPGNYTIGRPELNEIRKVYILDFGMCRKFTGTDGTIRKPRQAAGFRGTVRYAPISCHMQKDLCRSDDLETWMYMQAELSYGRLPWAHINDMAQVGKQKQAIRKSVDKLFPSPCPREFHDIFNYVSRMKYYDNPDYEMIYGKMRQSFSSTGSSEQAPYDWEAGGPASHVLR >CRE10215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:561919:565288:-1 gene:WBGene00059520 transcript:CRE10215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10215 MFGCCKKKKTNKSLDELKKDIVIDDHEIPLDALLKRYSSSETAGISEAEAATRLKTDGPNALTPPKQTSKWVKLAGSIFGGFNFLLWCAAVASAVGYGMDLSMSVDEEVPKDNMYMAIILASVVTVTGFFDFYQDRKSGNLMDSFANMIPPKTLVVRDGTTKEIEVKDLVVGDLVRFRGGDRVPADLRVTLARGLKVDNSSLTGESEPQTRNTNFTSKNPLETKNLCLFSTSVLEGSGEGIIIRTGDRTVVGRIAALTTQVDSGPTPLAKEINHFIKIISVVAFTVGVAFFVLAVVYEYPLLKAIVFFMGIVVANVPEGIVPTVTVSLTLTAVKMRKKFCLVKKLQAVETLGSTSTICSDKTGTLTQNRMTVTHLWFDGHIKDAELLPPNEHFHGEKRYLEIDSYQKLLRCATLCSRSHFRVPEYDVPLAKRTVNGDASEVAIMRYCEMIRGDGQVDEFRKTMPKIGEIPFNSTNKYQLSIHPMSAKQNILVMKGAPEKILKLCSSYYHEGQTKNVSKKFEKDFQKAYETLGSYGERVLGFCDLEMSTTKYPPGYQFNMEDPNFPIKNLRFLGLIAMIDPPRPGVPEAVRVCQNAGIRVVMVTGDHPITARAIATQVHIIEENEQVTEIVATDPKCDPASDEIYGKGRLKATGAVVIHGEQLSTMSQKTLKTVVTNYHQIVFARTSPAQKLQIVEAFQSVGNVVGVTGDGVNDAPALRKADIGIAMGIAGTDVSKQAADMILLNDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITPFMSYVLFGLPLPMSIIAILMIDLGTDLWPAISFAYEVPESDIMQRAPRNPIHDKLVNKRLVMFSYMQIGAIQACAGFTTYFVLMMSNGWFPQDLINLSEQWDNKYIDDLEDSYGQQWSYESRKALESCCYGTFFFTIVVTQWADLFASKTRKNSLVMQGLENQVLNTSVIFTCFLATFVLNTPFVNEVLGVQGFRLEIGFLALPFAFAIGLYDEFRRFFIRNYPGGYIYKETFY >CRE10045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:565543:566181:1 gene:WBGene00059521 transcript:CRE10045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10045 MDQIPPYEYSKYVFAARAAVITCSSFELLMVLFGSLGDSNFLAKLLYFIFLGGSAAISAHNIALNIDGREEINKVLSSTDNEVRGKSAALVLVPAVAGVFVFLCVSGHAFFSLFVLIHVLASIGQLGIEAYEVSKGSSGGAPAPVTPVEAPAPPPAQDPSVAPPAQ >CRE10216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:568361:570948:-1 gene:WBGene00059522 transcript:CRE10216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10216 MGFGEILRQHYSYGLTSHIKPRCHVTQLSKSSLHGKSRSWKNGKPEHESSELSAVKTKKKKLGFQDARRTDPTITNQLPGQGPHVRALKTSYKCAETKKDTTTPNNIWEFPAIFEVEWTMMMTCTLQEDGERNEGQPTGEGDLIASSKDEKEQSAVLNCFWKTEKSGDAKSSLCDTSDDQNGFCNFHPNDISDIRCYPMRLKFHADVVTFTVQKEERATEVQEFITSRHYPEWTLQRNHAINLQDEFNFIFLTSSMTANQAQISFTNLRINEKQSTTDEIELLLKTKENE >CRE10046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:572295:575295:1 gene:WBGene00059523 transcript:CRE10046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-24 description:CRE-CUTL-24 protein [Source:UniProtKB/TrEMBL;Acc:E3M6Z2] MKRSFLLFLLSLKFCQNQYVTPQYAEIRAVSAMCSSDGITASIDFDKPFTGKIYSLNYAASNDCLYYNNIDRDTVLFSIPAQQCGTKLQRTTRNMIDQMENRVYVQMDKDTQTSSDKQFSFVCRLTDPLKAVAKDDGIGTKDSYQQQPIRPVASSVSTKMHSSLMPIKPSEVRQVAAFSRDSHLGNWPIPGAKPYEPSMKPVSTYPMSPISPDLSAIATAKDTSEGYVTRPISTVGIPSIPPPLFPNLPQIPQRSPPVVARVFTTSTSTIPPIMFTFPTTTTPPLPFPIIPNVHFKQGVGVPFAPGIFPSTKVDASQWNDPNAYATPPTLSNNVVDVTTPKTYREQPPTADAPFSSDNSNSKEIHETSTSSEPKPHSKPEIKHNKQADDAGRQAITSVLKTTQEQQIEPEVTLEIQRGEGPFAPPVTTPIKIGDNISLVVRAKSYLNDSDQYDMFVHSCFATDGKGDTKVQMIDENGCVIRREFASPLHRAKDSENIMFYYLMIKAFKFPGPDDVYFSCTIEFTPMIKAPNICSKLRRRREILEEGMTEMRLFDSVSVELDDGDTNIRKDQLSSSTEDCDNEKHLYILVICILLVLVTLSLVSNLYQYIRAQYKR >CRE10047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:580498:582277:1 gene:WBGene00059524 transcript:CRE10047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tcl-2 description:CRE-TCL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M6Z3] MFPANNNAFYDGKFSSIRKQLISLFSDYYTQYNTLYNNNMNPTTSSNPQPRLSLDSGLGLTNDSFYSTDLNETQLMDITAATASDASTSFQSGPLECSPPTKWARVRTPPNRKRTARQNTKQEDLPPNFNVFSPPSNKKVRKMFSSPTNTMKTPESLRKSIRISSPSPFKVTFSKTPLKLSNNENVTGIHIGRSGTYYNKKVTGSASKRCLLPSKPDGFTFLGSPNSDVLDVPMETVFEGLGDLPTATPSKINAALEVGDDSMDYHQYAGIIESGSALSRYTYSSGPLLESHMRNVKSTPIVRTASRNLLKTNKDTFNVEPTKQINSFNKVVAEAPSNPDKMKEPFLKEQSVKPKRKSSKESVIQKTKEKEMKNWKITPFETPKKEVPLYSGRWLVISTGRTLAQQELFTDAKDFFQTHRPTNPDSETAGSARRIPTIARVTLFNHRYRSHRF >CRE10048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:584531:585640:1 gene:WBGene00059525 transcript:CRE10048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pnc-1 description:CRE-PNC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6Z4] MVHSDNSTETSVSNNNNTVLSNQSQSISEQLSQLTGNSVEESEKVMNSWLRGRQWDLTTSVQFDQEVLSRLRSLRVALLVVDFQNDFVDGSLKIGDGDAGQDPNKAIPPLNELLQLNSWDLVVYTKDWHPHNHISFLSQAHNSDREMDKKDENRTLNFFDSVQFMKPIKTEQVLYPDHCIQKSWGSDIHPEVFIVPKAEYIMKGVDPYLDSYSAFNDNNGLSKLVTSFFSSQLLIFRTELEDVLHRENIDAVVIAGLAYDICVRFTCLVSKILVFENKKIVQHFQDAVKQNFLAAVIPDCSAGLTKKGITESEIAFKKQGVAMISKEEAKEITEGAFLPKEWVRKIAAGKKNH >CRE10050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:587399:589184:1 gene:WBGene00059526 transcript:CRE10050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csn-3 description:CRE-CSN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M6Z6] MSTFFNKFFTGASSSTANADLGSMESLCTAINHLSSGKEEAVGERAAEIVRQNKDLFEKKCTDVEAFLLHCSPLVGSAAMVAAIKGMFDASAAKNNETGQERAVELLHHYVDENNFVGEHLKLVPEIIFPLLRNIGTYCLEKKNKPDIGQKIITKALESMYPRNGSGPKVLTSAHSVLFTCALKTKDYASVEPFIDVQIDEIANENGVHESTNEEQSAMFNIGRVKVSKNQTSPANNAPSQPFLNPKFVLDYLYNGACILIELKRFDDALFLLETLVAIPAFTIQEQHIDGYKKFVLLSLLLNGKVIENTDKVSGTRNLKGKTSEYKTLAEVRFSRSSNTHTKVDELVQNARDKLRKDGNLELAKLVVLEMKKKTIISLTKMFTSIKLSEIQGLAFLKNRAQVIELIDMLVTENRIAVNFDGDMVFWSEVTPVPTKENIETKIRTVDYLNQLLQERNKDMKSGAGRQRPSVLFNDDEGLSMPPVE >CRE10052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:590165:590782:1 gene:WBGene00059527 transcript:CRE10052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10052 MVQTSTLVKVAAGVFVMGSTGLYLAQKSVQWKVRALPHYNESLKIVFEHPKALEAIGAPISVGSVELSDRAHNYVDKTTSRLRIPVTGLVDCGFMDVLAVRENEKEKFETAKVRLYLNDGVFTIFDTGRWNDEKNEE >CRE10053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:590985:593212:1 gene:WBGene00059528 transcript:CRE10053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10053 MAISEWIQALQDVAWPGFLQPHVEVLLLWITWAVDYIDLDYLEYLLWLLLPFFILFIFPIFLVLFIYGCVIFVHIYGHRHQIREAYHTSYWEGARVAIASFWDGVGNVWHGYELRGIENVPDEGPALFIYYHGCLPLDVYYLISKLVIHKNRSLHCVGDKFIFKIPGWRPLCKLFSITSGTVEECTEELKEGNLLCIAPGGVREALFSDPNVYDILWGKRLGFAKVIIGSRTPVIPMFTENCRESFRTPEWGRSFFRWIYEKTKIPLCPIYGGFPVKMVTHLGKPIYFDFDTVTPEEVRKTVKREIRAMIKEHQRLPGSVWQGIAQRWSAPSKKKKTDEPEQAELLQRNGAGATSPMSDTEEIVVLRRDRSGTEDDAEVDELGGI >CRE10217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:593335:594746:-1 gene:WBGene00059531 transcript:CRE10217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10217 MGIMASVASEFYSFSMGYFKCQKDVRSHHESRCKKCIAFFFPPATVSKIFGSSISKQQYLQFIELDMKQLAASCDGADKIEDIVKPLLQKRTLEEIGLDTVLQPKLEQESGPAKFFLETTPEEDVPVPKAEENEKEDERSVKGNNPTIMSESVTKNQGNPEDLEKHEEDHDEKPIFVIKDEQSAPVAKIAAEPQLESIPEHADCMASESQNPIENDLKIDHDIQTATVHIDRMEKEEGNLEEGEDWGWGDEDAEEAPIDEVKEEIEKLKSKKKSGKDE >CRE10054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:595031:595825:1 gene:WBGene00059532 transcript:CRE10054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prdx-6 description:CRE-PRDX-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M701] MKLGDTVPNFTFETNLRKNQNLHSYIGDNWLMLFSHPADFTPVCTTELAELVRLAPEFNKRHVEILAISIDSSETHRDWAKDINALVTGCFNGGNNLPFEIIADTDRKICTELGMIDPDEQNSQGICLSARAVMLFGPDRKLKSKILYPATFGRNFVEILRMVDGVQLGTKAPVATPVNWISGDNVIAQPSLSQERVINELCGGDPNKCKVVPLPSGKRYLRVIVGDAYLQN >CRE10055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:597087:598210:1 gene:WBGene00059533 transcript:CRE10055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10055 MDEFSSSPLYKDNADWKDVTPIYPSKNEEVAVKIAVTEDFIDAFAYFRAVLIKNEKSPRVMALLEDCIRLNPANYTVWQYRRVCLTELGWDLKKEMRYLDDIIQESSKNYQVWHHRRFIVELMGESAVCDELRFCSEVIREEEKNYHAWQHRQWVVRTFKVPLDDELTFALKMLLIDSRNNSAYNYRYFMLTLHDKTEDKDRINIEINLAKEFIQNIPNNESAWNYLTGLLITNGITSDSNVVSFVEDLYETTPEDKRSPFLLAFIADMMLENIENQTAAEESAERAKKLYKTLQSIDPVRINYYKHQSLLAQTMLIKSQTKVAAK >CRE10056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:598402:607498:1 gene:WBGene00059539 transcript:CRE10056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10056 MEQLKMKTVIMLDRSAKFIDDSNETFDIAIREGPKQKKVEFKKDIWTWCMEGLFEMQRVLADVYPLGNIPIRFAVADYMGKMLETEWKNKLLSREELGKIVVEIGRPSESNTDITPVGGLTMAIEALAVETPEQKEYNYDIRYNLNKRTSQNSEVIRVTNELKNTPLFSTVENKGNLILFTRLKTEDEMEALQKEVASLVVSRNSIANAPSNKTFCPITALRVFIVNYYAVGEDCAVKTHPLLEHPELPLLKFWVISRKVTDMCVAIHSLLVASFDLGSTTVTKIPMKEDNRGSTNYDVELFHSGQVHTLLKEKELIAIESKKGRADEGPTYDSIRLTWTTAPKSRWSLFPYHGAAVPCTTAVAYSRPSACLTQFVRDGRCVMLDSEKTSEFGMNMPEKLVSHILIANRGRIFIQEIDFMQKKFDKSRVVRQVKFPKATYGPKDPINLNQLKHTYKQMELKLVAKNRMNEDMTEKREKKWKALKNSNIEKRFSRISKNIPAYADDTFIFQPNVTNKLEPLITMITKKKLTPADVEQCKAKIMKIHQMRALKEFIVPEEEDIELCPVEDLSDPEEQIRVAIVELAKHLVKYVTYSDRHSQIYKTFMTTLGADKLLKVDAEDYEAVDNMFPKSPFFDGEESEDSDSSDSSDFSENSDSEEAEESDESEDSENTLTTFLNNSLDRHRLPSQKRKISKVEVVKEKPIQLAKDIEINIFAKMCDMLEKKDLRSEEIFYREERGCGELGFCSEVSEKKKKTIMPGNTASGCPNFQSSLDDELTFALKMLLIDSRNNSAYNYRYFMLTLHDKTEDKDRINIEINLAKEFIQNIPNNESAWNYLTGLLITNGITSDSNVVSFVEDLYETTPEDKRSPFLLAFIADMMLENIENQTAAEESAERAKKVSYQKNYFFVFHYYSFTKHSNQSIPFALIITNIKAFLLKPCSSKMEQLKMKTVIMLDRSAKFIDDSNETFDIAIREGPKQKKVEFKKDIWTWCMEGLFEMQRVLADVYPLGNIPIRFAVADYMGKMLETEWKNKLLSREELGKIVVEIGRPSESNTDITPVGGLTMAIEALAVETSEQKEYNYDIRYNLNKRTSQNSEVVRVTNELKNTPPFSTVENKGNLILFTRLKTEDEMEALQKEVASLVVSRNSIANAPSNKTFCPITALRVFIVNYYAVGEDCTVKTHPLLEHPELPLLKFWVISRKVTDMCVAIHSLLVASFDLGSTTVTKIPMKEDNRGSTNYDVELFHSGQVHTLLKEKELIAIESKKGRADEGPTYDSIRLTWTTAPKSRWSLVRFLSGLKRFNLIHFKFPYHGAAVPCTTAVAYSRPSACLTQFVRDGRCVMLDSEKTSEFGMNMPEKLVSHILIANRGRIFIQEIDFMQKKFDKSRVVRQVKFPKATYGPKDPINLNQLKHTYKQMELKLVAKNRMNEDMTEKREKKWKALKNSNIEKRFSRISKNIPAYADDTFIFQPNVTNKLEPLITVITKKKLTPADVEQCKAKIMKIHQMRALKEFIVPEEEDIELCPVEDLSDPEEQIRVAIVELAKHLVKYVTYSDRHSQIYKTFMTTLGADKLLKVDAEDYEAVDNMFPKSPFFDGEESEDSDSSDSSDFSENSDSEEAEESDGSEDSENTLTTFLNNSLDRHRLPSQKRKISKVEVVKEKPIQLAKDIEINIFAKMCDMLEKKESQIRREFVGREAHGNKAPLYLQLMEKLEKPASPSTSGDRSERTERTDRPDRIERTERVERIERLRNLERGGSGTPPPIRRPYN >CRE10057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:607684:610175:1 gene:WBGene00059542 transcript:CRE10057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10057 MTDETEALIRVDHVKYRKAGDGRSPIGRLALFSDYVEWRDNASPEVFTCKFIHIKGQRVSPPHKSKVQLQLNLANDEQATFVFLNPGSSKEDLLKERDVFKVKLQNALTQHRKRVNELAKSVESKSMNTELEAKQKILCEDRNLEKLYKNLVYTKTITPQDFWSDYYQQEGVSEDKIGINGAFLANIVQQEGTNGVRLNLNQEIIQAIYTTYPTVQKKNLELVPHEMSEETFWKKFFQSHYFHREREVLPNPKDPFAECVRDDDEEMTKMSAEKVQRKRFDLDHIDDNGLRDFVHKSESLPKSTRNTLIKRCNYLSEKILASSWKSGEASTSAGKEKKGFGVLDRLVVETEGRLESDDLAEKESQEEVQLVRINSSAKATQSVYSKREMQQYKGIVADYFSNWSVEGILHRNEMLLDQQGVEIFETEEALRDEQDGAPPPEIWCQTASELTKMRDIHSSVRELCRHFWKCFPPVSTETEEKLIRMAATLSKFKDEQLSRNKEIDPKNLEHCVQMIDLALQKFESYEMKKPN >CRE10058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:610348:611847:1 gene:WBGene00059543 transcript:CRE10058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sars-1 description:CRE-SARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M706] MQNLNMRRLFQLAIRSRNLKFGLARRYTSTEASTSEENATPPSLRPDLNFEFLLNEKNLEAIKENILHRKGVGDIDRVHEKWAVIQKMMNSGEKPSDINEQKYAQLWNELYDEAILIPNMTKDGVPRGGEENAKKVAEWGEKREDDCLTAEKLVQTWRSLLHPTDASGQRSYVFLGALASLEKALLDYAHERVCALGFRPITVPDIVSGEVTQACGVMQRSDHPIQYTLGGDETHTKLSGTAEMGIAAFLRGRTFQEEQLPIRLVSLSRCFRTEISKSASEAKLYRVHEFSKVEMFVVSTPEQSDAELDYLVEVQKGTFQALGVHCRQLEMPSEELGASAARKFDIEAWMPGRKLYGEVSSASNCTDFQARRLGIKYKSADGTTKYAHTCNGTALASTRTLISILETFQNDKKGIGELPEPLRKRIKQRGGPLRFQPAKSLV >CRE10219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:612069:613298:-1 gene:WBGene00059544 transcript:CRE10219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10219 MSLPFLKFPGLVQIEVIKQLELRDVFWMSLCSKHMKHVIRSAELRPKRVHYLVAYNRIQVALGFLEHNDNVHPLVLVRRISYGDTKDLKKMKMGGATIKSRFIKSTESKNFNYYLEYLHSEQNVVINSLQLHINYIFRNEPRVQINVYCTDSLSLSTLIKNAKDSFVIQRLFSTATLEYFLKRHPTLESLHIKSNFTDEKLQEDAKLWKLDRLAFRNSEDMTPMLMRKFNGRYMILDNSNYSKEFWQELIRKWIRRESYHNLQAVITRTHHNLFRVINWREFWAECNAMKWDGLRRPKHFKFDPKIIDFGLYEPEEIDCSDYIDIQQTGGGKWASIRDTGYAVHFFVWD >CRE10059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:613947:614603:1 gene:WBGene00059545 transcript:CRE10059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10059 MKRLELIGLLFLLQIVLIDAAQGSSAFFQSTISLIGIGVFTVFVGVLLIYACRIDIKHWRKSIDGRVQLEYPNASLAEFQLPPMHNTPEKVSTPLEPEIKTESTQIEKTHEEKTLRIVTAMYTASNRDVPESVHQSLQSKRGNLARPQRTPVTLQTISEKANEQERSEKSTH >CRE10060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:615134:629299:1 gene:WBGene00059546 transcript:CRE10060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10060 MGNKSKEKRNARKRQLSNSKTNEDLEVIEVSLQKKRLLDDCSDIVKTGHAESGEKEKPLEILPTRELLGNQLVAAIRNHHFAIIDGPLGSGKTFLGRYAASKLNLPLHIMQMGDQIDSKTLFGSYHCTEVAGQFVWKESTFAKWLQNPGIILLEDIDAANADVISKIVDIATHRQTDASNSEKNSHFHSDVRIISTMSGKGKKAAVLDGVPMRIRVEQLSDDELKRLASKAFPRIAHLARTLISTFRKIESVPGTGNSRQMTSTDFLRGCARLALLPDISANVESFAELIDVWCLADPKQRSSQLCNIIAASLNVNPDRVHTHLSVRQPEVKYDEQVVAVGRASLPRKMSMIKTGRHRLGHTRDVVQLMERIVVCVSHNEPLLLVGETGVGKTSVVQAVADLIGVTLDVVNVSPTSDSDELIQGYKPTTIGRLMEPFTKFYMDVFTKNFDSKSNQKFIDNLEKCLSSGRFKDYLSLVEATANKALQRKGTNKDERWAELLVRARQIKDGLEKGAAPFALQKGAVLEAAEKGHWLLVDEINLAPPECLDAIVHALSASGTHPNFRLFACMNPATDAGKRRLPPGVRTSIICFRFTEFFVSETADPFQLALIVSAYLPTVSQPFVENLVNFYLSAKQLYPSSYSLRTLCRALLFTADNMFGSVDQSVYEAVSMAFLTNLENEEKGVMRSKIQAAFRCKVGVMPAPKELHNYVKIGGYYVEKGAFLPESDPKYVITKTVKGNLAEIARIVCSGRFPILLEGETSAGKTSIVCHLAKATGNKIVRINNHEHTDVQEYMGSYVADSGGRLVFREGALVQAVRDGSWVILDELNLAPTDVIEALNRLLDDNRELFVPEINETIKAHPRFRLFATQNPAGSYAGRKRLSRALMSRFIVLRFHHLPIDELSEMVRARCGISPNASLKMIEVLNELRNRRSLSGLFSARDGLMTLRDVFRWAKRLSTDETTDDWLQILVNHGYFLLAGRCRNEKDEITVVETLEKVIKRKIDKEALFSLNSPYMPTDVITEKVVMTLGMRRMLVLTEQAWIRNEAVLMVGETGGGKTTLSELVGRGTLRSINCHERTETADLLGRLRPKHDGGFEWSDGVVISAMRDGVPLLVDEISLAEDSVLERLNPLFEEDRALLLSDAGTETEVVESKSGFQMIATMNPGGDYGKKELSKALRNRFTEVWTSSDYTTSELITIFDQRLARVDATKEEARVTPTRTATSIVSWISQFFGKYAHVFRHAPSVRDVVACAELYASAINAQIESPIAIKDALCAVFLDSLAGLTTRLHIDPIGVFDDALTMLHNELVKTELLSQLSDVHQFIQEAKKEAVIDQASNHLNVGHLRISFGPIVPKIPKAFSLKAPTCTENFYRIARGLLISKPILLEGAPGCGKSSTVMALAQLTGNPITRLNLSDQTDLSDLFGSDVPVLLEDGTMTFRWEDGPVLKAIKKGEWILLDEMNLASQSVLEGLNACFDHRRVLYIAELNREFEIPATSNCRFFACQNPRVQGGNRRALPKSFINRFTNIYTNDLTKNDIEIVLNGVDEKNVLTDETRKAMVEIGEQCEKESERGSFIGGPYSFNLRDLLRWYDLLAVERTLGEAFELVYMARTRRDEDKELMRSIYEKTMNEKLITRSVMLTVDEKEMRIGKCVLKRKSTIPIVNKNRLLASQLTLMHRISTCVELNWLTLLVGPRNCGKRAVIENVANICNRLLRTIALNADTDAQELIGSYEQVVDENCLPDAKTKIVEILRKNQVEGVLIEKVQNTEDLNKLEATIELVLSEIGNIDEIRDVLSIANQSSMRFEWTDSVFVDAYLHGDWLLIEDVNLCSAAVLDRLNSCLESGGKLVVAERQNSYEPLEPHPDFRVFLSMDARVGEISRAMRNRSVEIYIDDDSKWNTWPDDVRAVIGSKISTEFSRTISRDLTVEQQLHLAALLEDNGENFSLEIALKLVGGHRDDVMEEDDEDENNYAIVESDQAPKIVEIGGLTGQYEKYLLSSWRRAASITKTANFEAVLMTFIATTPWILHNLTEDLKTFFGDAVVDAVLKRIPAHVLKTNKRHLVDAEFSSGSPTDSTSKFSRAIIFEWILQMITKIPIDHASAEHLSSTLTKCKIITESNLFYSYLCSDEIATCDVTFNNLSQISNLVKSIIEMFKTTSSISHKSHREVCVSISYLLLIAASRRRMTTRTGCAAIYLAWNDLKNEAAKTSGLDSTKSVRTVCDKINEGWTSESYEEFVNEFIHRWRKFSFCKPFSSEEQSQLFVKHIEQVLSEDTEENDVEMIDNNMKDDDETRKEPIAVSPIELSSKLVESVDALFEFLSTGVVDQENEVFKKTNVFSGIDWKDQKSSNWIRTASVFCATVTECKLSDDDAEDQNILVSDFLSIGGGAHCIATRLFFSSLHYNPAMSNLSMAHLQKFPIKELGTKLWRLAVNSYAFRKSLKLSLEKLGVGIEGWTNGEANGIECGIEMMEKSLPPKETLDPVIFEEERVLFIKAKRRIVERQLKYLAEWRELIGRQSSESDASSSQVVIQGLNKLKEELSQLENEDGDKQSVVFRQSATQYNQLCAEMRSFLEVAKSAIDDAKNSDNGEPNDQSMIIRLARIKSFAMSAENFRISILRKFVSFVDVSMPFVAGLLIVEIAFNEIALKWKQEAKRHHATTSSAFPRYLQTTPTSSGLVSNEVTNWAIRDGSPMPLHLKSAVVRRKLAEIENKNSSSIIEIQWTRAQWKKWYEKNIAKAEQKDFVYRTKTEEEKDEMDIEEFFTNQEQDSQVLPDSDVSMLLEAIEQKKFTLVDEKSRKQSDERYEMAMVWMRYVMSEVGAISTEDATWNQCIDGDQKLFEILASHEGLSDDGEVEDTKVVDVYRNSSLRECRRVALILERLASRTREVLERWPEVVALKTIITTIDEFFTVSVSTPHIKVATQIENLIEVCEQWEMMADRANSLRDPLGELRKLLVDWKKMEVRCWSSLLVNCENEAKQRAQLVAFPLFESLFNASTPEMESALIPMSIEWMHNATLVDFSTRVLTVESLSRWARIAGKDALAKQLEAASRHFGIFIERVDQRLKDAREPAERSLKDYLKVVKYNDLNLWNIRVSSTKAHAQLYKIVRRFKDAINVELHDDFGILQKINEWKQKVFEREENGIDKVLVGEEIEKRIKQVKKFATEITDKVAMLCETTAIEELYDQTKAADEAIRTMINYIGEDEEKEKQQGYARNSRQRQIAMMIKESQAIGLNARKAVLLLQEDINKRSILGVISKEECEKEMRVCASGRNVVIQKANKIDQQIGVSTRKHLSGMIEYGMAYMLSLQTNISTLKSQVSKLQSQSEQLQAISENQIVGWIVDHEIVEERAANVTKLISTIQVFITSMKRRLASIPASSDEYIRSKTHLHPLSKLSQADEIAQKLISWVEKLSTLVENMKRTNDRLVSTNSSVYKSDDYIVWRSQLTGDSLELSNDINLFDGYFDSEKIQISKCLEQIPIWTKEVVSSDSVEEVDTDITNLLLLVQKIYKKLECVTKGEEAKSLDQINVLVDILKQSDIQSVIESISIIVQEHSHGEKIRKYVRGIAELSIITAGIIENSVVHLTNCLGNFCVFYHSMLSMTMQLYEKGYVNTIPKAEKQESGDGQTDDSGEGGGIGEGGTAKDAKDVTEEMEETGQIEGLEDEQPADSEEHEAKNDNEKPIDMEDDFAEDLQDIDKNEKGDQEEGEDESDEEPDVEDQMGDVEEEDEKQLDPKMWDEEEKEQDKEKNMDQEQQAAEDQTDEMVAKEDEAQTKEENQEKEGQEEEKDEGCEDDEQENMDERDRENDERAEDQMDTSEPNKDDEIPEKGEIDETEDGDDGDETDKEDEEAIEGEGEEDQSEQQDLEEVDDSEQANEKRDENEDEALEQGTGGEKNDQEENKDVTDGGLAEEEEKEDQEDKDEKGEGKSKSDPNGDGSKGEAPKEQTEKDEKEKKDDEEDDENVERKRELATDDAPMEEAEMGDGEENETGQQIENAEVSNRQMVGKGTIEEARQTKREGKREEEKKKKRELKDALEGIAGDEGGEVDDDEAEETKDAHIHMAAHDMFTVIEETTKDLVTGIIDKTEEHECRTPEKSSIRPINDKADEQWAAMSRTVGLLAAELAENLRLILEPQRANKMQGDYRSGKRLNMRRLIPYIASEYRKDRIWMRRTKRAQREYQILIAVDDSESMNENGIHQNTCESVCIVEDALRRCDAGRVSVCSFGADVNTIIPFGEASASSSIEMLKQMTFSQKKTDLLLLLKTAKQQLDEMRTPTSEQMLIVISDGRGALSQGADKVRALYSALQGVTVLFIVLDSGKKSIEDHTVASFKDNKVVLTPYLSLFPFPFYALVKSVEQLPSVIAESIRQWFEMTTQHT >CRE10061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:629606:630717:1 gene:WBGene00059547 transcript:CRE10061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10061 MSVLEQLKAASVVVADTGDFNAIKEFQPTDATTNPSLILAASKMEQYAALIDEAVTYAKEHAKGHQEIVEAAMDRLFVVFGKEILKTIPGRVSTEVDARLSFDSQASIDRALGLIAQYEKEGISRDRILIKLASTWEGIQAANFLFLESQHGIHCNMTLLFNFEQAVACAESGVTLISPFVGRIMDWFVKNTDQKAYTRKDDPGVVSVTRIFNYYKKYDYKTQVMAASFRNTEEIKGLVGCDLLTISPALLKQLATETEAAPVVLSTSHAKQLDMPKVSIDEKTFRWALNEDAMATEKLAEGIRNFAKDARTLEKLIEAKI >CRE10220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:632200:633652:-1 gene:WBGene00059548 transcript:CRE10220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-7A description:CRE-RPL-7A protein [Source:UniProtKB/TrEMBL;Acc:E3M711] MPSKKVIKKKVAAVPAHIRAQTQVQKEVKNPLFEKRPRNFNIGQDIQPKRDVTRFVKWPKYIRLQRQSAILQKRLKIPPTINQFRTALDSQSARQAFKLLDKYRPESSEAKKERLRARAEARAAGKKEEVTKRPNTVRHGVNTITRLVETRRAQLVLIAHDVNPVEIVLHLPALCRKYNVPYAIIKGKASLGTVVRRKTTAALALVDVNPEDKSALNKLVETVNNNFSERHEEIRKHWGGGVMSAKSDARKLKLERARARDLGKL >CRE10774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2098:2148:4931:1 gene:WBGene00059549 transcript:CRE10774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10774 MGALVDHPDAGLVNFSKSVMKSFQFENKSNPAKYHEYLVASIPDRIKILKAGSSVVTEQPNWDQYAYFKKELRKLMKKMSEDEDLKHFFRYDVGNATDLARSCRFIAQRIVQGRHEDGTEGMKKVKADKQRRLKKISGLEEYAKVFIPPQIRIKITDNITFNDLDVLPVYSDLENETLENLDIPDVLTYNYIDVNFVNIIREKQLARAITLSEEEQNHIKCDCHSDQESLIPCYENDACPCYIMNKTLMKFQVDNGEEPVKFKTFEPITFNNIKNAFYNHVSFACSELCKCSGKCSNNPLFLISKRIFPIQIHRVDSLVGFQPFSPVFIPAGTPVALFTGELISREYLNEKDVDYSYQITYDNDAKWRAFVLSMRNQFSEEYVQILVHLCSLNYYINPKHHGNIGRTAGHSCVSNMDMMKIYQKSLTPAHANLMMFTLEDIFPGTTLTIDYGYAFADKLHSFCKCGTFACINNPKGEPFETLNSFSVSGCLCQGNPRRKKETVFEKRGRTFKKRNGKLTQF >CRE10064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:652539:654766:1 gene:WBGene00059550 transcript:CRE10064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ell-1 MLKSSTSMHFSSNSYGHSPRDLLTGATVSNGAGAATTSPPTPVNLDVQRIDCTEGTTVVMVKLTDEMFAALEEGQRSGKKLRIHVDEREGRVEIGDGTASSKTNNTFLFHKQTLPDQTDTLIQSGGGVRNVGTYKTKYQVQATDKSFEETKKRAEARAEVEKSRGTKEMKKGGMTSNSRHFNSSSSTPRNMGSSLNAGSNSSRVSPSFISSKASTSHLPSSKGTPNGSDCKKIDMKPELMKKSIKKRIIHLVVTQKYSNWEEVYKKLKADGLAPEKDEIEKIRSCVEEVSETRPEMTILSLRSSFLSEVDQRWMFFNQDEKAHVRRLCQSSSRVVQTENNFAPMRKKGMERMTASSKTSSEKDKVKEKVEEKIPSPPKELKRVEPEEDDYYPAPEPANKRRAHNLAPASIPPQTMSSQTEGPIPLKRTKRNESASPPEDPPQKAPEQPKLNPVKLASTIAMQPVPKFEQPRDYHTVASVAANGGGSTASSRISSPASSLSSPSQPSCNWEKTFGDIKSLTEAESYFHMFHKEYPMYMECHKKLTEVSSEFRKLEMKLVTAVNQRKNSPTEQHNQEVKNVERLIQNRYAFYEKDPEFMRARQRHTDLRSKLNVLKTRIGSWESIRRQSTTA >CRE10221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:655967:657377:-1 gene:WBGene00059551 transcript:CRE10221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-185 description:CRE-STR-185 protein [Source:UniProtKB/TrEMBL;Acc:E3M5Z2] MQLSEFWNIFLKTVQYLSAFMSVSLNCVLIFLILAHSPKKLGLYKYLMIYISSFEALYSIWDVTTEPMVHSYKAAFVVFRNFKHSDFDREQSFILIVIYCGCFGFSLAIFGVHFVYRYGAVVKEFRDKWLGGNKIYILFFMPIFYGTWWSILCYLYFHFDSSTDDYMRRTIFDGYDIAIEDTSYIIVLFHPIDKYGNTYPDIAVFASIACMWFMILSSVFCVFFFGLKCYIRISDALSKTCNVSSVTKSLQQQLFQALVVQTVIPLILMYIPIAILFTFPMIAVDIGFASSFIAMTIAVYPAIDPLPNMFIIKNYRKAVFAFFALIFRNPCSSLRRAQCTQVELVSRSGTEQNIGII >CRE10065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:658432:660313:1 gene:WBGene00059552 transcript:CRE10065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10065 MYSIDSSFCCVESKKGNLWMRSEWIFQQTIGRMKHQKMHRRKTIVLFISFLLVLLLFFQSERVKIIERTFILEGPTGSSQLYNSCFVPYWNQVTTDDVTHSDEFRKWAATGFGGNNNLMDGESRLLSAFVYPEDISIITTAMHTFGKQATCRYYDCNRIEIHSARFESRVWPLAVISCPRRFGAEFVSVSFGNEEESEEFREPIPLINRVYEKPIHELSVCVGPLYGNESKWLEIIEYVEHYRLLGTSFFYFTLFNMNEYDRKIIDDYERLGIAESTKYVTEYLRLGWMSHLIQTHECHYRSKFRSKWVVNMDIDERLIYTGPFNLKHYLRSMPSNIGEVSFTTNRVLKTEENPSKYVSESQLFSELMFLKYNKTTEISWYNLKGIIRPEMVALLFYHWSFFQFEGVKVMSVPKRIGHVRHYRNIDTTALNGNWMENYDGKLRITRLSSSFEKKLIMAVRRKVKYVYDQRGIRCEEIPEWLSSRYKRELLDCKFRYE >CRE10222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:660594:663156:-1 gene:WBGene00059553 transcript:CRE10222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10222 MTVNYSLDAATASAWAVLVVIFLWRGSLWKLIWREMLGWTILMALLSCIYVYGLKGTESERYFQVLFNLTEGVPTDGTLMFLMSYMTHNSLTRWSETYKCLGWPENVALLYKQYFNKNAMSKHEGRLMNQTMARYLTVFYILLFRDVCSDVREMFPALDDMIHPGILTAEEVNILQSSRLDRGSPHYWVPIDWIVNLVKRKYRSPYIYDKNGRRRKNPKESIMSEVEYAKFICELNKLRGKLGDVLSYDWVPLPMALLQSLTIFVYSTLTVNCFQMQARIITSGKSGLWEMFVECLSTLPFSMLHLSFLRISQVIINPFGRDDDDFETQYLIDRHIKVLNEILVPEESRKQK >CRE24529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:2875:4314:1 gene:WBGene00059555 transcript:CRE24529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24529 MKPLWFSLLILVPYCIGQRLTREYCLKRVNGFRAELAMERQVANMNELVYNEALEKKILDQLSIINGCPKRGMKITHDGFNVYLPVKFIPNDLGAPGMTAIACFEAFCMENGEKFLSIVTDHSDSSPISGTPGSQCPSGRTPGSDKLCSLRKPKVHSAYIRKGSRDIPKFSDESQEKSRNQYVRKNILGDYPDALKNGILVSYGPLPPANKGAYMRKSGWDLQSEWKSQNEEFGGFYDVWYLDGGSDYSQEADNDFETTKDPLDQSFPGYLAVEEPVSTTTDPHGDNDDDGWFIAVDNDFETTEDPLDQTDSGSPDVEKPVFTTTPFPKDNYASYIAELSAARKELSKAAEKINCKPPAAPFPNDHYALHVADLQKKKEDSDTLQSNIDS >CRE24530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:6938:7846:1 gene:WBGene00059556 transcript:CRE24530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24530 MKSLLISVLLLAPALICLVNGAQFTRGFCLQLINNGKSVFAEEYHVANMNGLSYDKKLEKKILEQLSFTNGCPQPSIISHKDFDIYLNINNEDSIIDLLSGVGKTIMACIKTKCAESGEEFISIVTDYSYVTNFFSFQLLVFSDIPATSGAPGSQCSDSRSVNSDGLCIRGNRRNGYNRKIFNAIGGLLKEVVEKTGEIGIGIGQTIKDGATAVGKELSKTVGDSETTVVHETRVVSEGETSSSKKTVADIAKEMLKGVEETRKKLGQSGRKYVRKGFVDDIIAITLPPFVPHPKYQEKEND >CRE24531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:11672:12580:1 gene:WBGene00059557 transcript:CRE24531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24531 MKSLLISVLLLAPALVCLVDGAQFTRGFCLQLINNGKSVFAEEYHVANMNGLSYDKKLEKKILEQLSFTNGCPQPSIISHKGFDIYLNINNEESIVDLLSGVGKTTMACIKTKCEESGEEFISIVTDYSYVTNFFSFQLLVFSDIPATSGAPGSQCSDSRSVNSDGLCIRGKHRNGYERKFLDAIGGLLEEGAKKTGGYGLGIGQTILDGTIAVGKELGKTVGGSETKVVHETRVVSEGKTSISKETAAAIAKQLKEVAAEVAKRAELALPDRKYVRKGFLDDLTPEMKKYFGRTDPPIIWN >CRE24577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:26685:28383:-1 gene:WBGene00059558 transcript:CRE24577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24577 MLSNSLKKKLSDGITKMTKMAPSRDVAAAKMKETVSQLQNGFDNVKTACKQKYTTELHKRWQEEQFQASKLKNAFNDFKTQTTSKFMSRDDYNTLLNKFKSKIGPSNSNSMKSDSISRAEHEKIVESLKLKFEQREKSTPASNEDIRILRNYIQGLFAKQTKQTELHHLERKKEFSQLIEKIEKVPNEVVKVQKRQFWNNWMKRIPVFPLFVKNAFTIYFSAKTISWMYKFGLPVIGTLLLFAR >CRE24533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:30048:30389:1 gene:WBGene00059559 transcript:CRE24533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24533 MAEDVSYILETKAKITTEVQVTSEVKKTSSDVVVKREDDEESDNEDILALPTTTVINRKKVLAKANNGALPDNKVISQMIGKEESLKKFAXXXX >CRE24534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:32664:34632:1 gene:WBGene00059560 transcript:CRE24534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24534 MSYSTRRSTSKAAPVEEPVEEEKKDEEMESEDEKEIVGLEDDEDSTPPVLQLESPTQEEKKDEKKEGEASDLATVLRNCFGSLMDKKKKKNKEEDVADPFPKDVDPLALLNKQQAGPSRAAQIAHAAKVGKGPQRHLQPRSYPVPIAPARFVVGSNTTKGPARLLENRKREMGLAGLRPPPMVVKKATPLATYNGGHPGQKFGRPSTSSGSSGDSVSPRSSPPAIVDIQKMLGATPPVKLEMKRDGCHESEKKKEFEELQKETLSRVTKDSSNHTVLLGTMVLNGLNQLKERGGEDYRAFSKEVFGLLQKYKIQ >CRE24539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:44118:45902:1 gene:WBGene00059561 transcript:CRE24539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24539 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MVA2] MVFVLNITNDDDLVVFECDSSYDPWIEILKCILQISYLIPGGKSYLNFILLFTIWFKHRDTYLTSPFFVIYSTDCFVSFLMIFCDIISRFIMYVPPLCPILSPYFFGPQIFFKGVMIVVNHSKTCKFIIQSLLVLNRMTCVMFPTSHIKMWMKRMKWLMVLIFVTPAATDWNLVISRVYMQPLFGGFASEYTRKVPWAKQSRFQLFFISVALFFTITCYSYTLHSLITLPHRIITAERTLTIATAYVSIGYVVLAGMQFFFAFFPYLLSNAFFAIALLSYDFLNVGSPVIMIVVTESLRHHVFRSKPAEQENQSHVFTVIKSRRLVSYLRIKTKFSGFSVS >CRE24581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:50015:52524:-1 gene:WBGene00059562 transcript:CRE24581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24581 MIHLESLICYACQTEHTRNLFDEKERRAVVGTCGHSVCLTCSRADKNCPLCRKPDAFIHGTVNYEAMRQIEEFKTKAFEIFGKWWKTLVGLWDFGILEICISLLQETGIGVCSSCSSEEVLRICVTCQQAQNLKDVNLTNFFLCHKCADEKIERSSGGGTVHYCDKIEAKEWMRGAQNVQCYNCQQETDDPHVCTSCFHASTNNINVDTFSVCADCVIENHRGHVTTKTAEDYSIRHNTVGIVEKLLMIKLRSLEEKIGMKCKLRQMRLNLSAREALYWASCHYPLYTEEDCPEPKLIGRPKICEGQVEDILVNVKKQYGKLEMVESTCPCVEIWNEIVRLNIFDIHRLPPHFLAMAQKPKSEEDLDGCPYDFETSRKYREQLLTIIEKGEVLTEPHESIYVLYDN >CRE24582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:53031:54415:-1 gene:WBGene00059563 transcript:CRE24582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24582 MRISRSNLQKALIYFHNLQKWPKELAEEMKTCCYVKKDFITEVEEKSLLTEVEPHMKRLRYEKSHWDDAIHLYREREQRKWRDENLEVISRIRSESFGVNTEHLTYVHILDLHKDGVIKPHIDSIRYCGDVITGVSLLSDAIMRLRHKDRKEELIVDLLMPRRSLYRLGGPGRYEFTHEVLGESESCWEGEKVPRNRRISIICRDLPKVTNRAKEEEIQLKPIPEEN >CRE24583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:54650:58718:-1 gene:WBGene00059564 transcript:CRE24583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpt-1 description:CRE-CPT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MVA7] MAEARSAAALSFAVTHDGVSVSYDQELLRDIWHGISRSYKRRTGRFRNDFMNGMFPANSWTLGLVVGAVAVFSVIKHDLSFGIFPFIQNYIVHYVFGDGYLGQSISIAVAGALLWLIFVQLLRLSIKTLLEYKGWMYESPGKPVSKATKLWLGVLHIISKAGPMLHSFQGALPRLPLPNLDDTVRKHLLSMKPILSDDEYQELEFLSERFRKGVGRRLQRYLTLKSWFSSNYVTDWWEEFVYMRQRSPIMINSNYYGFDTLNEHPTKNQAARAANLTYTSLQFRRMVDRQEVSPFSISPRTKVPFCTMQYERLFNTCRVPGEEVDRLLHWDDAKHVAVYCRGVWFKLVVHNGKRLLDACELQLQYDAILAHTHEPSEGEKHLASLTAGERADWAKTRRAHFRSGVNKTSLNDIERAAFVVILDDEETHYDPEDPSKLDHWAHNLLHGKAHDRWFDKSFNLIISKNGHVGINTEHSWGDAAVMAHFTEWSLLQDIVFIGYDENGRCKGEPKEKLTPERLKWDIPAPALDQIRKSMEVANALIADVEMSLLVWTDYGKGFAKKLKVSPDAFLQMTLQYTYFKNQNKFSLTYEASMTRLFREGRTETVRSCTVQSSDFVRSMLDDSKTPQERLQLLRRACDKHQELYRDAMCGQGIDRHLFALYVIKRYLEEESPFFDNIFPPTYLLSTSQTPMNQCEADAKGLSNEVRLRLITAGGGFGPVADRGYGVSYIVAGEDTLSFHISSKRSADNTSSREFREELKRSLNDMKKLAEALDAPKKAQ >CRE24584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:64406:67782:-1 gene:WBGene00059565 transcript:CRE24584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24584 MGVPRKEPAEFRPIRVEDTDEYNNYANYDLAESADEMNDLARRISVEQLHPIRNKNAKKMKMAQKPRRFDEIDTDGVNVKRNMGMRKWRRVEHARIMLTFTDLEDICEDGSDIASQPTTAFDKLFADKENMKLWNEFCSRDEVEQRRILNGTSSLQKPSVVDTPTTSKGTPATSCSGKSPTKKPAKRFSPYSGAACFDRIDYKSRMALLGRKVNWAFVDFLEKELRNVFRIDARNQQDTEAVFIGHYPASSDRLLAHIVAQWLGLSSQSITDPSLKERITEIRNRRPLIPPQTTLIAHLEGRVLKRDIDFVPFSMRDEKQEVEGENEADEEQKDSDPDMSFLVDELDELNISSDSGSEESWEKISKPAEDPDA >CRE24540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:70383:73313:1 gene:WBGene00059566 transcript:CRE24540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spds-1 MDKLHKGWFTEFSPDDLEKMNSSGESQESSSKLLKSDGQEMGGAWPGQAFSLQVKKVLFHEKSKYQDVLVFESTTYGNVLVLDGIVQATERDEFSYQEMLAHLPLFAHPDPKRVLIIGGGDGGILREVLKHETVEKVTMCEIDEMVIDVAKKFLPGMSCGFSHEKLDLYCGDGFEFLKNHKNEFDVIITDSSDPVGPAESLFGQSYYELLRDALKEDGILSSQGESVWLHLPLIAHLVAFNRKIFPTVAYAQSIVSTYPSGSMGYLICAKSENRDISTPARVLTDDQVKSLNLRFYNSEVHKAAFVLPQFVRNALEEKK >CRE24541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:78679:80684:1 gene:WBGene00059567 transcript:CRE24541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24541 MNQFSKLRQNGSSREDLSDSREANGTERDPIVIEDSVEKSRDYSHVVFKKGSFGGSQANVGTTTPAFSQPIEAPVRTLFEAPQEPVRRRMLSGGRTAADPEIYEKEAKAKALRRRDDAARSLASKASEECEREEKEEEEKNDVEAEKEQDVEEKEEEKEEDVQKKMEAESMEKKKKEKNKNERMRVKLATSRINQETDEFQLECAEKRHLLAAIKQDEFIKDSQEEKDMEENIRKIKKQTQKRRLNQELKKKEDAKKDEKEYETIRKLKEETIKIEKKTARLQLESARLVLEKAEKEKEEKEKSLKKRQDDMEEDSDDGGQPGPSNRLTRQPSGRASVPGRSSSISRNVKKEVEEEKAVKKNLKRRLQMEDESDVEKDDQPGSSSQEATRSPKSPATPKKKPTSQRKKSLKKEKKAKTEDVYKKFYPNQSKRYSS >CRE24587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:84737:85429:-1 gene:WBGene00059568 transcript:CRE24587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24587 MKSLLISLFLLAPAVLCATDFTRGSCLQMINKGRGKFAEKHQLANMNALVYNKKLELKILEQLSLTEGCPQPSIISHKGFDNHLNIKNDNSMIDLLSGVGITSMACITTKCSENGEDFVSIISDSSDSPAISGTPGSQCSDGRTVDFDGNLCKVKNNRDGYVRKNLWDAVVKLIIPTKTIYVQTTEYRVVHVKVSAPSIIPSIIIPSTILKLE >CRE24542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:86509:87782:1 gene:WBGene00059569 transcript:CRE24542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24542 MSDKTSSKQSTLKVDDDRMIVVETQMSVKERRKKVKKFTKLIACSVKVEDETRLQLGELTAKCSEQEADDILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAAQVQVEENLLTECWNEEKEMLGEQIREIQREKEMLGEQIRKIQREKEVAEAQVRKLEKALTKLRKKLEQEERKPNGLRDEAQEIAVETVTGDEKTSSEEKKVFMVGNLGIHVENRNPYRETAEKSKEIAVDTGMEEKKEGMKPKKTVIRESEILITPRIYRKSVVKTWKDKFDFANVESIVFLLELTEDEETNQKLGELVRKLAEDVEEITIIPYKMDDANSGLVESWKRSWITAGNVEWIDSPASGKTIEKFKTLEQLLVFLEESTTENVVVAKLRKESVTSEPWTKENKWSHQ >CRE24588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:88488:91021:-1 gene:WBGene00059570 transcript:CRE24588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24588 MPKTKCRLNHSILLLLIAQITISDAVKCHDCVGLNCMGSFCEGDYCMVSQYAPRWGYLPWIFSTVVKGCVNGSMLAKDIRDHCEYAEGSDEPFTCFCNGKDLCNTRGKLRNVESEDVELVQCVCDGAHCRTDEKTCIGEFCTYVINHATKQVEKGCTNASVPLIERRAIGSCMTPPITGAMHHTIAKDLPNLLPVESCICGTDYCNSEKPTPTVPEKEKCTAFIEMTTMGTTMKSRNISCSGEYCFTATIKSELGIISSYRTLGCASFTGEDPLPEELNPTGCAVFSSENVEVETCFKTSDKSAVSRALASKQIPYKWSLRKYQTLKAKQMEINEEIKEEEKEKDEEIEEEEPTQPPIPDDSNTTMITVFVLIIICILGSGIV >CRE24590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:94453:95127:-1 gene:WBGene00059571 transcript:CRE24590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24590 MKSLLISLLLLAPAVLCDPRSPWKIHLETVNRQRSKFAEKYQVANMNELIYDPKLEKKILEQLALTDTCPKPSIISHNGFDFYFDIGNVDEGKSTVYDLVSAVGKKTMASYISTCMKTGVRIISAVTDSSDSPAISGPPASQCPDGSFPNGKLCKLKNNRDGYVRKGWFDPIGLAVEILAKKTDEVYEGAVEEAAKVLVDTQEILDG >CRE24591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:100563:102448:-1 gene:WBGene00059572 transcript:CRE24591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24591 MVARIMNAQVLRDWMEKDDKYVESVKNKLGEEISQNFRWQSSLQDPLWKSHAALIYAAQDENMVAEICNSPFKLNQLQFNMFLHKNRNTFKPIEPNAPYTFYTFPNTTKDVLKLFSKFQLSSWWGYNVTMIYNVEFKYQVINKQGSYKIVHIVVGGGCTEHGIVNHEYTDVGVQKEALKALKEENNVDVRALFDVMSPASVKYLEQTDHEIPSLWLDALEKNDEYLEAGVCSHNEETKFPAYTGKMIRSWYRRLSQMWKPPNKTDTDYVTVQMLSVHDTYMTARITMRLEIGLREKASIHEWNFKIALSFNKHGDNHWYITKFHALCPATIDYMQESLKHMPELITENFLEEVRGRQPPVRWYQTVDFIQKFSMNGYVEVNICEAKNATNLTQIRLTMMYDYGLDNASLVLYNLDQSTIKFPATESTTFEMNTVTSPEPAKPDDAIHTHKHSQKWTFHLKWHNRDQFYYMQKLELTCPEWIPTGVQVWMRIG >CRE24543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:106202:109045:1 gene:WBGene00059573 transcript:CRE24543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24543 MRDPKMVARIMNAQVYRDWMDKDDKYVESVKNKLGEEISQNFRWQSSLQDPLWKTALSDPLWKSHAALIYAAQDENMVAEICNSPFKLNQLQFNMFLHKNRNTFKPIDPNAPYTFYTFPNTTKDVLKLFSKFQLSSWWGYNVTMIYNVEFKYQVVNKQGSYKIVHIVVGGGCTEHGIVNHEYTDVGVQKEALKALKEENNVDVRALFDVMSPASVKYLEQTDYEIPSLWLDALEKNDEYLEAGVCNHNEETKFPAYTGKMIRSWYRRLSQMWKPPNRTDTDYVTVQMLSVHDTEMTARITMRLEIGLRENASIHEWNFKIRLSFNKHGDNRWYITKFHALCPATIDYMQESLKHMPELITENFLEEVRGQSPPVQWYQSVDYIQKFSINGYVEANICEAKDATNLTQIRLTMKYIYGVANATLVLYKLDHSTIKFPATESTTFEMNTVTSPRAQEPYGWLYSQKWTFHLKWHNRDQFYYIHKLELTCPNLYKTYLEFHDIQSFIRFK >CRE24545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:111194:113115:1 gene:WBGene00059574 transcript:CRE24545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24545 MRDPKMVARIMNQKVLDDWMEKDDDYVESVKNKLGEEISQNFRWQSSLKDPLWKTALRDPLWKSHVALIYAAQDENMVAEICNSPFKLNQLQFNMFLHKNRNTFKPIDPNAPYSFSVFNTTKDVLKLASKFQLTSYWGYNVTMIYNVEFKYQVVNKQGSYKIVHIVVGGGCTEHGVVNHEYTDVGVQKEALEALKQENNVDVRALFDIMSPASMKYLEQTDSEIPSLWLEALEKNDEYLEAGVCNHNEETKLPAYTGKMIRSWYRRLSQMWKPPNKTDTDYVTVQMLSVHDTEMTARITMRLEIGLRENASIHEWNFKIRLSFNKHGDNHWYITKFHALCPATIDYMQESLKHMPELITENFLEEVRGQPPPVVWYQSVDFIQKFSMNGYVEANICEAKDATNLTQIRLTMKYIYGVANATLVLYKLDHSTIKFPATESTTFEMNTVTSPRAQEPYGWLYSQKWTFHLKWHNRDQFYYIHKLELTCPNLYKTYLEFHDIQSFIRFK >CRE24546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:114241:114912:1 gene:WBGene00059575 transcript:CRE24546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24546 MKSLLISLLLLAPAVLCDPRSPWKIHLDTVNRQRSKFAEKYQVANTNELTYDPKLEKKILEQLALTDTCPQSSIISHNGFDFYFDIGNVDEGKSMMYDLVSAAGKKTMASYISTCMKTGVRIISAVTDSSDTPAISGPPASQCPDGSFPNGELCKLKNNRNGYIRKDVWDDLGSAGKIIVEKTKEQAERKIEETFMPWRTWGRLGR >CRE24547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:117045:121128:1 gene:WBGene00059576 transcript:CRE24547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24547 MHPNYNQRGNQGPGFRGAYHEHREGHRGGHRGGPWRAAPRSHPYQRNRGYQGHHNQSSFNRRQEEFNYQNYYQDQGRFRHAPSQPYRQHDQQLQHPPMEGYNHFSQRNYQQVTFNHDEGYRHPFEATPQFHRPPRFEGHVNPNREVGRVASHRPPPRFENQQRHLQEFNRFNQSQHVAPSHHHQNNHRFVAAIQHGDYQNPPHRQSGVDTTPRPHQDPHQRVEDEDLRVALFPTETRASDWVVPPSRDSRVASHSEIDEEFQPHEVSGAVLEYRGTPSTQTSSSQACEDSQSDASSEFKHPLPFSDDDGEDEPEEQEDDGGSEVPELRNLSPLPFSDDDGEDDPEEQEDVESEPERYFRMLSSGNKDEEEDNRNDLAEADGNVTFELNDNESEGNDTSMVAQFEEEEEDFTSMDYKKDKIDPHVSNKSEEFEVETPYEGEEDDTPSSSEDAGDQFSKFREFSKLEEECDNLLASDALSPSEKTGLELDKWQAKLKIFLEEQGRRFAQNGVDQIKKINEKIKKIIESQRPQPTSDAPSLEPTRSSSGMSPPGRSHQRFPPFPRNGTDEEYLKGERFVRINLPEGVVVGKRFFVDGASKSAGKNSSHPSTSSQPSSSKTSSEPAQKRIIIKFTPEQVEKLNRRFSESQSIKPEERTKMGEEMGKEQIRNRE >CRE24548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:123652:126419:1 gene:WBGene00059577 transcript:CRE24548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24548 MSSVFNVIPQSQNEENVEPMNNFKEPSIDASPNKKDDSSHQVNHQDSSKIIESPKPAEDGFVNLPSPSPKMTPIWTGGVRGSERVATKKSQLEKLNGPLQENERPQMKPSTSVVAPAPKPPKLHPVWTGGVRGSTRVAEKMSVLELEVEKQRAEEKIKALKKLPKICRRCAEAKRNKRKLSTSTPSDPVERIWNRPIPDFSDSKRWVKIKLPEGVEMSSSSLESWNKKVEDLKVSLEAVMNSNPNSPEELERNREILEKGQAVIRASNVFPQRKGRSSSSSSTTSSESLSWPQGNQMVPQMFRNEQEPTSYASNGPNFYQSHRSLQHPEFSNYYRWNHFYASLNPCHPQYPTSYTMQVLSQPKNLNNTSRFSNNEHYSSHIQQQLTKQQRLQKWKVIFERNPFPSAHHSMSLMFSIR >CRE24593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:127544:128969:-1 gene:WBGene00059578 transcript:CRE24593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24593 MNKNAPSVFNQNQDFAGVKRSGNDGESPALSNGSERTNTSSFEQDVKIEPTSSPPVSPFVGRAPLPNSRFSEVICQAREEHAKVSYSYTLLTDKFDFLEDKMIYDRMVLTNIVSSLEFEKSQREQELEKKQENLQALKETGLQAYGTEKSEGMFDTQESRDKMRDHLNASLLALEKVNGLAIEIENDKNNMDGIFKKLLETKKSLVSNTDSLKTCSETIESCRYIKKMLAEKRDEMFSLEFTQKEQVAKELIALCQKVMNVRNNSDEFIAFVKEQKNMAVPLKKRGRPPLSEGGAAKRAKAAK >CRE24551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:139953:142011:1 gene:WBGene00059579 transcript:CRE24551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24551 MNGREDDDNQSDVSDYEMYEDYAPVPPLQTLHTPPPAVRRLDFGYRVLFGENEDDVQFVPPQRHLIDEGFEEMEVSDDEGHFGDLELYPTSRRLLHRSNDYGTEEDIQRLLLETAQEGQDRTDGLQKDKEKAQGISESDAITDEEYQVLLHQLSIEEAKKRNPLRHGLLPLSNPEEPASQLLPVPPRRPRTEPSSGFSFNPSPSSSFSESLPSTSGYSEEDARRASERFEEEMFKAIEESKNRKRKREEE >CRE24552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:143350:145242:1 gene:WBGene00059580 transcript:CRE24552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24552 MENNHQAESQTGVFGLNFNKLQEVVSKLSIRDRTTHSALFENVLSSSECLSHFQSLCCRRNEEKVQKKLVEQLAQKVKDEDLEIDSKVEDIETMKELVCAQITVPPGAESGTVLMKLIDSKKKTVEIIQTFNEDLETMKNNRDETSQNLSKEEMRLIELGREVAAAQQLYEESFQLFLILCKKQETLFHAKAYNDETARTALESCCFQATERRGALLAKSSVSNILPAEIQATQPVGNGSLTSGNGSLTLPESEEGSSLPAVNGSGNQLNVSDSVSDSGEAPVSAWNMESAQNDGILGEPSHAPSCPLPTSVTDSSDLSNALEPVAAHQNSERGRVSKSKKATDDASGAENVQEPDGSSKPKKPRAQSKKRRF >CRE24594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:150869:152631:-1 gene:WBGene00059581 transcript:CRE24594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24594 MPPPASTLMAQTPNTPFPAPLLNQPVAFGPLGDPANEADLFRPIITDTFEKLRSPQILNNRVQFTSNLVGFLICYHHRSIGAQSILQMELNTELCKTLSAVSDQQQNPVPPTFVLTSETAIQCDELELSFSNVGTVSFEPTPGCSTSGGNHQRKTEEKGTQKEEELQIHWYNYFKEKFAAGCEDFKAIKKAEYMDNLKKMDAEYTEAILERDSEIELLKAELLRKRKRETTGNGETSDAKKTRETSECPSVPSSNNSCLHK >CRE24554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:154762:158450:1 gene:WBGene00059582 transcript:CRE24554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24554 MLVGPFGSVTQSTTLLSKPVMPIASTEFPGDDQASASINPADSNDTAKIADLVYTGPLMSRLHMMKLALGLCGAEAQPDISRVLCESRRRSAKPSEFLAKSDASKSQTGRLLHKPNSCVKPPKKLQKSDQRPSLDLQTGTPTDSTLTVNFLNKIPLTSSRMISVLHLFHLPQVVLFEVFRFLKPNDLIPIALCSQRAFNLVRVNWKKSTKALIWMDSRLYFSSHLKINNIFYNLLTVSRIEDVLGNQLELVQIKGSKVPIRYNRERNSIETYWDDVCYGFKAVMEFVTELFCSDIHAVVFEKNSFWCVEWAQSRQKRLMNAHIYQDQYIENSEYRTIMNSCTSENLIMHAYQQGEKCLNETSFRIRNHLSIYCGRWVQVEHLMNMDCVEIEVQQSSLKKSDVTYFLKNWLNGGNSRLKYLSIATQYFGIDLFCQEEFPENMVRSDREMEYERPSQIYDDQATPITAEIPEKKKKAGEKAVEKIEVEVD >CRE24595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:159001:168797:-1 gene:WBGene00059583 transcript:CRE24595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snrp-200 MADELARIQQYEYRQNSNLVLSVDYNLTDRRGREEPTGEVLPITDTQMKKMKMGDRALKSKAPVQDQKKKSRRNKDDQSKAPQLGKGVLVDNHELTGAYKPRTQETKQTYEVILSFIYDALGDVPREVLCGAADEVLITLKNDKFREKEKKKEVEALLGKLTDDRIAVLINLSKKITDFSIEEENRVEGDEIDENEGVNVQFDSDEEEDDGGMVNEIKGDSDESEEEEGVDTDYTATLKGDGHLTEDEQKARGILHPRDIDAHWIQRSLAKYFKDPLIAQQKQTEVIGILKDAADDRDAENQLVLLLGFDQFDFIKCLRQNRLMILYCTLLRQANEKERVHIEDEMRSRPELHHILALLQETDDGSVVQVEKSKRDAERSKKAAAAADEAISAGQWQAGRKVLDLNDLSFSQGSHLMSNKRCELPEGSYRRQKKSYEEIHVPALKPRPFAEGEKLINISDLPKWAQPAFEGYKSLNRVQSRLCDSALKSNEHLLLCAPTGAGKTNVALLTMLQEIGKHLADDGSVKLDEFKIVYIAPMKSLVQEMVGSFSKRLAPFGITVGEMTGDAQMSKEQFMATQVIVCTPEKYDVVTRKGGERAYNQMVRLLIIDEIHLLHDDRGPVLESIVVRTIRQMEQNHDECRLVGLSATLPNYQDVATFLRVKPEHLHFFDNSYRPVPLEQQYIGVTEKKALKRFQAMNEVVYDKIMEHAGKSQVLVFVHSRKETAKTAKAIRDACLEKDTLSAFMREGSASTEILRTEAEQVKNLDLKDLLPYGFAIHHAGMNRVDRTLVEDLFADRHIQVLFSTATLAWGVNLPAHTVIIKGTQIYNPEKGRWTELGALDIMQMLGRAGRPQYDERGEGILITNHSELQYYLSLMNQQLPVESQMVSRLTDMLNAEVVLGTVSSVSEATNWLGYTFLFVRMLKNPTLYGITHEQMRADPLLEQRRADLIHTACVLLDKAGLIKYDKRSGIIQATELGRIASHFYCTYESMQTYNKLLIETCSDIDLFRIFSMSSEFKLLSVRDEEKLELQKLAEHAPIPIKENLDEASAKTNVLLQAYISQLKLEGFALQADMVFVAQSAGRLFRALYEIVLWRGWAGLALKVLTLCKMVTQRQWGSLNPLHQFKKIPSEVVRSIDKKNYSFDRLYDLDQHQLGDLIKMPKMGKPLYKFIRQFPKLEMTTLIQPITRTTMRIELTITPDFQWDDKVHGNAEGFWIFIEDTDGEKILHHEFFLLKQKFCTDEHVVKMIVPMFDPMPPLYYVRIVSDRWIGAETVLPISFRHLILPEKYPPPTELLDLQPLPISAVSNKEFQTVFAESGFKVFNPIQTQVFRTVFESNENVIVCAPNGSGKTAIAELAILRHFENTPESKAVYITPMEDMATKVYADWKRRLEGAIGHTIVLLTGEQTLDLKLAQRGQLIISTPERWDNISRRWKQRKSVQNVKLFIADDLHMIGASNGVSWPVFEVVCSRTRYISSQLESAVRVVALSSSLTNARDLGMWLGCSAAATFNFMPSTRPVPLDLEIKSFNLSHNASRFAAMERPVYQAICRHAGKLDPKPALVFVPVRRQTRPVAIALLTMAHADGTPTRFLRLAEQDDTFQALLADIDDESLRQAVSCGVGFLHEGSSPKDVHIVQQLFESNAIQVCVVPRGMCYQIEMSAYLVVIMDTQFYNGKYHVYEDYPIADMLHMVGLANRPILDSEAKCVVMCQSSKRAYYRKFLCDPLPVESHLDHCLHDHFNAEIVTKTIENKQDAIDYLTWTLLYRRMTQNPNYYNLQGTSHRHLSDALSELVENTLKDLENSKCIAIKDDMDTVSLNLGMIASYYYISYQTIELFSMSLKEKTKSRALIEIISNSSEFANVPMRHKEDVILRQLAERLPGQLKNQKFTDPHVKVNLLIHAHLSRVKLTAELNKDTELIVLRACRLVQACVDVLSSNGWLSPAIHAMELSQMLTQAMYSSEPYLKQLPHCTGALIERAKAKDVTSVFELLELDNDDRSEILQMEGAELADVARFCNHYPSIEVATELENNVVTTQDNLMLAVSLERDNDIDGLAPPVVAPLFPQKRKEEGWWLVVGDQESNALLTIKRLVINEKSSVQLDFAAPRPGKHEFKLFFISDSYLGADQEFPVEFRVEEPGRSRKRKHEKEEEN >CRE24596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:169137:170020:-1 gene:WBGene00059584 transcript:CRE24596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pisy-1 description:CRE-PISY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MVD5] MDSSSSNNNNVWLFYPNLIGYGRIVLAIMAMYYMSSSPCCAMICYALSAGLDGFDGWAARKYNQSSRFGAMLDQLTDRCGTLALVMALCKFFPDHLFLLQLSAVIDIASHWLHLHATDLSGASSHKQSTNWLLNLYYTDRMFLGFMCGGNEAFYILLYIRAFWAGPLIFGSFHFMSIFPLIAFPIAFVKTAISVVHLYTAAEMVVAHDVKLRVEAAQKAQ >CRE24555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:173326:178441:1 gene:WBGene00059585 transcript:CRE24555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnp-2 description:CRE-CNP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MVD6] MSSRIGRGYERPMDRRSRSSSAHPPQPYHAISEVYTKEITHHPIGPASEYPPEVIEMLREEPRAPHTDGAAEERTHTPPLIRSRENTWTPENFPIVRVPSAQFTDIMTELYDYHEVEEKYTRRSVTPDPAPLRSPPTYQVIPPTPEKTTPRHHQGIPLTTSEKKPLPMYEEYVKMQRDENRNVPRPKSPSSGASESNKVNNNEKLRHIDSSSSESVTDSEATIQNSGDVKNTEDPGKRYVVYFKPSPHPIQSKNCYEIRRNLKLRSKKDIVLPTLRPSRSSTILSRSASSNPSVPMDHRRAIPTRTSVLRKEHEILTVPLNRSQSVRQSRISEQSRRSTSKTVTERSIPVHLEDTQSINMDDIFPKMPHPQTVTNVHPQSRSSTVSRVPDVRGSIDKGDYPRQHRTPSVVSRNTIPVHSGTPDLPFTRGISKTPSVQDYKAKRPSERSPSFLSSHAPSHKTTERSPSEVRIPVTVQSTAPISQHRPHEKPHEKRPSPEELDYGRFPNGQSRYPGDHVRDLQYTRGVSKTPSDKDYRVERTPPSTVHIPYNNPEKERAYQVAKSIPSARSSYRSETNKSLAPSERYSNRVPHEETPELPFTRGISKTPSDTEKRSPSSTVHIPFNNPEKERAYQQSQASPKHRPSGRTQSPSKPRVRSPSAKARPTSQGPFEEIVHIKERYERDETIRRFFPTTTTV >CRE24597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:178733:180649:-1 gene:WBGene00059586 transcript:CRE24597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-2 description:CRE-VPS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MVD7] MDFLFGRRKTPAELLRQNQRALNKAIRELDRERARMEAQEKKIIADIKNMAKKNQMDSVKVMAKDLVRTRRYVKKFIMMKANIQAVSLKVQTLKSQDAMASAMKGVTKAMQSMNRQLNLPQIQKIMMEFEKQSEIMDMKEEVMSDAIDDALGDAGDEEESDQIVNQVLDELGIQMGEEMAGLPSAAGGLTAGGEKSGRQAVAAGGGGGGAGGSNEVDDDLQARLDQLRRE >CRE24556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:181621:184023:1 gene:WBGene00059587 transcript:CRE24556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tiar-2 MSSFYSSGDDNNGYNPRIHSRYAEREGYHLASGSEDPRTLFVGNLDPAITDEFLATLFNQIGAVTKAKIIFDCFQGLNDPFAFVEFSDHNQASQALQSMNGRQLLEREMRVNWAVEPNQPGDRNKPETSRHFHVFVGDLSAEIDSTKLREAFLPFGEVSEAKIIRDNATNKAKGYGFVSYPRREDAERAIEQMNGQWLGRRTIRTNWATRKPEEEGGERRERDRNERGDRPHRGEGRHHHFEKTYDEVFREAAADNTSVYVGNINSLTEDEIRRGFERFGQIVEVRIFKSQGYAFVKFEQKESAARAIVQMNNQDVSGQMVRCSWGKSGDGGKGGDRHGYGYSGSGGGGSSSNFTPFGSGSGPSGGPPAAGGNSSQYWQYYAQYYNNPQLMQQWSSYWQQGKQGPPPS >CRE24599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:192757:194585:-1 gene:WBGene00059588 transcript:CRE24599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24599 MNLLLPIILILPVIVNSQLSCPPNYTLVIDKCLMIIKTPLTHSQAETDCTYNGGTLVNIDSAIINRAVTQFASNAGINKTWIGLFCFENRNTSMCYYDDASGTLLDYNSFASGYPMVDGIYGGCVYMPTTGSLAGKWVSVKCEAESIPAICQMPVTSYDPTCVHNFNGYCYTLSSQLSNLSANFADARKICQDNNSDLVSIHSKREVDYIKSLYRGTIEPVDILLGAQQILPNIYTWVDGYPWEKFDYRDPMDRGAQDIDCLTMNSVTGLWTRAVCDRPLLFVCKRYITGNPSQTEVAPTENPSDFSNCNTTFLMTPGTITSYGYLSTSPLEVNCTWRIVTLGPYRVRLFFTDVDTYNTIYVYNEYGIQIEAVRYSRSVISRSNIVTVSWQGSGKSGYRGFRAVALTY >CRE24600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:195241:196342:-1 gene:WBGene00059589 transcript:CRE24600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24600 MSSYTLLLLLAFHVKISVDSVMIVTKGTPEVYINYSSLAMKWDQCLTWCTQNDLCVAVHTIGDGNCQIFEFGDLQTVKRSNDIESKFAYKVKNENASTCPADDTIGETTTPYHLTKHLNPGIFNQRDHSCVPALDIKCSRGHWGLGVFSLPLTPTVRTIQQLNLFVPRRLLVLLVESIHLSGIDTPEKSDLPRIKCKESDSKKAFSFADPTLSANPTGYLFNTGKPNGTGADCLAFRVNSDRTCGIDNILCDQGRTSDNSTCLGGQVCGLPPA >CRE24601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:197123:199375:-1 gene:WBGene00059590 transcript:CRE24601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24601 MIVTRGTPEVYVNSISLVLTWEECLANCTQNDLCVAVHTIGDGNCQIFEFGDLQTVKRSNDIDSKFAYKVKNENASTCPADDTIGETNSIIGINGTSGRQVYIEYTISYDQTSQSWNFQSMRPLRCFSAAYKMFKRALGPWCITMPVTTPRKYTESASICARTSNSVLSGLDTPEEFEYIKEQVARKRWLNASYPLYASWLSGVRKPECVGNPSCQGLSAFSFADPTLSANPTGYLFNPGKPDGTGEDCLAFRVNSDRTCGIDDIPWVFIKKVTEDFSGNIEDDFRCDQDRTSDNSTWMRGLVCGLPPY >CRE24558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:202833:204166:1 gene:WBGene00059591 transcript:CRE24558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24558 MSSYTLLLLLAFHAKISVDSVMIVTRGTPEVFVNSISLELIWEECLANCTGNDLCVAVHTIGDGNCQIFQFEDLQTVKRSNDIESKFAYKIKNENVSTCPADDTVGEMNSIIGINGTSAREVYIEYTISFDQTSQSWNFQSTRPLLCPHAGYKLFKRALGPWYVCMFDVCLKRLHVVCFQLGTNSYCGNYTATSDICTTMPSRFLSGIDTPEEFQFLKEKADSRNWFNSSYPLYAVWISGVRKPECVGNASCQGLSAFSFADPFLSANPTGFLFNTGKPDGTGKDCLAFRVNSDRTYGIDNIPCGQSRTSDNSTCINGQICGLPPS >CRE24559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:206713:207864:1 gene:WBGene00059592 transcript:CRE24559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24559 MHPLHHLLPSTTKLQEEQRHLISSWKPGKSDGTSPKGQRGGGCVAGLHARLWNSGAHWIFEETVSPRLALPIESVTVVDPPAVNPGFPTEMLRTAGFVSLEDTGSIERSLLDILRELPHNSRIQLEIQERRSFPNADFIQLIQTWIESPQEIGRRFSVNIRFNWRLQKRIQETFPMCQKQWIQMTEKRASSRRGISIDTFHDQRLVVYGRNGRDGLEKHKWTTMEVFPRHV >CRE24603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:208275:214871:-1 gene:WBGene00059593 transcript:CRE24603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24603 MKSMKVPVISLDATDTDEDENNPNNFRRNGSVRKVREIQMDVAIGEAPGSPSTLDTVFSRFGRSQSLRRPPAAKSSTKKDESGTPGSSRAASPTPSFIDISPIIRTASLRVKNQLAHLVHGLTLKGCNNNNQGEAEDAGSSSDEDDPEYLELLERDSIINRYEKGPDAVDVDAWENPDFEVYTNLDRFGFVHKKGDKTDERTDAQKRRIIKELSREKKWLKMIEVWKSGGPSKKMEDRIWKGIPEKLRIVIWPRLLGAERMKHERRDMYSELLLRARLVSKDIKQIDLDINRTYRDHLAFRKRYDVKVYKYLEKQDIPYIYLTKWWFGCFLDRVPFSLALRLWDVFLVEGDSILLAMAYNIMKMHEKSIRKHSMESFMEFIQNDIAQDFGYSDDEVMYSLRETLTKLKGDRQHVPPPPRPEDLSEVPTKALGPILQKPMASIREEIHEIQSRRSRANCEFNRRIYEEKYQIYVKMFREQLGVHDTTSSDSDESSEYSDSEDSDPPAAHHIRNEKSRLAEHRKGRHQYNTNGMETHRKTRLLEMGERCRLRYQNHHNSNPSRGGTPSAQRLRDERNGQMVLLSRASDSEPDATSPTTNQRDGDWNSTANTHISTATSRITHSGNNITRIELDGDVSVI >CRE24604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:217845:220700:-1 gene:WBGene00059594 transcript:CRE24604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24604 MLSAVASTSSLIQFSTSSPLASRRKISEISNPTESLYRKWSHVGTPPRSSTSTMIAGNGSSNYHHHQKGVLQSTLSMSAKDRARKFSEKIASWVSMSISLFQFRSIYGLYRFSQADPIS >CRE24605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:224482:231635:-1 gene:WBGene00059595 transcript:CRE24605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24605 MNDHKKQQEQRDRTNTVYYDAQPNFGTPPSDAAMSASAGDYRDHKMGIRKASGSSDRGVERGGDRQDDVLYERVHTERRHHHSTSSSSPYPHRPPSRESHQRSHHQPTVIHHQIRSPSSTASPIIMAPMSSSYDYSVGSSASPSAFRAQSELQQDDRKVAGSRWNPRGQQEDFRPGPRASSAANIDALFAPKNPRTQSSSRMAGGYEPTTNVVYSRRAAEPSTPNRQNFHQRDQRDQKDYAVVGAYSNERQQEQPLYGSRGGPSSRGGSVRQHSNDYELDPRLNVTYPQFYVDDWKERHPNLTPTSSGYPRAAPRQSPSFTATGHPGVGRPRFASDAARHLYEEVPMSGGGGPLLNNGYRSPGGYGMKETAILDDYDNVPSEFLDENRHQRKKQDDASNKDGESAIYQPGRYQPFLNAPKARQNRLLVSMSVI >CRE24606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:238636:241447:-1 gene:WBGene00059596 transcript:CRE24606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cox-10 MLSRHIFMCSRAGASTSQAVFHTSTVNSVLPRAKPLDEELPPSPTPIGKKNRPDTQILDLSGKRHKKDIDIIVTDQYLQQWYKMETKALAADYLSLCKSKLSLFVASTATCGFLMAPVPVAFAPLAAATVGTFLLSSAANSCNQLLEAPYDAQMRRTQSRVLVVHRFSPLHAFTFAGVTGLTGIGLLAYYANPLAAALGALNWFIYAGVYTPMKRSHIGCTWAGAVVGAIPPLMGYSAATGYLDPAAWCLATILFSWQFPHFNGLSWNLRGDYSKAGYRVMCVTNERLCRVTSIRHSLALLGLCSIAAPLTNLTTLTFAIDSLPVNAYLVYLSYKFYKAPDAKNSRRLFFYSLLHLPLVMLLMGISNYGRNEDQKEPVVERITNGFNKMADSMKALTTSLSS >CRE24607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:242080:242373:-1 gene:WBGene00059597 transcript:CRE24607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24607 MGTFTATYFLKTAFWDKRGLWTATAAVAYFARCWENAGYHKAEMMKGHSRMYADRVKQLPAHADLWKY >CRE24608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:243133:244295:-1 gene:WBGene00059598 transcript:CRE24608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24608 MDRQGNNDKKRENTGENIHQQQKKSQRKQTFEKEEWAAPSTSFRDSYQVPEVMESRRSLSQAPRSYFLPSAASTRQTAGTNQRGTGVLRIKRIYASLRNPFRHNNPDAQRAPLLPVSDQSKTLYEHNSDDSSPDPPLMTLLPIYKKDGFSSGDPDGYIYL >CRE24560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:249837:252636:1 gene:WBGene00059599 transcript:CRE24560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24560 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MVF4] MDKGILQQRYYHGLLPREDIREMLHKPGEYLVRTSEPLAGKPRQFILSAVGNGQNTPNHFVIRETNKKVFVDQLGFNSIVELINHYALSKEPIFTKDKQVKVVIKTPVERQKWELSHEDVELTKKLGEGAFGEVWKGKLLKVTDGNGQPVPVAVKTAKLEAMNKEQIKEIMREARLMRTLDHINVVKFYGVAAGAEPLYVIMELADGGALDSALAKQHFPMIRKYEMILQAACGLAYIHDKVLMHRDVAARNCLLGGGQVKIADFGLTREGTEYKMNLKKKVPIRWLPVETILSGLYVPQTDVFAFGIMAWEITEDGKEPYPGMKVLEVALQVPKGYRMKFSTEVAPEFSNFILTNCWNEKWEDRTSMKDTVKWMKGFVKAHGGDPGPTGVMEKIGKKLKRNSREKQNRTSTMSSSGAVSSPNVSTATAKI >CRE24610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:254806:258786:-1 gene:WBGene00059600 transcript:CRE24610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24610 MVYITFLYCHSCTRATVKQDDKAIPYDCDTRRPCIGSCGHSICEICVTDRSDKSCPICHQENSFKTKPINYFALPIIEDYQNKAWENMKGLWRGSTRALCEDCLQTHHPGHQFSRIMTLSAPQHNIKKTTADVIYALFENWMEKTQGFINCELRRARIYYNCGLLENQALGMPRGKCGWFRAKIKAEINKNLIENIEKQVENWRNGKGPNPDETLRNSKCECVRIYEELKDLGYEQDISKCYQKLLKGERKKRTGTMISDIQGCPLYFDFNQKRRDKLSDWGYQRRPSQCTAHSKGLCRRPWVRRWIRQFLSLRNLSIEQRFSLFTNCHSFASFVAMSNGVRSFPLLCLPNECLKYIVQCMEEIDKFAISLVSKRSQSLITSTKLKCLEINIYVRQAVSICIVLPSHKELICSFENYQVQLDNLSPWNIKANVFLQQREEFIHNKPSYRFEDWLNHILGVYECCGVETVIFDRLLPNLLTFKKTIKCFSHLVLSETYSDVQIRDIFKTLRPEKEVYLGLPTFENKNEGSECIHEVFMQNFDEIILSRWTDVTLDDLLVMNSKEINIRSARVIDEKILNRFIKHWIAGSNKRMKYLGLATQNRITIDRAAVLKGIRHVLVPKECRRFFKDTPSLKHSIEGGYDFKRKDGTTGTIVFDRFEYFQLFVWP >CRE24611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:261003:262682:-1 gene:WBGene00059601 transcript:CRE24611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24611 MVIAPEARQSPESDSEVFGKHLATNPQELLSFYVEHSKSSLVKLRGSLVALMQNPLNQLPAIKLQTDEVAFDLCSLSPGADLLQNPDLEILFRHCSFASLWMNLAYICTECQLSESENETVLTKFIRRLLTGITPEFRRLKLDPVEFAALKAICVWKVSPLNNNSTAKVIAGEQYLGVAKALNEYHQTKNLLDFEKAGRLADITSLLAPISAIYQEMSMIYAALNINDSYSGDFF >CRE24612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:264484:267270:-1 gene:WBGene00059602 transcript:CRE24612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-234 description:CRE-NHR-234 protein [Source:UniProtKB/TrEMBL;Acc:E3MVF7] MVPSVNGLKKAPKSCLVCGITKASTHFGAVVCMACASFFRRTVSFNIRFLCRFSNQCRIAQDLRFICRSCRFDKCEAVGMQRHMVQAKRNDNKLPKYVLESRKEGVREVVRGYITSAYNTPGKSSILESSPEETSSEVGELERFSTILNVKHEELMLYYVKEIKNAMASREAAEVDLSETTLQQFISTKQRTDSLAVDICTMCPGTDLLETADIDILFRYCSFASLWMDSAWISASRQDLVNTEKSESEDILTAFIHQFQAAITTQLARLQLDIFEYAALKSFCIWKLGILDSTLTLKVVAGEQYFGITSALSNYYQVAKNMAPFEMATRLADITLLIAPIFNVYQDMLKVYHTLQIEDRFKEE >CRE24613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:270968:273734:-1 gene:WBGene00059603 transcript:CRE24613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24613 MTTTVSENNKNFHCKSCHFEKCLELGMQKECEFELRVPICSFVTVVKMEVVRNADSSQPTSSTTGISKILKMSYPEVLMFYVGQTKRAMDRRLQGSSSTSPQDALEQFLQTKKLTDQIAMELCLVCPGTDLLERSDLDLMFQHCSFVSIWLDTALMSVSNYEAEKEKSGREKTEDEFLRFNRHFQSTVTSELARLKPDLYEYSALKAFCIWKLGLLDSSAAMKAVANEHYLAVTSALAHYYQSTKNLEQYEIASRIADITLLLGPMFSVYQDVSQLYSNLNIKDCIE >CRE24561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:275462:276137:1 gene:WBGene00059604 transcript:CRE24561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24561 MEFARAHKDWTIDQWKEVIWSDESKFMLFGSDGISYVRRPVGTRYDPKDQLPTVKHGGGCIMVWGAFSGNGVGPLHKSEGIMDKNVYLTILENVMLPYARRTHERRYTFQQDNDPKHASKLVKKWFENKRVPVMQWPSQSPDLNPIEHLWEHCERMLATKKAKNAKQKFEQLQDVWKTIPQSVIDNLIESMPRRCLAVLKARGFATKY >CRE24562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:301041:302179:1 gene:WBGene00059605 transcript:CRE24562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24562 MSVTRLGEEMNKKISISDGSKNPPSKKNSTANGHGLNTSSKVADVQDETPPRKKSSLKENIAAAFRSPVFLVRREATKARWEQVRERLKLSRVKISMRDRKRKASKSS >CRE24563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:317713:322700:1 gene:WBGene00059606 transcript:CRE24563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rgs-4 description:CRE-RGS-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MVG1] MRSPRKLLKQLSISRGERRRRSNENQANGNGSTPPTSANNMNMISPNQVPASPRLSPNEWQFAFDHVIYDVDGRAQFTKFLQSEYSEENILFWWAVEELKAVGVSEGRAKFESTVQEMYDTFIAAESPLAINIDHDTRTDIIGLVEGKDPSTFPENIYERAQAHVYRLMEKDCFSRFVHTNAYKDVAKKLSLPQTFRFNCIRLQPGAAGATATATN >CRE24614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:323289:327038:-1 gene:WBGene00059607 transcript:CRE24614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24614 MTSAETTVDPWKKLLALSTSVTEPGQSFDLEPPRDDDVTKLKPNDMQFLELAMRSTMGGNQGPCLFTQFFLLGGEDPTEFAKNPAKIAAAKELADQLEDYLILGNFADQFVSARGLEAIANLTLAKDEEVQLLYLRLIPQIAENRPEFQKAIAESEVFRTYVELLKNYATMKTHIFLSVLSAISSIVRSSPEAWLKFYEANGIELLKLVAKHAMNDKVAGRAAYLLYSIHFTFAEPPVGDRKRYQHEDEDCGGEEWTDEEELYATVLKERTTAAGGKKLRGILDKPSNSYFLPSKLQENEAKEDKKSREESEKKLPHEHELVDALLAVYIYLKKRVILMTEWKELNEEDFDQSTLDFNEDTLLKIQDLLLRARCTDMTDATRTEMSDFFKKHHRALRFEHQRKKLDLKMDSVAKRFLVPQFYSKKEIVQMKDAVDKKMKEAEAADEEIRKKQAARAEEMERNHQTVRQRMDPQVRVERADAATQYAAFLDQEQVAAEEREKKDKEWKEKLAADSQQPSTSSAAGPSTPTRPAAPSTETRKTPGRRAKGNK >CRE24622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:340789:341582:-1 gene:WBGene00059609 transcript:CRE24622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24622 MGKISTVCYWIYLITFTISSMLPIGLHLHFLYDDEKNIYSSFVILLYYIPTCLALIAMIDHKQLPTAKWFVRLRYMLAGTMIALNILMIINSIIRLVGFWENIVVTCMLAIVIMYIPISTCFHSNCIEFEFSHLKSTKLSTKHWLVLFGFHTLLAALYATLFLFDERTLEKKELVQNFQFIRFVCQLINILSIPMSYQAVLSWNSDKLRFKGKYPNTSRKWTGLMKRNPDGTWEIDLTPEDHNVFIV >CRE24623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:342292:343102:-1 gene:WBGene00059610 transcript:CRE24623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24623 MGMISTEFYWTFLCFFMMLFTLPILLHIHWLYDDHEKNFYSSLVILLYYLPTYFAIKVKDRKKLLPTAEWFVRHRYMLAGAMIALNILMIVNSVIRLVGLWENVSVSISLFSQNIVVTCMLVIVIMYIPISIFFHCADVELRFSHLKSTKLSKKQWLVLFGFHTLLAALYATLFLFDGSSLGKEELVLNFRLVRCFCQVLNILSIPMSYQAILLWNSDNLRFKGKYPNTTRNWTGLMKKNPDGTWEIDQSPEDHNISIV >CRE24628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:351522:352373:-1 gene:WBGene00059611 transcript:CRE24628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24628 MGQICYKIYLITFTILSMLPIVLHLHLLYDDEKHFYSLNAVTLYFISICLVLTDSEILNNEHLPTAAKWFVRHRYLLAAAMIAFNTLILINSVIHLVGLWENIVVACMLAIVIMYLPISTWFHFDCCTDFLFSHLKSTKLSKKQWLLLFGFHTVLAALYATLFLFDESCLGNKEFVLNFQFIRYFCQLINIMSIPMSYQAILLWNSNKLKFKEWYPTTMEWAGLMKRNPDGTWEIDQTPEDHNVLRDNVSLKPRGHVTHKPK >CRE24629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:352699:353562:-1 gene:WBGene00059612 transcript:CRE24629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24629 MGQICYKIYLITFTILSMLPIGLHIHWLYDDEKTRFYSLVILLYYMPTCLAFITMIDNEHLPTAEWFVRHKYMLAGAMIALNILMIVNSVIRLVGLWENIVVTCMLAIVIMYIPLSTCFHFNPLGVELKFSLLKSTKFSKKQGLVLFGFHIVLAALYTTLFLFDESSLGKEELILNFRLIRFICQLINILSIPMSYHAILLWNSDKLRFIGKYPGTSIKWTGLMKRNPDGTWEVDQTPEDHNVFVV >CRE24630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:355336:355572:-1 gene:WBGene00059613 transcript:CRE24630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24630 MFSFSKTVTVLLFVLFLSSVSVSAGLIRQRRQSPSYWNSNGVVHNVVTDNMAGGPTSLGWAQVPHHLSPMFSPVFGGK >CRE24567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:359136:359366:1 gene:WBGene00059614 transcript:CRE24567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24567 MFSFTKIATVLLLALFLSSVSVSAGLIRQRRQSYWNSNGVVHNVVTDNMAGGPTSLGWAQVPHRLSPMFSPIFGGR >CRE24568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:361904:362219:1 gene:WBGene00059615 transcript:CRE24568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24568 MHSTVLLLFLILSVTLVTPQRPNRYQYYHQYPQQVYNQYYNNPYYSNPFGRQHVVHDVVADSVWGGPTSLGWAQVPRYASPQFSPVYGG >CRE24569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:362525:362743:1 gene:WBGene00059616 transcript:CRE24569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24569 MFSFSKIVSFVFLALFLSSVSAGLVRVRRQNYYSNGVVNNMVSDPLIGGSTSLGWAQVPHVYSPMFSPVFGK >CRE24631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:364486:364710:-1 gene:WBGene00059617 transcript:CRE24631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24631 MFSLSKIVSFVFLALFLSSGSVSAGLIRVRRQNYYSGGVVNNVVSDPLIGGSTSLGWAQVPHVASPMFSPVFGK >CRE24570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:367606:370140:1 gene:WBGene00059618 transcript:CRE24570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24570 MSDKTSSKQSTSKVDDDRMIVVETQMSVKERRKKVKKFTKLIASIVKVEDETRLQLGELTAKCSEQEADAILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAAEGEEAEWSVGKVNLELQQVQYEMKVLTECWNEEREMLGEQIRKVQKEKEVAEIQVSKLEKALKQLRNTLERQERKPNGLWDEVQGSRSWCERVENWDIERNDERSRKKGGEDAFSRKTLSHSGSSEVNDMMQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTENDYELVAILEEKFLKGAAKSLFKTLPKRFERSIQSLFEEFEQKLRKRQGDSKIEALNEFEGLEKRSDQKMWEYLVEVEKWSRKAFPEVKQETLSQMRTTKLMKAVRGDETLHKMLIMKRFELSLEEQYDHLKDIVLQQENEQRRGNSQKSGNSEGWKERPKAENDGGKDVAEKESGENRYWREQKCFSCGGVGHLARQCSPKPVQSVEVRGKGEGVGIVAVETVMMLGQERKMVIDSGAAVSVMSTEAWNGLKKGCRNWMEVVKMLGKPSFEVIDTSKKKMRIIQQIEVPIQVRDRKAEVVFQLVENDAEIMLLGTNAFESIGVSVEWKQERTDVQQKKGKRDATSSEEKKVFTVGNLGIRVENTKPSGKTAERSKEIAVDTVTEEKKEGMKPKKTVIRVSKILITPRIGVKGKSIFEYRKSALNTWKDKFDFANVESIVFLLELTEDEETNQRLGDLVRKLAEEVKEITIIPYKMDCAKSGLVESWKRSWITAGHVKWSDSAASAGEKFKTWEQLLEFLEARTTENVVVAQLRKESVTSEPRIKENKWSHQ >CRE03623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:128183:130404:1 gene:WBGene00059619 transcript:CRE03623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03623 MRLRSLSILLIALATTRCLAQDENSEDVFDKPLAKDVTTTTAGSEPDDMPLAVPQEELLNEGSDSFETSTEMIEISGNDTSLEVNPIQKTSVFSPITPLDGGVFNFSKATIEEDSMEDLDDSDELKTTAKMTTENSETEETLETSEIPTETTTQQIDVITFSSLPIEVDEVADRRVLKEKEMATATRLATTTHAPVAVSDPSESEDEGETPFDQSALEGLFEQDGSVIDSSLTTDQTPAFPILKMKEEDSKILSNIPKTMTSESSSSTVTTTEKEPETTMLTESTQTSTETQTTTETETSTPYTEATDSTTSSEESTTSSEDTTTAEELSTIPALQNSSKVFLPAKSIRTPIVFRILSLDFTEEFNDIQSGPSKKLAKDLIPSIGEFINSISGEDNFVNVEIKTLTKGSVVVGAELLTKKSLGDAQMAVNLLEAAFSRNNSMLADYKIDSLSVTVDGMTSQAYIDSISTQTSSYSLSSLMIVIITFALIVIFGLALFIIMITTKRRRQSTMKLSMDDTIRSNTPDSNDTPHNVHLMSYGTTPRTAQRPGPITKTQIDETSSPMY >CRE03825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:130683:131906:-1 gene:WBGene00059620 transcript:CRE03825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03825 MNRYIKLLFVFIFSGCIIAYTNLFNRSFLQDYCSFHDNDNESLNENDTLKTLIPLTNDLDSLELCRGDGVKKCIPPLMKYKQLYRTSKENNLIACVIEKTFSTFLTAIMCFLHDQTAFRDSNRTLESDIYGERLCKDQNEFTELKKIGNWQKMSLFAVVRNPIDRFVSGFTDKCLREKVWKKYKQRCAQCKTNLTCFVDKMYDRMFKFAKSPYKGIDFDDSHFFPQSWRCEFSSHLVKYQILQLDGANFPDQLLGLLEEKGLDEEGIKFINGSLHHRTPHSTMDSVERATVEETVLNSPYLLRKIIQMYYFDFLLFGYRLPEITRV >CRE03624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:132565:134425:1 gene:WBGene00059621 transcript:CRE03624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03624 MAAEYFSSLQYIWLNIVFFVFSLFLFAVCLYACLFVRWVYKRRLRQKTDEMIDAYKDEDLKRKEMELNLKRQELEYPYKKKKSSSKSRQIHIITKPCTHVDQNNSREIIVRQVNEDQNDEVRCSPPLQPPIQQQQMVQMPPQNILNLHQDQVEFQTQYVLVPVEMMRKKKKGKCNGSSDEVVNVLLSGRKARSSGFIDPMILNPQRLEVEDVSTQTSDRSSTNGSGTDKESEDWLSGFDESDGEKKVVNVERVGDKNQNNKRQYQRKLTQNQRNHRQHPEVFSDGTRVSTENPPSPPKNDLAPPEFSAPDATPSVPTPNSPTTSDSSVSPATGFKDSEQEIQKADGSENISKDVVQSNASGKSSSPKRSSPPLDSTTLVPLNQPSSFDSKNQNGSDGSASPDPKGGIPSDSGKDSSPKKKLDDKGNDEKSHLTSQYFGRPGESTNTFSSNNYKPPLKSSAPAARASIFPYFIDPNAPSSSVSTSKASSKLTNPELEVTDSSCALYRQKKKKMPDDSAKIYTATPKTKSEK >CRE03826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:134606:137230:-1 gene:WBGene00059622 transcript:CRE03826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03826 MTSISIPGNWQAADVEAMEGDFDDNMLQFLGSFEEVLPDGIETAQTTKKGKKEKKKQKKPFEEKATEQLSDPAEIKSVEERRLAKKQKRKEQMAANRKQKKERLAKRKQKESEAKLVEPIEEKVKKSGETKKRKGEKSEESGKSKKSKKETDISAWKQFFFLPNEILQAVEQMGFVSCAELTKLSKFLFSFSEPTPIQSAVLPVAVRDHQDVLGAAETGSGKTLAFGIPIVARLLESSAENDEEEEFKGPRALVIAPTRELVIQIMRHITALIAPTSLKATSIVGGLAQVKQDRVISQQRPDIVVATPGRLWAMMQEAEEGDYLAEWKNLKCLVVDETDRMVEEGYFAELTHILNRVHEESDKEKLQTLVFSATLTFAKAQDVAEEEKKKAKELSSQQKIQRLIKLTGLRENKHKVIDLTRQMGTAGCLVEARINCGNLLEKDTALVYLLTRYQGRTIVFVNSVDAARRLYSILKAINSDPMILHAKMIQKQRLRNLEKFSESSNAVLIATDVAARGLDIQGIDHVIHYQVPKKVEIYIHRSGRTARASHRGLTVVLVDPPSRQFYMKLCKGLNRMQDLDIFPIDFEPLMIAIKKRVRLASEIDSLGFRCKKIKMSESWFEKAAREADLDYDETRNREMGGLNLEVDSMIKKSRQLQAELRSELSVALPRSDGSDSMRTKYITPEIVSRLRSVGDNAIDVLNQKIEETKEWKRKSRKAAQADEMNTVKKSLKNSQKNKDRLAEKKRKERMVKKSDTAAENN >CRE03828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:141269:143338:-1 gene:WBGene00059623 transcript:CRE03828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cir-1 description:CRE-CIR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXB8] MGKGFQNFMSKKDFHPSAFRNLKMVWEARQKKSLEDKRQEELRVAYEKEQEILNNKALLGDEKAKMGLSFMYDAPAGMTKREEPKEEPKFEWQRKYQAPREDWAKGNEEIQDQPFGIQVRNVRCCKCHKWGHINTDRECPLFGKSGNFEDEGYANNPSDLIKDLRRRRQDGKSGPQTSKSAAAGDDDEDEWMDHQQLASDMREEHGIVLKGSVLAGMQTDQQLTRMKKEKTDEEMMLEFFNSMTDKEKRKLHKKLLSGANLEDVMKKKNKKEKKKDKKDKKKDKKRKKSKKAASSDSDSEEEWAEKSSSKKVKKEVESSPDYRTKKIKEEIVSDEEERRDRHASRKRARSESESPERSRKRSTERKKQRRHDSSSRSPEVSRKRSPARKESTMRSERHHSKSISPIRRNRRSPSPVRQRVRQDSRSEGSTRKDGRRHSPPTSSPPRRRRTPSPPRRQRSPQSARKQRSPSPPRRHRSPSPPRRQRSPTPPRRQRSPSPRRGSRTFDKSSTDNRRNGRRHDSSSASPPRRRRADSRSPVKSRDGSESPKMWSKNRRNNSDSEKNAEPSCRRSPS >CRE03829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:143551:145295:-1 gene:WBGene00059624 transcript:CRE03829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wdr-12 description:Ribosome biogenesis protein WDR12 homolog [Source:UniProtKB/TrEMBL;Acc:E3LXB9] MDLSEAMEVENGSSANQKHVQITFFSKNEEVAEIPDAVFDVPTAAESDDLNLLLNKTIEANDDKWKDQRFEFLVGETFLRTSLAEFIEEYEVETETILKVECVIGIEAPKPLHDIQAPDWVSSVQIANGHIFSTTYGGDIVIVDRKGKETKLAVNSANRLLKCSSIVTTPSKKTQLDGTEFIVGGENQLLTLYGIEKGALVEKIVFRGHERAVECVAVNSDSTRAISGSVDTNLKVWNLEASDEATIYEKEDDESAKKRRKKDTKTKVPMVTIGGHRDKVSTVEWCPWKTGHAFSCSWDHTVVEWDLELAGEVSRIKGPKSFTSIDIHPTSCFLISSCTDAVPRLYDPKNRGEQIIKELFIFTRLFSDGTMVKQSFIGHQNGWVECVKWNPLDTNQFVSVSTDKTAKMWDVRSSKSSLFDIHGHEDRILCAAWNEGLIATGSADCTIKVFETA >CRE03830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:145505:146032:-1 gene:WBGene00059625 transcript:CRE03830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mff-2 MFVPEHITATGDEMHGHSGPSRRNNRQSLVEQMEVPDRITVTGGDSYGRITEDYRNGMDKLPTENGHYLNDVPDVLPVADTQYPVEDARRQVSELESFRCNIFHFQLDTARTDNSMVVDEDPLRELKMLRRQMGRINARVFELEENNERRKHREQAFFVALLGVAITAIWSIFKR >CRE03625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:147256:150689:1 gene:WBGene00059626 transcript:CRE03625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-10 description:CRE-PTR-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LXC1] MRFGFGCLEPKAAKFFHSYGLLVSGYPFIFLISPILVTCFLATGLIGLEPLSDALYLYTPTDAPSKTERRIIHDAWPLVDGTFVAGRAVTQSREVQVAVVARNDGNILEKVFSEELKLMESFIRNNITVEFNNRTWSFDDLCLAGPDGRCSVNDHIQLVSRLYHHGINITYPTVRLADKSAYIASALGGVKLAKGDDNENIIVSASAWLLIYQLKFYPNEISYVSGLWEREFKEQMDSYKDRAKYISISYFHSQTLSDELKRNAERLAPKFIGAFVILVCFSVVCSIVTIKGSAYIDWVVTKPILSVLGVSNAGMGIASAMGMLTYMGIQYNDIIAVMPFLVVAVGTDNMFLMVASLKRTDRNLKYDQRIAECMADAAVSILITALTDALSFGVGTITTIPAVQIFCIYTMCALLLTFAYQLTFFCAVLVYYTRIEEQGLHSIWLSPAVTYSSTSPLNVKLFWLGSKPPKPLPSCGTVSSTSSVSSSSSSPPSSKHLHHCSATSFFRNWYAPVLMQPWIRAIAGLWYLIYLGLSIYGCSHLKEGLEPANLLVDDSYATPHYRVLEKHYWHYGASLQIVVNNAPDLRNPIERINMDKMASTFANSKVSIGDEGVQFWLREMQVSEEIHKVHYDNQKFYDHAADYIYSDMSQPWVVDVVWGRNNQSERVIKQYRFMIGMRDISTTTKQTEATNTFREIASRFEKYNVTTYMPLWLFTDQYALVVPNTMQDIVVAVACMLVISAVLIPQPVCSFWVAVTIGSIDLGVLGFMTLWDVNLDAISMITIIMSVGFSVDYSAHITYAYVISKESTTTARVCDALGDLGWPVAQGAMSTILAVSVLSDVPAYMIVTFFKTVFLAISIGFLHGLVFLPLMLSVFVGCCSSKKVEVEPVKQPKFIGRY >CRE03831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:150885:151745:-1 gene:WBGene00059627 transcript:CRE03831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03831 MEVRRMLEDTNQRHAQIERMTKEAAIRHNQMNPDGQILSGRDNGGTNPSVPIQPPIPAPIPPPPLPTEQPQQVIIRTAPPPTIEDDRTFLAKMSEQEALVNQLNSIATREAVARNGLLVMEGALLNALRPITMPFLGGQPIPGHFVPFQRMQRPIEQSPVDNNIEVQARPPAQQLPMRAKNLETYEFQMDDELAEMIIRNETRQAN >CRE03832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:152788:155000:-1 gene:WBGene00059628 transcript:CRE03832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03832 MNETWLKLVLMFAMFTLTVVVGLSPLKLLHKLRHEAATAQSSSKHKHVSLILCLLTCFSGGVFLATCFLHLFPELRENLEKLHDKKAMNTTYPVGELLSCLGFFLIFLLEEVVIMMIPSFAHGHGHGGHGHGHDHHHHETTPPIASKSIEAGGGCCMVETVEEVKEKEHDIVADEKTALTLMSKRAISEEKEGEGHCQTHCPLTVHERRGSNECTANATHTFAPVAFAEPERCETNCDEHDEDPPILMKSRPHAHSHGVRSITFVLALGIHSIIEGLAFGVQDGYDTIVALFLSLMVHKLIVAFSVGIQLFRTHAHQIRWVIISIFILASMTPLGALIGVAVTSAAEDALWKDWTVTILQGLAVGTFIYVTFFEVLLHERDNEHPNLLKLFVMFVGFALIGGIRLFEGEHGHSHNDNHHTSFENGSLIPDVHGH >CRE09481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:4012:5137:1 gene:WBGene00059630 transcript:CRE09481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09481 MGLYCLNSDPTKCLWDDGTGSARMYNNFASGFPLVDIGKCVYYSVRGVLAGKWVSGDCDVALRAYVCELPYTFADNCPYNYNGFCYSIHNASASFLIAQEICEHECGNLVSITSEMENRYVTTMGSRGITSNYVYIEAMFPRSNAFAWIDGSVWSYNNIDPFHTPSSGYCATIGNSKTSNYGFWTSVPCNWAHPFICKRPAGTQCLQNQPTVTVTPVPNQPSYCNSSLLLAPGVIASPNYPQNYDNNLFCSYQLATLGSYKIFLRFSKFITEPNRDIVKVYDGASSNTPLLGSYSGNLSSFGLVSTGNTMYVTFKTDGSNVAQGFFTRFLVYTGL >CRE09482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:6310:7746:1 gene:WBGene00059631 transcript:CRE09482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09482 MQFAVIPFVLFYIFYTASAANTPVCTNGFTLINNKCLKLYTTPASHSAAEESCRNVGATLVTVKNENNNQAITTIVGSTASLVWMGLYCLDSDPSKCLWDDGTGSSGMYSNFASARNSHSIGFWVSTTSSSSWPFICKRPAGVQCPPNQPVVTVTPVPNQPSYCNSSLLLAPGVITSPNYPENYDNNLFCSYQLTTLGSYKILLKFDEFITESNDDIVRVYDGDSSDKPLLGTYSGNLNPFSLVSTGNTMYVTFKTDESNVAQGFFTRFLVYSGL >CRE09483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:9244:10661:1 gene:WBGene00059632 transcript:CRE09483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09483 MQFAVIPFVLFYIFYTATAASTPVCTNGYTLINNKCLKLYTTPASYSAAEETCRSVGATLVTVKNANDNQAITTIVGSTVSLVWMGLYCLDNDPSKCLWDDSTGSAAMYSNFASGFPLVDIGKCVYYSVRGVLAGKWVSGDCDNDPTAFVCELPYTIADNCAYNYNGFCYSIHSSAAFVQAQETCEKECGNLVSITSEMENRYVSTIGSRGISSDYLLIGAMWPWSDMFAWVDGSVWSYNNIDPSNTPNSGTCMVIVNSRASSKAFGFWEGTTSSNAWPFICKRPAGAQCPPNQPLVKVTPVPNQPSYCNSALLLAPGVITSPNYPHNYDNNHFCSYQLATLGSYKILLKFDEFITESNDDIVRVYDGDSSDKPLLGTYSGNLNPFSLVSTGNTMYVTFKTDAFNNFQGFSARFLSYSGL >CRE09485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:14579:16438:1 gene:WBGene00059633 transcript:CRE09485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09485 MQFAVIAFFLFPIFYTAFAASTPVCTNGFTLINSKCLRLYTTPSSYSAAEESCRSVGATLVTIKSANDNQAITTIVGSTVSLVWMGLYCLDSDPSKCLWDDSTGSAGIYSNFASGFPLVEIGKCVYYSVQGALAGKWVSGDCDNDPRAYVCELPYTIAGEYIKFLFVKVLIDVSDNCTYNYNGFCYSVHSSAPFVQAQEICEQECGNLASINSEMENRYVSTLANPWTSSDWVYIGAMFPRSNAFAWIDGSVWSYNNIGPSISPSSGYCAVIGNSKTSNYGFWVDTGCSNAWPFVCKRPAGTQCPQNQPTVTVTPVPTNPSYCNSTLLLAPGVITSPNYPQNYDNNLFCSYQLTTLGSYKILLKFSGFITEPNHDIVKVYNGDSSDKPLLGSYSGNLGSFNVASTGNAMYVTFKSDWSNVYQGFSAKFFSYSGP >CRE09486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:16706:18563:1 gene:WBGene00059634 transcript:CRE09486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09486 MRFPILFSIFLPLVISISSDPVCTNGFTLVNNKCLKLFTTAASYKVAERSCMDYGATLVTVKNSNDNHAVSTIAGTSVALLWMGLYCFGSDPSRCQWDDSTGSADMYNSFAAGFPHIAVGKCVYYSTQGALAGKWLSGDCEKETKAYICELPITRADDCKYNYNGYCYTFHDVPTAFVQAQETCEKECGNLASVTSANENRYIATLSNRLFSGNFYIGGLWPSSNVFYWFDGSAWNYNNIDPSYSHNANCIAVSNSISSSVASGLWFSIKCHMPYSFVCKRPAGTKCSGNQPIVPVTPVPPTQSFCNSSLLLSPGVITSPNFPFSYDNSEFCSYQLATLGSYNVLLRFSDFATVKGVDFVNVYDGDSVNSKLLGTYTGQLDAFSLVSSGNTMLVTFKSGSGKPAQGFSARFTSYSYGR >CRE09549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:100267:104031:-1 gene:WBGene00059635 transcript:CRE09549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09549 MSFPKLIELAMNKIAENIGTDKLNPSLCPVPVEFSNKIFKMAGSISSVPSELQLNQLSHYLSVTEINLTGTIMIDALVPMLKSHNLKSLSTGYFFKLGKYDGYFDNREDYEKYAPNRNGGYLTASDHRKKIRHVIDIEQFLEDALNEESKQTLTHLDLSPEPQGYESKGFDEHFVDGWAGQVGDMLPSLTSLALRQRILPDFEFTNICLSFPNLLKLDLTNTCLETLHGIKELANLETLRVGCLKIKKAGGIRQLFDLKKIRNLSFEITSSGCDCCRQGNIHSLLMKSDRRLRSLETVDFSNTNFEDGTINRFLKIYKNLKSISVCQTLVEMTTHPTIIVYHTRTVQGCIKSLKYYTEMENPVMVDSVMDLLNDHLSRSTTGVTDDDFQDCLKAVNSAIELFSCEQSGTPWILLLCGNVEILLLNSTGTGQRDGLSLSENEYDRIYKIRAKDVSTFEVDDRIKMIQLLLTNSIQEAKSLEKFPASIADAMEPWKVLSNRNLLFRTPLLDLSRIAFLAFQIVEISNSGYARPHLSVLDMIKDVLDVNTLVFNSIDFTKVRENLKNLKDTGDQFNPRVVPIVKRVLEFVEIYFPEKPTV >CRE09489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:108682:111089:1 gene:WBGene00059636 transcript:CRE09489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09489 MILPSLSGLAFAKVNSSIDILPLSSRPLGPVLSNKFFRSHCPIQSIPSKNLEEYILKVLTLTHANLMGTVMTDQIVPMFNSQRHLLSLSLGYFKKLEEYQKSCESRADYKMYKDNSRPGVERKASHRKEIRYVIDIGALLKNMISQDSRQTLTHLNLSPEPSGPADHGSDEQFSDGWAQQVGEMLPALTNLIIRQRILSPNEFQSITKSFPKLQKLDLTNTCLESLSGISNLKDLKTLHIGCLKIKSIKDFKDLFELTELRNLSFEATWTCLCCRFGNGIQMYLKSGRTLPNLETVDFSMNKIEEKVVHRFVTAHPSLKSVSICKTGIEKVAYPGVEVFHSNGLSGLVKSLRHYTKLENVNMVDAIVEGIYEELKKIERRRNFNPMPTISIIHLDRGPVPEEPEERVVQDFPARRHCMIALNAAVEKFGFLRRIMENSCGAYQLLLNLTPHENFPVADRMVLVDLMLNYVIWFLRRHPTSISGVEAPWKVLHWLIVSTIIQSMDRHGIANVAALALQTIPRTTPEDSRIPLEFFCMLRGYFNTKSDAFSVIDFPKLVEKLEVLVESGPPEDFDDWPWMVRAHAAEILYLVKTCLSI >CRE09551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:114130:115718:-1 gene:WBGene00059637 transcript:CRE09551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09551 MVSWTILLLFFLCSTNQPKMWEFLTWFYLILQPTPGGFDVYYALVAVLGFAHFHALDNFYNKDLPYVEQLRAASPSTYDGYLAGLVFIGLPIEPIVSLYIIINYLVAFSEFLFDDHHKTASVGILLYSIFTGKSFGWRTTMVIMATGFGISPMISTHHTSYSYDDETTMKFVLATSVQFIFFLLYLWKNVKIYPHHVIASLHFLSRIFGKWKQAVWDAPFSYICKHFGWEHDLEKFKLEKAGHREKTLQFFHLFSHPDETKKAPTTALELISKEVSSGNKISIFACSFAGIEVTTKGESIISAGSPEFNLFHFLKRQRLGSKNLREKLINSQFREALNICKEKGQVTVEDGVVKYGNFNWDNDTVKIRLQNIKRNILPTETKHLIELHDRNLISAQ >CRE09491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:124536:126670:1 gene:WBGene00059638 transcript:CRE09491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09491 MNTYLDGKVIKEMRYIRHVHDREVKKGHVAFVEPNYNRALFAIGYSTGNIDLIKPWITANDRSISDGAYEFEQTLKCEPMIGAAWNEYHQKLISWGETGIYKVWAVDDKGLWHSIVNMNIHDSISMICWGKYDYGYAILSPRGFIRVMSFPNKVEWIKQLPKRATCCDSTLEAHLIVGVEGGEVIVFDEDGNELKNIKIPCPIDPQLEYFDPETHTMQTRELSKPPEIISIQYFAFVPKEHKPLDVPYTADNVPAPPKGPPRPLIIVYSNGKIQFLKNTEDTEPVLYKPDRAYNVKATLAKWSASGKSVVMVWKDDRWNVGQQMRGLFVSNEGGELGVFHFAPSNEIYGISWHATERYLQLAMDNKFQYGRIDYSFEDDELDDSVDDKNLLLVEGYQKHVKKTGVLRKAWGLLTNT >CRE09492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:128185:129508:1 gene:WBGene00059639 transcript:CRE09492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09492 MPHRKTFKQCQFEYNGREMQHFRSVDEYCTWMSHLKIALLIPMFIMAVSGQCQKNKENKIYNKVYIYAMVLFVAGQFWSALFSTYIWRSVSRYKGANERKMYVVPIISYQLLSIVCLSVAVYQYFTLPSEKYIKNKSAFFYCIGYEVLAIIADVIYLKFLINYCKELLNYCEQEDAVMDSNELFFY >CRE09493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:131733:133502:1 gene:WBGene00059640 transcript:CRE09493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-85 description:CRE-COL-85 protein [Source:UniProtKB/TrEMBL;Acc:E3MJ53] MADEKLKLAEIESLKKVAFFGISVATIATLVAIVATPMMYNYLQHVQSSLQNEVEFCRHRTDGLWDEFGRFEVVRGKETRIKRRARAFGSGSYAAGGAGGGAGYATGGGGGEGSCCSCGVGAAGPPGPPGADGNPGTDGAAGNPGQAGADASQEAPSAADFCFDCPPGPAGPAGNAGPPGPPGGPGAPGNTPPAGAGGPPGPPGPPGPNGNDGAPGAPGNPGAPGAVNEVPGQQGAPGPAGPAGPPGPAGNPGTAGYAQPGPAGPPGDAGPDGAPGQPGQPGPAGDAGAPGSGGGCDHCPPPRTAPGY >CRE09553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:134257:136691:-1 gene:WBGene00059641 transcript:CRE09553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09553 MPDDLQYVTNTITMMNDFIGIHARFETKIIMDRMLALSGFGSLVKDIISMAKPNQPNPVLLKLEVLDRKIGELSRKMSYLFDDLKSFMVAHNFYKKFASTASTLMKLMQDTISNPCGHSKGIFKNECERTPPLRWALEFISQLENESTNPLKMAMKADPLKTRQTFNKWRDIIDGVLAQFLFLETYLNGMFWDSNMYGPNNLKGRIENLKNDMNQWYEDYHDQNEGWNGVRKLVEDTQDDCEHMNNAQKANKLQVDLDNILSNNAFYVLVYNDCGGYGNHAFSHEDDNFICSFRRGKCNVVVYRTFRFHYRGHHAGILRNAIESRPPYPTVDSYEDFIDTLRSEAGKKGECGFVGIIRANNNVAIKWVNCDPNDVPNGPGAFTYVQTSDRYVSNGFLGGRMIRGDKFLVIAGIR >CRE09494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:137688:139683:1 gene:WBGene00059642 transcript:CRE09494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09494 MNEAYCGPRDFPGYQKSTARTICYILYLLESFAETVYLGDIYIYILAILINIFHFLVLTRKSMRSSSINIIMAYVAFFDIASQFYWIQQEVNSYYQDVQICVHGKFWYYLQVIGNFLYWIHSVSRRHSTWLSFSIAVIRTLVVRYPMNTSFEKLSKPKTAHLCVIGLSILTLPLIVLGFFEKEAWYVGWTPCEPSGSFKYWNTGTSDFFMANKSFLFNLYNSADGILSKLKSRQQMMSSRTNKSTTKLVFYLAITFFLAEFPLGIITMLYIVIPSPKKFPMFPYFLYHFFNLFSLFLSVTTCTHMVVCLFLSSQYRETISVFVCRGCVVKTKDSISLRTAPMTVTTIL >CRE09495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:148494:158073:1 gene:WBGene00059643 transcript:CRE09495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ador-1 MDTLLWYAIAELLLSIHISFSNLLVLWVYVRSKHVRTVTNTYIFSLALTDFLAGALGIPLTVVSVMTRKPRTFYGCLFVHLILCILCTISTFHMLAIAIDKYVTICCRDRIFSSRRKRAIFLLTCSWILGLLVAILPLFNVFGFAETQSLFIKNGEMCYFTMVVDYRYLVYVIFFSTILAPTAIIVLLYSSIYSRIRTEEKQVKCLLRQSERERRMAGRRKLIRILLILVVSYGICWYPLYIINTIDYFWPQFSINSLTLWTVIMSHMSCALNPLIYAYGMPGFKKALRAFFNIQTDNNTCANYSCYMRSTGNQKQRSISVRGMPYEHSLAAIRKISAPTPTMLDRHRSRRRQTVDHIDL >CRE09554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:158615:160189:-1 gene:WBGene00059644 transcript:CRE09554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09554 MITSSLSTVSGIELSLSNPDKWKQFYPQTEMIVTRKGRLLFPHLNYILKGLDPNELYRVFIHFERVDMNRYQFLHNKWNVFGKGDEVRHIKVEQHLDGWRTGSYWMTKPISFEHVRITNDPDLKKPNTFVLQSMHKFIPVVGIQKMGDSKIEGFRLDVTEFMAVTAYQDSRLSTSSDIIALKTKLNKFARGKDLPSGSAKPSRGTKRPATSPAVLPSPPSSSEPSSVSPPHLPSETVSPQESPPYSRLPSIESMVSSAAHSGYHAPITASPPGCTQTTEYPYRTHENMQVPMNNESPSGQMQMHQMNEMSWYPMDMHQGMGHGMVPVMGREMSPEMPGMASQMPNGNFSEEMPVLTNLDSWNTKNDMPNLSPYYQ >CRE09555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:162477:163655:-1 gene:WBGene00059645 transcript:CRE09555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09555 MLTRPRRSCVKTTTNAPELDEEKDVSVVQLSSDSDDDSTPPVLELESPIQEEHKEEVGCDLATALQNCIGAQNEEKEKVKVVKDPFPKDINLLQLLKPLVVAPTRVPLATVPRQFQVFHATREGRELQRLQNNILPARSSMAPASFIVGSNTDKGPVRILENRKREMERSAMAPVAKKPCSPLEAYNGGRPGLRFGRPLMPTGVVVMPPRLPAPTPQIEKISENQDKEILQEQQQEVISRIMKETSDDSVLLGTKVLDGLNTLNERGGAEYGKFSKELFDLMMKYGIQ >CRE09557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:168245:169393:-1 gene:WBGene00059646 transcript:CRE09557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09557 MTEKTDPLTQYFKNVYPHLCIPDSRFLSSKQGLVNTSRVIVLLFLPIQLLTAYCILKKTPENMKNIKGSINNLNIWCMVSSIIYAFFACAYYFHPYKIGFTIGLLADWGVPTIINFYVAYIVNILVIMSITVLFENRSSLIVRNRFRIKTTFHRFIWILLNILWFVVIILPPGFDMPDQMEAKMLVLKTCPCPPTEFFTEKLLATAKDGFWDAYIRSSALVVFLGFTIQAVFFTCCCIFYLFISSSSHVSPQTGRLQIRAFYGVVIQTLIPIVLLLVPIMIFMSSRTDGSYDQVQNNLQIITSCIQNGATSLSILLVHHPYRNFVKSIFYNKKSKSSPTVHVVNDSFINT >CRE09496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:174039:175085:1 gene:WBGene00059647 transcript:CRE09496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09496 MATPSGIEVSLSNQDQWEKFHPMTEMIVTRKAGRRLFPHLNYILKGLDPNELYGVFIHFERVDKNRYQFLRNKWNVFGKSDEVRHIKVEQHLDGWRAGSYWMTKPVSFEHVKITNNPDLKKSNTFVLQSMHKFKPVIGVQKMGDSKIEGFRLDVTEFMAVTAYQNNDIKELKIQMNRFASGFKENGGHNKSPDSGSSPRGVKRKSTSPEVYGSVTPPTAPWTPPYNPLTPLSYNNIPVAPMLQTANTLPGYQYGDFPMYQMHPWQMPMGMYPMVPPMNPNPQAMHQNPMYMGMPMNNWGQWNMGNGNGMENQMPQ >CRE16409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:80249:81612:-1 gene:WBGene00059648 transcript:CRE16409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16409 MILAMETEYLANYYKTVYSVNCTPDPRFLASKEGVIFYSRLIESISLPIQILTTFCILKKTPESMNYVKSSLLNVNICAILAGIVLSFFVTPFNYFPYLAGFTMGFAADLGASAMVQVIIGLAMTFVILISVMVLFENRSSLIARNRCGISKTSIRIAWVSVNFFGSLLLLVPIFLNLPDQMKAKLDILKALPCPAKEFFTEPTFVLAGEEFGKTYMIATVMFIYFNFLAQILLFFVCCVYYLFIFKISQLSVATRRLQIQSFLECWDKL >CRE09498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:183808:184878:1 gene:WBGene00059649 transcript:CRE09498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09498 MTTPSGIEVSLSNQDQWEKFHPMTEMIVTRKAGRQLFPHLNYILKGLDPNELYGVFIHFERVDKNRYQFLRNKWNVFGKGDEARHIKVEQHLDGWRTGSYWMTKPVSFEHVKITNNPDLKKSNTFVLQSMHKFIPVIGVQKMGDSKIEGFRLDVTEFMAVTAYQNNDIKELKIQMNRFASGFKENGGHNKSPDSGSSPRGVKRQSTSPEVYGSMTPPTAPWTPPYNPLTPLSYNNIPVAPMLQTANTLPGYQYGAFPMYQMHPWQMPMGMYPMVPPMNPNPQAMHQNPMYMGMPMNNWGQWNMGNGNGMENQMPQSELPNYTF >CRE09499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:186045:187333:1 gene:WBGene00059650 transcript:CRE09499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09499 MGFAADLGTSAIVQVIIGLAMTFVILISVMILFENRSSLIARNRFGISKTSTRIAWVSVNFFGSLLLLVPIFLNLPDQMKVKLDILKALPCPAKEFFTEPTFVLAGEDFGKTYMIATVMFIYFNFLAQIVFFFVCCVYYLFIFKSSQVSIATRRLQIQSFFGMLGQTLIPVLFDSIPMIVQLARHSQAEYDQFNNNLMGLSIILHNGATSLSILLIHSSYRNFLKSLVGGEGGKKVNVINVSVERRI >CRE09558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:188308:196054:-1 gene:WBGene00059651 transcript:CRE09558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09558 MMVAFATSRYTTSFFQLTGFFIVIDNRSPKRMSSLTSRSQSPVPRSRKALSLPPEREVPRTGAKSRSRSRPTLTQSIVGPTKTVIKKSIGKAKELIEASKAKLTFFDDPQNKTLENIDAETLESTDKLVLKMKTAIQKITELSDFIERQFNKPEMRASPDRDAFLKEVTDALHDSGANEVLLDLNNGVNNLEYVLASCGRHPTEFDPYGTGAQEDDRLVEDNELGSGISDNVNVRQNNIQSTTVEQPSAIRTESQSSSGPITSSAARNISQMDYDYEAFDQLANGHKFMNLRLQEENRILRINADKHRRAHDEDVRRRTAQETENIDRVYSLQNELAQQPRGIMTLAQPHVEHSPMPNQALALHAARPQRITVQPSPIAASTPNNNVDTAQATMATRAQATMQMVSTSAGTRASVITNVEKHIETPILSAPVPFRSPLVVPQHNETPIANNTPNMHDIMQAIHSVAEHQKQIVHHNTSMIHELEQRMDARFQERAESIISKRSKRQQQQNSEGSESDYASRYEQGLASADSGDETDRPQEAQAPRPQTTRNRKKEVPKPKTTEPSAAGPQNTGVPADFMLKYLPKFDGTTDLDFFEHVYSKFVLSNSHFNAEAKYAILLNHITGPAKNCISLAKDSQIAIMTTFCSLKKVYGKINNRHSLISKLQKMPFHQTDPDAMRLDVVKIAGILQQLKDKGVPATDHMVSGAIGAKLPADFKKSLARYTVEIGEDNITHDQILDHISSEIEVITIEHTFTSQMNQPPMNELPESYAAIHYTNSNQSRTASAGQQSYKTANANNRDPVYVASQHPHEYTDPATNAKLEGYYAPGAKGVNLKLIHRTFPYTNEEDSKCAVCGGKHHIIRCPLPSTEFRNKIKQKGLCPICARKHEITKCVPRRSKHFFVPVTPTSLNKGIPADTGSKVSNPVSHADLPTAYYNNYCSEISPVCSTNLVSNNNVSFSAVPPRYFVADPTNITYFKNLVSEDCSEDKDPNELAMLLFTRFLARSPPHQVTTAIADCDNNRLTFLCLETSDGQHLLALSDSGASLSLIHEAKAKALSLPILKETQLTVQGFSSTTFARTKIFALNLSLYGTDKPLSIMIVGTPTLPNTKFAAPKCSSEDSQYIRSHRIDTQRVRTSAKYNGQKIDMILGNDLLSWISAQQQYRKHSLPSGRALEQTQLGILIHPVPKLDLWSPGHHPLQSDEYQSTINMANTILNSCEPEDAMTKLTYLVAQMYRVENLGIENITVSDDLKKTTLDLLVEFNKTVKFNKDGQLEVALPYNGNQVRLADNYAVAFKRLGSLLATLKRGTDLLEKYAKIIIDQEIAGYIEKVTPEMLKVKGPKYNIPHRCVVKEDSMTTKLRIVLDASSHAAGELSLNECLYAGTNMITPIFGILVRVRFPPIIVVADIEKAFHQVRLQPEFRNVTMFLWLKDVTAPATADNIQVYRFTRIPFGVASSPFQLAAYITFNLDNNPHDLNKEIKDNIYVDNCLFCVNDASEISAIIKDSKEIFQKMGMNLREYIVNHPETMQSLSPADRAQQSTIKLLGYTWNSIEDTLSVKIAQLNIDHPTKRDVASKMAETFDPLGLVSPILVPFKRLMQRIWNDDTNWKDPIPKELLHEWRALCNNYIDRAISLPRQLTTESGHSEIHLLMFSDASHDIYASVCYAYYIVDGRPPVVSLLASKNKIRPSKNENWTIPKLELLGIQCASNLACAIIAELRVNIASIKLFTDSACALYWILSEKNTRPWVGNRIKTIQENRNKMKECGIDTTIHHCPTKENPADFATRGMSTTELQNSKMWFEGPDFLKQDPGDWPCMIQGKVTCPAEFRDLVYSEIIDPETKKARKPLMERKKKVTTPAANKEAQTPSDTVMTTDIRVTRKGSFIPFYATKSLTKLTRIVVQILCSFSISLKNKSWESQVMKEFTKSDCPLHRAKVARLLIITEHYKDCEALDYKYPTDIEFKIDTQGIRRVHRRIESPVLPQEASEPIFIHNRHPLAQLIARETHEINGHLPETYTVSAIRTKYWIPKLGGILKNIIRECVECQKVNNFPFDYPYTKNLPKCRTTPSKPFSNVALDYLGPIMYRADDGRSAKKAYVLIYTCLNTRGAVLKVVPDGTAFRYIQTLKMIFGEVGVPKSIYSDNASTFKLSGEIINKDIKNADYSQSLVEYLARELINFKFITPLAPWQGGIYERVVKLVKTQITKECGARMYDYYSLQYVVSRAQSMVNNRPLIPHARSPGDMVALRPFDFINPGVLTEIPAESEDPNVLPRSTEATVRAHLDKMEAATERMWKLWSTGYLLHLRENMHKKKRCSLIKPEVGQVVIVVTKLVKRHKWPLGIITKVERSERDGQIRSAIVKVKGKLYSRAVCQLIPLELNPLNHLSTQAVKQADLAEDNNSFELPTPAILEDPDMRYAPVLFPKNDLPNIAEAEYNLPESNLPLNPITDKLESIGEPGEPDYEDFELLGNGVEDESIYQDPQRIIPAEAAEDDFAELPTGRVREYLSRKAKSMPINYVHITDASADAKNPSPPPPRECCQLYQRMFSVANLKVI >CRE01390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:37950:41017:-1 gene:WBGene00059652 transcript:CRE01390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01390 MANQRPNLLPLEHVPPEGYDRPPNIRGPYVQHPPRHPQPREEDVVTRQMSFEQFFDRRTPFTVTDIRLFARLEETWTINLLPNSIFDGESLRLFVWRQERPTPAVHWEQSVIRLPVIQQAPRAQQFFLRYARSVHEPMVNGVSRRCPICGSQILGYPMWDHAATECPFADLRDTTRIEFMSINLVSFCNLCNSRSATHITCTPLLCQLCRRPGHTTATDLCHHRFGHPQNVNELRAFVYNIRRQHNMRMRQMLQSGQHQLLYRSHVDNPYHLLLRRMPANRQQVHRGLHFFEDWNNEFPFPEIRAYIRARENRRADYRSMVPPEFFNQAQAPIPLFDEESIEYLERIGQMVTEARMYPDRVKSMHLPPPPTVNRRPVYPRHHPHQVQRQYSPPVQRPLERPAVQRPIDRNLVETPILRADPPGLRQPPRFVERPQYGTPQLPTYDHSDSQPSTSARPTSAVHQNSTTPSASESTSNQPNLCVRPSESISDWALHMEQKLEMEIRAQSAMQVVETLDNHSQLSSEANTREETPEPQPSQQASQSQSPQQNGKTSDTTAERPTSAASTHTSSPSQGSQASNGSEQRDIREANLDREMSSKVLLWCHEPLKILDQPARHAFQHRAFNKLMRIPPPDGKPAYICRSQALTLILTAQEDQRMDVWNQYDLANIRNYYRWLVKLGHALSHERILIVMLEKNNAINVCAKATIGADFVLVPTIDLWQQETVQNAFQSMLGDDQFGPVRDFDRFENQDNNRWFRDEIPRFRDGNEQEEMGEEQLAAQLFAEDMWFGVLRSQDLEQALNSAVPRSMPTLAVHTQWMLSFLTQKIQPDIESDNFAMISYYPLVRAAMQVMVEVRQNPVNTVEVTLYDCPDELAAAGAFGHRLVMPTLELFAKFPARRWRVWLQYTWEQLMTQLEEETEDCGCQTTNFQEIPQEP >CRE09560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:201435:204927:-1 gene:WBGene00059653 transcript:CRE09560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09560 MALDDKSPPSAQQANENVEEKSVTTSAAIPTPTSADSSSNKSEHSDDSRNNQSDNDVNKDSGASDNDVDKESGDSDTDNNIRMPPRASGAPRHLHPLEADLHKAFNERVKASMDKGWAHLGGGHFNQFRVINADGTSRLIPHPFPPGVPAGTSVFNSRTTPPEANIPRPTPPPASAPIMDRIGRLVQRAVCCSGISEGIRQLVRSCSDNEQKLTEVLQRISLKPMPRDAKTIINGLSKWSEAREFLGTRFNIRIQTKSSTQIAYYSVHGAYAKQLLYLDDQHPQFKEKKRIADKKEEDWAKETTSALVVTELVQHPPIHTFRPHTFGRRQCPCIKTMMHHYAAHDANIQCEARRVLQEAILEVHHQMTSHSLSELKSLKLGWNPLDNGANTRRHRSHHFSYYTTIVIFRYALIVSPCNIYCRMSDIEEIQSDDDTSRVVRAIQEELKTPPAPSKRTSRRSASPRRQEEDQDNVPSSSAKKRGAKTSGIHASEPQVIPKKGRISEPTSAGTRKEDLEVRSIASKPMEPTSAFVASKEYKELREAIKFVKNKLETFKSADDVAPAWIRSTDQIATMMSCIDKNMKELVKSNNKTVDTLQNACDIIRGLQDLVASLINTHHQEDWLKGMADVVGRTDETRKLVGSLAAATGRIEGLLTATTPAVSSVTRNPYKLENTKSEKPGTTSAKVNRGCILCDSEAHNTENCRSYPKSIDRIQQAHKLNVCIQCLEPFRANDKGLHEECTRVGVKCRRCFRYVDYEEASYHHPVFCVFKAQNNGSGASHEPPNKPKTPVIKQHPLARGTSRRGH >CRE09561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:206807:207900:-1 gene:WBGene00059654 transcript:CRE09561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09561 MNMSDTLSQYFINVYPNLCISDTRFLATKQGLLLVCRTITLLSVPIQLLSAYCILKKTPENMKLVKSSLINLNIMCIVSSITFSFIACPYFCFPFLAGSNIGVFTDWRVPMSFQYYFLLAVSYGMIISIIMLFENRSSLITRNKFKIKKFSNRLLWIMINFFGCIGLMAPIFLNLPDQMDAKMAILKILPCPNIEFFTEPIFVLAEGFWNTYMIVACTLTYIVLTLQVLFFTSCCVYYLFISKTSLLSTLPENVLSCQLEGHLKAP >CRE16383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:77748:78638:1 gene:WBGene00059655 transcript:CRE16383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16383 MSVQYCLILALNYGMIISITMLFENRSSLITRNKFKIKKVSNRLLWIMVNFFGCMALMAPIFLNLPDQMEAKMAILKILPCPNIEFFTEPILVLAEGFWSTYTIIVCTLTYIVLIFQVLFFTSCCVYYLFISKTSQVSLQTRRIQVRRFYGIFFQTFIPILLILAPLMILMNRRRDGYDQLENNIMMFTLCSQSGATCLSILLVHTPYRNFIKSIIWKQRESGTPITHVTGDLSLRV >CRE09562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:210368:211499:-1 gene:WBGene00059656 transcript:CRE09562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09562 METEYLANYYKTVYPVNCTPDPRFLASKEGVIFYSRLIESISLPIQLLTTFCILKKTPESMSYVKSSLLNVNICAILAGIVLSFFVTPFNYYPYLAGFTMGFAADLGASAMVQIIIGLAMTFVILISVMVLFENRSSLIARNRFGISKTSTRIAWVSVNFFGSILLLVPIFLNLPDQMKAKLDILKALPCPAKEFFTEPTFVLADEDFGNTYMIATVMFIYFNFLAQILFFFVCCVYYLFIFKSSQVSIATRRLQIQSFFGMLGQTLIPVLCDSIPMIVMLERHNRDEYDQFNNNLMGLSIILHNGATSLSILLIHSSYRNFLKSLVGGGGGKKVNLINVSGERRI >CRE09501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:214597:215752:1 gene:WBGene00059657 transcript:CRE09501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09501 MTETTDALVQYFKNVYPHLCIPDSRFLSSKQGLVNTSRVIVLLFLPIQLLTAYCILKKTPENMKNIKGSINNLNFWCMVSSIIFAFFACPYSFHPYKIGFTIGLLADWGVPTNIQFYIDYIINIVVIMSITMLFENRSSLIARNRFRIKTTTHRFIWILLNILWFMTIILPPAFNKPDQMEAKMLVLNTCPCPTTEFFSEKLFASAKDGFWNAYLNMNGLVVFLGFTIQAVFFACCCIYYLYISTSSHVSPQTRRLQIRAFFGVVIQTMIPILLLSVPIMIFMSSRKNEGSYDQVQNNLMIITVFIQDGATSLSILLVHHPYRSFVKSIFCCKKTNKSLQTVHVVTDSFINT >CRE09564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:216885:218517:-1 gene:WBGene00059658 transcript:CRE09564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09564 MQSYISYNSNIFSLSTFTMFSTVENLTFPGVFHNFYDNFEDSLKPVGQVKSFLKVVSFLSCTSTFITVLIVWNASGRLKIEYRNILLAQLILAFCGCFLISLISPSFLLPYPMIYLSGPFAINYRFTLYLSSLVVLLYFFPTMLLLSFGLIYNFMSLKQIASAWFHISVERFLKCLIALVTLILFSGNCFLISQVSGSQMAMQKEIVDTVDERCEKLFEKHAIFVFDIYSIPIKLASLEALMLSFLSVVFCIILTVLSCKHFERQKSNMSQATAKNHRMMMYMLVSYVAHFLIYFSFPLAAFTMAIHEVKPFLGNLTFLFIISPAHFLGISLSVTYCLIISPYRRVCIGIILLLTCSSRGPDTRNQNSNSNSSISIIDNILRRHSYRVSSTTT >CRE09565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:219046:223664:-1 gene:WBGene00059659 transcript:CRE09565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09565 MKEGIPFFKFSVAGHNLTVSMEGLKNVLTEYYGGPVDSGNSKEKKDISDLQKLLNADTHKAALKFAEASLEWKNDFFIHHPVLPRLPTDFITCCREIPSIHAFYRVIVENRNGYIMTPCHVNVHGYHRGDLRYIEVNDRTVTRRNERTSIVGKLFLGDIIGVSGLARMTEFKEFDVFQSKMDDQCIWMASNIQVYSRKTLLNVSFSMLSNGTAVVKDHNEVMNVINLGSLRLLPGKMYTGTAFLPEKITRHFTMDHGRNQKNQIIAKCSKVHQYPNALGSVFNFKESPALTEAFDIGVKAFSSNRNCIHAENASEQVMETCVLMGYAAVNSINNGRFDCRALPMHNIRREGVLLKFSIDNPADNPTEGKWMINCRIKISCPSTRVMAIIETVTIDNTGKLWIIARFSQPVPSSNKFDRGVHIVSQQESFEHQVLTTGFYNKTKTNDNGRRILEALYGGRAITHNYPTRTRAYNFPSRVPIALNQYQCDYVNMITGNIPIVVGCSPFGCGKSMTIVAAALEIHKKMTEESVLRKYMKQVMLTQSNYASVNLVDIAKRIRPEILYDFKFVRYISEKNWKELSEDCKTEYDLPTLMAREFKAWALGQKTSKMLDYPQKLNMINFLLKEKLLYPENFKGEAFLTFENGCKRSEPWPSYLLKAFFNLYEPDLIITTTDSLQSLLKSAVLLPHSVGAIQIDEASQVPEYAFISLLTSFPNACYGLIGDIQQLSPYCDTNLNGKLKDYGIGNTMERAVAGKLFPQAMLREVYRCNPRVTNLLSDLFYEGRLIPGVTEDQRNEFLRMRPDFWPASQFPVMIVNNKDRGSRVGTSCQNNSEEEIVKTLLNRLTKKYNGYQLQPSDIGVISFYAAQTSLLIGSLRGRGVKCGTVDAFQGSEREIIILCCTNERISQFMQMSKRINVAMSRARQATIIIGNVNGLKVAGYWRDIVREAERHFCIIQAESLGDIRIQPYHAAQRQNTEEVYHNNRTQVPRVSNQAFITNQMGVLSISSPNPELSTSLSAEVMILKKELEDARKTADLLKNELKKVQEKFQENEWNLCEICVSPYQQGVADKTPKFLVCGHTFCQGCIICMTSSNTIDCPTCRFASQGPADKLKTNFAIYKHL >CRE09502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:224158:231425:1 gene:WBGene00059660 transcript:CRE09502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09502 MKEEKEERILINLRHDDVTAATCLPICLTSSLCLPSTNIGQIYATCHHLVVRGYSSVIMERVKNVLTEFYADPGEPEVCKKKEELSDLQRLLRADTHEGALRFAEESLYWKNRNFLSYPELTRLPIDFISCCRVVPRVHAFYRVIIENPNGYILTPCHANVHGYHRGDLRYIEVNDRTVTKSNEITSIVGKLFLGDIIGVTGLARLTEFKEFDVFQAKIDGQCIWMATNIQVYSRKILQDVTFSMLSNGTAVVKDHIEVMNVVNSESLPLEQGKLYTGTAFVPEKIFRHFTMDHSRSQKNAITAKCSKIHQYPIALGSIFNFEESPRLTEAFNIGVKAFSSYQRVIHVENTPNEIMETCVLMGYAAANSINNGRFDCRALPMENISRKGPILKFSIENPPENPTEGKWLVNCRIKIDCPLTNVMAIIETIVITDPRRLWVTARLSSNVPNNNKFDRGIHIVSQQGSQENQVLRTGFFHKTGLNDNGRRILEALYGGRAITHNYPTRTRSYNFPSNIPIALNQYQCNYVNMITGNIPIVVGCSPFGCGKSMTIVTAAVEIHKKTAEENLLRKYNKQLMLTQSNYASVNLVEIAKKIRPEILSDFKFVRYISEKNWKELSDDCKTEYDLPKLMGKVFKSWALGEKTSELLSFPHMENMINFLLDGEFLFPEYFTGAAFCTFKFPRFQKRYPSVRFLLEAFFILYEPDLVITTIDSLQALLKSEVLLPHSVQTIQIDEASQVPEYTFISLLTLFPNASYGLIGDIQQLPPYCETGLDGKLKDYGIGNTMERAVRGNMFPQAMLREVYRCHPRVTHLLSDLFYEGILIPGVTEDQRNEFLRKRPDLWPASQFPVMIVNNKEKGMRMGTSCQNGSEKELVKTLLNRLTEEHNRYQLQPSDIGVISFYAAQTSILTEALRGRGVKCGTVDAFQGSEREVIILCCTNAKISQFMQMSNRINVAMSRAKQATIIIGNVDGLRNARYWSDIVKEAEREKCVIQAESLRDIQIRSQPNRTTSQRQSAREYHNNRSQVPRGRKKPDILNQMSALTVSETLGVVQIPPSQRIPRNERNRGYHNNRTKVPKVSNQANISDEMKVLNVSTPTNPESSTSESADTLIMKKELEAARKMAEQLEKELKKVQEKFQEKEWNLCEICVSPYEQGVAEKTPKFLVCGHTFCQECLIHMTISNEIKCPTCRVASRGPAANLNTNFAIYKHL >CRE09566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:231832:236834:-1 gene:WBGene00059661 transcript:CRE09566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09566 MFSSYFSKPAVEETEREKNVLTPYYEDIVPPKMRKKGEPLSYFQTISRAYTHEQALKFATASLEWKNLVYTQFPNKPRTKAYPVTCCQGVRDTYAFYRVIAEKKYGYIATACHVNIHGTHRGDLRFIEINDRTVARQNEGTSVIGRLYLGDMIGVSGLARMRDFKAYDVFQSAMDGECIWMATQIQVFPRITLTDVAFSVLANGTAVVKEQSEVMNVLNPTSFPLVPGKMYTGTAFVPEKMFSNFTLEHRAEHKNSLIYKCSRIHQYPNSLGTVYDFKESPRLTDAFRIGVDAFDIHTTSKYDENTPTEIMETCVLMGYAAANSITNGRFDSRSFPMHNLSRDRTVVRFSIDNPAEDPTEGKWMINSRIKISGPSGELNATIETVITDRKSLKITARLSRDIPNHVKFDKGIHVVYQREAMELQVLRTGLSNKAPPGSNGRQILEVLYGAEPLECDYNVNGTSYFFPDKDPVELNEYQCDYVDMILAKLPIVVGCSPFGCGKSMTIVTAALEIHKRSVARRNKFYTLEKKKMQLLVTQSNYASVNLVDIANKAKNGTDSEESKLKFVRYLSEKNWKELADDCLTDYDLPKLMEIESLAWSLGHRKHEKLEFRHMASMANFVIEGKLARPHEFVGQAMSTYNNSAFKDFKSWPRDLLEAFFMLYDPDLIMTTADSLQTLLSSAILKPSSVETIQIDEASQVPEYTFISLLTSFPNASYGLIGDIEQLPPYCETGLDGKLKDYGIGNTMERAVNGEMFPQAMLREVYRCSPRITNLLSDLFYEGQLISGVTVDQRNEFVRKRKDFWPKSEFPVLVLNNKEKGYKMGTSCGNKSEKEIVNALLNLLTKEHNRYKLKPSDIGVISFYAAQTSILTEALRGKGVKCGTVDAFQGSEKEVIILCCTNEKISDFMQMSNRINVAMSRGKQATIIIGNVDGLKKADYWSVIVRAAEVEGCVMDTNFLGITQTSRPQFSKGRNQKERTPEGVAAVTNPTARGRGRRGRQRGNGNPQEKLIDEDLPSTSNQQANNGRNNRNRRRNNKAKPLEGAGDVPSASTQQVQPSQQQSKRGNGAGWRRRNNKKESPAGGLESDRMVAWKIPQSTLHYEFSTQLYSICRRQTEYFVSRVYSLEMHKNEAETPTNLHHQSGQINRRQPDIVQQLGALCLTVVDGLANGIVSLYTFFTRPRPE >CRE09567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:240314:248677:-1 gene:WBGene00059662 transcript:CRE09567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09567 MTFERTEEDLIGLTIRHMIQAEDPTIQPFTSSPASAIRGGLRDNIIASSGRRGIRSDPPTYSSILEDNSEEYTSEAESEASIDKRPDHVPARFVPTQRSDDGGYPSHHHHEAFNRTVSAVSLPVGKQLKDMSDKTQYERAIEQLNNDPMVHKEVALGRRIGFYRLGKELGAGNFSKVKLGVHQLTKEKVAIKIMDKAKMDQKAQKLLTREIQSMEKMNHPNIVKLFECVETLTRVHLVVEYASGGELYTYVHERGKLSEADAKPLFAQIVSAVAHMHSRNLVHRDIKAENVMFSSPTQVKLVDFGFSCLVERDQLLQTFCGSPPYAAPELFRDKSYSGELVDIWALGVLLFFMLVGVTPFKGETVADMKVMIMEGKFQLPEYISLMAGELIKGMLKTETDKRADIEYVKKNFWMRDCRFTKSYLSIKANIKIDDETEKSAINDKVWKIMNNYGITREMLVEENMDKGPRDAVIGTYRIVQFQVQGEMAKVSLSVCPLNPDVFQIAKDKETPPPSSSSIANGSPNHLSKKEKFNKNRSTTCLIL >CRE09568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:258209:260979:-1 gene:WBGene00059663 transcript:CRE09568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-120 description:CRE-SRX-120 protein [Source:UniProtKB/TrEMBL;Acc:E3MIX5] MSLIDEFLYSKFSTPNVRIVSGVMLILVCLTGSIINSLNFIAIIFRVNKRDGFLKICGLSSFGNAIVCIGYLCFPVPVMFIQSEPNHWLNAVMGQLIGWFAWSIAPLSQILLATNRITAVFFPHLHMKSYKYSPTNLSITFSLLVALFLFVVLLPEGCHYLFNRDYLGWIGEVSPCTEIAQDIFLVSMVTIASFTACCSVLLFVKLFLNTPDHRISSAQILQRHRKNRRMIIQALVQSFLIIVDSLNSTITYNMFPNLFFQFITLSFSMVFLRTVEGFVVFSINSTINTEVKKMVGLKNLIQVGKTILVPKQSISGQRNQNQNLNVRRGTS >CRE09571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:269328:272049:-1 gene:WBGene00059665 transcript:CRE09571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srz-6 description:CRE-SRZ-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MIX8] MDSTTPFKLRNDFFTHHKFHPKKKSDPDPLIIVFYELFKKIGWTMFRFGSLFLVGSYVICFTCLLGFIISIILFPFYFRLFSKNRPNEKSLPLYPIIQHFYRYVCLYILLIVVFIFHCILASFLHSDRYDMFFPYFFITTITSPVFNILLIILAVQRFTIYFLPNYEIGFGQKTWTAAIFILYLIVILINGGLVIAKIEWTAENFTVWSAEKLEIDGGIVVSLDEMYIQFSFFLEALSVISTFIYIPIFISVTNKVNIASVISSKPELYIFFQALSINLLKLPTIYLIVHLFILRYDSIGELREVFVLQNASNFFTVPFVTELTYILCNKRNVKAVISFVNPWNLFCRNCRRNYAVGPTERETTI >CRE09573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:284889:293703:-1 gene:WBGene00059666 transcript:CRE09573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09573 MGSLTTWTPVKNVGLNLTVSTSYDESNCNAYMVPLEETAPMTSDFRGLSERLDEMVLADPIELDDVSASTHDYPDKISFTGAPLLDPLTTSSHEVIEAAKRRRNTNENHVYQKFDKTKNKYFFDDCNQNVVPKELEFFERFRGEEPAPAPGYLPVPIYQVDSLEQMPRVLEVLSTTSMSVVRGLGDVIGLNPDDFLIETLAKVKHDYDLICLRQIPQKATTNYWCIPKKGKKGAMPRGWACYDAHHTKKLGDFSGYYEKIQELVREGLQQPPTVVTVQDMIPFPLPYSAPPALYMYSVFDFPAPELPTPPETTRKRTSDGVDIDSKRMKTEAEGTDSDCWLDVEIYPSEDSFTENMQMVEVPEEVNQPEDPIDLVNHLVANQESSTSSEVPARRRSKRLETIPTKISASFTRKPRAPRVQPVRAQPRNRYGKINVHRDSRLWFAKSSIMCVSRRNGVAEAARRVFKYIEDEVKNVTRFPDAFRPDGCGTLLNYAGEMIAGLNKPQMYLKLPGGRTIGHLENNCLASLNYNTGPGDCIWYGIPMEYAAQVQKIVAKRMKSQKMLIEGFWGCEAEIIAAGIPLQKFIQKPGDLVYVGIGTYHWVQSSGFTTNLSWNLATPTYIQLAVAAACHDYYLANKYPSLMPIEVIAWNMVMQRAEMDEKMKRLVKSILMRSLANCQFEIDYFSKKPKIFTPKDAKNGDVNMASVERCNHCRQVLFNNVPVVLIKEQIPPKKGKPAKYIENDGNGTTVVPLVFCFGCCAKMRFRHVTVYQRYSLDTLASLFNGFHVSRDAPSAPDAPSTSNVFDDPYDSEDDDDYDPNAPSTSTAHLQRRKRYH >CRE09503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:299042:299902:1 gene:WBGene00059667 transcript:CRE09503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09503 MNTSDLFGGVSSDEDDSFVLNPTEKGTEKESTNTLMADLEMSDDSDTDDVLSVKKSESPVGKPVKFFLSSDDDSQDMPDIDEIIKYHKEVSSGKQVIIGVMILIKLFNWKFQISPANSVKREELEEKKVKLEDVQEPPKKKIRVEEESEIKPIVQPPQHDKSSENLIHARPEPSQNSMSEEDQIAQMKHQILLGNMSTQQLEQYTAYRRSRFQKSTIRKLVKEFTGGMNVNDNVVITIGALAKMLVGDIVEEALDIRDLKEDEADQPLKPHHIRSAYMKVARQGQF >CRE09576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:300368:301068:-1 gene:WBGene00059668 transcript:CRE09576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09576 MAWIQPSRDWFGGGGGGGDDRRPPGGSKRNLPADKLDFSRKKRARKTKKDSSPDETGEEYTKNRNWRDIGRRKNAAWIRDYNRIFSRVIDGGELRALRDTDPGYKGRDYELALCRVLGERRNVYIDQQALREELYRLFTYVIPLERLLLSLEAEQVEPEWFALTLFDPYRSHLFDNIVFENPRKEQRRYENHQKKLKEEKEKKDKESGPKK >CRE09577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:301908:304539:-1 gene:WBGene00059669 transcript:CRE09577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09577 MRAKLISLLIAILVLADPIQCQLSVDNSLWAACNQTGTSAGFKQRSVDDPSEGDKCTLAFNVAVRDDDDAREFCSLYAPWRLVRAEIDVKDRPRVLCHVEATLACKNGWIQMFGYCFRMTSERKLANHTDAQTQCNNLEEGATIASLRHKNIVGVWRRYFRGIAQIWVTASETWHQYIQKTGTVDGDAFAIAFTGKHYDFSVHPNSLIKINPNIKLQVLCEYKPPMTSAEINYLGRRYSEIYYPSVKVPNGILVRSASSYTTSTNILEICKKALLPYMVGGVDPFVPDAETLAAIHGTKLPFTLLTGSGARAGINKDSTAQEKCIDEEKKFLVEALKKDDSKHEVDGVEGTDHCDNMNTAAIVHEAGAAKLKTMSDSRSLPIWCKLGRKMEFRYNTTPGYEIFHRSNGEVVAHRLFKEKKNYVEASKICKENGGFLSGINSLDEADHLGSKFCCIRNRFNNSSFAGLAAQANLTVESIFLGGRRKEACLQLETDLKSETSSCSRNRVIEWQDNVAQDFHTEWWRNGADNHTNPSSKVSYLQDCLTYVVGTPSWANNDTKAFLDDVNCNWEQYFFCTNKVTVTPVDPL >CRE09504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:305470:307111:1 gene:WBGene00059670 transcript:CRE09504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09504 MARPDQQFVMSALIENVPDIKENEDYFSKEEEHFNVPWQLRYRTEETSDGEDQVSNKFSLYLKCLKTSDDIPWSVKAECELSVKSANGRTTLKKETFDFGSQVAKTSFGWPLIAWNTLKKDYIVDGMLKIEAIVSIKEMVGIEKKALKTFDETKKQYSDMVLLVEDQKFHVSKLFLASHSTYFNSLFFGNFEESKKTEITLTDVKASDFQNFLEVLHGEPGINDNTVVGVLHLTDMYDAPTAHGRCEEFLLKESMKSLKEKLFLSTKYKLANLKNNCLSKTRSIAEIRAVLPGNLEDLDHSVMATLFQKSLSHQ >CRE09578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:307230:309400:-1 gene:WBGene00059671 transcript:CRE09578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09578 MPLKFLSFPNLIQEYILKNMELCEVFMMSLVSKKTKYCSIRARIKIPKILFNIRKKAQSIRIGIDEKKMKTKTIIRLWEVRKLKTRRAVRIKIGDDFEDLAKIRSQESQTNEQLCLIKVECIDETLMKGLYDHFKSLFCESKSNSLWMETSMLFSIEKLPIYENVDKIFLTGESESADAKDFESFITRYPNLDSLRIQPEIIGELDVVIDAQKVRLTNAGKFGTSLLEKFDGRHLLFSKWIVEEKQLNEFIKKWMKGEGYHNLETIQATLILNWNIRMDDVVNELETERFDRTKRPEHFNIDNETLFGFYSPPVSFAGNDTYDVIRESDGKRASVRASTNSFWFAVWNAGF >CRE09505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:313685:318129:1 gene:WBGene00059672 transcript:CRE09505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09505 MNSDYESSDNEEQEDESSVQFLPPSTLESEMKEMIADVQSVLEIKTGVCRILLHKYKWNKDSLFDKFYEHPDTTAFLIDAQVIPKPSPTPFPAVPNIPQECEICCELTEKLSGLACNHKACFDCWKSYLTEKIVEGRQCEIECMDSSCKLLIEDEKVMCYITDSTVVAMYEKLTINSYVAANQYLKWCPGVDCGLAVKTTSTEPTLITCPCGANFCFACCQDGHEPINCHLLKKWQKRCSDDAETCNWILAHTKECPKCQVIIEKNGGCNHMTCRNRSCNYQFCWLCMGSWSGHATAGCNSFEDEKTALRQKSRVSLDRYLFYYHRHEGHRQSLLLEKNLQEKIAVKMEDLQKIGRITWVEVKFLEQAVQVLSTCRRTLMNTYAFAFYLKRDNHAVIFEANQRDLEMATETLSGFLEQEVEFHNDFHSLKLSILDKTRYVEHRRQVLLKHCAEGYEQNIWKFDETAAY >CRE09579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:318586:323463:-1 gene:WBGene00059673 transcript:CRE09579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09579 MSWPTAQTREHPELLRSQPKIELPQCSSDSDPLFTELLSNFLISEGIPLEVSRDSTFQDLISHFNPKCVIPTENSMTEYVEKHYVKPLINYPKTIGPISVTIDIYGDLNEKFLVFSIHYFEDIYERKNAVYLKKISNNRINTLNTICRSVNNYSFRDVKFTNLVGSNQEILRMNGSRELVNQFHICFYRKMSKFVKNLLGINIFSHGLDLLRRFIRFIKGNPDLYRMFENFQSTRNQESKEPNLPSMDNDSWESTYIFLTKCLLLHESFADFCEQYKIRLYITNMVFKHLIHLQRLLRQCVYYCRSLSTPSSSISQIIPAIEGLRRLIDREFPFQQDKVLELLDSSFENYSRNCYEVAVLLDPLFSYTDIFPEEKWKDLENLVIEEFVKTNWKWTVHSGIQDATMMNSRERMTFISSEIEIYREFSIKERPEESDCPFLWWAERQSQFEFLSVMAREYFSCPAVSIDASFYFSEGGKLHRLSKMYSGQQLEQCLNLAGSHQEFRGKGASEDDITYSMIEKLDGLTRNSKSKIPYFQTIRKVVLKKPEPQLVVKKLDPEEPPKTAPIKRKRALPNPDEPKPPPRSHCTICKDLKQYDSLWYFGRTIERQILILGWLSRGFNLEEDITRIMRKKAFNVCPCHIRETIEEIYEKLGLKVPKDLYSCSMELFENMFNSVAHLSPGMTKEEFQEALFEFFVKYEDVKEELTRPKLPWNKVVKVNQDDELLESEGTSRPDEDEVDPLSADLMVNSKTTYDLSTPRNRRCTICRLLRGHGDIKPFRKESDRHLIIIGCLVGESINIRQAEALMKKTNIYVCNSHIEETHREILKKLCSEDLDAGSKEMMTTVTLLMPELKLSTLKRMLKEFLIKFNFLMSEEQKYVPKPRRAQKSQKSASKESEESEERDQSEENDSLDEGGETPESPGESSEESTSSAKKEKKKKSRKRGTARVSSRQLRRTRKY >CRE09580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:325009:332496:-1 gene:WBGene00059674 transcript:CRE09580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09580 MNSDDEIEFDASESEPEIDEVKYQLKMTISFFQSLSRDALAAEMNEAIEEVESVIQVPPGTCRILLHKYKWNKDSLLERFYEKSDTNEFLIDSQVIPKVKKTFDSKNEEAECEICCDLVELTGLACNHRACNNCWTMYIMDKIKDGQSEIECMASDCKLLMEDEKILEYITDKEAITKYRDLVVDSYVEINNLLCWCPNAKCGKAIRVKVNEPQLVVCDCGTQCCFSCTEEYHDPVGCRHLKMWNKKAQEMKDRKHNGEGYGADKETFTWLMSNTRDCPKCLVSIEKNGGCNYMLCKNPKCRFQFCWICMNAWSVHSNAWYKCNSYDEEADKKREASRADLHRFLFYYTRYFNHKRSLDLEQKLRIIVRTKMEELERKQMRWIEVQFLETAVGVLSKCRKTLLLTYIFAYYLKKDNNTAIFEGNQKDLEMATEQLSGFLERDLEQEDLTALRLKVQDKCRYVEHRRKILLDHCSEGYEQDFWEFSE >CRE09509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:354130:356531:1 gene:WBGene00059675 transcript:CRE09509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09509 MKAILNSLLIAILSVLAHPIQCHLKMDTGLWAACNQTGTSAGFKPRSSFDSTEGDKCTLAFNVAVRDEEDAREFCALYAPWRLVKAEIDLKDRPRVLCHVEATLACKKGWIQMFGSCFRVPDEHKVAKFPEAQEMCKAFDGGAIASLRHKYIVGVWRRYFRGVSQIWVDASETWHQYIQKTGTVDGDAFAIAFTGKHYDFSVHPNSLIRIDPNIKLQVLCEYKPEMTAAEINYLGRRYSEIYYPSVKVPNGILVRSASSYTTSTNNLEVCKKALRPYMVDVDEVGPFVAEDPILAEIHTTNLPFTLLTRSGARARVEAKFMQDKYCHGSPKDIAMRFGVDVPNSNAGSFVLTNVPGEIKCDNMKSAAIVHAKDAAKLMIMSDSRSLPIWCKLGKKIKFKVDVPPNYSLFERANGEFVAHRLFTEELSFDKASKRCKDDGGFLSGVNDLNEANKLGALAELAGLNNAQMWLGGKRREACWDVATDFNSDTNPCSRNRVIQWLDNVAQDFYTDWWRNGYDHRNPSSKPNQIQKCLTYVQGKPAWADDNLSAFLDDIECYYPRAFYCTKKVTVLKDEQS >CRE09510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:357687:359592:1 gene:WBGene00059676 transcript:CRE09510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09510 MHRSNRLLSYQSSKCVLQYLPAALRFEFSNRCPAIRSTDNSTPLRIRNFRISFLSLSVDNVRYKVGVIRQYHNGNTKQCDKENSGGGAKHDIDEYGLLANTEPFEQCPDEIIFSNCEVVTNLQEMHEFNERTGRNTLAELANETNPDFEKIQEMEEVLTLHQLKRERSVPPFSRFIQLQISVRHTVKHVERVEYKQSVYNAMKYLVWKFLARRQTILTQHFGFNCQDIVRLPRELRVITKNLHFKTWNTNVLHQLQPFLVADSFPLDSFKTNGWGINFNDSIIQNSKLLLLRNTLALDLRSVKHSNVRDLDNARSENGVLALLRHWLENVPSVGTSFSLNTVSDILAEDLFRGIESIPGATIREIASRRRLHFPLQALIRLNDYSEVKVYCKSRKDNRMIQTINMKVQEIRRPV >CRE09511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:359923:363491:1 gene:WBGene00059677 transcript:CRE09511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09511 MSFVAVSVSLSTIVCSIYTVIINVSLLYCTFISKSLIESLNLVLFYVRFAVDGLVAAMSFLLSMFTFIKFFDLDSYLVHYQFIILCTGWINNNVMSIRAVLVIVITFDRTFAVFLPITYHKSRQNLSNSVIVVLVSCYPIVCNIVLWIICDYIYEFIPGCVTFGCLMNQCYVRYAVSFELVTHSIIANYIALIYTFIIIVFDIIPSTFIAMIPPETLKEYGLLYTCCRMCGYAIEGFLVQRALKRRKRVVSNLNNSTSQQVAK >CRE02934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1323584:1325565:1 gene:WBGene00059678 transcript:CRE02934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02934 MGKPSGGKEVKTEDVLGGIMQQLTLLNERSEQLMEENRELRAEQRAVAGRSSEKSNARLMAELSKRIPKFSYSLSEPDSFKKWIARNELIFTEDGADLTERERTRLLIGCLEEATYQRYIDAQRDETDVFDIGYENTVKALHKFFGGHRSMMIRRQKCLEISRSSGMYGDPLEYTNSVGEAVMEAKLSSMTSDDWSIFLFLRGLDMPGDAKAKVWLMQFVEQSEKSGQKLKLADVHDEWCRYMQLKVQTEVVSSTAANPHEEVNVYGIENRDDRRSEHRTSSYRGGLRGRREHFGNRVLTCYACGEPGHFSYECPKRTSERNRSDSRNVNKNEPESRKVNTITIQTIQVDGVTTESQARPRMMVKVEDKMLEFHLDTGSQITLISEKSWKELGSPSLSEVPFKVACANRTELVVKGRVSVKFELKGVTYSDYVYVTNRDMNLIGMSWLCKSPEIEAVLKDMVANSKIEEVEEGEQTSLTSQSSPRVNQVREMSESEKNSKIVERKGCFRCGGRHVPERCWTEKNVTSSAWNNRSAAGNRSWRDTGYGVGRMRPRGPSMRNNYWLPSHDNDGWFYMSGRKLRWILEEKSNRANFSYCGGGVV >CRE09512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:373468:379212:1 gene:WBGene00059679 transcript:CRE09512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09512 MTNIPVLQAWYELGFAYPQKFEKSIGIILKQQATTVTSPTSGIEVSLSNQDQWEKCHPMTTMVGSGEVGNQLSPHLEYVLKGLDSNAKYELSLHFERVDNLQSEGNEIGHELKLHKDGSMNGGYWMENPVSFEHIKITNNPEIATDHIVFVESMHKYMPVLSIQREKEEKKEFRFSVTEFIVVTNDVNDERLKKRTTKRPLKTAKDKTMVEDAQGMNVQISMDEVLKALADGRLKLTTKTTEGAGSGLVDSISRRIANFEYEPKEGQAFEDWYLRYGDTITANQRGYNYFTNRILPDKTSEFKFEEIVNKLKECFLSTRSTFARRMEFLKIIDEGGDLGEYTGRVKKAYADAKFNEMSSEQVQCLMWIKGLRTNGTVDIRARAMQIMETRPTTTLIQLEHEIMKLLEFREDARNIGGCRTSEEVFAVRKMRTEKANEQKRSSQRHLLKREKQRENEGSSTDEKDDSDEEKPTRNHNQRKKKCHRCGGEHNAMNCWAKNKECFDCGRRGHISKKCREQQDDEKSDRSGHRVNHVVAKTQKRRRDIYKVNGIYVKDSKPRKGSTEVVKNGSMKRHVRRWPDEKFVKIQRNREETGKERCKSFVTRSPNPGRKENIGVFERHDRWEKKNPKENSRLQPELKVSFPETLGQHSQWNRGWNQQGSSTPSLPSPPQPSFAMYPWRNNFGCSEMTGRYVWIPNCYEMRMMSGPDANWRGFDQNDVAPDHHIGSLSISEDDDVIEIDQEIARKEREKWWEEQATTVTSPTSGIEVSLSNQDQWEKCHPMTTMVGSGEVGNQLSPHLEYVLKGLDSNAKYELSLHFERVDNLQSEGNEIGHELKLHKDGSMNGGYWMENPVSFEHIKITNNPEIATDHIVFVESMHKYMPVLSIQREKEEKKEFRFSVTEFIVVTNDVVSLVFEILVTFIFVKAWVNGVKIQNI >CRE09583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:379887:381165:-1 gene:WBGene00059680 transcript:CRE09583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09583 MKLLSFPLLIFREIVRSMGIMEILELSQVSRRMLNFMNIARISVETFNVVNGNADKITIFNSSGTERKFLIEFLKTSQPVVGQMKVNNIRIDVCEKNATRQIIQCDSNQFESSIVPMLMHLDKIFYRMRIALGINLRTLKEMRGILCHPIFRKCGYLQFRGINEVLSNEDCEYVLDKTQPNRGITILSNLSPDFDFKKILHFSRLRVPNLGKMPLEDLKALGCEIANLGNHQFKEVDLNGFLHHWINGNNRKLRRLKLDGFEDAPNWDVLLKDILHTEWNPKERGRYYKYVPLLKYSIHISFSRSKYMHTEETIDCENGKDFKNKEGQLATVAHHSEYLDILIWNDRFPE >CRE09584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:383402:390584:-1 gene:WBGene00059681 transcript:CRE09584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09584 METEIELASDPTIQLLSAMTSMRVDSGGAPGPPPASATSSLARSGVQFSCPRRPNHGVEGRAILLRANHFSVRIPGGTIQHYNVDVMPDKCPRRVNREIIGCLISSFTRYFTNVRPVYDGKNSMYTRDLLPFGRDRMDFDVTLPGESAVERQFQVSLKWAGEISLTSLEDAMEGRINQVPYETVKAVDVILRHLPSLKYSPVGRSFFSPPAGYETGQSYPESKLGGGREVWFGFHQSIRPSQWKMMLNIDVSATAFYRAMPVIEFLAEVLELPIQALAERRVLSDSQRVKFTKEIRGLKIEINHCGTMRRKYRVCNVTRRPAQTQTFPLTLENGSSIEKTVLKYFQDKYGLTLKYPHLPCLQVGQEAKHTYLPIEVCCVVSGQRCIKKLTDSQTSTMIKATARTAPERERDIANLVRKAEFSADPFAHEFGITINPAMTEVKGRVLMAPKLLYGGRQNSTAVPNQGVWDLRGKQFHTGIEVRVWAIVCFADQNHVKENDLRAFTQHLQRISHDAGMPIIGNPCFCKYAVGVEQVEPMFKYLKQNYPELQLVVIVLPGKTPVYDKKCERTCGNTDRILVLKSKQRVWISGHTYIYTLKSNVLETPSSESPLNVSRPKNVTRTTPQTLSNICMKMNMKLGGVNCVLYPNVRPKIFSESVIFLGCDITHPPAGDSRKPSVAAVVGSMDAHTVEVRGDCESSAKSTGNYPRRCRRMVSPEGQFFNVLQYELRAIREACMMLETGYQPGITFIAVQKRHHTRLFSVDKNDRVGKAFNIPPGTIVDVGITHPTEFDFYLCSHAGIQGTSRPSHYHVLWDDNDMSADQLQQLTYQMCHTYARCTRSVSIPAPAYYAHLVAMRARFHLVDRDHESGEGSQPSGTSDDSTFSSMARAVQVHPKANKVMYFA >CRE09585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:391890:393335:-1 gene:WBGene00059682 transcript:CRE09585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgam-5 description:CRE-PGAM-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MJ58] MLHKISFLANFSQILTVSLRFTIKKPPFIRFLSIFLPEMVSALFKFGLPSAAAALATGTLLFTDDEKKSSVFRKAFAFTQNHNAKTFDEHFPRGEWDKNWDFRDPISLVDKRKWEKADEAGRKKLIDENKSTATRNIILIRHGQYHLDREQKNLTQLGREQAELLGKRLANSDIKFSNLTMSTMTRATETANIILKHLPEDLPRMSSSLIEEGPPYPPVPDHKTWRPLDPEFYTEAARIESAFRRIFHRAPPSQKEDSYELVVCHANVIRYFICRALQFPPEGWLRMSLGNCSLTWIVVRPKGHVSIRSIGDIGHLTPNKISFT >CRE09586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:394936:395784:-1 gene:WBGene00059683 transcript:CRE09586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09586 MNTSDLFGGVSSDEDDSFVLKPTEKETEKESRNTLMADLEMSDDSDTDDVLSVKKSESPVGKPVKFFLSSDEDSQDMPDIDEIIKYHKEVLAVKQISPKLEELEEKKVKLEDVQEPPKKKIRVEEEESEIKPIVQPPQHDKSENLIHARPEPSQNSMSEEDQIAQMKHQILLGNMSTQQLEQYTAYRRSRFQKSTIRKLVKEFTGGLNVNDNVVITIGALAKMLVGDIVEEALDIRDLKEDEADLPLEPHHIRSAYMKVAGQGQF >CRE09515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:398425:400060:1 gene:WBGene00059684 transcript:CRE09515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09515 MNTDDLFGGVSSDDDDHSFPEKSESPEEKPVKCFFGDSQDMPDIDELIKYHKEVSSGVRKPIQKTHEESEDKENKGPPKKKFRIGMSGSGEIMETPKREVLSTREVSLFNDQESPKITETINETTGSYAHSNLPTPKLLSPKLSEPREYGEPTTQNQMPEDDKENQESSESGSSKYPKTEEAEDIDEIKPAPSVSITENENELEPYDANNESNQSSIKHLVTTYGPVIKTGDDVKKQEISTSSEPADSLSEVDQIAQMKRLILLGNMSTQQLEQYDAYRRSRFQKSTIRKLVKEFTGGMNVNDNVVITIGALAKMLVGDIVEEALDIRDLNEDESDLPLEPHHIRSAYMKVARHGLI >CRE09516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:402076:403288:1 gene:WBGene00059685 transcript:CRE09516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09516 MTALTYLSLRCVLEYLEANRRLQIAARNRALSRIDKSVPFHISLLRFTDDEITVNNISYTEYAKEDQKIKRLMDGGDILLEDDDIPRKVIHITYSTGNLRFNGRDLPEGVKKGVATRQFACHVLEGRKTIKMTYLRIDNTFEKELRVPENLKLYVQKVRSYGTNMINLVNILDPQCLPLEPFETSVCFPSYYRENQIVANAKILILHGDGFASQIIDWHPTLLKVLNKHVETKDIRLRGAQIREIVQKFMNNPDFKPIGSSYSVQYLYDLSIERLLRKTKNRFNGAFVTLKEIPKCPPTSQVVCVPLNSDKDLIMYRYAGRQVNSRWIKLELRETGSCIPNVEDTTGFRIPWQYLL >CRE09588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:410275:411615:-1 gene:WBGene00059686 transcript:CRE09588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09588 MSIPFRIRLASRCPVIHDIEKTIPLHLDFLSFDDNAIRLNNICYRLCVNYDKIDESDERYERDKPEECRLTPGDIQTGSLPNKPWESKYLEILFEIDSDEIHSRRLPSDWKIHEAEKKMACILLGGRAKIRAKTLVFEFYGETVIRLPEGFKVKTSSLDIQCGNFESILGIVDPMSYPLEDMFTSIERPRMLENPVLKSTKKLFISNEYIDEEFIDEIYEGLWKLENKTVTVQLDMEEDNIIDLFDNWVKSLRDIGSTFTLCVRKEMTMKALGTVKKRFNGKYVQLNRPLDEPDEKQDPNYKCISFPTSSNSELVTYVLISEYGSQDIKLEMMPRGSTTSLESSMSMSGVLNSLGAAMNLYNLII >CRE09518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:408553:410065:1 gene:WBGene00059687 transcript:CRE09518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09518 MPPGLTYPSLKCVFEFLEANKRIHITSRNSNLKTIDKLVPLHLKMVQIDGYLIMVNNVAYRVLDVEETENSFGNNFGRVFYEPQYWFQIPRGYFPIFYQKNGTGNTIYEYGNRRGKIFRRRANKNYRSTRTHLVIRQLLESLFVGRRVIIVEKLVTGWLQPESQILKFKFNILELESDEYDSKDFVPLIDPTSFPLKKLTQKAFSFSFLKHPEVRTANHLVIRNFYPNSFDAINILKSLNCKYIEIKDILFDENYVLVTFQMLLENHRDVETCYMIDLFKFTSEYTRNLMERIKERFKGRDVGFMDAGKRSTLTSHYFSIPINSRTELAVYRNKSLKERQEVIIMEVILTGHTPKVFRLDQSKLSESFEKRYSDDETEDDRSPVGELNEDELSDNASSDVELFGDGSVKDESREDVSPDDLNKTAAEKFKMPIISLPITYILIVVLFAWFFFF >CRE09589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:412970:413947:-1 gene:WBGene00059688 transcript:CRE09589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09589 MGYSLHAIARLVKKSRSTIRRYLDDPINYWKKVQCAKGRPRKLTTRDERTVIRTASNSPKSLNDIRAELKLNVCKSTVRNVLRRSGVIVSQKMKKAPKMTADHKAKRIDFVKRNMGRKWDTVSLYTTYSEIKNIFQVLFSDEKKWNLDGPDGNRHYWRDLRKDPQLFSRRNFGGGSLMVWGGFCNGMKMKLQFITTRETSVSYQSTLQKAIVPFFRNKRRTHVFQQDNASIHKSISTQNWLKAQKITDLEWPAVSPDLNPIENLWGLLVRRVYKHGRQFNTIQELKDAVETEWNAITAAELRALVASMPNRMFEVIQNNGGETSY >CRE09519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:415150:416662:1 gene:WBGene00059689 transcript:CRE09519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09519 MLPGLTYPSLKCVFEFLEANKRIHITSRNSNLKTLDKLVPLHLKMVQIDGYLIMVNNVAYRVLDVEETENSFGNNFGRVFYEPQYWFQIPRGYFPIFYQKNGTGNTIYEYGNRRGKIFRRRANKNYRSTRTHLVIRQLLESLFVGRRVIIVEKLVTGWLQPESQILKFKFNILELESDEYDSKDFVPLIDPTSFPLKKLTQKAFSFSFLKHPEVRTANHLVIRNFYPNSFDAINILKSLNCKYIEIEDIFFNNNYILDTIQMMLENHRGVETCYMIDLFKFTSEYTRNLMERIKERFKGRDVDFMDADKRSTLTSQYVSIPIDSRTELAVYRNESLKERQEVIIMEVILTGHTPKVYRLDYSKLSESFEKRYSDDETEDDRSPVGELNEDELSDNALSDGEVSENGSVKDESREDESPDDLNKAAAEKFKMPAISLPITYILIVFLFAWFFFF >CRE09591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:417932:424046:-1 gene:WBGene00059690 transcript:CRE09591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09591 MPPGLTYPSLKCVFEFLEANKRIHITSRNSNLKIVDKLVPLRLKMLQIDGRLIMVNYVAYRVLDVNEPEDSFGNDFERFYSNPQYGRQIPRGYFPIFYQKNGTGNTKYEYENRRGKIFRRRIRKDYHSEKLKHVITFHFLILRLLESLFVGRRVIIVEKLVTGWLLPLSKKLELKFNILELESDGYDLKGFVPLIDPTSFPLKKLTQKPSLYTLFEHPEVRTASHLVIKEFFPNLSNAINILKSLNCKYIEIKDLLFNQNYILDTIQMLLENHRDVETCYMIDTVSVRIIYTSEYTGNFMKRIKERFVGRYVDFMDAEKRSTLTSQYVSIPVDSRTELAVYRNKSLKERQEVVIMEVILTGHIPKVFRLDYSKSEYILSPDARFSTTSKKRFHFIWIICISSAMKISLFNFFSLFNFFSSRAVVSRGALMKRFLNFSPTEKSTDKRSKSLSETNSIDSLNSSNSTITEMSALPPNPTPTTPTPNLSDSVAKLHNLKKINMDEFSKLILSMANVIGVLQKQNNTLIAEVRNLTTEISNLKNTVVAAPTNNKKTFADMVRKSLTAPAAQVSILRAAELAQTCDARKSCVIVRNADLASDTSEDNDFGVKVAKECVDIMAGGVSIRIVVGYHPSHHSKLDGMISCLEFLLSTRKNCVILGDFNMPHISWPSLTASDSHCKKFLSFVTKNGLTQHIASPTRLKPDNILDLCFTNTDVLRDVRVGDLFSDHKLIHVTLSVKNRTKKLTKEVKLFRKADYASINYLLSNTDWVLRFSNLNADGMYENLLSILHELIASYVPVKAINTLSKRHSAEILKLQKAKLNVWRKEGNSTNYKNISADLKVALIKEERRVNDEKLTNGSVKDFFKFINSRYKDNQEIGTLKNDSGAPINCDSEKVELFSDSFLKVFTEDNNIQPHFDKRTEELVSSPDFEPYIIEHTLSKITPKLNTTPDGIPALFLKNVCTAIALPLSIIFRESFRTSIVPTAWKTAIVKPLHKKGSRANPNNYRPISLTSSVGKVMEKLVRKQLTNYLNSNRLLSNCQYGFRSSMSTEAQLLSYQADILTNYICKKTTHSVYIDFKKAFDKVSLSKLKIKLRSYGIHDDFFNWLCAFLTNRTQRVCINNVFSSDRSVLSGVPQGSVLGPLLFLLFINDIGDAFESNYLLYADDLKLFSTNADCIKKDLVRLSVWCDNWQMGVAPEKCEVISFNHSKKHSNSASLNFSINDAIIPQTKIIRDLGIIFNSDLNFSNHLDVTLRKAHQRVNIFFNVLRHADFEIFIKCFKIYVRPLLEYGSTVFSPTSKEQVRLIESVQKTFIFRVFRKFNIPYISYFESIKHCDILSLEHRRIIIDLVFMYKILVSKEVRIYNDVHISLPRFSNNLRRHSFYIKSKLSNSTKNTCQFFTNRIISCWNKLPPHIFPSFPNSDVFRCNISFNFVEPFLILKHSNF >CRE09517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:403805:405223:1 gene:WBGene00059691 transcript:CRE09517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09517 MPPGLTYPSLKCVFEFLEANKRIHITSRNSNLKTLDKLVPLRLKMLQIDGFLIMVNNIAYRVLGVDETEDLFKNDFGRYYLKPQFWSQTPRGYLPIFYQKNGTGNTNYEYENRRGKTFRRRVNKNYYSAFVVIPQLLESLFVGRRVIIVEKLVTGWMLPLSKKLELKFNILEIESDGNDLKAFVPLIDPTSIPLKKFTQKLGSNNLFEHPEVRTANHLVIKDLYRNSSGAINILKSLNCKYIEIKHLLFDDNILLDIIQMLLENHRDVETCYMIDLVSVRFVFENTSNLMERMKERFNGRYVDFMDADKRSTLTTQYVSIPIDSRTELAVYRNKSLKEHQEIVIMEVILTGHTPKVFRLDYLRLNESFEKKYSHDDEDNEIENDRSPNRESNQCGKSSPEKFKMPIISLPISYILIVVLFAWFFFF >CRE09592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:424742:426162:-1 gene:WBGene00059692 transcript:CRE09592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09592 MPPGLTYPSLKCVFEFLEANKRIHITSRSSHLKTLDKLVPLHLKMLQIDGYLIMVNNVAYRVLDGNEPEDFFGNDFRRLNFFPMFWRQTRRGYFHIFYQKNGTGNVRYEYENRRGDTFRRRVNKDYHNSYDLIRQLLESLFVGRRAIIVQKLVTGWLQPESENLELKFNVLEIESNGYDLKNFVPLIEPTSFPLKKFTQKLGSFCFFEHPEVRTANHLVITTIYIILFDVINILKSLNCKYIEINDLLFNDNILFDIIQMLLENHRDVETCYMIDIVSVRLVLKNNTSTLMARIKERFNGKYVDFMDADKRSTLTSQYVSIPIDSRTELAVYRNESLKECQEIVIMEVILTGHTPKFFHLDYSKLDESFEKREKSKLEKESREDESLDNDQSHLDKTAAEKYKTHIISLTIMSILIVVLFAWFFFF >CRE09593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:426918:428338:-1 gene:WBGene00059693 transcript:CRE09593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09593 MPPGLTYPSLKCVFEFLEANKRMNITSRHSNLKTIDKLVPLRLKMLQIDGYLIMVNNIAYRVLDVNEPEDFFGNDFRRLNFFPMIWRQTPRGYFPIFYQKNGTGNTSYEYGNRRGEIFKRRINKDYHNAYVLIRQLLESLLVGRRAIIMQKLVTGWLQPESENLELKFNVLEIESNGYDLKNFVPLIEPTSFPLKKFTQKLGSFGFFEHPEVRTANHLVIISFFLNLSNAINILKSLNCKYIEIKDLLFNENSLLNIIQMVLENNRDVDTCYMIDIVSVRLVLKNNTSTLMARIKERFNGRYVDFMDAEKRSTLTSQYVSIPINSRTELAVYRNKSLKERQEVIIMEVILTGHTPKVFSLDYSKLSESSEKREKSKLEKESREDESLDNDQSHLDKTAAEKYKTHIISLPIMSILIVVLFAWFFFF >CRE09594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:429075:430476:-1 gene:WBGene00059694 transcript:CRE09594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09594 MLFPKTNNQRTDICLTWVATSSYLVLVLVLFLPSYLSKMTAPLTYPSLKCVLESLEANKRLRLSVRSSTISRIDKTVPLHLSLLRFEDSEIKVNNITYKFSLDSSYARETKEENLRSLQKRLEDGDIILGTESVLRSFKVVHLTYSSRYGGFTKVLPEDVTKEMAMRQLACSVLEGRKRVNVATLKIDNRLEKVLRIPKDLKLHVRSLRAYVNDLNGIVQILDPECFPLESIETSLWFRSYLDNDVIQSAHKLILNGLTFNHSITQWYEILLKLEKHRNVETRNLCFSGKQVRAIIRKVVDNGHSIERTLDLKCSGKFDVSNLMRKIKNKFNGTLVVLQESKNPQPVSDVVRVPMNSESELIVYAFKVPEKKKNCSRNRLKLEVMPIGSSTPKVEKRRSLRILEWLK >CRE09595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:431121:433313:-1 gene:WBGene00059695 transcript:CRE09595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09595 MLPALSYPSLRVVLENLEANKRFRISARCSVISRIDKTVPLRIEQLRFADNKISLNRIVFHFDSSNVRETEEQARRRNLESLTPGDILLDYTNDERIIKVEQLKYLKYSDDYYSRRQMSTRRLPENVTSSDALKTLSNHVLEQRKDIIVDTLNIWNSSQKILRLPQNIQFRVRGLQASKNDVRLLKHIVDPSCFPLAFILVEAWKEEYLEDSFVQSSKELIVYATNNSTEYWLATLSKIKNPFVTMRKIFFSQGEILDIIRNMIESGIDRKTTRIVLYCKGEQFLEKLMKKVKKRFSGNVVNFKDELKTIVIHSNIVSIPLDSDTELVVHGFQYKNNWNKNVAIYLRLQVFKIGFCVPIEKKKFLGLPWFS >CRE09521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:435523:437989:1 gene:WBGene00059696 transcript:CRE09521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09521 MVDPLSYPSLKLVLEYLEANKRFSLASRCSVISGIDKIIPLRIAALRFTDNEIVINEISFKFDDPFIVRETEEEAVERSLKSLKSGDILLDYERKYIKLTDVIYFKLSEDYTYKWIFSVRRLPEKITVNEAMRKLTSYLLGQREKITVGTVNILNTQQNVLRLPPNLKLRTQKLGVGKNDISHLSKILDIPSCLPMNIIGTTAWREAYFEDSFIQSSTEIRLVALKYINDYSAYWFPVVMRLQNKYVKMENLCFSGVRIRTIIKNMIDNRREVGNSVILDCSGERFLNRLLRKVKERFGGTVGTFKEIPENVVFVSDIVSIPLDFDKELIVHGFKNTCSDKKIRILLAVVGTGMVVPVEEKKVKKRSFRFPWFS >CRE09522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:438724:439963:1 gene:WBGene00059697 transcript:CRE09522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09522 MVDPLSYPSLKLVLEFLEANKRFSLASRSFAISRIDKIIPLRIDALCFMNNEIRINNISFKFDDPFIVRETEEEAVQRSRKSLESGDIPLYYERKYIKLTDVTYFKWSKDYKSKEVFSVKRLPEKITVNEAMRKLACYLLGQRENITVRVVNVMNSRQTVLRLPPNLELRTRKLGATSNDITLLSKILDIPSCLPMGAIATSKWRDAYYEDPLIQSSAELHLASRNSAYWLPVLMRVQNKTVIMLNLCFSGVEIRSIIKNMIDNRREVGTSVTLDCPGERSLNRLVRKVKERFGGTFVTFKETPKSVVVVSDIVSIPLDSDTTLIVHGFKNNCSDKKISIRLTVVTIGMVVPVEKKKEKKRFFRSLWFS >CRE09523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:440986:443842:1 gene:WBGene00059699 transcript:CRE09523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09523 MIVQPYNPQFSLASRCLTISRIEKIIPLRIAALCFMNNEMEMNGISFKFDDPFSLRRETEEAVQRNMKSLESGDILLNYERKYINLTDVTYFKWSEDYKNKRIFSVRSLPEKVTVDEAMRKLTCYLLGQREKIGSGATRLFGVSRKFGSKLRTVTVGTVNILNTQQNILRLPPNLKLRTRKLGVGKSDISHLSKILDIPFCLPGNFIGTTAWREAYFEDSFIQSFTEIRLMAQKYFSDSSAYWLPVLMRLQNKFSLASRCFAISRIDKIIPLRIASSLFTDNEMRINGISFKFDGSFSNVRETEEEAVQRSMKSSESGDIPLVYERKYIELTDVTFFKCSKDYTTKEVFSVKRLPEKIAVNEAMRKLACYLLGQRDKVTVGVVNILNTSQNVLRLPQNLKFRTRRLAVARNDIILLSKILDIPSCLPMGAIATSKWRDAYYEDPLIQSSAELRLYARYSAYWLPVLMRIQNKTVIMLNLFFSGEEIRSIIRNMIDNRREVWTSVTLDCPGERFLNRLVRKVKERFGGTFGTFKETPKSVVVVSDIVSIPLDSGTEFIVYGFKNTCIDKKISILLAVVRIGMVVPVEEKKEKNRSFRFPWFS >CRE09524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:444628:445698:1 gene:WBGene00059700 transcript:CRE09524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09524 MSKPLSYQSTRCVLQYFDSTERFLLKSRCPTIKQFEKSIPLRVKNLELTKNGITLSDLEFRLIVQYCTKRTKNGKVVSRTSSYSVTIKRKDKKMKSGERTIAHYTTRMQISKEKAFEKLASILLGGRNTVYVERMEITKRYPVDIGWPANFQVVVDRLYAEHHTFENVLPIVSDASPLEYLKSKFSKLESFDNELVKRAERLFISYIDKSWYPKLATLRNKVTYFSNSLLPKEVIINIVKTWKEEGKEIGTYFHIAYVLYDIEDEEDIMDTLKNRFGGINGTKFWHARSRDIIDPDLVYVPMNTSSRIVIHKGLRLVIGVEAVPK >CRE09526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:447838:448971:1 gene:WBGene00059701 transcript:CRE09526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09526 MVCRRHPNSLENTTFVCSFTIPRSTYTTLFSLLSFRLSLPTMSKPLSYQSTRCVLQYFDPTERFFLTSRCPIIKPFEKSIPLRLKDLKFTSDSIVLNDLRFRLHVTVSMIRCGRGEVLSTTCSYSVKITKEDQRMMSGERTIAHCTTRIQIPKNKALEKLACILLGGRNTVYAEKMQIQYGHPEDIEWPANFQVVMDMLHSQHHPFEYVLPIVSDASSLEYLESQFSNKEPFDNELVKRAERLFISKIDESCYPKLSSLRNKETSSLYSSLPKEVIISIVKNWIEGGRQIGTFFEIRYDENDEEGEEDLMDTLKNRFEGRYAPKLSDRDPNRLCVSLSSSSNIVIFHKGIVLYLKVEAVRK >CRE09596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:449566:450560:-1 gene:WBGene00059702 transcript:CRE09596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09596 MPKPLSYQSARSVLQYFDFTERFFLTSHCPTIKQFEKSIPLRLKSLEFTKDGITLNDLRFCLNGTYSMKRAGRGEVISCTSSYSVTITKEDQRTMRGERTIAHCTTRIQIPKEKAFEKLACILLGERNTVYVKKVEIKKRCPQNIEWPANIQVAMDILLAEHHTFEYVLPIISDASPLKHLDSQFSNKESFDNELVKRAGTLFIRDIDESYYPKLSTLRNKAVNILNSLLPKEVIISIVKNWKEEGREIGTFLYTKYEEDEEDIIVTLKNRFKGRYGSKLLYRPHSQLNVAMSTSSAIYIHKDITRSLTMEAVCK >CRE09597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:451284:452448:-1 gene:WBGene00059703 transcript:CRE09597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09597 MVCRRHPNSLENSSFVCSFTIPRSTHTTLFSLLSFRLSPLTMSKPLSYQSTRCVLQYFDSTERFLLTSHCPAIKQFEKSIPLRLKSLEFKKDSITWNDLRFCLNETVSVQIGVKRARRGEVRARKPEEISRTCSYSVTITKKDTRMMSGQRTIARCTKRIKISENKALEKLACILLGERNTVYVKRVEVEDEYPADIEWPANFKVAVDTLVAEHHNFEYILPIVSDSSTLKYLDSQFSKVETFDNDLVKRVGALSITNINESCYPKLATLRNNTVDIVNSSLPKEVIISIVKNWKEEGRDVGTFFYTKYEEDEEDEEDILDTLHNLLEGRNGTKSSNEGVDRLDVSMSTSSTIVVQKDHSRSFTLEAVRK >CRE09598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:453193:454422:-1 gene:WBGene00059704 transcript:CRE09598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09598 MTTYLSTKAIFEHFEANRRIYVSSRCPDLKKIDKLAPLHLDSLEFRDWNVLLNGFSYELGATGDEIKIIFNGSQTRVLPKNVTFERARDKIMFHLIGRVEVIRVKNFSFNLKKQRSLHLPRSLRIHVNSMNSGPIPMSKLLPRITDSCLPLGELKLSVGQTPLPAQLSKLTDKLVILYQNNNLESLLEIWGMLAEQKVKMVELDSMHLTNDELVELARIWEADGGREIGTSVTMLMSDCWIRRRMVFSDLKRRLNGTDVMMANWKYWSFKNCSKHMTVPFNKSSELVVTEYRDSSTRNWKLKMEVMPVGSTCPFTCFGYLVSLICYFISFIVMFVRGLF >CRE09607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:475172:476587:-1 gene:WBGene00059705 transcript:CRE09607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09607 MTKPWTYLSLKAVFQYMDVNKRLEINYHCPALRSAESSVSLNLKFLTLNKRKIVANGVCYKVESKSQIIKSILDGRKLVRVEILKIRNSSVIPDSLKIRTRRLDSGNLNLERVLPFINRASFPLKELRVNIAKTPNLERYLGCAQTLILFETNNDGENTDLIRSILHDRKSLNIVLENISLSSNDTVTLIQNWRNNQKKIGTVLTMQNIFRIVPIVFPDVDEFNYVDEILQVSNGTHANFNDSVLQNNMDRRCISFPFNQTSELVLYSVRDGPIWNRRIKLEVMPIRSTGPETLFNIFIDLLVLLSYIFLLLIALIFVLLASAKLETMLAVRRTD >CRE09601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:459923:461483:-1 gene:WBGene00059706 transcript:CRE09601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09601 MTKPWTYLSLKAVFQYMDINKRLEINFHCPALRSAESSVPLNLQSLTLTKRKIVANDVCYEVESKSQRIKSILDGRKEVRVEILKLHDLGVIPECLKISTRNLDSGDLDLEEVLPSINRSSFPLKELRVNIAKTRNFEMYFGFTQILVPFVTDYDREKELIRRILYDRKSPNIVLERVSLSSDTTVALIQNWRDNQKEIGTVLTIQNGFRILPIFSPYVDELMNVLNGSIAFLNESVLQNRMDRRCISFPFNQTSELVLYSIRDGPNGKRRTKLEVMPIRSTGIGTLTDLFIELLILLSFIFFMLIVHRLTAVAMDSIGKTTLAYFR >CRE09602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:462640:465293:-1 gene:WBGene00059707 transcript:CRE09602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09602 MKPWTYLSLKAVFQYMDVNKRLELNSHCPALRSAESSVPLNLQSLTLEKEKIVANDVCYKVESKSQRIKSILDGRKEVRVEILKIRNSSVIPGSLKISPINLDSGNLNLEKVLPFINRSSFPLRELRVNISKTPNLEKYLGCTQALILFETNLDQEKSDWIRNVLYNRKSPNIELENISLSSDDTIALIQNWRNNQKEVGTVLKIHHEYGDLPLSDVDELMDVLNGRFAYFNDSVLQNSMDRCCTSFPFNETSEVVLYSIIDEQNWKETTKLEVMPIGSTREETHFEIFIELFPFFIAFLLLITFLLLLFRSLIRLEINSHYPALRSAESSIPLNLQSLTLESRNIVANHVCYKVESKYQRIKSILDGRKEVRVDILKIRNSRVIPDSLKIRTRNLDSGNLNLERVVPFINRSSFPLRELRVNIAKTPNLKKYLGCTQKLILFETNHDGENGDLVRSILYDRNSSNIVLENISLSRDTQVTLIQNWINNQKEIGTVLTMQNRLRILPISFLYRDEFPNANELVEVLNGRISNFNDSVLQ >CRE09604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:468392:470115:-1 gene:WBGene00059708 transcript:CRE09604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09604 MTKPWTYLSLKAVFQYMDGNKRLELNFHCPALRSAECSVPLNLQCLTFEKEKIVANGVCYKVEWYQNHKGSKKWRQKKWGSKKWRRQKKVESKSLRIKTILDDRKHVRVEILKVHNSRVIPDNLKIRTRRLDSGNLNLERVFPFINRSSFPLRELRVNIAKTRNLEKYLGFTQTLILFEANFDRENAGLVRSVLYDPQIPNIVLENHFLTSNTTVTLIQNWKNNQKEIGTFLTMQNKYCDMPFPYLDETLENLNGRIAYFNYSVLQNLNRSCISFPFNQTSEVVLYSIKDGPSGKRRTKLEVMPIGSTGPGTFFDIFINLLPLFLIFLLLTIILLPSIL >CRE09605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:471023:472301:-1 gene:WBGene00059709 transcript:CRE09605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09605 MQPALSYLSTACVLKFLDSNKRIQLSLRCLALHQVEKSLPLYLDRLCLDHFRITINHINFHVSSKQITAHSKRVKRQIPLPSHMTRVQVFRRLMDALLKDRYEISVNEMTFRVYLLDLFPLPENMRVKVKRLDTGLLELQRLIPVINQTSSPLKELKFVARNQTDLHIPMVHRAEKLGIIDFSTHKNKINWGVILAFLPNKEIVLKQAKLSDREVMSVVESWLESKRDIGASFTWERYESKTVEKVMKKVRRCFGGNVAAMEENEKLSPSLATPCLILPMNPTSELILYGTEEKGLEGFTFNLKMEIFPAASITSFHQHHPYQFYAQGQESEFSLVLNFFHVPILAFIAGWLMGYVSIDVIKNPSVQF >CRE09599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:455619:456864:-1 gene:WBGene00059710 transcript:CRE09599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09599 MTKPWTFLSLKAVFEYMDGNKRLELNSHCPALRSVELSAPLNLQSVTLENDKIVVNNVCYKVKSTERIKTILDGRKEVRVEFLKIRNSSVLPDNLKIRTRSLDSGDIDLEVVLPFIKRTSFPLNELRVNISKTPNLKEYLGFTLTLILFETNNDGENGDLVRSILYDRKSPNIVLENISLSSNTTVTLIQNWKNYQKDIGTVLTMQDEYWDQDLPDLDEILEASNGRIVYFYDSIIQNKMDRRCISFPFNETSEVILYTIRDGPNGKRRTKLEVLPIGSTGPGTLFDTFIDSLSLFIVVLLLIDLLLLLLCSLI >CRE09608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:477433:478647:-1 gene:WBGene00059711 transcript:CRE09608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09608 MTKPLTYLSLKAVFQYMDGNKRLELNFHCPALRSAESSVPLNLRSLILEKEKIVVNDVCYKVKSKYQRIKTVLDGRKHVRVEILKLHDLGVIPECLKISTRNLDSGNLDFEEVLPSINRSSFPLKELRVNVAKTQNFERYLGCSQILVPFVTDYDREKELIRRILFDRKSPYIVLERIGLTSDTTIALIQNWRNNQKEIGTVLTRQNGFRILPIFSPYVDELMDVLNGRIVFLNESVLQNNMDRRCLSFPFNKTSELVLYSIRDGPNEERRTKLEVMPIGSTGPGTLTDFFIELLILLCSFMLIMLIVLSLTAVAMDSIGKTTLAYFR >CRE09614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:491787:492650:-1 gene:WBGene00059714 transcript:CRE09614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09614 MTKPWTYLSLKAVFQYMDGNKRLEFNSRCPALRSAEISVPLNLQSLTLEKKKIVVNEVCYKVESTSQRVKTIHGTIKIPMVKTISDGRKHVCVENLEIYDSSVIPKCLKFIPRNLDSGDIDLERVLPFINRTNFPLTELRVNVAKNPNVNKYLGLTHTLILFVTKCAREKAARVLSVLYDRKSTNIVLENVILTSNITVVLIQNWRDKQKEIGTALTMHSFQELQTYFDELLEVSNGRIAYLNNSVLQ >CRE09616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:495463:497245:-1 gene:WBGene00059715 transcript:CRE09616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09616 MNISNTSASIEYADIAKKLVIRTGGSGRGTQWIANVLFHPRNQNIVFENLRLWATNMAALVQSWQQNQYKVGRIFTSYNQNIISGSSEFVEEVLARTNGRKVLIKGCDWPRFLYSPCITFPYNSTCELALYSYRKDICQTKLEVMPIGSTRPATLYRSLTVRFSPIFEPPFTNSMTKPWTNLSLKAIFEYVEANKRLEIISHCPTLRPIEKETPLHLDYLSFRKNKIRINTKSIQSKPYKNKAGMEISVAERLKSLLRGRKSIQTKEFKVLDFGCIPKNLKINADSVKSGDLDFALVLPFLHISSFPLKFLRMNISNTTSSIEYADIAEKLVIRVSEVDRGTLERITDILFDARNKNIVFENFHLEAESMAALVQSWQENHYEIGRVFTSHCQSQIIGLPGFVEDVLEISNGQKVVLKSCDWP >CRE09527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:501897:503505:1 gene:WBGene00059716 transcript:CRE09527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09527 MPITGLQYDSLRTVLQYIEANKRFCLSQRLPAIRFAEKAVPLKIDYLQFDDFGVTVNKTSYSLAVYRDFHQGSDYFQKEKDEDGIQFDLDQYGFQLQMNKTDLVPGEVIFGGVRVENHNFNGNQLHIPITFPWSSQKHDMTMRRCYDKHLELFQIALKTRLDQGNSVRGMEVLNSFPVMTKMFSDDELVRGELTAKELKIKLSYLNCVQTSSLKILSHHLRRCLQPFDCLHNNKPLPFTPLIQLTIKREGQEKQIERYPYTMKLHEAIRKLSWLMFGGRKSVVQVHNFPFIDESITLRIPKGLKIRVRKLRFKENMNGRLEALNNLIDDSSYPLKKFSTLHEREEIDHFAHHALTSAQQIVLEGAAPPNINLLLNLRNKVVHFKYIWSPDFSIEELLTFAQNIIKAGSPVGAQRSFRMSNEVSIKTLLNRVAEQFNLIRSNRGASIRMGNETKLNISYKFAKCCKEDNLQMKNWVLKMTVVPGLSLSSFFEKLKKLKITDGTL >CRE09528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:505298:507149:1 gene:WBGene00059717 transcript:CRE09528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09528 MNSPSNHFYSAQEFPLQGEDSLTDYPNPQYEFSPNVDLPAEEYAINSHGSVTWLSAVSGLISCENGDSFSFQITDFCDEKVGDLRIVLRIGMVLGFTAIEKDDGRFIINYVYPLSGIEAEIRFKKMEEIDLNTGKDEYELRLEGKAYDTLLDVFRKDGSAEIGLRCLHGSGKEEKPLQNYIGTSGEKLRQFVTSRTHVFRLEHDLVCLQLPSVYLAVKYLNTYLLQSGGAISIEELYNFYISHLFPHPVRKHVGFTPKVFMNLLKNHPFVFSVFPSEVFVSSRRNLPQFDYPMFIDYNFPILEPREPDVVQAMQEAYPPSVDYNNQYNNARDDRTDSRASIETEWRREKSEDSPFPTYDGVGPFALLVAATFGPRATRSTGSSEEYSATSPSGFSRPPTSGTSSTTSPDTCEYNLFGPNDLLRECLDSLCSWTL >CRE06518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:265338:266518:-1 gene:WBGene00059718 transcript:CRE06518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06518 MPHHPFPLFSLPYLPLKKVLDSFGAHAILYISMCSLRSKRIAVSYRGPSKNVKLTLHFGYKHSLSFSISTVCLEELCIYWLLSAENQSELSSVDRNLATVRIGNFCDIPVEKGRLGLSTYWDDCMVGITEIGDYAREVFNQDIYEVTLGEKLPEDVYERAAEWVKNSQETIQSLHCNFNPEIVNDLDSILENFKYTEILVLDVNPPPEYFPAKPPNFNVDHLHILFSFWVKQEHLLTMNCKYIILQESKLSSNDLNVFLKHFMNGGCFQLKEFSVDVKTSIDYEVVLNDVEFEERDRDVERVYVNEEEHHHTLRGGLDIKRPSDDAKVTIMNFSKHFLMIVWPDFAGNSY >CRE09620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:521132:522316:-1 gene:WBGene00059719 transcript:CRE09620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09620 MNWFIILSLFCIWNFQECHCCKWSADHCECSDIVDILRRPFDDDKDGILISDKVGCVRNITCRDSTYTYVIISFDESVIDRPDDSLNDIAYVDSADLITGVRTGPVDVFSLFGMSCENEKWYVTKYPFGLSYNTVNSTKYITGGLDGKRSEIGKVICNPVNPPCECSDIVDLFDDHSDKSKIPVTDKDGCDKSITCDADEYFTYITISFNGSEIVRPDDSHKDNEAYVDSINHQTGEPRGPLDIFSFYGMSCENKKWYVTKYPFGLHYYAEDHVEFKHITGDLDGKKSEITKIACKPPGI >CRE09621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:522770:524499:-1 gene:WBGene00059720 transcript:CRE09621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09621 MHLQSNHFYSALEFPLQGEDPHNDWPPAEEYAINYHGSVTWLTAVSGLISCENGDSLSFQITDFCDENVSDLRKVLRIGIVLGFTAIEKDDGRFVINYVYPLSGIAAEIRFERMEEVDLTPENRSSPFTGKDEYELRLEGKAYDTLLDVFRTDGSAEIGLRCLHGSGKEDETLHNYIGTSGEKLRQFVTSRTHVFRLQHDLVSLQLPSVYLAVNFLNTYLLRNGGAISIEDLYNFYTSHMFPHPVRKHVGFTRKVFMNLLKNHPFVFSVFPSS >CRE09623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:530531:533297:-1 gene:WBGene00059721 transcript:CRE09623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09623 MAPGDILKLNIGGTVFQTTVATLTKFDGFFKAMLEIDIPLKKDENGCVFVDRSPRHFDYVLNYMRDGNVVLPVCRRERQQLLQEARYYLLDGLIELCTDRVRMVNEYDNIREIHGDNPKKAVIVGYHPGLWGDLNECVFARKLVKAFHEHYTFYFKPITENFSYCDIDVYGVAARLESRLPANAGEIFFNKFNFFQMAHQSVNRHLSIGQMPDVVDGEKKPDQRIYLCI >CRE09624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:533599:539711:-1 gene:WBGene00059722 transcript:CRE09624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tra-4 description:CRE-TRA-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MJ07] MSDIKPNLKAESEQTWQQYGELPDDKTRVRGFVKSEARIKSEQAPGTSLEEEVYDEEDEDDDEDGQGIGFELLAAALGLNSKENEEKEDDEPVDTRAKLDGVGEVMKQIRGEVHPPQKERIILDEFGYRVRDPSKFPPCRIGEVQQTLALADHQEGIDIQPAPNVPTDVRAVRRMIRQKMVRCKKCKNRFIEKNIYERHLRDKHPALYEEYIREQEEEVELQRLEEIEANRIEELQTGGFIPPESEISQPSEDPNHIPLPGENNGGVVPRFDYYGRIKQLKRPYKKKISPQCPFCDKRFRNEMSLKKHFMKKHEEMVEFQQCLKCFKCVESEEALARHDCELTYVCFECPVIRNLCTDHRLINHRKKFHRGANAGFRCSFCNMKFLTPRKLRKHKKMSHVFTKTYSCHFCEEIFISEVAVMTHERVHTGIIKFECKVCDFKANRYVAMEEHKKEEHGYRCAICNDRVAEYPELKHHVYEEHGGYLATDDPSSYVESSRMWLLYKGE >CRE09531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:541125:543643:1 gene:WBGene00059723 transcript:CRE09531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09531 MEKLKMSAAAQQFELNEACVCIFKGAPFDARIVGIKEENGVQKYVVHYHNWAKRHDEKIPFGSDRLHKGTLDEYLKAHGMEDAGVANKTPKTPKTPKTPKTSVAATTSSAKKTPAAAIATVAKTPAAKTATPKTPATKTPAGKTPATKTPTANKRKAPGTRRSKVEAPVDTEDEAEDQSQDEESQDEEEEVEESQDESSGQPEARRAVISNEPKMLQITLTPAMLQLLNDDWLMVKKKNYVVKNLGPSIDTIVKEYISQITVTDDEQREMDNFIVELDDNQRREPKIGLIHSTRAVVDYFNTVLGYRLLYPSERPQYNNLVQEEARRLGVPFEEVGNLGFRASEHYGIIHLIRLISMMPKLLANGPAHSGLVIHIQIGITSLLEYLSDYLETQFPEPATLRAQYGSAEEESELMV >CRE09625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:545024:546908:-1 gene:WBGene00059724 transcript:CRE09625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09625 MSEKKFVITQKFEKVFTGDYEIGQTGEGAEHFDISWRVRIFPQSPDFTIDLDLFVDPRCRNWYIDSEIEWKLVSKTGIKHICKQEYQIEKDENPTLTFDWKIHGDCFIEDTLTVECHVTINEMGGFRKRLRNFEEKAMKDFSDFVLVVEDEKFYVLKLFLACQSTYFKTRFVENPLEPTTSQFSIDDVHADDFQVFLEVLYGEIAIDDETVVSLLNLAEKFDALTVTQKCEHYLLLHDSNISLLNQLTLSIKYKMDKLKENCLADIQTAQDLQRISPIDVTQLESPVMAVLMEKALTLF >CRE09626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:557653:560972:-1 gene:WBGene00059725 transcript:CRE09626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09626 MTPKYTVKLSNFLLKTEKLYMVCMSIPYFSVPYQMSEIPFNTSEKYLSSNLASSSGLGSNIFEVASICGIAKRLKRHPLFFVENGYHKRMLTNMLALLPGLMGATYMLNGTMPTVITKTEFHKSKYSYENPDTLLGIQDEYLFLTGTHYQSWKYFADMRELLMDYLAVPDPERFGNLPMSNDNTHVTCVHTKRKHFAKNGFYASDPEFIRNALNFINTKHENIYTKNKKIVIFGDEPIFMSNIFNDSAHSMERHTKTNHYVSINNAKDDLIYSKSNCNTVLISAPLSTFGFWLGYLSKGNNVYYMNVTHENKEFYESSGFVTDNYFPPHWVALDFASNKIKTVVKSFKKMGEKYYF >CRE09627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:563732:565048:-1 gene:WBGene00059727 transcript:CRE09627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09627 MTTPSGVEVSLSNQDQWEKFHPMTEMVVTRKAGRRLFPHLKYILKGLDPDAKYGLFLHFERMDNIKYKFSVNKWKEFAKGDEIRPIERKLHKDGWRLGGHWMENPVSFEYFKITNDPEMDKDDAVLVQSMHKHIPVLSIQRENAEKEEFRFGVTEFMAVTAYQNKNIIQLKIEMNRFASGFKEDGGHNKSPVSGSSPRGVKRQSTSPEVYGSVTPPSAPWTPPYNPLTPLRYNNTPVAPMYHMYPWQMPMGMYPMFPPMSSGMAPGMAPGVPMNSSMPPVADVFQNQPAMQQNPIYMGMPMGQWNMGNGNGMENRTPQSEFPNYTF >CRE09533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:572690:574496:1 gene:WBGene00059728 transcript:CRE09533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09533 MKVPYPPAKISFHTTKKYLSSNLAAGHHLGNNIFELAALYGLSKRLKRIPLFFIETDYHLRMKGYVENVMPGLMAQFLVVNGSVPGKIRKTKFHQKCCTFDNPKRLEKIEDEYLHLSGELYQSWKYFHDMRVTLRDFLAFPDFGKFENLPESDENTHITCVHTRRSDFIEKGFYSSDPIFIRNAMTYLNEKSANDKTKSRKFVIFGDDQQFMKSIFNDNLQKSQTDYIVSENQPSDDLIYSKFNCDRVLISAPRSTFGFWMGYLSKGNAVYHMDITHENKEFYVRSHVDPPRHNDIFQRSHSFNSNDFFPLPWITLDFASAENKTVVER >CRE09534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:575287:577205:1 gene:WBGene00059729 transcript:CRE09534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09534 MFAVVFKTLIFLGTCATVVYHYYKNTKFDPMAVPYPPAKISFDTNQKYLSSDLFAGYQLGNNIFELAALYGLSKRLGRIPMFFIENDYYPRMKNKVENVMPGLMAQFLVVNGSVPGKIRKTKFHQKCCTFDNPKRLEKIEDEYLHLTGGYYQSWKYFPDMRDTLRDFLDFPDFEMFKNLPQSDENTHVTCVHTRRSDFIYQGFYSSDPVFIRNALIYISGKTEIDRKKHRKIVVFGDDQEFMRGIFNDSLLKENNGFDTDYFLSENKPSDDLIYSKYNCDIVLISAPRSTFGFWMGYLSKGNTVYHMDMTHENEQFYKMGEFNATDFFPPHWKTLDFASADNRTVVEKFRVTNNV >CRE09628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:578111:579894:-1 gene:WBGene00059730 transcript:CRE09628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09628 MSEHPGKRRIKTEAPDEEEMVPKKLRKFDESVENAFDIIIVVEKVKFYLSKCHLAQHSPVFKAMFFGSYVEADKKEVELKEMLAQDFQLFLETINGEMCVTDQTVEAILKVADKYQSSTALSRCERFLLKMSMLKTQKKFELACRYMFEEVKLRAVASITSSQELRAVVPEDISTLDDSTMTLLFKKTMELLPSDSQNPFRGSCGTICVHQSQGY >CRE09629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:581633:583550:-1 gene:WBGene00059731 transcript:CRE09629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09629 MSSPSSSVIQLDDEMMEDEVEIKKKMDFNDADPNIVDAVIVIEKHKFHVLKGNAARHSAVLYEKFFGEKDSRVDSVTIEESPQTFQYFLEVIHGIPTINDNNVEKILEVAKKYQAPFAVQTCEKFLISNGRMTDKEKLRLSMKYDLTELKEKLIVGVLDSKDLFHLVPKNVEGLDRETKMMFFKKAIDIHGFRKSRTPSPDRILRIHRNWFRRSSESPIQEIVYPEVRL >CRE09630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:585284:591237:-1 gene:WBGene00059732 transcript:CRE09630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09630 MNRDQPTIPRKKFILRDLFSKLGNANHAVIPIGVYFNYQLLLAIRIIDELIPRAELTIKCNNLNEETTQFSFYTDISLSTGYRLHTMWTEKYFNEKSNEIVIDWEHILIYRNLQDFRADLEVSFCDKRIDFENIWVSNIIIKVGNFNFNLIKAYLIMQCDYFQAMFSGNFIESEQKDFLHDERIPILESHVAGILEQATFFGARKVIKACEEFLLTKGYKFLKLAFQLSYKYNIEQLKKKCLNFVCCHEEFKIVRPENLDSLTREDMNQLFETSLQLQSHSNCSSLFVHSPRREPLLIVADFLPYPRPDSATGFESLRQQRERIFGRLMREEGGRNRGPARNEPSPRNRMGQNRNPPRGIPNRDQLRGAGPDGLGRGNHPIYVPFNHHPHAPPRNEPNPNYRLENEFDVQPLHGNRNHNNQDGMNQGNVRNQVNDLDREPNVAVPKLIRHSKIFKKAFSVLSEEERKIQLEKITVSDLKMFEDVNDNNLRMNDSNIEKILGQSETFASNTIFKKCSDFLINKSKLSIKEKFETATKFGLYELKEEDNETSCVSSGYELSDNCLSRMDIDIQLVILKDRVKRRDKTMKNMSYRRDEVVDKLLDEIEEKDEEIEEQKDETNKFQDLLWEKNARITYLECRGEEAPKDNKIANESENIDPENRNDRLG >CRE09540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:607797:608753:1 gene:WBGene00059733 transcript:CRE09540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09540 MPFVCEVPMTVADPTCSHNYNGYCYTGSTEMHLATINTTYDRAQSICQSKNSDLVSIHSKQELDFIRAIYRNSGIQQIFVGAQAFLPDTFDWSDGSNWDFDYTDPLATSKGNCLVMDLSDRPNNGMWSQTDCQNVNFFLCKRKIGDATVATTVATTEAVNPKFKRASRAAIRNEFLDFSNCNSTLVLAPGTITSFGYPNTKPPITTCTWNIGTLGPYRVGVYFTDFSVYNAVYVYDEYGNIISSVTGNIRPYQVLGTSNVVTITHDSRFDAAYNYNGFSATILPY >CRE09539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:606878:607243:1 gene:WBGene00059734 transcript:CRE09539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09539 MAAKEGRKQSRRLVNAAGLQLSEVQRQREWHFVKQTCFLGLSMVFSQFSFYVVAPVVSDKSPILLFFVSTLWPFSSAAEGGIILASNKELRSVYKKSELIFLI >CRE09633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:622423:624847:-1 gene:WBGene00059735 transcript:CRE09633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09633 MNRNQPTIPRKKFIVRDLFSKLGNANHAVIPIGVYFNYQLLLAIRIIDEIIPRAELTIKCNNLNEETTQFSFYTDITLSTGYRLHTMWTEKYFNEKSNEIVIDWEHVLIYRNLQDFRADLEVSFCYKRIDFENIWVSNIIIKVGNFNFNLIKAYLIMQCDYFQAMFSGNFIESDQKVVDIKGIDPYDFQNFLDVLHDDRIPILERHVAGILELATFFGARKVITSCEEFLLTKGYKSLKLAFQLSYKYNMEKLKKKCLNFVCCHEEFKIVRPENLDSLTREDINQLFETSLQLQSHSNCSSLFVHSPRREPLLIVADFLPYPRPDSATGFESLRQQRERIFGRLMREEGGRNRGPARNEPSPRNRMGQNRNPPRGIPNRDQLRGAGPDGLGRGNHPIYVPFNHHPHAPPRNEPNPNYRLENEFDVQPLHGNRNHNNQDGMNQGNVRNQVNDLDREPNVAVPAPHPPAIVENNNQNDESPSPLNIYFNLKESFDYNHFAILFFTFSTLLFYFKNNVALPDRLPLYASIICLFVNVLFRLFCMK >CRE09631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:609093:610176:-1 gene:WBGene00059736 transcript:CRE09631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09631 MVIRCYEQFFVSLITFSITVTGVLINTIVLLAIKKSKSISCSFGIITKNQAICNIIMCLIFLLVTFPLQLSTFPLLIAHSHYFGTAVMSVYEISNLSHFLISLNRFCLLYMPHYYERVFSNSKTVLWRNILWISSIIFCVYFYEIIDCNFSYNPPSWTFEFKTTELCNQVSWYMDFIFNTTVMIVTLFLNLLTAKEGRRKSRRLINAAGLQLSKVQLRREWNFVKQTFFQGLSMILSQFSYYVVAPVISDHYPILLFFVATLWAFQHAAEGGIILASNKELRSVYKKMSTVAPG >CRE09543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:630664:632119:1 gene:WBGene00059737 transcript:CRE09543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09543 MISKSVSIFLLLALFSIKYSEGQSCPTGFQLVNQNKCLRVFAQKLKHLEAETDCSYLGGTLVTIKTAIDNRVIANIAANAGASSIWIGIFCFATGNTTTCYHDDNSGELTYNSFASGNPAVTGNGGCVYMQTSGKTSGQWLSAPCAVVGMPFVCEVPMTVADPTCSHNYNGYCYTGSTEMHLATINTTYERAQSICQSKNSDLVSIHSKQELDFIRAIYRNSGIQQIFVGAQAFLPDTFDWSDGSNWDFDYTDPLATSKGNCLVMDLSDRPNNGMWSQTDCQNVNFFLCKRKIGDATVATTVATAETTEAVNPKFKRASRGTLEQLSYLHNFLSFFLAAIRNELLDFSNCNSTLILAPGTITSFGYPNTKPPITTCTWNIGALGPYRVGVYFTDFSVYNAVYVYDEYGNIISSVTGNMRPFQVLGTSNVVTITHDSRFDAAYNYNGFSATILPY >CRE09634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:633330:634349:-1 gene:WBGene00059738 transcript:CRE09634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09634 MVIRCYEQFFVSLITFSFFGNQFCFSNWRLQITVTGVLINIIVLLAIKKSKSMSGSFGIITKNQAVCNIIMCLIFLLVTFPLQLRYSKSTFSTFFLSSSFPRLIAHSHYFGTAVMSVYEISNLSHFLISLNRFCSLYMPHYYERVFSNSKTVLWRNMLWLSSIIFCVYFYEIVDCNFSYDPPSWTFEFKTTELCNQVSWYMDFIFNTTVMIVTLFLNLLTAKEGRKQSRRLVNAAGLQLSKVQLRREWNFVKQTFFQGLSMILSQFSYYVVAPVISDQYPILLFFVASLWAFQHAAEGGIILASNQELRSVYKKSE >CRE09544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:634863:640258:1 gene:WBGene00059739 transcript:CRE09544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09544 MGHRGNRDENHWKNKNRMRRTSRRLQSKSDAMKENSDSTFNESALVTSKMRDDEIDGGTATKPLQLVSEKSGNDTLVDDSTVPGDGKTESDENATEIPHEPLHVESKTCLKDQDNEKTSSILESEKDQPNSDITNSGVTVSTSVLMKGESVKDNLVARGAETVLTSANNEGDKFSTKVVLGNLDAHADEYFWENEDGTRSKRSQPDSPYETTTNHVVVLVEFINAVFAEIAASHGEVTLNAIARELLQLRDDTDFELLEESKALEVVDQVTGFASDVAKECAGNGKSCKGVDVIKNGIGTFKKIGKLLGAQYEDFLQDGGSLDLLVNVKNAPSQIEKWKKAIDDVLISLNEVKDKKIPDAERSRFYRTFGTRLDDMEGALKEFGKKNFSVPELNLLTNEMFSSAINAMSVLNNFSFELAKNDKVITDAQNEFRNTIKKTRELSKKYPIPEVKKFQDKLETLSLNSHFPQLPVNGKNYTHGFPNGVVDLVKLFTDIDADFVKHTILNGTTHEEKKKMFDNLSLLSKEIQPMNAELQKGKSIVFAERTVNGLLNTIRDDFDRVEKLETTTQCFNSVKKFNGTLSTNEWNAEKKLIESLGNGAQEFALKINQVLFYTPNFDKFIKTFDNDNDGIATILKNMEEHKDLPVVIKELTDLSGSLGGLLNFAGIADWVKNSKVDTLFSEAKTWFNSSGAANVINCLRNKEMFDTFEKEAGSLDMSPAFQKLTPNNIYVAQLLETQKNAKSLIKESKKMAKALNKKIVNQSYQKHSFNVTNPFEVVRDLGFASKFLRDLKKAKNSHEAIYKLMGAEDAIDNHINSISDPVLKLILTKTWTPEKKNTLKKLSGIIKQLEAKITQVPKTLEGYGEVFKNSDNLDGLSDVDLAEFVDLLQQTGYSSLDKETSAKLQGLNLEFANGKLKMKSGLEAFIKTLPFLTAFSAPAILNIGQELTTNRSEPAPESSDWKYAIGICAALLLVLFATFLVTFSIFCFKLRGQPDRGCTSLRKTRDVFMCCFKKKEGMKKGGTKKTDYDKIDPGRITKNEVVYKCPKGVIIVEPESNVSIDYSQVGVRGNENKSTEPSLEDTQLESDKAVKDALAKNKKLHERINEAKKIGKDQNLKQISQTIIQDVEVSKNKKNKLKFEPRARVDYNCGIGIDIYEV >CRE16765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:445358:447358:-1 gene:WBGene00059740 transcript:CRE16765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-memb-1 description:CRE-MEMB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MAW9] MEALYQSTNFLLQKVQHDLGRLEGTQNEQDAQVVVQSIYSDIGTLKDNCQTLDTYVSREQPARKQAARMRVEQLRMDVQRVDMAVSAVHTRMTQRWRSASEREELLSTRYRPNDTALSIGDHELLLNDRLHSSHNHLDDLISQGSAVLDSLKSQHFNLRGVSRKMHDIGRALGLSNSTLQVIDRRVREDWILFVIGCIVCCIFMYAFYRFWRG >CRE16646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:450431:451146:1 gene:WBGene00059741 transcript:CRE16646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16646 MWRSDEEKPSTSSASARTSTNTKHASVTNLERSKSSTSLFKRAISLQFLVKNTENIVDREQAAYIKMRYKDTAKYVIWPACRLPDKIDQPKPAPLVPVARDPRTVLMEPDLEDHKSPYMPATINHVLRSDSEDSSDAEQVLEMTRL >CRE16766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:451581:452437:-1 gene:WBGene00059742 transcript:CRE16766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16766 MESSAMPSNPPPNDMPVTKLLILTEKIENFGNAVLISVCLMCAITYISNHIEAYLRRFNQIKQEIAEFTREYREEKEQKMREVEREEKIMRMLVDDMTDVSSDEAIAEDHQSVGDWDFGSSDDTDEYATDIEHDSESEVAAYCAQFEKAMRDINSHYVPIERLRAKARIENAIEFDEYLLDEGLIDNSEFGKTLMVEI >CRE16767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:453741:455302:-1 gene:WBGene00059743 transcript:CRE16767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16767 MDYLMDRYVFDNLPFDVGPEARKEWGQRALHAIQWFDWICKYQDVSQIYENHTSFLFGEILFFILAGLTFAHAWRSGTRFVLVWFGILIHALNVENLCYWIPDMDNFWQAQGILTFFGARAPLYILIGIYHMFDYTSFVLMSRLHLPWWAYGPAVGLGAVMLDMPYDIMGIKLVWWTWHDTDPNIFDRMNWVPWNSYYFHASFACSFTWILMYARSKLVETEYDWRKLPREILCVVFAGMGAFWLGTIQFAFLYHPLHDIFKVHSEYTTIAFLSIYALIVIFADRQNKKAAARTGNKYWFDELAAAIAIEYLFFMIAVVISDPVNIVSDGLHQPIGPCNETQKVQTPTGMVLHKKKYFCVDNYDEKYIDFHCVPGGAPQQTEPDMPLEWYAVCGTDYENRAEYIFIIWFICILYGTIWYQIAARSGVTPKDPVKIYKKRVAVKKDTESKKTK >CRE16647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:456911:457615:1 gene:WBGene00059744 transcript:CRE16647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16647 MSSRSATNLERLLASINLYEDDAVLTPEPLIAASHRSAFNFNQIPPPCQDDADSQNEEKSEDEFFTASQGTTDSSSSEDVPLTVELLTSGTQVQTAIGTRYLRMLLFLFKIINFRNVWNVDMESRRKRLTKRLPRLRSSRVPIKKNEILSDLSASSDLVFQYDSTSDGLDAYHERERNKKRKMKKRQDGIDEKRGIEKEKKN >CRE16768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:457999:460116:-1 gene:WBGene00059745 transcript:CRE16768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tba-8 description:CRE-TBA-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MAX4] MPSDGRECVSIHIGQAGSQIGNACWELYCMEHGLDEAGFLKEEEENKQQSLQAFFSESMNGKHVPRAIYVDLEPTVLDEIRNGVYCNLFHPDQIINGKEDAANNYARGHYTIGKELIDVVLDRIRKQTENCEGLQGFLVFHSFGGGTGSGFSSLLMERLSVEFGKKSKLEFSVYPAPQVSTSVVEPYNSILTTHTTLELSDCSFMVDNEAIYDLCRQKLHVERPSYSNLNRLIAQVVSSITASLRFDGALNVDLNEFQTNLVPYPRIHFPLATYAPVISADRAHHEPLSVQDITHMCFEKANQMVKCDPSAGKYMAVCLLYRGDVVPKDVNAAISSVKAKRGINFVDWCPTGFKVGINYQAPVTVEEGDLAKVHRAVCMLSNTTAIAEAWARLDHKFDLMYSKRAFVHWFVGEGMEEGEFVEARDDLAALEKDYAEVSRDTADLEEDNDEF >CRE16769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:466880:468190:-1 gene:WBGene00059746 transcript:CRE16769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16769 MNLYSNIIYKPDTIRVLFQLKFKVDDALNLLRATMPTISSEEVSFWYERFNKENFDISQDLYEEKEMPSLNMLPVVLKRKITDEVNIEDQDALVRVNKGFRTYLRADRRVFNLVRICLDENEAVLCKVYKDTKEEKIIITTRDGGCIVDKNGETTTYENSMIDQVLIEFRETIKKRGTKIADVTVKFNSEMQGGDKMFFKGVCDVFSELKEPLHVEKLDLRTIETNKMNSILRNIKIGALNSLTYEAIDVHTIDMRDLNNLDDHVPFLRTLAILSLSFKNVPLSNFAHISCIYLKAVISQQELSDYKNKLINSSIGPYHTLETTLTTEEIKEAFRPYETISPGINQFEGSFRHSLLDKKINFTTTDGLVLLRFF >CRE16649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:475164:508056:1 gene:WBGene00059753 transcript:CRE16649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gap-2 description:CRE-GAP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MAX7] MMNKEVKLPWHAGYCVFDPRQSTMSCYKQEYPFITNRMLQRNRTVRMDGDRSAFAKHWGLLPEQYASPITGPHTIARSYTRLPKERISTKERFFSISRIPSRLTKFSTVRGVPVEFFVHDLSVQLLVVSPCKQCSCSFRKAKTRHFVTFANLRRRRRKVGETKSYRDYDTDTRRSYLKPFPPNHSPSPFLNFCHQPHQLNTSSTLKPRSPIERRVSASSHSSTGSMNRQGVHPNALHHLLLANKKRSSTTTCAHSTASTPRSSNTYSSGSATSSIPLYVNTQALALAEQQLPKAEKRVSFKDLDMSARLPATVQQPFSSSFQLRTGGGLHARKLHRCDTGDMSLLERYLSRENMHTPSPIPQEYQQSHQHSYSPRHVHFAQSRRPFQRDQRDETASIRKRLSRSCDHLSDHNFSPRLPPIRRKPTKHVPSTLSLVIHDAIHDETTSGGGGESLDRRGWSGASTGGNRTTLTASVHNNLMNGRMSSSSHNLSTRLSGSSQNLHQLQPPTNVYGFPMVPPANNPSDSSASGRIASILARPFRTNPLKRTKSVSKMEKSLPEANQHSLHRVDANTPSRDSSLYAQPPARRHLSQPAREGSLRSCRSHESLLSSAHSTHMIELNGHHRVHPVHPSIFQIPHCFRFSSTFYACRTPLERAKWMENLRLTMNPRRDYQRRTENSLLIWILEAKGLPAKRKYYCEMTLDKTLYGKSSTKARTDNVFWGENFEFVMLPQISEICVSLFRDSDKKKKEPLVGYVKIGIDQLAGRSPVEKWYTVNNTHHDSGTSRIASALGGKSSHQDIPSLRIKARWQSVDILPLRSYDHLYEILSYNYMPLCEQLEPVLNVRDKEDLATSLVRVMYKRNLAKEFLCDLIMKEVEKLDNDHLMFRGNTLATKAMESFMKLVAEDYLDSTLSGFIKSVLQCEDSCEVDPTKLGNVSNSALEKNRALLSKYVNAAWTSIMDTIEQMPKNLRDVFAALRLRLAAQNRVALADTLISSSIFLRFLCPAILSPSLFNLVSEYPSPTNARNLTLIAKTLQNLANFSKFGGKEPHMEFMNEFVDAQWHQMKGFLLEISTETQSVNVKYVDAVVDTGKELSLITSYLEEAWTPLLQEKNGNKHPLCNIKPILSELAEIKRRPDAIFHSPMVQQPSSDYENSPQPSVVPRHENVPAYRSTPPTGQATVMGRSMNRAATHLMTSDDYVLSSAFQTPSLRPGGTRLSDETGTSSSRTSDKTTSSAEIREDTDSDFELRDDLGRGGRNRKRLPRTDASPSSSQQASSGYMSNNPSRSSYSNSSSSSPVERMGALSIANPVFGPGPSSGYTIPAEPKEIVYQKRSSPPPYDPDAHNYHYQTMQVYSVPPDCQVSPRTQSLPGAANVQNRLSLPRTNPRASRTSALLRPSVVNVPDDWDRSSDYWLEYRDKMIESQAREIERLTRENAKLKNKIFGTNNDVDSKRSDSGASEESYDSLSSLDRPSRQSLVLRPN >CRE16650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:512757:514141:1 gene:WBGene00059755 transcript:CRE16650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16650 MHDFNTTASTSSSSSSSSSSSSSSSSQSSPTRLPVILETPESSSQNDPEDSEFGELSSAEFNSASYISFCDPVFSSSIFVNQPNCGDPALMATSQNFHTFNYPVFKKYGYYINGVYYTMVKKGSTRDRVKCLYRRGTPRLETIQEETEEGDEQKAEKKAAAEKKIESNGNY >CRE16771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:515480:515985:-1 gene:WBGene00059756 transcript:CRE16771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16771 MISLFKLSAFMGLMAVLANQATTADAASSIMPNVCTPQEEAGMPCVCCKKACWFGIAEMTTAYFGHMPGERSDAEAKFTLAMMNQCFKLECSDSCPSSH >CRE16651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:520912:524737:1 gene:WBGene00059757 transcript:CRE16651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16651 MTDEKKNCKTPPELALMPDIVLSNIFNKLDLFSGLKVKNTCMRLRFVSNSGTIPCDHGRVIIFKEKIILQFDDFHVTYQKLGRDCLVQKSNGPMHKIFNQDYKNVALNNLKSVLQCVNFCINHLEFLVSPECERIYAKLIFTIIKSLENGGRPKKLKIKVLFNHSINLGKINKLIQFMEPGYLNTMLFLFDQTREQDFDKIVHTQQWLQCETLGIHGNTEEFVPLKYLKNFSHFNNIDLHCSPTLAEMLHFSGTITVSPTFQLCQIYTSRPEFDFEHLETALGLTDPYEGPDGHTCYSLAIPDSDDRITYNLSYDTCVIRREKYNKDTGKYERSLAPFFSLRSYVDDKKPDCQIRTLNAFINVDEAQIILENELEGWIHIRYKAVNENTTSVREKQERKTDGINFMKALNSDLEILLKHQKPASEPITLFIQIDNYENKKLVDENNMNRLIETFLTELKQVLTSRAHPIRIDSLAIEMLDRKHVMQVLPFFTQRLWEDSG >CRE16652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:529709:533024:1 gene:WBGene00059758 transcript:CRE16652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16652 MPSPSLNQKKKSCQQYHLLYEDKIQIVKELNNILPLEEEDMLPSDGLGLSPTSSRANVGLSQISLPLAWKPKETRTFSMFLVIQSKTRVIDTKIISHVDKNMTDVIIPDSFVFDNEPEDFHVDILIYAARTDYGLENNSGGSLKSRITRSIGRKFGASVVRFIILFSFISVLQKSQTTSHEMSKSPRLDQTISGAHYNLLAKATLCIADACEEATIHNLRMSAFADLSGPPLYGHIICRLAIQPHSVLRPILEGVLSVQHVEEGVELENTSARLQAGNLHFYSIGDIANSSKNTVMVIPLSSVSLILPKSRKHYFILFQRSRIVPTPAPRTFLLRTDETSEVPASSVYLVTNSDRDYEIWRRAIEIQIYYIGIWGKFATKMSSLLTQKREDPIRETLSRGTGSNLYETISIKGSISKAFGGLSLVPCDGQPHPNGAMTAPMKRPNVKQRAKVIDFFPVPNRNRESPQPSRKSIIYEPSSKYVIQLNIGEDSVENEYNSYSPLYRMSPRPPSNNRHHSEDQYKDGKKSWSKSIGSLINRNASHVTRL >CRE16653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:534840:536620:1 gene:WBGene00059759 transcript:CRE16653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nuc-1 description:CRE-NUC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MAY3] MGLSRMALLVFLLAGISLTYAAFSCKDQSGADVDWFAVYKMPIEKDDGSVTGLAGGIAWYYVDVNKKGSLTPSAKTLDDKDQALAYTLQQYYDRQADKSIFHVMFNDEMMDIDVCAKLFCKLFLFQPWGSKSTSGIHLEEILTNKVYSNYTHEDDSTSTAFGHTKGTIFFDGDSGVWLVHSVPLFPNPTQYEYPVSGHDYGQTMLCMTFKYSELKKIGTQLFFNRPNIYSSNLPTNMAADNADLAKVIAGQYQKGAPFSSVLELETKAGYSFTNFAKSKEFNADLYDTLVAPTLKTDLVVETWRRGSEIPLDCKLTYHANDALSIHVGGTTAFSYTKDHSKMAHSADMTKPWVCIGDINRMTSQYIRGGGTTCISSSFLWKAYSVIATQNNCA >CRE16654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:537658:540360:1 gene:WBGene00059760 transcript:CRE16654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16654 MSSASPRIKNKPDTGPPDKTFVETPSGDLDIPELVLVDSTPPVPKEENAESLMLSQPALQSPVALELASEEPNGPTATPMNLVIDQQGSPIMREVEAYSDDVVNVKLMDSPKFPNREEDTHIKNPVDSPIVLQEPIDLMKYDAVKPEPEEASINQLMLIHVVECNIAKQDGTTTNQPVEEPREQIAAEDGKAENTMSNLHVVKPMELKKSQAVKTSKSQHSIKSADALKETSQANIEKQDTKKKEPAPDLLSSHHEESLANKPVLPHLEAKHHKLSQNSPTKLEGLPFSVKEELLLKPKKVGAKHPIVKPMKPVVLATKITDYVSNKLLLNQQVLSNLDKKKCDFSQHQSTKRKALPSSPETPKPLKQKKLGESQSSGNVADVKKATRVLTPVLGETSQNADNDSLQSNVNHAKAKISTKKLATKFNNAPVYPPGLIIRTTGSNSKTAGDSSKDSQAAQNQAKTPVGQRVEPSNTIVTPPPPPPQKITPSKKQREELIKHLENTSMDKRLFWSLVHVYGKKMVKKLNLKAREVWFDFFATSEYDATDNCEGRMQLTLPDDSIQYLGKITWRRRPQDESLQQHTLPGFTSSSTLTRDERGIPIYIVEHANFVQFVEEMNNHIRDLFSLNKNASIRIGPQFFRRIPLKDIRDKMEIVIGYRIRTGVLERCFEGQNNIDALSVRLDRYSVYPCPQMCKVRNLIVYNAGDIEPYLQRFEGENLFLTEVYVKAYNILWFLQSWQKGEMKNLKSLIVTIWSGYSKEEEETLHLNEDWVLFNIPHQAWDSEFRPRNFNNYTVIEEYTTLPENFMDMKNAYDIRRETDGAVASIKFEKKSLVFCVWSPRDLFSDSSSI >CRE16655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:540580:541774:1 gene:WBGene00059761 transcript:CRE16655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16655 MLASFRAQRKSERLGDLKPHEIFVFSLTSPEAKNKVIEANINVKEVLFDFSSMDSRNVYRDSSVDVCVEFQPQIKTELCHAVWTTNPPYGVFSLDKEKLNIEGHQTECRITTDNNGEWTFWIEEVNPVRFLNALNAHIRHIYSFRREVSLKTHVSKMLTVPMTGNIRKTEIVGNVVEPQRVVQYLKHFPDVEFLKLECRFDGVFEVPNIMLRTESLMIIQPAQTHLMRIFHSFEGKHLYYDGSRTPILQLNHLLLAWKAGKMRNLCSMIMKVNVQVEYTPRRLLQDLDAYPYNEEHRPPQFPYDSIIQEHLDLPEDFTDCSIAYDFRRTRDNTIATISFAGEYMMFFVWNDNFYLGIF >CRE16656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:542039:543627:1 gene:WBGene00059762 transcript:CRE16656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16656 MSIDVHDDVISGFLVHYTRTHYTVFHPIQHRLMRGRISYDTPLTLGKYYYFEHNKFFVTRTNEIYARSWAVSPGRYLPQNIQEKFEGKVWAPFFGLLNDQNGMFVKKFGVGGHGGIVVKFVNRPNEVFKIRNVEKREYNFEISQPPIWNEIGNSTSAVDDFTRKPRLHHFSCARFALCVQEEAPNRRFNVQNKGSFPACSHLINKTYGAVRSMRYGRVGVWYQHSFTINNSISRRYSIYDRATATKLMAIDPPLPTKVVGNHVELTVKFLFNHDRFEREWSRDIQDWEDRRRGLKFNMFFYDEYLGKVEVQDDEACKIIKFVGKLRNIYKHRLIGDPIIVTVKVSPIREFVQRNCEDNASPLFFVHGVVGVEFAKRK >CRE16657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:553522:560198:1 gene:WBGene00059763 transcript:CRE16657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16657 MRSPKSVRRPHIRQQLTNRRKNLGRVAKSQRNQFRQWLLTAVLPNSINDKRQEAFASLELTEQPQKVEKVKKAEKKKIQKPAAKDVEAEQKLIAKKAAEKEARRAEAEAKKRAAQEEEDKRWKAEQEKIQKEQEQKEAELKKLQAEKKKEKAAKAEKAKKAEKAEKAEKAAPAPVEEEIVVKKVAKEQAAAPEPKTPTNTPAEPAEQVQEATGKKNKKNKKKSESEAAAPVQVEQVVEQPVIIAEEPQQAAEAPQDKKSKKNKKKSESEQAPAPVEQVAEVSSREQLRDSGAGGEKQIEVVTTPAATENKKKNKKDKKKSESEKTVDEAAPVSETPAPESSTVEVPASEAPVQAETAKPSQKPTADDSMDFLDFVTAKAETHEDQIETVPAPVEVAPAEAAPAENKKKNKKDKKKSESEKTVEEPSQAAPASESPASESSASVEPTQYSKKPTADDSMDFLDFVTAKSENNEEVNLAEVPVEIAPAAPENKKKNKKDKKKSESEKTVEQPSQVAPVSESAAPAEPAQFSKKPTADDNMDFLDFVTAKSENREEQVETVPAPVEVAPVETVPAAPEIKKKNKKDKKKSESEKEHPALVSENAAPAEPTQFSKKPTADDSMDFLDFVTAKAESHEESAPVEVSHAQDAPIESAPAAPENKKKNKKDKKKSESEKTVEEPVQAAPVSETPAQFSKKPTADDNMDFLDFVTAKAESHEEPAAVEAVSVPVENAPASESAPVESSPPAAGNKKKNKKDKKKSESEKTVEEAAPVEIPVEETPVAQEVAAPVQEEKVISDECCLHSFLYKYLPCLIRPIQKSKKKGKKTSESESQRPSADDSMDCLDFVTKKADPVAPLVEEKPAVVETQKSEEKTSKKNKKNKKHSESEKVGSCLHNNGESVTDAVQEQVAEKVTVQPVASEQPTENVADQVHVPTHTEESVNVAAPAPVSQKPTADSMDFLDFVTPKPEEVASAQEAHPAVSKPSESVDDLEIITYDQVIDAPSSEHSLTTPTQGSPQRSTPSPNSVLLNRSKHPKKKHHHKKNKKRSESEKSQEPSKEDLEFLEFLHSEPKEKVEEAAPAAPTAPVSKKPTADDNMDFLDFVTAKPEKSEESVDEHIEAPKIVEPVHAEAENTGNKKNKNKKNKNKKNSESEAQATAAEPVKEATPKVVEEVFEKKVVSPSTGAASSAPAQAPASKKNKKNKKNSESETQAHPAAEPAKEVTPEVVEEVFEKKIVSPSTEASTPAPAQAPASKKNKKNKKGSGNSESQDAAPAPTVEIPQSTVAGGKGSPGSDKENSGLTNGSAKKQQSVEELDYGVPSPTTTETSTDKKNKKKNKKGKNSNSISENATVIAHLEQDHLDQLADDEVQAITNAAGSNSPPIQVHEVTSSEQHANGNTIEKNTTIEITQAVDGSDLSQTQFQKNVEKLVQATLAKHDIVEIDPTVKLRIDAQLIKLSEKKAPAVVMEHVNYIKPLPMELHISRPSTPSRDRVYKLLPKDIIFCAGLIDTHGENYAAMAADERNIFKDTSRALQRKIRIFKESPHYHTYLRAKEQNRPIEEVIAAEGM >CRE16773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:564677:567733:-1 gene:WBGene00059764 transcript:CRE16773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16773 MSRQLGKKLVQWSATRPKSTTEELVKSLTVPLREHEQPLKVLTPDEKRKAVQLRMKEIEHIKGQPPFFDMFMREHTYLRISLTEKCNFRCLYCMPAEGVPLKPKDKMLTNDEVLKLVKLFARHGIDKIRLTGGEPTIRKDIVQIVEGIASTPGIKDVGITTNGLVLPRFLPDLKNAGLTKINISIDSLERLKFAKMTRRDGFDKVWKSIELARSYFPKIKLNVVVIRHQNENEIVDFVNLTRDRNLDVRFIEFMPFGGNEFKNDQFFGYREMLNLIVKKFGEDVIRLSDSPNDTTKAYKIEGYQGQFGFITSMTDHFCNTCNRLRITADGNLKVCLHGNSEVSLRDKIRGGDTDEQLSEIIQKAVNNKKARHAVFRNGRSQKLAKSTDDSYRRLTLGIPMIRVVSLLSAFFSVHSSIPTSPLGHLSSSSLHFSHLASSSHFSSIQFRHYSTTPPVYSDSPVLTHVDNNGRATQVDVSNKSITIRTAVAKGTIILTPEISLQISQNTIKKGDVLTVAKIASILGAKQVANLIPLCHPIRLDFVDTIFEHDLKNAQLHCVSTAKCGGNTGVEMEALTACTIALLTVYDMCKAISQKMVLSNIHLVHKSGGKTTYNIDNENQI >CRE16658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:568996:573258:1 gene:WBGene00059765 transcript:CRE16658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16658 MECARDEFVYQSKFPLEPAPIPAQTLEINGHKYVLNHRFKSIKIVSHRYPPVIPMSVDEQAVPPEVSPSMAANIPQMVHDQLANQFLPASKNLGQCGMHLLKTYYMFQEALSNYCDATHKLIMSADTAGHKSKQEARELSKVFKQFVQGVNAHQKVITEFDALAHKVHDYSNKEKEKLKAEFAEYKSKEKKIMKRKNGETEQDITAFHRKEAANWAKQQEMRYKFFNEKLHSWINGYIEIGKLYQSETVIANPVVGGVVAAPAPQPEHHEDWHHELHQDAAVVAAEEAKEKIAATVTEATQDSRSNSTSSVATTLEELPRRDTIDITGVTLVSNHRRQSIEIQTVPQPAPRPISTYNAPPVLPPKPIEHHYVPIRSQPISATRHDEGTYAPRPPVVAEQVPQQPVNSAPGGVRRAGNTIPGAVNVFGFANQSNAQPNIRYTPVQNGTPYQVVTRENIPVGRVVDNPVTEEQMNVKKFHVDSNYVPMAAPSHQSQQQHQYHSRAESTDISVPSYFNPSQYGSILIVNDDFNASSGEQMTVNRGDKVILLKCGSRGWVFVRDSISNRTGWVPEPYVNP >CRE16774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:573516:574635:-1 gene:WBGene00059766 transcript:CRE16774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-17 description:CRE-GLB-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MAZ1] MGLCSSKKRMTTEMSDEEVAAIRDVWVRAKTDNVGKKILQTLIEKRPKFAEYFGIQSESLDIRALNQSKEFHLQAHRIQNFLDTAVGSLGFCPISSVYDMAHRIGQIHFYRGVNFGADNWLVFKKVTVDQVTTGATDSSKEKDETNSNGTANGKVDTDTNLIPIADANNVYSGENCLARLGWNKLMTVIVREMKRGFLEEAMRNCKEEDNNGLLSA >CRE16661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:587674:588506:1 gene:WBGene00059767 transcript:CRE16661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16661 description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:E3MAZ5] MICSTASSLALGLTVLLATILNVSCNSEVDETTRWRECVNSNQSIYDFQIETLQGDYTDLSQYRGKVILLVNVATFCAYTQQYTDFNPMLEKYQGQGLVIAAFPCNQFYLQEPAENHELMNGLTHVRPGNGWVPHQELHIYGKIDVNGDNHHPLYEFVKQSCPQTVDKIGKTEELMYNPVRPSDITWNFEKFLIDRNGEPRFRFHPTAWSHGDVVTPFIEQLLAEQAN >CRE16662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:590035:593073:1 gene:WBGene00059770 transcript:CRE16662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-del-8 description:CRE-TAG-263 protein [Source:UniProtKB/TrEMBL;Acc:E3MAZ6] MPDKITTAGWKHVRMYLPKPQKQCDCHVNDPPPWTGEVHGLSQALMSKTLTMRIFWWIVIIICISLGAFTTLLIIIEYIQGPTATSTTIRLVNSLEFPAITICPKIPDSFNISGIRGDIKESIPDISDKSADDLLEYFVAGSGLENMNDVTFFNRTYLSHLNNYYKIWSEGYSVDGFFEIIQQKYGYKCEDLFYECQLAGKVLDCCNDLMVRRVVMRRGICYQTRRDVNQSEADDIGRIVFSLKAPSSYTSIKNNYTQTQLIVYITDNHNVVTEFPRFYLYPNEWNRMRFTARLIELIQNKGVCTNHIFGRDAECMVRKWYLSNIIIPFNCTLPYLKSITKLPPTTGVCKPNVIADNYLDKIQYVWNSAEVNEECTPGCNRWDYQTSVQQSQTLSPFEDYTFNLEASFNDLQVSRLFYLNCLNIIIFSTRQFGFFLGLSIITLIQMVLYGFHSAFMFAKKHIQRKFPFCKIHPSDDYPRSTMTIDNTNNIYPPEKTISKEHIATLSRRVIATTPPSPVSTVIDSVDLPPHWGLRNIDRSRENPLYHENNNNNKQ >CRE16664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:603419:609804:1 gene:WBGene00059771 transcript:CRE16664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16664 MSLKDYVITKTEVIEACKKGEYDKVALFLSSKRGKKIRSGLKFFTTKSDSHWLADYKDDKSDFTLLHHAVLENQNQISKLLMDYEPLLLLCKSTKNILPIHLAAWNGNLEIVKMLLLQTMDKPADILNAVNIFNETPLHLAVQRSHIEVVLYLINKNADPFIRNENKENVIDVASRIGCAEAIRMLCKKWPKFPVQSAYESLRVGAPDIKRAFLAIYPFHLAAKYNHVECMQALQEHGFSINYTTEDGTALHVASACGQTEAVRYLLEIGVNTDIKNQHGQTVLQMIIDLQENRRNEIAHFVKNPEGWKECRSIIEGMDRRDSGRETEGSQSDNEREAIWQPLPSQASVQVYPDQRRNNHSIYQPPSISARLRDLSPDDSTEALNSVRSEGPYTTNTINRTWNSSMYDRAPRTGRFPLTSPSYHTKHYSCQRSSDTLPTRLKNVRSRPAEKQIASVLPGENWAYNSPPKFNEWQKNQLTSGRPPAPYDNVPSSSAMLARPSSPLTRSSSLRRQTGYATLPKLPVYTSHAPDHNRPNLNEAFILDSDKTLDRALQRHQFSLSRTTCDREESLGLTQSPRLDTRASSVTSSLTYACSTLDKEKFNRERDNGRAPLAMPEEITGSVTGSVIYSHGNAHMNGNYDKDNNVTAIFLDDLTKPPSSSAESPPSPNTSKAFIFDALCGKSRLVDSKNSDFFSGFSSPSNISNNSTESTSKVQMNSSFTEPEEPVRIRRQNGTTNLMMNSLSPTDNLSSPSSDQKSDSTSEEPSWDRVSCSVESVFDSFGAAPCRESVFVREYEPRVAVYLRDRGSKALSVTLVDDELRDQKSLLVKKQPKTIIDWLESDVMLAPGAADSVGALLQTHGYDRMDQLKGTINRNILAEIGVDDVTKFKIMSEIEKLKDEVASAGKFFYVSEWLNCIGLQDYISDFVAAGYKHMAKLTEIDWDTAKLMQIGVSRPGHVARILHSFKKAEDEKRKEYNSRLQMKPVMSPPPLPPRVRQNTCSECPQGDFEKIKNKLLQGNVVYRAHHLGVHEIGETECSDEAHAAMTFMKESVVDWEKISRVTLDLTCNGITIFDETFKTLLDGYGVYTIRVVCQDRKDLNFFCFVARDTENHFFCHTFCVLTSAIAAEIITTIGMMFELRSRMDKNLPIEGTMVRCRHLQQQNGANQRN >CRE16666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:614874:616276:1 gene:WBGene00059772 transcript:CRE16666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16666 MSIAHEKELHINENGVYPVVKKLPSPLCCFKVMHIRTGALWIAYCEIMWIVSQCSFWTAESVVREAFPPYVIIAGFAFTCIQLVLVFFLIQGVRTFRLAYIEVYMIGVCCRMFMFLSFFLTLLFFFVITDDEDQETSDQFFHRFLILKMIFTCVYTLLKAYALYTAYRCYSYIAKTRRAIVHLTIFEDPCSYFWQT >CRE16667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:618320:618675:1 gene:WBGene00059773 transcript:CRE16667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16667 MCNVSTAVIILFALCFHQTMSAGFMDAESAIQLPRRSAEMYWVNRAMPNKYRKYRTYSRRSVPRWKRLITLPGVLQSMAPEDKKRYSEQLKLRLAQLTQH >CRE16776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:620697:621937:-1 gene:WBGene00059774 transcript:CRE16776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16776 MTAAIIFNDFRMNAIDNTTKATEALAEHVVMEEPTVTEAPLVNEVPMVTETPIVTEKVKKEPTPKTRRRLSDDLKEEPREPPNKMQKLEVEDFMPLEALQTLQQLASPKLGNNGLPCRPKAMTIVDGRPTLPEMGPLLQCQHLQQIQCLIQFHEQQEKYRSYIQLEILRNLRKQLNDQMLLHPHRHHYEALVEAAKNNRLTPLSVAERTQIEYFTQMANWTLEARATLQNKETPTYLHFLGRQIADFRGRLNEITTHEQFQVFAPMFAERIDYHRELIHTLNRRSSVSIM >CRE16668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:622362:623143:1 gene:WBGene00059775 transcript:CRE16668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16668 MDAVRDVHPSPYNLDGMYEPIRQTLDFHHIEQINTMVFHFRELSREVTSHLGVIPSTVIGELRSLNQRIVDTIELMESDMVRNERAPYEAKLGFYRQEYLDMTVHLKDLVNILHNVQPQPQVTPTEQP >CRE16669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:632036:633572:1 gene:WBGene00059776 transcript:CRE16669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16669 MASWKTNLKDVHSCLGTILHLLNEEHTPEENPQAGISSDKLSEEHHDENGNNDSLLVPDFDNSLDAGIYGGDRHFMDSSRLNVSNSCLSVQSNASDHDEEVAVNSEPKQASHQIVIISANWNNRENSPTSSNSTRNDEMDNLTHNNEMPDWASNAIKGESNIVDFPGVPIGMNLYHVRASPPTSPPRRRFPAPQYAIPPRASDPSLFHINAFESPPVSPGRQFEDYEYNMRPDPNGLIPDFLEIHDPVHEIQEHNNLDGRVCHLPLCNSFLHYLKTTTDNAQDKKNILKELFHHADSIMHNVLGTCPLEIRTELADLTTSTYLINQSLERTTSMESFAANSEIAKCLVLKVRNAIEKNL >CRE16777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:634942:636220:-1 gene:WBGene00059777 transcript:CRE16777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16777 MNSTEAVTNVTQPYIENITIGETAFYVTCGIVGTIFNSIVLWIALRYINTEDKPRQIIVINMTVADLLMCIVYMKTRPWLSYFNPALCHPYYLIIWTCQMCSCLNLVWLNVDKLIYIQFPLHYYQIVNRKRLLWVSAATWGGLIALNIALVSFLQVNGSCLIITLNPYVYVLNPIFYVVMIITSFSLSALIYCIAHNLTHMEERQRSKLFRRLFFLFSSTLWTFFTCLPYRLFYLFGNFCGEDCRNAAYSFATTLFFRLLIVGIMINPVITIWTQRIYRLRLMRMFGRLRENSSTEVLMVSNRRASERPPEHTPLRCDL >CRE16778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:647455:649130:-1 gene:WBGene00059778 transcript:CRE16778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16778 MVSHKKRPTNEDDEPSVKRHRNVEQVAAVVVQEEEKEPVSEAFQPIPLLESNDLASDMENDGREPVAQHQKEAEEGVAYRFGNVHQVEDSYEMVPRNVDQVEDSEIEQDSFSDYAESESDSDDEMEPELEEKNEVLDLTAPVDNRASFYYDDHRCNVWPPLYEIIRHLKRTENMQEELLVNQFKFKPDFMELYETSIDYLIGMNKPAAPNTEEETQNFKKCKINRHFCINQLSCSDWYKREKLILYFAKKFHMHSETRPGCEHHYGYLFPAKFSYNMIEYLITNIPLLGYRVPFRTSIGDVDYPEFHEIRDNIRVMMDGCIMSDRRENARNTMVGMVEKCGKMDEENLDALDSIINEMNFLFSTNFEFYIINKAQLYQKKHRAYAVPFESFNRKIRFRDSRYQAFLKSRNNENLVHLPIQQNQFELPKNIEIVELMDDGVDDTHARFQARHGARLAWN >CRE16779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:656323:659991:-1 gene:WBGene00059779 transcript:CRE16779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifp-1 description:CRE-IFP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MB07] MNSANARDCLLHLSRAKLSEREDLVQLNDKFVDIIEHVHYMEAEHAALEHDYNLLKSGVQSDSSGINEIYELEIRNVRSGIEDINRNRQQLLNEQSHLSQQVKEAEQQWRHTAKAALGVPKEVDDDFARICQIKYEDCLTKRRIKYMEDKNRLLKQNSGRIFEQINLMRMRTDQAVSLQQEYLVRKNELLNSIRNMEEDNKRIIMNEHKYFTRDRNADRHVFRDQLRQSIADIRNDYEFKRVRNEEEIRVRLEREIARINVSQPITFTTDKLKGELTIVKTNCHELQQQVSNIEIRNSTLSQQIELLRLEIGENYQSFDISLDSKTKEIEKLREQCTSISVELEKLCDLNIDLEKEIAVYRRLLDQSGNPTAHTGSTQVIARALNRNNTEFTSHRSSVRSESTVESVVDRTPHRVDYRYQAPPSHQAPTQPIQPILAPIPPVQPIQSNQSNQYIRETVDYGRDRSYSPYRSDSRSHSHSRNSVIDTYTSPSYAYPAVPITQAPPTPQPLPPVPTIPLPPIPTTDYDRSRLTTYESQTIRSRDGSIHRTPSPGLRRTYDTIASYEPYSTTRTDIDTSRPVVGTIGQTHASATGASVTGSQTGIGHGTTIRDERVTTNFPPIRPISETIGYDSIYNRGTEHRSHYGHHHQASNSVSSSAVDTRVDINHYSPTPRTVRPEAARPYQNGQIEDVSSFDSVDEDNFQRFTRWYKGRVKISDVTPDFVQLVNRSSKKSADIGGFKLIHEFGQKSVYVDLPASLILAPKESLKIYARSATHERGAVVAEIDLFDTTIHTNTSIRNTNNEVKSWFVYTSNTEIGDPEHHHH >CRE16670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:669590:671278:1 gene:WBGene00059780 transcript:CRE16670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-18 description:CRE-NPR-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MB08] MDQNKTEDKIYKVVSKRKHVKIKNPFHNIKLFQFTLVYALPLSNHDNSSLMLIAGFYALLFMFGTCGNAAILAVVHHVKGQDPRSRHNTTLTYICILSIVDFLSMLPIPMTIIDQILGFWMFDTFACKLFRLLEHIGKIFSTFILVAFSIDRYCAVCHPLHVRVRNQRTVFLFLTIMFLVTCGMLSPILLYAHSKELVMHEKFDLDQEVITRMHLYKCVDDLGRELFVVFTLYSFVLAYLMPLLFMIYFYYEMLIRLFKQANAIKLTLNGRRNGEDKKLTIPVGHIAIYTLAICSFHFICWTPYWISILYSLYEELYQDEKSSGTPPTYAFIYFMYGVHALPYINSASNFILYGLLNRQLHNAPERKFTRNGPLGRQPSQAITTHTRPEYSELVTIPSNVRPDSRVSAMNHTNNNNTEHVPLAPNLSTPAAPNKV >CRE16671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:673363:675494:1 gene:WBGene00059781 transcript:CRE16671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyf-7 description:CRE-DYF-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MB09] MNKLWRASCFQVLITFLLMHQNQAKEKERFVERVDCIADSFTVVLNKSDPEVMRMISNPKSQPVVYVYGHKTRHPCGTSMKDEKGLTNYNLTIPYGSECDVTLTDLPFHRYAETTVVLEDNADLSFGKTTRLNHVFCLYTRSVKTIRFSDVSNGHEVMVSTGGKPKPKVEMLFRSTDSGKTLQAARENEYVEFFIALSPDSAYHGISPKECTFSDREDISAPDAKKITFVQGGCPVNGMNDIIDPLANVNDQIYFSKFRTFRFGNQSTVFVHCQVQVCLQKEECSKTCYKKVSDSNLTAERLRFRHKRSIAALPVRTTRSAPTDDNGSLDLTNSLTVVSRTESAELATIAVPGPIPSVANAPSEQRRDLCPKTSGFGFVPLAIMGTLATLLLISAGAAIYFGIKLKNIKKKDSFDMMSAFSNPTVSMPVTYSHYQRSAYNATVDSLYR >CRE16672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:680603:681900:1 gene:WBGene00059782 transcript:CRE16672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16672 MSSRYTSSYTSRYGGARDYGTSSYSSSRDRDSSYRDRDSTYSSSRYSSRPKYSNYASKYSTDLGKSTDKMEDYTAAPIAKDEIEELSYDAKTPTTPTIEVVDAPQEVLQESQISDFVEEEEADKPNEIEEVKLTLASEVDEDDEDEEELRKAQELLASSTQIREASPVASPIASPIASPTKVTFATETFGQENGNEAENRTPSPTVLNAKKLGGIPWPPKSCDVKKDAGDAEILPKKRVSDLIARFNTGVVDESKKSDDSYKTEYGATSNVGKVSTHNFA >CRE16780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:684496:685541:-1 gene:WBGene00059783 transcript:CRE16780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16780 MIHPLTSHFYPPKKPKQSTFLKCSSMQSNRLSYISVKRSQVATHGTSFSIGYTGECTSIYKEISKPKKFSAEKPRMDNARQCHSAGVKLNINKELQDKLVFTDYDSSIKDKLTPYAPSTCLNMRLFRNCHPDNEKWNGPMSAAVGFELRK >CRE16673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:685912:686233:1 gene:WBGene00059784 transcript:CRE16673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16673 MSGLSNIVKFSSPGVSASGTKSSISTTTTSSISPNCAYVARQKYIRDRRLVTRTDVRNRMSVWVRLFAWCRGGEKSDQEDETIFTLRT >CRE16781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:686645:687194:-1 gene:WBGene00059785 transcript:CRE16781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16781 MEFPSSLLNLTKIEDSDGDTRRNSECFNERSRKSQPKPQLTRWSSESDLTKACSYDVSVETMRRNSLEEVSQCMQTRLDAETASILENGKVTDENSLKKKTFLMNMFRTAVLKVMTDPAGDECEGEYECVRFSNKHV >CRE16674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:690603:703959:1 gene:WBGene00059786 transcript:CRE16674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-him-4 description:CRE-HIM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MB14] MRRSPIWLLWVMTLLLMSAHFIGANVQDKNDPTGKSSLAFVFDITGSMFDDLVQVREGAAKIFKTVMAQREKLIYNYIMVPFHDPYLGEIINTTDSTYFMRQLSKVYVHGGGDCPEKTLTGILKALEISLPSSFIYVFTDARSKDYHLEDEVLNIIQEKQSSVVFVMTGDCGNRTHPGFRTYERIAAASFGQVFHLEKSDVSTVLEYVRHAVKQKKVHMMYEARERGGVTVRTIPVDKHLSELTISLSGDKDDSDNLDIVLKDPEGRTLDKRMYSKEGGTIDLKNVKLIRLKEPTPGVWTVTTNSRLKHTIRVFGHGAVDFKYGFASRPLDRIELARPRPILNQNSYLLVNMTGLLPPGTVGEIDLVDYHGHSLYKAVASPHRSNPHMYFAGPFIPPKGLFFVRVKGYDEDNFEFLRIAPTAIGSVIVGGPRAFLAPIHQEFVGKDLNLSCTVESASPYTIHWVKGEEEILGGPLFYHNTDTSVWTIPELSLKDAGEYQCRVTSDNGNYTVKTRVEVRESPPQIVGARNETVPLGNTAFLHCPTRSAGEVEIRWTRFGSTVFNGPNTEKLANGTLKIHHVTRSDSGIYECLARNAGGMSTQKIMLEIMEPPSVKIHQKETYYVIGDNVNITCEGFGDPKPEIYWYFRDYRVTTDHRTEADSLTNFLYIREAVPQDQGSYECRAMSPAGQAWDTADLILATTPKVEIIQNKMMVGRGDRVSFECKTLSGKPTPKIRWFKNGRDLIKTDDFIRVNDGQLHILGAKDEDAGAYSCVGENIAGKDVQVANLSVGRVPTIIESPHTVRVNIERPVTLQCLAVGIPPPEIEWQRSGITIGPESNSRYTQLADGNLHIAEAQIEDQGQFTCVAKNTYGQQSQTTTLMVTGLVSPVLGHVPPEEQLIEGQDLTLSCVVVLGTPKPSIVWLKDNQPIQEGSSVIVEGGGSLLRLRGGNPKDEGKYTCIAVSPAGNSTLNINVQLIRKPEFVFNLDQGNVKKPTIPGLDDKHVATVNTTHDVLDGDSFAIPCAASGTPPPTITWYLDGRPITPNNKDFSVMVHINVYTSHVPNIDCFQPDNTLIVRKADKSYSGVYTCQATNSAGENEQKTTIRIMSTPVISPGQSSFNMVVDDQIIIPCDVYGDPKPKITWLLDDKPFTDGYVNEDGSLTIERVLEEHRGTFSCHAENAAGNDTRTVTLTIHTTPTISAENSEKTALQNETVVLECPAQALPPPVRLWTYEGEKIDALLIPHTVREDGALVLHNVKLENTGVFSCQVSNLAGEDSLSYTLSVHEKPKIISEVPGFVDVVKGFTIEIPCRATGVPEVIRTWNKDGIDLNIDGKKISVDNHGTLRISEATREDIGNYNCVVTNQAGKSEMTTNVDVQEPPVISPSTQTNNTAVVGDRVELKCYVEASPAATVTWFRRGIAIGSGTKGYTVEPDGTLVIQSATVEDATIYTCKASNPAGVAEANLQVTVIASPDIKDQNVVTQESVKESHPFSLYCPVFSNPLPQITWYLNDKPLSNDNTSLKVSDDKRKLHVYKAKIADSGVYKCVARNAAGEGSKSFQVEVIVPLNIDESKYKKKVFAKEGEAVTLGCPVSGYPTPKIDWVIDGTIVKPGKEYKGARLSDDGLTLYFDGVSVKHEGNYHCVAQSKGSTLDVDVELSVLAVPIVGEDESLEVSLDKDISLSCDLLTESDDKTTFVWSINNVEDERPENVRVPTDGHRLYITNARPENRAEYTCRVTNSAGKAEKTITLDVLEPPVFVESEYDGNLKLIGDNPIILGCEATGNPKPEITWKMDGKEVDKNWQFDESHLRVEKLTGKAAQITCLAQNKAGTTSRDFFIQNIPAPTFKDDRKVSTTFRETETIILDCPVSLGDFEITWMKRGEKLTVKIHNSNKIPIVMFQEKDAIFTLENTRLSILNAARYHEDVYTCVANNTAGQVSKEFDVVVQVLPKIRNVLQTLEPNEGDDILINCEAEGNPQPSARWDFNQKELPETAVQVNRNHSIVISGVTKDHSGVYKCYATNEIGQAVKTVNVHVKTKPRFESGQNTEDATVNLTRSVTLECDTDDAIGIVITWTVHGKPFLAETEGIQLLAGGRFLHIVSAKVEDHGAYACTVTNDAGVATKNFNLAVQVPPTIVNEGGEYTVIENNSLVLPCEVTGKPNPVVTWTKDGKPLGDLKSVQVLSEGQQFKIVHAEIAHKGSYICMAKNDVGTAEISFDVDIITRPMIQKGIKNTITVVKGNTATLKCPIDDDKNFKGQIIWLHDYIPIDFAETGERITHVSNDRRIAIHNSTESDEGAYSCRVKNDAGENSFDFKLAVYVPPKIVMLDKDKNKTIVENNSVTLSCPATGKPEPEITWFKDGETIHADNIQNIIPHGELIGNELKISRMLQDDAGRYTCEADNVAGSAEQDVIVNVMTVPRIEKYGIPSDYDYKQNERVVISCPVYAKPQAKITWLKAGKPLESDKFVKTSANGQKLYLFKLRETDSSKYTCMATNDAGSDKRDFKVSMLVAPSFDEPNIVHRNTVNAGTHTTLHCPARGSPTPTITWLKDGIAIEPSSRYVYFDSGRQLQISSAEGSDQGRYTCIATNSVGSDDLENTLEVIIPPVIKGDPRETINVIEGFASDLLCESNHTDVEVEWQKDGLTINKESLRGDSFIQIPSSGKKISFLSPKKSDSGKYTCIMRNPAGEARKVYDFTVNDPPSISDELSSNTVLTMISNAVLEINCVVSGSPHPKVTWFFNNEPIDASEHHEFKNNGESLRIIDSKESHAGTYTCAAENSVGEAKKDFYVRVTAPPTFDSQLETYVVKTGDSVFLKCLAKSTTPITNVQWTANNVDLEMNPTDYKYSTTDKTMNVTNIQLSDDGLYICTVENDAGPTSKSFKISVIEPPRFLDLQEKYPIIVGNRMAIDCSAIGTPKPTVLWMKVSHLAA >CRE16782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:704093:708348:-1 gene:WBGene00059787 transcript:CRE16782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16782 MMIRWKNRGGNLPLIFLLISLVAVCTGTEHEHRTHMCSTEGNICSENAATVCRNNTCVSACSLRGMQECECDAEEDNYCYLCCGNSEHQCMAAHHHNILRPNGERWEREACSRCRMHGAELEGLPCDDTDSARLCIGGRCSNSVCHTKSSGSVCDRKMEKLCVDNTCENPCARYAPHLMVCDCPSIDQDTGFASEDRCQLCCYDFNLKPTNRRCQNAYRKYKIMDMFQKPIWRVGLECAGGKVCNKYGVCSSSHISFLLPFILVILVSLISLC >CRE16676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:716167:721379:1 gene:WBGene00059788 transcript:CRE16676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16676 MRCPVTGHPFPTIKWLKNGVEVKDDDNIRIVEQGQTLQILSTDSDHAGKWSCVAENDAGVKELEMLLDVFTPPVVSTRSDNAIKAIGETITLFCNSSGNPPAQLKWMKGGSIIFDSPDGPRISLKGTRLDIPHLKKTDVGDYTCQAMNAAGTSESSISVDVLVPPEINRDGIDMSPRLPASQSLTLQCLAEGKPKPKMRWTLGDKEISRGTPGITKNQIKKVRPRVGVEPWLSDWYQNVKRTNSYDDGTLHVEKAEQRSMSFECIAKNDAGTDTLEYKVQTIMAPKISSSGVRSLNGSEGEQTKIKCDIEADLSEITWTKNGVTLLPSNNIEFQEKKALIVIPSTRLTDQGEYSCTVANKAGNATQVTHLNVGVAPKILERPRTQVVHKGEQVTLWCEASGVPQPAISWYKDDELLTNTGVDETATTKKKSVIFSSISPSQAGVYTCKAENWVGTAEEDVDLIVMIAPEVVPERMNVSTNPRQTVFLSCNATGIPEPVISWMRDSNIAIQNNEKYQILGTTLAIRNVLPDDDGFYYCIAKSDAVPKLITLFHRLFSEPSDRPAPIWVECDEKGKPKKTEYMIDRGDTPDDNPQLLPWKDVEDSSLNGSIAYRCMPGPRSSRTVLLHAAPQFIVKPKNTTAAVGAVIELRCSAAGPPNPIITWAKDGKLIETGKTEVAYSYLKVPLNSTMDSGEYSCMAQNSVGTSTVSAFINVDNIILPTLGPTNVKKNVAIITCYEKNQAYSRGVTWEYNGVPMPKNLAGIHFMNNGSLVILDTSSLKEGDLELYTCKVRNRRRYSIPHMKTVFEEVPSVKTEDSVGVDHGDSVILDCEVSSDPLTTNVVWTKNDQKMLDDDAIYTLPNNSLVLLSVEKYDEGVYKCVASNSIGKAFDDTKLTVYDGDVKPLTGFEGSGIEIADSSNVGSPARRQAMKELEEEIPTTTSTPEYPQTSESDALLPYPANPIEDMYDGSAMYPEFGPTTHDMLIEHQQKLHSESAVIDTPDCSGTINENGDCIDKDGNTHRLKILAGENACPEGYAFNPRSNICEDIDECEFHLPCDFECINTDGGYQCRCPPGYELAEDGCYDVNECESVRCEDGKACFNQLGGYECIDDPCPANYTLVDDRYCEPECENCTETPIQVHMLAIPSGLPVSHIATLTAYDKSGKVLNDTTYAISDKEGPLTRGRMSSGPFNIREVKSGHAQVWTNRVLAAGDHRQVRVRAHSDHATNELNAPKETNFLILINVGQYPF >CRE16677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:723871:725522:1 gene:WBGene00059789 transcript:CRE16677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16677 MSKKEEESKRMRYLVIGIATVTIWATCYSLYKPLPDGFTVSAWDRAVMHIVEPALRVAYYYPSHMFSKASSMVQWTRGALNFLSKSLGLLVNTHGEIEIKWQKWNGTPVKVYRPINNQTSTDGAVLFIHGGGFALGNVEMYDSLVRRMAYETRTLFISIEYRLSPETVFPGGIMDCEAAIKHFVEFGPAQFGIDTSKMVIMGDSAGANLATVIAQRRAARNASPKLAGQVLIYPLLQMADLQTVSYRYFHSRLDGYALVDPESVAYYYMFYAGINMDEKAYLVPSVMSNGHVADHLKQKIDEIMVFEKTIELTRRYKNSSIPQRWAVRKNLEAQQLMEPFLTNPDFSPLMRKDLSNLPQTMVVTCEFDVLRDEGLIYAHRLEVSTAGVPTTTVNYENGFHAMLNFHSELHEASKAVYDIERWTLNAINIVS >CRE16783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:725788:727078:-1 gene:WBGene00059790 transcript:CRE16783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16783 MIPMLIWFIAIVLVALLACLAIKFLALRIVLALILTLPILISIAFCVLRRSPVTESTSVVFFNKTSVISERGDAHGVVHENTIAAFRQAKANGADTIKMDVRMTKDGMLIILHPDSVITDNATYVVSETHWIQMSQLNVYGGSNGTILTFDEAVSWCEANKMNMIWHLPEFCGDLLTYLRNKIMQDNLYGKVAVTTYNLLAAGRMRCTDSQLLIGMIWKSTEYSISDGTAVGSMYTSWYFSMMDTFTYWSIRSLLLPKFLGVDFLATSVDDADRALIVESAASGIKTFIYDIKTIPERNYFARQMGLPNLVNDLVALGTSSSSSGNSSNSSQKSN >CRE16784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:727646:730426:-1 gene:WBGene00059791 transcript:CRE16784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-17.9 MDAQKECVFVSEKSKIFPSTRLFFSFLLCLCFVALAIGTSNISQSMVCMVKKPDSNYSCPLAEPEVEAVPCNHPKQFGWSSIQQGLIYSGQNFGSLFMFVTGWQADRLNGKWTIVAAMVFIIVSNALLPVSAGVSFALVFFLRFLTGVGDALLSPASSSMITRWFPPKERPSALGIVTGGRQIGTLIILPVGGWLCGSDGSKFLGGWPAIFYLSSVVAAAVLVIWMVFSADKPSKHLCISHNEEAYITRKIEEENIGKRKQRKSTPWRAILTSKQVWVAVAALVCHEFPLVIMLQFLPKFFSDVLGLSNTVNGLVSALPMGILFLSKCLSSSLASYLTANGFMRKTQSCKIFNFIASLGLGICIAATPLFGALQQSVWAIIILCLANAFAGLHTPGVQTALVQLAPAFSGVITGIAFTVAACFSIFNKLLISQILTTGSKQEWTIVFEISAFVAILPVFFFSLWGSAERTEWASNRVSIPKDADQKSNDSDSSTSSTIQAFAKYSMFLSHDLTISA >CRE16785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:734894:737245:-1 gene:WBGene00059792 transcript:CRE16785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16785 description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:E3MB21] MSRWIQEGDNVDITNEREKGTFDTERLSAWIHGGTDVLKRRREILEFVKSVDDFKDPVPIEFLSREERILNNARKVVAMTDNTDQIDGSDFFGEGMYYQALTMGRDLHAMSLHYVMFIPTLQGQTDDEQLDEWLTKAISRAVVGTYAQTELGHGTNLSKLETTATYDPATEEFVLNSPTITAAKWWPGGLGKSSNYAVVVAQLYTKGECKGPHPFIVQLREDDTHLPLKGIRLGDIGPKLGINGNDNGFLLFDKVRIPRKHLLMRYAKVKPDGTYVAPAHSKLGYGTMVFVRSIMIKDQSTQLAAAATIATRYASVRRQGEITPGKGEVQILDYQTQQFRVFPQLARAFAFMAAATEIRDLYMTVTEQLTHGNTELLAELHVLSSGLKSVVSWDTAQGIEQCRLACGGHGYSQASGFPEIYGYAVGGCTYEGENLVMLLQVARFLMRAAEEVRKGTAKLADIGAYIGRTGAKSSRLTTHHHYTDVQLVEDLEHAARKQVFRAYDRLKKAQQHLKPEDAWNSVSVELAKASRWHVRVYLVKNFLHKVSLAPQDLKFVLVDVARLYAYDIITSSIGAFLEDGYMTSNQMNEIKEGIYECLAKIRPNAVSLVDSWDYDDKELKSVLGRRDGNVYPALLEWAQNSQLNKTEVLPSHTKYLGPMMKDARSKL >CRE16678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:738631:739215:1 gene:WBGene00059793 transcript:CRE16678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16678 MAPKQRMALANKQFSKNVNNRGNVAKSLKPAEDKYPAAPWLIGLFVFVVCGSAVFEIIRYVKMGW >CRE16786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:739438:741991:-1 gene:WBGene00059794 transcript:CRE16786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16786 METKWYCFLFWFSYRFTLACLAHFNWCMSIYTNCVYFTLWKSYDLLWFWMHLILCSCFPQLRPENYLIVWSNLIIKKLTKYPDYTFKQLYYALYTSNRSAHFTKRLMSRKEKKGVIELDSNSDEDEKNEPTTSRSAANVDPEAPIPLRTINPERSAKAIPTPVVQIAEVEEDDADENDEHNATETTPLRPPRPSRPKFEEPEEDDDEVIEVVTDAVAEDESPKESPTSEKKDSPTSEQPKWKSYVPNSVMKFLPRQESSTPSVQSKASTNKDMSKEVNNKDGTNSTSAIGRFYDRIKFNRTNSNSSTNTSRPNEIEILRPDYSNRYDCHDKSKQESREKRDRKALRHRIRHELRMAMKADAAKERDRKKVTDAIELLLQLLRMMSSFAMLIGTIRKTFIPASFKYLKPGQHAYDNYELIILFRCTAFLDIAMFWMNVTYAYCLQWQLCCRLGFTRFVFWAMILGVVSTTVMFIPMTYVMNDLDLSWCRLMPNTTFAKYQPSW >CRE16679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:743265:744247:1 gene:WBGene00059795 transcript:CRE16679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-1 description:1-acyl-sn-glycerol-3-phosphate acyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MB24] MTITAIIFIVAALILLAQVPVIGFYIRAVYYGLCLMIGGLLGGIASIPSGKSTNNHFRMFRIFQAMTWPMGIKFEIRNSEILNDEKPYIIIANHQSALDVLGMSYAWPVNCIVMLKSSLRYFPGFNLCAYLCESVYINRFSKEKAHKTVDSTLAEIVEKKRKVWIYPEGTRNPEPTLLPFKKGAFILAKQAKIPIVPCVFSTHKYFYNQSELKLTGGRCIIDILPEVDSAKFETVDELSAHCRQIMQAHREKLDNEAANLNR >CRE16787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:744948:748056:-1 gene:WBGene00059796 transcript:CRE16787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csb-1 description:CRE-CSB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MB25] MTEPDVITLDDSDDDDDELERDDKEYEPTDDEYENPEFIELSDDDMDTISASINRRSTTSSSSERDSTPESSSGSKKRREFGGLCDDNDSGKFQKRMAQLDILNLNPEYKITRMVTSEEFGDSEEPWKVDKSVWNRLHDFQKEGVIWLQKKTDHRSGGILADEMGLGKTIQAVVYLRSIAETNRVHYKCTGLDTALIVCNVSILSQWIEVLNEWFPKVRVFLLHKHSSTGRNENYESDVFEKLKRRDQEFPHGAVVLTTYSLFTRLQKPLVKQFWQVVILDEGHHIRNEDTKCSKAMRQLMTTQRFILTGTPFQNRLAEFWKLVDFVHPGRLSDSSTFHRNFTHIINAGANLKCSPQAAAKAYECLVALHRAVKPLILRRLQVDHKDILNLPEKNELVLSCELSHRQRRLYEEYGNSREVAEILERRLKPFIGFNKIGDICNHPGLYRGAIPGSSKFGEIKHSGKVAMTFKLFDEWFKDPKNRVIFFTQRRKVVSMMEYFLDQNRYELVTYFRRMKKNSRIRHASLTGSTTAAARPKIIKKFEEDTDIKVFLMTTRAGGLGLNLTCANKVIIFDPDWNPQADNQAKNRIYRMGQENDVSIVRLISNGTLEDRKFFKQVQKEMLAAQLLHNADVEHVIPNNTLHDLFRLKPKGLDGSEIGVYMSGEIAPDSSLRRNSENKKERKAERKIAKKKMKNKLEGFEDKQLLLSLFDDKKLVAMREHSITVQNSATMNRIEKQKMRKAVDDAVGSLLHTEGRLAHTWKQEFHKQLRCSKNPIKSDYFVEKEQLDSYWETVHSQFRANDDQRELDRLVVLAKKMLVYLNGVPEAREDAIHRMFVIEADRSNPTQLYFFKEILSTLARYNPETKLWKIRSTYRNVEVVEPKKRLSEEHRFVERKKSKLTPSQKDPYSSNGPNTSSV >CRE16680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:750566:751660:1 gene:WBGene00059797 transcript:CRE16680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16680 MTPAEEVISYLINSIVGLSSASSKQFRAYFRDADPGEPDTLLCLCIKWLFKNGDFSEFYDGIKPLVKGKSLADFTDSHLMRCPELMKFIVAHADNKSRKNKFSIKKDEFSLCVGRGRLITVPFTEDMKEPATLLADYTKLLFSFKNYDIEYAFEGCYGLHRNMDLSQNHIKLLSKLTPDLFAEKGTAKFGRERNGRLAVRMSRISWLKSGIYYNAIIQLFESLRGTVILQGEQPECEKPVTFNEILQHTLAHPGKHLLDDKPNLQWSCLSMKKKRKE >CRE16681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:756110:759158:1 gene:WBGene00059798 transcript:CRE16681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gmeb-2 MIECGLLMPLEHKKDVLEEKVTDGPKDLILKTTPRETENVSPLNSSPQETTDEESDIQVATDMAVNVNIMAKEPTVALPPIITVKCGTLTAKMVTKLFTCPGIHQNCILIDGETEYISPKEFTVRASKDKQKDWKGSIRIGKSNLRTLMEMRSLDFHDHPNQCSAKCQSRNYITPKDPTTDPSGRRRSSTTKLIPQNMFSHLATGFPTASTSLFTVPKKETQLSDGQPTLGTLFKNPTFSRFVAISQNMKNNNFSTPSTTQPQLPFFTTNTIKEEPINVDDVTTQLFQQQQQQRVAATSSLLQTVPPQPTTFWNSENSYQGKMEQNPQELMAEMINTGLGDNIIDMIINKMNNMRDKLKGISTCPNILLKMLCSLNAADTFCNAIQAVDSMQAEIAKEEQLLIKGQAQVHRLSSDYSSLEDEIPGRKRSLDIADLIGQPQPKRPSITTSMLEDGSEVPTVQHLLTPIKPIVAVPKPMSPQDMLMNIASSMSSTIERNHVLAALHMEELRTAAAQ >CRE16788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:759698:760880:-1 gene:WBGene00059799 transcript:CRE16788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16788 MRRITILVSILIGLALCQMPYGPSQLDLYFRLLRNQNLGGFGNLANAESRILTAERQQFLSGLPPLPMTHDEVIRVLTTESPTSTIKPTARPTTPPPPVADPFAVNNTPEGPPVPEERIGGTYDEDGNFVSATNLVTERKRNLARGARNYQLSDTATTRQYGYVAQFHQPVHHPQNRVIRQHTTPRPFTFPPLVFRAKPQPTNRDTYLNNLLLEIEEYDDFLDQVNQYKTRYPGAQVPNPYRSLPEGKLPALAQYKKKK >CRE16682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:772208:774412:1 gene:WBGene00059800 transcript:CRE16682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-33 MVILEKMITDPNNVSAVIEKSAEMLSSVLATNLTGDILEQTTTLMSTLDSDASPLHCFSCHPKLYFIVFGLVFLSIICSGVIGNVFIVFVIIMDRKLMSSSVNQFLLNLAIADLGNLIFCSPDAILVLIDRGWLLPSFACHVLRFLQEYFLYASVLLQMAIGVERFLAICSPMRMQRFSTKTTISVLAGVWCVAACFASPYFLYQGIIFHKFYFCFWKGISHKTRMYFKYCELIVLYAIPLVFLTVLYSIMCRVLWGKEGGNHNIANHSQQEAILKLRRSVVKMLIISMLLYFLCYTPIQVLFMIEKLLDYNVQLPQWLRLLLNVLSVMSSSTNPIVYIICCRHFRLRLRDAAAALSAFCCWLFPSFTKSEYECVDETMTTKLSRSPYVSFRSSRRQNSRANLSTLL >CRE16789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:774885:780557:-1 gene:WBGene00059802 transcript:CRE16789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrp-3 description:CRE-MRP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MB30] MESFFNSTGPPISVDLPNSYVVVPSIFFWILTPVIIHDCKTSRLSPLPWSTLMSLKWFVASLLIIDRLFVFLLAVWESLFEHKNVTADLFIYPFFHCFTLLALLIATNEVRRAGIHSSGPLFCIWMLFAISAAPEFYQWMTTGSQPELVARIDFFRYVAYLTYFPLVVAEFVLHFVSDPFPMPTSYQNSKCPEENANFISRQLLLWFTRIIDLGSKRTLETEDVFELDSQMDQEYLKARWKTEWLKQSESAREKQIKLDEKRERSRTGSEKAPLLGTFNNYGAVNRDDSDRVIVQPSVIVTLWQIMKWELLGGSFIKFLSDLLQFANPMFLNFLITFIETSDAPLIYGIGLAVAMFFAGQAKSLFMNTYFIAMTRIGAKIQTMLSCAVYEKSLLLSNTARRERTVGEMVNILSIDVDRFRMITPQLQQYWSSPFQIIVCMILLWQTIGVAVWAGIVVMLSIVPINIGVSIITKRWQIRLMKYKDERIRLINEVLNGIKVVKLSAWETAMEETIEQVRDKELKMIKQSSLLKTFADCLNVGAPVFVALATFTVFVLIDPKNVLTPNIAFVSLSLFNLLRGPLMMAADLVAQTVQLVVSNKRVRTFLCEKEIDPTAIDKEIRGELYCNTVEVHSGSFSWDPAEPRILSDIELLVGSKELVTIVGSVGSGKSSLLLAALGEMEKICGYVGVRGSVAYLSQQPWILNQSLKKNVLMQADMNDVLYKKVVDACALSDDFKQLPDGDETEIGEKGINLSGGQKARIALARAVYQSKDVYFLDDPLSAVDAHVGKHIFDNIIGPNGMLSHTTRLLVTNCTSFLQESGKIIVMKGEVLNFNIYFIILFSDGRIIHCGTYDELLADDEAREYLQEVDNEYAQAQESEEEERYISCQQQSVLVAECPDSPNFPKYQERSQSRVFLRFVEFEVLKNSIIYLQRKKPDVLITKEEAAIGRVKPGIYMLYFKSMGLLKYVLPYFIAVVLNISFAMGRSLWLTAWSDANIDVTHPDTLSVGVRLGVYAAFGVTEVFFLFFSLSLLLLGGVAASRNLHRPLLHNVLRNPLSYFDVTPIGRIINRLAKDMEVVDLRLSSSFRFLVISFMNMFQTVIIVTYTTPLFIVIIIPVYIIYYYVLKYSIKSTRQLQRIASVTRSPIFSNFSETLQGISTVRAFQWNDEFIRRNDVHLNTHVRCNYYSQMSNRWLSIRLELLGNIVIFAASILAILGKESGLTAGMLGLSVSYSLNITFMLNMFVRTINDVETNVVSVERIDEYSKTKNEAAWRMEGYNLPQAWPIGGAVNIEDYSCRYRDELNLVLKQISLNILPGQKVGVCGRTGAGKSSLALALFRIVEAAEGHISIDQTITSHIGLHDLREKLTIIPQENVLFANTLRFNIDPKGQFSDQQLWAALENSNLKAHVELLPQKLESQVAEGGENFSVGQRQLLCLTRALLRKSKVLVLDEATAGIDNRTDAMVQATIREKFADSTIITIAHRLHTIMDYDRIIVMEAGRIVEDGIPAELLKNKNSKFYGLAKSAKIVG >CRE16683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:782413:784743:1 gene:WBGene00059803 transcript:CRE16683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-5 description:CRE-MEC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MB31] MRLLILLLLSFHAAYCALDTAAVIAIQTEINKHSADIEMILDHVKNLNARVSDLGRPGPPGMNGSPGFPGSKGEKGERAEDGMSGRDGMQGIPGVKGDMGPIGPTGMKGDKGSMGFPGQKGDGGNSGVPGLKGDTGIPGKVGEPGSTGQPGSKGEKGMEGLPGTNGLPGAPGWPGSKGEDGLPGRPGSPGFPGKKGDGGVGGVPGVPGMIGERGLPGAPGAQGMMGPIGPPGQLGLPGPKGDTGPAGLPGSKGDQGKDGIPGLPGWIVNDKGYCILALGNCPPAFTQIGAYQAHVDNYRFGDYSLVKSSGIGGNEEQFALKVHACCR >CRE16684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:786066:788001:1 gene:WBGene00059804 transcript:CRE16684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-186 description:CRE-COL-186 protein [Source:UniProtKB/TrEMBL;Acc:E3MB32] MKSTEKKTENDLELEAQSLRRIAFFGVAMSTVATFVCIITVPLAYNKMQQMQSNMIDQVDFCKTRSNLFWREVTKTQYMASARGIRVARRAEKRYSTNYDNQRTAYDRFYHAQQQYDSSRDSGSNYDKSASYGLWNTESPIGVNYGSSSSSSSSSAPVYGHNYGSNNGGGGGCCGCGQSPPGPPGRPGSDGNPGLDGDAGIPGRDGPDAPQPTPAPNFDWCFECPPGEPGRQGIQGRKGPPGRPGGSGLPGDKGTPGLPGSMGPVGPAGQPGNPGLPGGKGLPGKLIDVGGIDGQPGPPGLPGLPGLQGHFLEYDNVSDQIIWFLGKPGNDGYPGRDGAPGDQGDDGIPGAPGKPGSKGYPGPDGNAGYPGDFVDRKCNFRKGTKMLKCGCDHCPPPRTAPGY >CRE16685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:790593:791838:1 gene:WBGene00059805 transcript:CRE16685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16685 MQSLWIFVLLASAATVALCSAYEDYEAPVDSYEMADYISKPEDGFTKRATSSADRLAAIPRSGLMISGRGWMPGFVDQPRVFKRSVPLHKILVRPMNVPVA >CRE16687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:798160:801141:1 gene:WBGene00059808 transcript:CRE16687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16687 MRTLFNTLLLLFALYICECDKFKDLELGKAYENLITEGNLTAKLYRVVLNVDHPPPAIRVSVASNKATIKNPLTLTIVRGKTIHNIALSRVQSQQGQRFEYWFASDTLCDYDRSNEQVETIIASTCENLFQLGQPVQLSIASSLPGVFNLTVKPVNNFHIGYTFPEDVEKVDVRITSTSETCGRLITRKAFCPLFDASGLPELSEVFFFQTFTKFGGFTLRKSDIGQQFHVAFTVNPDDSLCGFPANYSGNDGGPARIKAATISVTPVTAYLKYRMLDRHENNEPNIFEGTDSEGNVIIDKLWLFDKPTMIVSHKEYEKQRLVKESKYFKSVKIVSFLFFQIFGSILPALTTLFQNRQKSSNRMNLDICFLNYLCSSDMFYSNSFNSMTSSSSMAIIGILNLIVVFRKQIFHYQVPQFPTTHGIQQRDAPKIVCLLGLIAMGILWTITSNCPNKTTLHLYSYTSLWLCYSATMWIYSKRHGVKKWQQYFIIAVSSEFGCLTLAEYMFEMSGITKIIVKIVFFLSSVVSSGYLCFKYYYEKPSGLQEHHWITLPFKKPAKILCDEKGCYQPLKSKIAFVVVSIGYTIACTAMACILDNDSVYIMSFTLGKGQVAIYLIFYIIQKIRFERNSFSLSYKIIGFLLSFSFFSFEFVSRSISWFLSTSDYLLTPAKSRELNMKCVFPGIDWNDLRHYNCAFDCFLFILLMDFIDSNLKGVPKKHIFVF >CRE16688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:801405:804293:1 gene:WBGene00059809 transcript:CRE16688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16688 MMIFLILISVHFGGCHNFQDLSLGVVYSKLTTSGSLVTNLYRVVLDAENPPPAISLSVSCEKSLLRNPLTVTVIRGKTVHNIALPRVQSHQGKKYEYWFASDTLCDDDTSMEQLGQPIYISISSSLPTIFNLKVQAIDNFHIRNSPLSMQTSPSEPSYFIYSFPDNIDKVDVRVTSNDQICARIIARRANCPIFDGSGSLELSDRYFYQSFKKFGGFSLRKSDIGEQFHLAVTVNPDNSVCDTSKKHDNLTENSSRFKRTLISVKPVTDNSFLLAVPILVYALSVIIVLLLTFFKYKLVDCYENNEPSIFEGNESEANVIIDKTALFVKPENVVSHKEYEKQRIVKDSKYFNFLFFQIFGSILPALTTLFQNRQQPSNQMNLDRCYLNYRCAPDAFYFNSLNKLTSASSLAIVGILNLIVVFRKKIFRYQVPRFPTTHGIQQRDAPKVVCLLGLIAMGILWTITNNCPHKTTLHLYVYNLCWLVLSAIMWIYSKRHGVRRWQQFYIIAVSSAFGCITLFENVLEPSDIMKIIIKVTFSITAMGSTGFFCFKYYYEVTILRNIVSRYFQRPSGLQKHQWIYFPVFKKERVVSDNSVVYRPLKSKVAFIVLSLSYAVGCSLTMFVIPNQTVTSSCFRLGKGLVGIYLFYYTIQKIRFEWSSFSTLYKISCFLLTIFFICMECMNRYLATYRNTYAVLLTPAESRELNTECVLPGIDWKDLRHYNCAFNCFLFIVLMDYIDSNLKGVPKKNIFVF >CRE16689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:804943:813139:1 gene:WBGene00059811 transcript:CRE16689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16689 MIFSVRVIFTSLDHNICKPVSLVLMRGPSYLSTFLPRIQTFDGPSTQYFNLAETLCEQRNLRNATLTEFTDTVRLSVSSSVPATYTIKVVQVFGYVLGMLPVKNTVSPPEPVFYRFSFPDFINAISIRVTSDDNHCGRVTIQAANCPVFDTEGQVVLYDSYVHQTFTKKSFIIVNLEDFDRDIHVIVSVLPIDTPCLTRGRYTWLNDTSTNSRSKNVTIEVNAFNPFCCYRRGNDNNPDDHEDDGTWHLWNLSLSQLFVILPISSIVIAKHADSFFAKDTDICNFNFECSTPLGNLKAFNSMLSASSIIFASGINVFFSFYLKRQRRFFPLNSCIFLTGVLWTLMNYCPQKHSFHLSKLRWIKFAVTMTMIMTTLEAKFLLFGIRAGRNLFQYRFIGSMTMILMFSIILDTTMESPEVHKISICLIVLTSILYTIFFSYIQGLDFLARLFNSIRRKAKRYYRWIRLCCSRNAYLSQSIGDIHVIQSEDDVINLTQSVEPTAVAPSTSRNQENIVSFVTIWQVSHLLAAHILTLLVWHFAFFNVTLSCILAFSSLIRLSSLYCSKVSFETSAPVIILVTITPTTVAQTHRILCDNYYFFLTCCVVVVYFYIQVASICTVVTCGIKNIKHRVFFKNSWNRLARFLTIRDNWHIFPFSSNEQKPRIIQYDEHLKNLTTKGGLVTDLYQLAPSNDSRPFAVRVVFITREGTPTSNPASLVLMRGKSYLSNFLPRIQTLDGSSREFYFLAETLCENRNLKGKTLTEYNETVMLTVSSSVPASYELKVKQIHGYILNMSSINVALSPSEPIFYRFSFPDFVDEISISVTSEDIYCGRVTIQTADCPVFDTEGQVVLYDSYDHQTFTKKSFLIVKRTDFGPDIHVIITVLPFDSPCRINKLIANSSADDLRVKHVTAEIQHFQRYFTYRINDNWNPEDVGESFNLWNMSLPALFLMTPMALVVIFKHSNLYFAKDSDTCNFNYECSISWGPFKAFNNMLSASSIIVAAIINICFSFYYQRQRRFFPLNSCVLLTGVLWTLMNYCPQKDSFHLYTIAMIMTVLEAKFALFGIRNERTYFGPRYIGSMTMFLMVLIIFDTMAESIIFHYIMIVMIFVTNLIYACLFSYAQGFVAVVRVSTAIKPILNECFRWIFPCCCRQDDNTRVPPIPSEDEFNERNRRQAAEQQQQLQQQHYREQLPLQPPSREADPHNYSETEPLIRNGSEAEYVEIMPDANSASGLQNEQNRGQINQEQETGLPDNQRIETEEQDNQHNRTGVQDNQRNQPTHNQILMGIYFIGNLAIIVGFTLAIVIWPQIDSIKMVLRTLQCDFAWYFVLFCVNKYQNDPPTVDKDKRLIRNWFCVTTCIFLLLIIAWGCLSMFLLTAYTRVTHCVITSLIQLWPSAESRTLNAGCISKLIGFDYHDVLHLVSSMICIAYPLMVHLVDSHLHENAHRVKF >CRE16790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:813398:813960:-1 gene:WBGene00059812 transcript:CRE16790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16790 MNSFICAAIFILVAASVESMRDVRCFPPVNIYSSHGCVQDATSQNPNFDCLGGHFVRTAGINMPCETDHDCFSNMEPNEWCYSEKQGYQWTTAGCHCDMKLKSCIVQRFDKSYNEIQWAFCTPRNRFKCELIDHCSPPRN >CRE16690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:814352:816217:1 gene:WBGene00059813 transcript:CRE16690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16690 MYIIFLLIHFLFPTNGREQGPPPEDSGLFNEFEYYYDIYINESMIALPDVDPKYEIVQAVYISGNLKLSERELQIFFRNINTFRYGIRVNNTNLESLSFLKPYIVNNLDETVNSVEIINNQNLKTIGIDFENCNFCYNNILIINNPKLDLKEECDGIIMRYSSYRTIFGNHVDCGCEIKGDFNKFLTTMSPSCWMLFGNVTIDQNSNLALLTEKMVNVTRINGGLSVTNTIFTNLSFLSSIEIIQIDAYKSQYLANIDIINNTNLLTLGMKAKRDGLYLTIRDNPKLCITSQDLDNLFYGLSLDSNLDIKLCFNNETSSYWCQLPDSGDLNDLPDGCHNLTGNLVLDNNFNFANSYKLYDLQLIYGSLTITNSSLRTTNMFPSLQRIRSIEDNTIPLNVLNNTKLRKLFSGFTFKGIESGMAPTVENNINLPVYVVFCSFIKTRKAAIIKKNLQNCGDGSNIPVPKYDRTPYNIDVFRGVPIYFDVSHGSENPGGSGMLNNPWDRNSTDVDYSYDVETTTENSEKAVSFLFVTAYVISVIFSSF >CRE16692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:819235:823111:1 gene:WBGene00059815 transcript:CRE16692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16692 MAALFNESLRKVNEQQPPTIVEEDESPKRANRPADLQGFSILDEKWRSSSSDDSDGSLPVGSYISTTSTPGRFCKSVDFRPLRRDNDFTGDSCSTLPNTPIHKKNPPLPTMSAGGSRFKVVPVETRYKRDRWTCVDYYDSLRDPYFSPNGKHAIPKPAAVIKKEEVVNRSSRSLSDIHPSSSPSVVQHVSAIPVQQKPVSHLITPNPKPVYTVPKVCNRFDKKMESKKKLLNILFEILTNNQ >CRE16693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:825761:826847:1 gene:WBGene00059816 transcript:CRE16693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16693 MWHCAVVECAVNHVPTYSPHYGISRGCSPSSSSGSCYSVAASPPAHNGIDNKIEQAMDLVKTHLMFAVREEVDGLRSKIFDLENHVRRLEAENSILKRTIPNDTLKQLHLKL >CRE16695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:828729:832991:1 gene:WBGene00059818 transcript:CRE16695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-11 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3MB44] MLDTMLVEKTNDELLAIVGAEADCKQVAALAQAYDATSLLVNCFDQVGESQMPSSTALEMASTQGGKYRAFAKLAKSVGWTNVAVLNFVEDGPTDYTYHDQLLDSLSSESITLDFVRKGGFSADQNAAMYTGLESVIDVILETYLKTRIYIIILQYPVAGTYFMNVMSSLGLLETGKYFVVMMGPVDSDSANYFSFNTNRVNTMFSTIGYGVSDSDVRQYYRSFLYFTDIPHYPKNFSDEWTNFQKRVDKDAAKSFCPPICDTEADGIANNDPWSEDGYWYSENPSIVNAYDMGRLLSETVSVHGASILRNPSALVETMSGRGVTSLLGYVTKFDANRVSQREYILWAPQQSSSLSGPIPLTEWATMAARMLPDGKGNYSIVWKNLTQLGIYNGALPKSKPACGFNSELCPASIQLEIILIAVCVVAIVLLVGVIAYIVRWIAYERRLESSYFLVHRKHVQLVDMTKFGSSRGGSIMQSAISMRSQYDDPGPVGNATMNFYTNGIRKAARRSQMQKSDKGPSGNRDDWLEIVDWHLAKYDNTLVIVRKINKTQLKLTREMKQEIDLLMNETHENLNRFFGLINESDLIFTIHHYGPRKSLMDLLRNDDLRLDRMFRVSFVEDVIKGLQFLHEGSKIGYHGNLKSSNCIVDAYWRIKLSSYGMEQIRADEPESKPDDLLWFAPEIIRRYAVKHDLSKIELAKADIYSFSIILYEIYGRQGPFGDDLLDSDEIIEQLKFPDGGALTRPDIHLITKAPYPVSSVVEKCWAEDPDERPNIKKVKELLKPLSKGLKGNIADNIMNLLDRYRNNLEDVIRERTEQLEDERIRNENLLLQLLPKSVANSLKNGQPVEAEFYDSVSIYFSDIVGFTSLSSKSTPLQIVNMLNSLYTNFDTIIDKFDCYKVETIGDAYMFVSGLPDLNSYLHAGEVAAASLELLDSIKTFTVSHCPDEKLRLRIGNHSGPVVTGVVGIRMPRYCLFGDTVIIANNMESGGEPMRIQISSDSYELILKCGGYVTEQREKIILKNKMEVMTYWMNDYSKDARLARLISHQEKFPHLEPLIHKFNKGVR >CRE16697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:835737:839324:1 gene:WBGene00059819 transcript:CRE16697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16697 MLLRPENIFQLSNSYFHCENCRSRSRERSTPQRAVTQSEKSDKSRNKNEGPSENKSITVSSSDVGKTKKAKATTQKLFMKRDKNASECLAETKIERKSICREKTHKSIVLPQPRFYTCERIEKTVKLPVEPSSAMSKKSTHWCYIAAPKHITLQPGPSGERSSGVGDSSGNGFSTEAMIEEIFAPLRETIKEGIKETRHLSSSPEKIKLNHTPSTRLEQWKAQLHSTTPRTRDNSAPATVQRLTIKPQTTQLNIPRPVHTTQSASNALATMDHRTLKEVSARRRSGTWQPFSSNTYNADRPPIDFVTSPKYSHHEATIFRPIAHRPKPDFINHNDSNRRSFRRVDSILRSDSKESNGSLSDLLMMYQAKDPSREMNASIRSTASNSSSFPFFPPEQINQQKTVLTTLSSSSSGIHVTPSPSDSGIIDYENLIRDKELELQEIRNTMEQNEEIIIKVYQDKERAWKSELEGLKCRVSAAEKGEKALREQLSNCQRQNSAMSTCMRTVQEEKTRLMAKCIQLEREIDNFQTVSSQSANCPNCSVSSNLVKEKDLRQEVQDLRREVANLKQVVDDGRHFKS >CRE16698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:841673:847699:1 gene:WBGene00059820 transcript:CRE16698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptrn-1 description:CRE-PQN-34 protein [Source:UniProtKB/TrEMBL;Acc:E3MB47] MQHSYLFKNEQKKKLTPRLRPAVLNFLSFHSLFLCILDTCVFCCEIEVAYPSPSRRYRCSVFFLPSKRYPHNYSQFSPISEAEAEGLKSVEKMDFALPALLAIEDYDENEGKLAASIRWLISRVYEEKRDVPDKLRDGVQRDENGHFQIDEAVLGALCNGSLYAQAAAKIFKESALVTKSHGAVLAVLTDYGIDVLHEGNELVEEAQLVASSPFNMSAHLAIVDALMMAHLRDVIPVSRVVEAVSRHTAVESSEKPIDSVDALLFWINKICLLVRDDVEREDSAINIPEMEDLYEDISDGQCLCALLHWYRPQEMPVADISFNEAATTRDCQYNLMLLQQFCHHHLINDPFHFEIEDLLYLRDSLQMNVNAFLADLFLQFEPPVTPEPVETPRVGPSPRRFVPASAIPDLRAANAAARSSMHNRNRPRMYNPPPAASHSQGPSRSVSRMSQDSLFYSRPASIALQRRSMDQDSVTDFQTIRQGFENQAGTAQLNRYDGNVTASVRLAMEEKRRKHDQQMAQMNSANETERLEKSKAAFFALRKNENDQTNKGKEEWYDHFEAKLRALELRVGLEDGEDGTQSARLNRASSQPSVVQGAGQPYPANYMTLPMNAAAQMTQSYIQHPQTPHDYYMQQQLQQQQQAQAQSNYANPSQFLQKIIVFQLRNSLSNGMINHAGYMVQSMYPGDYQQQQLQMQQGQMPVQPVGAYTPEGYFIPHHMQPIPVQQGYPQMQQSGIGFNGMANAQPGFNMEGSPAQMGYIQTANMPLDMEMSQQQQQPSQPLAQPQQMHPPNHNAFHLHSKSDDATQMQADPPLEINRNLTNWGMTYKQEMPARTIASRRTWQNETFIKNELDLVNSKDSVPHITDETTIQPEETARRFPELMLDNHSENLAPGGRGHSRYSDRDDLSTGRKSDDSPTDTPGRTFGDEESSGGNMEQIAAERRIAKKAALIAKTMKRKEEIEGKVDLAEQRNAERRQVENEKKELALRKKVEKEQQRQKILEEYKRKKLEKELGAELSARSTGRGHSQPPFIRTKSQMSEVTESRTNTPRMRGQSSVEQRLSVSSLAEPTHKLFAKTVTKSNRGLINNALQFSVFPGAVNNATRQATITQMASSSSKHFLLLFRDQKCQYRGLYTWDEVSDTAVKISGQGPPKCTEAMMNMMFKYDSGAKNFSQIATKHLSATIDGFAILDQYWQKPRIPHSGTPAHKTN >CRE16699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:848646:849433:1 gene:WBGene00059821 transcript:CRE16699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16699 MQSVLAVFVFLAILAVSDACFASGICGGGCAPPPPPVCSGGCGGGYSCGRYGCFSAKARGSKTLSFKSERTLSPDEKFMKCCEERNLPDACLSKCSFRTYTKTALQAMYFKQDYCPMQAAADIQYCAAQGQDHTDCCVRNAVGTTLAGNKCFTFCDQRPGNVTQLDLSYLACYDRFENMKACFWQKLNEQSTPEFSSNIDAESEFSEQGPNRHFALSSARTFQAKTFGQ >CRE16791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:851405:853655:-1 gene:WBGene00059822 transcript:CRE16791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16791 MDTLVLMKSQSPTKPIFFERIPVLASAVKTIRKSFRGKPNKESLKKRSIDLSDIETTSSFESSDSPDNRSSNGSINGVKQIQKEEIWTFAKDLEQHVFCLSENRLVKNYVSTKGYGETHRRLADYVKVNFNPGFVNEILLNVHNNRRLTVTCFILSEITIFTLQTILNPKNECFAIATLQALFACPPFVDYIEKKKPVSLIDDAMRVIAAQVRTGWIAINCTGLLKLLMNYQEEKEEDAEDFYRWLDDCNLISMFCFFRALEEKYFDATFRNPTEYKTFECNECYNEEMIIDKGTRISLPPPFKFHNPARMRSLVAYKIGGLEQVEKTCRFCGEIGGCFQTIWDLGNLLFVSAASTHTDGRIVKFENRINLKLVENLERNSYWNLGGVVYYEQYSKFHGHYVTVVRFRDKAYLMNDMYLYEIPTASVKGKPYFALYYREDWFIAQTDV >CRE16792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:856636:858996:-1 gene:WBGene00059823 transcript:CRE16792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16792 MRTTNTDRSQSEEKSSREPRLLGALVRWVGTSFRSRKVNVSANNKMAMLKLSSHINKTHPSTPEESMLAFHGTCETAFTPSQKELDAIRWKKATADEIKAYILELTEHDHVTNYEKGYGESHRKLMKFVAMMFTPELVAAILEKIPINHLVIMNNPSKECFAIATLQALIACPPFCDYVKTKVPVTPIDHEIRSILAQYAVCWPLIQCSHLLDLVMNYERTVEEDAEDFYRLLEDNYFDDSFPMPTEEKTFHCYNCRETQIIVDKKTRISLPPILYDETTATMGGLMTYKLQGHEKVEKTCQKCGESEGCFITKCIFGDMFFISASITHHYADGRIADFDPCVDLGNLEKTKKSSEWRLAGVVHHERIDKHSAHYVAVIDRHNNDYLMNDSSCSKISCMSEIIRKHGKPYFAIYYRSTWKERPKVETSSSTSN >CRE16793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:862794:867558:-1 gene:WBGene00059824 transcript:CRE16793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mbl-1 description:CRE-MBL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MB53] MFDENSNAAGTTPVASSLAATPNANLVSQVFNVKDSRWLQVEVCREFLRGQCARSDQECKFAHPPPNVDVQQGRVTACYDSIKGRCTRENPKCKYLHPPQHIKDQLLINGRNHLALKNLLSAQLNQSGTQMVNPMIALQQQAAAVNLIPNTPIYPQYYNGMMYQQVLQDPYAAAAAQQLQTAALLGNVGGLLSAQFVANSSAAAAAAVAAQQQTTPSPLLRLQRKRALEEENSNGNDLAAAHSQLLSLAGGVPVKRPAIDKNGAMMYSPAAQPAQQFNPYLLQTLQGYVPAVSCEYMQPPPF >CRE16702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:883025:884571:1 gene:WBGene00059825 transcript:CRE16702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16702 MRLCYLFVVLTVIVSARFFDVCPGRRQSIGACLVGLCPAGSECINSYCCKNKKSNSNNPLDMVEVEAEETSEYPACPNGGKSIGECISNSCPLGYHCSAGLCCDSETVGLKKWSLKSRKEEEVPMKSSPISLITVAPDESSENEAKKKMKESEEEMEERNSTFAPDVSENCCLEKQVDIYQKEIVDEEDSELEEEEETTTPISTTTTTTTTTTTTEPSTTSEYKRKLKRVKSKRPKTTARTTTTSTTTEPPTTTSEEITTTTEEEITEIDTQTPIVEEVTEPHFVCPVGAPIGECIANKCPEGHGCVEGQCCILTPQINCTDTLAGCLTHLCDRKGYRQFMTNNCAKTCARCHLVNITPSEIHDCRDRRSDCEEWAAEGFCESSLYTTRQKLKFCGKSCKLC >CRE16794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:885440:893039:-1 gene:WBGene00059826 transcript:CRE16794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16794 MSSQNRGAQGTNKQKAVSVNTLFSGRAAPGQRGTDPSRNGMQSIGKAANVVRRMPPPASLPSLRAENNGQDPTTPVVPQGGTGWTKSDNPNGAIEPPQTPTAQPAAVPPPLQANDLRPSWLVAANAEQQANNSQAREFPSLAPPVNDSDRVYNKWESSTLDDRLGDMPFDDDFEGGVPTRFLGSNPPPTDTSSVNGKSTSKTVPNSKYNNKISDDEGFDSQDRDRNYSTEEGGWSSNGSPSQTDSYGAEKDTESEYRSFMRNDLSQFRTSEIRILKRATEQLLLQDISDDEDEVQMTRLDKPSVCVVKRGGDSKSTDTPDSEQCEQGLETKSPTPPPQVIKIVEKKKVRKEPKLLKKDKSGKESEEPKKPREWKPIVAPIPVPILTSEELPVETPAIEEKSVVEEIQLAPIPTENVWAKRKEERESLEREKEKTLMPKVMQQAIEQHFPKVHDSATIKVNKESTRKSSDTEFTRAAIRARKQATSNDVRKLIGVENGGKIKLAKNENHVNNNNGKIPKRGLTSLPTCFLLGTDEKSQNFKGNGQNGYSNVNGFKGQNGAIKHNQTNGKMAENNNRERQDSHRSTVSSHHSEDSRKSMNGQYKKNDKSAESKKFNIDDMKDVNVESWADEMENLDGFEEVGKKKKNKILEKTGHQQSVKPQQLTPQQQQEQDKLVKIKSKDPKQGTRLFVPRALRKEADDLPANGLLSPQNVETKEKLAAAKCNADSTKKPDEDTKGFWDSLTPEVSTIPKKTKEAPRKPEGQHVEFPKPIGKSFDITGYDFTFDPNLHGNAILSDRAVIQKMLSAENGATDDASNQRRKVLTDLRQSIDGMGHVAIQKVSQEYNEMFTCRPNNNNNTSISLAPFSNHYSSFQPLFNTGDASLLYPNAAPSPPMSYLSMINFSNVKRQPGFMPENGLLGRNPAASLAAAAAAAAAVQQRQVWNGGHFDINSLAGTPPANYSSNGSYGFFNNGATPNEMSKQVCLQHRPPFGGLPNGMSNGMSNGIGNGQTPFFDRASLPPANLAVGSQRQGNMFSGNMNRQQQQQQSNGPPNPMNGMNNSNGSFPPQNFPQSMIRLPPLNGGPHRDGASFFFNNGTRGPIGRPTGLPGSGHSQLDMIWSNSTNNYFGNAGHSNGNWNVGQAAGPPRQNGYNQRQNRDNQQMNNRMRPTPVQGNRQ >CRE29635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1536184:1571057:1 gene:WBGene00059827 transcript:CRE29635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29635 MSDNPTRQNPPSRTGRELERPRWTVTRREETRHSPSRSTSPFQSRSVTTTERVHIVQLPVSENSQVSPAHILALSPHGNTSQSVSSIVTTSQAEGVPLIGALTASGVPLFNGILFNRDTKQTTTIITTTTTTYKILEVSDSDSLSDDYELVDDNTLTVDVDLTERATSPSYVIVSKSESQAPMSPITKAKLNIELEIPQRASQKPDDSYHSIESTRFISEMTESQYTADDERQPSTPGSEEFEKFDVSHSFRYRTKHFEFQMNYPIHQEYDHNTITTTSRNTNIEEFPLTQHVDLYHNQFYYDQIPGPSSPKPRKRSIKKKETIKLKTSHFDYPISEQYDGPLDSTSRASNIHDIPLTTEVNAYPSEFSYEKLPEHVVPVVVEETEKKDEPSLKSKITGLFKKSPSHLDYPISEQHDGPLDSTSRASNIHDIPLTTEVNAYPSEFSYEKLPEHVVPVVIEETEKKDEPSLKSKITGLFKKSPSHLDYPISEQYDGPLDSTSRASNIHDIPLTTEVNAYPSEFSYEKLPEHVVPKSPSHLDYPISEQYDGPLDSTSRASNIHDIPLTTEVNAYPSEFSYEKLPEHVVPVVIEETEKKDEPSLKSKITGLFKKSPSHLDYPISEQYDGPLDSTSRASNIHDIPLTTEVNAYPSEFSYEKLPEHVVPVVMEETEKKDEPSLKSKITGLFKKSPSHLDYPISEQYDGPLDSTSRASNIHDIPLKTEVNAYPSEFSYEKLPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSTAHSDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSPSHLDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSPSHLDYPISDQYDGPLDSTHRAEILQDVPLSHEVASYPSEFSYEKLPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSPSHLDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPEHVVPVVIEEPEKKEEQSLKSKITGLFKKSTAHSDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPVHVVPVLIEEPEKKDEQSLKSKITGLFKKSPSHLDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPEHVVPVVIEEPEKRRSIIEVQITGLCPLLMSSHLIIEFSYRSYKXXXXQKKKGRTIIEVQDHRILKKSSSHLDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSPSHLDYPISDQYDGPLDSTHRAENVQDVPLSHEVASYPSEFSYEKLPEHVVPVVNEEPEKKDEQSLKSKITGLFKKSTAHSDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSPSHLDYPISDQYDGPLDSTHRAENVQDVPLSHEVASYPSEFSYEKPPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSPSHLDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSPSHLDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPEHVVPVVIEDPEKETNNH >CRE29634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1522050:1526955:1 gene:WBGene00059828 transcript:CRE29634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wts-1 description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:E3LUX0] MQREKDKRDFCRENSLQKRERDYTHTRRHSCITTSHLFPILFLSLLLHSHRLRPSPVFVSVRPPFALYSPGMRPVVATTATTPNGASATGNDIRQRGIAPAPSFVPNSAADVHHSSDIRVGRHRAKLDEIRASLKAYEHESGPPTSSNHVLHGSQTTPSSSSVSHSDITNDNTEVMNSSSSTAATTTTTVSSGVVSHSNSFRTEGGPKMRIAPMPQRHLMMETGNEMIYRTGKEMIRNGNIPTNSTQPTVEESIRIYPSGYRYEMPTPAYHMNNNAPQYSPGYSRPPPPAYDASPTNTRMTPVAADNYRTHLHIKTQPAPKIQTSQILLQHNKNMAPPPPGKSTISIETISEERKADNIQRLYHTSMDKKTASSVVSINVASPHTTKVNVGDSPLPSKSFIIGPRYTTEIDRSKNFVNYKDELRPDPRLIPSTSDANHEDFRPILFKPSNLDITFKSRPQAPPPQYSQPSEPPPKRVSSPIDRALLDPYLKNTRRVQPCKPNMLRFYMEQHVERLLQQYREREKRMRQLQKEMAAAQLPDMMREKMLGILQQKESRYTRLRRQKMSKSHFTVISHIGVGAFGKVSLVRKKDTGKVYAMKSLEKADVIMKQQVFLIKKISIKFELCFQAAHVKAERDILAEADSPWIVRLFFSFQDDSCLYFIMEYVPGGDMMTLLIQKGIFEEPLARFYIAELTCAIEYVHSVGFIHRDLKPDNILIDQHGHIKLTDFGLCTGLRWTHDRRYWFILNISENCLMMVQQLICDVSTRLGSRGGAAQVKQHPWFKGIDWENLRKLRAEYIYIPRVAHDEDTSNFETFQDNDRTDKPNVRGLHNPAFYEFTYRHFFDTDSVGCPSLRPARRRSLRPLLENGIFDESVSEEDSSSHI >CRE29862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1519915:1521853:-1 gene:WBGene00059829 transcript:CRE29862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29862 MSSSRKPLIRSSSHSDRPATMRNWPLFVFLMCLVLFFYAFYIYQAQGAVLSQTNEEVRQLSAQLSLTKGKLVGSMADLETSNSEKKTISTQLEDITKKNDECMVSLRNTKLRVDTLEKDGKSNTDNYAKMQEELTALKSKAEELQRNNTALSSLVSTQTQLITQLNQTIGIIKFEKEELKNSVSLNNLTPKNEKLAPEVESQSQNEPNPVKDGKEKEVSVSTPTTTAAQKVEGLEEADGKEVDDHNKEPSTVSASSTQSPVGKLEENNPENEEPEPVVAEPDVAEPEEKET >CRE29633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1512537:1519596:1 gene:WBGene00059831 transcript:CRE29633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29633 MSESGGNDEPIGEGQIDFALAPSVITNPHTWRALYQIVDQLIRLMITDPSLASSLEALFHKAFLFPRLDQRSEALKLIKKILGDGSKMSKIAKSCVITKSLSLWRMLMTCIVECTNPQLELSIDSVKTVVAMLDGMKQLATHRFFTDEERKIIAETFVSFEDTIVNSFSLKCSERVSEISTVDEDNENVFDQSGSSSTEDPMAAKLRRLEQKFRCAPITIRRSSELDESSERNTAKRFVQSFSENLDIIASPKNTLSIDEAILQFASDFYANFCSVHADAYKSRSKIQQEFLNTDAIYLTTYAALSYVSRPDTYTLEDLKKTVLNSGSVVHVAIGWLEKVYDNLKLADVHAGQITPTLKNVINDFDGQTKGLLSDVEKLKRIKSRVDEVECTEERNVARWMTSSAWQMIIDVLSTFLAVKEKRKTREKIREAISVSICGMRNLCAVAQVLGLESRCGWIFEQLVETSCCLEDLRDDAIAADNEQKSTCTSREHLLAMQLVLDEARIAIHASSCWKHIVRCSEYVWELEKYIYGALCYEKPSRLKFLRRKTEEKEEDKEENNPTNSGIFSMSNDYEYLGDSQMPPPIAPRPPTDAPPELITGLPHTPALKANDDVDLESNRPRGNNNLSFSDGLNPKRSEPSVATTKTSRSSESDEESKKETKKEKEEKKPAKCGTCWCIFAAVTIFLAVISLLGLGAFLIMNMDGEVPISEALNGTNETSTSMASFVTTTPVSVIIPNKIIPLNTSTVLPLVESTTPLVMKTAESSEDEATTVSSDGTSTESIETTTISVDTQSTTSLGVHPVDTSSSSSDLSTSTTTTDLTASTEPTLEDALPIEDDTTQSNVAVEVSTPSSAKTTPVSSDSETTNDLNELSTTGSSSATLNVTSTEAPTDELKTTAADSSIENALGDEPTLSVHSLNRAICVLIAKVDRFYSQVCRELCLPALHDLCVAIVSSSENRVFYSAQKHIHLTAPVSLLTRISDIISTLSHRPLIHQMFIYPLVSSHFVKVCQCDQESRIAASALAEVVTRLLITESPGMSFNQTLIVPFQTASCSENCSEETKEQLLCALSQLVLSQADKIGSGWKPLFGSLKAVGAARDEKVHWCAIDVISSYLRIDSPSILSSSILECVPCVVNLLQNSDDSSEISSAALRLFPNIYSLILYLYSTPHIPNYHLLHRSDLRSKCLDTVELDQDPVAVPHGPLPWDDKQTYEIAAVELFLTFMDQICGTLLTSSSTTQKNLLDMIGRLLVDISNKPLGADSGGVCMSAVILPYVQKWIRRPDVEEVKILKQVIGACTQTVIDMLELDKMSSWRDRLLRDICSLIVECVLFDKTCAVAPAYFTLIANNSAGFSTQQWNIFSHFLASASSQSLRHIRLLNSFFVRSSTDENGDIGDVTSFNPERLSFRQLLAAIQVFSSEKHVKQKEEEEDLSSGVALIMLSHGADTHRLEVHSIVSTLFTHCLLLQLIASLLLSEDSNPKLKASLIGTTTPIDPQLDDSIYPILYNILNESCETSLDFDNRPAVGSLLSIMLGYSHANLLKVLVSSNLIKTMSLLRRFEKTKKQELLEETAKSVKSRSVDLKSVEFEVSTRRSAIVSREHAITQYHLVPVENGEENAYRLVSQEFVDGALSEYDKHRERLKPFIPDRKNPFQHAIEDIDPISIRSNDQKKEDFDETRLAAYRDICLIPLRYVADNLLREHLPDFLPAVTQIVLSSPDLAVRQLAVDFMSRLA >CRE29861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1506267:1508820:-1 gene:WBGene00059834 transcript:CRE29861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29861 MDEKVSEGERSMKTSSQSSSSSVNTSDVDALGQRTDHLIYNCYEFEKSTNSLNEYRHWIKEKETANVEVLEKALSSILDLGESFGKLGPKMDKDIEDSLAWLFAKVGWRPTNIMHPNIGYAGYEDMLLRYCDLKKELDKMRVINLRASNIRTQVVQQQLETRQMNDKLDELRYRWKFLTVTKTIASRNLGYNDGLSMLFSLPILLPGIIFHEKTSHTYAYSLLDIFKRLLVVAEAIQYERSPTNDPIVTNSNILYHLSQPSVPSLYVPIQYADSNGEVTAPEEKILPVARDSAHTLIASENGEVHAPENSILPIARDSALSLVSSENGEVSATSEYNMPIAKDSATTLGSNESPKPLSKTQLTSGVEVKRYTNSIKSQRRG >CRE29860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1504912:1505734:-1 gene:WBGene00059835 transcript:CRE29860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-7 description:CRE-RPS-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LUW6] MVFFSGLNMPEIIGKLLKSDGKVVSEIEKQVSQALIDLETNDDVQSQLKELYIVGVKEVELGNKSAIIIYVPVPQLKAFHKIHPALVRELEKKFGGRDILILAKRRILPKPQRGSKARPQKQKRPRSRTLTAVHDAWLDELVYPAEVVGRRIRVKLDGKKVYKVHLDKSHQTNVGHKVGVFASVYRKLTGKDVTFEFPDPIF >CRE29632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1503113:1504287:1 gene:WBGene00059836 transcript:CRE29632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29632 MECCKKKRVKKVAKRKIEKVIHLDPDALRYLRFKIGGKYTAERHLFLKKDPSKEASLIVSNIPAFVGEEVILAIINQFAPFEVEESFVQRSNAADSSLSQGQLTMSITYEKPEAVIQVLALCQDVGPFTVTDFLEEPEFPSVLKDSTSLYKKLFPSEEQIQEMADTYVERYDVVQAEARKEAKRKYSEPDEEGWITVTKVKKAAKSVKLNKEDVPLIGGLNGKKKKVDLAYYTFQIKKNRQESKTSFSLLAYFVNNFVSEAQELLKKFEEDRKRIAQLKQARNFKPM >CRE29631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1500630:1502774:1 gene:WBGene00059837 transcript:CRE29631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29631 MRLVKPLIVVVSVVILNAFAQQPTFFRIKRQAYPPNPAPFKPRQEDYNLPPFYQPNPGRDASSLSPLFPFHSQYSNGLDINPGTRVTVDGNLNVPIMGWGMWDYKGGVKMGRPNTRVGFGSLNAPTNVLGISGDTIAALAKDGTFQQSRQNVPAVPVAVLPGNFVPVRCRPPMCNPFVHNMAFGVDVEPGDDYLFDGGFDFPIPLAPSGVGVRFPMSGAVNVGTDPLLITYGHGMGPVEPPGFRSKKRGSEEDDLRECQKYGGDEPLVIPVKGPQAMFPALVTADNFPLFPFTDQFNTGVEINPANKVSMAGDLIVPVPGWGNFDVDGNVYYGHINVDAKVGYQIRPTNHLNIKPETLALLGQNPAFREARKKAKEVIVGRIPYGYEPIKCKPPYCNPFVHHAGVAVEVEQGDDSFFIGGIDFPLPIGENGAGVRFPLSGAVEQGTSPYAYAHGNAFNPVSPFDFRKIDSDDVKPDWPYAPGSRRRSPEKKMNNEQKNAFYSKFYDKLPQ >CRE29859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1496219:1500042:-1 gene:WBGene00059838 transcript:CRE29859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ears-1 description:CRE-EARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUW3] MVITRNLVLKADRKNAPYASLLALAASGFSLEESVQFVDGQKLVLNLDGEVLSNDVEIARIISQSTASADRLLGTSVVDFVVVDNITNIVAEAVNKNDFSELMKKDFPTSIFDNLLTVADFAIFSVAHNNPQLKTKFTGIVDRILKDSSLASAHNLVGLYKVAAAPSKNVASVGKEKKKDEGKFVELPGAEKGKVVVRFPPEASGYLHIGHAKAALLNQYYQQEFEGQLIMRFDDTNPAKENAHFEHVIKEDLAMLNIVPDRWTHSSDHFEMLLTMCEKLLKEGKAFVDDTDTETMRKEREDRQDSRNRSNTPEKNLQLWEEMKKGTERGLTCCVRIKIDMKSNNGAMRDPTIYRCKPEEHVRTGLKYKVYPTYDFTCPIVDSVEGVTHALRTTEYHDRDDQYYFICDQLGLRRPYIWEYARLNMTNTVMSKRKLTWFVDEGHVEGWDDPRLPTVRGVMRRGLTVDGLKQFIVAQGGSRSVVMMEWDKIWAFNKKVIDPVAPRYTALETTTPLVLVELTDSIEDDISSVSLHPKNAEIGNKDVHKGKKLFLEQVDASALKEGEIVTFVNWGNIKLGKIEKKGNVITKITATLQLENTDYKKTTKVTWLGVVKAESGKTIPVVTAEYDHIISKAIIGKDEDWKQFINFDSVHYKKMVGEPAIQNVKKGDIIQLQRKGFYIVDQPYNKKSEISGAETPLLLIAIPDGHTGKEAEKTPKVPTATSATAASSGGSDALQLYSSIEEQGNLVRDLKAKDAKSQETKNAIAKLLDLKKKFKDVTGSDFKPGQPPAAASAPSISESTSGKSEALQIYTSIEEQGNLVRDLKAKDAKSQDTKNAIAKLLELKKKFKDVTGSDFKPGQPPAAAPAVSGSNNALNIYNQIEAQGNTVRDLKTKDAKSQATKDAIAKLLELKKQYKDATGADYKPGSAPSSASAGAPKPAVDGAKIAQQIEEQGNLVRELKTKDAKSQETKDAIAKLLEFKKQYKEVTGADYKPGTVPAPTPASVEAAVPIPVADGTTVAKNIEEQGNLVRELKTKDAKSQATKDAISKLLELKKQYKDLTGSDYKPGGAPATPAQAPAASPSSAAFDETALLKEIDEQAVIVREAKAKDPKSQESADAINKLLSLKANFKKVTGKDVPAPANAQNKKGKKK >CRE29858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1493447:1495998:-1 gene:WBGene00059840 transcript:CRE29858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aph-2 description:CRE-APH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUW2] MKSWLAIVLLIGGVRCDGFSDQVFKTLYIGEGNACYRTFNKTHEFGCQATKENENGLIVRIDKQEDFENLESCWRALYPAFNGKFWALLPVNLIGRNTISLLKSSECLAGVILYNSPQPQHPEEEITSASHDADCPNAASDYYFQNKKEEYCERKINSGGSITRDGLMRTDWRIQMVFIDKSDDLSVIERCHSLFNIPKENGTTGYPYCGMSFRLANMAAGNSEICNRRGKSEAKLFQANFDSGEVPQICGAMHSDNIFAFPTPIPSSRSNETMNSSKYMMITSRMDSFGMIPEISVGEVSVLTSIISVIAAARSMGTQLEKWQKASNESNKNVFFAFFNGESLDYIGSGAAAYQMGKDIFPQRKIRPDIVRIHPIHANEIDYVLEVQQIGVSKGRKYYVHVDGERYDKNKTSTNKLMDRIERGLRSHGFDLEKLTDSGTRVPPASWHSFAKADPTVQSLLIAPFKEEYEYRRINSILDKNQWTNDEKEKAIQEIEAVATSILAASADYVGLETDDVVAKVDKALIATLFDCLIVSNFWFDCDFMKKLDGDRYHKLFNSYGFNEKSTYISMETHTAFPTVLHWLTIFALGSDKETLNVKSETSCSHLGEFQAMYTYTWQPSPYTGNFSCLKSSIGKKVMVSPAVDPYTPEEEMNTRYSTWMESVYVIESVNLYLMEDTSFEYTMLIIAVLSALLSMFAVGRCSESTFIVDEGEPAAEGGEPL >CRE29857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1492743:1493176:-1 gene:WBGene00059841 transcript:CRE29857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29857 MGKEEPSLKSERRKCYEARDKYVECIDKFLAQGKSEKESEKACRAERNNFEGNCPTSWVNHFIRKYQFERYKKTLAEQGVNIADQNALSD >CRE29856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1490955:1492509:-1 gene:WBGene00059842 transcript:CRE29856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29856 MIVSDSKNKCFYCRCHSYLDETVFLNTIKLLSNTDTAGKRADGLRLAKTRYISIVFHSIKDDQHRKSLKDLAEKVENCKIPMFRNEQSLFRNSMQSSDSIPNFTKCMHKSDLILCYFRRELKSMNWKNIRKLLNLYPKHCLLYDHIDKFIKTTMKRNIKPQEIVETLMQFSQANNPYYIEKSDFEMLLKKSILPSCTNVTKTMFTRNNTEKSFISSKDVELLKRKIDEYVHNSKEGYVKSKEYGKVRTKVSEWRKEKKVKDGENLVVVDALNYGIGQDRKEWNSVSKQFRHVVFATRFPPMPIRDEVIKRYNGNALFCDKLSADDLIILRMAIEFGRQTSLVTNDQYRDHRRVVCNGDPDVEKVWDDFLIDAVYRHKDGNIETHRNFNLRVHKVNGHWILPVLDSEGNSDKIRDLKVFRIALA >CRE29855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1487991:1490339:-1 gene:WBGene00059843 transcript:CRE29855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29855 MEVHVDMSIEEIRSEKYTLMTCSQNIVLVTRIQSTTSMETLLNYLQKYGEVIYIVFNANKANLSEERYAYVKYLTRESAQQLIDDIENMTSVNGGVPAQAMMSRIDASTRYVDAANIYATNLPDDMTEAEAIPMFSSFGKLREVQQLGTGFFMIQFSNESEAIKAVLELNGQEMAGKTVEVSHYGRGRNPRTPNPGKITFRLYNLRPEVDNQELHEMFRFLGDITARVERNVYGTTRCMGYVMFERPQSDTPFFNSFADEMNSDGYMLYQKAGFCYTECRFKARPYFDGHYSKFYPSAMPPLLNRYYQLPYNYRLLFGDPLYHKNASENKDATKFLRAYNVHVSNIGNLTDMQLAQYFANYGEIIFVIVLKDISPYGIVSFTNLPQAHTAVEDITRRRADGLTVFYAEIMDCYQLPHFDEHELFMTRIRKSRAVDPNCSIENTFTYQGTIDRYYPEQLRVEGEISIEEVSKRMQARVAELTEELNRGFASFEEDTQKDSGATAVVETPIASCAMSSASSTSARRGSSSTTQESEIFQTSQVAEVPPARNPPHYNVPSAFKCTQPPSVVEERRNRPQEKHRPHIIKLLEKYFYKKTDEERLDMLNAAYNIPMTDLPRLLKDVNAFRRFFVERGIELAQQAAEVQERQDVKQAEQTKKHANSQQKPKKRKR >CRE29630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1485274:1487298:1 gene:WBGene00059844 transcript:CRE29630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29630 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LW48] MIQTRTHEQTLSKEPWYHGLLPREDMKVLLTQRGDFLVRFTDPKIGESRKFVLSVYVGIADDIRHYVIREMENKFAVDVKWFATIPDLLNYHHRTKEPVASGCAESVLIRPIGREPWEKQHSDVTLLKKLGEGAFGEVQLGEIRIGNTVKKAAIKLAKLESLTKEQIKEIMHEARLMRKFKHPNVVTFYGVAAGQEPLMVIMELVNSFTFHSDLFRFIIFQAENGALDSYLKKNTGSLSMSKKNDMVFQAALGLEYLHSLQIIHRDIASRNCLYGGGQVKISDFGMSREGTSYRLNPYKKVPIRWLAPEVPRTGFYTPKTDVFAYGIMCWEVYHDGTEPYPGMKVAEVLPRVQEGYRMPFEAGVAPAVVKYITERICSATEFDRVTMSEIVRELPNIDGFEQAPGSNSYFELPNKIKKEDLTPSKQSPLVNILPRVSQPVSAPFAATNQVVNVLQAPPTYLDRAPDKPEKTMQQKKSVSITTTTEEGNKKSKTPIRTRITNFQNFRGTPSNNKSQSVSIAANTQANAKAKKKNKQSSSSSVDAPTSSGFSVLSIFQKKKPSGDKSEGL >CRE29854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1475988:1480182:-1 gene:WBGene00059845 transcript:CRE29854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29854 MDTFLSNFSLKVCQKSIDTKANDKMKENGENEGLRKKFQVLRAHAADVPQLAALRDIQKKTENDLDFWQTPSKVGHRADIMVDTDRMEWLNSVLKSSNISYDVIIDDVEKLILEKEHGPSRFSKLLFSKRMHNEGGNRARYGFGEYHSYQTICDWMKDIERKYPDKARVFTMGTTAEGRPIQGIKIGNQVWRNDKRIFWIDGGIHAREWAAVHTTLWFIDRLIADYNDDSLVRNAVDRLNFYILPVANPDGYEYSRSDVSPMIRLWRKNRAGVVCKKDRWFRDRCCGGVDLNRNYDWHFGETGSSTDKCSEIYQGSSAFSELETRSMRDFLTSPELNGKIDAFITLHTYSQMWIHPYSHARKSVPADVAELQRVGKAAVAALENTFGTQYKFGTGSDILYPSAGGSDDWAKGVLRVKYVYLLELRPGEDVWDGFILDQHQLIPTAKETWNGIKVVIRAVSDQAGSLPDSIASTLPPITLPLPPPTTTTTTTTTTTTTEAPTTTTTAPWTTTPWTTTPWTTTPWTTTPWTTTPWTTTVPFSSIFIVTEPAPITRTTPTHLDAFYKLGKRRFKFVSIKTFLPTLPTTPMPSFDLFSTTLSPSFPAFSQHHQASSFSFSQPPSLPLVTVFGPNENNAVIDDFEGVSSPRVLPAFVRRPNGSIVRVNSRRPGSRQRRPGSFSQQRVVQFRNRSSNRGQFTNVAQQRQMPIQQQSRGQCADRSAWCASWLSGNSRVCQISAIYMRQDCARTCGFC >CRE29629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1474752:1475755:1 gene:WBGene00059846 transcript:CRE29629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sss-1 description:CRE-SSS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LW46] MVKKITIYTAFGHFLQMVERQAEEEKEQMKELETDRCPIDHPLSDYQNALQSTQDLQVRSCPVDQWVQIDEVMKKSASCPSLPFNFSHQIDPIQMTISPVPTSIESKKLNEATELMMSFWKVHVTSRKPKSEREEQISSIFEKLEGLFAVSSTTSVASMFGKFEEYIEEMKREKLPKKEKSLIWNSPDQPTIPQLPVFVKSPVDSLKFYKGTHTQTAEMADDGKYPIAKCNLLLFCFTELSELTYYASANTSTVSSPATSHSSGSSSSSGSTTTIIARPNRLQTEIRLQQAVIEEQKKIIDGLYNLQTLSRRLKNVRK >CRE29853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1472513:1474406:-1 gene:WBGene00059847 transcript:CRE29853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29853 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LW45] MPPKVKKSPATGRKKNSKASRRPSQTNLISVENGGVPRGRGRNTERSVMQTLEQEETTSNDAGGNQKRSVAQPPQPMPVPPQPTKAKEMATRTMMLPPPMPAQQPTPPAPIPAQIPPPPAQGSQMPMMAPPVTVMPAAPRPIQPNQPPVVNSQIPQMQPPQPAAPMPPTPRPPPGVVNQSLASPSPTPVLKATMPLQNGVVQQQPTPPAVPEPIRRPSRERVDQSVDCGVETSEKKKRAEVINSWILAVLQGGVEGLKKEYHASMNDGPMEKAVDFLDNPTKNRYHNIPCCDATRVKIADDPHFYIHANLVSSGPNPRRFICTQAPLNGTIEDFWKMVIVSGLEYIVMLCELVEKGKPKSAEYFPVKIGEVMKIGKLWTITKENSVDIDKNLAMSTMRITKQGDTAVARTVKHIHWHNWPDHGVPDNFLSPFRLLSIFKNCQKPVVVHCSAGVGRTGTLALILIILEALCLPDFLGVPRLLTKLRDERFKSVQTEMQYLYVHRCILEYLVWKKYTYSKEDYAKFVKDYEQALAGCVEK >CRE29852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1465741:1468685:-1 gene:WBGene00059848 transcript:CRE29852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sptf-1 description:CRE-SPTF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LW44] MLNPSDAASAALSFSVPFQMSENPLSKLVEHCNKFVPGGENKMKLDPSKSDALLLPTDMNAYSPYLTNPNWWVEQANWSHLYPTVTSASSVDAYHNYLASTQLGGLLSSSVSAVAAQLPSSVSAQSALSSSIAAQQRSISKSLQQAKSSASSSGSANSNGGGKYQASRAANKCECPNCQEAEKFGPTAVAARKRGVHNCHIAGCGKVYNKSSHLKAHLRWHSGERQTKRRSGDST >CRE29627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1461014:1461536:1 gene:WBGene00059849 transcript:CRE29627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ned-8 description:CRE-NED-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LW42] MLIKVKTLTGKEIELDIEPNDRVERIKEKVEEKEGIPPPQQRLIFAGKQMNDDKTAADYKVLGGSVLHLVLALRGGF >CRE29851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1456985:1459926:-1 gene:WBGene00059850 transcript:CRE29851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-35 MPTMVMANPPKTGNNSLRKRQKNKNDNIVAISYMYVGTPKKKFTFYVRERLVYRSNVEVTYEDVYNFLAHTSNDDTISLHYSVNGLYVPITTTGQLHTFIRHVHNDSTAFLYVENQDYVWNVTPQDNTHKMEKRVPAGGAPPGDGTSDPYDSMEDEDESQNEVGDEEMTEEDNSQDEEEYEEEGERDDKQQPMPIFTDDSAPAVFAEKVPVKEAFLEPKPRVVVKPKSQTTTEKPANKSNNRASSNSERTVAPAVPFPSKKWTVQEAYFQVKTMLTDALTNKTMGSVPEQVSEQLVVNVWNPAFPPNTQVLKDTVSLLKNSIGTGILYYYHKVMSKMSPEISNGDKADSMELLTEFMPTHDPEYLAEFVESALIAACDILESVQHLAHLQKEFDYFNQANEKLVKNNEQAEKMQLPNLQEIHEHLKTALVSAHFGFNLLNPVFPAGCECKEAIRLVTLELQKLAAVMKNVHQKSSSPQQIQETEIFLIENAHEIVKRFTDKECPQHRTIELALRSSEIYKWRKDLCIGYDKWVGLRDAGESRLKIPLNCYDVVVPLPAPFSIKPEGTVGDPNLVPIAHGLTDMHSNATPLNMPSDSAKPPAQNQADLEETLDTMRSMTINEKPKPISVNNETVVAKLTAAPTTTSAAATNTGEAAEDTGEKKMSDQLQAMVDKMYKSVPNQHNFVYPPPPKPGAILIPTGGLAHCRCSKNCPEFLMEPAYEYIERFNEPRQTVWEKTKQKRFKKN >CRE29850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1455311:1455619:-1 gene:WBGene00059851 transcript:CRE29850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29850 MSQTGPFIRVTTVSAPLKGSFPLDHEGTCKLEMLNYMVCLHEKKQQNSECRHVAKDYFECRMNHGLMDKEEWQKLGYGDSKEISK >CRE29626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1448452:1454469:1 gene:WBGene00059852 transcript:CRE29626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mgl-2 description:CRE-MGL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LW39] MLYSHVTLLMLRVVDVVAKTTVQQSARMLVAEIHGEIQIGALFPIHRQIAGSESCGEIWEQYGIQRSEIAMLTVKQLNEELPFKLGLSIRDSCWTERIAMEQTIAFLREGVAQCSCCQTPGCNRKSVPVVAVIGPGKSSSTIAVQNLLQVFRIPQVGYSATTPDLSDKEQFGYFLRVVPSDVFQAQAINRLLHHYNWTYVAVLYSAGNYGEKGFESLERLIAHRSSSVCIAYSEKIKTLASDQEYRQVLTRLDSQNSRPQVVVCFCEGASMRQFFKAQKHLADGKGQMKRFQWIGSDGWADRNDVVEDLEQEAEGSFSIRIHAPKIPGFRQYYTALHPENNTMNPWFREFWQQKFNCQFAVSKEDKNNENIRICSGDENLDEQYKEDPKLSQVINSIRVVALGLKAMYQDRCRDNSTLCTEMLSRNGTLLYEYLLNVTYSDQFKQPVYFDRNGDPPAWYDILNYIGTKDLDNPYNEVGSFKSINDYGVEELDMTASSMFYDKTEILPESVCSRPCGVGQRQRETMACCWICESCLDFQFVNKTTNQCMNCSLGSWPNMNRTGCEDIIPEVVSWTSFGHILALVLAVTGIITSLATLAVFLRHNSTPVVKSTTRELSYIILSGLVACYAVSFALLATPSTSSCFITRVIPPIAFAVVYSALLTKTNRIARILAGSKKRILTKKPRFLTTFSQVVITWILVAVQCVIVGVGLMRDWPDATYAKYALPRKLILECDTETKSFLIPFFWDFFLITLCTLYAFKTRNLPENFNEAKFIGFTMYCTVVVWIAFLVLHMGTTHKALVMSFSYSLSASVALALLFFPKLYIILMHPEKNIRASYTTTKLIRCHFGNSQAAYDSTSKQQHLGSKTTARTSVQSGSASKSSSMGGGVTRTASVHVPVSRGSTHSTDVSTQTEAASKFSRSFSIVGRKKQQGLDDDVQQLVDACRRYQDEKINSSAANLLLEEAEDEVGALLADSIENSMRTVLSTVAGKAVVPMVPMVPMVPVIPVVTVPTAPPQEDNFEQNGIHLNTTPKLTQSYSIFDFACFRRFLSSPLCLHLVLPATTFPPTNRRLSESLQGSRLHPFFPLPEPKSVRKIANVSVIAKSWCSTARFVSSDSIMNNNQQMLSPMSSVGSVSSSRASGSPSPHSFDHLSDEELAHISVRQLNQKLMGQDRNVVMQWKQKRRTLKNRGYALNCRARRVQNQMQLETDNMMLRNQIKLLKDTLTEVQMRLQYYEPIFYQSYQPVLHNTTSSTTTTSSESVPAATHQVPADPTVSSPITIAPEYKNIF >CRE29625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1441341:1442683:1 gene:WBGene00059853 transcript:CRE29625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cup-2 MDLESFLLGIPVVTRYWFLASTIVPLLGRFGFIQMQWMYLEWDLVVSKFHIWRPLTSAIYYPITPQTGFHWLMMCYFLYNYSKALERETYRGRSADYLFMLIFNWFFCVGICMAMGIYFLLEPMVMSVLYVWCQVNKDTIVSFWFGMRFPARYLPWVLWGFNAVLRGGGTNELVGIIVGHAYFFVALKYPDEYGVDLISTPEFLHRLIPDEDGGIHGQDGDIRGPRQQPRGGHHWPGGAGARLGGN >CRE29849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1438783:1440512:-1 gene:WBGene00059854 transcript:CRE29849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-353 description:CRE-TAG-353 protein [Source:UniProtKB/TrEMBL;Acc:E3LW37] MTDDMDVVAETVTPSEDIELTIRQAYHTESDLKFTCPTNWTIKQVKEHVKNTLTSHPDVPNQRLIYSGASLNNDQILSDVLRERNHIAGDQVFFHLMISQPYQQATPDSDVRRRNVNGSGTNSNATTASNLNSANYPAYAQNMIAWQQYWTAYNQLSPEQQTSEQIRLMTHYYTYAMANPAISMNQTPANSQDQNAAAWRARVQGGNPGAAVQANGNQAAAAPRQGRVDILEVGYRIFKLVLLFSAVLLYSSFERFALVLCSALFIYFIQLRRNHARNRAQAQAAAAQPANNQEAHVNNNNNGENEGENADPATDGETPAAPEAPTGPPVVQPTGMQVFIATCYSFVTSFFASLVPDHPMPMDLN >CRE29848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1427352:1431660:-1 gene:WBGene00059855 transcript:CRE29848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-blmp-1 description:CRE-BLMP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LW36] MGQGCGDDGVPPAPFSSAHSPAHSPLSVGVSSASSATSSSSTPPPSTSPTASGAAPGVQATETDWKRFSDERLAELCIFHVPDKVISLPNPKRSECTLPMNVILKPSSKNRKKSSIWSSDQIPRGVRFGPLVGEIRLVDVESALVCPAEASMAGGTTAQEEIPFDDCPEEWKIFSPSGGRLTKKISVKDDGRSNWMKNVVAAEDENNQNIVAAQVGNDIYFYAVKPIDSSTELSFWFSRDYARKLNYSTTPQVRTRLPLQASTPLIPSIPSIPSAPPVSSSTAIASLAETVVAIDYSVKKLVEPVDTLSTDASSISDNDEEMIDVEESCTRPSAPEVSRPNVIQNPVVRPVPTRITNFASPLSTLDPLAIYKDYLRKTIQLKKLTETGMFASPVVQTAATITATGGRSGQPIDVQPVLAATAGAHFGNYAAIYGSQDFQHELKPLFTTATPTFGGGGMGGGFGMGGSGHASSFHQLPFVNHSASSHNDSSFNGVPNYVQQQENGKTRYACKDCNKTFGQLSNLKVHVRTHTGERPFKCEICTKEFTQLAHLQKHHLVHTGERPHRCDICDKRFSSTSNLKTHLRLHNGQKPYTCDVCDAKFTQYVHLRLHKRLHANERPFSCSTCGKKYISPSGLRTHWKTTTCKEEDTKEMQMWKAGKDDLMDIKGEIDDGNGNSYMDIFENPLNSDLKPRPLLPIETIYSKYNISNPSLLGQGPSGMQEQQAPPPTPQQQHMMYGNSMGHMGQPQHLQGPPPPQHFRMDHNGMQNGGHPHPHQLIQTQQGPQSQQHPHDSIHQPLRLPDLKSSLLPTLGLPHYQ >CRE29624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1422957:1426776:1 gene:WBGene00059856 transcript:CRE29624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29624 MIHDELSISEASTSVGTTTTTSLDLFDLPVNCTINGISQKVTTNCESLESILCECSLLPSKYTAYSTASYPIIDYSNATDIIFLYNDYWDDEIDNLSTTVTAPWTEHAEAADSITTTVNPSCLVQCPDGYIIGQSLCYFIVDLSNIKSYQSALSYCQTVNLRNLISLEHLRNLLDLQILKTKVNSSYSTTWYFANGGGSVQERFDKKAQVFDINMLTGMTSPIEMTVGISDATSNVSAICVLPRGFLREYCNISQCYVDSALDVLELNTILSSSKEVIDSVSTTSITCSYTKSVSTVACGSRGNMMPNPTTISCEASGDAQKLPNTTAEIVEYCSLCFERGTESCSEATDKNGKTGYQCNCKSSYSMGTCWYTSDSCLPETCNGHGKCSDTLGNVKCDCDWGYEGDSCEVNKDRVTNTTDSFFTRLGNYIIDFPTIYAVQTNSWIFLTIAAKSIGKIYRSTGEDDPQETHQAARAFFMTLGTTCVLFFNDPYLFKISLATCRMFFLIIHFCFMGAMIQWMLEGYNANQVVRCVHLNEWEKDFEYRKAWGIKAAPRMVIPLIMMAVALAVIFKSNWYYLPSTWTCLGVICNQTTSVWLSAIWIVLCLVVSTAAFAESSVLLTHRRPLYNLKIRQRIERDIGIIDGWVAEKCRRNTVLCFIGICLLSVTWLFTILASDKRSKYVFGWTLTLVSTLYGSFSFVQGVYTDPNTWSAILWFAMKRFPARFAPTYDPISMWTREEVKEIQKLPKEQREIMKDEMFPLNKRLFLHHKWDMNLNEKLEKETEITEALLEILRNEIRTHHDLSGTQFQKEQLQETFAEFVKSVADRPPRMDLLGVNAKSEAVTLCAEKEDGSARVTKFMLVPDIDVFEPEEFYEDADDVDKKRMDIEEEKVDVTFLFYPSFLFQETYKIAREEAVSQNKFMNSAIKFKFVITFCSLFQINF >CRE29847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1420473:1422437:-1 gene:WBGene00059857 transcript:CRE29847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29847 MKIVLSILATSVLFVKAGFHEECQQTLREGRLRVETIAEKLASREIDRLVSLMSKSKDQIKSYKDESLNLSPKLQDLIKIRDGQPPCPQPNATMFTTSTTTTTPKPTTTAPATTAADTTTNGNTDGATSGAPAGSTSSAPAGSTSSAPAGSTSSAPTSSAAPEVVEEKKKGKDEGKKSEKFNPSKVYVTRTNDMDPFSWYMKTLHYYMKRESEVCNNQITDLNSVTEDQLFGYFSTLAAAHPGPFCSLCHRFTEEIHSKVLKPNSLLIADDEYHISHLLYNHFPSPKSICTAIAPGCDEDYALKVGNLTESVVCLECTACMSITNVLQHKIFLQPAMLDQVYNWLRGNLFHNICAELCLAFQGLDIPLFPHGLTYDGCQNVMKKKFYQLIDVATVITRPERFCSLEIQWCELNEQPNALHCLRELCQEYFKDTPQTRWLCSQIPDRPEQADAFLNIHQTKVRKEKKDYHVKFQQRNLHDEL >CRE29623.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1417420:1419911:1 gene:WBGene00059858 transcript:CRE29623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pab-1 description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:E3LW33] MEMNVATPAAPVAGAGAPQPGQNPTGSSYTMASLYVGDLHPDVNESILFEKFSAAGPVLSIRVCRDNATRLSLGYAYVNFQQPADAERAMDTMNFEALHGKPMRIMWSQRDPAMRRSGAGNIFIKNLDKVIDNKSIYDTFSLFGNILSCKVAIDDEGSSKGYGFVHFETEEAAQNAIQKVNGMLLAGKKVFVGKFQPRAQRNRELGETAKKFTNVYVKNFGDHYNKETLEKLFAKYGAITSCDVMTSDGKSKGFGFVAFAQPEEAEAAVQALNDSAVDGSDLKLHVCRAQKKSERHAELKKKHEQHKVERMQKYQGVNLYVKNLDESVDDEALKKQFENFGNITSAKVMTDENGRSKGFGFVCFEKPEEATTAVTEMNSKMVCSKPLYVALAQRKEDRRAQLASQYMQRLASMRMHSNVPGGGMYNPAQTGPGYYVANPMQQQRNFAGGPQIARPGGGRWGQQNQYPMQNQYMMAQGPGVYPNRMGRPQNQQGGPRGPPQQYSQVAQGGVRMQGPPRAQNAGVQQQNIQRPPPQQQQQQQQQQQRPAPSGPKAPPQPYSQQYQQQRPQGIVIGGQEPLTSAMLAAAAPQEQKQLLGERIYALIEKLYPGHKDAGKITGMMLEIDNSELIMMLQDSDLFRSKVDEAASVLASAQK >CRE29623.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1417017:1419911:1 gene:WBGene00059858 transcript:CRE29623.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pab-1 description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:E3LW33] MEMNVATPAAPVAGAGAPQPGQNPTGSSYTMASLYVGDLHPDVNESILFEKFSAAGPVLSIRVCRDNATRLSLGYAYVNFQQPADAERAMDTMNFEALHGKPMRIMWSQRDPAMRRSGAGNIFIKNLDKVIDNKSIYDTFSLFGNILSCKVAIDDEGSSKGYGFVHFETEEAAQNAIQKVNGMLLAGKKVFVGKFQPRAQRNRELGETAKKFTNVYVKNFGDHYNKETLEKLFAKYGAITSCDVMTSDGKSKGFGFVAFAQPEEAEAAVQALNDSAVDGSDLKLHVCRAQKKSERHAELKKKHEQHKVERMQKYQGVNLYVKNLDESVDDEALKKQFENFGNITSAKVMTDENGRSKGFGFVCFEKPEEATTAVTEMNSKMVCSKPLYVALAQRKEDRRAQLASQYMQRLASMRMHSNVPGGGMYNPAQTGPGYYVANPMQQQRNFAGGPQIARPGGGRWGQQNQYPMQNQYMMAQGPGVYPNRMGRPQNQQGGPRGPPQQYSQVAQGGVRMQGPPRAQNAGVQQQNIQRPPPQQQQQQQQQQQRPAPSGPKAPPQPYSQQYQQQRPQGIVIGGQEPLTSAMLAAAAPQEQKQLLGERIYALIEKLYPGHKDAGKITGMMLEIDNSELIMMLQDSDLFRSKVDEAASVLASAQK >CRE29623.3 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1417420:1419809:1 gene:WBGene00059858 transcript:CRE29623.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pab-1 description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:E3LW33] MEMNVATPAAPVAGAGAPQPGQNPTGSSYTMASLYVGDLHPDVNESILFEKFSAAGPVLSIRVCRDNATRLSLGYAYVNFQQPADAERAMDTMNFEALHGKPMRIMWSQRDPAMRRSGAGNIFIKNLDKVIDNKSIYDTFSLFGNILSCKVAIDDEGSSKGYGFVHFETEEAAQNAIQKVNGMLLAGKKVFVGKFQPRAQRNRELGETAKKFTNVYVKNFGDHYNKETLEKLFAKYGAITSCDVMTSDGKSKGFGFVAFAQPEEAEAAVQALNDSAVDGSDLKLHVCRAQKKSERHAELKKKHEQHKVERMQKYQGVNLYVKNLDESVDDEALKKQFENFGNITSAKVMTDENGRSKGFGFVCFEKPEEATTAVTEMNSKMVCSKPLYVALAQRKEDRRAQLASQYMQRLASMRMHSNVPGGGMYNPAQTGPGYYVANPMQQQRNFAGGPQIARPGGGRWGQQNQYPMQNQYMMAQGPGVYPNRMGRPQNQQGGPRGPPQQYSQVAQGGVRMQGPPRAQNAGVQQQNIQRPPPQQQQQQQQQQQRPAPSGPKAPPQPYSQQYQQQRPQGIVIGGQEPLTSAMLAAAAPQEQKQLLGERIYALIEKLYPGHKDAGKITGMMLEIDNSELIMMLQDSDLFRSKVDEAASVLASAQK >CRE29846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1415957:1416576:-1 gene:WBGene00059859 transcript:CRE29846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-30 description:CRE-RPL-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LW32] MAPAAKPQVKKNAENINSRLSMVMKTGQYVLGYKQTLKSLLNGKAKLVIIANNTPPLRKSEIEYYAMLAKTGVHHYNGNNIELGTACGRLFRVCTLAVTDAGDSDIILSVPSESA >CRE29622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1415107:1415926:1 gene:WBGene00059860 transcript:CRE29622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madf-10 MDQWTHQNHQSHQNHQNHQNQTTSSAPPSHAHMGHVAVQPKEEVQYMMRQVTDSVRFAMCEAISHRTPLWDSSREKCSSATRKRLFGEVVDVINSQFVLSPPLSIEEVEKHWKNLKDTYVKTRRKITYDQDGCLIRPKWKFFDSLMFLDAVNQPDFALKKRAVSMTFPAQSYDMYQGPTMKKEKLEEIPTDEYMEFCRSIYLPLKEIGYKDRVRWLKIQKTFRDIIYEAQLESAVKPPVDMQ >CRE29621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1410561:1414275:1 gene:WBGene00059861 transcript:CRE29621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29621 MIGHRLAKWRLSRSYTTAVANADVVVCGGGISGTSIAYHLAKRGKKVALVEKDSIGCSGATGLSAGLVSAPIFWQDTSLQAIAQASLDLYSHLAKTCKFRYVKCGRTYLASSMANEILLRRMYSRGVVHNDKVELLDCQSEMLERWPFLQTEDVQLALYSPEDVALDPVALCQHLALIAKDHGALIYESNPVLEVHIGDEKQVYGVSTKMGFIETSHFVDAAGIWAGSHLVKALPHQHVQTAAYPCTYSYIHTSKLPTGSVSDMTPIFNDLDGNIMLRTTSFKTLCAGFSEESIRPLARQTGSATPWQHPEPDWNVFDSNLEKLISRCPMLGECNHGDLIVGMEAYTPDKLPTIGESSQAKGYWVMCGMNGQGLSLAGGLGKVLGELMCESQSSTADVARVDVGRFIDLHANSQYLIGRTPEVAALTYSNLYHSHQCHTARNLRMAPIYHQLRDAGAVFGEIMGYERPLWFEKTAKSERNALMSGQDSLVGKPDWFERVASEYEACRERVGLMDMSSFSKYDITGEDAVEYLQFLCSANVDEPIGTTVYTGMQHQKGGYVTDCTLSRLGDKKFFMVAPTIQQERVLVWMKKWQAILKARVHVQDVTGAYTALDMIGPSSRYLMGDITGLSMSSNDFPTFRCQEINIGMATGIRAISVTHCGELGWVIYIPNEVAQNVYEKILEAGKEYSLQHAGYYTLRQLRIEKFYVYWGQDINATVTPVECGRLFRVDFKVRSIFSFFMRESGEFQKDFIGKKALEEQVERGVSKRFVQLLVDGHDKETDPWPQGGETILKDGRPVGLTTSAAYGFTLGCQVCIGYVENKEFGVSPEFVSSGHFEIDIAGKRFSCRLNVHSPSLPMISSEHPLHYRPTQ >CRE29620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1408800:1410474:1 gene:WBGene00059862 transcript:CRE29620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29620 MESKEDKKSSATSHSHLTRPEFELIVEGDNGGAAYDSEDNLQTAADCIRMMEGVRDEADLTEIMEKFRRKTEKGEMTGLSSTATTVSSSMASQWINHMKRSFKRHAIALSEEQQGVLKKMVNEELYPQHGSTDLAIRDILMLYSRGLEAHHDGCYREMFHRIRPCIPYFNTNVLVWIRVSEALIYEFYEEVWEFKDSYKRLFAGCDRRYVASLRRRCNELKARHPDMNLRFAETATLMTFALAKHSSREPVHYAAATLHGFLLLKLKKYTEAIETVNFILMKYDKTGECVDSLTLLLTYKAEGMIGIGRYNRAIEYCLRVVATTTTVRAKHRALMLITMAYMRKNNFKKAHEYVILLLSDGQLDENMLENVALLGLNLAHLMGNMELFKRFEKMLQRIVY >CRE29845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1404696:1408221:-1 gene:WBGene00059863 transcript:CRE29845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-8 description:CRE-SEC-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LW28] MNGNGAAPVAAARRQRPMPTERSAVTSNETGLLINVIRSLTSSVSEDQREVEKSRLEKGYKESGVLIDRLVKNHQQDVEKCLVSFRDVSSKISNCRERIHNVRNALHTVKSLLELRRDDLKKLWHENAQQKSVCEIMAKLEELREAPSKIENLISKEQYQQAADTVTESRDLINGRLSRVEGLSHLSAEIERFTKILIDRINDSLVNMLVVEPFEKHLLHILRTIPEHRINQNSYCLSLLTKSRNNSGSGSSFNNAKAKSRIVSSVGALSTILRTSEERNWDVDRLMMLGKNMIDKMIVNTVQVMKIGANIDESNEGDTTHLKQLMQLLSAQFDSASQQHAEFGVLVEKKLGRIDVLTSFWRSAQSAIEVVVSEHLDINPLLEKQNVLGTVNRKQLFRFENTACAAPNTNSSSHQAKALICKPSAYNIKVIFPILSRMMETTEKNINDSPCELRRFMHSFVMRVFVERVKGELASRIEGALRGGEAVRISTNKKILPSCEKVLSLCKDIHDLIISIDLYADRFAALWILVLTDYFKNMTDVYEKMTPKTSDPSLPSSDTIPTRCQKISAAWTADDDISRLLMSLPNWHTASISPMTPAAESEHDVGERNKRESEILIGNLGTQAQNSLNESNLIIDMNDIKMFASLHESLRWFSEEIRELVHSLPANVKMMLDTCMVQVQLKDGQMIDNNSVPSAIEDCVRRLESIADSCLLLLHIEIRVHCFFHLAPLAKYRNTSSHNEVDPEVVALGKDLHQFHDNLKDVLSPSKLAYVFDGLGHLCASLFIHYSQFMPRLTEAAKKRVCRNVWGVQQRLSRITNRRELDLDRARAFFDLLLDNTPDGILAIVPEKRSLFTATELNYLLALSVRSDKALSNQPGALEKRQMILNSILNQKK >CRE29844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1403858:1404468:-1 gene:WBGene00059864 transcript:CRE29844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29844 MAQIISDVVHTVSDFLPIKRFAALFPAVASSPTVESLILPPAIDMSPFIRLAGLSGAAAISLGAYGSHVLRDDPSIEERRRTAFDTASRYHLIHSVALLASPSARFPLLTAGLFTTGMLLFCGTCYHYSITGIESTRKYTPIGGVTLIIAWLSFIL >CRE29619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1397543:1403821:1 gene:WBGene00059865 transcript:CRE29619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-duo-1 description:CRE-DUO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LW26] MTDQFVVDCYFVGKGGTNIHFQNRRLKFAPAHLEILSPKPDEAPRILPCTQFQFKILKAGKKHLNFLLVPETEKGYLSLKMTFKPEYEQFIEWVTEQFNKMIKENKDKMQSKKPKSKQVPLIVSTEVLNKDIYQIRPIYNEKNCLAELERQRSQSRAMNVSPVSQQTPPKQLPSLGYMKQLVSRSDAIDSFTNTFPAKKEEPDVTLYKAAKSNRSQNASPMDFEPFETPVERLPKREEPVESYFTPEKSNRIEIGSPTDMSPPAKPKISKPGKLDNEVPSKSISVVSEIKCLTDSPDLDKEFKENISEKNCSTPSPSPTKDEFRNRRLENTGNSCYFNATMQALSACHPMISRCRILKLTEKDKNNFFENQKYSTTKLEQKYTLFADMLDMMSTLSAREEIVENNMILRRMTRSRLTRIRQNLGRINHDFDNDNQQDAHEYLLMLLGSVDDVIEANRKKSKTMKGKSIALLNPSKVFEYEVETLYACQSCHKTERKSDYRSDLSLNVSENCYVQKLLSSLSEWSPVEKECSYCKETRSSACERISKFPPSLIMNLRLYEMQETEGITKKKDCSVNDAFEIDLSSLRSHTEPENFDMNQYNFVERPASSSLKFEDLKPDENGNASQEESQNDIIIESVKKSKDLYFEPLSDIEDVKEILGKLNIAFCEKSVRSHLDSLKLPVEKMSRSDSPAETAMIKGDGNCFYRAISWCLTGSEKFHRRLRLATAEYLKNNEENLKKYCKEKNYENYVKKVEKNSEWAVSCEIFAMANMLNIEILTFLDRRWVSHIPRGGGSSSGGSIYLNNKFHHYEPTTSLSKSCSQNTDSQSSALQQNVANKTSRCGSKRKADDNESEEDTLERRNKIHTAENGEISECKIDQENCETMTAQERDTHAPEDVKYNLVAVVCHLGDSPHRGHYVAYIKDPNKSSKWIYCSDNDIEEVKREHVEVSIRTSGYVLFYDRQ >CRE29618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1391983:1395791:1 gene:WBGene00059866 transcript:CRE29618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-duo-3 description:CRE-DUO-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LW25] MTDKFVVDVTIFANTSEKMLITLKDHRIKFEGRTIKVLKASSFETVREFDSSLLLNKPLKHPDVRERTVLSIQFASSPGLKLAFHNSNRDYAQYVVEEYNKFLEANKDKVQQVRAKTTHVPTVGPQRSITSSVPTKENQYQEYAPKIPVMKPSVSANSYSPSPKRKPLLPPVSSISPRNFNVAPGSAQKPSTSSGNPNIYKREPNTSSTKSPGQHARVSQLRSNEKLATQNGSRNGLQNSADAHREKLNMLMPETIPRKKGLKNTGNSCFFNATMQAFASSPSIVSRCNQLSRVTSMYSRVFNVEDDSIMKFKLNVFEGFLTMIQHLTRTPDIVSIQKLKEIRRSAGFLVGRFHTPEQQDVHEYLLLVIDCINDVIKDKVKSPPDVKSNESPVFVKALAGLNPMHAIQSITEKEYQCVNCSKKSVESVSNLIVQLAIDETRNGSIELGDLLDVYFATESLDKRCSSCNTEDAFVRERFVKFSPCIILNPLRTQHSGGEDGSRKDSRQINVPLKLDLTKFTSFAKVCKSDENLNLNGVGTPQISSSKITSTKNLMLNGGMKCSSPFKVLGGAKEPSEDDDIQFDNEIINNPFYFELISCPEELKKMARLLGIKYNKKFMEYHVSILKEKPSTDMKKNDYPDEKSVIDGDGNCFFRAISWFLTGTEGHHKQVRKVLVEYMKNNQSALEKHCTAPSYERHVRDMSKDATWATGCEIAAISKLLNVNIYTFLPSGWSLQQPQTGVASKKGSIYLNNLSEHYEPVTSLKKHGADSRDRRAHKRRCVRDGGEEGDAEISKNAESSSKNKTITPAKLPTKQKPTRSCNTEKKPKEADPSELCIYQLIAAICHIGNMKFGHYYAYTKNLNDDKWLKCDDHKITDVSVGEVIDAVSYRCHLLFYERQ >CRE29843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1391295:1391797:-1 gene:WBGene00059867 transcript:CRE29843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29843 MAHVESFYLDANLESDAATLPVTENDLMEAQFIADSPLNDDAITRIVGAQLKFERNSQVVSDYCVEAQQSMELENPNLVAMSSSILDNHKYFAPSQNTDASQYVWNPTDAVSSHHQYVAQSPNIYTNDYMTSEPSDYVYM >CRE29617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1390161:1391137:1 gene:WBGene00059868 transcript:CRE29617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29617 MSTARPMAPPPPAPKPGRVKVFRALYDFQARSDQELTFSEGDLMYVSDEQPNKDWFQASIGGKKGLVPANYVISENMEELPNPLHEAARRGNMDMLCECLRERVSVNSLDKSGATPLYWAAHGGHVTAVDTLLKDKKVAVSVQNKLGDTPLHAAAYKGHVECVRLLLTAAASPFIRNQDQKLPIDVTKDADIAALLDKAMKENRVNEEDYSEYLSDSDAD >CRE29616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1389054:1389909:1 gene:WBGene00059869 transcript:CRE29616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ska-1 MESFMDSLNTENIEKFSMFRENIPNFDATLTPITKSMNNIKVLLEDITNTRKKLVDPCEETCTSCSIDELYKFLGIEVTPVTNQMESCAQSSEKSNTTDKEEVKNKDELSKNVEKEIRIVAQISEQEFKTIPKYQLGRLTLDNLNEIVGKMDEFLTKKNNILSKTNRQLTRIDREMLDSWRELEIKAKGRLPTTLFFIENDIRPLLQERLRPSFAKAIPCLRHIRRVREERCGPLTFYYS >CRE29842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1388246:1388770:-1 gene:WBGene00059870 transcript:CRE29842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29842 MEEKDDYDVFIEEAENVIEHYTAGEDVQYELNRLLERALLVDPINAPCRDAEAINAYNLLKRAIDTESPINIPITPIIRQRQRSHSSNDHNNLNLPYIPDFLFSFVL >CRE29615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1385418:1388025:1 gene:WBGene00059871 transcript:CRE29615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-row-1 MFHNVCVIVHGCSAYILNNSERALTIGKKTSRRVTQPHISLFSSTLCVSRLEKRSPSLVVQPSPAHARSTDRFFRTFNHLVLELINLNIPFTDASKYHLVVSTMAEVITLSDDDDDIIDITPKPAPVVLRTSTSLNQVDNSLGATAHISTVSKINLEQFGIRTLANVLGRNQSSSQNAGFNPLQSYALPPVIAHTSFQNSIPRALKRPSPSPAVPNVSHQSTPSLRQRNSQNTTVPAVYNGHYMFEATEGYEPSRRSSRFSTKKLEGQFVCSFNAAKCYKLCDSVVGFINHLWSHIVYDPPFIPRKKKETQTQVRIPSNFHDTAALSKWSVLALKKIRTCEYCQAVFKTIYLMHQHRSKCHFDQDKTKTICNICEINFESTSILEAHKRKHISGEAPYKCKKCNYRTSVRAYFYEHFIERHINETLVCPICLYQEELRPTARRSKHIFVNSFVEHMQRHSTSAQYRCHMCSLTFNDRASLDYHRGQDHTLLDPLWQVHERPKIHEKVQVERMSNPKKISILQKKFLQTPEGHRISNEVACDEDEERQGYDLTCTGNTLFECECGFSSWNGNRAASHYHRCRKTIKCVFKDIERDKGLIRNDGDPKDELDIFAVYPQPTLQEEEAEALQEAALQKLHLKKDAPIKLRTDTIVYPEDEDFLLLKVFSTNKESDAIIARCIASIVTEDD >CRE29614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1378787:1383298:1 gene:WBGene00059872 transcript:CRE29614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mus-101 description:CRE-MUS-101 protein [Source:UniProtKB/TrEMBL;Acc:E3LW19] MSVPPAPKKSRRNESNMIEEDSVICDDDNDDSSCTMYFVKLPDGKPTEQEDENFLDAYNIAKDSGVMPEWMDSESLDRIQSSDDFFIVPCFRGKLFRRMLEKKFKIYGPPILRECIDEQKPLPLWNHPVFSSVFEGAKLTLTSFEPEKKLELYQKIGWMCGIASGDLYHETTHLLASRAEQTNKYKSAVSNSVKLMRKEWVEELWETSQTTMGKFSALSRDAVNSYRLRVFEGLEMAITSIDGTDRANLMQLVEEHGGKVYGNMSKPRCTHLISDKTSGKKYTKAVEWKTIKIVQTRWIRKCIDLGHLIDETKYHPKYLTAEHMRSSTPKKNTTVNESVPDVSAISGNGGRLCTSSFNVSSAMTPVDKSSRRQSGSYVSTTSTSIVASTTIMSTDITIGRTSAARKHDQLYDFSYRMFFHKYQVSSSTPLARQSSIPLARIPTTQSISTQDPADSALDPIDELRKLIDEGHSDLFEVSCIIYNCLFYICGVDEKRMEKWRRFLNETGATRAPKFESATNVVVIAPNQQERMALRKFMQQEDIAIVTAQWVVECVKRRTMVPADGFVWTENIMDESQWQSQNDDYAQTGMSQPNKTSSIEFIFANHTYGVHPSSFGRDDAKKLSENIRELGGQIERTLEKAEFVVFGHSATMHELLVYDTVVTDFYIDSCRTEGYRAGPKKHPLFVPLPKPPIQIFSHCRFFLICSLSSHSHLCKYVGAIIRTNGGAVIDEMDSKAYKIMITKGPIDEPQHRARTADYSWIIASVSRGSLLPINNYLYKENAEPLLNFQRDDDVWMKIQERDTTEELEQEIEDRHKVQDKPVQTREGKKTDLSTPYVNPYFPDLRKPYKMNLNLDNANEYIDNMESPARDTQESITNSRVGSILRKAVINTGRRTEKENEPATCQVLNSRVAMTENRRTVSSTPVLVREIDRAARYMAMDESFAEQNQEHEELNRQYAMQPRFLLSVSSMNPQEIQELQEAIKQLGGRIEKEYNRDVTHLIASNMQRTPKVLCSIAAGKWCLTPDYVTQSTASGRWIDEKRFEWSYDKLPKVSEKENHPKDRDNRKILEKLVSVCRLWRTKIEQMPITSSVSMESRVNGAFTGWRCVIHEEDKKTLQVASILEAGGAVVYYISEYIQISSIKPNRVFAFKDFNWNIQSAAMLKRDNMPLYIIEIIYEYLVDKDNLDCSKYLHSSYKRAC >CRE29612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1376539:1377583:1 gene:WBGene00059873 transcript:CRE29612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29612 MGESLVEQRQADDKASWDAYWKVRDVDSRGSIYPRFRYYAHKAFDAPATWFREKVVQPLNNRNRLPYYHRQLNRVPEIDECGVNDKACFYEANEQYRLDKMVDGFILQTLRQRVDRCMLYNNPDHSPCAKVIEDMEENELNFFIKYGEMGGEADVRDAYMKQKHRMIWERRHPEIMEERSAKLADHKERLANGEFDYSFWKKGMFYQDKKNYEPPYEFYMSKSPLEGDKPLSKDWQYYKNVSQDPEFDKEQGKKSDFRLF >CRE29611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1375480:1376344:1 gene:WBGene00059874 transcript:CRE29611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exos-3 description:CRE-EXOS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LW16] MFLKCITHLYLNQLILHYLLFHGNNHVNVSDMSIYLPGDVINEPSSSDSSIIGYGINLRGDKRLVTQPGALRSEEGKVWLSVHSKRYIPQEGDRVIGIVTSKTGDFFRLDIGTAEYAMINFTNFEGATKRNRPNLKTGDIIYATVFDSTPRTEAELTCVDDEKRARGMGQLNGGYMFKVSILKLDMDCYLYFVQVSLNHCRRLISPSCKILLTLGNFFKFEITVGMNGRIWINASTTDDIIKIHDILVKSELVTDEDELIAMVQNNYTRSV >CRE29841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1374434:1374958:-1 gene:WBGene00059875 transcript:CRE29841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-4 description:CRE-NLP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LW15] MKIILILLAMTAAQAAPLTDFSVYIKGTLLCHEEPYKNRNLEIFSEGERLRYEDDMDRFTKDNGEFSIKAWITTDAYMITPYVMIYHNCWEMKEVPHRCQRKILQPLMPPNVTVDSKTPNENKLYNFGVIDLQFKHPAEVEVHCG >CRE29839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1367559:1368911:-1 gene:WBGene00059876 transcript:CRE29839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-che-13 description:CRE-CHE-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LW12] MRRASDSAEGKVTEPGVPIDFTAAKLKSGAGENVIYILSALADAALVHVGFQWQKMIPPKEEDEDTAVDEQEDDDENEDISEEPTNFLDDDDDDNVIEIDLKAQGLVTESKNPLQSVLQSNTDSIAWKQEVERVAPQLKITLRQDAKDWRLHLEQMNSMHKTVEQKVGNISPFLETLTKDIGKTLERVSTREKSLNTQLSSMMSKFKRATDTRAEMREKYKAASVGVSSRTETLDRISDDIEQLKQQIEEQGAKSSDGAPLVKIKQAVSKLEEELQTMNVQIGVLEQSILNTYLRDHFNFSANLLNIL >CRE29610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1369259:1372072:1 gene:WBGene00059877 transcript:CRE29610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29610 MILESCGLIFKGKRFVRLFIFIVVCLGFLIAVTILAGLTIFDRQHNHILHDYVARNDDIVVLSTTYYENSKSFPSNTAVILFNSVQVFHLKYSTLNVVAETMQGNVEVQFKIQPVINTIPFFCKWVPYLAVGQVPEDHVLLKLSTNKKDGMELSLRTPFQTPRKVVACFSPLFLNERWQLLLATVEIYSHYGAFMHFYVRSMISDLFKLIKENKNSRIAPWPAIRIGESRAASPMFDPNTELEFRNQASAMTDCLLQYKESAEFVVFPDPDDILVPTLGKNYHEEFTQAFNMFPTAGAIVYNMTQTSIESSTTPALYSPISLLASIKFKGEQRWGKLVVRPERVDSTWIHRSYSIREGYEQKVMPVDVNAFYHLRIWKFPDFPTINRTKVSNPPYFDPYHLNATKRTIYKVSDGLKIQRKFKNRVSEGNMKAIYSRLPKVSLYYPLIEVCYNRIFYSMKDIGTCRGYSTVELSNQNETFSGPEYCNIPAFPGLRCTNVASEFVTYKSYRNIYIHQLISTDFEEGENGCTL >CRE29609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1363130:1366365:1 gene:WBGene00059878 transcript:CRE29609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-68 description:Dwarfin sma [Source:UniProtKB/TrEMBL;Acc:E3LW11] MDCGMWCVFSERRRHVERLWRRRLLVTSDERRARRRFLRLMRGFDAEDVEVIRKAVESDGIDSKACAPGPPMDERVEEDPPDEDSGLIPQIDRPMSMPYLCCKLWRWKDLQVDAALHRLEALPWCRFGRVTINNATVSCCNPYHYALWIRPETNGGDDDGSEDKNSSVHQNNHRSSLIGNGHITYRHRDHTHDAEISKLPKILAGELPDRPPPSVPTATPPIPDDSPPCTSRSSQDPDDLIHYHHHESNRSWAKMTRYERKEQIGDTVWLHGAFAAVGVLSKSVHDAQLECSPWDLKNEVSFALIRQADPIGSTNPEDVWLYNSGTRPLFLSMTPNVSSTKDTLRRLSPGYCIRVHRGEVSASAPASERTKVRRPSKDPALAQQNLVISVGKGWGPNYSRLYLTDIPCRYEVSFA >CRE29838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1351219:1352503:-1 gene:WBGene00059879 transcript:CRE29838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29838 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LW10] MRKGEYIQRDRKEKIRERNVETRGKVLGILRFGSKIVGNDDSIINESSLMKVLDKLCITLAVAKTTWIVFFFFTVQLPFDYERLDFFPFTLLRVLCGLWLTYSVPYHYLKARTAEPIQLPKRTPTGPNCSFCTSSKPRLTSHCRTCDTCVYRRDHHCPWMGQCIGIHNQANFFLFLFSVFLSTIFVLYVEYEFWMENVKIWIDKSASSTQWNSKVAGFSLSIIISLHLIMLSFVITYVFLVSGGFALLDMLFQKEGAQKLTLSKIHLRWRQYLAVRRDDSILRALFIPSDRVVRDFDFI >CRE29837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1349605:1350858:-1 gene:WBGene00059880 transcript:CRE29837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhhc-12 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LW09] MDACKLFNRFVYFLVEKSLGDVKKFDPFVLLKIVALWGGRICLFIFIASLYAVTYVIFYRIIPTEWSVCESVPYFVFRIILFLYVYYSLVFHYYKARSLEPVKNPGMPSDKFCINCNNWKGPSTSHCKACDRCIYRMDHHCPHIGQCVGAHNQSHFFLFLFYLLIGTGLFFLLAPTFWWEWIQTRKELMEIPKEMCWPPYCFNRFYYLIYRSYGKEDTLIKFCCFLFIMLHWIMWGFVGVYTWIISSGLTMAMRMFQKTDAESRKPFNWITVKARWRKYMNCQEQPLWKVFFIPISRRLVSYQDYKEMIM >CRE29834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1345203:1346794:-1 gene:WBGene00059881 transcript:CRE29834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29834 MFLDDLKTARKVSLAILLATIQLTVIAAFGARWTSGDTYVFVIGTHTFLPGVSPPYSTIIPYPNGADWWFGLTAIVMYLVLVLCFLYVAWTIVQIAMPDAIPFDLKMIVFVDVAFSGLLTIMLLIAYCFFAGGFGGKSGLVGIGYGYCFWLAIVSSISSFAVTIISGMSWYRNN >CRE29608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1343436:1344996:1 gene:WBGene00059882 transcript:CRE29608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tli-1 description:CRE-TLI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LW05] MNTETVAERRRKVLVGELPPHFLRLAVPVQQVAEPEVVQPRLVSFVPPNTRGRLSVTILEANLVKNYGLVRMDPYCRVRVGNVAFDTNVAANAGRSPTWNRTLNAYLPMNVESIYIQIFDEKAFGPDEVIAWAHVMLPLPIFNGDNLDEYFQLSGQQGEGKEGMIHLHFSFAPIDLPLQPEVQPEPEAAPVPLPVEITEEDTKEIQEMFPTVDKEVIKCILEERRGDKEAAVSAILEMTAAADTPTA >CRE29833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1335862:1338313:-1 gene:WBGene00059883 transcript:CRE29833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snx-27 MMQAYDYDSDESQTTSDEHINSRNSSHSDTASSSSSPPILFNPRPHVVKIVKSDTGFGFNVKGQVSEGGQLRSLNGELYAPLQHVSAVLHRGAADTAGLRKGDRILEVNGLHVEGAAHRNVVDMIKNGGNELTMIVVSVEDPDMDRFDYGEESSMAYGHDYTENRSLPVTIPSYNKVNDALERYTVFNIHMAGRQLGSRRYSEFVELHSALKRHFYDYSFPRLPGKRIFRLNEQELDQRRRGLEQYLEKICTIRVIAESDLVQKFLMECDPMCEVEIRLMLPNATAMSIRTRRSISASLFYTSAHRRLQMSREAAAACAIFELLDNSFERKVNESESVHELYTHNYSSASSSCLLFRKFLFDIDRERTLCKRDMMFKQFCFFQAIADLHSGKVITTRKNYQLKAIQNEENMDEFLEMARELEGYNQVTFPPSVCILRKRRQTVIMVIRFANLLLKCPEDGNEMEIEWDRIQDFRVCDEGTAFSFEYLRDSDESNGGENGEETRRKKSKYVKLETGFAEYMADCFAQIHTERQSGSDGKKDQTRIPVPRESAPEPPAPPETNETPPPTHKIQFEKRILEQHRDYID >CRE29607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1329919:1331924:1 gene:WBGene00059884 transcript:CRE29607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29607 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LW03] MNSAVSEDKWLVDQKFYHGFLPREDLPYVLKRKGDYIFRVTERKVGKETKRDIVLSVAWPTTPAPLLSAKDIKNFLIERNANSVWLESTVRLASLESLHNHYKNTDIVAQNKEKFKIIRPICLFSWEFKHSQIVLVKKVGQGAYGEVFHGKVKRGAKTFDAAIKAMRSDLEAADEKMKEVMAEARLMRSLNHPNIVRCRGIAVLEQPVYIVIDFITGGGLDSFLKKNGKTLSMDEKNKMAISAAWGIEYMHSNDIIHRDIAARNCLYDKKNLVKLSDFGLSRKGSVYKMKKAMKMPTKWLAPESLTTFTFSRASDTYTYGVLLYEIYTCQEPYFGVNAAEARRLVLSGAFPNFSKHSPPELTDIVRKCIYQLDPLKRASMKEVVKKLEAWLEVELVLDEDQKPEIEHPESNRNNVNSKSPAIEHPAPAGQMAPLPQPIPPPTSAPPPPIKREEEAVKKSMKRKEAKRDSSIETSNSKSKEVKPDGPLPVEPMSVANKMMSSAKRGSDELLLTPPDEETKPSSKETESDDQK >CRE29831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1319405:1320848:-1 gene:WBGene00059885 transcript:CRE29831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29831 MMENEDVLSSLVSKYYESDMFKIMLKSTIKECMKPLNEKVKALESRLRDLEDKLKVQKELEKAEQTPTPKSQLELNLATHLLNTIDISESFIISPVSIILAIHPFFKSASPQLRLKWAKLFLEGGTPDDMTEYFVDLLSVVRASVLWYEIKRIDGKENDPTIQHLYRNEGYHGLEENVFKDFLSTKLKFIEFESDEMIVNSINYNPIFDEIIHKFFTSKRTFYSTESSPQTMGFMEWRAHQHHFSEDDTFQMIEIQMRQHISLHIFLPKIRFGLRNALKNLKNGEKLYQLISTAEKKLVNIALPRFNINMETDLASFMRSIGIEKELYDTISEKVYGSIPSFVHKSQFELTFKKHSLEELLYNDDYVDDTDYTGLVHDSAPYCVYFPTKLEFLADHPFLFMLVKDSHVVYFGCYQ >CRE29829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1312829:1315141:-1 gene:WBGene00059886 transcript:CRE29829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29829 MRFTRSQTRRLAGQQKRELTANPIGIDVEDRNNVGESALMRSCMKNNNLEEFNELIKKGADLNSLDLTGNTILSVAVLNRQYDKLEALLEKGANPELESGREKNRMIHDILHMAFENPRLDSVVHQRFREGTLAMFKMALDWGVDMRARNKKGESGISLLNKLDKLKDENRDMVDEMKKCYEEKLKEANKRIREELNDDKDEGPSPKVAKQNEVEVEEDGIDVRGGVMDELFVTFEVEVENRIANGEKEEEEDEISDEEKKRLEEEGMNKMVEEALAEKKDKKEMEEDEEEDYEKEENFGDRIEGKQEEEKKLEQGIEEVEEENEEIDEDEQEQEEKQNNKNEEEENIFEENQEEHLEEGPIEVEQRGEELEQEQGDGPEDRVVQVDQMEYPNCPIEIPLEIPQAEPHADYSGGVQHLLHHPNLLIGAAGVEQIVPEQNLCGLNIQALHALLSNNCNQFLLQPIALPPVPVAPPSVQYIFPPMEMNLFNLPVAPLIPPTPQPLNPQYNFIPTMAFANNGPMVNLQREPIVEVPPDYSNLFNMDEFNRIYYNYRN >CRE29828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1311270:1312328:-1 gene:WBGene00059887 transcript:CRE29828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29828 MDKVAAPNNDEARVEIPPPIAVPQEKSSAMEKVSIPVEREKNIGERKTGYEYSIIVINYKPGLKFGLGIKNVYKNVFVIKAEENSLVTGLFNVADRIIDVDGEPVHENQKCKVLLVKALKEKKIASLLIERGITEKALKDATDEMNEEHNQSVMAPPDVRSIMRKLEAHRHLRKSPSLESAAVPGSAVPSALTKNKDGKVERKACILEDGHKSVIIRMDNEENRDKLKKVEPKEPRKVEPKVESKTPPKQEKQEVKPEPKPEATPETKP >CRE29605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1307951:1310931:1 gene:WBGene00059888 transcript:CRE29605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hcp-4 description:CRE-HCP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LVZ6] MERKHGWRSTIVPGRKAITQIAALHDAGVTEDMSYLNEKSVLDESSNLNDTHDDDEERALRKKGFSEREINKRLQGQRVEKLAKAHGRLEEQMLGAKTFKELMEKHEYSERESDDENDTAENITITNKPVFAIPALPKHLSEKSMMGSPVAGTKGSGKAGLSCSTPKSGKDVSMRSLRVLDISHVVNTDQLDYDKVAVHNKNVLIPIVENTEQSSAKMGETFTVRDDQDDNQKHGHVSANEASLQKTFTVDPRTEGDKSESALQKTFTLHPRAEGDKSESSLQKTFTVPGGDQDSTRHSSLQNTFVKSGSNDSLLSNNGKRAQDYTKKIDESVNADQSGSVIDSSKLAGNCISNELIDRTITENTVEGGEQRRRSNKVGVQERERRHADLNSSLMKSMIEEVPSPGANYFKNPRKKLRPTVEVPPKIISRLSVESDKEKTIEMLSMVEEVSMEAESNGPSFVDPLSVNGSRISPIPEVDKLTNPTNVTPKSNRRNLPIRGNSMETVRVAQANDVSSVITPKLNYLKPTISSLRKHVNEPECDDTLFGTRRDRCTPGKSATTAKTVVQDAPIIEKTPVTGEGVTVKNDQRNDASNRDLSTGLPEETNRPSFNLELEVGDMSIRPSPKRLSANLDSVEPADFDLDLPTVRIENQAGPSNQRSSRNRAALLSDSIATVNTPGYNRTARCRVMNNTNVEESWQSDEDDVIISRRNPGKNVGLQLKKREIIQPDTNNGNRRSTRNRVKPLRSWLGEKAVYKNSPSGGKRLTGVTDVVIKDKRLCKYRTADLKLATEREQRAKAHKKELAARKREQLLRDQQAGRRMDESHYDIHTDDEE >CRE29827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1306413:1307323:-1 gene:WBGene00059889 transcript:CRE29827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29827 MKYQVETQALPYSTIILHCLKYPSKGVFGLLIGNKKGDKVTVTGCVPLSHESTPLAPPLELATALVHGKFGVSLVGVYFSNSNPSDASLNMYATRLADRITSVTSSPAILVQVMNERLVSDCDQDRLVAYEKDGDSWKETKTIFQGSNFLRGLQAVIQKKLYRELADFENHLDNPEFDFYNTNLSNKLVQVAEFRT >CRE29604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1305567:1306344:1 gene:WBGene00059890 transcript:CRE29604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndk-1 description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:E3LVZ4] MGAGWLLASLAFVAVAFAVDTCSKSDCPKMSNTERTFIAVKPDGVHRGLVGKIIARFEERGFKLVALKQLTASKAHLEVHYQDLKDKPFFPSLIEYMSSGPIVAMVWQGLDVVKQGRVMLGATNPLASAPGTIRGDFAIQTGRNICHGSDAVDSANREIAHWFKQEEINDYASPFINSWVYE >CRE29826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1302221:1305110:-1 gene:WBGene00059891 transcript:CRE29826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29826 MENEVVLPTNGVLKKWTNYVNGWQERYFEITEGNLVYYKSKAEKTHGCRGSIFLKNAIIAAHEFDENEFSISMGENVVWYLKAENSQSKLLWMRSVVRETVQNDSDYSSTSTKSHSRNPSVSSALVSINQKADDNESTKLFSTKLSELEAYRTMCKDQMTSIERLLEQGGASCIVPQATILSVKATHLALIVNINHIVDLVKTSKIVIPETTSSTSATPPSSSTPPPQREPLCATRSVSSSGLRRESTVDQEYMSDDNSTMTASTILARPDIDVTSDCDEFFDADEFDVDSKNGDASEKKTEETVDTTFEDKENGNTVVEDRIPKKPSFRIEGNPPTGHYDDLLVSQEHTLFSTIDKLAVEQLKYALAGVEDNVWSLFAEDGKMRMYTRQIEDEGGLPVDPLKATHSVDGVTALEFMHYFYDARYKMLWDHTLEAMSVVEHISPDSVVLHQKHKTVWPAAPRESLFVSHIRRVDEHKRDGAHDLYIVCNTDVKREDVPLSSSSAVRVGLTVSMICETIVKDPHVDRKLSRDDIKCNIIYVSQVHPGGWVPVAALRHVYKKEYPKFLRTFTEFVKKNVNGKPISI >CRE29825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1300427:1301812:-1 gene:WBGene00059892 transcript:CRE29825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29825 MRTFQRYSIGLLIFVVFIIIFEELNTGKGRIKLSNLYNHEVIRNITVSRQGRKENIGIVIVLNEVEDEKEYQMALDTVRCYGRYFEYDVHVIHAEKEDVIKEKCQQKDFMFRRHCILSLKMLSISNTWLLFLDGDMGVINPNHLIEDYLSVDRNTQIVFYNRIMNHEVMAGSYLVRNSHWSRRFLMFWANFEKKLPRSFHGSDNGAIHSVILNQALPSIKPKLDICENEFWIKSTDYESLSTYEVCAQELIKNVSIPEIKILPKGRFAWARDGWLTDSIWSDTDFIFHGWQIKRKDKLIFGRWHSPLVYVDPWNLTTCSDKEAWKNWKYRDTSMARIVDVDRKIFEKIQEERKNYEEHLQIISDHLQFE >CRE29824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1294349:1296534:-1 gene:WBGene00059893 transcript:CRE29824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-25 description:CRE-UBC-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LVZ1] MACLRKLKEDIQVLEKLFPKKHNRFQILSASVDELSMKFITADNKGIIVTANIQENYPRQPPIWFSESDDVPVIGASLQRLTETEESTNILHQVHRLVSDLCSFYGVQVPCELPQIAPPARDDIDEGRGSDMSDSASEVIAIDDDMAGDVEEDDVEEEEEEEDDEDAEGDIEIVEMADEDPTSQQDIGVSKAGLDMLDKVSRVNRQQHLDGRVTGSITATDRLMKEIRDIHRSEHYKNGVYTFELEKEENLYQWWVKLHKVDEDSPLFEDMKKLKAEQNQDHLLFSFTFNETFPCEPPFVRVVAPHITNGFVLGGGAICMELLTKQGWSSAYSIESCILQIAATLVKGRARISFDPKHTTTYSMARAQQSFKSLQQIHAKSGWYTPPKTEG >CRE29823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1291767:1292654:-1 gene:WBGene00059894 transcript:CRE29823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pas-5 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:E3LVZ0] MFLTRSEYDRGVNTFSPEGRLFQVEYAIEAVKLGSTSIGIKTSEGVLLAAEKRSTSKLMVNDAIEKISKVDQHIGEFRRYKFENCVTFAGLIADSRTLVERAQIEAQNFWFTYNRKIRVEDVTQSVANLALQFGDDDVKASMSRPFGVAMLFAGVDQEGAKLFHLDPSGTFIDCKAKSIGAASDGAEQNLKEQYHDALTIKEALKMALAILKQVMEEKLNSANVEVVVIKPAVDGKGRPIGEFTRVSNEELDTVITSL >CRE29822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1289381:1290493:-1 gene:WBGene00059895 transcript:CRE29822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rla-0 description:CRE-RPA-0 protein [Source:UniProtKB/TrEMBL;Acc:E3LVY9] MVREDRSTWKANYFVKLIELFEEYPKCLLVGVDNVGSKQMQEIRQAMRGHAEILMGKNTMIRKALRGHLGKNPSLEKLLPHIVENVGFVFTKEDLGEIRSKLLENRKGAPAKAGAIAPCDVKLPPQNTGMGPEKTSFFQALQIPTKIARGTIEILNEVHLIKEGDKVGASESALLNMLGVTPFSYGLVVRQVYDDGTLYTPEVLDMTTEELRKRFLSGVRNVASVSLAIKYPTLASVAHSLASGLQNMLGVAAVTDVSFKEAETIKAYIADPSKFASAAPAAAAAPAAAAPAAKKEEPKEESDDDMGFGLFD >CRE29820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1286504:1288407:-1 gene:WBGene00059896 transcript:CRE29820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29820 MIPSLYHIDCNKNINYCLPRIWCRFSNLTQNNNFQMINVTEFCRLVTDHEQILLCKGVDFFATRVWDKLITEWKMSLNSSTAEELISFANSTHKELECRFPDSLKELKSNLKRLEYSRKFVQSPDKLWEKWTSRKPAASLQTEFRTITSNELLRKKIKLKKQHEIDRIIELISQIQTFMDHESGSQNTIDSVIDIGAGVGHLSRMISLVNKLAVMAVEGNHQFTLSAHNLDEKLLQDSNINLTTTPMRFTSFVTEEMATKVDDFAQNSAIIVGLHCCGDFSSTILKVFLKSRKARALVLFGCCYHKEFQCFHFLNPDNKDAQTLNDTKLQTSTVFPLSRKWKGCDLSYNHREIACHNNENMASRFGKTSADSSRYARAHLEKWIWEVSDSPSDRNIGMCSVKCVENKTTFEEYIRKALAKRGNHLLDKVLRIIPKSELSSFVSSFKSSQFDSFDVLRLMFAPAIESAIIDDRISFLQENGVNAQCIPLFDPTISPRNLAIIAYKHC >CRE29818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1281062:1282939:-1 gene:WBGene00059897 transcript:CRE29818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rtel-1 description:CRE-RTEL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVY4] MRMLKMRGVRNVLLASGTLSPIQAFTYNMGLNFGAILENEHALKQVPVLTSIVTRGKHGGLTGSFQNRKNVNYVSDVAEALIRVMEATPQGILVFFSSYSQMDELVATWKTMKSAANSTDTFWARMEKSKRVVVEPRAKEELAAVRLKYTQGVSEPHGAALLAVCRGKVSEGIDFCDAESRAVIIVGIPYPPIHDERVVLKKKYLDDLMGRKDVTHEKQSSTDWYQMEAFRAVNQAIGRVLRHKNDFGTVVLLDTRYATAKPEMFPKWLRSTISRMDSDNCALKTARFFKERCHLIEVSSFLKILFNFEYFQNSKVDYIKKQAKQCKSFSQAKKSFPSNPRDDITEITLEDMFSPANMMLEKKESNKLMSPSVKPSLSSVFSLPTNEDELKIKKWEQENDSQSTTSSVSDSNKRKFKTEIPVSSSQVSNQRSEPPKKKKLILLTRETLPEKYQKALDIPTSELTKGVSMSYENQKQFVATLKGYKATSIEWEEVFQRLRPIFVPEKPELFISCSNILRSEDKMKYLRKALSSKIY >CRE29603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1283406:1284866:1 gene:WBGene00059898 transcript:CRE29603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29603 MQQSSEQRSFDVIDANEEEDINRVSMYSPDPSPINSPNISSMPSSHFVPVTVCFELENVTQFLNSINTNRNMVITSVKRELMSCFMPGDQISSFNGISIWNRFQLDKELLNVQKLDFQKTKVTFVVIRAWNISCLTRSQLDRLSPPPDDRLHYFSVKVYCNNRAAGLYLRSEKKRLLVNHIRSKTAISFGLLVGDQLLGINDEMLVGNQTKALYKQAKNLMLKSRKMEFIEMIACRPVLVRSSPAPSVDGELAMKATLKNAADLVNKIEKKANEDLASLPLEADALEIALRELTLLQQWIKIDKPLPYCEKGGSLLRFPSFSADQSTCPTERSECGTSSTTASSTMAPPTPDVPKKRGMIFRRRQQQTIGFTELAETSKVTSDISEEAELKKCDPRSGIVSYIKNAFNN >CRE29817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1278246:1279355:-1 gene:WBGene00059899 transcript:CRE29817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29817 MVTFKDHITGDLLMESDNGKFELVEELFFKFPGNYIEVETASEGRKTVIDVIWKYKLKKFDMWRVEKDIFDFGEKLGELVETKMYGDNADFTYMIDYIFNLRAWFRANRETKDIKVFMGPSSTYMNHAYAKNYTPVFVKEENGIPFIYIFKETVHADGLIEDRISRMTLKETEDFPGQPSQNTENVSDDEDDEKEEKEKKQSENIEKKEENIPAMKSETAAQ >CRE29602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1277026:1277416:1 gene:WBGene00059900 transcript:CRE29602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-12.1 description:CRE-HSP-12.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVY2] MHTIPITTDSAASWDWPLQHHDGVVKVTNTSEKFEVGLDAGFFGPSDIDVKVNGLEIIIHLRHEDRQTEYGVVNREIHRTYKLPDDVDPSTVKSHLNSAGVLTITAKKI >CRE29816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1270920:1272804:-1 gene:WBGene00059901 transcript:CRE29816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lst-1 description:CRE-LST-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVY1] MSSTFFPLQHHQELQCSCNNDSKSYFKPQREVPKQLLQLRSQVKPLIPRDRQSNLWNHRSGRVAINRSPSPQPRTNHTQKLHLAYIEKDKRVIPMIPQHHQYTAFDRPVQYQSRKMTFSGPPLMRTPSSGFSSASSSENMLSGLSLCDNEHQKVQEIMDPSVEVDLDMFLLPDARYKQPVQPSASTSRGNLSQISGQSQIGGSMRHIAPSKSAMPSSFADYSYANVKRSNGYTDYMPTTYSANTVSQPSTSSVPISPRSCVRCQFCWESYVKLCQRVTSLEPLVSCDGPWHWHNLYDAQGRVSCPRLWFTQLDRAGSDMLQQMANSRKAAF >CRE29815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1268079:1270599:-1 gene:WBGene00059902 transcript:CRE29815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-18 description:CRE-SET-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LVY0] MAVKKNKNVPKSVPKEEDPLVQKDDISSIHARIKIFETPFATQVSNPKVNEFCANCMRGPAPGEKLLRCGGCNFSMYCSKECQATAWLVHKPECRRLKASFPNLPLTEVLFLSKIIDRIQFLEKNGDKLGVESARKFSSLVDHKVDIREDEEKMKHFEKVFTKMGLFRGDEMIEKGQFFDVFCKASINSHSIHTNAGNEVGMALDLGVSMYNHSCRPTCSMVFDGYRVCLRPLVPGVDAESTEQAFISYIDVGRSKYVRRKDLKSRWYFDCECTRCMDPEDDALTAIRCANPACDAPVLTSETEEPMNIACDKCKTIIEENDVKKAQEFMKSLPASFDPQCPADILQDLLSKAEVVLHPSNVYVARLRTALFHVTGQLTMDNLSTMHTQIYNNYKLCFPKADRHVGFQLLHIVKALIEKDERDEAMPYAFDAMNIFEVCFGLDHPYYLQTLALWTYLEKKLPKTKEELVQLTNFSDNRPIDIVSLLKRANMLPPPPYAAASSVQPVQ >CRE29814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1265203:1267914:-1 gene:WBGene00059903 transcript:CRE29814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29814 MTTKDFERIDSLLKLCEEHQKNLRASLQKTTQRVMEVKTQMNIRCTQIWGKLEHIRERFDKIHRSNQSVRNELKDEKQHFSELKFQFLDKIKEKLDEINAQEMEANRENDEFRRKAVFIRRQRRKHGLIRSIGSSIYNYGISILTFIGWYKPVKKAPKETKKQQGLPVTTLESFPGKFTKVSVSDDCETNPESEKIFGQRVNFYEDDTGNVFCFRKKEGGIRMSSTSRSFEDPRFMCSKGPMSWYRGRRNMDFKDRPCLFWSNFPNSTFDISDSSSSSYSMKRILPDEYENFCRNPDKNPLGPWCHVAGGLKVPCFEPCRPSTETSSDFVCLNRDGFPYTEYEMSDVLDLPQLIGVFENVQLMYESRFVLPVEVDRLSTKRFEILEITINMNIKFCSCINKGNIATRFGPWIAVLNEIAKDFLKTIGRRVLRDLCAPINSVELSTRYQGILYDAIIEDEFTVSGCSFWRRCFSSCQDDISTCWLKNQTSYFGSKTTSTSGKGCLPWTQVSSEILKMTKGNVTSSDNYRLYNDLLFEDSNKFFVESRLFMNTESSCMLLNRRNSTEMDKSYIENPYFSKEKWNDEFKKMFQQGPGCFVKKNKTIEFELCYSGCEKHPKVQLTKPLCLEKNKYSICKPKRGEDWLKRGRKVE >CRE29813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1261932:1264912:-1 gene:WBGene00059904 transcript:CRE29813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pash-1 description:CRE-PASH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVX8] MEPESGGVNQQLLAEREAILKQLALLGSGSEDEGDGDEEEEAKKVKFEVKEVKVEVKEEDNIKVEIVETEVGYSEPVLFNSSPDSIQSSTALEEEEEIISDRKTPIDCDEREKREADSSNTSSENSRTPPVAVEKTVLDRVDFDKSYPLPDGWTRIAHYSGMPVYYHKFTQVVTHSRPYQVDGMVRDHEIPVSAIPCLYREVMDKKYEDLKNHRTENSENCDEKEKRCPIEIPPNESKMNPEQYRDYCARRFKFKKVTVHRYIDKEGKQSAAQKRRVNSMLKNKGFELDYDQLKQKNQPGEVLLTSASGACLIDLTPIQPNLSLKKGSGPKKPYLLNPMGKTSVAVLNEFVQRLAKGTLVYEVENTRNVSAPYKATALLTMKMSTIRDLAGQCKESLIVLSEIATASENVPAPGIADDFKRFEIGSGNGSNKKTARLVAAKDALSKLIPKLRMSEEYVCDGVLEQELQKDFETSSKVLLKQVKIDSSGLVEMCNRFGIPKPFALLKEVVARSMRWSGVEFKKEREMVGSGSQLSRVILSLGEMKSSAEAIGMKQANEIAAQRLFKQMHPDMESYGNLLKVYGHLVDKTPLENSKRQHDEVVRLQDTGNLLQPNLTVIAKLTEEMEKVTLIHPPRNFLYGLSPNATGVRTNKASMDTQTEILNYNFIPHMNPNPIMIPPPYFHVPPQMQPPMQPLLHMPMVHSPQFSAAPPPVQDYGIYQSPQYQSPQYQQRNARKRSRPDETLSPCSYSMHQKPPYN >CRE29812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1249292:1259898:-1 gene:WBGene00059905 transcript:CRE29812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lam-3 description:CRE-LAM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LVX7] MRRLWLGLLAVSSVVLAAWNASDDASIWENYVEDSNYHEFMSTESERGLFPNIFNLATNSLIMSTATCGQYSTEEYCKLVEHVLLRKTTNTQSPQCDICDANNVHKRHPIEYAIDGTRRWWQSPSLANGLDYEKVNITIDLRQEYQVAYVIIKMGNAPRPGTWVLEKSLDGENYEPWQYFAMQDAECMRQFGIPATTGVPRFQRDDEVHCTSEYSKITPLENGEIHTSLVNGRPGAEKPSLELQKFTRARFVRLRLVSPRTLNADLMIINKKSHSLDKSVTMRYFYSISDISIGGQCICYGHAESCPSDPVTGQFKCECRHNTCGESCNRCCPLFNQLPWKPGTNSHPNVCQQCQCFNHAHTCVYDEELDRNKWSITPEGVYEGGGRCLECTHNTEGFNCERCKDGYYRPSGMSHYREDACRTCECDPVGSVSDACVRDDQSAENGQKPGDCICKPGFGGRRCERCAPGYRNHPTCEPCPCNRAGSVNFDTCDGASCQCKANVEGIYCDRCKAGTIHLSASNQLGCQACFCFGLTNNCTQTEWNTAQITNNVGWNLTDLTGGKDVKPEVENGEVLMFNANQNQDRSLYYWKAPESFKGNMLNSYGGYLHYYVYYVPTEQGAQVPVPDVSIEGNGIKVEYYSRIEFLPRENMTVTIPIREGNGWYNAATRNAVDKADLMRALAHVDKFMVRAMYQQLQLQSSIFGLSLDTAVPAPDEIIEDDNSLSALSYHNQDTLMRGVEVCQCPENFGGNSCESCKKGYRRVNDQLYGGRCEKCNCEGHSDECDPFTGECINCQHNTTGSRCERCQPGFYGNPSLGGELGACWPCECPSLDNNRSPECMMTELIVSGAAAANEDDYVCTACERGYEGNKCEHCSDGFFGDPMNGTCEECTCNGNIDPMGIGNCDTETGKCLKCIGHTTGDSCEVCKENHWGNAQLHTCKPCGCHTQGAVNPQCNEENGECECKENYIGTQCDRCKENHGDVDNGCPACDCNETGSIGTDCDQVSGQCNCKQGVFGKQCDQCRPSYFNFTDAGCQFCHCNIYGSIEDGKCDQVSGKCECRENVEGTMCEKCADGYFNITSGDGCEDCNCDPTGSEDVSCNLVTGQCVCKPGVTGTKCDKCLPNFYGLTNEGCSECEPCPAPGQVCDPLDGSCVCPPNTVGEMCENCTTNAWDYHPLKGCKLCDCSDIGSDGGMCNTLTGQCKCKQAYVGLKCDLCTHGFFNFPTCEPCGCNAAGTDPLQCKDGQCLCNELGECPCKKNVRGQKCDECAEGTISLDASDLKGCTECFCFNRTATCEQSSLVWQQMYAEDRRAVFQEPWEFYSKKHNINLLRVSFNLPFFQCNFIFQSSPSHFNSYPTDATPLYWPLPTTMLGDRSTSYNGFLRFKIWNEDNRRGLHGIRPDQQYFRYFPQVIIVGNNRIELEHIPTEINEDGIYKIRIHESEWRARHSPELSLTRKQLMVALQNVQGIYVRGSYNYPARGDVINIQEISLDVAVPESKIVAGLSTSKAIGVEKCSGCPQGYSGLSCQNPDEGYCRKKHRDYLNQADDLALIGWSEPCSCHGHSQTCNPDTCVCTDCEHNTFGDFCEHCLPGYIGDAREGGANACTKCACPLVENSFSDSCVAVDHGRGYVCNACKPGYTGQYCETCVAGYYGDPQHIGGTCTPCDCHPDGSLHGACNPLTGQCECKPGVTGRTCSMCQERHAFINRVCTSCDQGCYLPLMMTMDDMEEHLGRQNFTGLKPIPWKRVWRIGNESQDLAAFVGGIDKDGEIVKDSKWAKDAFGLLDEVNFQTGRSNKSAVSIKQFTDIAEKLILDAQIYYANAFNTTNFLKMFAEHGATTVGGAALDGMLMEAEAHLNATVERGEYIEKRHNRAQQEHQKAEELLKLVTAQKLNETIFEDLKNRIDVLEQWMNDYRETIYDIAKKDTADAERMSNVVGKRIDRYKEVSNEIEKIRVEAEDQIAFSRNAIEKARSEELMNMVEDKEKINMTLTELPELVEKCQNITLLYSQLIDEYDEEYVQASNKHASELEIQAQKIVDRFTETRTETENPLKASHAYENIVEALKNATEAVDSAAAASEAVSKMLAYDSGDGNEESLASQLARLRNGSSVDDVDTKSAAEQVEQLRKERKELMDRLGYVNEMKIDIVKRLGVIKNEASSWDDKHDRMHSVLKNGAKTAHERSANVKKESEAIKNEITAVKAEVEKLMNSTSSGVQEDMEKIRSSRTGMEYGAQRLSKVGKLSTANQGRADKMARNIALLKDKIEQAREKAYQIRLSLNSGERGLCKRSYISPASPSPINSFHISYRPLQRVSDAVVLVSKTKGRRTQASEYVAVEIRDQRVVVHWDIGSGKKMITNSHPINYVPSNDRVTWYHIDILRIGNALNLTVALKESYDGGFKPRGAPVSVFVGNSNDDNSIFNTIPGETTVDVGTDEPTASDIGLITHKFSGIVGGLRIDEVPLPLWSFESTTGECEGATAPPKTSQRGYLFRDGFAEVSMMVSERTMSAITVIFNAFSPNGLLYFRGSENSGDFVAIYLNDGKVIFKINLGGGSTAELASQNVYNDGKEHTVKAIRTGSEMYLQVDSDADRFNTVITGENTALNIDNEQHYVAGVPMTLNKEKFSDYSINWNGFIGCILTVKPSQVGELDLDHPEHSQGKSDGCSFETSTDKTIGFPKPGFLITNGVSIDNNSTFGFSFRTREENGTLIYQSSKLQKVTKRDTESDGQGYMAFYLFRGYLVLHFGKDASSRKEVVTFRSTHAYNDGQVHAVFMSRSGKTISVKVDDKEIGESQTLPDESPVGTSSGRLILGGFSDDLKPPNNEIPITSFFIGCISDVFLNMKRVSLTPEKHNAQIGMCTLDESNGMVPIDDPIDGDGHNGHRKASKLSFEATNRNYYEVSTQASHLVMNPNGNEESQPTTGEKTCETSIGSLRGAVRYGLSKSSHSRINFEAPYPNITDFTVKLSLQTESSNGMIWAWANYKNYTRYIFLDLIDGFATLEVKGHKQAKILKHLGRRLNDGQWHDVVVEKRNRSLKLRIDSLESVEMTDCPTPKVMKKRVYVGGVISRHRRQFGLTTPGFDGCIRDFEMNNKIYDNLDEPSISKNVMPCAKACKLKRSSKSKRKRDSQ >CRE29600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1243782:1247188:1 gene:WBGene00059906 transcript:CRE29600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29600 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LVX5] MSTSQGSSHLVPIEKSTYYHGFLPREDVKMVLKTNGEFLIRISEPRKGEPRSHILSVFHNQPPNIDEETWIKHFVIKCNDNKVFIEKNAFPSIQELVDHHLKTKDSVKDDVFLIKALPRQSWELDHENIEIIKKLGEGAFGEVSMGKLKFRKGGKTVNVAIKQAKLSNLTKDQIKEFMGEARIMRQFGHPHVVRFYGVAATTEPLYMVMELATNGALDSYLKKNPDLSIEKKNEMILQAAWGLEYLHSKPVMHRDIAARNCLYGDGRVKISDFGLTRHGIAYQADPHKKAPIRWLAVEVIRQRLFSLKSDVWAYAIMSWEIYNNGIEPYPGMMVAEVAQKVLTGYRMELPAELNQEIKNLIARAWAENENERPSMAEIAMELQRITGTVRPDFVAMEAQIKKELIMANNLTHKSHARKNKGAKAKMPKGINSIPNSNDTLFYVPRVRISFKDLERCLVVIYTSVERFLRLEFLSKFGEVIVHRLESSYDLDDITAEKVTDTSPIVLASCVLDSVLIDQHALVGTLEIEFSNSDKRIQTKILKEMSKTFQLDDGQMKNVLQTRKIMYNCLADVDIVSSFHEHIIDMKVLKEIAIEGIFFRLESVSIRKVFDSTEFLPKNKIQSCTKLEMSGYQTGDMKLCLRNLPQNGISTCRLLENSEFHRWDTLNAPVTRLNNTVQMKRVVLEPKKRFVVRWNKSKCGVWFHMVHVNDENDYLEFFENETCGLRYYCKKCSDPFDHWFYRNIPRRVYYEPQWTDIGYRPSSKQDMSLFLRTVRSLREMIREEVKKELADSSHGVEELTEDDFDDDDDDDDENDEDDENDEGGVDDKEDDDDKDDAVDDEDEKFDFSLNLQEKGLIVFVIVLPIVLGILILYVYF >CRE29811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1242023:1242905:-1 gene:WBGene00059907 transcript:CRE29811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mafr-1 description:Repressor of RNA polymerase III transcription MAF1 [Source:UniProtKB/TrEMBL;Acc:E3LVX4] MKFLESSEMDVFSQTLVTGAIDCVIDFKLETYSSKMVTSEKKQWKSNDKSVIWDERQPLGSYEETVMSASPSVGHNHRLRHLSERSCSGGSDNDFDNNDYLIKDSISRKRLYDLTQVLNCSFPDHDFTNANSEAFALVNYTDLSRLVDMKLETIVRDYHIRREELWGLIDDAIVPGDCQIYRFTSEFYKYCVISNLCSFKSQFEDDPFTEDGCIWALAFIFYNKALKRFVILTIRCLSKQADTSIEIFPDFSEDEAEPHEK >CRE29810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1241024:1241586:-1 gene:WBGene00059908 transcript:CRE29810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arch-1 MPSTSMTEDRSEIERRRFEYLDHPADIQLHSWGSKIEEAFEACLVSMFGYMTDLAKVEEQYEFYWKASGDSMDGLLFQFLDEALNSFHAEPCFVAKRVEILRFDKEKFEIEFRGWGESFDTSKHETEADIKSPTYSNMQIIEKLDRCDVYVIVDI >CRE29809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1238517:1240871:-1 gene:WBGene00059909 transcript:CRE29809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29809 MGIDVKKKVLKRKLKQMKDGHEKKKAKEVVEEQPMEEDEEDDVEEQKAESEETSGTKVSEFLTKTTFASLEGKVNATLLKAVQGLGFTTMTEIQAKSIDPLLEVSHFPSLSINFICFSSSFDNFFQGKDVLASAKTGSGKTLAFLIPAIELLHKLNWKQHNGTGIIIVSPTRELSMQTYGVLAELLEGSNLTYGLVMGGSNRSAEKDKLGKGVSILVATPGRLLDHLQNTDNFLVRNLKCLIIDEADRILDIGFEIEMQQVLRHLPKQRQSMLFSATHSPKVDELVKLALHSNPVRVSVNEKAEEATVEGLQQGYIVAPSDKRLLLLFTFLKKNKTKKVMVFFSSCNSVKFHHELLNYIDIPCMSIHGKQKQQKRTTTFFQFCQAESGILLCTDVAARGLDIPAVDWIVQYDPPDEPREYIHRVGRTARGTNGSGKALLVLRPEELGFLRYLKAAKVTLNEFEFSWNKVIFKLAKTDPYFVANIQSQLENLISKNYYLNKSAKEAYKCYLRAYDSHSLKDIFDVTNMDLTAVSKSFGFSVPPFVDLPISNKPKVEVRSKLSGAGYRKKKPSFTFKTKK >CRE29808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1236764:1238077:-1 gene:WBGene00059910 transcript:CRE29808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-14 description:CRE-CUTL-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LVX1] MIFFYLPALFLFCHQISAIPIPNGLLGNVEVECTDTTIEAVFLTESNFLGRVFVLGHSQDKECVSREIGRRTTSITVPRDKCGVETVQHGKGAGYTSSVNIVISFHDKFLTKVDRAYNITCLFAPTGDVVSYALTVQPSLLKDIQVLADQPNCEYEVFDVRTRRPAEVVHVNAPLEHVWTCDGANLDLFCMRVHDCVINEGKSKRRSRIIDSEGCSLDTSRLPQLRYDNNKLSARVMSKAFRFGDDVAVEFECNVRLDLRNGTSCPRPRC >CRE29599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1235436:1236529:1 gene:WBGene00059911 transcript:CRE29599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29599 MEEADEKSKFQVPSWAVKPPDGAHLDVYKGDALIQKLLIDDKKAYYFGRNNKQVDFAVEHASCSRVHALLLYHGLLQRFALIDMDSSHGTFLGNVRLRPLEVVFMDPGAQFHLGASTRKYAVRLKTEHHIEDDPTVAASEEQLDHQTSYNTAQNRRIPQLPISVEEARRKKRPRGNVAFLEEEEVINPEDVDPTVGRFRNLVTTAIISTNPNKRPGDPKRLEPPRKIVRPGREMITAPLSSTFGPMALNAAPDLELYGKTMPESRHHQYVTATAAEEDLEDHHKKRYAKEAWPGRKPGTGIF >CRE29598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1234394:1235085:1 gene:WBGene00059912 transcript:CRE29598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29598 MRTLHKISTDEISVKQGGFFLKVTNLSKNTNNVCFRIGKDISLSFMFIAFENPRMTDGLVPPPTLKWNLETIATCVAIILVMVVNIIGLVFTIFWCLRSKEDGIELGINGAPVNQFVEPNIRMKLKLPKVRGDAVLDSTQDVFELRVPSNGNQFNACHEQTVIVARNKRRRTYSVPLESRPRTQSTGSHQLTATEV >CRE29807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1231697:1233572:-1 gene:WBGene00059913 transcript:CRE29807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29807 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3LVW8] MFARRRSGVHRTAIVSPKNIARRTTMRASALPRPTEPLPNTLTTGRHSTLGHTGCPTLRAPIGSHPRVSTAAKPTPTTSRAGSTSSARSTTLRSSSVANKTIAAPTARTSNMARAVPVSSRLPSEVASLKSEITKLKEELKTRVEQIKLTNEVLEMQKTNLTHSNAQLENAKIKLDLVENCKITLEEQLKVLKVNMQQKTAHNEEMCQQLNEKEATLRKLHNDVVDMRGQIRVAVRVRPMLKAEEESSNDGIEYPAVNAIAINQGTKKGTTLMFEKVFTPLFSQKDVFVNIEDFILSALHGYNVGLIAFGQTGSGKTHTMRGGDAEEEEGIIPRAATFLFRESKKLEATGWKFEFSLSFLEIYNNEAYDLLNNHAAVKLRLVNQTVTLDGLSDHPLAKQSEIGSLLRTADKNRKTAATKCNEYSSRSHAIYMWKIKAHQQATGISTSSMLKLVDLAGSERAKESGVIGQQFKEMTNINQSLSVLQKCISLQKSKSQHVPYRDSKLTQVLMDCLGAGNSKTMVVVNINPCNDQATESKRSIEFASKMRETHIGSAVQQRDLY >CRE29806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1230212:1231282:-1 gene:WBGene00059914 transcript:CRE29806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29806 MIDRVIAQNIHNGDNQETQLATPQAPGIAPQHAAPIQMLFPDDESDSDDDVAVVIRPQPEARAVSPPRSRVVPASSSSDDGSIVDTGRRTYHDRPIAVTGPRVQSRSGPIRRRSSRQAAARRNNATHAPVPRGRVRTFSDHISASRGVLHPSTMGRDAGINVHPIVHIVVPSDGSSDEDTFYNRLRVVPIGGVRVSTNPIPEVRIPRAGMYASNDPNRPYRRLARSPVRFGTPFRVGTPVTTWGNCTMCFDSPIDPQGCNRCQQILGCKTCVNSWFESSESPSCPLCRRKWARKPDVARMTTIDKRKTAKATRRVPRRSRRSQVV >CRE29597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1228783:1229790:1 gene:WBGene00059915 transcript:CRE29597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29597 MSSTSFPLMELPLLPLSILLKSMPLESLIPLSETNQRFKQFIQLLHIKSGGYHVDIDECRFKISMLKQNFRCSFQEENKSFLLRNLSETLHKMRELFPGPIDQLSICPSFSNYFYDINMECTVLSVGLDPHFMIEYRNTFTEEYLNALLNSVNFKKGLSLRGPILLRTQHEKIFDIDWLKIKCSEWITTAILQKMKNTVIYLADVSFSDKEINEFLHDLKNGNGNNQLQVLTFEKEGGLNEMEIIRGLNAIEMKEERVYKISEFDPSIQNDRFLPFNSGGEISIFNSFDFIRNDGIRCTIEFDYEVIQLFVWNQEKDKKTTRNEAFSIPPAKRIL >CRE29596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1226258:1227545:1 gene:WBGene00059916 transcript:CRE29596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29596 MLWFKVLGPPIFLTAALIFYNEYFIFYNAFSNCEWPCKHGKCAESSLKSFMISDTHLLGRINGHWLDKLKREWQMYQSFWISSWVHNPDVVFFLGDLMDEGKWAGSPLFSTYADRFRQLFGDGKTVITLAGNHDIGFHYAVMPDTLDLFRKEFRRGLIDDIEIKGHRFILINSMALHGDGCRLCHEAEVELEKIKRKKSKKRPIVLQHFPLYRKSDADCEKVDDLHEIDLKEQYREQWDTLSKDSSAKLIKTLNPLAVFGGHTHKMCKRKWPKPDNSGYFSEYTVNSFSWRNGDIPSLLLAVIDGDDVLVNSCRLPSEMTQISVYVVGGVAILIVTIVLAVKRRVIFKRRCSYSLLMYRSQEKCD >CRE29595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1223790:1226035:1 gene:WBGene00059918 transcript:CRE29595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-30 description:CRE-TAG-264 protein [Source:UniProtKB/TrEMBL;Acc:E3LVW4] MLVHRVQCTHYHHQLDFHARVVAHVLHVSLTTIYHCCSMRMIHHNKQLEVIMALQLHPTLLNLQMLIFIVRFELEIFKIHHHVLQPLRAIKLPVLEDHHRILDLTDTNVQIPCFQFISPYVYAASRTINCTVSHIFRFAFMDSHTVESRFYLFSVLSRTILIISDSFSSRIFAMHGLKRTFLIRTVCRGKASTAADLPQSSQDLEQTNQEDTPVKTANASTVYRPPYYRKPHKHHEPKHMLQEEFTNAGDASGAGVRLFDYFKTAEMVNSMPTIKEKIDLVSPYERPWTRAEKTWRRDWHPTLMATRKAWGIPPTPAHFDTLDYYKYLTKTRVENKLLDDFYQGLRPPTADLQNSFQNTMKSMNFGDGLNFSDEDTQKLFGSLIDDAMGGIAHNVSRLSDHRVAYDVESEAFWIRSGFMFLYDELDISTKKDTRRLNNFPKYIGDDRRKLGELAFVHRDKLAAQVRSKEPSAPLFSLDSEDAKLPVFDADNNIQETLFSPKVYNLWPDQEPLWQCPGYHADSGETHTYGVLSVKSLRPLRKRISHWMGWENENELDDCEEAKQMLDDGARSQAVISMFSTLCAQAHTHGFTQYTDITRPFTSQMILSDGLNFYFAVGQLNTLAINVECDGFVNPRTNFIQIEGPHRLFDHYDPKEGDYQSVREDLDVENKVQVIIFYFSCVMSHLFLQRRAASGLNEKVLERVTQMLMKQ >CRE29594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1221436:1222887:1 gene:WBGene00059919 transcript:CRE29594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-3.E description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:E3LVW3] MSTFDLTQRMAPFLDMHLIIPLLEFIEPRGIYDEKSLTEMHRQLLSKTNMIDSVIETYNGKPIPAAIEAKKKQIIKERDELKSKVDSVVAILEIPEVKEMMDNNRERDGNVRILEHLTQNHNFTVDMVDTLFKYSKFMYECGNYTVASVCLYYYRNLVNQADPNYLNALYGKLASEILLQEWEHARDDLLKLRAYIDANPFDTEWELVTQRAWLMHWALFVYYNYPKGRDEIIEMFLNQQPYLNAIQVLAPHLLRYLAVAVVTSKSRQKNSLKDLVKVIDIERHSYKDPVTDFLTCLYIKYDFDEAQEMLQKCEEVLSNDFFLTAVLGDFRESARLLIFEMFCRIHQCITIEMLARRLNMSQEEAERWIVDLIRTYRIEGAKIDSKLGQVVMGVKSVSIHEQVMENTKRLTLRAQQIALQLEKGRQDKVKAN >CRE29805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1220408:1220831:-1 gene:WBGene00059920 transcript:CRE29805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29805 MPNYSILCIMAIVFLLSATVNSQLFGGWSQPAWGYSAQGVGVDQYGNTFEGTPQNGIYLFCNGHGCPGRG >CRE29593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1216986:1219375:1 gene:WBGene00059921 transcript:CRE29593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29593 MSTTEEQKILIELETMPFIVGPTENGQERKQQQFDDLRMKDVGGRVQNGHSDTKSVMSTVISRKNGISATSGLINFICGMIGPGCFSLAVSFKQAGLWGGLALVFIVGFLSLYSMHKIVSCSQFLAKSNGDQSLDYGEMAEAAMLNSYRWARRHAKLAKIVVNACLLAFQLGVITVFMVFAVEHVIEIWEFIADSPPPFSKSVIILMYFVPQMLLNFIGHMKLITILCLCGNVIIFAAIVLITKELMMHKWYPTWELNSVTGIEGISLAAGALIYSFEGQAMVLPLENSLKRPKDMTGMTGVLSTAMNLVTVLYAFLGFFGYVTFGPTVQGSLTLNLPNSVLTVSIKGLLVLKIFFGSAIQLFVIVQMLLPSLRSKISEDRKMIHRFLPYALRLGLMLVSLCLALVVPNLMQIIPLVGITSGLLISLILPSFLDCMVFLPVYKKQGEIFKYYQKMIINLFLFVLGWLFLGSGLYSSIDDIINNDV >CRE29804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1212474:1214353:-1 gene:WBGene00059922 transcript:CRE29804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29804 MEAETPPQTSSCINSGEYLIVQKIDGEQSRIVRFTPKQKILIEKLKFTADSAFGKPHGLFEVSNNQCFPMSVDRLIEELQLQEAPVATSVIDTEVITFETVEVSDPRVVIAPSALKLEPEQKRQKLEMDAVLEMKKQGVSGQDLVAKLVEGSASFQTRTVFSQSKYIKRKAKKHSDRVLILRPTIRLLAKAYYLKDPDRLAMLRADQLALILQMAGVHHGKNVIVFEQTLGLITSAVIERLGGKGGCVHIHRGAVAQSIPCVHSMNYDEKTLSTFLPVRIKCILAEKQLPYETNRRPFDDEAENGGVEHKAAEKIEDQDLEVLARRNERLDKEKRGLEMISEQKAHSLIIGSRTVDPISVLELVYPKLAPSASIVIYSPHQNILVSAYDWLAKRQTINLVLTDQMCRVMQVLPDRTHPLMAQHVAGGHVLSGIKVVDQ >CRE29802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1207293:1208937:-1 gene:WBGene00059924 transcript:CRE29802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29802 MGNETVEVKPWIVRLILIVGGLFGAHRLYLKQVPEAFVFFSTLGVVLIGWLYDSFMFKYEVNAYNQLIKQSDDNKEKEKWKSVVSEKSPKPILPVFRNGKLQLAQSRFVEFSFTRFLYSVLYGSYIGLATWLACTVTFGWTDINLIPFICVVALGITAGIYIIGQCGEQSRELSYIWLASFSSMFIMVRLAQTTVFRAIFLTAIVSTVIGNRSAKIKRRRHTWKHFLFWSSLFMMLVCVILLGCSRKVADKQVTATRPGTFRETTSVGSLLRDRVFDPKKVHSFFEGNPIIEYHSKSDSKSKPPTKNHKNVSFWQQVWSGELFDELTGAAHLTKIDWIELTTTFIVDVLRAEARVIDKSSTVEPFKWALWRNYLIHRFSLDPLTSDDRLRAECKKWQKEQKSKRGNEEKDYKILAAKQGCSTFQS >CRE29592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1205236:1207184:1 gene:WBGene00059925 transcript:CRE29592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mel-26 description:CRE-MEL-26 protein [Source:UniProtKB/TrEMBL;Acc:E3LVV7] MEPQADAVFIGGVGNAGNEMSTVGVPALGVSSQTEIKVEKVQHTWTVKNFSHCYQEYLENFVYLQRGDEQLTWSIKIYPKGNGENNKDFVFLCLNRVINNNVKAGKIGFKSQFKLRTAENKDIEVILIPLENNHCSYFQMRIHPNPSHSDYVSYIKRDVLFPQIMPRDMIIVNVEIDVAVETITTTNEPIQFEPVNTELQLVEDYQRLFSQELLTDFEININGKIIRAHKAVLAARSPVFNAMLTHQDTDESRSSTLYIKDMDYDVIYEMVYYIYCGRCQKDITDMATALLIAADKYRLEELKSHCEKYLVENINIENACSLLIIGDLYTAPKLRRRSVQFILARPKMVTGTQGWDDILKCHPNLITDIFSQIDRQSSTGATSSVSTLPGIPMEIPGLPGNLGPPPSGL >CRE29801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1199572:1200429:-1 gene:WBGene00059926 transcript:CRE29801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-91 description:CRE-CLEC-91 protein [Source:UniProtKB/TrEMBL;Acc:E3LVV6] MTTLRFIIFTLFVYGVTSDNTTDGPYILALSDEQPHQRLQFYNWDHKDLGTNAFEDLPPLAEQPTPLPINQTEKCPDGWVRFSDSCYFYETELLGFAKAERKCYDKQATLFVANSFEEWDLVRTRTEKSHFSWIGLVRFSHFERSEQLPRWQTTGSINPSKLNWIIKPFNPIANGWSSIANCAATYQSPSPVESTSYTYFYPCTLLLNSICERNSTIVNARN >CRE29591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1198655:1199555:1 gene:WBGene00059927 transcript:CRE29591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29591 MNPGDRWNPGWQQFMMNQQRAQAGGNRHPQPQQLNQFGQQPRQNIELVSQFLHKIKLDMFRRNVQQRRNNAPPFVQNQNRRVHNRPNNNHNNAARREHRNPTREVLTRDDLERIETVSRKNYHTRTALEYNDREDQCWLNILNEIDEKSRQFTTAQNHNGGDILLPSEENICSNLRIENQNEVIMATRELLRNYGATEQELNGALKNLEIHLRTNALEYSFIEGISAELISNARKLTSEINELDDILRRIDKIRLQK >CRE29800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1197468:1198413:-1 gene:WBGene00059928 transcript:CRE29800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29800 MADNSSSQNSSFSDDSFSSISSPPVTIRRPVDMTSPALLSSHSSPYPRDPNSSPFQIATPVIITPVETRSTRDLVRVNRLRRIRRNRESRAVLSSIRRRLSMVSLGENRTEIQLNTPRTLPRSRRIDKTSTAIELETSDTFEKILSLDEFPRNPSDVETANVPSDSSNCAKMREINRLESINFAVMSLETDNEEEISEALNMLEWSIQLMNSETEMINKILENFEEEKSKRIRKWEQIELILSIIHEEDNK >CRE29590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1192919:1196191:1 gene:WBGene00059929 transcript:CRE29590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gld-4 description:CRE-GLD-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LVV3] MDEESRLSSSQPSTSSSGVPSDRSVVDSDTQKTSKAINKRQQAQQQERPSTSKACKSENCEFGYTDALPFAPWRRKRYGLTVQGLHEEIVDMYHWIKPNEIESRLRTKVYEKVRDSVLQRWKHKAIKISMFGSLRTNLFLPTSDIDVLVECEDWVGSSSDWLAETARGLEMDNIAESRTCPLSKWWIEIHGLALIFRSTLSKEFEQRHTLRRFVSEDFIHENFIRTWFFQVKEEFPLIEPLVLLLKQFLHYRNLNQTFTGGLSSYGLVLLLVNFFQLYALNMRHRTIYDRGVNLGHLLLRFLELYSLEFNYEEMGISPGQCCYIPKSAAGARYGHKRAQPGNLALEDPLLTANDVGRSTYNFTSIANAFGQALQILMVAVTLRERKGRNQLATKVYRGSLLHMIMPFTSKELTYRNWLMSGVLSVPCQDTPVTYDLNQLHNTLVSPMVDLSRYAWLRKTPSGAEKRDAKPLKITNPADDVKNQVLLQLKERMQKEKESQEAAAKKLKEEENSASLGAEVEDEDARPDALREQRDDNDNGLILTGPPLPTSTASVNTSATVSTAASISEREDTDSPGLSSSLGAPSSEEDDDDSSMSNIRKTSDAGVVSIQFKKQFSEVVKTQKEFSKRTQTNFDDRKMEVGANGTQFGNINHFYPQQQPPSNRNFIDYAAAVASTCGTHKHRQTYAQRNRQSRNHSQGSDISDGYDVDRKNMNNNNQRGRRNVRAPSSSSNHSRQSSGSRSSGLNNHSYFPMPSSNDFQPRRSQHADATSTDESGNGSAMTSTSDEPRSPRMYADAVKKKSSVSTNTSADMNFANGNVPKNGVVSQSSASVASNTPNNERSYRNAVVVPTVSLSAPIPPVASCLPSQIHHNLRKDNECGLDTQSLTCHPNELSRQPQLISLANRSPR >CRE29799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1183715:1185672:-1 gene:WBGene00059930 transcript:CRE29799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nduf-7 description:CRE-NDUF-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LVV2] MLSALRTAGAFGTRRLASTQAIASNSEAPKGIATTGTPFLNPSSKAEYALARLDDVMNLAQRGSIWPLTFGLACCAVEMMHFAAPRYDMDRYGVVFRASPRQADLIFVAGTVTNKMAPALRRIYDQMPEAKWVISMGSCANGGGYYHYAYSVLRGCDRVIPVDIYVPGCPPTAEALLYGVLQLQKKIKRKREAQLWYRR >CRE29798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1177632:1182852:-1 gene:WBGene00059931 transcript:CRE29798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gei-17 description:CRE-GEI-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LVV1] MQLLQYGSNGEMRRNQPAQRQHPYSRIGASNQMVNHHFQQQQQHQNMMHHMNHQHMLPNPMTIGKVFADRFETVSLPFYDVINTLLEPVELHATNSNSKQSKSLHFTFSMNADETAKIAYRSEATPVPRYEVQLRFFNITEPGTPQKDDFPLNCHARVDESVASLPNIIPTNKPNVEPKRPSRPVNITSCLSRFKQRDHTLHVDWLSDRRVYAAGIYFVYRINSEMLFNRLVANVSRHRSAEVTRKEVINKLSGGEDDIAMDQLKISLLDPLSKIRVRTPVRCEDCTHSQCFDLLSYLMMNEKKPTWQCPVCSGYCPYERLIIDDYFLEVLAKVGSNIVEVELKPDGSYDVIKEEVDVCLSDDDDDEEDIKPNVNGSSMNGNGVSENGGKKKKVPADDEIITLSDDEEDEALKRGIQNSLNDNFTAERTGPSESSKKTPTKTGNNSDIEIITLDDTPPRPSAASAPVRQLSQQHVNNMASSSSSRLDSVQSPNNGPVPQNLQTALSQIGSQSMPHSSQPSPMMQQQQIPTSHQMNYGPPPFMNMGQASGSQTPTSQYAYSPMMQPQYQMQAGMIGRNNQMAPMQQHHQGPQGMMSPTFYAQQQMQNGNNIAPPRHLNRSEVSNGSQFAPPSRFGDTGPPGVTVRNHRRPPQSRQ >CRE29589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1172457:1176258:1 gene:WBGene00059932 transcript:CRE29589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mef-2 description:CRE-MEF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LVV0] MGRKKIQITRIQDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIVFNSTNKLFQYASTDMDKVLLKYTEYNEPHESRTNNDIMEALNRKEGNQGGGGSDDESPGPSTSPAIQIPIPTINGHTSNQSNSVASAASASAAAVAAAAAAVAAVEQQGTSSGAAAAAAALQASNAQRHHNLNLYQNLIFNPNYARHLPPRNEPLPSSSAAPSSSSSSSKHLDFPPSTSFAYDTSRLHPIAAADADCDLVPSSRAAENIWSTALQQRPVSQPAPSISNSSSNGLSNGTSSLLSPNISSLNGHSVLDLGGPIPPYKLDPNAYVKLEPHSPPQKRPRITTEWRPQQLT >CRE29796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1154319:1156375:-1 gene:WBGene00059933 transcript:CRE29796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chaf-1 MEESHSAGIEIEEVETNENCGDPNQKGVKKRVAQTPPENDAKKPKLSREPSVEVITDSPLKNDTLSPKTPITPRTPKVTKDERERLKKEKLEEKEKQRLERERILEEKRLEKEKLAEEKRLEKEKKEKERLEKKLEEERKKEEKRKELEEKKKEAEEKKRKDEEERMKKEEEKNRKKKEEEEKREAKRKEEEEKKEAKRREEEAIEEKKRRQSAKFFQFFNKVEKKAPEPQKKFSSWYMPFQRKDGMTLAPILTRDPLPEDFDLFKQNKEITSLANFLSTATKLVPVGPASKTKAKLFQFYDNRRPPYYGTWRKKAKSVKGSCPLAEETGIDYEADSDDDWEDEPSDCEELNSDDEGEKDDEEDGEEDDGFFVPPCYLSDGEGEEETTSDGENGENKKDKKPKRVEIDSDNDDDDSNDAERKARLAQRAEEWAKRTEKKKEMVLKPRAVGPVFHTGADQLPEFKNMYAAHFY >CRE29795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1149702:1152108:-1 gene:WBGene00059934 transcript:CRE29795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsbp-1 description:CRE-RSBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVU6] MRQHSMANPKKIQELVHECNVQLALFRVATQGIGTAQDGASLRREVETAGRACQKAVEAANNVVLPQLRADGKYPFNHFQNSKISFSEAEIARHGSLFIGCVGAYLIEMKRCVKLEKTFPAPTEPSVTRQQVERVELILDTLENLITVHYSTNEQPCLDKLQVTPRRRRATSCRPQCVCSKLKTSYA >CRE29587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1144062:1148076:1 gene:WBGene00059935 transcript:CRE29587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rad-54 description:CRE-RAD-54 protein [Source:UniProtKB/TrEMBL;Acc:E3LVU5] MSSKTFHPGDFVWAKFPGFPPWPARVCSSSIYILLKTIYVQVMHCEQKRGKAHYTVEYFGSKEIGNVKYDEVDDYYEKRERFAKSKRVKGFNEAVDEIESLIDKTVLKIDTQAEHNHEYQEEDVQKGTTSAPQESPPVAQIQNEIKHEKPILSSDESDCSFDIQQIPVKSSPVETEKTSSQETKEDNIDVRKIPHLDSPVVVERARIAIDDDDDDFLEMLDENDGTILTEGTATVVVKKKTEMKTEKKVKKELVKIDLKPEVKTAEKEVTEMIPEVVEEPDIIEIPDVTPDIPSTSSSKRKRETSPENLPSTSSSSVPAQKRRSLGYSGKTTEPKNIHKSFVSPFGTSVSPTKQGLRRRKKAPPAIEPPVSVEEANPLRFVNIDVFSRRMASESSDHDAMIAKLLSRKFSIPMEGYMLSGRSLGLGGNRRKCALFDPYHEGALILYAPELISEHAQLKEDKDRKVHVVADPVVGKILRPHQRDGVKFMWDCVTGVNIPEYHGCIMADEMGLGKTLQCISLLWTLLRQSPDACPTVSKSIIVCPSSLVKNWDKEIKKWLGTRLNAMPVDSGKRELIIASLNSFMADSKMRCAIPVLIISYETFRLYANILHSGDVGIVICDEGHRLKNSDNLTYQALSGLKCARRVLISGTPIQNDLLEYFSLVNFVNPGLLGTASEFRKKFENAILKGRDADASAEDQKKGEEKTKEMVSLVEKCIIRRTSALLTKYLPVKYEHIICCKNSTLQETLYNKLIECEKQNRIVEKDKGATASALSFITHLKKLCNHPYLVYEEFQKPDNRFRNKCLPVFPEAFNPKSFDPSFSGKMKVLDYILAVTRKTTDDKFVLVSNYTQTIDQFMALCKLRGYDFVRLDGSMSIKQRSKIVDTFNDPSSTIFCFLLSSKAGGCGLNLIGANRLVMFDPDWNPANDDQAMARVWRDGQKKTCFIYRLLATGSIEEKMFQRQTHKKALSSCVVSFKRYNNENSIYHFRNEQLRELFKLESTVASDTHEKLKCKRCIQGLESVDPPVNADCASDLSNWFHSEKTARKVADNVLRAVFECGSISFVFHQKSHNVEAKKVEEKVEELDDDYVPSEAEEDD >CRE29794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1142307:1143208:-1 gene:WBGene00059936 transcript:CRE29794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snx-3 description:CRE-SNX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LVU4] MATGASATQRIPSKRQTLDEAYAPPANFLEIEVINPITHGVGKMRYTDYEIRMRVSTIIRNFVLQMSFQSNLPVFKQKESSVRRRYSDFEWVRAELERDSKIVVPTLPGKSFKRQLPFRSDDGIFEEEFIENRRKALELFINKVAGHPLAQNERSLHIFLQETTIDKNYVPGKIRTA >CRE29793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1139845:1142199:-1 gene:WBGene00059937 transcript:CRE29793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prmt-7 description:CRE-PRMT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LVU3] MFLEKINQKTGEREWVVAEEDYDMAQELARSRFGDMILDFDRNDKFLEGLKTTIPEKKRENEDGKVHVLDIGTGTGLLSLMAAREGADKVTALEVFKPMGDCARHITGHSEWADKITVISERSTDVSQIGGSPADIIVAEVFDTELIGEGALRTFKEALQRLAKPGCRVVPSSGNVYIAPVESHLLEMFNTIPRLNGAEDEHPLGNCSGTAAVFDVQLSEVKTHEFRELSDPIVAFKFDFEHEEKIIFDESFVRKATAHSSGTIDAILMWWDIDMDGTGKTFIDMAPKWKNPDNYAWRDHWMQAVYYLPQKKKVEMGQTFEIVCNHDEFSIWFSEVGKDTTRTYCVCGLHSMLSRQTVYHINEMFENHSFRAEVNRLSNNLHVTTVGEGSFLGLLAAKTAKKVTIIEGNERFRDIFYRYIQYYNLKNVEIIEKATNLTETPDIILAEPFYVSAMNPWSHLRFLYDVEVLRMLHGDELKVEPHVGTLKALPECFENLHKIAADVGTVNGFDLSFFDGISTKARAATDAIVDEQSLWEYAGTVKGETVELLNFPVDGKILSRKCAVRMEKMNSSNGIPIWMEWQFGNVTLSTGLLSVTDSGEPEWNKGYKQGVYFPISDLQNEQSLNLRALFDNSSGDITFQFSKV >CRE29585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1137273:1138660:1 gene:WBGene00059938 transcript:CRE29585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-46 MAEISERYVEQFVTTFETMRRRAIAYYDGVFYLGRKLEKAAERLKEVAEPASYDARDYVNQSLAANSALDTIETETKNSLVEMYLGISVILIGLAGGQLSGAYALAPIIQYFFDSYVVVMILIALPIFVYYNVRKNSSLDDTERRSVLFSSTLVFGIFTGYLTGPRILSLAPSTLFLPPFLFALMFDNGIVPTPLLSLNRQSFFISFASVSVFLATSLASIVLGSFSTAVSLLNIVHATGLYLHFQIILQLIKDKVFLVGESQSVYIGVTIILQSIFTLLFGYNPENSQNLQK >CRE29792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1130592:1136378:-1 gene:WBGene00059939 transcript:CRE29792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hgo-1 description:CRE-HGO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVU0] MSEFDELKYLTGFGNEHASADPRVPDALPVGQNSPQKCAHGLYAEQLSGTAFTAPRSQNQRSWLYRIRPSVIHRPFEAISENGSNWTNNFSSIPPNPNQYRWNPFPLPTKEGVTFVDNLYTVCGGGDVISRTGLAIHQFSCNASMEHTAMYNSDGDFLIVPQQGALEITTEFGRLLVNPQEIIVIPQGIRFSVAVRGPSRGYILEVYGTHFQLPDLGPIGANGLANARDFEAPVAWFEDLDVEYTIINKYQGAWFQAKQGHSPFDVVGWHGNYNPYKYDLRKFMVINTVSFDHCDPSIFTVLTAPSVKHGTAIADFVIFPPRWGCADNTFRPPYYHRNCMSEYMGLITGCYEAKEGGFKPGGGSLHSMMTPHGPDFNCFEMASNVDLKPQRVAEGTMSFMFESSLNMAITNWAINQNVDKDYYKDWQPLKKHFTLPK >CRE29791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1129743:1130496:-1 gene:WBGene00059940 transcript:CRE29791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29791 MSILSNLCPFMCVFFLSNPHSLTVSHLLQMNVPSTSTRGYAKYQIRSSGMPRVLANESDVFTLDDVDVDEETDELKPNRIPITKEEEKSRLVECNLDSDEEDDKTPPQEDDKGQNIRQSSKNSSTVESKERCRLRDSFDLDNDDSLSDDLDLLPPIPGAPNANTSWCSKLHRFNCCNPRIPSKCTIM >CRE29790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1123985:1126589:-1 gene:WBGene00059942 transcript:CRE29790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29790 MKHLKIDRADTLQPEHVHITASGLEPGRAYRFDMKLRHNYGSHASYCILKADKDGNIDMRTEKPLRGTYFEADGMGLFLSMTPCEDFAYGGYLRCTPPIPFFYLLRLSDETGNQLDEMYIKKHWMHPLLTRTEIEHDGFCGTLFKPPGDGPFPCVMDISGTGGGLHEHKGAMLASEGFVVICVAFFQFKDLPYKLEDVEVEYFLKPIEFVLGLPYTTNMLGIQGVSFGATIVDLLATRYPQVTRNKDYQKQVLKLQIKAVVSINGPHAQSSYVMLKEHGKSMIVPELDDSKLFFVNTILATAPCFKTLTPLLTEENSIPWHWIPKETAFRLIVSGSVDDLCAPSIHANLHIQKKLQETGHYVELELVNGGHIMEPPYFPHHDIVYAKFQGFYCGYGGEITQHAKSQERTWANTINFFKRKLGSPPPMPDWVRLQKVDGPLKQIENRSRL >CRE29789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1122821:1123135:-1 gene:WBGene00059943 transcript:CRE29789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29789 MLYTIAAVGACAYFAVSDSIVKKVVVDTVKAVVWPTSDEQVAAQLKEKKTDKEDEDWALY >CRE29788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1113637:1115865:-1 gene:WBGene00059944 transcript:CRE29788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-19 description:CRE-ACR-19 protein [Source:UniProtKB/TrEMBL;Acc:E3LVT6] MINNICQLIVSITIFINGLESAKVIWTGDHERRLYAKLAENYNKLARPVRNESEAVVVHLGMDYQQILDIVGLSIGKLLENDKFQDEKHQIMNSNVWLRMSWTDHYLTWDPSEFGNIKEVRLPINNIWKPDVLLYNSVDQQFDSTWPVNAVVLYTGNVTWIPPAIIRSSCAIDIAYFPFDTQHCTMKFGSWTYSGFFTDLINTTISPATYKPNGEWELLGLTSRRSIFFYECCPEPYYDVTFTVSIRRRTLYYGFNLLLPCMLISSLALLSFTLPADCGEKLNLGVTIFMSLCVFMIMVAEAMPQTSDALPLIQIYFSCIMFEVGASVVATVIALNFHHRSPEQYKPMNKFLKTLLLNWLPALLGMERPDVLEMSVHGAHYASDNKQKQKQYLIEVERHILTRPNGNGHSAVDKAVHLDLSTGNPDNKKSPSPKRTNPAIVGMKGLPTTHMNGGLESSINKYTCTKVTRPMENGSINYKSPQLNPSNNNNIYKPNTPKSPFEDRHFHHILNELRVISARVRKEEAMHALQADWMFASRVVDRVCFLAFSAFLFMCTAIISYNAPHLFA >CRE29584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1111953:1113503:1 gene:WBGene00059945 transcript:CRE29584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29584 MATAVEIALAKQPYFDLNSLCKTVFVTYKAGPKLDKLVTVQSQYVDICNKPGVRGTVVSLAGSPGSHNDIKYMRDSFEKHNIRLVCTNWPGSEFVTGETHDDTCKCIVQLDSGGLRDSYTNEERNSYVKALMEKLGMKNVEKLIVMGHSRGGESALQMACILSEDRSWPLIGAVMINSPGFVMHRGISVRMGTINTLAYLIKLRWKMIDCVLFPVLDWFYNDFIGLRVADGKVSAAAILPMQTFAFEKQKASMDEMKKKPWVRMFYAYGSKDFLVAESDSEELAMYFKGDHYVIKDKKESEEAIPKIWNSYANGQPYVTANFTEEGHYLQKTYPEFLIQVLGGMFDVETKSSK >CRE29787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1110082:1111411:-1 gene:WBGene00059946 transcript:CRE29787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29787 MDKTLDVSNLSPGTQVSYLFKNNFIRFPFQVYKWTIDKKIAQGDFSYIYACNTPNNMPNNKQFALKCESAQSPLQMLKVEAFVLQKISKKNSRHFCDIEDIGKFQNIHYIVMHMVGRALVDYIKTSSTGTISVNCALSVGIQIVEALEDLHMCGYIHRDLKPSNICFGRKDRGEVGKMFLLSFGIARKYVDSKNQIRKPREHVEFRGTVRYASLNCHQFQELSRKDDLESTLYVLVEMITGYLPWKGLPDVLNVVRVKQQSRQQPGIYQFLKGACPVDELREMMMLIDSYTYFSNPDYTSIYNILRRAMRRNPQPEHPYDWEQGGTNSLCDL >CRE29583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1108119:1110002:1 gene:WBGene00059947 transcript:CRE29583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tax-2 description:CRE-TAX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LVT3] MIDFEFLINFQINSFWLLFDMLDNSFANPYAIRIARTLSYMIYIIHCNSCVYYKLSAMQAFGQIAYLENGKWYLNKWVYNNQGNAYIRCFYFTAAVATSTGNNPAPTNVIEYIYMTCSWMMGVFVFALLLGQIRDIVSNANRNREEFQRKMDLALGECQKLGLKQETTNRVRDWFIYTWQQQKTLDEKKLIEKLPLKLQTDLALSVHYTTLSKVQLFQDCDRALLRDLVLKLRPVIFLPGDMICLKGDVGKEMYIINQGILQVVGGDHNEKVFAELMQGAVFGEISLLAIGGNNRRTASIRAKGYCTLFVLAKEDLNDVIRYYPQAQAILRRKAAAMLKNDKKSDEKTEKIKQQAELEDRCKINPRQVPKLITVREIRLLENGLYETFQLIANMEEMNENKGVRDLKKAIEEETDKSRRQSIYYPWSTLQRDDEDEEEWNEEDLSSVGEDSDIEPMENHEGPMDDDVDPMEDIDLAAEVSHSDEDDDWEKPGSSGTQKLHSD >CRE29786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1105420:1107234:-1 gene:WBGene00059948 transcript:CRE29786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29786 MGIDMSTRATRTLNLEFQMKTRDGREMIQCEVQSTFTVCFCEIAEGNCWDFSEIMESVYTISRDISTFPKIAFVKAGNNRKAVENIQLILNSLHKNAPELSTQLLNFSMPTSTSNKIFDKKHCKKAKDPSEEDIEKLKNRREVGLLIRPGKHYCFKFFSRIHQDFSHEKSTIFLMMREKLLDQINSNDALYLCDKNETCEFEKIKKILPQKLDELLEFTNSITDILMEHPVIFKEAKKKFKFDPEDVYYNQRFRGIVISWIFGVALLVLVSMIGRSVCSYEIPDERTTLQGRHFKLKDIEKELKRKLLKSRGEESEKSWRTVEAEREMRRAIKLDKKREKKRKLEKINVKEFPTLPDNSPPGGNERNIKIRKNDSEFELQNTQEDIAEFIGTAVEVSPPLQPAIPVRRRHEKSLPSRTDQGTFVTNIISDNAAAQRSLMKTQTVSDPDVLATPPTPSTPLLGGHQPSTPLLGGHQPKVRRISRPKTPLPHGQKQSRTPSSQSRCDPSDGIPKAISRTPKEKSWLRFWT >CRE29581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1101407:1102268:1 gene:WBGene00059949 transcript:CRE29581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29581 MSIPDKSLFNKFKEMEQNQAIRSKDNVYSKDFEPKKLDKDSSEYGRPKPGTLTEQRAKKAAAHVHREMLTLCEVLEDYGKKDKEGEPTRITFGRLFTIYVNISDKVVGTLLRARKHKMVDFEGEMLFQKRDDHVVITLLLEGTELKEAIRAHAAANPKD >CRE29580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1098231:1099949:1 gene:WBGene00059950 transcript:CRE29580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29580 MGVCRRIFKNYEPTELTICVTICCEPRAPPRFDHWNISKPGYPPKIISCSRGSTNHDENREKRSKRKMVKRRKKSDISEKSGKSEKEFDNVEVQILSDQPLLHSDSATLISDDVETMMSSPEIVERRDIADEITVTDFDGKQLEAQDHHVSMTSLDSIAGLGGMMSMPEDSDDVGMAKGVRNSEDNDEKLKPEEEKREEEIIKQMESKKKFDLLLNGDVEIPTVPPVMNDVENPKKKVIVRRKKKSTTPETAPRYREFTETSINMYIEMNKQNIGEFIWDQQCTRIDALVAPIIELDRTTTPEIPVEIEIFD >CRE29785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1096136:1096575:-1 gene:WBGene00059951 transcript:CRE29785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29785 MNLQILLTLPFITPAHRTCRRSTQCPQNEVCHHGYCQSFEDSRCITSAFCPRNYKCINGKCEKHNGVRHFLLGMYCMTNFQCPLRMYCSRGHCV >CRE29784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1088461:1093119:-1 gene:WBGene00059952 transcript:CRE29784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbpl-1 description:CRE-TAG-214 protein [Source:UniProtKB/TrEMBL;Acc:E3LVS6] MSSIHYKFRAELDYKTLQFDGLHIRGEQLVREICAKENLKLELFELQLQNAHTKKMYTDDELIPRNSSIIVQRSPRKDAAKVQKVQAGVNSGMVNQADASSSSLDPNIHIGSAEFENMDEAERLNHIRDQSTRAYDPSNFRRRPQGIMTGPPPPTYTCNRCYQPGHWYKNCPMLNTKRTTGIPSQELMETTADDPAAMLHPSGKYVVPIMHWKARQDTLAKKLEDGSSSPAQADRKVPPELLCPLCQSLFKEAIVTSCCGNSYCAECIEQRIIDPENRKCPGADCGRDLSITSIIPNKTLRDAAAAWLSAGAPGQPTAQGIQEPEQIRIRIGLKAPASSNAISSQQLTSGISPGSALVSQQPAPSVAVSTVPPSQVSTALAVSCLPSSSTLASTGIVQDVSLPPPRLHHELPPGIPGVTGLPHFPQAVPGIPNAAVPQTQPVSLNYGFSIAAYTAGFPPAASSGPRVSTISDEWNAFLQTKDRHDKRRDKDRPRHKDGHDSRSRRRRDSSSSSSSSSATSYSDEEERQRRRRREKESSKKRRSVEKERPRRDDHRRERDRERDRDREYRDHRDHREHRDHRDARSSARSKDSKVSASSSSHRRDRDDEKRRDRKRDDGRKKDREEKMEEDTRERANKDVESKDEDEIDGIIAEYGNALAPETVGETRVTEEKENLNDEEISTPHEENTQSPVSKAVDEDEPAADEEPVDEENSLPSREKSLDSVNQAMETSEAEVAETKEEKMPLEEEEDDEEMEADVEMKENQEKEDEETDRKAKESQSSTMNTADEDDEGGKKSRKHKKSKKSKKHHRKEDDGDDEDEERRRKKHKKHKKEKKTKKDRRDADSDQEDDRKHRKKERDSDKKYDDEDDSDRKERKKEKNRTESYSTTDRSERNKEKEKKEDDVDDRKEKKKESDRRQDEDDKKKERKRDREHEEYDSDAPKIKVKRDEKIKDDRKESDREKDRKKEDEKEKRKERDERDKKREKEKEKEREKERAKEKEKEKEREREKEKERLKEKEKEAEKERQKEKEREKNKEKERKEKAKELVPEKPRRSVHERLQRADTVKVSSSSSSKTVPTLARKPVSFTVTSSKAASNIRVRQYSSSSSTKEQEEEDVSRSKQDRRKKDETDVESIGDKDKKQKSSRQLSASGPTVSMHKNTKIKFDL >CRE29783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1085444:1087900:-1 gene:WBGene00059953 transcript:CRE29783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fcp-1 description:CRE-FCP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVS5] MEVIFEESAADCTAGHLKAIDGTFVIKDAVLLEFKIKGEVAGKIKTPCDGVVSFGKGLKPGVALSKGQVIATMSECQHDIVIKDMCGDCGKDLREKGGRAGQRKEQATANVSMIHHVPELIVSDSLAKKIGSADETNLITTRKLVLLVDLDQTIIHTSDKPMSADAEKHKDITKYNLHSRVYTTKLRPHTTEFLNKMAAMYEMHIVTYGQRQYAHRIAQILDPDARLFGQRILSRDELFSAQHKTRNLKALFPCGDNLVVIIDDRADVWQYSEALIQIKPYRFFKEVGDINAPKDSKEQMPVQIEDDAHEDRVLEEIERVLTNIHDKYYEKHDLKDGDQALLDVKEVIKEERHKVLDGCVIVFSGIVPTGEKLERTDIYRLCVQFGATIVPEVVEEVTHVVGARYGTTKVHQAHRLGKNVVTVQWVYACVEKWMKADEKQFELTKESTPPVGRPLGSKYVNDLSNMDTIGKAALADMNNEVDEALSDEDEDEGDNDDDEDDDGPENREDDKVQRHKRDKIADKEKIYQEDEKEKKSGRNEEPESSDAQGKGQKRKHCPEMDDGEEGSDSKDDDDEAPMSYKALLSRSRKEGRIVPESDKDDVFDVDDEKGHVAPTNMDDDDDDEDNEDEDVAESDDDDEFEDMAALIERQISDAADEKDDNHME >CRE29782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1083272:1084552:-1 gene:WBGene00059954 transcript:CRE29782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syn-13 description:CRE-SYN-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LVS4] MDFNRDAGNETVSQLQLNIQNLNQQVIQLESFITNLSDSSAAGQRERELFNKKAHDAQELSKDTNTLLKKLVVMSNSDKNLRGVRERLQNEYIGVLNRLQASQRRAAQTEKAGMVAAEMDAQAARDENEMYGNQGRSGQMQMTAQQQGNLADIKERQHALQQLERDIGDVNAIFAELANIVHEQGDMVDSIEANVEHAQIYVEQGAQNVQQAVYYNQKARQKKLLLLCFFVILIFIIGLTIYLAK >CRE29577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1078155:1080767:1 gene:WBGene00059956 transcript:CRE29577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29577 MLALFAERCQSAFNFRSPIQMSMLSQLIILIIVFCPNNAGRVFYVIGAASFFMDACSITKKLGNGRNLRSCQRFFKISETAIVRRSSSLVLFGLYVVYFLSLPSRVKIYLSYEKNCVFQIPKISYTVSFALSLPLSKAVSAQMPIVVKVIIFLMATLDGATTAYTDYLGHKAARNQKKDDTAGEEKVIGYPEVCFKNGRLSMTFNKGSIISFSYSDAVEVMMEGKGESKVTENEKEREKTMDYFSQASHYKGTTNGWEISPTDEAIRNEKRTKSDDAEFTASFKLFEEQLPKGFVKLSARARIAKGGCFYVLLRFYMRVDGVLLRVCDTRIVGDSDSHHVIREWQLREGKYEELGTTDEARLLDADQPWKEFKVFKATTEKLTIV >CRE29780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1072877:1074844:-1 gene:WBGene00059957 transcript:CRE29780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29780 MAESIIDFVLVSIILAALAVATLILLFMYSTRIRQPFHKCLGRSTTKTEDVETGIAPKRTTIRVVKSLPNSSLPPTPTAYTKPPVRRTHTNPVEESDSPSRQFMFIYPKKSIVQCEQSTFDSRSTRSSYLPERRNSQ >CRE29575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1068643:1069677:1 gene:WBGene00059958 transcript:CRE29575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tba-6 description:CRE-TBA-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LVR7] MPQYKGSREVISIHVGQAGVQIGNACWELFCLEHGIQPDGYHVEDDSYDEETETINTFFAETAGGKHVPRCLFVDLEPTVVDEVRTGTYRSLFHPEQLLSGKEDAANCYARGRYTIGREMIDVVMDRVKRLAENCNGLQGFVIFHSFGGGTGSGFLSLLMERLSTEYGKKPKLEFAIYPAPQVSTSMVEPYNSILMTHTTLEHSDCTFMVDNEAIYDICRRNLDLARYVHKTHSFIQNIFSSSFFYLFSFLFIR >CRE29779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1069770:1071079:-1 gene:WBGene00059959 transcript:CRE29779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29779 MNPNERSKYLCDQYGQVLGGIQNVTISLKPVFSFSEQLDQRKSQYVLAELNKNPADAKSQSVKKIDSEYILRDLQNQANKDQTYSRDAPDRPFLTGTPINAKDIRAKIESFDNAIVIPQIINGQVFFTTHGDADTHTAVDGSTSNPNLSEGNSVGATKGVDLHKVKFTFAQLVTLLGPVTCQRLEREFAKNNKLSDAEMAQLRTACESGSEMSLATGRSSETLRTAQSVEPNLLSTRTANSVEPNLLSVYSARSPGVFTKNEGVLTAQSPEPNLLSVRTANSLEPNLLSVRSARDFTTMSQLKAQSPGILTAQLPEPNLLSTRTARSATSLSDRESKDVLTAIEIDSPTTGVNTAKSIASGSTQSVFTANEIESIRSSQDVLTAVSILSDLSRSSQDVTTALEIPE >CRE29574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1062857:1067499:1 gene:WBGene00059960 transcript:CRE29574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29574 MFPTVPLVNYYSTKSEGLEKAELPDQFSLSRSLQSKQLDITVLIIVNDSESAEREYDIALNSVRCYCEAKKYRLEIAEDTDFRHFCQQENSMFRRHCIVAHLLRESDYVFLLEPGMAVVNNDIRLEEFIDEKYDMTMYDKFTSWEIDTNSYVVKNTVWSRDFLMKLAEFETKIPSSSNDNTALHILLQKTFYPQFTEEAGNCLKILENLEFSTGNQQIMYTFEACIRSVIGEIHEFDNLRIIKKVGRQLGPTWIPVFQGTAWTREIWLTDSKWSQNRDFMLNGLKNAHQTTFAHGVLSNILLGRQTWRSPFINPPNQGECDFSKWEYDRSLITSKAEIDEYLMEKYDEVEKMRWQSLAAVGNYMSKD >CRE29778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1048077:1057900:-1 gene:WBGene00059961 transcript:CRE29778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fasn-1 description:CRE-FASN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVR4] MESNSWWQKQDDIVISGVSGRFPRCDNVKEFGDLLLAGEDLVTEDDLRWPPGFYDLPKRHGKLKELKKFDAGFFQVTPKQANFMDPQVRILLEASWEAMVDAGINPIDLRGSKTGVFVGCSASETSGALTQDPESVTGYTLTGCVRSMFSNRISYTFDLQGPSFSVDTACSSSLLALQLAIDSIRQGQCDAALVAGAHLTLTPTAALQFLRLGMLTDKGSCRSFDDSGDGYCRTEGVAAIFIQRKKKAQRIYATVLHAKSNTDGYKEQGITFPSGERQAQLLQEVYSEAGVDPNSVYYVESHGTGTKVGDPQEANAICQVFCSNRSDSLLIGSVKSNMGHAEPASGVCSLTKILLAIERQMIPPNLHYNTPNQYIPGLVDGRLKVVTEPTPLPGGVIGVNSFGFGGSNTHVILRAADHVAPAIHQHPFTKIVTYCGRTQESVEKMFAEIEANKENLYLQALLANQANMPANLLPFRGYMLLDRENAQSPMKNITKVPITEPRPIYFIYSGMGSQWPGMAIKLMKIPMFDESLRASSKTLDEYGLDVYGMLCNPDPEQYSNNTMNCMLAITAIQIALTDTLTALGVSPDGIIGHSTGEMGCGYADGGITREQTMRLAYYRGTTIMNHTEIKGAMAAVGLTWEEVKAQAPKGVVAACHNGADSVTISGDAEGVATFCAQLKEKDIFAKVVDTSGIPFHSPAMLAVKDEMIESMRTAVPEPKPRSSKWISTSIPEEDWESDLAATCSAEYHVHNACSPVLFYEALQKIPANAVTIEMAPHSLMQAILRRSLMKTVTNVGLMNKPKSENDDELESFLGSLGKIYQAGVNIQISELYPGGQYKGVVPKGTPMIGPMWQWDHSQDWQTIDGRHMVAGGGGSIPASATYNIDPFAADSKEAYLLDHCIDGRVLYPFTGHMVLAWKTLCKLKSLDFQKTPVVFENINVYSATIVTKPIKLDVVLSPGNGYFEIISDEQVAASGRIYVPEDNQPFYYGKLEDIRTSEIADRIELDTEDAYKEFLLRGYEYGQAFRGIYKTCNSGERGYLYWTGNWVTFLDSLLQTALLAERSDTLRLPTRVRHLRIDPNKHLEHVVERDGIQVVELRNDHSTNGCIAGGVECCDLNAHSVARRIQVSGQLYHEKVYFVPHFDQNCLKEQPETSTILKDYAAVIKQTLYNGFSKWQKAGLLKKLTNGPLIEKALPVLKSSLNEVVLDDTVTRFMHDGKCTILPHFTELFKIPDSDDFAEMVIGKLKSVRGIFELDRLWAGAVINDRIVKSLQDICIENSAGHHAKMAAVDLVSTDQIRHCIEANSSHPLLEVDYMCVGANVDHLDESTLEIVGGKKQKIVLDENFTGHGEVKNMDYVLLDKVLNKKANPIAFIEACKHLIRDDGFLLVVEVTSQFEIALALESLLGNEMAGDADRKYTQFFTHEQLLEIFKATGFKICNFQSDPALMTTTYAVRRVAPVPRDPAFIDVDDIKEFSWIEPLQKTVEERLNEPDSKTIWLVSNKCRNNGIVGLALCFVEENLKSNRFRSAFDMSATKAIRDGPPVWTIDDEETKKIIDLDLHANDYMDGQWGSMRHIVVKDEDAHVYKDCEHAFINTLTRGDVSSLTWFESPNQYFDSMAKTKSSQELCSVYYAPINFRDIMLAYGRLPPDAIPGNFADRECLLGMEFSGRLKDGTRLMGILPAQALATTVMVDRDYAWEVPSDWTLAEASTVPVVYTTAYYALVRRGMMKKGDKVLIHGGAGGVGQAAIAIALAAGCEVFTTVGSAEKRQFLKNLFPQLQEHHFANSRSADFELHIRQHTKGRGVNIVLNSLANEMLQASLRCLARHGRFLEIGKVDLSQNSSLGMAKLLDNVSIHGILLDSIMDPTVGDLEEWKEIARLLEQGIKSGVVKPLHSHSFPANKAEEAFRFMSAGKHIGKVIMEIRTEESTKICPPSRISVRAICRTLCHPQHVYLITGGLGGFGLELAQWLINRGARKLVLTSRTGIRTGYQARCVHFWRRTGVSVLVSTLNISKKSDAIELVKQCTQMGPLGGVFHLAMVLRDCLFENQNIQNFKDAAEAKYYGTINLDYATRDHSDKETLKWFVVFSSITSGRGNAGQTNYGWSNSTMERMIDQRRADGFPGIAIQWGAIGDVGVILENMGDNNTVVGGTLPQRMPSCLSSLDNFLSWNHPIVSSFIKADMGAKKSAGGGNLMQTIAHILGVNDISQLNPDANLGDLGLDSLMGVEIKQALERDYDIVLSMKDIRTLTLNKLQQLAEQGGTGGTALQVNELEMKKDGERDAELNTVEMLEKQMNQLFKMRVDVNDLDPQDIIVKANKVEEGPITFFVHSIEGIATPLKKVMSKCEFPVYCFQSTKNVPQTSIEDVAKCYIREMKKIQPTGPYRLIGYSYGACIGFEMACMLQQSDGQDAVEKLVLLDGSHLYMQTYRNVYRMAFGVTGDTLVNNPLFESEIMCAMTLRFANVDYKKFRVELLQQSGFKARVQKVVDTVMTTGLFKSDETVAFACMAMHSKFLMADKYKPERKFRGHITLIRAEQGAAREEDVGRDYGISQVSDDSDVHVVEGDHDTFVQGKSSAKTVSIINSIILNK >CRE29572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1045828:1047891:1 gene:WBGene00059962 transcript:CRE29572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29572 MVKSSKKEKNETATPPESDTFNINLFVTMLESSCRIQVSTALYFFRKAFASIHDGSDILIRDQFYARIKNGVLNALRSEILDVDGEINAVWIITNMCCISKEVTHLFVNSNVLEVLTQLIRSSNPRLSNQSVWAIANISADCVSCKTMCRRPKLLKILSKMLQNSHQLEDTERRQLIWCINNILTGGRATMLAPVARSFIRTFSNLILDTEVIQRMNCAPMVLWTLANLVDITHDTTRIDMLQSQTNLVEHVILLFLDENEKQSHAAALRLLGNIAVGSDTQTDHLLTKINFRYVLHRAMSTPEHHCEVAWIYSNIVAGAVRHVDFVLEDSDRFYGWLLSGINSDIPRFRKESLWIVGNLLATADEYQRSQLVEFGIINHLPDLLKYDDGRLNEKGAVTATELLREHPWQYRLYKDLDILGCIDALGPQYSTQKAELQMLLNELEPPKRMEKHPECRVVCYSKLPNDSSI >CRE29571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1043058:1045544:1 gene:WBGene00059963 transcript:CRE29571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29571 MSKNELTSVAWSFFLKVDDEKKQLRVRASRGNPAVNYEEATENLIMAICTSGASFQLLKNSWFRKFCRILDPNFTLPTPDAARKVISKHATSYITNTMEQLSAVDVRSFARRCNSVNKFFISFDSWEDKYENFSIYVVFLYYTDDQYNKRKVLLGIRNIAGKVTSENIEELATGLLIEYNLDFSKIMGTITDERSNLQGFLNTNVYHQVLCAAEALSHIVNAASEIPLLVEVMNKVNGLVSHLSRSKSERTRFRESSSSLRIESRLPLPFRTDRPGRCISFARAFLTHYESIKSLTRGQEFILSEQEKENLEIFVQCATPYLEAINQVESDKTFCSEVLIHFASLSDFLNNQRHNHPVVKVLTEETVHQFQRFLENDIALLTTFVDPRLSYTDGVLLQKSWKDVEKLVESYCADNYRLINASNDQNPEPAAKRKRPSDTHFSKFIESLTQSTVPGSIETEIVNYKASVLTYRVALDSCPLQYWRMNQSRFPTLCRLAKDVLSAPQSSIQAERYFSECSEVVSSSTRNRHSALSLNETLLNSALGIIKRMDTDPKWNEDEESDGESDEEPDAADNFNAWDRSVDPTNYELSAVKEENF >CRE29777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1040555:1041094:-1 gene:WBGene00059964 transcript:CRE29777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29777 MSVTVTTFKCEELEPDYQSTMIDYMQETIDSLKNNNKELEDKNQELQNHLHSLSNRNVEEIIEENKMLLEKNKELSEKWKNVLLLRAQQKRYSQLIFKNEHLEKSVEFLNLKSKSDEKFKDECLNKIIGVEEAKKELEKKHQEEVSWLKEEIKIWKSDLSNEEKQKLIAELFGNKGSAV >CRE29570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1039238:1040412:1 gene:WBGene00059965 transcript:CRE29570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-thoc-3 description:CRE-THOC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LVR0] MFFFNFYLILKMTISSRERRCARLLTQADAISYFEKYKRVRTTDMKVQQCQSVAFNCDGTKLVCGAFDKKVSVANVDGGRLRFSWVGSSHTSSVEQVACSEKQPNMFASASADRNICVWDIRQSKPTHRISNKVGNFFISWSPCDQYFIFLDKDNRVNTVDIRSYQVVNTHDMKTFSHELTFHPLSNHVFVAESGGKVEILKFTGGALEPVTTIQAHSHQVDCLALSISKDGQKLAVGASDASCSLWDLDELICEKVIPRHDYGIRTVSFSCNGQLLASGSEDHSIDIAYVPDGSRCYEIKHTGETYSVAWHPTSLLLAYTASDGMDGRESTLVKTFGHSTV >CRE29569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1038386:1039163:1 gene:WBGene00059966 transcript:CRE29569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29569 MTDIDERLGRANSHPRFLPVDQQAVNDPLSKLISDYELSQAYHDRELLDLIQKVERNNPERCSKAPKFVSRLLTHKSAPIRKSAFAACITILSFPQSPRRMMLDAYRMALCNSNYQVAQYALSLLPQFVDVCPEEANNLIKCGAAAYNKLPAPSTDIEMYLSRAYTKASQ >CRE29776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1035258:1038159:-1 gene:WBGene00059967 transcript:CRE29776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spd-2 description:CRE-SPD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LVQ8] MDEEVPMDLVDDQFDDVSIEDAPLEDVESFYNPDGYVDCEEDDVVPTNEHFRAENRYKPSLRTPRELPTIREENREDIRSNTSSRVTTRPPSVLSDKSNDLSSQFGFQSGGNAIDQYTDKFFADDNRAERLFPESELINKFASPARDKQNSWEPSVCHYEKQPTPEVQNNSPGLIFANLSSKKDPFAQRKQDVSRVGKASAEKVNNENEPTSRRISPERNIFTTSPMNSTKFLEEKTSTPKRPGGNRIGQRGLPSLEFSTIYEYSPQRASGTPRNYQTRHPTNPITPNTATTSDTLLSYRTINDSIVAKVLSGDNTDKNLLDALEEIRKKRQNQPSKPNFVLSTKGAKPQFSQQKTSTTQSSVSNKQSTSNSSFNGTSASQSVSNYRKSQESQRNGATTAELNNSNTTNFTSNSSRLSTAKNDRSSRQRGGFSDSSVSTVVPISNSTTTIQNSRGGRDSVSSVRTISRASSTMTVGGSYAQTSSGTMKPLKIHATRIAFGVVPQNETLTIEIEIENISERPCQVRSTIDSAKSEVQILDNKMAMIDPKKSTKLRVAFTPTLIGRYNMFLKVEVPAQNFTQSIPIWGYGGFAKITPFSETDLRPTDNASEFVMKASNMKRITFKLNNSGNRTGFALMTVYDSAMRPVPNDFVNFYPARGVVVHRQCDKRVEVRIDTSYLGHYDELSNHRTSSAMSTASTSSMASCRKRAIPGTDFVVQVAWGEETIRERLRLLEIRTGRHQIIDGQDFTSHQFADEKAAVHPAGCPTIIDEDADLFASSYNTFFINIFPSPSGLKAFQAASVHLPKATNSDVTVLETSAFRQNTFVSDATMMSRQTKRM >CRE29568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1034375:1035041:1 gene:WBGene00059968 transcript:CRE29568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-31 description:CRE-MDT-31 protein [Source:UniProtKB/TrEMBL;Acc:E3LVQ7] MQMETTESEKTRFEVECEFVQALGNPNYLNFLAQRGYFKEEYFVNYLKYLLYWKKPEYARCLKFPQCLHMLEALQSQQFRDAMAYGNDFYQITFCSTFLSGPSAKFVEDQVVLQWQFYLRKRHRLCMMPEEEGQELVDSEEEVEQPSEEKDTEEDTDEEEEAKNTKVTEGTEEKEATEETQPDAEMADAAESTS >CRE29567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1030744:1034073:1 gene:WBGene00059969 transcript:CRE29567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snpc-4 description:CRE-GEI-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LVQ6] MFGPFDEGAGPSSSYNPPILEEEVETPDDEIRCNSIEELLIFNETYSEILDVSIQLIEQQLADNRQRQNQLKEEYRLYNRADITKRKVPVHLYMPPYFKDENNMCPPMSAEAREKQELKWFDPLMREEKKWTPSEIRTLRDAVKEAMVSHQVQPLCSRRDIIVGKLRVADITTTNNERRQWTMELEDLMRKISYIKAKTDEEVLTASADYSVIPWNAIANVDFKGTRTEWAVKSKWCNELNPKWNKDAWTSDELDKLKELRESPKFVSWQLLALSLGTRRTSYQCMEKYKTEISQHSKEWTQDEDTKLIALTKLTSINGLIQWDKVAQFMPGRTRQQVRTRFSHTLDSSVKHGRWTDQEDMLLISAVSRYGAKDWAKVAQAVQNRNDSQCRERWTNVLNRSAHVNERFTLAEDEQLLYAVKVFGKGNWAKCQMLLPKKTPKQLRRRYLQLIAAKLRLAAGFCNAVDAMKSGRRAPEEDELDEEDRQEAEKIPNELMKEIYEKLAKDNPDVEESPEEFYKRINEMEIPAAARIRVLKNNPCYKTVENHINSIVKKHKDMEEVDKELKSSQILQSLKLCETDIRYMIEKSKTLARYYMARRYQKNVDQIGCRVRPINIKMEPGIKPTINPEDTEEEKRMLIVESLCSAIRQHDMVDWGKKFWTDHRFDASRTAKRFAEKMLCKKSDEVAEWSLRVGSNDCDSSDIHCPPKATLPPTPASFDMTKMIQRARAGLNRLSAEHFYPLDVGLDQQPKFTNFDREKLDENRRMNIGLSSDITNSTAYAKFYARMRTVLLEPMRLQMARESSTEETKRLALCLAEERAHEEEEVAVHNRLRPQMPGTSSTVTPTSIARVLNNGMKIDTADILDKDTSEKVDMKRKIKATIDQVAKKPCRITPAPSHISINSESTDMS >CRE29566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1029686:1030495:1 gene:WBGene00059970 transcript:CRE29566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29566 MLFAIIIVGSWLMTTCGIFVFLTLSNTGKQAEYGRYSNPSTSGWTFPANFAWAVQEAPAFFIPFYFYWTDQHLTEFGQFLTCLMMFHYAYRSFIYPFLLNSKNRTPAKIVLMAFAFCTWNGFIQGSWNAYYQPEFDKIHPRFFVFFGVWLFVVSLSLAFSQKYHFFQIGFIIHFISDLHLISLRRNNPAGSYGIPRGHLFEYISCPNYFGECLQWIGYAIAARSFPAIAFAFFTVCNLAPRAMSHHKWYKEKFEEKYPNDRMALVPKLW >CRE29775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1025732:1027442:-1 gene:WBGene00059971 transcript:CRE29775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29775 MRRTQFRIKHSALFFGLLFTVCFVSHIIFEDIHRDPKTEKFRISRASTHRHLNISVVMVVSNIKGAEKEYTTALRSMRCYCKMHNYAFRLVEDDDFRGVCDQKDFMFRRHCIVAELLEKSDWLVFLDADIAVVNPHVLLEEYINPLYDLTFYDRFVNWEVAAGSYIVHNTPWAKAFLRKFADFESNLPNSFHGTDNGALHIYLQQTFYPQLSDESQICKKIWEKAENYRDLFTFEACIRTVMGDVHEFDRARILKKGTGWVRDIWLTDSKWNPERDFMLHGLKDTHEVFLSQGFLVNTIFGQFNWRSPFSSKLKLEQCGSPDFWKMNKNLIVSKKEIERDLRKEFDEVERRRWESLSAVAGYI >CRE29774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1022194:1025085:-1 gene:WBGene00059972 transcript:CRE29774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-7 description:CRE-CUTL-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LVQ3] MWWHFFLKFLLFLYCSTAISSFEIQNGVVGKPDVFCGIDTIRVKVNTEHPFNGKSFPRILDFKLPSLGRIYVDGESDKQHCVQHSADAHSSPQEFTIPIGACNMRRQRTLHPRGISFSFTMITSFHPFFVTGMDRAFSIRCFFLESIKGLNTEIDVGTLAPQHVDQEYSLPVCAYHLKDGIEGHVLRFAQVGQKVTHVWRCDQDASHVYGILIHSCYADDGHGNKFELVDDRGCSTDPFLLPQIEYESGAISAYTNAHVFKYADKVQLYFTCTVQLCYKHDGGCEGITPPQCSGHSHGIHPPRVAGGVPSGHKFVNLGNDNHADNHHSENDLSAEAQFHEHESTPRVPGYIKPDAFQPIILGPPHPTVFHKNGPGGPPPHHVPPFKGPKDIQRISEEEDTPNPYRRDSPLNDTTEDDIVMQVVTLRTTVPTVSHLPPDLVTFKPIVTGTTGKMNMNNEDEEVEELITPRVFGGGSTLPTSSSTKVRRTAGEMETDVSVDVIVLPVEDKERKDTNSPPQSLSFQSASEVCLSKTSTVIFSTAILLALFCCVTVTFLVTRQRNRQLLHHASKRRIDNF >CRE29565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1020460:1021980:1 gene:WBGene00059973 transcript:CRE29565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29565 MIGAPLKPLEENGKRRDSTSSIDASTCTVQETMASGDNFLAGLSRVEQPARQLTIVTMSHAEHMGLIFPNWVRLCYRRGPMEYTPYDMNMPPKLVPRPPLHYKFDPPLTERGHIVAETYGRGLISHGVRPYEIFCSPDMKSVQTAAGVVKGLGLSFTSINIEPALMSYRQMLPARYHEMLLSPKTFFGLGYPINTQYIPLRGGIVPSENIESYNFRIQSFFNERIAKIEQKYVIVISDNVMVDISQNIRIDTVDDILKSTRKHTCQRNFISLQKGEAHLLQDPVMPLTKSLYCVKPFYWSEVPLKLSVPNHPELNQN >CRE29773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1019032:1020326:-1 gene:WBGene00059974 transcript:CRE29773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29773 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LVQ1] MSVIIKVGINQQKASVRSYEGRRESCASGARTRMLVPLTAIVTTSLPMAAAIAFCAKDRKTVIQQFWKNHCKSETTHAKNKNKSTKSTRSSKSAKGSKSGKSSRSHRSKSQRSKRSSKSGKKGKSSKSSKKGKSGKSGKSSKSKKAVKGAATSSASAASGTSASEKSTRSTKSSRKSSKSSKSRKARRLDSDAQNKMEKSGKSSKIAAACAAAPKVVRNDKKCVFTIVICQQSTGGTQAAKSLVEEVNAIKHSNQLSVAPAKLQYQTLGGVSQVELKNTSGERKAYKVKCSDNALYRVNPVFGFAEPHSSVKIDVLRLNGEQKTDKLVLLTANAKDSSDPHEAFAKQAEHHEMMVVPLVAS >CRE29772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1017265:1017547:-1 gene:WBGene00059975 transcript:CRE29772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fip-6 description:CRE-FIP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LVQ0] MINQQRIFVLLIVLVLAVVTYAHHSHSYSHSHEHHHHHHHGGYYGGGYGGGYQPYGYGNNGYNGYYQQNYWGRRK >CRE29771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1003443:1014012:-1 gene:WBGene00059976 transcript:CRE29771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madd-4 MECFHFVVFLLFHLLLASFHVDALSWAAWSPWSSCTKTCGGGVSRQLRRCLTSKCSGESVRFKVCAQKTCESKSRLARDTICGGEEIVSRQQCEVVCRSRVTRANFLWRVDDGTPCQAATSRAVCSKGACQIVGCDGLIGSSFRFDACGVCGGRGDTCDNGKFIWKVSEEYTACASNCDDIVDWSGAGRSIASTSQPIVVCVNAISGRVVPERLCADKLRPKVEARPCPMLICPSRWMAADWSECIPHCGSGARKREVYCVQTAHNVTVHVPDSFCENGTRPIGEENCISTSCGRWEAGKWSKCTASCGQGVRRRHVACVGGSDCDEGGRPRQETTCYAGIPCSLATNSLDWNDRAYLDGNTFGSMDNHNDWQAPRLVAGEWSTCSSTCGTGVMSRTVECVAVNPISSAPIKLPMSECQDQEQPKLFESCEVRSCPLQEDSKLTEDEAPNQWRYGDWTQCSASCLGGKQKAALKCIQVATGKSVQWSQCDARRRPPEKSRPCNQHPCPPFWLTSKYSECSVSCGSGTARRSVKCAQTVSKTDGADAHIVLRDDRCHFKKPQETETCNVIACPPTWVTAQWTECSRSCDSGERRRQVWCEIRDSRGKTQRRPDVECDANTKPQSVEVCSFGSCARPELLTNRVFEQNVEQKKLTLGIGGVATLYQGTSIKIKCPAKKFDKKKIYWKKNGKRIKNDAHIKVSANGNLRVFHARMEDAGVYECFTDRLQGNVTLNFKYRDFPATRPDLSPKVQLPSNRNKQRPPTEASREDVIREQANVLHKMNVSLIEALLTAPNDEKAREQLKKYGNELVARWDIGHWSECRQKTCHVAGYQARGLSCKVTFHGETRNVDNSICESLASVRPPETRPCHREDCPRWEASQWSECSSQRCVSSMLAQKRRNVTCRFTNETTVDIQHCDITNRPATTMDCPNQNCKAEWRTSDWGSCSSECGTGGVQLRLLSCVWISSGRPAGRNCEQMRRPHSARACVADEPLPPCMPTASALYQRDASCQDQSRFCDIIKLFHSCDSMEVRQKCCSTCTFVERKKF >CRE29564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1001117:1002919:1 gene:WBGene00059977 transcript:CRE29564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-15 description:CRE-TSP-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LVP8] MGAFGNSAYGARGRLVKFSYIVTALISILFSISCICYGIWLLARRSQYAELVSPSLYVDVGRILVIISILSIANYLICFYAIFKEMRCIVTSCAVASIVIAVMLIIGGLIGLNFRDQLTHYTPLNLKMLTSLRELYGTHDMKGITESWDALQSNFKCCGVNGTDDAQIWKTSKWYMHQKAPKALIPESCCIPSEIEECRTNPFGSATPPYYTKTCYEPLQNDLLHVMNVASWLCITNAIVQIIPSIASCWYSRLIRK >CRE29563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:992563:997225:1 gene:WBGene00059978 transcript:CRE29563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29563 MCSAVRDELWLLLLDERHKCDHVDADLGFGNGIEENQTEYGMQYPAKQAPRQAINFQERLARSRNATFLSDGDFDGTTINRQDYGVKKVARNAPFKFVDSGQLDSGEFLADTTHKTDFQGKSVNRQNALRPTTNGLQSGEFEGYTTHKSDFDYKGDPRTGVIRGPQDAQLFSGPFNSTTTNRADFDKKQAERSGILKGPTSTNMFGGQFNGQTTNKADYDQKQGERSGIMRGATGGQLFTGPFNGQTTNKSDYDLKQAERMGILRGPTSTEMFSGPFNGTTTNKADYDQKQGERSEILRGPTSTEMFSGPFNGTTTNKSDYDRKQADRQRPWAPTDGRLSAGPFYDTTTNRADFDRKQAGRPMPFRAADDSQLTSSSPFLVLSTNRADYVRKQGDRALPIRNTNDSSTLSIAAPFDGESTNHADFQRRQGEKSKMTRPHSSSNLLSSGTSKFDATTTTRADFIQKPHVRVINVKPRDDSFSGHVSRFDADTTYHDGFQEKSAEKLPLQRTKDELTVGNGKFYGETTQHSDFIEKHVDICPAEKVLTRRDRSFEFKRTANGHRFYEQRVTHGTIQPNRLVPVEA >CRE29770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:979458:990320:-1 gene:WBGene00059979 transcript:CRE29770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29770 MVFESLVADLLNRFLGDFVDNLDSSQLNIGIWGGDVKLDNLQVKETALDDFDLPIKLKYGYLSSLVLKIPWKNLYNEPVIATVDGLNLIVVPNKGVVYNEEKAAKNIQEIKQKTLARLEEARKERRKPKDPQADTFTEKMITQIIKNLQISVSNIHVRFEDRYTNRHRPFAMGVTLEKLNFKTTDENWKETIHKETVKIIYKLVSLQNLAVYWNSSAEFISDLDDKEAIRKRLQETIHNGKSPPEGFKYILEPIQMEAKLKLNQKPETDGSHWTIPKIDLAVDMHALALAIGKFQYQDILLFLEAQERFNAASQYLKYRPNLNEFKGHYKQWWRFAYTSILEEKVRRRRHNWSWDRMQKHRNLVRKYQKAWVRRQTEASPGAEVEATIKDAEKKLDVFNVNVARQQAELEIDRQGLTRQEDKPQGWVAWGKSWFGSGGGGPAPDKNKKGGKDIGSQFQEAMTPEEKAKLFEAIDYQENIPPTNYPKEFVENRFEFKLGQVAIVVDGAVSMQLLKLKASVEQRPSAGAMHVESSIQELRMDGCGSEVIRVRDPSVPWMYFLLDTNPLKGDYDQLVKLAIAPINVKYQAPAINNAIDVFKPPESVRLNQLTALAMSRYEEVKTRSVTGLAHAVEHRSRLVLDVQIQPARVYVSEGGIYDADKPTLLADMGLLSVVTVDTATVNTSGMDKLSALMEKAYDRFHVKLSNVVIAFAENVETAEGCVFEKESPLHVLKPTGLDIQIHKSSIDDLRLAKMRILGDLPDIVIGISDVRLIGLMKLALSIPTPKADEKTKAEKELEVVPEAKIKDRAKMRTIMEAEEMEEDVTQRDDGEDEDEKQKKKKTSEQQVQIELDLRLNQIGVIVYRKDAVFCDVSILKMACKLQMRTFDMVVTAELGSIKVSMPEFSSLDSKREHLYLIDNDDHQGSLMTLKFVQANPESPFFATEYALTEQAVDFTFTKLVVSLHQQGVLELKAFGEALQSQLAELQKNTPEEDKVEETARKISRKLSDSVMSIASLSASSSTREKRQRKKTVGSSAAAELDTSRNIKQRIKASFGSLALNIGTQKSLETSLAIEHVSFSCYAKLYNFKHFQIHANVKITEKAMEVVATLRSISMKDRTTGAVYKKLLSVTGKEDMLRFDFVQYQRNDEQRALMKSSEVDMVVKMRLAQMRFVFLNLWLARLMAWIAPFQNEAVRAAQAAQAAAAERAATAAQNVKQIMEQSPPRIQLDVILEAPFIVVPRLSTSRDVIVLHLGRLALKNEIRGDSQYPKAIIDRMDIQMTDCSFGMGVMNEDVSAVSSSCMILKPISFKLALQRNLTFAAVKQLPEIVVDAHLHSIEAEMSDADYKTLMQTLSGNLAEGADLTVPPPPPPSSLESSNTTVAPTTPGKEKEKKDREANAGPPVVEKSHTRIVFQFVLDKISAVLYEGESVNGARNESDAFAALRLNNVKTSGKIGEDNSIIFAMSLDAFTMDDERKEKTKISKLMDKKGAKGDRFLDMSFNQDAEANKQIRLKMSAFFICLCPEFLGCLTRFFNVPQSEEQLEKEAVTSNVPTKATSSASATSTGGVKTPAGPPQPVGTIAIDCDMHGVEVILVEDSMHPESTQALILSFNVTAASHPNEQTKDTKMNVAVENLTIFSSFYETKRRNEVTYQVLTPVKIEALVNMNTERKTTDAVLKMSAMDVKMSPSIIRLLSAVSAEFAKSSATGETGSVSQKIAKLRKWPNYFDAKAIDHRKYWFFAAPVAQEAVEVEEDATQEEKSRTDSMIGKENATVEIERISFTLEAGTGAIPVPLIFLDMLINAEAHDWSSAMRVSSGISLQMSYYNESVSVWEPIIEPVENEKGEYERWRLAMNMKSRNKQDSNDTSPQTEVKIEADKMLNVTVTKSLLSLLNKLSEAFATAAKQITPTQTRQLPGISPFVVLNETGIAVKVLDTETIRVSESGEPVDATHGDFIDVFLKNKKSKIEDRRLSIEQEEVTGDLKFELTGTVRETKIGRAEKRIIHLPKVSEGGHKWLIVAETTIENNRRLVTLNSHVKFTNHLSYAVELYSKRDTTLDLFGTVEHGETIPLAVPLLFSPSGDIYLKPVDDKYEVSFESLCWHNFEHNKRQAVRCEADSTDGSFSGIYIDSVVHEEKIPDGVDDQTTSIFHVHLYPPLEFHNNLPFDINLELPEQKVLTAGTSTLLNVVAGSPVKAWLTYLGEKYVLEMPVPELKKDLEVVALNTETGSDELLLGLHWTSEYGDQKVYLYAPFWLVNNTDKMLRHVNDDAVPHGPNENPIILPFPAVDLSKKKKARVKIENESEWSEEFPLDTVGNAARITCKSAQNDFDLTVDIKLCQSGLTKIVTFAPFYLVSNLSKNPMEIREEGQKKWIDIPAETCVGVWPEERKKRKLMCVRYKEEPEAESLLFPITENYETLCHMDGDSIGVEVSVSTGESSVAIHLSPFTPGMCPVQVMNNLTVPVTFGQKGHKKTSVGPNELAHFSWASIVDAKVLEVDVGDWHFEDKLDQNRFGDLQVDKNVRRFSYYSNFLIGRQRVLLFTSDVDIAKAAYGSWETDIVDMQAEISLQGFGLSVVDNIVGREIIYMAISSSDILWEEEIKKGRFKPLAVKYMQALEEKYQAHLVTPNDEYESVEGFEVNVNRLILKKKKGKEVKIRRIFEQGLWASYGKSAQRTRLHAKINHIQVDNQLDACIFSRVLSVVPPPKSVIVDNTPKPFIELSLLQRQPEFSSIAEIEYAHVLVQEFAVQVDQGLINALLLLISGEVARKPYGKEMFDEDLKICHVTLSETASTYRSQRPKSFYNDLHISPIMMHLSFSQGGTSGDAAASGASMPIQSEMINVLLRSVGVTLTELQDVVFKLAYFERKCVFYSPEQLNGEIISHYAKQFIKQVYVLVLGLDIIGNPFGLVRDLSAGVEDLFYQPFQGAIQGPEEFAAGVALGVQSMFGHAVGGAAGAVGRITGTVGKGVAALTFDDDYMKKRQEDLNRKPQSFGEGMARGLKGLGMGVVGGITGLVTKPIEGAKQEGGFGFVKGVGKGLIGVVTRPVSGVVDFASGTMNSVRAVAGTNREAGPLRPPRVLRADKIVRPYSSADAYGFKVFKDTDRGELAETDEFITYASISDKIVLIVTDRRLVLSKRTDMMGVWQTDWGTEYCKIKEPEFIPNGVKILLKEKKKGFLGIGSSEGKIITFQNAEKIHPKLAAAYKKATLA >CRE29769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:976837:979042:-1 gene:WBGene00059981 transcript:CRE29769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29769 MDSSSESVGDDQGDYQYPWFPMGHFGINFIQTDGSKAYVEGELSRAYIKDYELLKASKRKKEEKQPAEQLQVDMDEMSLQDSVPEDNGEATDKLLEDKDMAYEVLPKWSSDKKKGKKRKAKGRYNYHSFEEYWDVSSFWVIQRTWLNDFGCQMTEENQKELLKKCDEMKPIYPIDQHENLLENPDYQNITKSLTETKEGVTFEEIYAEHCDLIKKQTENDYHIYQTKEVTRRCKDMINRVKRLGYAPGFEESQLPSFYDLNDKDIKIDKPLIKEVRYQIDESYAKKFVGDKFEESTASTSESSSSAESKIESTAQLNPKEIRFNFDPETEQHLIASNAEKLYANDPEISKYYYQRYRLFSRLDEGIIMDREGWFSVTPEAIAEHIADRVVRHNVSVVVDAFTGVGGNAIQFALRGAHVIAIDMDPVRLKCARENARVYGVADYIDFICADFFDVAATWAADKKLAPKVDAVFLSPPWGGPSYLKSKEFDLATGCCPNGIDIFDVSLKISPNIAYFLPRNTKVSQVLTELAIKAKTRMEIEQSSLNTKIKTITVYYGKLAYREKSPKSSGDN >CRE29768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:971334:974933:-1 gene:WBGene00059982 transcript:CRE29768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-29 description:CRE-UNC-29 protein [Source:UniProtKB/TrEMBL;Acc:E3LVP4] MRPSKWLLLTVGTAFLLNTSNVSASDDEERLMVDVFRGYNSLIQPVRNSSELPLIVKMALQLVLLINVDEKDQVMHTNVWLTLQWHDFQMKWNPVNYGEIKQIRVSPDKVWLPDIVLFNNADGNYEVSFMCNVVINHKGDMLWVPPAIYKSSCIIDVEFFPFDEQVCTLVFGSWTYNENEIKLEFVQAELVDVSEYSASSIWDVIDVPASLVNKRSRIEFQVRIRRKTLFYTVVLIIPTVLMAFLSMAVFFLPTDSGEKITLTISVLLSIVVFLLLVSKILPPTSSTIPLMAKYLLLTFVLNVITILVTVIIINVYFRGPRTHRMPHWVRVVFLQYLPKLVCMKRPKSASERSAVRSGMAQLPGVGQFTLSPSAHHPLCPSADDRTATIRNTALNETSAYYPLSTDALRAIDAIEYITEHLKRDEQHKSFRDDWKYVAMIIDRLLLYVFFGITVGGTCGILFSAPHVFQRIDQQEMLDRLKEKYDTASNIP >CRE29767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:967464:968223:-1 gene:WBGene00059984 transcript:CRE29767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29767 MHFSLLLFLSIVSLATSQMIRQCGCGEIEQCLGSATGGFMKCADQCQNHVAAMGANYPALRQCMLQKEPAITRAANCQKSNLQNACSRSGGGMVRKRYPETLKLAAFTEVNSILQRSGIQAEAKAFLSVGKKFATCVMKCMDRGSTGNCYKKLGCGLDLPPDSILVQSTKQCAINSGFDTAGVRQLCNCVAGTGVRNLAPLCNRITIS >CRE29562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:956906:959511:1 gene:WBGene00059985 transcript:CRE29562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29562 MLMKILITFGLFVLTNPQVDRDWSFQSMCAFWNGDSSYRPRTNGLQSMEGDKCTFDFPVSTDTQESAKRYCEEHVPYHINEATSGFPTKCAAEATLVCQSGWIQLFGRCYKMTKELKTKQDAVVHCKAQKDDATIAFIHRETLPFRINDYFTRVSRIWVDASEAITKDLIDNNLNGNLILALDGFEYNLPNIALTRVDSSETAMVLCEYTPSITIAESHYLLRRYGEIYYPTIFTSEKAYVRSTSSIQRNNENRMADNDYCSKLLKPFLGNVKAQSAVPTEEMLRGITVKRDATIIRTSVYSGDAKRDSRISSDCTPSKARNYGIDYAGNNGNPFFKSLKDDPIWRSGEPKETCDGGSWSTGISLSRDHDKKLEAMSDARYAPIYCQTNFETMGYGDCPDGFQTFYRKEIGQKWCHIFVSKKNTFDGAELECQKMGGHVSGFTNQEELDLMDKMWGDSGADPNETAWIGAKRREECITMGIASKIGGFHPDDNNPCSRLSIRMDQRGCSESS >CRE29765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:953803:955902:-1 gene:WBGene00059986 transcript:CRE29765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29765 MVSFELEAFRAVLVLFLQNFVLLSALFLVPLARSDNGNKFNDFQLQYDKKADRSSMLYCFVFNGKIANVDPNNEEKGYKCEVNMQTWTKDDNHAKDYCESRFPYYIIGAKPTAEATTTCTFQINLKCADDYWQIHGKCYKVTNEKYTWEDAKNKCSAETQGKDALMKPKVAHYYSITMNNYLQDMIGILDAWVYVSDLRDYFVNGKGNAAVYVQDGAYKFDTRKGDILMDDHHSTHQVICEYTPGMTMAEMFYLADIYSEIYPIHVYTDGAIIPSASFMTVHQTGLRPINDQANYGGKAEKFTTDNIGDICTSIGNILNVNSYPMTAIEEEFNDVKSYLRDQRFFLTNAFKNDGCSLKDFKQKNGNDADYQLYQGKQQGKDNYCHSHSLSFNKDGRFPTMAGMRAPALCTLHTFNWVFADCPPAPPGNNYEVVRFDRKNRVFCHYIENNNPTDYPTAVRKCEDFGAALSGFDSYDEYKKVLDKLKPDPSASSRLNIDYHYFLGGRSPCKVDCDNGIERYKASWDSNVAVNTTFLNSYNFKELTKSDVSRISLRQDDNAFHLQ >CRE29561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:942704:953301:1 gene:WBGene00059987 transcript:CRE29561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29561 MTEKSTGIPQNTATTGTSLGRTVTGPAKRNIDNTVYAANKHATTCKRFLTNLNSSNITPSTDQLKKLDSMLMETHKQVANLKALPTYVAGKKAHQLVSESANKEKFHKELDNYLNISNYLTAIATGEELIKLLENVLSEHGQTSTTPVNGFFPFSCPYLSLNKRVIEKLYQSCSDLTQFIPPHMTFGVVNPLGNGFEAGTVVYFSCDHGYILSPFVTATKCVEGPSGYIWSEDIPTCIPPTSTAGSTLATTTYTTGSSPFTFPFIGTTLSATPSTSVAGSTLSATSSSVGSTLPATPSTSVAGSTLSANSFSTVSGEPFVAFDDVVIEGSMTFEEKNMLDQPQIWTQTGDWIWTPSGCIVHQWTFPISFFVTSIPIRLNSNQIELLIDISQCNSSVQVSVTSSSDGFIPPISDFRPVMNTTSSGSFVVKLSNLKQYLAVAITANGYVQVCGVTIRENICDEVDYNGLHLASSRPFSMRRYLPATCGSRVTPISGYCDGRRGWVIQNKPCICQPTPQCATIAPIRQLDTFSECSLNSCQNGVCQQHDGYFICLCNQYFISAVTDGGEPYCKPDHCSFTSDSRNSGYDCETGNINNSKTCNESYFGNFCQYKGTLANNSYIYLLEYESDEAIATNVCESVDTEYAMPQVCSNEPTTTLLPNNQDPCTLTCHAGSCQRSPPPFNGGVICMCDTDQYGRQCTVSKFCYNESASNHYTCLNGGTCSVRPDKKCFCLSNFGGDYCETPLVEDNCYEGEKDCVHGSCRRENDRPYCNCDSGFIRDSDGNCTVLWDMCYHNNPCQQYGSCMFNVTSGVQNCNCTSSGWQGDYCELPPVQTDCSVCQHVNKCFDNFTSNARCQCSPGFSGDFCSDPVEDCLFEPCFNSGTCNYFNVDIDGDLIETYNCTCPTGIDMILSRSFENIYPGYNGTNCETRVVPDCNTFTCENGGTCEMTSHGTARCQCTTQFYGPNCQNSCSDQCAHSYGCIQNTNESIICDCYDGFLPPLCDKVDDVCKANVLFCQNSGTCNSTTESCDCPNYYDGTYCEKNTHSCRDINCFNGGTCLDYNATCQPCIIYNPDGSTQPYCKNNGNCTNLENGASCDCNGTEFTGRRCEIRANFNFNLVFNGMNYAPDIVTRPFYDVSIAQFTICSFVQYNHPVVESTGTPESTIPTLLPWLVVKGYSKSQMMVFDNKGFFICNPDDAECTREEISKNSNFRQTPITANTWHHYCIVSPEDQTSPSYTVYLDGLPFPQLAPLFNPGTSGYLQLAPPDLTKRTDDRFVGMISMTQLYIIRLSETQINQLAFDCYTTISETNTEIANNTLISWNGGFTRVSSSNPGVFIDPSGICSSVKCMFGRQAKSNNYNSTGSCAKDRISPTVLKCPANKNATTTEDFIKVTWSDDEISFFDNIGVVRVEVNYHNGQQFGVGITAVRYIAYDAAGNSAECTFDVIVVQKSCPSNDQIVVDNGRISFGPTRMAPFTSKVVLVECDDNLYPIDNRPGFYVCDIMGNFNYGGWVDDTQKKYYLPACGKTVPAVQEINGTVVGNGTCKQVFEKLRETIWSAANCNQQSSCSIMILPPCNETNSVGNTRETENDDFALQYTFSTSNATETISTSVLKDLQDNFRLVRQDSTVDCDPSFPIHDTSGNVTVCVSCADGTFANMTANECTDCPIDTYRNSASHDQFACTSCPDKMITGDVTGAVDESQCYQNCIAGQYESKGVCLPCPEGTFAPREGLRRCICCGFDLSTFGNGSTSFDECQKTCDPGQEMIRTETEFAPYCQDCAVGFYKEDTRGPCIQCPRGLITASHGSKSINDCNVLHCIDQNTRRNGNIKVGPNTVYSEMCITCEQGTFQNKPNSDSCIQCSDLSDDITDVPVTCQSTCSAEIPTEGCNCQLQKNKTNSMTIRNCVPEVQPDHKNSNAIKIILPIVFGVLLIIIVVVLFCFRKQIIAWVRKSDTSDNQHVAVSHWNPQRISEEYSPHYTSDSQSSIRVRNKPPAPTIPRPNLRIVTSREEMDQLPPLPSSSTPSFHVDSAYNNSKHVGFSSGIPSSSRNLRSNISTIQPLNSSNIFFEANGSPKIIRERTDTLSDDSSLGSFF >CRE29559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:931146:935722:1 gene:WBGene00059988 transcript:CRE29559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29559 MTNLRWFLQVLSCLIVLGYCTDPRYNDSDMYDDCFQGAPGWYHLTATCIFPLTQFERNYSTAAQMCGAVNHSIGYRETNWLIAQQLLEMFTNEKSRDLMKLYWTGLSVRNGSIVVESEDATNDTVANVAVFTSMYNPLWAEGQPSQSLSSNELDGSCIALDLRNSSNFGWRSLPCSSQLPILCQNYACLPGTFRCADNSKCIPSSFQHDGFNDCLDGSDEMPVTQSIPLSSSTPNSLLSNVLRENPVYEWPMIVSSGGILSPTTVRYGRGECTHRWTVLSPNDQHFIIWIKWMSPTTSTAIYVEGKDQNGRIYLNSRNATSSFTLLSSSFVLTASDTDTRKIEFQVHYQEADKTICRITENNQLFFNSESIPMSCNYRFTTKIPSSYVAILIRKCEGPSPIVSTIQFNNSTVSLTRRQSKKLLIIPSNSLNIHVNSTWPGSETQLDFQFFELRPGEESVDVFMIDSDFEIEWIPKSSEICMEGQFRTLTVNMILTSSDESENSSQSSTWNVERFLKSCSEDNVQIVSKNQSAYVSNLGSVTGFGPTTVVIHQSAEPFEFYSIYALQGIARDGSGWAFDEGVSTTTISTTLSTTDIISTTTSSPSSGTKCKLPTINNGYIKAVSDHAYSVGTIVSVNCDEGYMLDSLPYNIQCSDNGTWIDGENRAYPPVHPIVKCLHINCPSETGYEIDNSMTPDTYETSYGTVRRYQNRSTYGYQPFCICGDDKKWLADWMCYNTEHLTKYEIPNGCIIPEVHDAIFVTQLPNDQYVAPSGYTVSFSIEMDCMVCPTVKKTYRCLDGLWRASNGSVQAYDSFECRCQDEPGWIDPCLPHGTYVQYQGYYSCQCENGWKYGDGTCVDIDECNETSSFCDPFAKCVNTNGSYYCECPINYHLFNASNFNPSQWGSIQRYLIDGYSCVETTCIYDIEWSQWNIQVIKPPTLSPYYKSGNSMGYLYATNMCDSDYKCLLQFKETCLNGDWLPRPNPLVACKALDQSVYSFQPVAPYFHVFQTIDLACNQESKIMIGSF >CRE29557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:922573:923866:1 gene:WBGene00059989 transcript:CRE29557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fog-3 description:CRE-FOG-3 protein; FOG-3 [Source:UniProtKB/TrEMBL;Acc:Q6E3E5] MYTEVREVVNFVCRYLFGRIPRRPTGIFASELANSLVCQFSSSWDINNPDNGQMERVVYINCRNEGSSKCFGSCAQEAGLRREEVLGHLPINVCVYASPGKVFFRGSLDGIEVPIWNGEVNADDTYQPVAEYIVRSASGRAESVSNLGAAQKPVLIGMKPLPTNDPAIHELVNNMYIPLGLEKCDEDFSNLSHMQERYPYLFAFKPSSAQTYTGLEFAQTRFGSSKSRPDLQTMLNIKQLSSQHATSSTNFSAPMKEQMIYSHY >CRE29764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:919185:919948:-1 gene:WBGene00059990 transcript:CRE29764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29764 MSVEDEEYFESITPEFIAHLNSESGRPFIRSGSLPVGEVQKDSKKNYLKRPREDVTSRSRVEIINWVLSSDELAKACVCPYCLKIHSRRDNARVHMKNYHKGLPIREFYQGIDASLEEVRRANETGKYMER >CRE29762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:906692:910719:-1 gene:WBGene00059991 transcript:CRE29762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hum-1 description:CRE-HUM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVN1] MAFHWQSKVNVQHAGVDDMVLLPKLTEQSIVENLKKRLQANSIFTYIGPVLISVNPFKQMPYFTEKEMLLYQGAAQYENAPHIYALADNMYRNMLIDNESQCVIISGESGAGKTVNAKFIMNYISRISGGGQKVQVEPYFCVLAGNSSFQHVKDVILQSNPLLEAFGNSATVRNWNSSRFGKYVEIVFSRGGEPIGGKLSNFLLEKSRVVHQNEGDRNFHIFYQLCAGADKNLRSTLGIGELQYYNYLNMSGVFKADDTDDGKELENTLVGIIQKSRLSTSVLYLQHAMKVVGVNDQEQLEVLRIVSAVLHIGNIAFTEENNFAAVSGKDYLEFPAFLLGLTNADIEAKLTGRKMESKWGTQKEEIDMKLNVDQANYTRDAWVKAIYARLFDYLVKKVNDALNITSQSSSDNFSIGILDIYGFEIFNNNGFEQFCINFVNEKLQQIFIELTLKAEQEEYVREGIKWTEIDYFDNKIVCDLIEIKRPPGIMSLLDDTCAQNHGQREGVDRQLLTTLSKSFAGHPHFAPGSDSFLIKHYAGDVTYNVDGFCDRNRDVLYPDLILLMQKSSNAFIRSLFPENVAASAGKRPTTFSTKIRTQANTLVESLMKCSPHYVRCIKPNETKRPNDWEESRVKHQVEYLGLRENIRVRRAGFAYRRAFDKFAQRYAIVSPQTWPSFQGDQQRACEIICDSVHMEKGQYQMGRTKIFVKNPESLFLLEETRERKFDGFARVIQKAWRQFAARKQHIKQKEQAADLMYGKKERRRYSLNRNFVGDYIGLEHHPTLQSLVGKRQRVLFACTANKYDRKFRVSKLDVLLTANHLTLIGKEKVKSGPEKGKIVEVIKRQLDLPQIKSIGLSPYQDDFVIVYVSGEDYSSLLETPFKTEFCTALSKAYKERTNGTLHLDFRNSHIVSYKKMKFDFSDGKRTVQFGCDGSSSAQKTLKPNGKVLNVNIGTGMPNTTRPSTERPQGGYTPRRDQLRTSTRRTNKNSNGPQPMRAPVPAHGINNNYNQPSPHSSAPAPVSTNHQYNQEPARIPVMGNVISQLNNMNMAASGNNNPAAGRGPPPARGPKPPPPAKPKLNPVVIAVYPYEAQDVDELSFEAGAEIELMNKDASGWWQGKYNNRVGLFPGNYVKE >CRE29555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:904481:906346:1 gene:WBGene00059992 transcript:CRE29555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29555 MTVKPKTILENGDGLFNTHVTVEDLEEVIQDQMKTNSKLGKNTKYTVIGEGNIVSRSQKLSQSQSSQFPKSNCSSSNSFLRHFQGIMSRIILVEPQWTISDDHLPSKFVLKIPYCVHIQGLIGQMIAMNPMSEEQEAGLWAMWESEIQSIHNREVNINKIVEKWNKREDLLNPRVYFSKKYDSENRNKGFIGMEYAEDSIVRHLYVNVKPRELYPVSVKLFLLFVLKFVAHFQASGLHLTDEEKQSISGFDIEKIAGPLMSEDGIKGILQQVRMMNPEKFDEKVKKIEAFGMEIVNFELASNLNKYVGIDQPVLVHGDLWATNVLWKEKKEEWIVSKIIDYQGIHMGNPAEDLVRLFVSTLSGPDRQKYWEKLLEKFYKFFLEALQSQNAPYSLEQLKESYRCYFVFGGVGLVQLMGPVTQRKLTMCSPDENVEEYQKVVLGKMEHLLDDVEKFHLHSRNVTKSYKKPVTRI >CRE29554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:900952:903481:1 gene:WBGene00059993 transcript:CRE29554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29554 MRLQLLLCFLYYAVAGSINPEVDQKELRGEFHDETRHLVDYEDFSTNLTDYASRRTSDDDKLSPEEQKRREKEGPNSDEVDDDSSDISEERERDSVDHQHLKSLTAIKPEKLQPVAGVINSAFNDRKTFSCPRTKLELMTGRSVADISPEDITIIAAMGDALATGIGLWPNADIEFRGASFPIGGDSTIDGLITIPNILREFSPKIVGVSHGMGADLPDHQLNVAQTGASTKDLYKQAVELTRRIKKLTEVNYLEEWIMIIITIGTEEVFSIFDLLIHYSNINFQICTRCDGPSYEHIKRAIEHLQIEIPKALVVLLGPVHVSSFHEQKSNLLKSRCQCSRNQTEGFMYDVSRKWSKVWRDIQKYVETGVTSRPTFGMISYPMVTITSRYPSGLFIRDKPLLNRRGHNYATKWLWNRLIGGDLYNLSSATLSQDNYFCPSVGCPYFRTYANHKKCTTLTHDEAKDQELVSHNGKTIKTSRRSIRFLYNLAMVVVGAAFCTVCILGTFFYQKSKMGDHGRFEIVEEPQKKLEEAQKEEQKALLTRQNTRAQSELAQTSSGIQLAPISVGRHKSFLGTITEGTPDV >CRE29761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:899587:900199:-1 gene:WBGene00059994 transcript:CRE29761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-15 description:CRE-RPS-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LVM8] MATQDDAHLAELKKKRTFRKFTYRGVDLDQLLDMSREQFTKLLPSRMRRRLDRGLKRKHLALIAKVQKAKKAAGVLEKPATVKTHLRDMIILPELVGGVIGIYNGKVFNQTEIKPEMIGFYLGEFAISYKPVKHGRPGIGATHSSRFIPLK >CRE29553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:898049:899092:1 gene:WBGene00059995 transcript:CRE29553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29553 MSLSLLTPRLVTKCTVARILVVRNSSSRLTLSHEQSIKINDQQGFFKYQRDVSRDARYSNPAKPGDTASRFMFRKLGHAYEIYPLFGLLAIWCVLFGYTVYYSFEKAEIWLDRSHTTAPWDWERIRNNYWKKPTLVFDPTGVTHQRLEIMETLQDEMVAAAKERGTR >CRE29552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:895761:897844:1 gene:WBGene00059997 transcript:CRE29552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29552 MAPQTRNGRKKVVKVIPGTTVELKKNCGFKWIFKNKRNRYGRIGNDIIKDTILKIDRMTTGNKDSDRILNYYRNALSDCIKVGLEGLSPENRGNLFQIFPESHETPAAKTRKIKKELVVKEEVEAEKEEETMTISETSYTSEALAVRSETLTSSDDECDETAISQQLEEMSITEEMELNIPLHTSTPLRENSVISVIKQEEIQVFGVVEKAETTQIDGSEVRILKSSIKKTVISQREDWISKREVKPTLGLERVENQSESTINLETPTHSGYRRNLFATKSESVVSTLPKPEPSILRNPKPENIEKKNHLSFLRSKVLNTKLEKGREIMKQKAAVIERKSVQIEKKSSSYKSPARNIPILKRTEMMSSHHRPLKKTRVQTFPEKEKMAPLLKTPVPVLSVMPLLTEFKNNAFLFQIPDTTLQTNTLKKTDTSYQNKTLLDYGLDYLSNEEDTDDECNPKNKIPSWAANFDVIADNVRTQFENPPFDVVEFFGEIEKVLRLSIGDSNTTITFFPAQPVGNLWHQNSWQKAWIINMLVINTTRNLNFISNVQQRLPRKEILIKQFAISSFLTEIRNAFSTFRQFLCERVHPKEKRRCMQNEKRIVRRKVYWSVLGCEGEPSIEQGFLN >CRE29760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:892041:894548:-1 gene:WBGene00059998 transcript:CRE29760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-30 description:CRE-TWK-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LVM4] MSCFQVESDKMARFLSLHVLLIGSVVLYIILGAIVFQMLEGEHLDTLKKEHMDRIDQNAKDYVDRLWDLTKKEKDTFDNVDDLIKSVKAKTSDDFFDYVDTVFYAHRAVRHGYDEDSPTWDFANSVFFTTTMLTSIGYGYVAPSTFGGRLFGVIYCLIDKPNSGIPLTLVTVANVAKFLSETIFFLHYELWNKCLEWKRKRKGEVEADPAQPMFGDDENEEEILDRVRLVRFPPLTVFMFVFVYGCIAAWVVRYWETWTYVESLYFIFISILTVGFGDIRPSPGNIWVTLAFVVGKSTLKNYSEEIIPVGVILTTMCMDVVGRMYLKEIHYLGRKLKSNNPFYLLREAKARRRRAAMASLLAQLAKGMIFAHKDYNELARKKSKRKKEKRRGSHVLPNEKFMFARLPPDPPSDCQVVSTSAYSVRLAWAPPFSPDPDLTYNIRYRLKHNEDAKVRELRGIKGNTVEIMSVDSCSLYEFRITAHNKFGESKAVYLVQYTEPQLSPQHILATRLNANTIELTWEPPYKKSHEVKNYVVYFTENPNASLSEWEKIPVNGRRVVFPDLRFDWFYMFSATAVFKDGQRSPLSRALFIKTDKIEFHKHCVGHSKTIDVMDSICEKEENETTALLKRDYVSFAV >CRE29759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:888753:891408:-1 gene:WBGene00059999 transcript:CRE29759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cids-2 description:CRE-CIDS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LVM3] MVVLTSDVVYNRLQDIKNPTQEAIETMSLWIMHYKDKASIDLIVDGWLNCFKTAGTDSKRIALFYVMNDVVQKAKMKHADTIIPAFQPAVLTAVGIGRKQEKVKGVMKRCIQIFKSRNVFSTASTTAMENLLDEGYDAEDQALEVDADDLYKKITNFVGARNVIADMMKYVTEGDFDYKEKCRSGMKDREEGAHILQEVHEAIDTMVQVRHSMEDQKKRMMALSETLELAKRVFLHQKREVLVVEEAYVNFRDGIKAVHTDLVEMEKKGVYPGIFSPNDEDIYNSRGMSQGWGDNRNQVDMEIDEDERPQAPMMKPALQALVGISSQPSLQSRIMQLGLKKIADGDEDMFQQNAGNAEVAAAIPSTFQGRPPALGANQFSIPPPAIPPPSVVDPRIRKDSEGGPSQYALALQQHGLPPPTQHKPMPVATPAAVQPAIYQSQFESRDPRFAAMQQQQPPPPRPAQLAQAPTAYQAQFEQRDPRIMHQATTAPVQQNYQNSYEAQKPSIISPQPHAGSYQGRFEPPPISPQVMAQQPQYHQPTGGFNRPPPSQQPPQQQQQPHQSHGFSDYNNGGDRRDDRYDRRDDRGRGSYHDNSRYDDRRKSAPYGNPRGGGGGERWRNGGGGGGAYNDRNRHDNRDNWRGGNSRGGGYNRY >CRE29550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:883477:886186:1 gene:WBGene00060000 transcript:CRE29550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29550 MTIKDKREFNETDEIVISKEKLDSFVLECLSKAGCIGDHAQQLAETLLCSDYRGHYSHGINRLHIYVHDLMMKSTAVTGTPQIIKTKGSTAWVDGNNLLGPVVGNFCMQLAVEKAKEFGIGWVVCRNSNHFGIAGWYAEYACRQGLVGMAFTNTSPCVFPTGSREKSLGSNPICMAAPGMDGDSFFLDMASTTVAYGKIEVADRKGETWIPGSWGADKNGDETHQPKEVLDGGGLQPLGGSEITGGYKGTGLCMMVEVLCGIMGGSAFGKNIRQWQTTSKTADLGQCFVAIDPECFAPGFPIRLQEFCDETRNLTPTNPARPPQVPGDPERAHMNMCDDLGGIVYKKKQLDHLKNLADRLGVIMRLVDEKAQ >CRE29549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:878594:881973:1 gene:WBGene00060001 transcript:CRE29549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sup-17 description:CRE-SUP-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LVM0] MRKLRLHFVVTLIFCLLYEDVYGLNNFIDNFETLNYRATHVANQVSRRKRSIDTASSHYQEPIGFRFNAYNRTFHVQLHPIDDSLFHEDHVADVDGGFADIKPSHFLYEGYLRDDPNSHVHGSVFDGVFEGHIQTGEGRRFSIDKSAKYFERDDRPTQYHSIIYRDDEINHRKWRVKRDAENLAEQMQGCGLSSRVRREMSNIQNSGENSDFFTNYMTMSGRSKRATRDSEGLFSVRTCSLYMQADHKLYEHIRMKEGNNDPIRTREEIVSLFYNHIKAVNEIYEGTNFNGIKGLHFVIQRTSIYTPDSCDRGRAKTDSDNPFCEENVDVSNFLNLNSQRNHSAFCLAYALTFRDFVGGTLGLAWVASPQFSKILLLFRHQRKIIFPDTAGGICQVHQRYNEGSRGWVYRSLNTGIVTLVNYGNRVPARVSQLTLAHEIGHNFGSPHDFPAECQPGLPDGNFIMFASATSGDKPNNGKFSPCSVKNISAVLAVVLKSMPVDPTRNANPVGIGKRNCFQERTSAFCGNQIFEPGEECDCGFSQADCDQMGDKCCVPHEARGLSGPGPCKRKPGAQCSPSQGYCCNPDNCSLHGKHEEKICRQESECSNLQTCDGRSAQCPVSPPKHDGIPCQDSTKVCSAGQCNGSVCAMFGLEDCFLTEGKPDELCFLACIKDGKCTSSVNLPEFASNRTNFLQNMRKGKPGLILHPGSPCNNYKGYCDIFRKCRSVDANGPLARLKNLLFDKRTIETLTQWAQDNWWAVGVGGLIFLVMMALFVKCCAVHTPSTNPNKPPALNIYQTLTRPGTLIRQHRQRHRAAAGSAPTAPAGQSRSAGAPTSRTTPSARPSAPPLVAPQVPVAVPPPPGVAGPPIPLIAAMPPGASSSSPAVIVLEPPPPYTAADPGSAMGGPRRGHRKNKRQAASDAAPSSGNGGKKKGK >CRE29757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:869223:872132:-1 gene:WBGene00060002 transcript:CRE29757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mfb-1 description:CRE-MFB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVL9] MFSLFSIFTSIPFHKLFPSTINSPTFSESIFSNFFKNMPFIGRDWRAPGETWVRTPHTNGWEQTKLRPVQVKTESYFVISSEPIFIPQVSSSPTLMSSSSPKFILDSGSSASSIPKFSLYDSEQNSSCGSLPRCNSSSSSAEDSDSANDKDWIPHCFVKSTSKEFIGCTSMSEAFHRLDLARAVNDVRRFNFICKVVQILVEEKLPNLSATARKSLLGILTAICFRSSNEDVNVSTAKDLVKNFGNGLDNVNVCGSPQLVSRHHQTASSLLDLISEKNIRTAADADDEAALTFFDLPREVVLMVLRRLPDHQSILETAQVHEALQDLIDGEDKIWKSLCTFHFQEYQIIKQKTSGKSWRQAFFDLKKYHGCRELYADLIHICCHCKALFWKSLGHPCVRETTAPSVRVTPRQFVDMLIYL >CRE29756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:861458:865660:-1 gene:WBGene00060003 transcript:CRE29756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-26 description:CRE-PQN-26 protein [Source:UniProtKB/TrEMBL;Acc:E3LVL8] MAPRQRRLLLVTSILLHVASTSANIYGAAAVQQQQQYQQQQQQQPQYSQGYGEVPSTFQTSFTFTQPETQSYQPAQQATPQQSSSSNLQFHSYNSQPGVQYGSQDSFFPNQAALTAAATSFATSAAQQYAQTYAQQQQVQAPAQIDQANLAKYSQYLDILQKAYGIQLPGELTMQPNTAAVATNTAAGYQTQQPQQVVNQVPQTYGQQPQQPQPAAQSYSGYPQTVAQPQPQVNAQTQAEITYQQQLAAYNHQQQLKIETRSFQQLAQQQQQQQQQQQQQLQQQQQLQQQQLAAQQQQQQLQQQQEAERIAAQQRQAQEQARQQQLAQQQAQQLQQQIQQQQQQQQQQQQQQTQYGGMQPNPYVQPPVQQPAPVQQPAPQQPMNFLPAPQAPMPQAPPPQQPQQPASQQYITQPPTYKNNYQTAALGQVNTYNGQSKPQVYTYPGPSQVQKPNHSTSYGNHENSVIEEEPHGSVISQQQIVPPRPVQPAQPISVATVTRGPPPPTHPVPVVQPSSVVPKSQPRPPPTTRPPTRATIRPTPQTTQTKSATARPSPASATSSAVTPSPSQSLTQQIRKLPAVLYIDSKNESTKKTETLLRETYGLPLVTFYVDKVIMKRKEEKLVQKDIETTSINKKFLQTDKPAAIERQLQQLTAHKGLPYLFICGTFIGSESHIDNYHTNGQIPQLVEYVCGDEKKKKKTKKTST >CRE29548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:859033:861188:1 gene:WBGene00060004 transcript:CRE29548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trt-1 description:CRE-TRT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVL7] MSPEYRLLFKFQKKYVISLAKLHKNLGENHWSTILRENANLAILRNIFKRKMKGKLNYRHMSAFLVSSENVLKVRNTVVMDEVDKLSKSIVSTRHNFELRKLAPKRKLKSLKDCYMFEHETMNIIRRVFTIFRLRQHIGSTNCDSMLVWIMNIMKQEKLQYVPDFVFKEAPLARAFRAKQVLKDVDPLSKRYSQFSIINHLRGALIWWIFAALRQIMIPIDVKRKKVYLWRGGYLKLLRREMKDFKERYKVKRVIRKPAFVLNPSPNSVVGRLKFDIVENKFRPIIRRNPIDKVKDKMHWKKVNSMLTWCLEKGGETRQSINSSCKILLKFLRRNDTFPKLFGYTADVSKCFSAVKHSTLTSIIERLLLENLCDIWTACGKGRDKKGFHKLIFCSNDSEEGAYQSLKKKMESKHVEDHTVIYCNQTSRKWLLDQLRSTISSYCYKRGKTTFKITKGVPQGHPLSPNFAFMYLNDFEKENWRKVEHDARIIYCRYVDDYVFMMTDKDLFKNISQPLFTGKNQHGVKANYEKCKESDDKLIWCGVKMDLKNAKFYRRKRCLDGTIRDHLINFDKN >CRE29755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:857961:858627:-1 gene:WBGene00060005 transcript:CRE29755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-16 description:CRE-HLH-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LVL6] MSSSASPPGESEEFEPYVRRKRAEGGSRKKMQGLNEQEQNLLRNSINSRERRRMHELNDEFESLRECLPYPNEANSRRMSKANTLLLASNWIKQLVNANHKLQIELNAANAKVESLMARIQKLEKPVSKCLKCKQNVCFSVDCITSS >CRE29547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:854089:856660:1 gene:WBGene00060006 transcript:CRE29547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lmn-1 description:CRE-LMN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVL5] MLTHLSMAPMTFSSDLRFLADTFSTAFFFREKTITMSTTRKSTRSSRIVSLERSANSSLSNGGAGDDSFGSTTLETSRLQEKDHLTSLNSRLAQYIDKVRQLEQENNRLQVQIRDIEVVEKTEKSNLADRFEAEKARLRRALDLAQDELAKYKIEYDAAKVEVKKLKPQVEKLERELAGAEEQALHAQSIADQSQAKQKTLQARNDKLVVENDDLKKQNITLRDTVEGLKRAVEDETLLRTAANNKIKALEEDLAFALQQHKGEMEEIRHKRQVDMTTYAKQINDEYQSKLQDQIAEMRAQFQNNLAQNKAAFEDAYKNKLNDARERQEAAVSEALHLRARVRDLETSSSGNASLIERLRSELETLKRSFQEKLDDKDARISELNQEIERMMSEFHDLLDVKIQLDSELKTYQALLEGEEERLNLTQDNSKNASVHHVSFSTGGGASAQRGVKRRRVVEVNGEDQDIDYLNRRSKLNKETIGPVGIDEVDEEGKWVRVANNSDEEQSIGGFKLVVKAGNKEASFQFSSRMKLQAHASATVWSAESGAVHSPPDTYVMKKQQWPIGENPSARLEDSEGDTVSSITVELSESSDPSDPADRCSIM >CRE29754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:851201:851686:-1 gene:WBGene00060007 transcript:CRE29754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tin-13 description:CRE-TIN-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LVL4] MDQLLDVETLKKLSPEQQEQVISGVKQQAALANAQNLVTDISEKCTNKCITAPGSSLASGEKQCLQRCMDRFMESWNLVSQTLQKRLQEEMASSGGMGGGFGQGPSFS >CRE29753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:844531:850868:-1 gene:WBGene00060008 transcript:CRE29753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29753 MASLINILGLMFSVYFYTWLHPDLNANVRIGLHRFKVDWIIYIGSIFCLFLGVCVIAPKYTRRTNALAGNIGMAVCSVLVVLNHYLLEIKWAWSGLLYMTNIVFYILFMGMAMMGQTGTYYYDRNRIISISIPVVSNIFVTGMLISVIQDTGFADTVPCISFGIHTCMAILAQVNRFIHSRNQIMDTSESFNDENKPQGKKRQQIESPFSAATPKSRIGKEPKRLFGNAFHNLADSNLEETRIETDSRPNSAASEDITLDATDASTIYRGSEVDPNLEETELYSAGTSANSTKDLSMVDESDETNADITLASNFQEKVFLHETLTTPTAPRVPSPIVMGDDSTPTAPTALHLGSEMGLETIDTHSVESIQSPLGNAKPHIDYSLYEFEILEQKVEHEVTVKELQEKIENLKEMHRKEMQEINQSRIFEEQLLMQQMDAANKKAKSDREAAKEREKSLQQIADELKTKLEEPDEEKQQLEKQLAELSSRIEELTQKAVNVDAMQQNSAEHQDRVHEFENFKLENSAQLTNARQEIEELQRKTTEMEKKVQEANEEVERVRQELCSSTKLDDLKAEHEVVRTMLMNEITLLESQLSENSQSNLLTQEIETLQKKIQELEAEAIQAVENKDILHADFSAKQEAAAIEAQEKIVELNEAKEKVAELMEEVINDLIILDLNIIFQIAKLREEAANNARVTELEQELEENRKLMISEMASLEQQLESARDNSGVNKELEGKYETAEARIQELESALEVNKQNFDGIQIELEQKASMVIELTDSMNALQQVLAENSQKVSELESSKAKVDELNIALEAAHMQLDEVKLSSEETSTLKEQLVASEARVHELVASLDSLTEQMDALRQKNENSDKEILCLQTEIEAARQEFDIEEEGSCAKIRHLELTVQEHEAARKSLEEVVEECKKDLQEAKNKLEETQKESVLREETSSEYQDKLKQAEEENQGLWANIKALEEEKENAAQKEQSSQVKIQELEASLDALRQSSNISEALRSEVDGSVAMIEDLQAQLEIAHQTSASVNDLEKQLETSTVTIQELRSSEESLRVQLEAATKLIDEFRGEKETVEAQHVAARESLSSELNIISQKKEELDHDKLTLETRIQELESSLASLKQDLSNQKDEKDRINAELESTRHKVERREAAIHELREQHECKVSELNVKINESQQKATDTLDLQSKIVELTGELENVRAELTGAEIINQETIQELRAEVESSHIMISQQKEQLAKMEKQEADVSEKSIMSLKFIAQVKLNQEQLEQLQSTIQEFEASFNLFKGAEENTKQTIQQLTSEVENSQKTISEQKEQLDEAVARVEKYETELSIQSAQHQEQLEKLQSTIQEIEASNSLTRGAEESNQQMIEKLKEEVESYQKTILDQKVQIEESVARIEKQEAELTNQAEQYQDQISHLQSTIQAFDDSKHSAELKSQELMSRIDELEASVIVAQKAAEEMKTEKDNLLEQAREQLEQMKQNVESEKSVLQQDLQQSNEKLEAAEQALSSKENTVVTLESRIETMSRQFEERLNEANEWKAQAMNIGTMTASLTQMQQQMKEMAEKLEESKRRVEEVEENAHHDITIMQDEKNEQSAALEEAKSQIAALDAKLKKAEKEIERLEKVCDEFDDEESGYKEQISKLEAEIKQLKGVKSPPKVLGLIQQARLNVKPLSRESSHMEPPISEDGFEDAQDSFQPNSTQAHQKSFNQTARQDKTMNVASATMLHPDDHAHEKSAIETPSKKNRSNCQQQ >CRE29546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:841076:843948:1 gene:WBGene00060009 transcript:CRE29546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-imp-1 description:CRE-IMP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVL2] MTIPIHYKLLTLLLVCISVISTTPSTTQSIEYSSNRGPFRSSFVFMTVENKRTGARSKSCVNYQQYLKSDTSSSLIGYDFESAHPFLFKFWEGLFNRTTICPLPTPRRGDIMYNDEVVPLDYRIDDDGTPCTRTFTNGVTSFRNASQFTVDQLKRHQASAALLILDHGREFVKGWRDYLFSDFYDPYINNSAAIPTFYIYRSDLNNKIMSLLKENDISDDQIEVRFHRPAGPLFDPSFVVIWIISMICVAGGGFWAFNRHRAGKDVSLASQRMDDDVSTTNESGTKGFFEKYAGMITIVLMMITLCGVLLLGYFFRPVLGSSRNSIKLLQQIIPVVFFNIFLVIFGTCSLHGCIRGLLSNFSFSEHRWYKAKVTWFPACCARANKYQYSELFICLLCFSFCATWFIIRRQPYAFILLDIINMALCMHVLKCLRLPSLKWISILMMCMFVYDAAMVFGTPYITPNGCSVMLEVATGLSCASREKTKGYPIPPVEQESVPEKFPMLMQVAHFNPMNECMDMDIELGFQFTILGLGDIVMPGYLVAHCFTMNGFSERVRLIYGVVSVAGYGIGLIVTFLALALMKTAQPALIYLVPSTLIPIMLLAFFRREFSKIWNGVPADSTPLVSDRADIEGKKWINSDSPNETMEESPESIQIKMSSTSSSSTQENQPTNV >CRE29752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:834132:837156:-1 gene:WBGene00060010 transcript:CRE29752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29752 MSESAEVITDADARSDVGVKAVSEAVVEHPSVDSLALVPREEVKSVLDLIRDKADNTHGCHVCYDDYETPKNQPRVLACGHTYCTRCVISCSAPSEQHNSSIGIKCPECRKISIQAPATVPVNFQLMQLLTALSLIKESDPDECDPPEYENFERLGAHVPVNELMSLSLSDLCEHMKAVFNAIRLLSLNDKKIKRMSVFRKFQTESQKIDDIERNMYKIIQNMTKLQNGQTMEDENSTNLSWNNLHPIRNERRFNDADWMREMVVFRPNNPNAPVIPLPTFPVAQPPSDDLRTVSERDQDERGQSRNMAVFREAHLRRERESAQEREVATRNEAIMRRLFDDHYVSNDSEIDQFTWFRSLYRNNGPANGGQIGMVRALNETRRRRQAEEAANAAALEENNDEDEEEDDEDEEDEEDEEDEEEEEDGADEEEEEQDEEEEEQDQEEEEPRDPQEVRVVDISDVPDFDFQMREELRELARQQAPEVEFPEEEEEVDDAASDDSSFIDVPIPRPPPPEVTIGEALTAYRLIEAFRPSSHRHLIESYNFSVFTPNFDALHQQILQPNASVTDVLANEHLLDLRRVCFMGGVCMNSLLRSIRQHSAQAPPAPQTPPLTERVRRQRPAAADDYNARLTVLRKRAKEALRGNRPDQPTTDEEANLTVRLIVNLANSMGVRDKLEEMLSPQPRVDQNEGLFVMRTNASTRQEMLFCTVCRCDVPMGSKQVHSQGRRHITNLAK >CRE29545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:827842:832528:1 gene:WBGene00060012 transcript:CRE29545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gls-1 description:CRE-GLS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVL0] MANKKNNQNKKNTDGPTMNGNNTTVTASLIHNASQNPQHSTSPSPNAMHQNNNSAAVTNGTTTPTPSSTTTTTGSQHHQSQNQHSHQKPLTTSTSSQQANNSKQPVKKINSNVGSQSSHGGVGARGGGGVVKQDPKPKEKEKEKSFQASGRQSNQANHHHHHVHHNTGGGGCGNQQNHRKKENKNRDQSNQSHRGGGSFGNSHSHQHQSSHSAEIAKSTGFPSNSSLSSSGQTTNSAVQTIPPPSVTLEDKKVQTDEIVEKKVKVVIKEEPPHFKSTNPKDMDTVMAFKEHDDWNKVELLLELLSFLSPTDLRLLGNCIEGSVRCYTNQMRPVENTSNSPDPTACLPAFLCSLTPQQPSSFPDATSESSLVNQRSMVNNLFAHQHPPGLPPLIPAMIYPVETNYQQSTSSMAPSSTLPANTKDICNGTTPTSSTTSASNTPSEQQQHDSLQNVAKNINGSITTSNPPSSKPLSEAEALSPTSARTSSATTPQPEPPVPQEPEKFLRSVRDLTSYLYMLMAVCASTNRKSAAKISDYVKNVVIREKGQILERIPDELDKIDVLQDIGKIVAAMTHHPAVSVDDKMKYADMRDGLRAEIECLFRLYYSPEKELERKRAEVTSTQGVGDVADEGESDEEDVEEYEIERRYEYTNSRFGNNSQSSSGAFFITRFIGRQVEKSDNLFSLEIHWSDGDRTFAQRTSNQLKALQHRLLDEFGQQRSEKSHHGMASSISSFDEDHKKLSTSTSTMETSFAPPGDRIVPRLARDATPVQYIQYINELSDLPARMMLSTVICEEFNGTRLRTEDLLQETNETSDGLIFSRWKNPRAKSPVRYFQRNAAGKIEAIELPINLQPFIYSNIPQTQMQTLFPSCSNCGGPHMVKDCNKPTLLDKKVEHKMRLDPEGPVTMPPQIPGVTTPAVAYPPMHAQMPHPMYLDNNQLLGVGHFAHHQQQQQQMMQNAMFHNGQFRTNGQFENPGQLIFYQQVVPNSNNTNSNNGNGGASQNSNF >CRE29544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:826895:827594:1 gene:WBGene00060013 transcript:CRE29544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhfr-1 description:CRE-DHFR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVK9] MRRMNLIVAMDSEGGIGKNGTLPWRIKKDMQYFASVTKQVTDPSKRNAVLMGRKCWESIPESRRPLAGRLNIVLSRQLPEQKTDNLIFVNSLEAALKLLAEPPFVETIETIWNIGGAEIYDLALREKLVDEIYLTRVFKNFEADVHLKSLDINKMEKIISGEVSSENSEIFEENGLQFEFGKWKIA >CRE29751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:824478:826373:-1 gene:WBGene00060014 transcript:CRE29751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prp-4 description:CRE-PRP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LVK8] MGEDEKFAVPAPPKQFGSLANAESVNAILNAAQKSQGSTVSLERMEVSNQADSRHDAEMLAEFDRRRRARTLTLPTDDVQVKLKLRALNQPICLFGEDALDRRERLRALLSTMSEDEIAAVLHTDEHNADKNDEETVTWYHRGPVELRKARVAIADFSLRKAKLRLEKAREDAAQPSHEKALARQEAHKWVQQINLHASQVADTRPVAYCEFSADSKHIVTAGWSGSVAVWKRDQCEKEIKFTGHSAQAGCARFHPGAFVQNDNASLNLVSCSYDGTVLLWSLAQETPIGELEKHPQRVSKVAFHPNGLHLATACFDSTWRMYDLTTRKELLFQEGHSKSVADVAFHPDGSVALTGGHDCYGRVWDMRTGRCIMFLDGHTKEIHSVEWMPNGYEMITGSSDNSMKVWDLRMRRNTYTMPAHTSVVTRVRASSAGQYLVSASFDCTLKMWSTTGWQPLRQLQGHDTRILCVDISPDGQWMCSSAFDRTFKLWAQSDY >CRE29543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:822535:824416:1 gene:WBGene00060015 transcript:CRE29543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-24 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LVK7] MREGCEKSQDKQEKTVTGDTKEVKGKLRYARYYHGVQKKTEAEKLMKDSCPGTFLVRSSLLKEGFNVTLFLSVKVLNGEDGSCFHHYIIEFREKQYYLMQHYCDNKGNGKTEESKPFPEIADLIAHYQHHRLACKIRLGRPFKYPRWQLKNFQVNTTGKLGAGNFCTVYKGFVTHRRDLKGVDVAVKVSNEQKRDATLSMETRNLLFAEAKIMINYKHPNVISFYGIAADLPPYMVCMEFCSGGSLEDALKKYGKDMEEFERQILLIDAARGMRYLHFQKCIHRDLASRNCLISSEGLVKIADFGLSKTLEKNQTAFKEALKEAPLAWLAPECIQRESEFSIKTDVWAFGVVIFEVYNNGGKLFDGEDDTVIIKRIRKANMPTIEEKTKLPSMQQVLSSIWTRKPDDRPDFQKVLEQLVSALLPIKQEDLKRMQINNLKGVCRTQMPNTSLDKDIMITNEDQEQDVSSEKNKNKSRTGETKRKTGNRKDRNSGKRTPREETPKSKKPVPVRNTIRKGPGVTTE >CRE29542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:821063:822070:1 gene:WBGene00060016 transcript:CRE29542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29542 MSSTPFSLMELPLLPLSILLKSMPLDSLIPLSETNQRFKQFIQLLHIKSGGYHVDIDERRFKISMLKQNFRCSFQEESKSFLLRNLSETLHKLRELFPGPIDQLSICPSFSNYFYDINMECTVLSVGLDPYFMLEYQNAFTEEYLNALLNSVNFKKGLSLKGPILLRTEHEKIFDIDWLKIKCSEWITTAILQKMKNKVIFLADISFSDKEINEFLHDLKNGNGNNQLQVLTFEKEGGFNEMEIIRGLNAVEMKEERVYKISEFDPSIQNDRFLPFNSGGEISIFDSFDFIRNDGIRCTIEFDYEVIQLFVWNQEKNKKRPRNDDFEIPAVKRFC >CRE29750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:817804:818811:-1 gene:WBGene00060017 transcript:CRE29750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29750 MSSTPFSLMELPLLPLSILLKSMPLDSLIPLSETNQRFKQFIQLLHIKSGGYHVDIDERRFKISMLKQNFRCSFQEESKSFLLRNLSETLHKLRELFPGPIDQLSICPSFSNYFYDINMECTVLSVGLDPYFMLEYQNAFTEEYLNALLNSVNFKKGLSLKGPILLRTEHEKIFDIDWLKIKCSELITTAILQKMKNKVIFLADISFSDKEINEFLHDLKNGNGNNQLQVLTFEKEGGFNEMEIIRGLNAVEMKEERVYKISEFDPSIQNDRFLPFNSGGEISIFDSFDFIRNDGIRCTIEFDYEVIQLFVWNQEKNKKRPRNDDFKIPAVKRFC >CRE29540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:813546:815583:1 gene:WBGene00060018 transcript:CRE29540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-15 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3LVK3] MASRLKAHVITREVAPGPDLLFSAKTCHSLICRKFSRKVIELVDNFLKEIFVFRHVRKATKRSASDSDCIAEKYSSHCLSRSAIPILTARRTTMRASAIPRPTEPLPNTLTTGRHSTLGHTGCPTLKKPIGSLPRVATAAKPLATTSRAGSTSSARSTTLRSSTVANKTIAAPTARTSNMARAVPVSSRPPSEVASLKSEITKLKEELKTREEQLKLTSEVLEMQKTNLTHSNAQLENAKIKLDLVESFKITLEEQLKVLKENMQQKTAHNEEMCQQLNEKEAILRKLHNDVVDMRGQIRVAVRVRPMLKTEEESSNDGIEYPAVNAIAINQGTRKGTTLMFEKVFTPLFSQKDVFVNIEDFILSALHGYNVGLIAFGQTGSGKTHTMRGGDAEEEEGIIPRAATFLFRESKKLEATGWKFEFSLSFLEIYNNEAYDLLNNHAAVKLRLVNQTVTLDGLSDHPLAKQSEIGSLLRTADKNRKTAATKCNEYSSRSHAIYMWKIKAHQQATGISTSSMLKLVDLAGSERAKESGVIGQQFKEMTNINQSLSVLQKCISLQKSKSQHVPYRDSKLTQVLMDCLGAGNSKTMVVVNINPCNDQATESKRSIEFASKMRDTHIGSAVQQRDLY >CRE29749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:812401:813093:-1 gene:WBGene00060019 transcript:CRE29749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29749 MDWPQCVLVAFFVSFNQFETNIVKSPEIPAFYLSIMIVFLVVPVLFIVVGIIKFNDCQADSRIPIWMISIAAVILLERILETVKNIGDRKFIRENPKPEGEDAVDEWEKQKKENQSTCLMVLLFFVRTAVFCGTIVGSVFVFSIFEKRDKCDGLVFWSSFVYCVLSISIYALVILLVACLCCLLALNITISS >CRE29747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:809989:810767:-1 gene:WBGene00060020 transcript:CRE29747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29747 MEERRRFPEAFIAMTCVLLAIPLYLLIVGIIKLDSCSADSRIPIWMICTSAIMIIERMMESMNQAMDLKFVNNNPRPEITERRKLKEWENERYKNRSTMLFAMISLSRVAIFVTTIVGSAFVFSAYSNRSQCDGLLYWSAFVFCIVSLVIFLLGGVVIGGMFCIMLIVGKRNNKVVRSERR >CRE29538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:808112:809198:1 gene:WBGene00060021 transcript:CRE29538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-3 description:CRE-RPB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LVJ8] MPYANQPNIEVTELSNDLMKFVLWDTDLSVANSLRRVFMAEVPTIAIDWVQIETNTSVLHDEFIAHRMGLIPFLSDYHVEKMQYTRECECAEFCDECSIPFILQMKCKDEATLAVTTADLRCMNNDTVIVCSKKVVTILACFQTVRPACGKALRERGTGREDFHNREEILIVKLRKGQELNLKAYAKKGFGKEHAKWNPTCGVAFEYDPDNALRHTIYPNVEEWPRSDHSALPEDSTEKEAPFDQDGKPNKFFFSIEGTGALPVQRIVTMGIGILKKKLEELNMALSLELQAHAQQQ >CRE29746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:806238:807830:-1 gene:WBGene00060022 transcript:CRE29746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pas-4 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:E3LVJ7] MNRYDRAITIFSPDGHLFQVEYAQEAVKKGSTAVGVRGKDCIVIGVEKKSIPALQDDRTIRKIHMIDDHVMLAFAGLSADARVLVDRARIECQSYKLTLEDPVTVAYISRYIANTKQRFTQSPGRRPFGISMLIGGFDHDGTPRLFKTEPSGAYYEYVANSTGRGEKPVREYLEEHYSEENTADEATTLKLVVKSLAQVVPPGSQNIEIAVMKKVNDELQQRVLTIDEIEALLKVVEAERVAAEAEEAASKKK >CRE29745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:784474:803797:-1 gene:WBGene00060023 transcript:CRE29745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cle-1 description:CRE-CLE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVJ6] MIGSTHFFFFLLIFGLLYSTGALFQHEDRVPNAPQNVRVKTQSTSATLWWDAPPDPTVLIRGYTVEYGEGSISQRILIEGPDSTSFTVTRLAPSTNYVFAVSAYNEAEGEDGTKVMVAAKTRQAEGSQTEKLWPPTSVRARVDEKAIPGSAIVSWDDPNPENAADNSIDSTQRQYVINYGIYESDAQQKVRSNAKAVRLTGLLPGKEYEVAVKVVAGDGSESPWSIRDLFLVPESEFPTKTTSRFDWFCRLNDTEMCAIDSSPHWKLCTEKHDTYTQRDAGACPRVQYPSSPAHLTTPAINLPDAQRLCFYFRFALLNFHPGLMKVEIYSDGDHSNRQIVWKTRMANVRTHAVQNVYIPFGQQVRPFKVREISVKSHFRKISFQVSVSLKWDGQPMPRVIVHEMDVLSGGCSERSTDSETEVDLLAPVKASLNADARVFRAKGIESLPAIGLQRGVEIAVPYRLYLPRNFFKQFSLLATIKPMDKRGGYLFAAVNAYDSAVDIGLLIEPAGTKQTNISLIVRSVAIVSFLVEDFSQQWTQFALEVIDQTVTFYFKCRRFASRQVTTLPDFSFDEAEKLYIASAGPIIDNGFEMRRLVALFVVHLLFVSVLGGAEELENEDFPLLSSEQVQPEVREFSTSPEDSSLPGTVFQVVPFEDARVRQARASSEEKEITSNSVMIPVEMVEVIDDGNLFEDDGKGVEGSGTPDEFKKSPESNEIQQIAELPPTPAPPPPYPTPQMAVQDLRSYEQHAATTPFQGVSESGGHCTKVCQGAPGPQGPAGKDGIPGSHGHQGERGADGQPGMHGSRGEQGPPGPPGMPGLAGPPGPPGSGSGGVGSGATGPQGPPGLPGAPGRDGADGAEGQRGPQGPPGPKGDEGPGAGGRMSDEDIDRCAQRLKETMQLDIEPMRGDLPEYNPKVHSHTTKGEKGERGPPGAPGAPASAGGFGGVNLGTNVHATTVELFASARATSIGQMAFATSSQQLFIRVNNGWKEVLLGGHIHPSLETKQSTQNSRQNDAAQPERQVAAPWRPRVNLEEPQRDSGSNNKDRVIHMIALSQPFSGNIHGLRGADLQCYREARAAGYSTTFRAMLSSNVQDLVRIVHSVDFDTPVVNVAGHHLFASWRSFVNGAQMSQYAKLFSFDRHDVLNDSRWPDKRVWHGSKDGGIRADQYCDGWRRSDASLTSLAGHISSNTSIFQSSGTTKCENKLVVLCVENMSKYHGDRILRLNRITSDFKI >CRE29537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:781754:784114:1 gene:WBGene00060024 transcript:CRE29537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdk-8 description:CRE-CDK-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LVJ5] MIDENFKKRLAVSRERVEDLFYFENSKEIGRGTYGLVYKAVPKHPNGRFPNKEYALKMIEGQGFSMSACREIALFRELRHPNLICLQRVFLTNEKKVWLLLDYAEHDLWHVIKHHRTAKTKKVPIMVPRNMVKNILFQILSGMHYLHSNWVLHRDLKPANILLMGDGPPDMRGRVKIADLGFSRIYANPLKPMAELDPVVVTFWYRAPELLLGAKHYTKAIDVWAIGCIFAELLTAEPLFFCKEEDIKAQNPYHYDQVKRIFHLLGYPSDTDWPDMKKMPDHARLLNDARNEGTPIQTFPNSLQRYFDKWKINSQSSPYRLLVKLLTVDPTKRVSCEEAMNDIYFRKMKRPPRETDDVFNKYPIPYAKKEQQMTVPIDHVQQQQQQQQQQQQQQQQQQQQQQVQMQQPQMGPPQMMGQPQMVQPQMVQNQMGPPQMGQPQMGQPPMGGPHPGVVPQDPHAHQMMQQQHHMQYQQMHDPMQGGMDEGPQAKMMRMGNVPVPRYGPMPPPYGGPQDYHNQQGPPMMQMMQQPGPSGYYGQRPGQPQVPGPGPQGYMNPQMGMQMGMRPPGVPPQAYMQQGRGMPPQMGQPGPSQQQQWQQYHR >CRE29744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:778620:781064:-1 gene:WBGene00060025 transcript:CRE29744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tlf-1 description:CRE-TLF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVJ4] MQMGDHQMMGNQRTFVQKVIPAQAGGTVPQNVAYVQNQGVRMVQQDLNGQQRIMQPMVRPVPQNGTGPHFVRQVPAGQGFQANYGQGGTIAGRSVAGGAPIRNGMQQQVYQRPAGAIPVVTPIPQQQQPRTVYIQQGHQVMQGHHRPPQQRIFQNQQQHQQQHQQNFNQQPHNNQMMIQQQIRQQQAQHHQMGQPQMARHQMVQQHQQQIQQRRIQQPQQPQQQQVFPPRGMNLAVPLREPSPEPVIIKREEPENPPPSSSMKQEEPMPDDSDIDIQIRNVVCNYTLPLHIDLRKLAMNTHNVTYEREKGVMMKQKRSPGCYIKVYSSGKVYIVGCRSEEDCKRAARSIARHVQRVMGKTKEKVQIRNYRVSRSKSHLNMKFMFQVNNVLATCRLPFGIKIEEVAAKYPSESTYEPELSVGLVWRSITPKATLRIHTTGSITVTGASSEADVLEVLSKIYPIVLEFRCHERAKGNVAAQKKRKRKAPTNTRAPAVKRERFDDANYGNSGVINNQVYFSDEDEDLYDDLDLDD >CRE29536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:777032:777862:1 gene:WBGene00060026 transcript:CRE29536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29536 MASQHEKDEEEYNSSSDEDYDPSKDTEHNQQDEVRVPRYRAFIAYSPPQIDDAEDGEEVADEDVEYLQKDSKSQKKEENSEESSTTNGDDVDVDAIFAALTGQAPPVSTASSSSSSNSKTEIKVPHATEPSSSSSSEVKESPKVYPRTTEISEKGDVGTSSAGKKRVGLLDAAKALTKRSKMSVLEKSDQDWKDFTRQNNLKEDLESHNRGKGGYLNKMEFLNRTDNRQFEKERAFRASARKDTN >CRE29743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:775649:776735:-1 gene:WBGene00060027 transcript:CRE29743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbs-7 description:CRE-PBS-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LVJ2] MNAHDELQRTLNPTCTGTSVIALQYKTGVVVLTDRVVSYGKMARYKNVSRQYKVNDNVLIAFGGDHADFHWLQNVIERQVLLWKRFDQDIGPKALHGYLTSLLYGRRCKMNPIWNTLVVAGVEEEEKNNKETSTPFIGVITQKGCAYQVKHIATGLGAFLLNQAVEDEWRKKGEDLSREDAEAVLRKSLELTIYHDCVADNEFEIGRVDATEGVVLGKEEKVIGDWSIAETNCQYE >CRE29742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:774058:775219:-1 gene:WBGene00060028 transcript:CRE29742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29742 MARENIVMNSRGQKIEVEFGRLREYYLYTFAPNKEFVSVRDHVAHDKALDNLREKQRINIAQRKDKQATKIQLLKEYEQSKSVMEDPMTPRIAGTTQRERKEDTVVEAKATTPVPLHVEKFVLNTTDDKRKTFHESWFRQPMSRCQRKKTDDIFWISGNEDDQSVDDDPEGKYTVTAETMIETLTAGGNVKDSGVVEPTSSKTIMTDPLKTVYTRYKNSCGKEIVFLNTLKGTIDFIKKQPPEKTNKSNTISVEEPTDVLNQITFGPTIITHVFKGRRLKRQNKGRKGVETFEENRKEYTRESGEETSGV >CRE29535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:773492:774030:1 gene:WBGene00060029 transcript:CRE29535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asg-1 description:CRE-ASG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVJ0] MATHKLSFFEKIANTAGALYRHQAQQFPRRLAILKAVGKHELAPPRSADLPAIKADWAKVQKFIQTKQYTNLTVKEGLVYTAVALEVIFWFFVGEMIGRRYVYGYIVPSDYVSKDTKAKVAEQKRIAALEA >CRE29741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:771473:772861:-1 gene:WBGene00060030 transcript:CRE29741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-15 MINLRRLVHTSCRLERGRTAFYNVHQKVTDPAKQDPDYFEKKARELPLDQNYIDALSKLYYEKIGSERDLGLKAADNLILEKTEFGLPRIEKSKIRVKYEDLDVLSNAPESVKKVFSVEMATRRELSQEWKESLIKSVRQHSLDGSSLEMKIAWLTALIRHWSLLVNDIGQETKKKPTWLTHRIWLVINERRKALRILRERNEAAFENTIAALKISYHVPKQPAHVKTRKAWAEAQLKLRVENEKEKRLEELHERYDKQVEDHKRETEEKRKALNNELDKLAEDMRRIDVIEGKAFETVGKYEPSLISSLTETVIHSNLFYHPPPTMSEK >CRE29740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:768835:771211:-1 gene:WBGene00060031 transcript:CRE29740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29740 MSRHRNIRNINIEDEMDDDYDDYDDYEDEEESEKPYTYDRNSLSANYYTYLTDSGRDSPTPTAPPPAANVPTSSYYPPVSTASPTVAPAFSASIVRNMTAPLLQQHQKPPKNVNNRPSTPQGASNVNTPKRTQQVKNLQAESATPNVSRPSSEVDLTAYRRNQLQNIAKAPSVPRKTPKPRVAEKDLINLIVVGHVDAGKSTLMGHLLHDLEVIDTRTIDKFRHEAARSGKASFAFAWVLDETEEERERGVTMDIGRTSFETSNRRIVLLDAPGHKDFISNMITGTSQADAAILVVNATTGEFETGFENGGQTKEHALLLRSLGVTQLVVAVNKLDTVEWSFERFEEIRNNLSVFLTRQAGFSKPIFVPVSGFTGENLVKRMDLDWYDGPCLLELIDNFVAPQPPSDGPLRIGISDVHKVSANQVVVSGKIESGEVDKDDKVYIMPSVIPATIKDCASNNGSKHYFAGDYIMFTLQGTFEPESVQVGSVVVKSGPDTLIPSRKFQVRLVVFEIATPIIKGAKSELYAHSLCIPCTFTKLIHTINKSNGEVLKQKPRFIAKGMSAVVEIETDHDVAIEAFTSCRALGRVTFRSGGNTIAAGIVEKSITPQ >CRE29534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:767233:768656:1 gene:WBGene00060032 transcript:CRE29534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-32 MSESTVSFSLLTDENGKIKVDFNGADKKHVSCFDSDSQRLSPCPSLASLVSTTVESEVLEAGKRRRVVSISTASLNTTDTFFDNEDIVQRTSTPLPHDNDDTDSISEVSFTSCVSKMSELSVAKSCSQETVTSAYMRDKEFQNDNISVSNENKHEDGIADGDWRTVKRNSGSSNRADNFNVSNSFDTFRKVGELPPRNLISYEKKNKERYGGWEKVGDWRQDMKKPKEAKLATSSTYSTPMSSSFSQNSPYQGSANSSHSKSRIPSLMNPPVYYSPNSSRLIPSNRVSASTGSYASAASSKTHIPPLMTPKIAPIVHKVPPTIKKQPVKLTITTDSAGNMKINFSNECSQESWSVTGAIGRSQKIEVHKMLN >CRE29533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:755796:766217:1 gene:WBGene00060033 transcript:CRE29533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-526 description:CRE-LET-526 protein [Source:UniProtKB/TrEMBL;Acc:E3LVI6] MREGEDADKYAASVAEVAHGGGGPAKKDESAPASVSNAPPPTPPQPQIVPPPQQHQHPPQQQQQPPQPHHPQPPQHPPNVPPNSFAPPPGHHPPHPGMPPMDWRPPPPGAEHQMPPGYPPGYPPYGMPPRHHPGYPPPPPHAYGYPPPAGAYGYPPQMMRPPMMGPGDMVRMPPGPTPTEWAAQQQQAQAAARAGVPPTKEGPNGNPATPSSSSQPIPSPSASSIAEESLDEKPSGTKMPPQQTPQPPQIMSPAPPHTPQAPTQQASASASATPSTPSETPRASGKVLSKAELLEKLVGPVTHHNPIHVMHERRMFFERLIDFCERNGGEPITMVPQVSKQSIDLHRLYIGVRAKGGFQQVTKDKYWKNLCTEANPDLAESSAAGYQLRKHYQKHLLMLECRETGRNPEDEVAFADKMKRQRKREPGGAAAAAAVAAAAAAQQGGDQKTQPGAPGGSGLPPPGPPGPPGPYPGNGAPGGAPGAPPQFPGHPGMDPNYHYYQQHGMMPPPHPGHPGYQHMNAFSPGQYPGHQRPPGAPGAPPPGAQAMRAPMPQHMQEMDEHQRQWYAQQHAHQQAAAAHHHAQQQAAAQAQAAQAQAAQAQQASTPASSTTPAPPTAPSSQAAPASGSNTQPATPSASNQPPTPAPASTLQVDPSSATGGSQAGSRAPSVGPGPSATPDSSSLPSTGVDAQPTTSSETSTPGEPGTSTPTETPAPSTSAAPPTPGQPPQHQQQPPGPPGPPGPQHPYPGYPGYPPPGAMRPGGFAPPPGAPYGYPGGPPPQQPGFHASHPQHPQHAQYLAWQQQRYHQHQQQQQQQQQQQGVPGAPRPPYPYPGGPVPPGAQQNRMQPPPPAQGAASPSGGSGSSGKQARYGTPAPPSRATAPTPQPLAATIPIVPPSTSGQPTPTAGSYLASTLATPGQAHIPSSSQPTQHMMSQQHQHQYPPGCIEATATSQAQVKRRKVYARELINATPRRLIMSLRSGLEAEAIWAINALNVLLYDDTNPQPSLQQMPGLVNVIVEHLYATLSILYPSEFQLTEPGKPIILDDSEELIDKLMKPERNEDMKMIVAKMPVVPRKGSDKTATFTMMSRNGLQVHYRDESIPVSLLKRVTREHSERDAESLIDNSISSKFVAERNSVGLGGGLAERIATRLRDKLFHEKTRPRPVFSKYMKDDDEEIETSLELNVKKEEIEDETEKDVLLMRGARPDELSDCKHEVELAWPRQTALSPRSKAMDDLAHRALALSNILRGFSFVPGSDHLMAKNEALLFIIGRFMRLNVNERKISTKRPGAVLNLEELKKDPKSLTEDEKRAKERSILDEADVTTAQMVETANTLRDDAFVMLTHMSVSLNLYELPDAIAYPIYDGLLRWAVSRVPEATDSTIPAPVSPRDYSLEIMCKMVVIERNVDMFLSTGSWSRVEQFVHILSRLLTMNEETHYREFAIVILNALCIASEAVCFICAMETTTISNLILFVDSADQNMHQVMQAHGMNALRDNPELMGTSVGMLRRAASMLRLLVKVPQAYKIYLKHQTRLLQFTMSQLMDSRVAGMVADTLYEIQEYVKEFGEDIPEPLKITYSEGYQPSMMDEKESPSASEPEPEKKKPVEEPPVEEPPKETVEEVITPSENIHGNGTVECDTNRPSSSEGISSYENHHKNEEEESKKDTDEGESCDGYESESLRLSQKRSAAALIDEVSQSRSPPPTKRACLTNGFDKSKSSVSTNGTLSEAAQNDGALTTAVA >CRE29531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:754392:754687:1 gene:WBGene00060034 transcript:CRE29531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29531 MPGDENAVGTETSEEKPTTSNESTTEDQTPRSDESPAHPQKRVKTGQPTSSSSCSLFFLQQNPKITHSSISLHLSHYFRVV >CRE29530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:750089:750636:1 gene:WBGene00060035 transcript:CRE29530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29530 MPNSTCKPFPCRNPDNFSKYCEKKELGKKTDDKMPVVHRNVEGENNGECFKIKGPKTPFLLTHLEKNYVDKPKEEPTTSEPTTSKGTKREHSVSDSDQELEDPNEPSTSSSTTRKRPRAQQNS >CRE29529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:748706:749635:1 gene:WBGene00060036 transcript:CRE29529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29529 MSSSDGYSYSQSSGDSSPKTELNNEQIHALLNKLSSDEQKELTEMMRTCTTEACYNDTWVTNHWSRSRKFLPSQTTSPRSISFRSEGMAILRNYGSGVTPLLLRILCLWARVETGSNQELISFGIRYVSHNKSLQNMNFQYNLAQSATNYDEIRRQNRGGAGETSISRMQTNQREPVHAPQRAEKPNPYDYTYSVPTEETSSYGDSFTSYKPLIGETSEFQQSPRVRRPSPPISSSFTEEDSGFMSGTPSGQPSSSEKTSGYTFQ >CRE29739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:746161:747495:-1 gene:WBGene00060037 transcript:CRE29739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbxa-145 description:CRE-FBXA-145 protein [Source:UniProtKB/TrEMBL;Acc:E3LVI1] MGNEMSSPKTLTSFEKWNDLPPEMKLECIDHLDFKTRFLLRSTSRTERALVDAHQFQMGHVQMQGLLPYPINAIIPSGYDAQKLTIIPSLNSQKEIYVISVRNATRFTETIVPLLVFILKTSIISEFSIEMIRQRDWVNVFGNMLEPASFRIKNFHGVILSHQETMFFVSKLHSINESIHLDANGCQKFPMERLIEDPAILNAKLLGIRDLPNKDAVWKLAEKWIENDSPIGTTFRVTSTNHHSFHQFAVVFKDRLISETNEEILIKTDNPSKHILLKLARRCRVSRPLICIVVSSETKELEFETFGKWVTKKMMPLSEYLSFLFVEDMNEEDDLNGSSFIILMLHCVWLLITMILKCLFGRN >CRE29738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:737509:742946:-1 gene:WBGene00060038 transcript:CRE29738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aman-3 description:Alpha-mannosidase [Source:UniProtKB/TrEMBL;Acc:E3LVI0] MMRYIYHFIKALIFLFPSSPFLPPPPFRVPPYASPLLFSCSNSECTLYFLLLNDYYYMFPHSFIKYSFLFCSLYTPFFQISLLSANEMYRLAVSAKRKVLLNPRTFFVYFVAIFFTFLLAYHHRIGQFQNRDDIHYSRIINMRSSSREENITQNKPIHEKPKRVKSNDGGVCSRPPDETKTDFNTFDLFEKVVAGGGSLPQASKKTRTEKLKVYVLPFTHVDPGWLETFESYTKRTNQILDNMHQFMMKNEKMRFMWAEFVFFERWWSLQKDQVKDDVKKLVSEGRLELATGSWVMTDEANPYFPVSVDNIVEGFQFIYHNFGIKPKTIWSNDPFGYSSSVPYLFKKSGVHRNVINRIHHKIKGTLQSQQAIPFNWRQYFDGDGEDDVLTQVLPYTHYDVLNSCGSDASVCCEFDFKRITHWSCPGPKPVNIDSSNVAAKAEKLTTQLERMSEMYKAPVILMMHGDDFRFDMIEEWNQQHDNFLPVFDEINKGSRVEIRFGTFTDYFDELEKWYSENKDSQPSTLSGDFFPYMCALGDYWTGYYTTRPFFKRQGRLLHSLIRNSDIMLSMLRENLKQRKIVENEKRLEQARRSLSLFQHHDAITGTSKVSVMDNYSELLHDSIISTKIVLENLTKSDIDIYPRVHDGVEIQQVVDFEKKEKEVKIFNSLLFTITDVFGIRVNNREVVVSIEGKTVEAQLEPYFQKGKAEADSFTLLFKATVPPLSMIKVKIQKGDSEGMTKMAKVEAKDSSAWELGNNWKVEASTESSSEMETPFLKATFDPTGSLQTVTKQNDKSKFDCQQSWHQYREAGGGAYLMRLHTNPKEVTEFQWMRITGPLRHSVYQKSKNILQRTSIVNVEGPSGEEVDISMSIDITSERNTELMTRFSTKWEKPITFTDSVGMQLLRRDYYKMPVQNNYYPMPTAAVLQNGKQRVSIVSNVEHGARFLENGSVEVNIDRILNQDDGKGLGSGSDAIPVDMKPVDMKFKLVFDQLDHEDPPKIHYSTHSFRAQQAVQSVIYPPILFDGNPKKEEDIEEIEENSNLNFPCDVQLLTVRPLSESRQLMIFYRHATSCSSEKMNNCGADFKTSLIDLLVKLGVKQVQKTDLSGVTRIGEMVKVEYLSDVELKTFDFLTLVLYR >CRE29528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:735942:737233:1 gene:WBGene00060039 transcript:CRE29528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-11 description:CRE-SPE-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LVH9] MSDELDISAALGNKTPVKNTRKRKSTSKEESGEESPEKTEFPSVFGAIGTPMAKVENAKEWDEWKEKELEKERRKWKRYLRSKWDLEQDKLPKKSDSKHYLGRHDHYANIKKAREEVADGLDAIHEMNFNVGKGAAINIQVNGKEIPKKKTKKFAAAVEKALAEMGNPSINEMWTDDMDEEEKRAEAEWARKRAKKVKALREIDADIQEFEENDRGRLFVPWDEYCREQEEKGKADKIGENHYKKWLEKKMDENKVSSKFNAYQLDLICLDEDAFKDKKSLKSVVKGVQNFYRKMRGPKKD >CRE29526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:732820:733809:1 gene:WBGene00060040 transcript:CRE29526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29526 MQNSILLLAFAVIAAFFVISCEAAHDHHGGGGGGGSDHHSGGGGGHHHHGGDGGGGGHHHHHSHHYDSGSSFSGSDSSSYSGGSGYGGGGFLTRLLFGGLFRRPSYYPSYYGYGNYNRGYCRVAQFVDYVGRTPRYYCDCPPYPPNYQWNQCVPMNAYGKK >CRE29525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:730850:731933:1 gene:WBGene00060041 transcript:CRE29525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29525 MLSSRNSNILLSSVFFPGLVLAEHGDHGGHDHGGHDHDHGGHDHHDHDHHDHHHGGWGGGHDHGSGGDNNGGGGWGGGYRPWTGFGGRGYYGGGGGGGLLARLFGWRRRRPYYYGNGNGGYYGGYSGYPNYGYSQGFCRLSQFVDYVGRTPRFYCDCPPYPPNYQWNQCSPMPVYG >CRE29523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:724753:726720:1 gene:WBGene00060042 transcript:CRE29523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apm-1 description:CRE-APM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVH3] MSISGLFILDLKGNVVISRNYRGDVDMSCIEKFMPLLVEKEDEGTASPVLVHQGISYTYIKYMNVYLVTISKKNTNVILVLSALYKIVEVFCEYFKTLEEEAVRDNFVIIYELFDEMLDFGYPQTTESKILQEFITQQGNRLETVRPPMAVTNAVSWRSEGIKYRKNEVFLDVIESVNMLANAQGTVLRSEIVGSIRFRVVLSGMPELRLGLNDKVFFQQAGASSRRGGNSGKGVELEDIKFHQCVRLSRFDSERTISFIPPDGEFELMSYRLTTQVKPLIWVEASVERHAHSRVEYMVKAKSQFKRQSVANHVEVIIPVPSDVSAPKFKTGAGTAKYVPELNAIVWSIRSFPGGREYIMRSSFMLPSITSEEVEGRPPINVKFEIPYYTTSGLQVCLLFNLMLFHILLFKVRYLKIIEKSGYQALPWVRYVTQNGDYQLRMT >CRE29736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:720199:723412:-1 gene:WBGene00060043 transcript:CRE29736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29736 MSLNDTFSAIASSPHGSRMDESFNESFRDKNTLPPTTIFRCLQKEHEQISAVLRLHSPLTAQYQSSLIELISLYERAEELSTMGMQRLILSVFLKLAANILTVLGKLTYQPTLYKLSQLIASSIVPDLKPDEKEEATHLQKWADRVVLETAIHMIDYPNYELDVEINIDGIVDDLLLASSNIQFVRNSIFVAALKLASEMDVNVHIGFKSKLTLENISDEYFLRLLLWLYLRNKNVLKTDLLSSLFSTLPLTMNAQEYNKSSECSLLDVNLYLVSLAVVASTTSESGLTFKSAPVIAPQLSLTKHQKDCWKAIVDTSLNRPVPALARLRTSQLVESVRLISNGSEDVRVLFEAWKTCLQPSATHDENVYEAIKTVTDKYITKMNSLLAYGPFYSTDAFYCAIPSSVSRRDMKTLFPLQFDYEFVNTTEAEHIGTVINSLEGYMSPEQEEIQKEEEEALSIRDDGSFGDDTFHSFGSASDNYTSANNSQFFSPLSHNRESMLPSAVSQLIRDDIANTSHFSTKSVILTPTRATASPTQSVFDKKLTPLSFERHQTIVTPSKKGNKSDDSGEDEEEDELEAALLESTQKHERSILAQKTPEKPALVQKPMPTPTKDAQTETDASITSSGEGSIISVRYTPRQETKGDKKIETLYSSESEADEYEDEDDEEDEEDIEYDEDEETEAEEDDDELLTEEQMEDMMGQILEATTTMFRDLRMKKFAIESQKIQFDNTTDDEILRQAELKLAKINSDISATSDRLIKIRTPSVTTVFTPHAPLQATPHVANQLIKKSSVDHDPKQCLGCQSDDVQEASLRRLEQMALDWDAEGNDYLYE >CRE29522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:716338:719881:1 gene:WBGene00060044 transcript:CRE29522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nath-10 MRTKLDGRIRTQIENGVATGHRSMFALVGDKSRDQVPILYHILSKSTVSARPNVLWCYKKELSFSTHRQKKAKKMKKATTTISGSLPDADPFDVFISSTQIRYCYYNETEKILGNTFGVLVLQDFEAMTPNLLARTIETIEGGGMVILLMQSVRSLRQLYTISMDVHNRYRTEAHNEITARFNERFILSLASCSSILVLDDQLRVLPISSHIENVEAIPASQKKSQSEADAELAGLKEAMKETKPIGPLLSRARTACQAKALLRFLDVITEKQSNVTCSLTAGRGRGKSAAVGLSLAGAIAFGYTNIFVTSPSPENLKTLFEFIVKGFDALDYQEHTDYELIQSANPEFKNCLVRVNVFREHKQTIQYISPTDVQKLGQCELIVIDEAAAIPLPLVKELISGPYISFLASTINGYEGTGRSLSLKLLQQLRQQSAGGEAKEGKSASSKGRTLHEMHMEESIRYKPGDKIEKWLNKLLCLDATNCQLKLECGTPPPSACELYIINRDSLFSFHDASESFLQQVMAIFVSAHYKNSPNDLQMLSDAPAHNLFVLMAPIDKNRKTLPEVLAVVQVCLEGRLDSDNIENGLESGKRAAGDLLPWTVSQQFMDKRFGTLCGGRIVRVAVHPDYQSMGYGSRAVQLIEQYYLGLATSLDEEETVPQKKNVIKQVNDGHTVELLEERIEPRADLPPLLQRLDERKPEKLDYLGVSFGLTVPLLKFWKRCEFVPVYVRQNSNDITGEHTCIMLKGLEHASADSDEEPVATWLPVYWREFRRRLVNLLSFDFSTFPAQMALSLLQLKNKNVEKQMKRLVIERPELALHLSNTDLRRMGQYGRNMVDSHIITDILPIIGKLYFEQRMPQELKLAVTQAAILLARGLQHKQFDDISKELDLPMNQVFALLTKAVRRIGDWFDEVCESAVRENLDKESEAAAASKPISTLPKAVPLANLEDELDAAAKEIRARHDRDRKALLAELGSDLQKYEITQDEKELAEAYEAVNMKYANKLVSVKSKRTAVQAQIPDAKDPLEKKSKKKKRFSNGGRH >CRE29735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:713746:715977:-1 gene:WBGene00060045 transcript:CRE29735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-2 description:CRE-DHS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LVH0] MPSVNMSLSLSTNVTDKEHKTDFESFSVDMIGFVGWILNLTVLEATLWIGVLFVLFLVIRHFLERIQIGDLSRKAVFITGCDTGFGRGLALKCLENGMPVFAGCLTQQGIESLSAEARKSIGNGRSLDAFLMDVTSDESVGKVARRLEEKCEKFGGLHALVNNAGITGKHIADDFLDMNEYLKVAEINLWGPVRATMAVKKLLKKARGRVIQVASICARVGLPGLGPYTVSKYGVSAYCDVIRQELRPFGISVHILEPGFFDTPLINRQKIDAEISEAWEQAPSDVKKEYGEKFFNDARQSTQLFLNSIASSQISLVIDAYYHAITAKYPRSRYQIGWDSILLFIPFSYLPTGLQDYIFAAAGLFLPKPACH >CRE29734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:708212:712326:-1 gene:WBGene00060046 transcript:CRE29734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppk-1 description:CRE-PPK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVG9] MSARTTTIAFTRTGDADEEGKPPEQSGESGEKQEAPILRPDNEAKDLPLNTTLGNQTSREPQNTKEKLGHRRIDEQGGVSYKKVPTNALMQAIQLGISNSIGSLASLPNRDVLLQDFEKVDIIAFPAAGSSITPSHSFGDFRFRTYAPIAFRYFRNLFHIKPADFLRSICTEPLKELSNAGASGSIFYVSQDDQFIIKTVQHKEADFLQKLLPGYYMNLNQNPRTLLPKFFGLFCYQFATLIFQSLGKNIRLLVMNNLLPQTVTLHEKYDLKGSTYKRMANKAERAKPHPTLKDLDFLENHKDGIFIDPIALDALMRSISRDCLVMESFKIMDYSLLVGIHNVELGIRERAELNGEAMPAPSTSNEQTGEKGENHKVLQEKFSVWDTGDGDVPHGGVPARNSNGDRLVLYLGIIDILQNYRLLKKMEHTWKAILHDGDTISVHNPNFYASRFLSFMTEKVFKKGTALKQSPSRRRMMKGSSHEDDTTVIVGTGHRQHRESEREGLNMTVTVPDGGASTSTPREQAQFGSQTARESTRLYNRDVSAMAEDRANSARDPVSSMSRVPLRRSYRDAGQAMSVEKKEKKVERLFAQPKPTDEKVPEEQEETEEIVSGDTATA >CRE29733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:706134:707196:-1 gene:WBGene00060047 transcript:CRE29733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29733 MSKKDSKDDTQFLSLWQNSENLAKMESHAMNRGLVAATRDSSSDPSNRSTLWTNLSSMSSADQAHLMSRLSSTWPFREERRALTWPVHAGLLANCVTSSLIATRINSEMFLYDAKAKFLDSVKRCPKSPFVFGVYSSGVTYFMLHQMLVTPKVFNELTPCPSCLVINSIAIGLTTGIALPMLATPYLAHYVLINKEAAAGGSKSMPVVNNLLEFLTLGWEGSKPARSVMATCAAIQMVVSFGAMYAMLWGRERMFNTLELDSDLARRLVAEAQTSNSFKQKILDFLRRIPLVNGAIPESPENERVV >CRE29521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:705156:705963:1 gene:WBGene00060048 transcript:CRE29521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29521 MEFIVCNKCGCSPSKRQFYITSCSHVFCETCRTTPTPDYCHLCKIPAKSLKMDGSLPKNVKKVFTDIGTLSADINRRLSKIIGFQKFQKSIQLKMENKRSAMRKEQIGKIEKKTQEFSGQLTKLSSFEESNRKKLEDTEKENEKLKHLIKSLELEIASAVPLADSDNEDDFFLKNTPTSSNPSVAGSITDNEDMFEFDLLGLKNRSDSSSSSCSSQSNRAGSLF >CRE29732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:700981:704398:-1 gene:WBGene00060049 transcript:CRE29732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wago-5 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3LVG6] MPPHPPAPPMPPMPPMPPVTVPPMTPMAPTPANQQDMHQQTGNDACIKRLQQLNVEEAPKVYLKPSEPGKLGKPTDIQTNIFGIEVEKETIVYRYMVHAKADISPTKEATFTKKGKEDFVVLDRHEKCCNIFFHAVEKNPDFFKIKDGNNIIYDGQSTLYTTTSLFSVTDTKDKKSRIFEINGADTSNEDLRSLACILLEIYAPRDNSLVISAENLGRRTADQNTEVNNREYTQFLELALNQHCVRETARFGCFEHGKVYFLKPTEEGFDPRDCISVGDGKVLHPGVKKSIQYIEGPYGRGQNNPSIVIDGMKAAFHKEQNVAEKIYEITGREPSKTLNDFDREKASHVIKALDCYTTYSNRQRHLRIEGLHHDCAAKARFELPDGKSCTVQQYFQDKYNVQLKYPEGNLLICKERGNRNFYPAELMNITKNQRVTIPQQTGQQSQKTTKECAVLPDVRQRLIVTGKNAINITEENELLKNLGIKVYPEPLMVKARELEGKEILYDRKVNTESGKWRAPPGGYNKPCAFPDLWAMYAVGTQQSRFSAGDLNNFSNMFMETLQRKGIKVPTPAETCLLHADQIMDKLQSVSESKCKFVFVITDDNITHLHQKYKALEQRTMMIVQDMKMSKAVSVAKDGKRLTLENVLNKTNMKLGGLNYTVSDAKKSLTDEQLVIGVGISAPPPGTKFILEGKGHLNPQIIGFASNAIANHEFVGDFVLASVGQDTMSSIEDVLKSSLDMYEKNRKTLPKRIIIYRSGASEGSHPSILAYEIPLARAIIHGYSKDIKMIYIVVTKEHSYRFFRDELRGSKATDMNIPPGIVLDSAVTHPACKQFFLNAHTTLQGTAKTPLYSVLADDCNAPMDRLEELTYTLCHHHQIVALSTSVPTPLYVANEYAKRGRALWAEKTEGAPIENEGSESNRLKDLTKELAYQQTDLHNKRVNA >CRE29520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:697558:700384:1 gene:WBGene00060050 transcript:CRE29520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-44 description:CRE-PQN-44 protein [Source:UniProtKB/TrEMBL;Acc:E3LVG5] MRGRRSRTVIAYDQYGNIQKTLAPHGSNRMRNNGYHGNQNQNNQYHHQNNSAQCHQNQGYPEPVIEQIVERTMLLAKGQMKRLRAVLDERVEIHGRGNFPTISARLVDLIRCLRQCLGSVDVRARDVRLNGGAASFVASSEDFTYADLDLIFPIQIDNEGDENAQKIIFDSIRDAVFMTIRELMPDNIGKEKFDFETLKDVYIRKMIKVSGDNDTWSLFSLNNEYGRCIELKFVNKMKRQFEFSVDSFQIHLDPLLDDIDGVEQKKVTIESMYGDVHQAMTHLHERLIDTVKPEEIRGGGLLKYCHLIIRGYKPAKPWNCQKLEKYMCSRFFIDFPDLISQENKLRNYLDSHFGTSFNGVTYDQWSSSGSDTDSEASTSQATTSSLLVTGQAKYDFLMLLYRVINESTVCLMAHERHMTLNMIDRVAFQLSMQCYPPPTFSSGSSTPPRTTLFYLPPDAATWIPVI >CRE29519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:670573:671112:1 gene:WBGene00060051 transcript:CRE29519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29519 MGRRRITAVTVEPAGDLGFQADQQEQKKITLTNTHDKKIMFKIKTSDNLVYLVNPVFGTIEPGKTAEITVTRNKAPGKEAKLVIVNSVFSGDDKDLAKSFKTAKPTGGQVTVKIAAK >CRE29517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:663779:668033:1 gene:WBGene00060052 transcript:CRE29517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frm-4 description:CRE-FRM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LVG2] MPQNNTSSSSFLGRLTTSTRSSFMSPKDVRCNVHLLHDSDIIGNEFPRTQSAQTILDYVCEMKHIREKDFLGLRYQDHNKHRYWLDLTRSIGHVVKHFRTETMTLHLRFRYYPAEPARLRDPNLRYQLFVQLQRDLLHGRLYCPTSSAAELAALILQTQLGDHDEEKHIGNYVSGYKLLLKQTPKLEERIAQFHKQMKGKTSEDAELEFLEKASQLDTYAFDPYTIKDPQDSGPVYLGASCKGILIYAGQSRTHNIEWNELVKVDYSGKEIRLTLSDTYRGQVTAAGTPTGTLNGHGPGSPISGTSGMDKYQVKKPMTLKYTCPSAQFAKHLWIHILSQQAFFNETSAHDVKLKFSKPRIPLLSRGSTFRFPSKRVYREIEEDDAKSMLFDKSILETSAVMNETTTSNENAEESILNCSTMSVPTSTCSGVVRYELPRQTPRTEQPWLKPTSLKVTASHDNTDTIASSSSSPDSSTENQNNNLSKITDLNVDMGGEGSSSPSSTSPVVPIIHTQQNSDHNSQNLLGKSSNGKLANGGYSSDEFEKKTVHTNGHALITTSEKQDSLVDLDESTTSTKKSLVSRVANTCFVAFLILLLIIAVVIVLFERSEGSAHNDFIEKNRALSDLRHLYYEPTRHYVVEQYRKYFGPKI >CRE29516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:655430:657452:1 gene:WBGene00060053 transcript:CRE29516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-coq-1 description:CRE-COQ-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVG1] MGVLPKINIVARQLRKCSMAATSSSPVTATSSDSSFASTAFVQEHVKQMQSDIMDQLIPQDATGAVENLADLNVTSNLGRMTHYYFQQGGKMLRPTVSLLMGNACNSATNRSISDDLLAVPSSDRSGIATHLTVCQNQYKIGMIAEMIHTASLVHDDVIDEANTRRGSASVNAVWGNKMSVLVGDFILARATQILCSIGKPNVISVMASIIEDLVLGEFMQMSATPSEATPQQRMNAYIEKTHRKTASLFASSCRSTAILADPNNLNLHQTAYEYGRNLGIAFQLADDLLDFIATSDEMGKPVAADLKLGLATAPVLYASQQYPELITMLLRKFKHEGDAEKAREIVVNSDGMDKTRQLIDAYSQKAIEMASSLPNRNESTEQLIKLALSQSDRKF >CRE29515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:651493:653858:1 gene:WBGene00060054 transcript:CRE29515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29515 MLRWLTLLLALPAILNAEVITVTSQNFEQTIRKCFPHIKILISVISEANELVFVNFYADWCRFSQMLKPIFLEASEKFKDSAPGKIVWASLDADKNNDIATKYHVNKYPTLKLFRNGEVTKREYRSSRSVEALAAYINKQMEVTVQKFTEKNALQAAHNEKFSMKNHNPFQPEKNTFIGYFNDENSVEYKNLLNVALFYRDECEFMVGIGELNFPGEVPPPGQAPRLVFQPSNKAVNPNQIPFAGDFATYEYLKQWVADKCVPLVREITFQNAEELTEEGLPFMILFKKSDDKVSEKTFADAIIREIPDQRKSINCLVGDGSIFKHPLSHLGKSENDLPVIAIDSFRHMYLFKNFEDINVPGKLREFVLDLHSGKLHREFHHGPDPVTGNQAPDTEPPPSTFEKLKPQSSRYTILDKTEL >CRE29513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:644093:645208:1 gene:WBGene00060055 transcript:CRE29513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29513 MSDDSDIDDAAHQKLLQSIHTPGTVKKNRLKKSTKSKIDASSLLSHITVSKPSMIKAKKSLGIEDQDTTTKEKSGKKRKSEAAGSEGTSKKKLKSKTLIPLKDVEARKEIEGKIAFTDLKKEVTQNWTELVQSNRISDQLIFPLTKPDGIMWGDEKTEPVLTEKEKEVMKATDIKMAKERLSQMQRMRAIVGIQEAKNRYMKKIKSKGYHRILKREKRKQLLKEFDDLVTRDPSAAHEKLAEMDLQRIMERGSLKHRGQNQKFKQMLEKHASRNPEVKKLLDEHLSKSGLSNRRGKDDDGESTGKEEEYS >CRE29731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:648923:649339:-1 gene:WBGene00060056 transcript:CRE29731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29731 MDSIVSGLYNFVATTVVPAVTEQATNLVGGATALAGGAAHALTQMSQTALEWGSGSEDATASSDVFSWEQQENAPSIPSEPKTEEEAKVVRQEQAGTSSANTSIEWEVVQENPDETGPVKHNQFEIVQNDYAWMKNSN >CRE29730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:647755:648108:-1 gene:WBGene00060057 transcript:CRE29730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29730 MSFFSFATSVVSNMSAMITNAAETVANYVTAETETPIKEVQEKDQEQKKEKKTSDAAVVVEETAIEKKSSEEKIENFDDDFEVISDHDLYVVLYEYDRGIATEENFFIDLEESTRYH >CRE29729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:645280:646423:-1 gene:WBGene00060058 transcript:CRE29729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29729 MMSVELFGFQVRIIKTTKRNLIFQSILLIFLRLAQLAFVITATTSFLIDCGGNKPDPRKSSAQSTGSTGGTTGGRGDDDDDDDEEEEKKKRKKKKKKAAAAAAKKKAEKEKGKLPPGAPKPPKNRAAIADTHDPNYQTLAGLNDNVFDQKGGGGAPAGGGGPKAPAAGSKPGMAATHDPNYQTLAGLNDNVFEQKGGGGGGGGAPGAPRPPAQAGAKAATNDPNYQTLAGLNNDVFANKGGGAGGGAGAPPAPKAPAQPGGKAATHDPNYQTLAGLNQDVFGADKKR >CRE29512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:642973:643750:1 gene:WBGene00060059 transcript:CRE29512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atp-3 description:CRE-ATP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LVF4] MAQIMKRGFATSAALAKAQLVKTPIQVHGVEGRYAAALYSAGHKQNKLDQISTDLNNVRAVYKDNKKFQEFVLDPTLKALKKKTTIEAVSTKLGLSKETGNFLGAFFNNLFTYINISFSGLLAENGRLNKLESVVASFESIMRAHRGELFVQVTSAEELSSSNQKALSDALSKIGKSGQKLTVTYAVKPSILGGLVVTIGDKYVDLSIASRVKKYKDALATAI >CRE29728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:640988:642291:-1 gene:WBGene00060060 transcript:CRE29728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29728 MGTRWAILTLLAVTLGVVIAENKVWQFFEIKITIFLKFQSAYAQAADNFLDELSGKDVEAVKLPEEKSEHAGHDHGEHGGHEGHGDHGGHEDHGAHGAHGGHEGHMMKMWFHGGFEEVILFDFWRTDSLFGMILSCAAIFIMGATYEGVKWFRVFLQINQSQSQILANKSCVEFALQTTRSSGHQSVSRSHSNKPQSEPFLAATVTRSPANSPFSPHRLIQMLLYILQLVLAYWLMLIVMTYNTYLTAAVVLGAGFGHWLFAVLQLRSSDGEVTDSFQTDACH >CRE29511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:640267:640934:1 gene:WBGene00060062 transcript:CRE29511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29511 MDTMSSADENQNRMVPSFLPPGNVIGWNLTESVNPITKKKEFTYTVSVQTVGAFGSTETAEGVMTKCDGPCGNRVLSANLIQMGKCDHFLCKACFGIVKNPDGSYGCSNFDCWSEPQANFRKEKANYTKVINKQKSRARKFKKDGEDMKSCTKYDLPKTPVVDSDSERNSKKSSDSDKSSTCSFTKTAELSDS >CRE29510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:638410:639274:1 gene:WBGene00060063 transcript:CRE29510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-5 description:CRE-DPY-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LVF1] MVKAVVGFGAACGISAIVACLWAALVITNDINEMYDDVMGELGGFRDISDDTWGNLLDVRHGAGESAEQYVRGIFGRHKRSNSQCSCGLPSQGCPAGAPGNPGAPGEPGGTGPDGKNGPPGLPGLNIPIPNDFPKECIKCPAGPPGQDGLPGQEGFQGLPGDAGKRGTPGKDGEPGRVGDIGDQGAPGQDGQPGLAGPPGRDGLTGKGQPGVAGRPGMPGPRGEPGNNGNPGEEGQTGAQGPTGQPGKDGFNGNDGTPGQAGPQGAVGADAEYCPCPERKRRRV >CRE29509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:631635:637657:1 gene:WBGene00060064 transcript:CRE29509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29509 MPTTPTLPARIKVAHVLQALQLISAKQVFFVLNGKGKFHRSLINPMKLPSMEMLLEECSTHLQVAIHRLYTPEGKLIMTVNDILSYDGPRIIACPRNERPHLDEKTNSSNMKLPEIHPNRYAPRQAYTRVVNSIASDTSTGGSAGVMTSGSSSGNSIEKRQRLPVLNTRYIPRKHRENGITSDVANNTTRVAYIAKSYSIKRTAPTTMNRNHIHSTTKEVNKKSKKDDDTAISSAASTAPKDSGTGTSINSQQSDRPESMTERKAQMIRDELRANLHHQHHNQSSSDQYSEEDMIREEESDYDNIGGGIHSGTDDEEDDRDSALGSGGQRRSVKSSHRTPTHRTPSTSGSEQNLSRKSTAGATDSRGKTRTMSRQDTPYQATPSRQSTVISRQETTESSEPKSVHSSRASTASKAIQEVSDEEEEEEEFSRETPAAQTPDTRLQTAKSRRSTAGSARSFASESSRAWSRISGYSDKEEILPSDEDDELPKREISTAERALLEEEAAKLKYEKEQEEREEDERLRREYVELCETSKLQSKTKYFLISVTEYSVEILLGERYGIDFDMPLFIVMHGEKGSSQKLYMAENDWLSSELQFYETMQWVVQKFTIPSLGMLKSIDVGHEQEGYGAGTFIERVVITENTDGQCFQFAVAKWFDSGQVDGLIERHIELKGHMTMMPIEENKERKVSQGRWEFHLHSLHSELGGTTSNLVVTGYGQLGSSAHEVPNKNLLQDPMASTCIQLDFGQDIGELRKVRFEIDGAGEKPNYYLEKVEATDLDRKQHCVIMVNRWLHTSPTPGFPNWQPFREIALLSTTHFHSSLKTFEGIIRLSDKSLTLYDNSIIYLQCFSREHDDEDIKGNASGIFPVIPVIRDDGEIQYEYNVEFVTQRNDVEFRFIPKLSSFGKDVMDGFGLFKDIFRNMEADRLIDNEALIADELFVEEVIQFNGEHCPYYSVYRTPFISYEPNNPLGPYFKHLINPEHSVFAAIETKQRLESENEGIVTWEVSMSLLEKHTRIPLIPTVVLVGKDDRTFEMECLMENPEHHGDLWYLKYSLTVPNFGNPTRCRISCEETNEKNYTFVHKMFLREKNSNTQVFIKPSIELFGYDTHEYECPYPDIGGWKTVEYMISIETLEGGGDFRPYVNIIGQKGNTGYRASAMKISEQGIAQVTFTNALSLLDLQSIEVWAKTGHPDNWRGIIEIKGDKKIYQSGELELTKNGQIALSPLTPIAELDDEEYEQEEEDQEGSSEL >CRE29507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:622380:623758:1 gene:WBGene00060065 transcript:CRE29507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29507 MRIPFAVGLVFIVLYISYKTSERYNVIGYTSKFISEKLGVSQNSGNSSSFFDLKFRLPEALRNIISELEGGDAAENGTVVLLTSEDFKTTVVKVENGTIVKEKLKTALQDVCECYEGNCACCVIVDIPDFSHSVCVNATYNPKLFGLDLAIGFDGHYFMQDISLRNPPPFCFSLPIPGAEPEMCVAFKNMNVDQKAQVLTGCIELDIDFFHLKLTNIDLGCFKMPI >CRE29506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:619786:621242:1 gene:WBGene00060066 transcript:CRE29506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29506 MRLLLSILLLIIPLSSCFGIQKKQHVVNFSLKSLDKSSTFDSLDILNKNFESITKYVNFNGNSSKLNGRIRLNENLEKIILRLANEKIEQSELIENLIQKKSLISDELLVSLGEKNSSYMTVHTDSYRMKIFTSKQIVEKEIILQKSDPNGCQCAHGNCACCAGISVPEFRHSVCVNATYNPATIGLDLSIGVDGHYFSEEISIRNPPPICFSLPIPGAEHIAGVCVAFTKLDLDRKAEILSGCMDFEVELIHLRVLSFHLGCFRMPI >CRE29505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:616564:619443:1 gene:WBGene00060067 transcript:CRE29505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29505 MASALDQTDMVQIPNTPTLVAEENVDHKKRVKIQPTAQESFAMEHVVHQVQNPEPRLRGPAIKDKSENNARKDRLPLQGEYFDNDKGDRFFFRHKLGDGAMGHVFLSVFGGRTVAIKTEKYSTGMLPMEIKVLLSIKRHQGHHFCDIIDYGTIRREYNYMVISLLGKDLYRLRSEQPSRSFTLNTTTKIALETIDAIDELHKIGYLSRDVKPSNFAPGMREHGQHKTIYMFDFGLAKKYVDRDNRKMKSRGEVGWRGTVRYGSLQAHKRMDLGRRDDVECWFYMLIEMYVGELPWRHMTDRTLVGQAKLSVRNESRQTFFNRIPRQFERIMDMIDAYSFEVRPDYPHLKALINEIRVENNIPDRCKWDWQVEESQHSELTETTSVMSDMAIMAEQGGTNYTDRACENQ >CRE29727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:614798:615522:-1 gene:WBGene00060068 transcript:CRE29727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29727 MPIITFAVGYIYLVFGSFKTFQLIGFGFKFSCILSAILAIIFSWHIYKIAKATSGNLVCPRTFALQMLLTHFSMIVVIIAIASLAWLPQTSATKSVSFALFLFFGYLLNIGAGTFPTFSVADPFYWNQNRSISPSYDRALKSALLGISSYCFAQLTFLWHSVATMGFIFVDVVLMAHYWEIGGVDDWEEPRREENNEILIQENDIVEDLHMD >CRE29726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:613132:614088:-1 gene:WBGene00060069 transcript:CRE29726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-19 description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:E3LVE4] MSNLRLQKRLASAVLKCGKHRVWLDPNEVSEISGANSRQSIRRLVNDGLIIRKPVTVHSRFRAREYEEARRKGRHTGYGKRRGTANARMPEKTLWIRRMRVLRNLLRRYRDAKKLDKHLYHELYLRAKGNNFKNKKNLIEYIFKKKTENKRAKQLADQAQARRDKNKESRKRREERQVVKRAELLRKISQSEKIIAGK >CRE29725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:609531:612843:-1 gene:WBGene00060070 transcript:CRE29725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29725 MGSIDISHDVEADRELGSGQTVIIVEFIVFRPRTSNNILLAHVYQGSAVSKTIEVTYQHEKFNLDDWFHISIQFNSSLNLCEPQKLEIEVELLYMDRYHPPQYESFLKVTKRIVEIPLDPTRLVAAARSLYFDSGYLSAITMCVYSSLVMVATRQRRANATESVRLEEKRENGVTTLYQLLQTSSVKSTKLRRVYNSSAHALLFATRSIQQFIVRNSELINASVSVDDLAILDVQTEMKAALLRFDSSEHPTRCVEADISEWSAKITLIYQQMLVLFRRSTELNQQLLLVFDKQRRAVFREAFWITERPIDKCCIRTPVAVLEHYKSIIKVDYLKKLPRCTIFCEETDCPGEYCPIIFEDVFSRNPNEALQVNRTGQEASSTLPNSASMPVVKAHDKHKSFREKIRNETRKLIHPRRKSLDCSQSLSRKVDNKSRNRTKTVIETVSADGVGLLLKTPPSEVVEGIKNVPIASEETVDSPSCHSEPIASPSSSSEYGRCSAAGEFDPANDQIPLVSKESITSEEVRNALRASVSADDVLSHSARIAGPSKVGTLSQAADQKLTEEHPKDIMAAFELLREREAAKKMLREQANYEGHLYSEQSGKSTFGPVFTPIKSALVIGDPVLRSATKNHLVVFVHGLEGSHEDLVPFRCGLDQAINAHYHGIQMEGEDFEEEPWSFDYLMSSANRSQTWADITTMAHNLLSEVREYVEEARFDIQRISFMAHSLGGVIVRSAVGLAPELEMQWMVDRCYTLMTINSPHLGLAYVQKHIHWGVQFVKWWKKSRSMEQLSFRDSVEFASSFVYRTSLNSSCGKFRNVLLVGTPHDQLVPYMSSLLVPSKISSEDQSQFGEAYREMMSACLNSIKNSEKSETLVRYTTFHQLGSSNTQKLTGRAAHVIALEDSVFIEKLFNISAVKYFV >CRE29724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:605405:609031:-1 gene:WBGene00060071 transcript:CRE29724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29724 MDVNGNFPAWKKKKRKFKIGKDGQKIKLKPSVPISVFPKSAQTNGVIRQSGGQESNISASLTIKKKKKFKKNKNKQNGTAVEMKDEFMTSSVQLTNGVLTKKAKVELKTIPSLLRVVEKPATPVRSISNLFASQSDSKVSYSEKPALPIKPSLLGTPVPSVSKTTISKSSVARTIAFTPSKDNIKSTPPSTPKFVPNSEKFTRPQLPIDVVEQQLMYELASQETLIVIGETGSGKSTQVPQLCVRAGIAEKGAIAVTEPRRVAAVSLAARVAVEMGTDIGGVVGYHVRFENATTHKTKIEYMTDGIVLRKALVSPLLDKYSTVIIDEAHERSLHSDVLMCILKKCQEQRRESKNPLRLIIMSATLQADKFQAYFDNAKVVLVAGRTFPIEVFHVNPKINKSFSSTDYVYNTVICVKHVHLNEPKGHDILVFLTGSEEIEAVAHQLAELNGSLPASADFILPVPLYAALRPEKQKEAFRKTPQGARKVIISTNIAETSVTIPGIRVVIDSGKVKSKRFEATNRIDVLKVHNVSKAQAKQRAGRAGRDAPGKCYRLYSREDFHKFETENMPEILRCNLSATFLELMKLGMKNPHRLQLLDPPEPENIDAALLELTSLGAIKPITSDRNKFILTDMGNSFCMYPLPPDHARVLFQAQKEGCIMEAIKIIAAMQTDALFSGGSDSKIDLDVERIRRRFETREGDHITLLKLVLLTNNFFDQLNSKTEADARYNKSNKSLEREYNEAIRKFCNDNMINEQHLKTASMIEDQLKEIAVEQNVPFSTCGADFTKIRKSIAVGMFLNSCEYDRQEDRYRLMINPAITLNIHPSSCLSRSKPAYIVFSELMKTNDLYALQVTLIDADWVRPLITEHKKIRKNHLAESAQRIQQIAQVEPKAKKPKLNTTL >CRE29723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:590815:600066:-1 gene:WBGene00060072 transcript:CRE29723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29723 MIHPFTLTYSIPQFPSLCFQPSRTVPVNPSFSSTSPLSAWTTTESSSSAHLSRASTSSISPQSSADSETEERLPTCLAPRARGSSSAHSCSAATTSQSNRQSKERRNPSSSIGLNENEMGSNENGATNGCSNGQHVLLMEKQEKIEPKVFKKRWLILVIFMFLSGSNGAQWIQYSIIANIVSEYYNVSFQAVDWTSMIYMLTYIFFFIPAAWLLDKWGLRLSVLLGALGNCVGAWIKLMSTHPDSFWVTFVGQTIVGASQMFTLGIPPRLAAVWFGPDEVSRACALGVFGNQLGIAVGFVLPPMIVSNGTVEHITYDLTNLFLGSAVLNTVILALVVCFFTARPSVPPSLAQVNALEEKTFDNNFWGTLRKLMTSRDFVILFVTYGINTGVFYAISTLLSQMVLSVYPNETVAVGQVGLVIVVAGMAGSVVGGFILDKFKRFKLTTIMIYLFSFIGMFSFTLTIDLDSMVIVFINAALLGFFMTGYLPIGFEFAAEITYPAAEGTTSGLLNASAQIFGIALTWLMGIVMHKFGTLTSNIIMSSCLIVGTVLTCFIREDLKRQKAHSVQCQIPTSETQLTSCTIQQNEHF >CRE29504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:589334:590428:1 gene:WBGene00060073 transcript:CRE29504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-24 MITSRVLRLPRKPFVDLDYARHMPAAYVERVKRTVPRKVFGDRFGAPDIKMYYVHPDDYLPSHKRPWEDKQLSSHLQRADKYFSSQLSQQFFNLRRPKSQRIPDTEWTFFPGDLVQVMVGKDKGRQGLVLTISRDTSDVIVDGLHTRLGEDMEGSEKLGVDKTLRWQEQPLSVSKKQVMLVDPNDESPCEARWQLNPAGDEYIRVSTKSGYEIPIPSQAKVTYEYLQPENYIEVEGKDTPADAVLERTYMPKVASFEQEIMEDMGIKEERTPKPTFWY >CRE29503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:586705:588912:1 gene:WBGene00060074 transcript:CRE29503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29503 MIRGIDPIYQALLAATFTWGVTALGASLVFVMRHQSKKLLDISLGFAAGVMTAASFWSLLAPAIEAAEADYKKWAFVPVAIGFAVGAGFVHLADTLLPSCVGEAGMSSLLSPPAIRSDTEMSLMPDREDLDVAALARSVNEEHRERDRSVEIGSDKRPDVIPEDDYRQSWRRILLLILAVTVHNIPEGLAVGVGFGSAGKTKTATFESAFNLAIGIGLQNFPEGLAVSLPLAAFGHSKLKAFWYGQLSGMVEPIAALLGAAAVIFMEPVLPYALAFAAGAMIYVVVDDIIPEAQRNGNGKLASLGCIIGFLVMMCMDVGLG >CRE29502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:585187:586365:1 gene:WBGene00060075 transcript:CRE29502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpa-4 description:CRE-RPA-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LVD8] MDFSGGDGWPSHDISQEKQNQSVQTLGDKLPLPVTLSALKNNLDDTNEDAYQIGEFHFRTIHSVGNILEKTSEDGKTTYVLHDPENTEATFFAIQFGHYDDGGSKFISPDLSEDVRVRVMGKLKNVAGDKMLLVYYLQKLTDDKDYEIFKLETEVAHLFFENNFLGRMRNGSTHGWDGMLAPPMTRYFQNIDPHSILSSVTPRTPRNPTRVEQHKPAQITHKTPSGLKAKIRACIRSESDKGSYGSDHGVPFIKILNRMGNVPEAQLRDIISEMENSGMIYSAQQDEYMSLN >CRE29722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:582376:584827:-1 gene:WBGene00060076 transcript:CRE29722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29722 MNPKQRVNKRTVFEGTWFSTIFGLLKTRIFPDSDSEEEVEKPLKKKSKRSEEHEVREQNSVNGGDGDSKSGNSAYEIISFDSKDFTVISERILRKSVIWKLLTIRGPYYHFFGKFGKIMSRNRAIRKFIRRILLKIHERKIEFRDNMLPSMICSYGTEKPTVDDVMHIVEKMCKKVFCFTIAKVTIDLSKGNILSPLRKVFCEREVRLICNSRLGKYSFLVTLKFVIVILDISDNLRRLCKSMRLYISDKQTEELRNALVYYCFFCSADLLNYILSTTIDKSSGALQIQGFRILETFSNHEVNANLQLMNVSARLGSISFSTIKRRGQCSLWTSLPCEEKNRLLELVLEYGLIEELPEDAKLFLIDYVLDVRDNMRKMLTENSLRQAIESQLSGNFAECVMKLHDKRIERFLVETLSNVLNTEKMKIFEGAWIQYVLDSIKKLGKGPFGESPNCSEIYTEVCQKMNSSSLALISSYFYQATPGGQSLLCHTLLQLLRFVVHLSLNTLRHVFHFDSKRHRVTYNGELDEEVTDFRGYDHSQSRAIVSKPQSVPSTFSINSTPTIPKKTFNFTPNSVPAASLSRLPAGCDVRQVRSYRWNTSSSPPPYTTPPVGQYSYNPISFQNTHSIGSTPQFLFTPVNSQPQVNFLPAQEIPIQSNTIFRRKTLLPTPCSLVKIPVPVVSQSPVEAPQSPVENKSFIRKFPSDSTNGSYQTSSDDTRPSTVANDTPWSIDDETDIPKKWVDAYKIMLEWNQDLKSELEKAQAKLALLS >CRE29720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:579363:580331:-1 gene:WBGene00060077 transcript:CRE29720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29720 MFERKRAGVDLSNTSVRVCGLRAKYWIIYFIIIQLILGVSNILFFIISILHNKGTDTSSGILNLFCVLLLLCSLWCQSKTMFTIIAVFTVIDTCAEFYLLFTRILIVLEVSSPYYTDYLILVVFSIIRVGFSSIYSVVLMRLSWCNGLADEDDLEKNKIYECIQEMGIYKCTKCSESEDCDEEENEKID >CRE29501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:578046:578922:1 gene:WBGene00060078 transcript:CRE29501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29501 MSEDLRLSAKGDVFETNDRILRLGKAKIGACFVFTFALKMKSKMIFGILAAYTIISLGNKLFRFLYGVNDGDWFHSWLSGNFSIDNHYFTLYFSMFHLIHSRKWMQAVAVAIVVFFVAAVVRVLKESMMTNGIKSKVKKDRIWSNKLIFFP >CRE29719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:568709:574026:-1 gene:WBGene00060079 transcript:CRE29719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpd-1 MRPLFGLFLLVISTTWAQQDLSWKADIFASERENEMAEQDALTRYFGNDKKNTTFFPTWDTMKAKVGDFININSTRLKNHNYNEMTAWLRALRLNYPNITHLYSAGKSTEGRELWVLIVSDKPKEHELLEAELKIVGNMHGNEVVGREAVLYLAEILCLNYGRNKYLTDLVDNARFHLMPSMNPDGYEKGFAGDRISAMGRANANDVDLNRNFPTKYPEHRESSGGSDPEIENIAVMKWLQSYPFVLSTNLHGGSLVANYPYDDSVTGQDGIYTAVSFDLKKRIARDRTRTRKPPLSADDKLFVELSYRYARAHTKMWKTGRRCGLSADGDNFINGITNGAGWYHLAGGMQDWQYENTNCLEITVEMGCFKFPTDDMMPKLWEEHQYSLLSFMEMGLTGVTGLVTDRNNNTVANATISVDTGKDIISTESGEYWRLLPPGDHQVTVSARGLESDTFTVTVVPGARAVRHDITLLACGDNETKSELYIRGRGKNLIAVLSFDEIGGEAVDELARLTCTGDFVIDKDVSLMLIPNMTNELKGRLSDFDPAAVLIISEGIVETVTFSVSENEPRLFDKAKMDESLLKALGNSIECDRKLLESKTALRVDDLQLKKAFELGISIGCDAKDLQKKAATIGTIADMIKNELIKDSVSEFSVVPSAHPGDHFTPDQMILVTNAAVAELQRKECVQELTHGANKFYLMGAGKPPYTLIAAVEKRTEAMAYEMMSTYCNPDTKSQFSDILDKSTLVFMPEIPHTQLNCHDYDTISPFKLLFDEAIGAVPELDFVIVLATGGMKVRYINDTIGIGKSIAETYRANHDLMKSTESEGCAKGFPNEKEAIRAFSWNDNASRMDKSGVDHPADNLDALLVQIGCCYENMASGHLYSENQRSMKSALIERTRGVRIIGGIDGMTVSVDHMHKSLPLLRGGRRFIPLPNGEHLVKVRTPGGQMHAQFAVVISDKHPTSEKFIGVQSSNMVIITIASILMIVCCTFMCRQRVTSVLNRRGFFNGIGSNHGFERIPLYKSDDEDEDEVFDLQKL >CRE29718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:565608:567930:-1 gene:WBGene00060080 transcript:CRE29718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29718 MSDTSKSKGKWREKREDPCEFSESRSKTRSSWNPFRKVLSLVSGNRHDSIESINSSDGERVTLRWTASCINTTCPIFAKNPQFNMIYCPATSILMYVVVTLASEHSMTMTLRRLFELTDSDIQEIREMSVKLTELRSPHQFYCFVRFLVDEEPTTTVSKELMIRSNEVANQQVFASIRPSRVTKYLNSLTFSPVSFNRPSLLSTNGYGSAGLNAKWHGKTKKAGMHRFAVTYHKKKYEVFFEIRTAYRTVQMENFEVFEVPFKFGSGSFGSLIFLRPHFIGHLPYVNRQLSAQSLSKMLDELFASQWIPQGKLLIPQFQVSGCHDLWKNLMRNGVQPDLGQSQKAPPMASLWHWTSLKVGSEGINGNTVIKKKFEKESESQKALKKTFHDRLNPEGLLQIHKPYAARIDSPFTYIVMVQGVPLFTGSFFGSPPPKSSDIFVNAPERIRQRHTAVIRKRKGKETRKQKLLRKLKKSEEREKEKDRKREKKHRNARRSASPPKPSGPSTSSSGSSTPTSEASSSKSSPSTKSSPISPKVSIIEKKEEEKKEEKSKSSAWRRFANRIFKRKH >CRE29500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:563131:565385:1 gene:WBGene00060081 transcript:CRE29500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29500 MSPFTYSKVAAKNLLAPLSLTAPVAPEGPARIEFTETKLSIQYKRGVENTCNVIFEKEYIEKRITIEIESFGNTEHQEALKKAERCFRKAMELSYSNSKCWLTGSYAAGVDLHTSDLDFTVKAPSVNGSNQFAKLLEIRNRLRYIHINNVNVFEKVYVQKGMIPVLQMVHAETGVSIDVTIDNDTAKRNTQLLCWYGQLDAKFPLLCKAVKAWASKVGVEGASRGRLNSFSLCMMVLSYLQVGTTPAVLPNLQEMFPELNGEINVESDNYTKRNLREEIQEQGKFKFDENKSSLAALFLGCLRYYADFDFSTKWISVKNGKVLEKQWSEEGEPLNGLPQKCWYIVVEDPFLPTPHNCAGTVQQSDYVERIQMEFREEYHRILETNTIFSLFPCNWSRRLMENGMKRDRQIHEWEKKKEEVNDVAEWGKTICLDWGEPRRKQPEEYWNLEMRRQRRFPILQPWPDRLMAVYSVDAYLI >CRE29717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:557369:558897:-1 gene:WBGene00060082 transcript:CRE29717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29717 MASEGGNSSGGGSTGDKGAVGGSGGGGSQGVPDQKEGKKFFKFAFNVNKKVADETEMTQDFMNDLKHYEEFDKTVGDCASYLENIFIPFSSKNANNYEDSFARLGSALAEFKTYVPQNHVATFENFSSKMKAAAVSRKAYQNVQSYHLRHMKRFHADNYESFVEQRKKFDDARKKMDQAKADVREAKTTTAIEKKAICYQLTVDDFDQQTEELIKIIEALPKIKQGYVKDIFVVLTKHKQYHSDMSKFFAASVM >CRE29716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:554739:555526:-1 gene:WBGene00060083 transcript:CRE29716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-djr-1.2 description:CRE-DJR-1.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LVC9] MKNRKKHTNYMNLHISRMSKSALIILAPEGAEETEVIIPGDVLTRGGIQVEYAGLEGKCPKTGMMRPVKCAKGAQIMPSASFDDVKDKKFDIVIIPGGPGSSTLAESSCVGGVLQSQFKSGGLIGAICAGPTVLLKHGIKVDEVTGHYSVKDKLIEGGYKYSEDHVVVSGKVITSQGPGTAFEFALKIVEIMEGAEKAKELVKPLCFKC >CRE29715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:550963:553276:-1 gene:WBGene00060084 transcript:CRE29715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29715 MISNDHSFARWSVVNILSATRRIRSPEIEEEESSITPEPEEESTRSQESEDEEPRHGGRAHEEQAKSPMRSPDSEEEPTRTREPEYRGKPPIVQGRAQIRRRSPREDGGEVIEEAKTRGGVAQTWRRAANRWKKKRVAPSSQPYLFDIKQLHLLSNDIHTLRFDDTDLGRNSIAARYSLCYATFAYKTHSTDVCKAVVNNGFARLCSLFEGEENVGNPLCVGIEPRHVWILDPLAAWFWGIIGIFIGFVLGLIGLVLLYKLCLKKKGFKIPFMKKTGDEKKDTKKDTKKDKMMGKALNLSKDSKASQDSKDTKNSKDSKDSKDTKTSKDTKNSKDSKDTKTSKTFKKIKDSKESKTASSDSKDTKSKKNFGPSKSIKGSKDKGSKDKALKEKTMKSMKKSKKLEKSKKGGKPSKLKPSQEQSTPVKSSPNTPKNSKEPTKGKPSAEVSKFKSSPTNTPKGSKEVVTPTKNNNKKKPSAEITPMKSSPGSSPVSPAGSKEAAKKKK >CRE29499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:549014:550214:1 gene:WBGene00060085 transcript:CRE29499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29499 MSGISDHFFEDSPVSRSAIGNVLFMCVSLSYGHAFVKNNTKSQSRKRDSEPMDASAAQELTSLLNNFHNTKIEECFNLFQPVLGKLCNSTETYDQVECDHFINNGMWDLCQALPDYEPCNIIEYEYSTDDYLHHPAFWAGCGFLIGLVLALIAILLIAFICSKCKKRKEKKNAKNGIPSGSGSASVENGKGTKSKVTSSDTKNSSDENNGKPAVPKSQYIGEQFPTADGKKSVFLGAQTIMKNPKDKTMAKGVNKTVAKGVVDNKKKRKNKQGKTVGALTAMEITMYK >CRE29714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:547733:548188:-1 gene:WBGene00060086 transcript:CRE29714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29714 MKQIEVPFALSMMRGMLIGQCKQETKKSHLTCQRTVNNGLQTLCEILIEESLDYEPAMCQSVSYSNIKVVDTSSPWFSAVIGLFIGFLIAVVVVFVMKRFIFSKNRQMMSGASGASTVSK >CRE29713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:545386:546687:-1 gene:WBGene00060087 transcript:CRE29713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29713 MADFFSMDVLERTFDNSQNGRFALSQLILHCIYFSLPEPKKDLSNFIDIYLRNKEKLPPAVYELFRNYKQQVPRFDRDVYRCDKYSYKSSVSDFFSSPYFSGSAGVVIGLISILSAFFLLHFLQRRSGQGSMPTGSRSKVSSSSTGITAPLIPTPKKSKSSETPKKSNTPKSTPKVTPNNIPNKMKTELY >CRE29498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:541211:542970:1 gene:WBGene00060088 transcript:CRE29498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29498 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LVC4] MNSEYSRVKSIRRPVSLGNRSQRSKRTKKKKNDEEKTVGSGNQKTLFFRRQKNESNPEETEAFANICRCLIEHRWYHGVMPRGEISTLLEDEGDFCVRKTTEKSKPIVCISVKCQKEVRHFPLVFENGQWTLKNLIKTRRFFEVVELLNALVTEKISLSGAILVRAVPRPDYYIPHSDISLICKLGEGAFGEVWKGSLKRHEDEKVKKVEEKSAPGLPTTGRQEGLSEKGRSKLYVAVKKMKGNATKAMTEEFVMEAKLMRQLVHPNIVTVFGVAPSEEPLMIVLELAANGCLKSYVSKYQCPMDQLMQFTADAARGMAYLSSKLVIHRDLAARNLLLGSSVEVKISDFGLSSSGKTEIKVKQMKVPIRWLAPETLEEGVFSTKTDVWAYAVTLWEIFTRCQSDPYPGLTNQQAKDLIRGDALPMNPPEGTPPTVVKIMEDCFAKNPDNRPSFPAILKRLCPDEDLAAYEPKSQCSQSQSSPAKKSSGPSAEPLSARSRRPARK >CRE29497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:539598:540459:1 gene:WBGene00060089 transcript:CRE29497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29497 MSAKVQSRCGDAVAERKYGTPFSCQSWKLQCGFAKKESEKYEGAPLLCRLCILQARHTGQTSVAGIPIPPEKPEKNGDGSSAEQKAEKEREKERHRSSRHHKDKDHRRDDKRHREHREHRSGHKRRHEESNNNGTSSSSSGVPPLTINNENGHGFPPFGERDHGENMEKQHRMEDEIRRLKAAISEKDQLLFDKDKQISNLKADQYNLEKKHRERVQQLIKEKEDSIRAIEHMRSSKSSKKN >CRE29496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:537291:539145:1 gene:WBGene00060090 transcript:CRE29496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sig-7 description:CRE-SIG-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LVC2] MLISEGFSKKDNFQMAVLIETTLGDLIIDLFVKERPRCSLNFLKLCKKKYYNLNQFHSIERNYVAQTGDPSGTGKGGESIYSDMYGDQGRYFEREDLPKMRHTRMGIVSFVNNGDNMLGSQFFITLGENLDYLDDQHTIFGQVTEGLETLEKLNEQLTDTNNKPFRDIRISHTIVLDDPFDDDSRISYPPRSPSPTYDMLVKTDQIALDEKEDEDEGKTAEEIAEELRQREMAEQAQILEMVGDLKDADEVPPENVLFVCKLNPVTTDEDLEIIFSRFGKINNCEIVRDRRSGDSLQYAFIEFDNEKSCEQAFFKMDNVLIDDRRIHVDFSQSVSQNYKYKPKSKNVEEPPRRRPQSPPRRPEIKRSHQKSPSPRRHRRSPSPKRDKRRDDRRDNERRRRSPDNHRDRDRDRRDRDSDRGHRDRDRDNRRENHRDSDRDRRRHSPDRRRDRR >CRE29495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:536011:537052:1 gene:WBGene00060091 transcript:CRE29495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syx-17 description:CRE-SYX-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LVC1] MDVFLTQIKYLFLNCQYCYEMLYYHRFTYFLTVKIKDSLLSLYFQMSSTSTSSTNRFKTLVQMYEKTANRQLGELRALQRIALQGGIRDTPALRESIATYEKDLEDGLRGILTVRGEMTDSNQEHEFDSIIEPIRQQIKTLSNVTKTLNPLRSPGANPFEQDIEDDNPYEMESRRYLRETTLKDNELRQLAVDVKERAEATAKVEKDMADLEKIFQELGRIVHEQHDVVDSIEEQIERATEDVKRGNENLRKAVKSKAAKAPIYAGVVGGLAVGGPVGLAAGSAIAGIAAGVGGLVAGIYTGRFFKRSATSD >CRE29494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:532822:535959:1 gene:WBGene00060092 transcript:CRE29494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29494 MFRKPKAKGTLRVRKTEAWDEPDGENQDDCAVIETKPVAAPRAAISFDVDEGADSAFKVKKDKKKVEELKRQHKLEEEAEQIYKQEKERKEALEKIVKKEKQSKEDKKTKNEKQKYLDKYRDKSAKHISNSESFDYDEHLDIDSDAVGSVSSKFASVFEGIPDSRAVFEAKKRRERARREGAQDGYIPLDDTQKIKSKNERNRLIREDENDDSDEECTNKFYSARELLRSEEDRRREEQEGFLEREHGDDDEGDRRKDEDSENEEWERQQIRKGVSRREIGQLRTEKRNTSKLFGHVAPVEDDTAMDMDIDMDMDMDVQVVGKPEFRGPRNTGGVVKIEDILAKLKLRIQERDEALNFRKEEKRKIEQTIEENKSLIAKLEMGLPDQSTKYTMYQELRVYSRSLLECLNEKVAEINSIVDKKRDCGRARRVRLSARRRQDMMDQHAECMQGKSAKMGDAATRAAEREGRRGRRRRERETTLTGISHEEGLSTDDEEPTQQSMADQKTCDEVEAVASVLFADALDEYSDLRKVLGRMTDWLAVDSKSFQDAYVYLCLPKLCSPYVRLEMLQADVLKNETVLTSMQWFKIAMLAGSENAEIDQDHDILVELAPAIIEKVVIPFLIDTIKEEWDPMSLRQTKNLATFCSIFEKLPNLTEKSKQFNAFLSAIREKICECISDDLFMPIFSPNVIEQPACRQFHDRQYWTCIKLIKSINALSSLISVAARFELVVEKCVNSQCVMAFRTGTKNDVSVERKIRGLIAELDDPLLMMGGRTSFRQLISTLEMVAEAQNQAGRNFHKDIKKFLTKLER >CRE29712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:530556:532425:-1 gene:WBGene00060093 transcript:CRE29712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mfap-1 description:CRE-MFAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVB9] MGDYTPGFEQRDSDNRSFGHSRLPTLGAIPVKNEKGQTVMQKVKVSRYVAGKAPEYARNYDSDSDESDHEKQREDERRRRRHRESSDEEDRRSHRRHEDYGRRRQVERPEVLGKEEEESSGKEQDSDEDEDEKEERRQRVRMRMLELQRNNGEREEEQEEEDESDEEEFERKRQRIREQMMKKEEMMKREIKEEQEEDEMEEEEEEESSEEEDSDEDVDPVPRLKPIFTRPKDRITLQKLEKEKEEEMKRKIEEERRAEERKRESAKLVEKVLQEEEEAEKRKTEDRVDLDSVLTDDETENMAYEAWKLREMKRLKRNRDEREAAAREKAELDKIHAMTEEERVKYLRLNPKIVTNKQDKGKYKFLQKYFHRGAFFLDEEEDVLKRNFSEATNDDQFDKTILPKVMQVKNFGKASRTKYTHLTEEDTTDHQGVWASTNQLNSQFYTKRAAGARPVFDRPATKKRKN >CRE29711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:527965:528640:-1 gene:WBGene00060094 transcript:CRE29711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpn-1 description:CRE-CPN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVB8] MSAFASSDRAEKSGIALEAQQKIYEKYDKNLAGEILQWVQEVTGQSFDTQGDADNFVKVFQDGSVLCNLANALKPGSVKKVNTSAMAFKKMENISFFLKFAEEFVQKSELFQVFSLKVKENLLFCVKTVDLYEGQDPNAVLICLASLARKSEKNFGRSGLGPKEAQGDRREWTEEQLKAGHNVIGLQMGSNKGATAAGLNMGNTRHM >CRE29492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:519191:520704:1 gene:WBGene00060095 transcript:CRE29492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29492 MSENEEKWDDEGREFITTNGCLMLGSLLVQALSGFIISIAFRNLRIIRQLDEKEKMRKELSMSTEEGTTTTGTTTGGLISPRYFNSDEYKTRQGRKRIKMIGKAAYAGVRRRHPRRDPKQERTPSDEYVKLWKDDKLEDVKEEKEKTKVETISKKQKSSTAKSHAKKKPKKEYDDQKFTNKGPVRLKTHKITANSLFEENPEVKPEKPKTIKKISFGGENVSYEVGNEVDVFEKLSNESVGESGGSILTASIKEVKPRTD >CRE29491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:517251:518644:1 gene:WBGene00060096 transcript:CRE29491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cfim-1 description:CRE-CFIM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVB5] MDDVWPKIERTTIPASVPESPMNFDEKPPFNRTINVYPLTNYTFGTKEAQAEKDKSVPERFKRMKDEYEVVGMRRSVEAVLIVHEHSLPHILLLQIGTTFYKLPGGELEIGEDEVSGVTRLLNETLGRTDGESNEWTIEDEIGNWWRPNFDPPRYPYIPAHVTKPKEHTKLLLVQLPSKSTFCVPKNFKLVAAPLFELYDNAAAYGPLISSLPTTLSRFNFIFNDSN >CRE29710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:513228:515045:-1 gene:WBGene00060097 transcript:CRE29710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29710 MPHDSNEGKNRPSVVLSLSAGAIAGALAKTTIAPLDRTKIYFQVSSTRGYSFRSAIKFIKLTYREHGFWALYRGNSATMARVVPYAAMQFAAFEQYKKLLKVDENNIRTPVKRYITGSLAATTATMITYPLDTAKARLSVSSKLQYSSLRHVFVKTYREGGIRLLYRGIYPTILGVIPYAGSSFFTYETLKIMYRDNTGKMEGSMYRMVFGMLAGLIGQSSSYPLDIVRRRMQTGRIPSGWSPLRALIHIYHTEGLKRGLYKGLSMNWLKGPIAVGVSFTTYEKVIELVGHLKR >CRE29709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:511005:512795:-1 gene:WBGene00060098 transcript:CRE29709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29709 MPDRNAVIAQQLAKGDVLWVPYRKDPLWPALVNNVYPKKVSYTFFPLPGGYEEPKKSRFGCAPKLTYAFVTTEPVPQHADAKLKEAHSAACSYLAQRGKTRGADIPSYGEADVKETVNIVEKKQKKDTKKDENKKLKRTRKETDSDDNEEDDEIRPIKKATTSTSDRSTPSGSQEQPKITNEQSEAMMKMINERLDALVNEIWRKREVVDCQKTLVNDKMMIILKNNQFLKESDYETVFERVVNIVRSKNQSLSLISAFNLTASHIIPHVLISCFSKIKNMDYQAAKDIFHYQKRHALGLDPTMNIPITDHLEELCRLASDEIDAIRK >CRE29490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:509912:510756:1 gene:WBGene00060099 transcript:CRE29490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lmd-1 MAMKEGSSVEHFAMRSRAARTSSSEGFQNDMPTYKDVVLIERKVKNGDTLNKLAIKYQVNVAEIKRVNNLVSEQAFLALSKVKIPVSRMRMALGVQSVSSQDEDENEVLIDIDDRTALLREERNSRDPSVEDIFHKTDTNIAQVREALPEDGAASTGFHFVTARAPTSPTVSVWIVILGVLLIFCVLPLLLTFYEEQEEAAHLHKTTHAA >CRE29708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:507038:509142:-1 gene:WBGene00060100 transcript:CRE29708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-idha-1 description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3LVB1] MFIFFSVFILIFPGLIMLGKCIKKASSIGQTMRFSSGDIRRVTLIPGDGIGPEISAAVQKIFEAANAPIAWDPVDVTPVKGRDGVFRIPNRCIELMHENKVGLKGPLETPIGKGHRSLNLAVRKEFNLYANVRPCRSLEGHKTLYDNVDVVTIRENTEGEYSGIEHEIVPGVVQSIKLITETASRNVASFAFEYARQNGRKVVTAVHKANIMRQSDGLFLSICREQAALYPDIKFKEAYLDTVCLNMVQDPSQYDVLVMPNLYGDILSDLCAGLVGGLGVTPSGNIGKEAAVFESVHGTAPDIAGQDKANPTALLLSAVMMLRYMNLPQHAARIEKAVFDAIADGRAKTGDLGGSGTCSSFTADVCARVKDLA >CRE29489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:506483:507008:1 gene:WBGene00060101 transcript:CRE29489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29489 MNSFLSSTYSVAGALLLSGKWMNDWWEISLSIFLWMTLSFMIISLGASLLSLFTLRKHPYVCFIPIPFIIMMIVIPFVFGAPTSMVLALAMHASRNAVSTWYCAVMGIVQTILIFVISVTRIHATL >CRE29488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:502755:505740:1 gene:WBGene00060102 transcript:CRE29488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-edc-3 description:CRE-EDC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LVA9] MVNMDDKHIGSVISAETNDGSVYQGKLTTLDAHNGNVTMANVIKDGLPLNRCITLSSSDISRLKVIRAATQPTSVTPAQVPLYSAPSAKKQKPLKKSVDSTISSTSIASSSASSVPTSSSNNRPMILPPKSKGKSPKTFVASVEKLFEHHSNQRASPPKQPAPSKKQNRQSPKRNGFSHVTEEISETPQFKNFEPQVPNPKVLSAITPNGGKCSKKQGPSGNPVLDALNHYKGIGRRNKNDLSEPIDFDLDSDFDFAENLKLFEKDQNDDEYYENVEKLKVSQNFAHYENIIDDENRITSWTNLKARSGLVKSGGTPQKLENSTHFQVTGVSFEKSMNGDPIPAISVHEKKEFLNACKESLGEAVYDTVVADRIFQWVSEIQMSHGDTHGTIVLLASASNSVRSIRRLLTHFDKRRYNCHLFGKYPGQHFDHVNVVDDVKQLPREVPIICILSQEVNDDVECWLRAQSNGISSHYICVENVPSILDVSRCHLLQFGCATNGLRHVERKVKAVTRGGITFEKLCQGKMREETSSVLVDSAIADLGSPSNWFDEVSARFIATAFATNFLIRLSKSK >CRE29487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:502021:502583:1 gene:WBGene00060103 transcript:CRE29487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snrp-27 MGRDRSRSRDRKRRSRSRSVEKRRERSRSRDRERRDIRKPRDDKERRSRSRSPRDKRDRRDRSRSRERKERDRERQRKDKEPKKREKQEEISLESLQSVDDESMIAAMGFGGFNTTKNKQVNYFLFYVKRLTFQVNDNVDGCVNIKKPRRYRQYMNRKGGFNRPLDFMG >CRE29486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:497947:499961:1 gene:WBGene00060104 transcript:CRE29486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29486 MSLAFRTLSFGIKKAPPKKKDADDSKKELSTITAESLKRYDELREKMIGKVETKKGKKVKKAVKKDWRLENCNFKILSFLKKGFVSASIRLLSSRKRDHESDEDSEEEAEESVDEVEKKMQKLLDDIRRANRIFTWGDNLPNIILRFTDSNISSSLLSRLTGQSIRQPSPIQMQSIPFMMKRRNILASAPTGSGKTLAFALPVIEEILELKQRADYSKSSKLLTIVLEPTRELAAQTYTEFVKYCAETSISVANFSGEETDIQHADILVSTPNRIVFHLDKIDTSALRWLIVDESDRLFEVIEGQEKCFRNQLGAIYKACDAKCTRVAFFSATFSHEVEKWCKENIDNIGMVCVGERNSSNTSVKQELTYCGTEDGKKIAIRNLLRTSFKPPALVFVQSKDRAVQLVKLLSAIDSNLKVDSINSGKSDKERDETMERFRRGEIWVLVCTELLGRGLDLSDVGLVINYDLPTSIVSYIHRVGRTGRAGKSGHAVTYFTDTDMKYIKSIATVIRQSGFEVPEYLLEMKKVSRDRKKEMLKHAPKRHRIAMVKEQVIKRKKMLAKAAADKKKEENVEKPRTEKIKTKKKLIKKKKL >CRE29484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:493535:495930:1 gene:WBGene00060105 transcript:CRE29484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29484 MFQQRPAPTELPPVDDVIAICRDISSNIISTERNVPIAKLIIQKLFRNPHIDLCAILPPPQHYQESPYNHINSLINGNSIFFETVLEDDQLCSDLWDSILHQCEVSGAPTDPAKDSILFQLVAQYVTRMLPCDESASTSMSVPRSPKTIHQTIRTPLQLFRADSPLVNRISIGTVSEFFAVLNSHYSRGSESNYVGDKRQFPAATSSKLLQFYCQNVRNGSIHQCKSIAAYSVFSEIPKNCIANFEYIFRFLLKQFHVFCQHSTGDTEVDSWKLDLMAAAPFKWPLLDFFKSSISQVPTSTVFRDIMMCWLTYARPWRYHNMSSPSDFAPAAKYKQFFEKNIEFYEVVLGKIIKKFAAFEMCEELLTSLRAIVEFAWREPQTLLLLHVQLDIQPHVFELLKQMQVVVRTHMRIIQSENAKNSGFWGSLFPSAEIPRIASSERICNDLLTLLKDSDSYVGTHLMSEMEVTQVGGDANQTVDYARDRHCLSLLNETPKSGLGLPDHFIDSPTNHMVLTPIGQRQVLNREKRFDFSRCAKDDPKAPPRSYELAPAVRLTNALAQKLNDFSFVRSIGEHYSEKTVIGAIVRKVMYPPVPNLDPNATVPAYSARVVRSPPLLRLRFFASYMSIIGIGWFILAYNYGNIFTIVSVIVFALILSVLYLAQIDCGSY >CRE29483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:492716:493325:1 gene:WBGene00060107 transcript:CRE29483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29483 MVKQATKGQKEIYEENQATVTTYSVASTVSMAIYYSSCMFLSQCSSTDYVFFAISALVQIFAILFMKSLASQLNFFFDQFKTNLSFLEAKLDEKGHVLDAGADLNDPEAFGEYCKDAIILSVITQLVSLYTTWGFLLLLAFPAAATYKFVAGFLLPWFTAGSDAEDVDDKKQKKMDRRREKVVYRR >CRE29482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:491854:492620:1 gene:WBGene00060108 transcript:CRE29482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ran-4 description:CRE-RAN-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LVA3] MSFNPDYENIATAFIQHYYAKFDNGDGMARAQGLADLYDPENSYMTFEGQQAKGRDGILQKFTTLGFTKIQRSITVVDSQPLYDGSIQVMVLGQLKTDDDPINPFSQVFILRPNNQGSYFIGNEIFRLDLHNN >CRE29481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:480850:482700:1 gene:WBGene00060111 transcript:CRE29481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29481 MDDFYVTLPSNVPNAPFPNTSSRYVTRLPEVLQLRKDKWMVALTDLVYPHSFVNVGKPLYYWIHFKSGRQPIRITFPSAQYLNLEQILQTLNTKTRAKRSANVELEDVSKAKRAVELSESDQNLLDLVNNRVETTPGDTPEGAPGGSEGAPGVSLTIHQQQVLDTFKKGRGTAKGEKEKGEKPITTGGKSEEKTGEKAEDQAKGEKAKGDKAEGEPVKSEEKADEPVKSEEKADEPVKSEEKTDEPAKSEEKAEGESVKSGDKAKGDKTGGEPGIILSEESEELLDLYNSGQNAEGGKAEETGSSTPQNEKTGETKDPVLDETQKDYLALLEEEKLKDEKDRLKKEAEERAKAKAAEEAKKKAEEAKAKAAEEAKKKAEEVKAKAAEEAKKKAEEAKKRAEQEATDAEKNRTEHLLALVNNAIEVQNTLPEYKIMLETIKNRPGDITTYNELLEEFQKLRSIVSIDGNLFDVTPYVRFSDEHGRVKVDFLHSDVYFLEFEKPFSYFLGFDDMIVRNNSTAPHKVDLFGDVSVIYLYSDVVEPIIVGNKKTNLLSVIPCTGQYGSVVYYTVPNPRYVPIINSTIDSIRIELLTDGGTPIPFSWGTTISVLHFKRLKL >CRE29705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:476687:479095:-1 gene:WBGene00060112 transcript:CRE29705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29705 MAKLVRKYHMIPYDEGGSIESGKRFLEKILTDPTLDAIAKCRFYQDLLYKIRQHMNLPIVNEDMMNIIRENFELHMPPAPPSSPAPRHPPPVTSPPWSPAVQAPPTVQAPPMIQPPPQMIQPPPQMIQPPTPVAPAMIQPIEPMEISKIDENKGGPVKKTKTKKKKKAKVEPKLEPKFEPKFEPKFEPKFEMKEEAMDAQEMKPPKTKGDPVKRIVKGENIKKEIKKEIKKEMKKAIKKEPIKQEIKKEIKSEPMEEIKPQKTKGDPVKRIVKGETSHEAKKREMKEKKEVKKEIKKEVKKEIKKEIKKEIKQEPPEEYIKPIKKELIKTENIKKEVPGVIIKDVKKEIIENRGLKRKKPMMTNIPSTNRPKQHTTGAYRIATKRKGEPSIFDEVMKKNINYDKTIVKPTRRTGRGPVAPPGSRIYCKLAIAAIKDAWTNPKHPCAFTSVTNIHNFLKTRFKSLKYDEVEKVLEDVESFTLHRPTQKRFPRLKTIASGLYTNLQIDLADMSKYKSSNDDVTFLLTIIDIYSRRLFVKPLKSKSGKEVAIALAEVFKDIGTSPITVYSDDGKEFYNSDVAKLFKDNGVKHVSPKSDLKCAVVERANRTLKTRLAKYMTQKYAYKYINVLEKVVKGINNSVNRGIGKKPVDVRNGDFIIPLPDRSVSGRIKFKLGDHVRISAKRGTFDKGYEQGWTTEVYVVRKVNHGKPVVYNLVDTNGEEIEGIFYSRELTKCTYDPSAVYRIEKVLDTRTHKGKKQSLVKWEGYPISFASWINSDSMISV >CRE29480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:468924:476262:1 gene:WBGene00060113 transcript:CRE29480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29480 MALSKNDDFNLNIDQLRVFNKILTDAYKKDKTRPLVLYVGGAGGTGKTVLINRIVKEIGYAHIIVCGSTAMAGTIINGSTIHSMFNLNPFSEAEDDNPLAPHPHLMLLIIDEISMCDATLLRRVERRMKQIMRNDLPFGGCTVALFGDLLQIPPVPKTNKHGDCLPIDWIFKCKKHMFYKIYNKNFSAPIWKNLVTYDELEIVMRQEKDQEFAEMLKRWRVGITYPEDCDFLDQKARMCTREYFTDIVSEFYDHFDGYGSMMLLAHSNKMIGKLNKTITHKFFTNDELFTVAKSVYTRGKDDVMSKVEHTPMFLVGNGSRVLVNKNIDSKLINGCLCEVRNIKSIDNIVVSMDLTILSTNETTPFHPTKTSIVSGSNCESWSHQYHIQPAYAVTYHKAQGQTLDKVFLAADKPLPPALFYVGASRVRSRDGLHILNYNLVDSIIADPEALEEYKRLRLFVTMSCSFDEFPIGATRTSPLNDSFDEFPVGAIRTTRKNDSFDDFPVGAIRTTRKNDSFDDFPVGAVPLSRDALFKKTYASVASSIRSSIRASPIRASPIRSSPIRSSPTPIPSPKRVINLGTPFTRPAVSPTLLDVTSEAEFPTLWKPRATSTPRKEDMVPFSFDVSEISFETTKKKIKKVKKVKKVKKIKKVLRTISEESSNPAVSIPANPTALSSSTIPTVPSSSTIPTVPTHNPGQYGAGGPQKKKKILKRKSGNSSEVPKKKSSNPIPGQLMSAPEFTHKTHFPTQEERAYLEKYAELSKASPLRRENDAYVTLKPLRMRFKGLDLIDTEELHLHVANLLDIFVRMRIQEAGGNLKTTKYWLQLSHKGYAESNNAHFITHKTYAAADGGVIMNEIAKQMQSNKDLLIDDSFTVSMNIFKSKDRSMAGRGSKNKEKIKNTILKMNFGVKNNRIFGSSHCLPKALAMGKLECDKKCATDPEEAKILCRQFENLVCHKITHRYKEEAQLKMAKELLIAAQMDPKQEQHDFDDIVTLANHLSDYQIILWSIDGRQTVASEVKHLNPNGSKFIGIFYQNGHYEFVSHTVGGNSSRFCFKCSKFDRKDHWKRCAKRCKRCGTTDCEPGTMNIQCPDCKILFRSRKCFEAHRVPSSARSLPYCKKYFYCLKCFMFDRTDMYSGRPHNCGGIIYCPTCCLKMEPGHDCSYKVPTEEQKTKKREAQKHAKYIVYDMETVTVESGEYRGNLSKTIQKTRVFITGHVEKGPKHQPNLICVKAFCTECYGAAGCKSCEIEEKYTDDYPEERMRWEKEDADDDNDDDDDDEEEFLDFNKIFEVEERNTPLARFANFLLNDPKANGAYVIAHNGGRYDHVILLAELDRLCGSSREEPRVILSGNTIISADFSFKKQKLHFRDSFQYLQMGLAKMPGAFGIEGEAKGFFPHLYNHPDNYNKVLPTLPDKKYYSPEFMSPAKCKEFEEWYEKSYNDGFKLQDELLKYCESDVRILTQTLISFIKMCEATFNGWSPIINACTLASYVMFVMKHEYIKDGDVGHIPENGFGGGNNSMLALKYLQWLEKKDPSLKLQYALRGGERQMKINGHNYHVDGYNPMTDEVFEVHGCLWHGCKKCFPIRDKPNPLCGNRTPQESYDETIMRENDIRSVVKKLHVIWECELNEMLEKDREMKKFFELNKYTRRLQPRDALYGGRTQAFRSITKALGDTVLNYLDYVSMYPFLNAGGTAYPRGNPIQITEKMPKPGDALKYRGLVFCDVLPKEDGCLGYLPQRFCKKLMFTLCRTCAEKQNVSGVCTHKRVSERYLTGVWTTDELNKAISKGYQVLKYHEIWHWPEEAWVKGGFFADYIKPLLKLKHESSGWPKENMTGEEKDAYIQRIFKNDGVLLDASNIKKNPALRSLCKIFLNSAWGKFGQNPMKNETILIMKADATTLTNFLNDPKYEPVSMVPFGKYKLWISRKPKREVLKSAPFTNLAIAAITTSAARLRLTEAMERVGVENMIYCDTDSIVFKQKKGDDPVGDLKGEQLGSLVSEIPEGCELVEVVTMAPKVYALKIKQPDGSYAYSVKAKGMTINHGNSKKITFDNMKNRMKNFISHGVADALEGDMMTFKRGSHALDGLWTCVMKKSLNPRMDKGHYVDGVVAPFGQLPENTHLINDYPF >CRE22179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig552:12193:13233:-1 gene:WBGene00060114 transcript:CRE22179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22179 MSSYHVSMNKDHEKIARLERKLKKMEKELAKKDKIIVRQNNEKAALENPVKRMDYSEEVTVANPFRTPHNQQWFEGLVVATNVPYMSLENRHIKSFNWVYTPKLGLIRSMNHEDGEDLELAKWYIFRAKDDRKNYRSNPKHSQTKTMWAVRTSSHQVTRKWRASEIDSRGRIKIFAFFYLDGSLLNGKKDFYLKDEWLNSVHLPEKMVSEIKESNRNYELKGRLCLRTAIKLSDLFVDKCDEDPSTAIFEVVEITGATLDEMDLI >CRE10695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig459:278:4252:1 gene:WBGene00060115 transcript:CRE10695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10695 MPPVKTRGPSNLSRQLKRVRASYSKALKLSTPPPALIQKFKARIKNIQHSMKIKLHRRENYILASLHSRSSRTLINSRVKCRSAIPHLSVGNELITSDSAKASIFSTEFLSNYNSTGPSSPSFSTTKTPSSPHTLPLMDLFPPWVIEQTITKIPPKCGFSVHLANYYVIKQCATTLALPLSIIFSESFKTSTVPKAWLHATIIPVFKKGNPSSPQNYRPISLTDPFARLFERIICRQIRLDVGHQFSVHQHGFLPRRSCPSSLVYSTSNYKRILKDHQTVDVVFFDFRKAFDQVNHTLLLQKLKGFGVPLQYVSWFQSFLKDRTFSVMVNGSIDSIISPIPSGVPQGTVAGPLLFLIFINDLLLSLPSSIHFAAFADDIKLYSHDSILLQSGIDIVSEWASANSLPLAHTKTTLLRLGNRTVFSKIDDLSLNEEWMDRNLAAEIGNVISCSRLPTCSSAQIFYIYLQQVEWAIGFYYRELESCLGNGTLKEIKKICNSIPRPPSDEVDLSPCQGFFDPCFSEELVNQKTCTNAHLPNFQALSSALYTDCKALYQNAADWKQYSIYWYRSS >CRE17031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1330:2686:1 gene:WBGene00060116 transcript:CRE17031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17031 MFWSIFSILVVSAFSLPFPPVSFPSQETPVDPTTTVLFPTIYITSKSPNTTGGFTLPRVTSEHPTTTEPFSRTTELTTITTYTTPEPYESSTTPFQLPTTQGPAGIPINLIPPVPRQPGIPTAPGQPGIPTNPFPSSTCPGQAGIPINLIPPVPRQPGTPPAPEQPGIPTAPGQPGIPTNPFPSSTCPGQAGIPINLIPPVPRQPGTPPAPGQPGIPTNPFPSSTCPGQAGIPINLIPPVPRQPGTPLAPEQPGIPTAPGQPGIPTNPFPSSTCPGQAGIPINLIPPVPRQPGTPPAPEQPGIPTAPGQPGIPTSPGQAPVPQGPFEISLISSPSEKCLFSVMVSPAPNSSEVSRFLEAVLDFYQLETIPAQQRCRAVNHNDIIDITLMFTKDPCYPPDAQQLVDVCFALAKMNNNNNLQKLNDARKAINCDN >CRE17173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:3426:4640:-1 gene:WBGene00060117 transcript:CRE17173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17173 MSSFQQNNSGANNECGCNLEEKLKEIEKLRKEVNRLNNVLEIRNNDIKATSKRCDNLRKECEDLKKMKKTPGEEDKLLVLEKNQMLEEKDNELYRLRKELHKKKYDVANFIPHHCKIVDKSRYFDGVVIRENITYSEKRRERNSTISSFNLLFSPTLGLIRAINKSGNKKTKLGKWYHFRVNDPRRKNNGGAPYTIDAATTKDPHRVRSLRGTEIIESQVVFLLHTTLDYGALYNEYFEDKCLNLILFSEALRLSIRNQLLFKHGEVKLSMKIKLREDYEELFRYDHTRPLFEVISVTVDNTFY >CRE17032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:9141:10547:1 gene:WBGene00060118 transcript:CRE17032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17032 MFWPIFSILVVSAVSFPFLESLSFQGLAEPTTLTTTDPTTLTTTQPTTLTTTDPTTITTTQPTTLTTTDPTTITTTQPTTLTTTDPTTVTTTLPTTVTTTELTTVSTTLPTTVTTTEPTTVSTTLPTTVTTTEPTTVTTTLPTTVTTTEPTTTTEPSTTTVTTTAPTTTTEPFTTTVTTTAPTTITTTEPTTVTTTEPTTTTEPSTTTVTTTAPTTTTEPSTTTVTTTAPTTTTEPSTITVATTEPTTITTAETTTITTTEPTTPPSTAPQGTPSLPVTTVTAPSISSTTVSPTTQPSVSQSTEGSPQPSTGAPATSTPAESTTTKLSTFPPAVKPIDENCSNILKGSGTTAETQESARLIDAVFYSITTEKMSDQDKCSYLNLTILVKKALKITKQVCGEDTAKRFVEKLNTARKSSNCDQLFEEPFEYSLENLD >CRE17034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:16229:18707:1 gene:WBGene00060119 transcript:CRE17034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17034 MFREYVSFTRITKPTRLMLPILRNNHFVLTVFGKEKDKSRKINVHMWDSLLGPDLCMLKKEEAALVAREFFGPGYTVFIDRANSEQCQQQASNSMDCGLFTVHNSQQYFSFSHPFLPKLRDDTSWIAHHEKLDTVEARANYSETLEKLRTEQNWYQENMTTFSVIRPSSTKDPILQSNNENCVQLCDGVSSNETCSCQRSHHRQKKNSKFLHGNNVLRSANGMFIAKSGHSNLKNVSELTSDRLDISNTEDMTPNRIAKIVDQALKDVRDREQKKKLVRIKDDKIKVSHQEPWLSEREKKELIRKHSLHTPLKELIRIFRLSPDENYARVQISRVRQEIERGVPNRRTSFKQLTQRVTSILDYKAKVSKEEIHETDIQRLGIEQSICFGIDSFRGSRSWIDKIKRHCNLTSRHIDARIRPPHLSVGPSREEKVKEFRRLVVPYIKKKYPPSRIFNVDQTAVKYEMIRNRSLAERGAPRVERTAQRAHALSHSFTMNPCVSADGRLIGDTFITLSEPITPRSFQQMVAPFHNLHVTNSRSGMMTSDLAIEWFTKDFLPNVPPNSLLILDSWGGFKKMMELPIVILKKLEVIVLPPDTTSKLQPLDLSFNRQFKNFIQKFEGYIRVRENHLIISKRSTQLGIIQFAINQFKAPRFQGLIQKGFYDIGVTNKYHLYETPVSYCMDPLKTARKSCATCVRYAFIACGHCDKLMCATCSISHLH >CRE17035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:21791:23848:1 gene:WBGene00060120 transcript:CRE17035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17035 MERPARGRRGRGAAARQNPINPRNRKIANKRIKEEPQDDFGHTPQPYMTPAQFLRQTPNSLQLLASQHGFRGVTIKQEPGVVGNQGLTLKDFALQFHQLQQNRSTIQGNYRIKTEPLEGVQIKQEIAEHSFLNQVPREIVYRTCIQEPAMVGMMAGREYLIPEALREIHSPTWDGMPDSVKSHLFGYLNLTDKCIIRQVSQNDARIIDSEPLCCTTLDIIVSATSCSVRVSEVLTPSYTIPWRRLVFNTVSILMNPNVNIQQLNLSCARDGFGQLKKVIRGLQHFGVERLTIKVKHVNIFSPSINEIRSVHDLVEFIDYLGLQSLEKITINAKMAHSRLQEISHSIHFKVCNSIEIYTKQLFAFNNIMEDWIGTELKIFNIQGSFMFTKECYSFINGFKLKPLNSYYEIRSGNQRGPSKSRTADYTVTPTENMLQRVHVYAASDFVTGVVVRVDADSWEQAESHDSSSDIDMK >CRE17036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:25726:30755:1 gene:WBGene00060121 transcript:CRE17036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17036 MSKSNENKKRGVAGQVKVKEEEPEMDDDNLAPPPPAKRGPGRPRKAERAGERRANSQHNRPVANLEDDMARPPQAKRGRGRPRRVVLAEDEGGSCRNTPPAKRGRGRPKREVKAEEGELNILHIPPANSVAGRTRSQILKKNIKIEEEDVAPSRFLTGAEEIAIINRDYENGIIHVGGSYRPGTVKLEPQENEGAEISGARWNPVFPAAPSAPLKNHLFKNIPVPPRNIKQEFLGREIGNYAIVKDFSGTDQFEAPVQQLVTQASLTTAVFPIAEIKQEPQDYDELFENQTRVEEYIAPVPLQEIALDYQAIHQPQLLLQPGGSPVTDVSPLYIKVEPQNYYEHSDNQRVFEDITTPVPFHGTDDDSHRSHLLLQPGGSPVMDVFSVHIKEEPQEFEELTADAPPFEHQIVEVVEPAEWVGNCVKVPDEKWKRWEEEREAGMKEYMARLTEECRRFDPRILEMFDGRIPELTPALILLLQRGSQATHTWEMSVSTEQIWRVMDMLRNNKIAKGSIKVKNLKISTTSNTRCINARMLARWMSYIDPATLQKISIQGNFTHQALRQLSKTEQWVSCKIIELDSKNLFTFNLLFKKWRGNHLRVFNVTVISKFADEWKSFVQAFKRKAYGSSFEIRAKEYIPFPATSYIDGKVRVTTALFFLKGVVEEN >CRE17037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:34630:40444:1 gene:WBGene00060122 transcript:CRE17037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17037 MMSKSNESKKRGVVGQVKVKKEEPEMDDDNLAPPPPAKRGPGRPRRAERAGERGANPYINDDNMAPLGKRGRRRPRRVAQAGENGVSSQYTPQAKRGRGRPRRVVEAGNGGSRDAPPAKRGRGRPKREVKAEEGELNENVHREAMMKDVGNFIDTLFGEFDSATVSVEEQAEDEGETEQENDEDEEETEEDEEDEDEEETKEENEYEEETEEGDEDEEETEDEGETEEEEEDEEEDEDKERINKKEEKMGARHGRWRRHSTHSSPASRQHRRAPSSNDSRSSRGSSAQSIRHGPPADCVAGRTRSQFQKWNIKIEKEEEANTEENETENQKVAEATMAPVPFHGNVDEEDSDDEDPRNNVEGEGPGMHELVSDTEEEDNKESVSDAPSVQVDPQAPALSPTSEPPAAIERKPESPLKVEENVIDGVADASVNPTPASSAFDEDEKIESPANNRSLPEEDDHFVEGPERSDDIGSSGYADDVEHLTSPARLVPLESDPVCGVTGQVVTVTRSPPCFFADTVMLCPLCNRIYEERQKRHEELYQIVSKFF >CRE17038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:45536:47683:1 gene:WBGene00060124 transcript:CRE17038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17038 MDKLAEAQKQLSRKRVFPSSSMCSSQYSNSSSSSPSPKATENSGGLMPLGQSVFRTAHHLPGVWVTEGQDDMKRTYQLPAGMDIMGSKIYKIPYALRMTSAPTWNGMPDRVKLNIFQFLNTLEKSRLRQVTRNDKRVMDSIPQNCAVVAIQVSDPWMSCKVIENRTTPEYEIKPDNLTFDMSSVFKNPRLVIEVLDMSVFLSGFGRLKKLISSFQFWGLPKNSLKVENLRLHSEAPDCEVFISDMPYVEGLDTFKYFVALLTYLDVRVLKKITIHSFMAIDLLQRLGDTQQWKTCNWIEIRTKELFHYNQLFNEWRGTKIEIWGLNEKSLITEKANSYVKPYLEKRLGSTYKIRSVNEEVKSESQNHHIPTVLGANILHVTLHEGYLKGVVEPAASSSQASPSSSNC >CRE17039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:52501:53071:1 gene:WBGene00060125 transcript:CRE17039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17039 MDCLFPFTGLFETKLQSQHQPVPIPPTILSQRPASIQFPAPGRPRTSCWYSCSWCEKEYKNPDLFVDCSVKYLVHESSNCGKGNSISNTKTTLQSPKTVRQAWHRLELLRHVERCSQSILSTLTCYVDIFGLLSSFKRLEKKLPQIRDFLVKPIPVPTVQ >CRE17040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:54307:55480:1 gene:WBGene00060126 transcript:CRE17040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17040 MSRNGNNEKKTRKLIEKMLDEKVIKSRERLLEPEKALEILKYAETVKLPSFFCLGYGFDAQNYRTLGIRCRVYCKEIHYFMSLHLTYRCEKVFKCSRFGRKSPDVAANRVQMQRPHIQKLKRFQCINCLRVFASVASVGSIVFENQAEHSKHMISNFMASFGGESRTKKHSDEQRFLTTSLKREVQESDGCFYPLLRILLCSRLKTTMIRFVLILTIRRTTTVSPNR >CRE17041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:67706:69585:1 gene:WBGene00060127 transcript:CRE17041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17041 MPPKKGSSAGGKSSNVEYVVEKIIDKKTTRKNEVLYFIKWKNFPMEENTWEPVSHLNCPLLVEEYEKAHAPPPSSSDDESDDESDDESDNKEYSVEKIVGKRIKNNKVEYLIKWEGCPESENSWEPLSYLTCNDLVEEYEKEIAPPQPSSDDESNDEPDDESDATNYDVEKILKKRTTKNGVIKYFIKWVGFPESENSWEPRSNLNCHSLVKQFEKEHATPRPKAPAPKRPRPASSQPSAASVPVPSGNPAASMEMKTATKQTPKPPAPKRTRPALPQPSTLAASAPIPQIPRGNPSTLKKIKAIKKEGGDLTFECEMETGNVKIFAFNQASDAWPKEVNKFFKQIALRQLNDGESTMFAAQPHNNVNVVLTPSSTNISSVAMTPFQVASRQ >CRE17176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:70407:72158:-1 gene:WBGene00060128 transcript:CRE17176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17176 MIAPPSSPESKCSTEPESPVGSRAPTPASTCRPTKKRLTEADRLRRMDFGPKEGGKLGDLEVVWSTEKRRRITTPAFESPAIKVLPMREAQKKKDIENTGKEETKEEDEEIKEEDEDKNTPGPSNRPSTFQVTRKREITSVTDGIENGAKNPKISGSKNKCHKGEKDSPVEESAQGSERKDHSHSKSLKTEQSTQRKNQAQMLGIAPSTPRDQSGSETNPIDVVDNGTPEECHVPQVHPSQPTLVARRANPEPMQLQQQQHQQQQQALEQQERQRAQQQQQRPRRAHPVRPTDLVLLKERINNPELYIPPYCNYKYNSWTPVDLAAWVKSVLKLNDSDSVLKKIIDEDMDGRSLEQFVREGSLGLRTLGLNAGRTIAIEAAAILVINNCSRIQYRIEMAKYNRQMRSYAMNRAAGTTRRAWTPRNTRSRWRL >CRE17042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:76595:77711:1 gene:WBGene00060129 transcript:CRE17042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17042 MNEHSSKLLDSAINSLKAELNAWSALMRSSEMLKTENEQSPVAVEIPNSLQMTTIPVQVTNPNGIPVGKIPEAIQAVGNEENVQNPIEVKVKATPTWQGVPTSIKTRIFGHLNATERCFMRLMTPFDEDLLKNSGIIFTQIDIVFNANDFQVMILEGTRELYELKKKDRLVQLMAILKNPETQILTMNVYSDEKLGDRFKQMLKAMVDFKIPKNSINIQDLRFVEKVNSNDYDITTFLKYADPQKLQHLKVEVYMFQDQYLVMSRTQQWKSVDRLELHSVGILPYKPFFENWTGTYLEMELIQPFSSQDLARLFKGLERKQAGRAYLMDSRTKFM >CRE17043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:79784:81126:1 gene:WBGene00060130 transcript:CRE17043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17043 MDESSSKLFNSGINLSNAEVAPQPKLNSSALMLSPKTLKTENEQSPVAVGIPNSLQMTTIPVQVTNPNGIPVGKTPEAIQAVGNEENVQNQIEVKVKATPTWQGVPTTIKTQIFGQLNATERSVSQGSFIVSCYFFFRCFVRLMTPFDKMLLKNSGFIFTQIDIVFNANEFEVIILEGTGQLYELKKKDRLVQLMAILRNPETQILTMNVYSDEKLGDRFKQMLKAMVDFNIPKNSINIQDLRFVEKVNSNEYYITTFLKYADPRKLQHLKLEVYMFQDQYLVMSRTPQWKSVDRLELHSVGILPYKPFFENWTGNCLEMELSQPYPLPELSDLFDEFKKKETETAYHMYSDTKFIIGWGDKYLHKRVKDILLDSPTSPKELLEMRLGQSYHNFLRWFFTENGIPF >CRE17179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:101224:103931:-1 gene:WBGene00060131 transcript:CRE17179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17179 MTFLQAMIWFFDLIHLEFYCRFLVLLLFTFVGGIIFMCLEQENDLIESNNLSEKVQLVINETGNTLIGIYENATWTNSSFTVFNRTIEQLHSLLVTMNLTDYNNKPTNPWTLTGSMFYSMQLYTTIGYGSPTPKTNKGRGFTIFYCIVGIPSFLWYIRSVGKYLSKTMKKMYKKLRNSPVGKLPFLKTIMSAVDKFENGGVSEEEETKKPLPIIVAVIMIVFWIVLSAYLFSEWEGTWDFWSAIYFCFISNATVGLGDMLFTSSHMIPVNSVFILVGLALLSMTFDLVTNKVSTLVKRTVADYKQQIAEIKDQVLMSPQLTYFAATNQKKYQPGFQDMLKKWMARQVFETVVLPEILESDDESDSDDESEFISQEQEAFRRLVESMPEYSSGDFNNCIFLEFVKHRKLPRFFKNTMTNHTTIACQTDEQDDRADNDTLFPDDEYFKSDSHNIFSQYDHESINSLFDDIPLD >CRE17180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:106233:108303:-1 gene:WBGene00060132 transcript:CRE17180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17180 MTLFQNIIWFGNVIHVQKYYRLLIMCIFFNILAGIFMFCEKGPDIQARIEFQDRIEWAEKELLTDLMMLYLNDILMKNETELEKRLSVKLNVYYEKSTISAYIKANTGETPWTWNGAMFYVAQIVSTIGYGNPNPITSCGRAITIIVAVIGIPFFFTYLKVFGEDMADTMTKLFKKLINKSCGKIQRKAVDDMIDLESGGLPMTKEKEKKPFPILAALAMLIVWILISAGLFCLWETNWSYSDSIYFTFVSLTTVGFGDMNFETPDMMLFNCGLIFVGLVLLTMCIDLITDAVTAWKKRTFAELKEKYEDMKKDMWKACESFSTGSFTEKFIYSACEDLCEECESCPRKRGFFIELMDWVAGKVIENLILPQKEDDEDLEENEFSQQTSTNRIMRMAANFESGNDFKSLMFGQFLRNKQLPRYLKTKMVQRNTVSCQTDPVENNDSSSKSQADMKQCPMSCSMDDLRLSPLFEPTTEIYMQESNDSFLQYDHEDLRLMPGEMTIVRLRASSW >CRE17046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:116216:118229:1 gene:WBGene00060133 transcript:CRE17046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17046 MASNSNVKGTMANYGRIRGILLDQIRENNSFGSVWTEHGIMHLSSPFFADYQQVGWIEGQHQVHWGQHQIGPKYYIIVDPWNPQMLDGKLILFGLLEIKSNPNLLAGFFYQLHSGIGYIKVPFSSANFHHAESMSFRFDATLVLLDDPEKVENTSSTCFWAVKTLVPNESRISSIFHLTPQFAPENTRTEPIVNDSSEVPVADEPTHCRAIIMKVLQDRNYLVWVTEKKAVAIMEPWVFERYIFQKLRIFRWHREFYRDIHTPLGVEFSAVVLPYTSHVNRDILWEVVQLNHDFTVPVYKYRTVNANEIEIEMVANFAHTKQFPIGSALVRSKEKATLALNDTVFDFERVEHNQNQFIQKFKECTRLKVWCRIKNQSFAIRNEEPATLHRKLLTIFSMSEKEESESARQRRKELTQMRLEFNARENQCLFYNRYAPAQPTITHERRRSDRPSEQSASNGNESRNDNNKVHQTNFVRFDPWGRNNLPDSDDEEDYRGPSTSTGIREPRDCQNKHYRR >CRE17048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:131288:133007:1 gene:WBGene00060134 transcript:CRE17048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17048 MRVTDNKFFGRVFTVIGVPFEDVRYSMENFKFVNELVAKLPSGCYAAKVAQIEGVDVSVEYRKIPGIPSKKLYGKIAFDKYGGMKKNPEVKELWEVQAIFKGASEKGRFAVLYQGWKSVHMFDVDADYLMQKYKTLYGITVARNEFVNVLKSKVPDKEKKALIELEQFMTPAFEEEISNRYWVLQDLTYFHSKIQQECGQGNIHYMCFSGPATPLPSYTFVTQHVMQETVLSECIQETRRLDKTTQNKALRNGPSTQPSTQPRATPCENPEWCKCNLVYASMIRHNSFGKKTKLCIPDKMGRLQNLDKHKLGDEYVVVECDSECGCSRNCPRRQLQNGGQKMLVIMCEDEAKGFELVAGENIHAGELIGELVGELFLTPQQEGDPTGSPVAKRSKPNNSSPLDRTLQLKMKDGPFYKTFSVFNPNMAMVSRQIGNAMRFIHHSANPNAVFIETLSRLIHKSPIIPRMAVYASKDIAIGDKITAFFHASSDSVVNSHE >CRE17049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:135482:137350:1 gene:WBGene00060135 transcript:CRE17049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17049 MTVGIIIDIECRLVWTSTNGFMDLGVDRANDGTWLRQNDWIVFPNVVRQEKKVRMVTRVKRNIAWRVDEGRRGGYFLQGVLPIQGHVLTLEDSDTRYCLDDDLGYIAIREERCGPGVESLLVTIQLLKDRIGVPMSTDGCWWQVAAIRSTEPTANRRAENNIHSDNNYEYRRNDDNQRRINDGRGFHGAPTQYDDRRQSDSNEFNGGSVLHNPTLQYFNNRRDGNWDNSRGDCYNVRRQFDSDGWNGGNGIHMGSYNDRRQYDGNGFHYSPPHHDNGHSGNNHGGGAENYYGGRFQNIGVEYGIPHKRAYYQRRGDYDCQRNGHHLQNLRNEGFSNQFHSNQERNDCETRRGAPFNFDGITPGNIQESLRRAPPLFSQVENSGVPGPDDVSEGQVVNQHQHKENIGGINQEQEGMLIPSCQEESSQHKNWQAEAMMSGWQAIFLISLFAIVFYFVANIFNYYIY >CRE17050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:139060:145494:1 gene:WBGene00060136 transcript:CRE17050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17050 MPCGYIIKYRELGRVWHQFNGNMALGVLHLPNDTRFKGFDWVETPRLHPNETTLTQVKRVIRNREWSNAFNLREIVALEGYLHIPSNSIRQDGHLFCRNGWLQWVHVGDEYQIPNTDWSVENRFQDRKNHIFRIYVTVQHLEIGLPVPGTDCVCYWKGLIPANSHSEYPRDNGNRCNEFQQDGGYDNDSGDYEFDSSRNSGHENDGNRRNDDRTPYSSRENGFGDTHDGWSYRNENIRDRQNGYQRDDENRLRSHPDEYRNQYESNWNNRDGGSFGRTQYNDQRHRNEYGSEGYGRNGYRPETENWGNNNGRWDSRRTQNEPDWDNYHQVGFFRTQITKHASFQEGSSSQYYNGRYHNNRPWRNDDFFNNDNYTNPHQEGSSCSYDHFYQNDQSDYAGNQFCQDRDEHRYSVADYPPDDDYTHPAPPMRIGHDGRFNFDDLTDEFIEESRQRARALFSHEENAVPVARNQEPEQHLEEAVRVQEEVEVEEESRNTNADSNQFLSPESYEKLNWADEMEKEINRELEEKGQADSPQETADQDKDVGVIQEDQQQVDASESETSESEYSEPESSDTKLEEEESSTQSPQNFNTATLVKVENDRGIERQVCIIRDPDFPWVQMDVHNWESTVKLKGQLFINRIVHQEGSTRYYEDWILGPVAINVHDCPDWTDSAAERGRRIPGSQFYCWWTVVVVTPLQPGPVFLEAQMQRENERRNLHQNMAAADQGEIMENENEQNRHQQNNEAVERIDGDREENRIENLNEEFLENGEQEDGNIVEDLFDWERSVENKEEDDLPNVAAPSSIDVNKNLKQQASPVEANTDTDGSVSPAARNGSRVAWPESLIPSLLLTVLTTVGIVCQDHGDYFLVAQLNSNDCSLLMKTETTENMRIKQGTQFEFKFQNFEVPFKKMASKIVEILRIVENSTIWEAVNCQKFRMVQYLTQKPEEMMRELNKNRSSCVVVSTYNHTVGIPACLISIRKLTSAEHFITGAIWCTLRLSEGHCNWLLDMPYDENFEKATTASTPMKSTPSRVNKVQRPPVFNSSTYHPDDGSSCLPGRRSKPKTRKPSESNSGNDEEKIKWADIAPVGTYIPDNSQNRGHCWNGENTNRNGTRGENPGRNSGSINHQRDNQIEKWIRKVNQNLGNNDDSPLYDGYRRAQRRNFELEDLPRGESSSGGAQNGPHLQQGHQFDR >CRE17054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:158047:162334:1 gene:WBGene00060138 transcript:CRE17054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17054 MDAQGEFCIILSNEKPNRIWSKEGEWKCDVDYGTNHGFGQCKLELMKCINKSVKATKEILNLLFSRGSKTMKFFLWPKEHPPWFMKTKVHDGEGKIVLWGAYEMGEVRFDNSSNVAKNHCPEIRYFKDVHLGWIKIKWKDCRHFTDRVGMWVMKLDEPENVPGEYLKCYWVVTESESLDDNGWITEEEEVEEDEDDVESEPEGYYSTDSSQSLRSLSPEVLRPPSPLLDLGLSDEIHDLPLYRPNSKETDSAPMIIPLPSTAPAIMPSAVQDEERVSLEKSSARIIGFNVQIHTNVAGIIFKTSNKKLSNTDYDPNGCYDLDVDGYTYYIFTRFAVIKLDCPEFSQYRVDRMIVSCDISLDRDRNIFIVTQFHSATSFTEGEASRDRDVYVEESSTGSLIAYCYLDLEREAVCESLNGDFRQYEHPILGIVEVLDDIYLYPDIHSGKLTLINYEPEMLIQGIRYWCCLKDQPRNDGFRERALSESSRSRGETTTDEDDSEDEEDRERNRRLEKNRHEVIEITGFWRSSWVSTTTLDNGLTIRGDLYIATYTLCKKENEYTYYKDRDVGRIPIENQYLEGDVESVYIELKYITEPKPIYGKNVSYWDVIKTFGVTMHDRDAEEEKRLNDPCCTIELDESYFAPKEHLAIAMQYFESNKIIVWIREMKVAAIMDREIARGEEIILGCIFICTLGDSKTRHSNMVNGIYFEVATIVEMLDLRANTRPISDHEVEILLTVDSNNIHKMRDITIGYSNSKDVICFTPESAANLLNTPQGNPKKEVWCRLKPQRMARQFVVEDIQDLYTREIYVCQQIGSENISNGSQPRSNDLSTFYGK >CRE17181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:165755:168598:-1 gene:WBGene00060139 transcript:CRE17181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17181 MLLQLDQLVLDEIGKMLPAKDLIRLSITCKALREFYNKETYYQALMAKQFWIDRKFFRYQDDLRFVLNNVPSRLHSIEQFGQKKIRYVAFSHDGSMMALFANGCRIWVFREDEEILHSYLSRKRGWDKVISMEFSPDDGHLLVTGSKEELVAMARGNEALIYKLGEDYATLRSFINLDQGMFSTWFDNQNVMFTQNFGIRDRMRLFISTIDMAADILVYPVLTFDTRITGIMVAQHVSPRTRKITQIADQAQEEGKTLTEKLIEIGLESGVDVTNMQELRAILDQESQCMPCYRLHSHHDAVSQRECQCHCHHNTDRLLVFHGNDERVHVKVITERILERAKQAWRVRGENDEDIDQHRLFFPIALCNVFDTVDHVFRTGLSLAHSSMCFSPDHRYLYITGTRKAPRPRDPIMEIKPSCLDLETMVFKHVPDFTIRPWVEYEFCVRITANNDFVAIFTGQDVVIWSAFHRGNSAAVLPQFGAPVSAVALHPLTNQLVVTSETRIHYFQSAEMFQEDKMNENTEEDSSSGE >CRE17055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:183158:186580:1 gene:WBGene00060140 transcript:CRE17055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-toca-1 description:CRE-TOCA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M9X1] MTTSSSSSHRMTAYDMFRSGRDTVRLSRASMVDLFHSAFASNNNNDNLNYNGHGYQGDSASDKIYWSKDQMSNLNDEAGKGIETMDRTGSLAKELAVIQQEFASKLKALHKKHYWKMKNENELINSLSCNRGFNEMVDGLIPIAVQHESIAENLKTNVIPFANQKATEYRLARKQMETDNNNIHKQLNAAVNEMVKANKEYGKTFKETEAAMLKYAKAEKNMEISRLELEKTKNNYQVKCGMLEESKQTYAAMTHKANEEQAAHFDKKLPQLLENYKKLHFNRIIDTVELFNKVVEAEASVISIIASCHNDMRHNIAKIDPVRDANLVVENLKSGHPRPAPFAFEDMGNPKTFLAGGGGGSIETIDSTLKKGTLLGKKDGKGVARKQSMHQKFFGSGNNDKKDTGDYGTLPPQQRARKLQAKISELEKEKATSAQSLEGVMKMQNAYRENPKLGNPAVCEPQIAQYGKEIEALNNQIQKFHIQLDEVNSQLIGSGGGFSASSIGGSDTPPSIRSVSSASSGVTSRVNTINDGRRAANGGSERRESTSGSDNDPTTNGNGNGIRDEMYEELSNPPNPIIGEGIAQFAFDGAQDGAIRMDSNEKLWIIEKDEGDGWTRVRKCDNSADGFVPSSYLKIQYYTSQC >CRE17182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:189255:189750:-1 gene:WBGene00060141 transcript:CRE17182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17182 MKAFNAGDAAGAASVYDPDGYFMPNGRNPVKGRSGIEAYFKEDMADGVQTAQIITEEVNGGGDWAFERGSYHLDGTKGRESGAYLQIWKKVDGVWLIHNDCFNVIKNAC >CRE17056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:195439:198664:1 gene:WBGene00060142 transcript:CRE17056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17056 MTPSKGNNFMEKKNKLETLVSRWKTGKKETKQKRNRRSPQKEVDAAAGDPPLKKVHAAPVSTDEEENESVQPPKEELSEEMMNPDESGLEPEPKLEEVESETAQSQPNVASSEVCEQVETKIEPIEEAQTIPCTSQQVPIADAPALEREPKEEPLGEFSEESKIDDMHNQPKLQSTEEEHQVTNYDTRPARHHQNDSVGQSSSPDSFSSDFSETSGTENQPKIEQEDDLEIDVCDDKNPTPPITEYHTMSNVIPNDASTILDNRYHGVYPVEEKPAPLINTCVENRLEKDYIGWEYPKRKKCPTPDYQNHSYGLRKQPKVKYITDEYQTVPEYLSNGKYDDSQPSTSSEGYETVIYYEEPTYLSGDNGQMYGSEYVVVSEEVVMHPEEVLHPEEISRREEVYDEEVDMNQCNPDPTARPEIKGGRRCTLCFNVQYRENMRAVSTKNDTSVLLIPRILEGHLSIDQAKSLLVKKQHYVCRNHFQESVEILCNALRIELTSDLARAPSKELVNIVNELRPDIQYRGFQQVFHTFDIKNRKIKETIPHNVPRDKPEKPARRSKEGKANKEPPKIDYHCSLCSKCQDLSVMEEIPSADYVMVIIVGCILRKKYTIAQAQIFLQLVEKFYICHVHFPEACREICKFLEISNLRNVYSCRLELLKELMPIVRRLFPEYSSAKFQVTVANFNEKYKEIIESTPTEKAEKDEEPEGPKNVPCKPKNAALIELEKQGFCTVYNTAKIINPNLRKYQNESYVDFTQCTLCSKLKSRNELRRVIAGDRLVIMAGHVLSGKYSTSQIQALMNKKENIACHSHFSEGMSGILRTLGVNSIENVTRSPMNRISFLMETVSALSSASANAYKAFFTILDKFYAKNADILSNPVE >CRE17058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:206075:208526:1 gene:WBGene00060143 transcript:CRE17058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17058 MEKYVSECPEVNLVTVNTQFLFSVNFFFKVLFSDQLHAGSRFKMLLTKLILTASLVGVIFTIRCYSGMQGSVNGDVIGEIELLDCNGTDFCIKLPANGHVGRKHYEGAQYSCDSGECRKEGCNRRPGGGMLCCCSTDECNESSQILNNVFPIVIFTAVIMFLARH >CRE17059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:209593:211848:1 gene:WBGene00060144 transcript:CRE17059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17059 MSSLIVEPLDILTNKETHQLYEQKGLRKDLEEYKPKRAFQPENNILHVTAREMELCHKHWPDNFEALYDIGAKAFETFLLDRDHLAKLFKIDQVKTESLRQHFVLDRCVLHMLQMLHETISKYHEKMSGGKIDEEFYKKLQTFGGRYRSLAPLVPVNEYFHLFNVWTEMHMDFITLTCFEGPVCSEEKNIIMGTWHKIIESIKVHFVYGWHHRKYTMTREELEYFSKDKVTETVSLKVLTSRSRLITKLTRLMSRESFSDASVEEKASPTRKISQSSSSPSVPGPSRSRETPAPRANQLRVNTNSREEDIIQSQPIEICDISLDFLNSPADCSPINLSNHF >CRE17184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:212056:214108:-1 gene:WBGene00060145 transcript:CRE17184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-25 description:CRE-DHS-25 protein [Source:UniProtKB/TrEMBL;Acc:E3M9X8] MSALLAGRIAVVTGGGAGIGKSICQTLANHGARVVVADWNVDTAAATAKDLPSTSAKHSSCFVDVADVDSVQKLRDHVKTIGTSSILVNCAGVTRDSTLVKMTQDNWDSVIKVNLNGVFHLSQAFVRESVENNNHPLSIINVSSIVGKMGNFGQTNYAATKAGVIGFTKSAAKELAKKNVRVNAVLPGFIKTAMTEKMPPNVLAEICKGIPMGRMGEPEEIANSVLYLASDLSSYVTGATLEVTGGFSM >CRE17060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:215406:215718:1 gene:WBGene00060146 transcript:CRE17060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17060 MTCPGDYCMTLSEQGSDFNVYNGTCPDASVKITDCQTNGVGCQKETIGGVYVKVCCCNSDLCNTSSIISQFMSVLFMTTLYYQNLY >CRE17185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:216024:220493:-1 gene:WBGene00060147 transcript:CRE17185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acly-1 MSAKAVSELSGKEVLYKYFESSGLLSSPHAFHVKAGESFDEIANKYEWLARDNKGVIKPDQLIKRRGKLGLVKIGTPQELKTWFEKTGNTHVKVGQTEGRLHTFIVEPFCAHTEKDEMYIAIFSERTRDVIMFYEHGGVDIGDVEEKARSVSIPVQLDNKAMSPSDEELSTLLGPVKDSELIRKFVVELYKAYKDLHFTYLEINPFVLLNNQIHVLDLAAKLDETANFLCADKWKSRLTPYGGPNHVEFPAPFGRDLTTEEQYISDMDAKTGASLKLTILNRKGRVWTMVAGGGASVVFTDTVCDLGGATELANYGEYSGDPSESQTYEYAKTILSVMTEGAPRPDGKVLIIGGSIANFTNVAKTFGGIVRAFETFVSKLKEHKVTIFVRRGGPNYQEGLRRIKDAATKLELPIHVFGPETHMTAIVGAALGVKPMPTVPTAPQTTGQFLLSPERNTGGTERAPSSPVPSPTTIENPLTTAQQNKLNSFRGLFEDDTKAIVWGQQAKAIQGMLDFDYVCRRNSPSVVASTYPFTGDNKQKYYFGQKEILIPAYKSMAKAFATHPEASVMVTFASMRSVFETVLEALEFPQIKVIAIIAEGVPENQTRKLLKIAHDRGVTLIGPATVGGIKPGAFKIGNTGGMMDNILASKLYRPGSVAYVSRSGGMSNELNNIISQNTNGVYEGIAIGGDRYPGSTYTDHVIRYQNDDRVKMIVLLGEVGGVEEYKIVDLLKQKKVTKPLVAWCIGTCADHITSEVQFGHAGASANALGETAACKNAALRASGALVPESFDDLGNKIRQTYDELVRQQVIVPQPEVPPPAVPMDYAWARELGLIRKPASFMTSICDERGEELNYAGVPITKVLESDMGIGGVLGLLWFQKRLPPHANKFIEICLMLTADHGPAVSGAHNTIVCARAGKDLISSLTSGLLTIGDRFGGALDGAARQFSEAFDQGWSAHQFVSEMRKKGKHIMGIGHRVKSINNPDKRVEILKRFAMDKKEFAQETPLFEYALEVEKITTAKKPNLILNVDGAIAILFVDILRHSGMFTKAEAEETIEIGSLNGLFVLGRSIGFIGHYLDQSRLKQGLYRHPWDDISYIMPESNLVSYLKGFLY >CRE17061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:228170:228482:1 gene:WBGene00060148 transcript:CRE17061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17061 MGVGMGVAMMMQQAGALVGAAPPPPEAAEIQSQIRKAKAEGRTVTMVNGALYFDYQLVARIPPHYDIRL >CRE17186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:228766:231583:-1 gene:WBGene00060149 transcript:CRE17186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17186 MPSKQELKENLINFFSRQEDQSEKARNDADIFCGLYSQFIEEQHFIDWNAWKFLDAKRQVTLKDLPTFDEKRTNILNKLAVIKLNGGLGTTMGCTKAKSLIEVREGYSFMDLAVLEHQRMCETFGVDTPLYLMNSFYTDDDTKAYLAEKGYNKVKTFVQSKCPRLDAATNLPIEDDTQQWGDDAWCPPGHGNIFQSLQNTGVLDQLISEGREIIFVSNIDNTGANTSFQIVQLMIDQNVDYVMECTQKTHVDVKGGTLIEINNQMMHLEMPQVPPENLPDFCSTKVFKIFNTNNIWVNLNAVKKLLPDIKSEIIVNKKNVRGREVLQLEFSIGGCIKNFPNALCVHVERNRFRPVKNLGDLLSLRSSLCDLDRETFKIHHNHEMGVPPVISLDASIYTSVDEVDKRFPHSLIMKHCTEFNVVGDVHFGKNIQLTGKVTVESKSEKPATVPDNTVLKGENFIAK >CRE17062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:235776:236902:1 gene:WBGene00060150 transcript:CRE17062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cebp-1 MFSKLTYNHQAKEDKPMTFDGTPMKNPQLSRIQGEPLRGLTDLPHHGASTSAAGMFNRQDSLALAASFQQRDRERYPVDFMETELDLDNYLQCFTDLDVPADNVDFDDAELQKVNILYDGEVPYEQPVLNGYERHVTYGPGFRKPEEFDQDAYKMNCEVKTEEEVLEHANKTRRATKRPAYNEYEDDYSGSSNGSEDDGSVDDSYIEPNSKKRKRNGLENFRPQTRARKYNLKADTEKAEPTYKLKRARNNDAVRKSRNKAKELQRKREEEHDKMKRRIAELEGLLSSEREARKRSEDLLETFLRNKAPLMKEQKEHKTNGRLILETPSHYNKHH >CRE17063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:242735:244305:1 gene:WBGene00060151 transcript:CRE17063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17063 MSAVSKLWDELPNEMKLKVVGQLDIVGKIQLGRCSRSDYEIVDICKEPMNIIRIDLKIKNGFVRWAIVQTNGKMIQVDYTEKDGVCFIRYKKSTKSIPIRNPSALDQSLIDLCKILGGSHVTIEQFRLYITGDDDNFSAERAGSYFDVLVKRFGRLSHKLKIGKINIDYSSFTVYEEDMLRGLELLDPSIIYSVVIKGDEVGTILNTKNVMDCEAWKNSVHLICTPAIRPMEAFWDKTRVSTGLVVESLDFLPRLIKHLRVKTIFEQMVLTLSYFGEDPSGEELMDTLIAMCPESNYDSPVQHAYLRNYTLKREGYHMRVEISENKVKLFAVKNGEEEEEFVEMQA >CRE17188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:249691:250727:-1 gene:WBGene00060152 transcript:CRE17188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17188 MLIFLLLLVFATDYARSEDCNLISKEGHGNLQVHGHGLHRQIELTTFIQAKSRVSQCRLMYSISIPDEAYVDERELVVSLLFIAGFVIFRLTSALPGYEIVFGDSKKKDNRLIYIVRQKLFLSTFEMKEKLKFPVHLLPPSKQRLPEVKFFQPIIAVDCKGNFTGCPTTGIQSKDARVKPTSGKWLQVKPWEKIRTFVDIFRGEPLFPELPLQSLFILAASLSFSMFYLMN >CRE17064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:250970:256902:1 gene:WBGene00060153 transcript:CRE17064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rme-6 description:CRE-RME-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M9Y7] MSEAIERIRSQDEYRQFYELATKIRNQKLLADAELNSLNRHKQEVADIEIKLLTEAWRSSYWNNVGRQLKNQSLEPNIACRLLKEINDTEAQPAYKHVGHHFSTLDQLLTVLYKEPSTVAELLNNFDQNDVSSNDAIIQVFFHLVYSCGLYPEDELKIAQVVCYLLKLQLLKSGTHMRTMLRKETSMSTRFYKHFVELMHPTMVYLTKALRKGVLDVIQLGSFWLDIDVKQSTTRFIRDGKQDQNRFPEYRAMVVSKLVYFVDTFLEQIYLALPMLPPNLNWIIREIFCSLYELVDDISAIELSHACKDMIVSNLICPAIISPQKCGVVDNDVRIGNIVNHNLVQIAMIIQMISLREFESPPEEYKEFLSQCRNTHLISEMMDALLIEKLAPDVEITSLIANGLAVSDMQTKSSFVGSVADVNVLTKMIRSTPATTNNNIERIVAICEKLPETLASTIQKSNVENAEESPKVSTLRNIHRKVQQSLKRTGDSFYDAMEVKNEEGGVFGKENFDLFFLDYSSENYMEASEMERRKNEAKERRRREETERLLLDTPTTSEPAPAAQPPATKEDLIDFSASTVTDDPTSISPEPAADESKGVETSPESTQATEAGGRLAKLKSLSDRMKKGITQSNTLSDIRGHLRRSGSLIKPGMVTSASDQNLPDAANVPRDDILAKYASISSIKQEKPPLTKLISDNKSSARSEVTEPYYSPENLVSCRAFKDTLRKMVTVLGNVSYLPRIGYRNETKDTSVGRKELLNKFLDGVLVETEHRREYGQAAQLREVKRCIELFENAGVEILIEHLKLNEIEQADVVNVMREERATLMRKSNDIVSLEQRVLLNRRLTEQNLVDFLMRTFLETGFHTKHAVAKTPEVAAVLKFYDEFKYLQANDERAEFLKNLLAYLKDRLMQNVDWNYATDTMLDRAMTTIERYVMFAVYDTAFHPNKEAETHRDKLLKNTIAKVANVVTPVNDFLKIPEHLHGEAPWPSAQAELSMLDIYVTAQDKLNCVVRCCDVINNLVALSSKNAVASADDLTPVLVFVIIKANPRALLSNLQFIETFAGDRIESGRDAYYWVNFKSAVEYIKTIL >CRE17065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:259821:261142:1 gene:WBGene00060154 transcript:CRE17065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ife-4 description:CRE-IFE-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M9Y9] MSYPYSEYRYQREETPVGPNDHPIQYSYTFSYFVRPTGKFDPEDYANYVQPVGIMKSVEQFWSIMVHFKRPTDLCDKADIHFFKTGIKPVWEDPANCKGGKWIIRLKKGLSARIWENLLMAIVGEQFLIGDELCGAVCSIRNQEDIISLWNRNADETPVTNRIRDTLRSVLELPQNTVLEYKRHDDCLRDQSSYRHTTKNICK >CRE17067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:265801:268175:1 gene:WBGene00060155 transcript:CRE17067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17067 description:Integrin beta [Source:UniProtKB/TrEMBL;Acc:E3M9Z1] MRSPLTLLILSTLTLAAAEQSADQLCSSFDAQQSCGQCIKAHAECAWCIDPHSSLTNRCQLKSKFTNETCTPHLVYSPQTAQVKTQQNLPLETKQHDGKTVVRLQPQAVSVRLMPGHSATVSFKYLHQTDPNRRSTEPETMEIQTSDVRDSSLNLKFFIVCEGELKETKSCRVQNNQIVEFKIEILVNSCSSTGDITLSVGILGQRTIAGLYVTTICGCECEKHPEINSRLCHQNGHLVCGQCVCDQSRGGDKCECPLASHGVSTAVELENKCRFNSSSPVCNDSGKCKCGQCQCNKPTVTGKFCQCDNDSCPLAVNGKVCSGNGVCDCGVCKCEMGWERDDCSCETASNNCVENGTEKPKEAEPEEEEEPATTIKTVENISDIEPVKEMPSDDELEKELDDAAKPKGQTSSSSLVYRVASVLVAVIFFF >CRE17190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:268801:270987:-1 gene:WBGene00060156 transcript:CRE17190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17190 MTRPTAPCLTADYYTYSTYSPRDDFYGGYEPKNEHHDYNHVSVPMRREPEKAATKTQRGGAEDSSAAHCVSFIYLSLAIIVIAFTAFMYYHGIKNTFVLYLAGGMGVIVLTSILMHVGISIQQPFLCIPFVVLRTLETFVSLILLGAFTYAIVKPESDMFMFFLQCTKMAAQVSVSPNIKFDLVDATFQLCIVGWCISLAFLAVSVYVCRVAFHCTMSIADQVRYKRYQQHVNTSSQPRFAGDEFLCA >CRE17191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:273180:273952:-1 gene:WBGene00060157 transcript:CRE17191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17191 MSPVHFKCILIILTFHFVIVEPALTVYPVKVGETLILDIGREVKEWKRVRNGIEETIRPCGKNEKKTDVCNVWITSNSKKAGDGREHMNENGTLVIKNFQSSDSGDYFSNDELERVHYTADGQIWKLARSKIAVFPLD >CRE17068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:274922:277807:1 gene:WBGene00060158 transcript:CRE17068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lmp-2 description:CRE-LMP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M9Z4] METSTITSIIDICALDKMQRCRNLSLAFLCIVLAGLPVAQSASDAANKGVYKVLKNNVPCIILQADIYLYLTYQTSDSEKDVVVHVPTSSTADAGYSTCDATITTSGMSISSQLLRINLYHMTGWTIDLAFTKDNRLKTEGEKEFTLFQVNVTANFASDPSSFPDPKYPTQQYYLHVDPNDLSDLGGSVYADIGNSYYCPSEQKYAINDNDKYGPMAYIKFKLTTAQAYMTSATFGPKTTCPSDQSGTDLVPIIVGSALAGLIVLTLVVYLIYRTFLPEEVLNLVNPESHFDSDSAGGYDNDKDMVLERF >CRE17069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:278346:281912:1 gene:WBGene00060159 transcript:CRE17069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snx-1 description:CRE-SNX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M9Z5] MLSEEEVHSNSYAGRTGAVMDQSDLFSDDCDEINLGNEDTPPSKLRLHTSTDTEHSSPAVINSIEDHDQYIDNHINDHYAQISPLPQPTHFKVIIREFEKRGDGMNAYIVYKLETEVSGVVGYSKQQYETWRRFSDFLGLHGKIVEKYLAKGIVIPQPPEKSISALTKTKANSDPAMSREVGIQRARQLERYISRLIQHPRMRNDCDVRDFLTIESDLPKAVQTAALSSFGVKKIFKNFQVVFSKMAFHMEEGDRWFEQVQSQIDELDEALRKLYAVTETLVASRRDMATSGEQMGKALSMLAACEESTSLSRALSALTDVTENVSSAWGKQAEIDNAKFSESIYEYIMLISALKDVFGERVRAWQQWQDAQQTLARKRDQKTKIDLAAGGRNEKSDQLKAEIEETVLKMDQLEQHFGELSKAIRDEVARFDSDRKHDMKKMLIEYMESMIHTHTEMLQLWEKFEPQAHNIQV >CRE17192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:281997:289834:-1 gene:WBGene00060160 transcript:CRE17192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17192 MLIAGSVMLGVAIASIPPMPPFDDIPTTTAAPVLTPTVIFATSLISGIAWSPDYTNSNSAAYKTLATAISNNISNAYSQSQNQFGSAPLNTQVNAFTQNQNGVNFYAELVYPDTTATTSSVTNALSSLGYLTNAFTSSTSQCSGLVPPVQQQTVNPSNPSSGPATTTVPMKLGAVCDASSTPLKNIFLVDVSVPIIGTLDDKLSKISTYLNNAASLVNLDQTADWNNQEFRLVIYGGNEPTPMGRAHNQAAWSNIVANLNSSIVNPINADGHQLTDALRFVFNNYRPVPGVAGNIIVVADGFDFDEAQSATPIANALKNQYYFSLGLILMTTSQAQQGIVSQLATDYAHFYPIDSVDYLMNTAVLQSQAQWICGAYYPTAAPPTPPPTRIPLITTIGTTTNPGVRTTMNPSFPPVANCKLNVLFLIDQSQTLLLNGGFNNAIQFVKNTAEALGNYNSQTTFGFIVFNGVIVSESSGYLILSTFTSGLNNIPQIAGNSDVTVGFKEALNFIQTKSQYNDDSVTSLLYYITDGNDYTGTIPNVLNTTLNIRSALQTEIIGVDLIETTQSKANVQKAIQYGVYDGNAQSVYVGVNQPSDVLDPKVLNSTNYQLTCKDFSNCYTGLTFVIETSEVEGSNYVDVQTRAVLNLLSYYESQISPFKMSVSLIYFSAPDNLNPNQSGQSAVLLDHVTDANSAINTLNTTILPLGAASDLQLGFSMTASNLRSGYLQNNNLVIFFARGAYEQYSNCCPDPSADAAAVRQLSTVQGVVIGPYPSKSQLDSLTGSNSIDANALIGNNPLDTADNRTTAAKQVSDAILPVINTFLNNQYCPGIPQFVSPPCEDPIDTLILLHANDRNNWNSILNFTANQLIPDLLGATGAVSSRPLTTSTPINFAIASYYYLDVLIHADFTYLISPSDYQNLVNSISFRPTSGTATLSIAYKKAVDIFQDGRPYASKNLILITDTMDISDMESASSEHDAMVQLVGGYTSALSINTTSIPGADYQVNINPFQLNGNNKYTIRQLANSLTQHTCTYLPLQPPTQPPVSPSPTLPGPIPVVKARSVWPDITILVDTSISSDNAMTDVMFEKIRAFLDILLIKYSVGEKGSRFTIATYDGDTVQYSCKFAQTNNYYDLSSCRNEKFSFFSRSHQNYRNVARALTDIRQNVYENTTTGYRSLNENFLIIFTLGTSSSSFSQQLSNIQRKGIHTISIGLSSTMSNSDLSTFAQSAYMVSDWNSSYTGIDTNYDLADRIYQTTTKKRTPSSANFYANLIYIVDQSANTYADHSTIVQFVSDSVTPYLVGQTKTQISIVPFADNVIDPLQLTASPLVVDQFLGSWKSSLSSSSNTANVGAAIQYVSNMIGATQDRPTYVIYVVGATNLTGTAYSKQLLKNSQLYVVNYNISSSSSFNDLVYDLNAIFSVSSSNDLINRVVSMTVSTPNPILQLTTDISDNQEANDHVTFPLSSIAADIVFLLDETGLTDSDFSVMKQFLQDFTSKFTVGLQSTQFALQTYNGRTIPHDGFHLFESTSNDVVKQRIQQLTLAKATENSTDADLAGAIEQEIYFFLTEANGWRDDVTTYTIILSHADSFYTRDTDTAMAVKNVSTVFALGLNNMRFDYVGNFTNTGFYETVYNVSSLSINSPAVYDLLITLDNNYKNTIYPEPTSAKNAIKADYIFLIDNALGSGFTQNVQNFLNSFVDNVGNFSSSGNDTKLAVVSYGRAVNTVWSLTDLQDVISIKSQISHFQITGSTGTSNLRRAIDSVILNEQEFGVDPNRPNYIIVITGSQTISPNIDGATSRHLNTRYSTFVIQTNFDNTTYTYTPQVLGTQLTSDRVAHSPDLQYGSVRLNGLEPWISNEYAAWSLTFPNKS >CRE17070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:293932:301981:1 gene:WBGene00060161 transcript:CRE17070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tmc-1 MQEAARRASLRKEHTPTNEKFGDLSKQDSLGERASSKLTLDDELYDILYAFGETDAFINKGDKQRETDEDGNPLTRQALLERIRQKKEVIGKLRCQAWSMTRKRRTLKLAQKYLEQHESKVSRSHLYMEEMRKRARLMKRSFSNFKTYLIPWESKIKRIESHFGSVVSSYFTFLRWIVFVNIMITLIAVVFVVLPETLADSVANEGRYNRTKSRKQIPLNEKVHADELAVVWHYDGYLRYSALFYGYYSDDPFLGNKIKYALPLAYFMVMLTIFAYSFFAILRKMAANARMSKLSGSKAEQYIFNWKLFTGWDYTIGNSETASNTVMAVVIKLRESIADIKKDSHGKFRLLQFTLRYPPILLTPKMSYFRVFANIVICAMLGFSIYCIIFAVQKSQVQDDGNLFTKNQVPSVVSTITHVFPMIFDLIGRMENYHPRTALRAHLGRVLILYTVNYITLIFALFEKMTALRERVNSTSTTGHRNKRQQGGWNPNIQRPPPYASRAEVRQMSEFLAANTRRFQTVSQRTTRSVTTPFTVAPQFGPFNVNNPNAVFHNGTHSTSFESQVLGPKVLPIFTPPPRKYQNYSPGNVGQQFGGPDFPKNQVYTKSTPLPRVRTKPPWVYTTTHPPLVQNRPDSSKTTKGSSKTGEFGELGDPATLSNETIRMNEAALRRNQDGHNNDICWETIIGQEIVKLVTMDLIFTILSILVIDFLRGLWIKYCSSWWCWDIETTFPEYGEFKVAENVLHIINNQGMIWLGLFFAPLLPAINNIKLIILMYIRGWAVMTCNVPAREIFRASRSSNFYLGILLIWLLLCTLPVGFVIASMSPSRSCGPFARYDHFYTVVTREIEKRVDQTVLSYIRHIASPGVVIPIILFLILIIYFLFSLVRGLREANTDLQAQLVHERTEEKKKIFELAGGKKNKYEKDRDKKRCNDYIPLIEQRRREPWRQYHEMEAENALASDSSEESDMGEEDDDDRQPITAYPLRSVETPPETLQVTAFHPSLGSLIENREMEDEESASGEPLPMIHKSVLFQGPSNMQLRQSISTESCSQISRTAIQVATPEEIRALLRPYLEAKYGVPYQHGVKSFPIDVHTPPNHTPSRRSSKYNSFVSLYEHTRDDHKTFVASTIKETDLEEEPISKKPGSSKDVAPDFMPWPSADEAKALREKMKLKAPLMLSKTTVEEKPKGGKSEGDFRPPVPIHRKYNIQATEEEKEEETDSMPESSKKRFRISVSPTKTVVPASTSRAQHKIVSQASSSSSIPHGRQPIPNKKSSLVLSPRAPRVQFDEDDSPRQIE >CRE17193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:309413:311099:-1 gene:WBGene00060163 transcript:CRE17193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17193 MVRLLDGSMSAQLKHFGYDCNSAENIPHWTFPANSDESLVANAYKSFLDLGVTDITTNTYHFGSTLDKRIPENDSKKKIYEKYFKIACSSLVKLTEMKDGVRVWGSVGTLATLYHDMSEYNGKYMDNEDAENTARNYYQTILTLFQTKTKVRNLVFETIPLAVEGLMALEALKRFPEMRAVMSFTFKENACLRHGEEIDTLAGELRKCSQIVGMGINCTDPENVLPALKVIKKHNFPEVFVYPNMGDSKFLNEGSDESDVFNIDMVTGWVENGATAIGGCCGVTEAQMKILKKIVDNLNNAK >CRE17194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:311882:321100:-1 gene:WBGene00060164 transcript:CRE17194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17194 MSSRKLLRRFSSSISDLLSIPKRDLSDNEEVTPLHNAVKMGNTVMAKNFIDSKSMWIDEPDIRGQTALHLSITYGDTEMTSLLLKGGANVDAADHDGTTACHIACRDGMIDHFNLLIYYHADICSVDRAGRTPFDLACEQGQEKMLERLFICGLKKINFQCMDYFHTASALHLAADSGQVQVVSLLLDNNWYLNFTSESGSALHMAAGSGQIQVVRFLLKTGIDATITNSDGLTAYAWAKKNSGRNPITYKEIRFLLKNFHTFTNAIAVEDYCGVEANELSLSKGDQVWVIERRTSEVWKGIVFGRKGNSRGGFFQSKVIEEQKETRDKNEELLTTPKIETRKNHNKMSSRIAKNQKNPTSTFSKQASIPVVPRRSCSTIQYHDINRNTPTQMTSFGRTASCYGDTNNLKPNNAMTKSTSGSFGSDSFHVFNNGSRTSTPNPSNMYNSSSCLYPAKVENHYASIGSSSSQSSSGFESAKCSASTSASSFPSAGQSNESGCESAHSVRSVESGSGSSSSIHGLDDSLYRSTHEVDVTGMVQSGVPHAEILANWLDSINMNSYLAVFLKQGYDLQTIARCTPADLLSLGIKNPDHRKKLINDIHSWKIMDQWPSVVPNNSLREWLHAIALAEYIPLFESQRYTTVRDLLELQWEDFEDIGVKRLGHLKRFGLTIKKLKDHQRLAASSLQPSQYAVHSNPSSYHVPQTYGYSTMTAPQRQQSGTTFRSNDPPPPAPSAPNRSLRASSDKIDYLSYQQNNWKSATTLPEAPPKPIFLSSKPKSTSDLLFGDDTPIRLNLVSTGKILSDISKLKKDEEEDQELDDPNECPPPPAPLHCNVGMHHISSSNLSSFNSSLSHDQFPFANENCGTIRYSSGRQTNAFDVPTSLPPLISDDHGSESSIGSSSKAPTIDEMLQDIQGAMDNLISPTSTLRK >CRE17071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:328452:330094:1 gene:WBGene00060166 transcript:CRE17071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-9 description:Tetraspanin [Source:UniProtKB/TrEMBL;Acc:E3MA01] MACGGSCIKLLFFIVNFFICIFGALICGFSLWANLDKDFGSHLADFVRQVDGIDVKLVNEIAEYQASLWILVAVGALLFIVGFFGCCGAGCESPVLLGLFIFIIVILTAIELGATVFAMTNREEFVSSIQQVLEKSSATPDLRKNIKPIQNVLQCCGATAQTQNLYIDDGLCGPKPIAHPDNCFDRISHMVQSWGESIVVVAFLLLAIELFAILFSCILCRSSQDIRYTPYYS >CRE17196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:330439:331902:-1 gene:WBGene00060167 transcript:CRE17196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17196 MTMLLFIFLATRFMITVQQNIAECVPRCELIKMEANVVPTQYNRCVCDLIVENGELENLSNQELKCTPNYRSLKFRDRDTGAVVLHKAVVGICNGLNSREYVNQSQFDVEEQEFRLTRNFRVASASSTSSVQPAFSQKHSWIDGFRSNIMQLYRKPDGHLRFG >CRE17197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:333073:334629:-1 gene:WBGene00060168 transcript:CRE17197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-11 description:CRE-NPR-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MA03] MGSAVNESCDNYAEIFKKINYYFRDDQVINGTEYSQKEFGYFITFAYMLIILFGAIGNFLTIIVVILNPAMRTTRNFFILNLALSDFFVCIVTAPTTLYTVLYMFWPFSRTLCKIAGSLQGFNVFLSTFSIASIAVDRYVLIIFPTKRERQQNLSFCFFIMIWVISLILAVPLLQASDLTPVFVEPLCDLALYICHEQNDIWEKMIISRATYTLAVLITQYAFPLFSLVFAYSRIAHRMKLRFANRNQNVTTNTNTSQRRRSVVERQRRTHLLLVCVVAVFAVAWLPLNVFHIFNTFELVQSFSVTTFSICHCLAMCSACLNPLIYAFFNHNFRIEFIHLFDRVGLRSLRVVIFGEQESMKKSMRTECRNRAGCKTVTTAEPTTFQRMNESMVLSAMEQDEQL >CRE17072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:344041:346610:1 gene:WBGene00060169 transcript:CRE17072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17072 MTETTALNCYQCNGWHGDYPLRYSTAATCDNRNNQCQTTQYCVKIIDPMAPGVNYVTFKSDCFYQTQIQVNPTNLSYIQGKTCFPYQDGSAPVKRWYYCFCNDRDYCNSANGLGIFTALILSVIYSCWIQ >CRE17073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:347293:348777:1 gene:WBGene00060170 transcript:CRE17073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17073 MYFITLPNIPYEEKKIQEPPRRCKVIIVGAKEVGKSAFIERLEFGRFNEEKSKEKLYRVVSKTIFGQTLTVELVERDLEQFSNDTHGMKQQEMRDVDAMIMFYATDDSQSFNLIKENLLSVQRKIPPHASITIVGSKADVKEMTVDWHDVDTFAESQGFSCFETSSKSGVNVEIILQDILEAVFEKRFSTDEEDVLIDQPVYASTILTSRTEEQPQVNGYCWIPRIPLFSYFRRE >CRE17075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:358766:362039:1 gene:WBGene00060171 transcript:CRE17075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dop-4 description:CRE-DOP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MA07] MLAYGSELDTEGLFIQTRFVNENIPNETFLATESPPRSTIVWRHPVWAVVLFSICLLTIAGNCLVVIAVCTKKYLRNPTGYLIISLAIADLIVGVIVMPMNSLFEMANHSWLFGLAMCDVFHAMDILASTASIWNLCVISLDRYMAGQDPIGYRDKVSKRRILMAILSVWVLSAILSFPGIIWWRTSSPHLYEDQSQCLFTDSKMYVSFSSLVSFYIPLCLILFAYGKVYIIATRHSKGMRMGIKTVSIKKRNGKKSNTETESILSSENEPTLRIHFGRGKQSSSSLRNSRLHARESTRLLLKQVSCKSLNDRGEKNNNNVRQPLLRGTDNNQSCESNRSQRNFRGRNVTIGSNCSSTLLQVEQKIFQPDRMSLSSASQIVMTSPLSTRRKLNVREKSRQMMRYVHEQRAARTLSIVVGAFILCWTPFFAFSPLTAFCESCFSNKETIFTIVTWAGHLNSMLNPLIYSRFSRDFRRAFKQILTCQRQQKVKTAFKTPLSLVFTQLISVTQMWEQPPNTSIE >CRE17076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:366311:368425:1 gene:WBGene00060172 transcript:CRE17076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inso-1 description:CRE-TAG-303 protein [Source:UniProtKB/TrEMBL;Acc:E3MA08] MDSRNVLVSNTTSPRQSSDTEMSSSCATSTASATDESDVRFPFQETIQAIRNMSVSADDSTNQNGIGSKSNGFHYGGSSSSNWVRLNVGGKVFQTTRSTLMRESGSFLYRLCQDELGLPTDKDETGAYLIDRDPDFFSPILNYLRHGKLILNPGLSEEGILAEADFYNLPTLSQLIMDRMQDRESGLNCLSNKFVYRVLQCHEEELASVVSAMTDGWKIVQVVPITSNYSTYTAEQPQEYLCIVERECPDSGTMVEGQDRGKLLQQRGRRKLRGLIANLIVISQDNRNFSEFPGFSSTL >CRE17077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:370023:374189:1 gene:WBGene00060173 transcript:CRE17077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17077 MIRYSILVLLCIGSVSAKSVAKKDTCDESKTHFFVTDNASLQSSDPIVYKATSEEECLKTCTQNRDKFDRPIVCNSFTYDHASFSCTIHKEKSAPVGSAEIQIAVGKRYFEKTCLSHNLPEQCAQSQFIRVDQSVLVGYAVNMTLTDSIESCVAQCVQEADCKSAMYFYEDGECITNKESALTKPAGFTKEENDKVIYFQNGCDLNAVKKTPTKTDTADAPAEETPETVEEEVKPVVNIQISETTPKPETPVEKVVEETAEEKPVEKQEEPVEEKQEENNAETGEEGEYEDEGEEGTEETTEEEEAPTTTPTTTTTTTEEPTTEAPTPKRIKSLIQKKIKKHPKNFGSKTYEILKKQETVKKSKIEIPETFEDSLEITDDSSKSEEPQEPEETYFSEWSDWTPCTKSNERQVRRRRCLNLRKCLGALMQVQNCPEIIPTTTPQNNEMIRSVVSVEGDEYDEPIAVDNISVDSVPSRLLPVLNEQVWGQWQGTCQQFASSQPCNNGSMIGFESRECIAKDPAQCEGPFFRYCTLSC >CRE17078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:377109:381721:1 gene:WBGene00060174 transcript:CRE17078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdk-1 description:CRE-PDK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MA10] MADKAPPYTDDTPNSHDGSQADHLRESSNMKLDLTPTTVTEHSLMCAQDLIDQTIRMGCPKRNANDFMFFQNIGEGSYSQVFRCREVDTDGVFAIKVLQKAHLERHQKMEAIVREKNILTYITQECGGHPFVSQIYTHFHDQARIYFVMSLVEGGDLGESLCHFGSFDLLTTKFFASEILSGLQFLHDHKIVHRDMKPENVLIQRNGHIMIADFGSAQTIDGLVLSQEGFTEENQASSRSSDSGSPPPNQRFYSDDEEENTARRTTFVGTALYVSPEMLADGDVGVQTDIWGLGCIMFQCLAGQPPFRAVNQYHLLKRIQELDFSFPEGFPEIVEEIIGRILVANPKTRITSAELMVHPFFEDVDWKNIANAKPPVLHAYCPATFGEPEYYSNIDSVEPGLDERALYRLMHLSHETGSASQPSTPSGMEQRDPFAPRADSSAEKARAARARKLEEQRVNNPFHIFTGNMLILKQGYLEKKRGLFARRRMFLLTEGPHLLYIDVPNLVLKGEIPWTPCMQVEFKNSGTFFIHTPNRVYYLFDLEKKAEEWCKAIEDVRKRYAKTIESTFNDAMRDGTFGSIYGKKKSRKEMLREQKALRRKQEKEEKKALKAEQQVSKKLSMQMQEKKSP >CRE17198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:381981:382953:-1 gene:WBGene00060175 transcript:CRE17198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17198 MTRWESFPISEQEQAYLAATTTTTTVNVATAAQNLVRHSLGGFIRQEVSETGQTICFYRYNTADTAIPYLCELGCCSHGCCTISDLAARSTSFGWAIALLVMVLITIVFAVLALITVWLMNRHKDKIHKQHLAESTIESPSVSQISGPTSFYPDVQFNHLSGFKSY >CRE17199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:385366:386723:-1 gene:WBGene00060176 transcript:CRE17199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-37 description:CRE-TTR-37 protein [Source:UniProtKB/TrEMBL;Acc:E3MA12] MRILSTVVLLSISAVSSRGVETVHDLPIQAVSVAGRLFCGDEPLVNVPVKLIDRDHGRDRDDLLDEKKTDDEGKFHVTGGTYERGTIEPALKIYHDCNDENVKCQKRLFWHIPQKYVSTDLHQIPVFNLGSINLELGFGNEKRDCRH >CRE17079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:393077:395586:1 gene:WBGene00060177 transcript:CRE17079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17079 MDEIATSSEITETFVSDPNSRQFEEDGHPPLETRHLNMIHEELEKLNISTDVINKMEVQLDAARADFRETQVQWSEKLKELSKQYSSQIAKARPFYELKIKERTLREESQKAAERFERATSILAIAKQQVSLTQESLSRQTSVLPECLEVLNHHIQRVREVEEERTAAESLHASKAHAMLHLAENIRAMEKDNRYAIKKSRLYFEKRLEFTKILEAQKATILCLEAEVRQKKNDYTTSLRNLERISERIHEERSTGSGESGVSSDQEDRLDDYKSSDSLPGNPPPYAPTAPPPYEDKYIIDKDDDSIVLNMMKAEQEEEGEKRHSRSLGSGVILLAQQLIGNGNATEKHNFTPPRHGEEADISYHTRPIGLSDGSDNSEVSSLASFNIGDDDTVSKMLMSHSELIKDIELATDRVGHILKPTVKSVSNAHEA >CRE17080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:397344:398176:1 gene:WBGene00060178 transcript:CRE17080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfn-3 description:Profilin [Source:UniProtKB/TrEMBL;Acc:E3MA14] MSWNDIINNNLIGSGNVSKAAILGFDGAVWAKSDNFNISVEEAVAAGKAFTALDALLGTGLRLEGQKFLVLNADEDRVIGKQGGSGFFIYKTTKAVIIAIYEKGLQPEMCSKTTGALADYFKGIGY >CRE17200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:398260:401204:-1 gene:WBGene00060179 transcript:CRE17200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lim-6 description:CRE-LIM-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MA15] MERDCDIVDLDQPSLGAVINIKDGSSPTDISTTSSTTEDKLCSACGCLIKDRYIYRVMDESYHETCLRCYCCQSSLSSFKKCFSRHGNIYCEQDHQMLFGKRCRRCMILLSPTDIVHRVHYMYYHAQCFSCCSCQGPFNLGDEYHVFDSEVFCRNDYQAICNFGTTSESMLEDVVRSEHHRKTPKRPRTILNAQQRRQFKTAFERSSKPSRKVREQLANETGLSVRVVQVWFQNQRAKIKKMNKKDSDSTDTFKHGPGSEGRSTEDIRSSDDEEESIINLDGDDMESSDNSNYTDPIQKLYNMTSSQVYFPYHS >CRE17081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:403694:405113:1 gene:WBGene00060180 transcript:CRE17081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mksr-1 description:CRE-MKSR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MA16] MEKSDAVSVTIHGNVRTTEFPEESNVCVKLHTVVTGDWKVMTGESVVLSSFSYRGTDNQIFIDLPFECGLKGNSPFMWPRIVLNCFTKDTSGKDCVVGYGVLPVPSEPGQHIHRVHCFMPESSSIVQRMIAKLRGVTAEFVDPLLPANSDGRYACRTSTRGYVDLEINVSIKSSETGYRFAPGATEARSNNNSMNVPSSIADLAGIVAAGNEPSELFSVDEENEELVSKN >CRE17082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:408161:411011:1 gene:WBGene00060181 transcript:CRE17082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-1 description:CRE-UNC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MA17] MSNKERTEPQWVTPSSNQDVPPDYETIGTVFGYALQALSWLLIVCTFPFSMCVCLKVIKEYERVVIFRIGRLVFGGARGPGMIFIIPCIDTYRKIDLRVVSYAVPPQEILSKDSVTVSVDAVVYFRTSDPIASVNNVDDAIYSTKLLAQTTLRNALGMKTLTEMLTEREAIAQLCETILDEGTEHWGVKVERVEVKDIRLPQQLTRAMAAEAEAAREARAKVVAAEGEQKASRALKEAADVIQANPVALQLRHLQALNSIAAEHNSTIVFPVPVEMFGAFMKKDQ >CRE17201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:411185:412385:-1 gene:WBGene00060182 transcript:CRE17201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncs-3 description:CRE-NCS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MA18] MGKSHSKVQTKKRANKKLTPEEVQALENVTYFSRKELKKWYKDFVRDCPSGELKMDEFQGIYKQFFPNGDPSKFAAFVFNVFDDNHDGHISFSEFIAALSITSRGTLDEKLDWAFSLYDVDKDGFITKDEMADIVDAIYSMIGNMLELPKDEDTPQKRVEKIFTNMDKNLDGQLTREEFKEGSKADPWIVQALTMDISS >CRE17083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:415360:419716:1 gene:WBGene00060183 transcript:CRE17083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17083 MSGTDMPYTFDQDPLDMDTSSEVVYPKVGGYGGTSHQRSGSTSAPKAKKVVSINPSDTKKSTQLLKTRNYSTSNAVDNELTPLLHPSVSLPTVVGYDGFSEDYQPGHTATTTRSEIGQQELARKKRRRPRYNTLQAANRRKKPRPFIISPFMPISTQISVDGPRTSVLNAKVNYETAAETLSIKESEGSESDSDEESGISDEEQTVPFLKSALQTVSALSSRQILSISMLSLANLCSTIAFSCIAPFYPAEAKLKNLSETQTGIVFGIFEFTMFIISPIFGKYIILIGARTMFIVGIAVTGFTAILFGFLNYLPSGQVFFWFSVLVRILEAVGDAAFVTSSFAIAAKSFPKNVAFVVGILETFAGLGYTAGPVIGGLLYDIGGFQLPFLVLGVVLLVAAVLAFFVIENSKDDETNAEGKGMLEILKLPQIWLPIFSVISCAISLSFLDPTLSNHLESFKLTPTEIGLMFLLCGGFYTVMCPVFGAIMDRLHNGSTLLFFGSIATLLSMFFIGPTPLLSGYVEKDLWVIGISLAVLGLAASALYIPCFQMCLDEVKDKGFDDNFQTYGCVSGIFQAAFGFGSFIGPTFGSVVVESVGFRWTTTMIAFLHILLSTVILMRIIIKKCRK >CRE17202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:420911:422214:-1 gene:WBGene00060184 transcript:CRE17202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-22 description:CRE-SEC-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MA21] MELTLIARVRDGLILATSIEGNNDQSGDSSMVKYSNQAKMLFKKLNGAPAQQSVESGPYVFHYVIIQNICALVLCERSFPRKAAFQYLNDIGNEFLNSYSTKVEQVVRPYHFLDFDKYIQQAKQRYADTNKYTMNAVSNELQDVTRIMVTNIEDVIHRGEALNILENRASELSGMSKKYRDDAKALNRRSTIFKVAATIGIAGFLFLVARFFIF >CRE17085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:422531:429549:1 gene:WBGene00060185 transcript:CRE17085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17085 MNGPAAEGNATGSKTQTEDGVPVEGAVEILKPERVHPHHWCGQHKFEADSPTNFYDYTTAKNKQKSAMCRVAEIARFNKLRHIYDLQDESGPAHKKLFTVKLVLTPEESFEGSGPSIKRAQQASAEAALKGTKLPLPTEKPVRKKGNELTKPHRVLQNVCRTLHYPTPDYIVCNPPVYPDPKHLVPEHILIPPTTLSMYHPQSPVAPIDSTRPQGPKLQAVMVHIDGKPVATGVGETYPLAKQDAAAKALIALSPRLKEQQRNSSKENINEPTAERVPLYKQKSVISDIHERAHLLKLNVVFEVLKEEGPPHDRQYVVRCAFVTSAQVVKAEGIGRGKKKKIAQQEACAQLIKTIEQLTPDNSSVTLATNVCKTQKKLAALNREPKRKTIVKDKKMDPLYGHQINPVSRLIQVIQSKNQEHPTFELVAEHGASKYKEFVIRVKCGDEMREGRGPNKRLAKRAAAEAMLETIGYVKPLPAPGKSLLKKNMDFQSSEPIISHWTGPPTPPPPKPVEVIVSVPEPVEATPLSPDSAATDEKRDGSPETEKRRVTFNSQVQACPPPGDQNYPNSIVQSLKIDEIVEGKFRKMKRSKENRRALTEQQMQELCEKAQLYLDSKLLGLPVETDCTAQQQLERFSEQYKFSVHYTAFPHVGPMQEFTIVSLGLEAPLVGHGTGCTTKEADERAALDAISKLKELPSIIQKNRQQTIANQPPPMPIIQQTPILN >CRE17203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:430356:432689:-1 gene:WBGene00060186 transcript:CRE17203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlf-1 MRPRTPYTKEPLRYERRHQKPPPIPHSPLPSHQKNIFCGRHGAKPSTLIIDFIKSFCVRLRYNVKQTVYSTNTNFLFRNSITKRLWLKPFNIVPSPQILSDSKKTSSPRRCWTNSIHRYLTDSILIIQLFMLFNCASATHLSNTIPPCKTFGFFKQHNETVTDRCSVWSDDIIPEICSAGTQSRIRILKHVFLFPELQPLSLFEMFSVGLRSTDTWQLNTGEKGSDCISGSINRCTSCFRRISGTLRKLNEAYRSFDTTLSRFDCLPAVDTASATRPFSPNATCENCKVNMDIDSWRHECDCIHPCDVKGIVAPGIGMGTAPSVQHDFFAAQIHCETRKKECHKRFKQIRKVKRSETNNVLALSSSSSLPKLSNFFFCELALFLLLFLRFTLLL >CRE17204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:435722:436070:-1 gene:WBGene00060187 transcript:CRE17204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17204 MADQELRRRRVGQEATEIRKRHRAERIYKIEKKPEPMSWTCYAVIIFSILGVILFLIEAFSGFKRIKRLF >CRE17205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:436682:440682:-1 gene:WBGene00060188 transcript:CRE17205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-21 description:CRE-CUTL-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MA25] MRLYIVLLLISFISTSFGQVIIAKNTKVTPRCGSQLISIEVNFDPSQLPGGKFTDWIIVGVSGRPECRLRGNGETKYIIEIAVFNDPCLTQIPARNVFQNRIRIGKNPVVILEEDQSVTVKCVYGLPTVETMTLPIINSNFNIDNFAHPEAEIRSFSNNSDQSASISQSRPNFNVDESVKESVHPAPFENSESLDARQRETKVTDFSPNSGIPQLLPTQGFNSEQFATTAIRNNQVPTGDWMSQNTRAPSIIESGNNDNNRAIIDNGFGNAAGMSDNLNNFGLTSSENTKKRSFSMIFIIAVILIVIVFLALLGLCLMCLRRKLAIRNRRLLVDRVSDRTWPATSNDEYGSTSPPPVLGKPKQSENRLESQNTSIGRSLFRPQRTFGELPTVVKSNSSNEAPGRRRPSVKLSKKKSPAPNVNLPLKSNLEEFVSKTYALGEYNNLASPAYAYTSEIHDEREVDVGSESRYERPYEKRNISKPRAPRPDERAVSSFRSITEIVHAAETATTSKESRDNGDSSDMQNILMDCVLPIRGFGYRKLTEQEIIRWKNLIQQDGRIRDLLLSSKSSAEIENIFEHEEYKNMFTSSKWHEIAICVHRALTNSLDRTNSRSELQLYVGNVQTDW >CRE17087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:450410:455509:1 gene:WBGene00060189 transcript:CRE17087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dgk-1 description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:E3MA28] MSQQIVCNFICHEKCLRTVVSYCSSVALQLIKNPVAHTWSAPCLIKRRYCCVCRKRTDEAMSVECEVCEYYVHVDCSDLAVSDCKEAATYVANMESANAVQYHHMREGNLPKESKCVVCRKTCFSTECLAGMRCEWCGQTAHAVCYRQMDKECDFGVLRKIMLPPMCLTIPRTELPMEQLLNISSHDQPQSRKVSSPSKIQADDVSTSGEDVKERDDFEVIRVFDGNNSYRLQISRNIVVAKHVSVQQVRDAALRRFHINDTPERYYITQVVGEVEEEILEDPVPLRNVKRPEGKRAQIFIRYYDDPDKDEVKVYGGWLRKVPVTFCAINVTKDTIVQDLVTDALYHFGLDGSFWNRYNLIEVSLDRGVAERTCNPQENVLQLVRNLRKDSLRRYHVVRFYVQEKEDPHDHAVFVGNLPVSLAQRQYERILLKLLGAKEKPFTAIGPIYFEYGSLIITFNTPKAATAAVQKLQSAIYEDKKLIVLCLPNVQPQMIPKDVEPLLVLVNVKSGGCQGTELIQSFRKLLNPFQVFDVLNGGPLVGLYVFRNIPKYKILACGGDGTIGWVLQCLDIAKQGSEAISFQDAACFSPPCGIVPLGTGNDLARVLRWGGGYTGEENPMDILKDVIEADTVKLDRWAVVFHEEERNQPTSSGTQTEMNEQTMNNPEDQTSMIIMNNYFGIGIDADVCLKFHNKRDANPEKFQSRLFNKTQYAKIGLQKMFFERTCKDLWKRIELEVDGRTIELPNIEGIVVLNLLSWGSGANPWGTSKEEGNFSKPTHYDGLLEVVGISDVSRLGLIQSKLAAGIRIAQGGSIRITTHEEWPVQVDGEPHIQPPGTITILKSALKAQMLKKAKKSRRGGNATTSGLNQPHPETSESLSGPLGVPSTLGDPHHGKTTPDNTAADSDEEGDAFL >CRE17207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:456533:457705:-1 gene:WBGene00060191 transcript:CRE17207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17207 MGLVKAALTFDAIFAAVSGLALYLFPAQFGNFCFQKETDGVHWHLIRCIGGQIFASCLVSFKFRGSSQTSQSVCHFIRLIPSILILMLVFQIQSVTPSLIEPATLKFFKYLMISTIALHVTLLSIAGWKTGTKLIAGNKFGNFLYQLDALASICIGSCWMTFPQWLLHRQVLVELDESHEFLGRVMGANFIASYIVSTHALHWETNEDRYAAVDGRVICCISILGAQIWSQTYEHWSGNHWVGISLFSTWTVISLIYRSCLTFSRRQKKD >CRE17088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:460692:462464:1 gene:WBGene00060192 transcript:CRE17088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abhd-3.2 MVLELVFFLVLIAPVFLWMYSWYISAVPKHFVKPGTWLHKKAQANLRVLEQKYHPSWWCPFGTTQTVKIIFSREIVEFEDGGAAGIDWLIPEGTDDTTPIVIFLPGITGSTHDSSYVLHPVMEARDKGWKCLVVNPRGLGGVKLRTTRTYNAALPHDFAFIAKMVHERYPEARKLGCGFSMGGMILWNYLAMMGEDVHLDAGMIVSSPWDPMVASDSIECFIPQTIFNRFIAKSLVDIVRPYRELFKDMVDFDAVLKCNTVRGFDKAFVTPMYGFESYEAYYKMATLATKVDKIKIPCVTLNSVDDYFSPVQCIPINDIAESENVLGIITNHGGHTAFMESADPNARGMVEKLLSQWGNLVFHDYH >CRE17089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:463569:466974:1 gene:WBGene00060193 transcript:CRE17089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-45 description:CRE-VPS-45 protein [Source:UniProtKB/TrEMBL;Acc:E3MA31] MDLVQSSRKLISDMIQLAGSQMKLFLMDAETTPTVSCAFAQSEVMQKEVYIFDRIENKTSSENIKNLKCIVFVRPTAQNIERLVKELQDPRFSQYYLYFTNTINKYDVKRLAESDKNETVREVQEVFLDGIPLRKDLFTMNLNHIFDSSFNVKENEAERIKSGIIALLLQLRKAPAVRCPSYPSLLISFKLLMFRYQKTSSNCKKIADEVAQFIRRENGLFENAKSDTTLLVIERSQDIATPLLNQWTYEAMIHEMLTLTNNRCTCTDQSIVLSELHDEFFAKNIISNFGEIGQNIKALISEFQEKKHINKNLESIQDMKKFVEDYPQFKKISGTVSKHVTLVGELSNLIQKHNLLEISECEQTIVSEGDQNKCINKIRALLKSPKTREVDILRLVLLYAIRYEGTQNELESLYRQLGPHRSKIEQTVKALLSYGGSRRRPADLFGGQSTIDITKRFIKGLKGVENIYTQHSPYLKTIVEMCQRGRLDNYPLLSNDCDRMDNIILFIVGGATYEEAAFVRSLNERRAQGFGGPAVVLAGNCMLNTKSFLDEFTNLDRSTGAI >CRE17090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:467406:467791:1 gene:WBGene00060195 transcript:CRE17090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17090 MVYRHVNFLWVLVLVFSLLFLVSTTVSFPIEETSLGTINGTSVIAITHGNSERLKELIPLKNSENDDFNMFGGMALGVRKLGMDQDD >CRE17091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:472382:474614:1 gene:WBGene00060196 transcript:CRE17091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncs-1 description:CRE-NCS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MA33] MGKGNSKLKGSQIRDLAEQTYFTEKEIKQWYKGFVRDCPNGMLTEAGFQKIYKQFFPQGDPSDFASFVFKVFDENKDGAIEFHEFIRALSITSRGNLDEKLHWAFKLYDLDQDGFITRNEMLSIVDSIYKMVGSSVQLPEEENTPEKRVDRIFRMMDKNNDAQLTLEEFKEGAKADPSIVHALSLYEGLST >CRE17208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:474808:476344:-1 gene:WBGene00060197 transcript:CRE17208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chil-10 MKLLLIQAVWIIMTQAQATGKELIETLKFKPDLITKASIIREHEELNDNFHQPNNREGLTQLAYITPWNNKGYSLAEKTAHKLTHVSPVWFQAKASKVDGKLITCKIEGSHDIDRDWLERLREKNEKIKIVPRILFDGWSADDMKDLLMNSQLSRSCFVDIANFYSRNQFEGAIVEIYMQALISVQSLQIKEFVIESMQDLSKQFKKLHMELILTVPAPLEWNNQPNNLVTPDEFKKLTEVSDFVQIMTYDYHGNKPAGVAPYDWFENCVFYLGTGPKTLAGLNYYGYEFSKGKMEAVTSDRYLKVLKSDQTTLSFDETSMEHKLKTPTSVIYYPTLTSLELRINMAHRYEMGIAIWDYGQGLDYFSNLLI >CRE17209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:476631:478618:-1 gene:WBGene00060198 transcript:CRE17209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apm-3 description:CRE-APM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MA35] MLNSLFFVNTSGDVLLEKHWKSVIHRSICDYFFDIQKKVSNENTRRLNMYYFSQSHQPEDVPPIISTPHHYLINVYQNNLYLVAVITVETPPLMVIEFLHRVITTFAQYFDEFSDSSIKENCVMVFELLDEMLDNGFPLVTEMNILQDLIKPPNFLRNIANQVTGRTNLSETLPTGQLSNIPWRRQGVKYTNNEAYFDVIEEIDVIVDKQGSTVFAEIQGYVSIDVDVCCKLSGMPDLTMTLINPRLLDDVSFHPCVRYKRWENEKVLSFVPPDGNFRLLSYHIAAQNMVAIPIYVRQVISLKPNAGKLDLTVGPKLSMGKVLEDVVLEITMPKCVQNCNLVASHGKIAFDPTTKLMQWTIGKIEVGKPSTLKGSIAVSGTVVPESPSISLKFKINQLVLSGLKVNRLDMYGEKYKPFKGVKYITKAGKFTIRT >CRE17210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:479085:482062:-1 gene:WBGene00060199 transcript:CRE17210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arr-1 description:CRE-ARR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MA36] MVDEDKKSGTRVFKKTSPNGKITTYLGKRDFIDRGDYVDLIDGMVLIDEEYIKENKKVTAHLLAAFRYGREDLDVLGLTFRKDLISETFQVYPQTDKAIARPLSRLQERLKRKLGANAFPFWFEVSPKSASSVTLQPAPGDTGKPCGVDYELKTFVAVTDGSSSEKPKKNALSNTVRLAIRKLTYAPFESRPQPMVDVSKYFMMSSGLLHMEVSLDKEMYYHGESIAVNVHIQNNSNKTVKKLKICIIQVADICLFTTASYSCEVARIESNEGFPVGPGATLSKVFAVCPLLSNNKDKRGLALDGQLKHEDTNLASSTILDAKTSKESLGIVVQYRVKVRAVLGPLNGELFAELPFTLTHSKPPESPERTDRGLPSTEATNGSEPVDIDLIQLHEELELRDDDDLIFEDFARMRLHGNDSEDQPSPSANLPPSLL >CRE17092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:483035:485489:1 gene:WBGene00060201 transcript:CRE17092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sms-2 description:CRE-SMS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MA37] MTNSSEFTDVLQSRDPCVSNGVVISIDPIDPEPTPIRKEFTLEDTFHSVHHGNSEGFKTLTAFLCLMLSAFLNFFLLTVIHDVVPRQPLPDLTFMIIPQQRWAWSVGDVLSTVSSVVAFTIIFLHHQRWIVLRRTFLLGAIMYGLRAVILGVTFLPPSFHNRDEICQPQVNRTAMYGMEIATRFLTYVITLGLTSGQDKILCGDLMFSGHTVVLTIMYFVQLQYTPRGLVLLRYIAAPITFLGIAALVVSGGHYTMDVLIAYWLTSHVFWSYHQIFEMKKEDRPQAPLSRLWWFWLCYWFEADVADGKLINKWNWPLEGPQKMHAIMNRINLKLQ >CRE17211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:485831:492041:-1 gene:WBGene00060202 transcript:CRE17211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17211 MNSANSPNNIDLHCNLSSDLFPQFMQTSTSAVVKITTGEINEDNNNMLHGINGVALIDLNSTDNDDQYSHSSSLESRNSLTNHQGSQDGDGESGSTSCSEDSFEMSSTCSIREDMYEETRRLTENNEVIPRRSDFSKAPSYENQNPYQVTSNQNHYGETSSRDDQLQKIRRNVADQIEHIDTGLPQLDFVKLEKQLTTAAKEREDVEKRMAIDNVGERKDCDNWKKYPASYQPYKKSNSVLRLPPSKNLQVCFMNELSETETENGEESEDSDMEHMYVRKSRSVPNFKNPANPDVLDPKTLKDLHEKLEKNLDLNDNEQCKMLRHEAHRVMKIAEEGAKMSLAEYRRGRSKASEVPRQARQYLSKTKLTDIQLILQQMEEAISSECMKIRVKRRGKCRKVMSELELVMEWQLCGKNNELVGLLLERDNLHMEHDSLRVDIDDYTQQRSPLVFLDMRYLITVTTVVLGGSAQFYSYGVVNPAQVIITDWINQTYIERYKTPLSLTVSNVIWSFVVSSIAIGAILGASFTRIIGEKYGRRNGLIFNGILNTFASLFELVAKRFSSPEMLIFGRFIYGINMGLSSGLVPMYLMEITPYKYRGPAGTLHQVAVAFSDWFSLLIGLPEVLGDTNNWPLAFALPGLPALALVCILPFCPESPKYTLGTKNDREKALRDVKLLIGEEQAPHMFESIVREVALDAGDGSFRELFTRPDLRIPLAVSIIVMIAQQFTGCTAVFAFSTDMFLNAGLSPFFLHIHTFHIFRVLARFSTLAIGIVYFLFACTSPFLINKVGRRSLSLFQLGSCMVALMMLSLFTFLQTYEQVEWARYGTIFSLVFYMCVYGVGSPIPWIIASELFTQQFRATAVTVSVFVAWTFAFIVSTSYLPFQQLVGVTLSYFPFIIGLAVFGIFIYVLLPETRDRPMVEIVTEVHHRTASLSAGRPWDASRPPSRQEVQRLLDTMDPRSYSSYDNPSTADE >CRE17212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:493035:498726:-1 gene:WBGene00060204 transcript:CRE17212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17212 MEQFGDFTEVTQFTNVQYLGCSQLVNNDNDNEMKALMKVLDEQKGAQTVNVTLVVPHNISGTVKLIDSQGKVLSSFSLVNIRFCIRGESTTSQNNCFGISFTHKINVGDNNSSDILHQCHVFRTSKAETAAKALYCFSYAFSNKNVSAVSNRMEFLFESILEIRENDGTADKPIWKLCPQHNGVFKVRRDREKKIVVQLKQIEGFLLNIKKCFGMLLAAGRNLRHSDLQLLEMQRNETGTDSAVFLIEANWDPRVHMFEVLNTETPRDTRVFMTVAIDVIVTEISEPIRFSMEAMSRVFHEHERFYKTPQTVVSEEFTLVLEKNFDQADPNDRKLTFISLESDSDRKRSKQNLGKSPSRMPTQLLHPTGDDESDCDEPLLSGSGKVSQECKEEHLEMWNSLIENWDQQSDRPEKISELVLDGIPDKLRGQVWQLLANAIDQPDLVEKYHNFLNQPCPSEQVIMRDIHRTFPAHDYFKESGGKGQESLYKISKVYSLYDEEVSYCQGLSFLAASLLLHMPEEQAFCTLVKIMFNYGLRDLFKLGFDNLHLRFFQLTALLKDYIPELSHHLDHIGIETHMYASQWFLTLFTAKFPLQMVFFILDLFLSQGMNTIFHISLALLHDAKTDLLQLDFEGTLKYFRVSLPRKYRTEAATKQLIHKAVKYRLNHSKLEVYEKEYKRIKEQERENEDPVLRMEKEIGRHLANTLRLERENDDLAHELVTSKIELRRKLDVAEDQIETSANAIERLTRQNQDILEENKNLMREYEQIKEMYRRDVLRLEENGSRAEKLLAEYKKLFSERSKRAECEKENFEVQKKAIVARISECDKCWPSVCEWEKNRSPVHTASTPTGLDLLTKLEEREDHIKSLEIDLAQTKLSLVEAECRNQDLTHQLMAQSESDGKKWFKKTITQLKEVGSSLKHHERSNSSVTP >CRE17093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:499255:503478:1 gene:WBGene00060205 transcript:CRE17093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pifk-1 MKSENDVEYTIKQPDLKDASVPNAENAGKSTDEMITAPDDDIEKEDDGEIEIGKENSIKPTHDRQSWTIRLLSSDSFDASMAVEYLNSIQDKPSLTFLGNQYSTTVPHSFISTISGKRLFDLPEKDLDFYLPQLMYLYVTKVDAASVSHAYIEERHKNDAQFTVLCIWLLNCFTRNVPNKYENHALMLKSLLSKTNSPGSSIESMNILSLDRLEETNWTVGSTYGKYNGNFYHSEVQSQSTALNATLDSYEKPRYGPISSQILTNQQNFIGRLVFIGVKLTQQHLFSSKQEKTIALQSELNMLNSMLPTAVWIPFDFENTIFNICVDESAVLNSKDKVPYVFFAEVVRSSPHYNNVKTPASLDQKMKRSQSESILLKNKSYHDSTDPSIAVFAESWAEKKSRIRGSSRYENHQKWDLIPVIVKTGDDLSQEAFAQQLLFTFKDLWQEEGVPLYLRPYKIVCIGSDAGLIEPVLDTLSLHQIKRHLTNLFRMNGNPATPLLKHHFENVFGPVNSETYVNAQKNFIQSTAAYSLVSYYLQLKDRHNGNILLDMEGHLIHIDYGFLLSSSPRNLGFETAPFKLTTEIIDVMGGIDSDMFLYFKSLLLRGLMAARKHHRRIVSLAEIMSSGSKMQCFRAGAETVRALEARFHVSSTDEQLQQLVDTLVEGSRDSYTTRFYDSFQYYTNGIH >CRE17094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:504913:506906:1 gene:WBGene00060206 transcript:CRE17094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17094 MLVILVRGSAPASKTQITRKNNGKVSENQSVAKLLKEKAAASAMERVICETLVSQIQALQALDFVIRVCGIDTLYSELCGIRVDWVITIGDNLLYLKACRLIYKRVPIVGINLDPNATKGSLCLFGSCLPRIVSNFRDIMEFHVARQRIQVEIIYPEFKERIEKRTDVNKEVYNVLNEIYIGNVKKEEASEMELLQAGGFQAKKIKFQEIMMLTGTGYHFRKDSFNKMEMSKAKVLDMYRELDEDTANRLMEFKIQGYELNENSKKFVAITKKYNKKVGLDTSIMERTKMGLVTREDNLLVVLDGVKNMKLPKGTLLIFTMERETSLNTYRND >CRE17095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:508109:509195:1 gene:WBGene00060207 transcript:CRE17095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17095 MNQDPPPPGDPNLKSPVPEIDVQEQARRRIAAREKKLAELIEREAKRQQEIKDKEARAAEKQKESQKILKMFKDGSESSNCPPIYLKKARDASPDDNQQESWEERRIAYYQKQTEMKEQNEEAASSSAGQSAAANQKPEAMEGTNKDADSGSSSLSAQVITPPERLSTEALEKKEKEKEGDDTKAETEKRPTHIPPAAGSPPSKDSLLTDLIPSTTDRIARRRIREQQLSSKFLDSSEDESETTEVAPAAPKRKSDVVRKRAAPPDSDDN >CRE17214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:510445:511800:-1 gene:WBGene00060208 transcript:CRE17214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17214 MVEMKPFVGAPLRNPDRTPNNWIKELEQCVNGESEAVPVSAFSKNLGSDVSNILMTNINIVVNHEKGANWVAAVEKSYGYFALCRFLGSTHRFWTNYMSDNVHSVEEIGRILDIAPPATFHPKYNGDADLFMEDIKREVSNRQTLPKNFELLKAGKTVSRFNFGQRVELLRCNGQIRVAHIHAVCGRRLNVIVNQCDSPVELVPDDDPQAESDNAENWVDEDSIFLLPVGFATLNGYTLVANGDYIEYTEQIAAAIRAGFQPEYEPGDVRFDDLKKPEISQELMNKVKIGQKCELIDPLSDDFECLVVATVMAKCESPGYVILRLDEDESAFPIHLINVFMYPIGYSENYRLPLEDPRKAKHYSLDGKFDVEDYMRATGATSVPIDEIRPMPPQERMDLFKVSLK >CRE17215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:514874:516228:-1 gene:WBGene00060209 transcript:CRE17215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17215 MFFFSFQMLSTRHIVSNCQISRLGYLVKVSQHLKQVYTVHFQNHELIRRYCVANSAKPTKYFDLNDLIKTVNITYKTGKEMDQITTVESKYVDVNNVPDTKGTVVTLSGSPGTHNDFKYMKSLFEEKNIRMICTNYPGSEFVTGGFHNSYTNEDRNSYMKSLMETLHLQKVNRLIIMGHSRGGENALQLTSQLSDSSSWPLVGAVMINSPGFAPHKGISKRMGTINFIISLIKRHNNTINAILHPILHWFYNNVIGLRVSHGKVAAAAILPMQTFAFDQQKQSIDDLRQKPRIQVFYGYGSKDFLIDEHQSNEVAMYFAKDNHFVITDKTAAEKASQEVRKSFNAGRKFATANFTKEGHFLQKTYPQFIVEVVDSMFEADTSKK >CRE17216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:516493:520794:-1 gene:WBGene00060210 transcript:CRE17216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sel-12 description:Presenilin [Source:UniProtKB/TrEMBL;Acc:E3MA46] MHFNVAYMVLYTPFVKETDSIVEKGLMSLGNALIMLLVVVFMTVLLIVFYKYKFYRVIHGWLIVSSCLLLFLFTTIYVQEVMKSFDISPSAVLVLFGLANYGVVGMMCIHWKGPLLLQQFYLITMSALMALVFIKYLPEWTVWFVLFVISVWDLVAVLTPKGPLRYLVETAQERNEPIFPALIYSSGVIYPYVLVTAVENPGESREPREPREQPTDTEGKFQLAVPSTSTGFAPAPDTGAKRAKVKRVAQKVQIETQSTSQGEGSGGVRVERVPTRPVQQEPPMQQHHDDFQEERGVKLGLGDFIFYSVLLGKASSYFDWNTTIACYVAILIGLCFTLVLLAVFKRALPALPISIFSGLIFYFCTRWIITPFVTHVSQNCLLY >CRE13033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:76663:77106:1 gene:WBGene00060211 transcript:CRE13033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13033 MVWGCFSSQGMGPLRRIVGIMDRFQYEDILENTMRPWALQNVGRAYVFQQDNDPKHTSLHVRSWFQRRRVDLLEWPSQSPDLNPIEHMWEELERRLSGVRATNADQKFAQLEAAWMAIPKSVIDKLLDSMPRRCQAVIDSRGYATKY >CRE17097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:526753:528484:1 gene:WBGene00060213 transcript:CRE17097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17097 MLPISNNRLGSTNKDQSDTVSHKSQHCLTLSTNSNYIQHVCNDASSPMYSGTRNSSVSINYPDSKEEANDLLLPNQLMPPRDPCASRLSTSSAWNSIRLSALSLSRVFFLKPCSKHKCCLGCISLRDAIPLICTVEMFSLVFCTTIAIDFWISNGKSFYTVNFEGYGTQIALGYLAFLLISIGVLLFTISIWKSKRRNWYIVHIIWQWTIIELFGFFIYMVVTWARNAEKQSILMPSAYVLIGATSFGALVEIWWLIIFVDAMLFEKSSDSYGSRRTSNENREDLEESESSNSPTSIRPNIKITENCEIVI >CRE17098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:529702:531936:1 gene:WBGene00060214 transcript:CRE17098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mab-7 description:CRE-MAB-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MA49] MWSGSKTTQLVFKRFTEETCQNNPCLNGGTCTPGKLSCTCSQGWMGRYCHRKCRNIYKSCDRWAVEDKCHTILTQTNFFDVNCAISCKMCSPDPNYVAPEIPLAPALEPMQFFLGKWHSRASKGLRFPTDLYDSEYEEIIDIAPANVPMFGPPSLNFTSTSWFEDDTRVMHGFITLKPNSFPPEVAILSTSNEGLNMIELGTLKHHVLTLNVSYMQVHPTMDSKVLPLGATRRLRRVGNLLEMTVAKLFSENKVSQFKKMFKKIADYAF >CRE17099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:534674:537963:1 gene:WBGene00060215 transcript:CRE17099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mam-1 MSQLASAHSQNTIVSKILQVALGLFVTTSDLPPLSPNHEQLSYDCSFNSNCRWISAGSNVDRWRVARGEPDSLLWLAATGTMQIPSECLLIFFILTCVSAGEPYALIESRGNPVDSLVTDEIRCQIGYAELSFTYWVIGNTDLEICLTDSQGEKFNCTGMLNSSIMPGKVSLKIPELQKPFRTTSPPKRIRPWTVKQGTSKKPKPVEEVNEEIEEETTTTTTTTTTTTPEPTTELTTTTEEPTTPSGTTTEVPFDLLIIGNRTRPLKDRRSGEIVDDSTQLLCDFNNEFACRWGPEAGRWAIIDSGAIPSLEDSIADPSLLPTFPAGLVLQGTAMLSSDPIQCQTGNAKVLFRYWSNGDILLQVCALGHGDNNDIIHCVEQSRSARHERNTLAVFELNKDIAGTFTLNIVPQWETGLKNRFLVIDEIAYIGQCDKSKLSATSQAPLILSRTTPRTIEPRESTILGTKRRIQTTPTPTTTERTTTATEPEEVEEETVDVEEEETTTTPYPTTTTSSGYTKKRRIFTTFAPVYPEEAYTHPTKPRKNTWTTTPEPKQDDYCDLLNCSFDDTACNYLNHGLTKKPWTLRNRGYGYPLTGTTDIRATQTNGQFVSAILDAGDIAILESPKFNATRSLNVLLFQYFRPSQMTTIRLCLGSRYTNPMRTVSSFVQCPSILRSVTSKNAYRWNTVHIQLPPGTTHFYLVAHSSEKSDSRAAIAIDNMRVAICDTRGFAPDYIDETTDFQSVLSL >CRE17217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:538210:539607:-1 gene:WBGene00060216 transcript:CRE17217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17217 MAHINHAYSDTSGSHHNGQDSHRRGLNSLSPTFFIRPKTTGLVSLFIQTVLLIVSATTSLFFFYHVGGYEYTHWNENSTILEPINHNMNRNSAGEMVTPMVSNDGSDSLVSTAERKLDFLERSYLYNDSDGETVNLLFDPEDSPNSFIENASFGIEQVPLTTVIIGDVEFDWLEVIRISTLVYLAICAAWVISGLLFICTIRKELLDTAVLNTTILILVVLFEVAHAGLVTSLLFFQREMSWRTLAITIGSVIILFCCAFLGFVCVSLNCGWIKYIDYMHGKKTCAICCLFRCCGKSNESAEDGVVGNGAPTNARQYENDVQLDRFDAF >CRE17218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:540771:543283:-1 gene:WBGene00060217 transcript:CRE17218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17218 MDPQQVPEPSSRSRSLSSHFPQMPTSMHPYADGIHSLFGSAMQNSDILNQSTSRNRSIEDPESLDHLRNNEREQLLDQRQIREGFQQLRNTFDNSREGQTLLNIIKNVLPFLTLFAAKLMFDHLNDIFQFALLFVTFLQADFLVQKVMSGGFSNKFLQILYCFGFSIMTVLYLRNYSMDDFEFSNTFGLNILYFVSGEFKELSVSSTIYGVIMTDTSFKLITVIPKSLIVIIPEAYTSQSYKRKVLQSIEYCSQLYRCALPFGPWLRHFLFVNPGAGFMIYFFSIVYFSLKVGEMYRYSLFVKKSVRCLLTDSSVGTSVKLVDLDDKQCTVCHEDLSYPIKLECSHVFCKTCIETWLDQKTTCPMCRAEVTKDVDNEWKNGGTSYAIRMF >CRE17219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:543843:545919:-1 gene:WBGene00060218 transcript:CRE17219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17219 MLLAFLLLLVPLVVESKLPPFFLGKINGQTLLNHHLNQLTVTSNAGVLDQYPWLQVKNFTQKLDHFDPYNTKTWNQKYFYNPKFSRNNSIIFLMIGGEGPENGKWAANPDVQYLQWAAEFGADVFDLEHRFFGDSWPIPDMTTNSLRYLTTQQALADLAYFIESMNQLYGFKNPRWVTFGGSYPGSLSAWFRQKYPQLTVGSVASSAPVNLKLDFYEYAMVVEDDLKLTDVNCAPAVKDAFTKIQKLSLTAEGRNQLNGYFNLQPPFDGKTTKLDINNFFGNLFNTFQGMTQYTYDGQSNSTHSDKTVRKMCQIMTNTSEPDTVKRVENLFLWFNVMEPADPDHLTVMPNSYWDVIKQVGSGDLKVLGPDGAAARGWMWLCCNEIGFLQTTNQGNNVFGSGVTLNLFIDMCTDMFGDTMKIKQIMAGNKKSQNYYGGADFYNATNVVLPNGSLDPWHALGTYKTVESQALLPYLINGTAHCGDMYPSYDGEPNSLPAARAFIKQHVREFIRYDPNVDGPKTSAASNSLFVVISLIAYILVQ >CRE17100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:548040:553564:1 gene:WBGene00060219 transcript:CRE17100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgl-1 description:CRE-LGL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MA54] MSSILRYIRSKFQHEPEEHAIEQFAIYDEGNRGGFPSDIAAFDYHSGCIVIGTQSGDVTFYGFHGASWTINLGGAGDLKTKIEHVYFISSNIALVLCQGPLIIPVHIKDGVITKKDEFRFGDNPKHKWNTSHVQKLSDDASALIFSVNNTIHRIKSKTLKLEVLVTSEDYEKFLPSDYNLSSITSLPEKPAVMMLILENGTVGVFNESQFIKRISLKNFEDEAIQKLHWSFEKKKNIAYGVSCNNLYTKWEFALGKDSHISASEVNVYSAYQFGPYPCHQIRHFNVCPDLSNPGENFLVYQGGKSSGKYDDRDLVTISHGDTVDKFELTSEIVGIAAIDGSYESSKKNGVILICTKCEIIGIDLEPEALAMMQPKHFLSINNSTPTTHAKAIEIEENVWNRLETASKLFWESNQMSTRDWPLYFQKKIVSDANHQRAAYRQVYLSGHSNGNICIWSSGGVGMSLLLIIKTSVEFEGISMDNEADTYYSSDDKPEKPVRKVGIYDPFDDEEEMCITRVHFDPKSGIVIGTNRGGYVLMYELWDSARVLSNWEAIPISCNNSPPDSRRRSLRPRKTDLKYIAGYQIRLKNESELPLVFSMHPSHRVTDIAYLHKYHCLAVGSNFGAVMLDVNHGYIVYANSFNDDQQETSNVNPNQFQRFKSLKKSLRKTFRRKKKTPDSTMILDFDADKSVRGKHEGSPRRVEEIDEDGYMERPIEAREDLGVLDCYAENSKGTVTCLRTMRFPIVDDKNVDDIIAVGLHEGGVYFFAINEHDVGLRMTFKAEQIKKIVIPHKQPVINIDITNEEGYFSASTRIVIITEEQLRVYNCQPMVKRESYKITALEGLKIKNGMVARILNKKNSNNFESFVVLVANDGTLRIHSVQKTSLFETRKFIDPIDVIALNSSCLSRDGDVAFLIKSSELQRTTVNLNFRGWSNAHKS >CRE17101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:554052:554924:1 gene:WBGene00060220 transcript:CRE17101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17101 MVSFVQLVVKIREIFEQKLIDIDEVMRLLASYNSNMREWRRFAIFDHNKYTRNLVDVGNGRYNLMILCWGPGMASSVHDHTEAHCFVKILDGELTETKFGWPRKRHVPLDVSENKTYKKNMVSYMNDDLGLHRMENLSHSNGAVSLHLYIPPYDTCNAFDERTGKKTKCTVTFYSKYGRKIDHRGSKNG >CRE17220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:554802:556486:-1 gene:WBGene00060221 transcript:CRE17220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17220 MISVTVAAQGKPKRLFGGNFRAYGSGRLSICELEKDPTALKTNETGLSTTTVKICMFVNKLFELLTTFIHYCLPYLFNQKQTWYCPTTRKEVVVPDPVMQPDLDCPALVEVFKFLDIDDMLNCKLVCWKWNTAISENACELATTKTDQIRILFDEGEVVLYPIDEKRCPIRHPLPPLQVLRNRLRHLTTQSLFVRGLIPVESGPVLRLLLSLTLQPQQMYFIWSKFSADSIFLFEELVKQNSDTVTDFGLEECSPSHLLTDRLLSPIASHLTSLRLWNNGKGSHYGVTDDTLIRINQAILRGSPVETIDLGTCFVSSEVVSSIVKSWEQTSTSDLTIMLSHCYPVNKPEVIRLLQESNITLKKNQQLHSKNHMLTLVC >CRE17102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:562496:567531:1 gene:WBGene00060222 transcript:CRE17102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-igcm-1 description:CRE-IGCM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MA57] MEFTLSSDVFLVEPSSEPYFVQEGNEGIVLPCVVKPEYFDRRKFEINWARYNNGQLRMITKNDKLLAKKHSRFILENDSATGNYSLRITEVEKNSVEGTYHCNVIGTDDSDVQYSALATVVVLVPPGDPIISTTPSESVIEGDFMTAKCVSVGGSPQPTFTWTLPNDTTASEALFTTQFRDGATESLLHFRVSSSDDGKSVQCAVTNKAMLDGETKQVRSSHLNVLYKPIVFVTPTENLTHLSVEEGELVNLTCNAASNPPAHSYEWKHISSGDRYQGKVWPIRVDSSMSGDFECRATNELGEGTSVLKLVVQHTPKITVPASISPNEMEDVDIQCEVSAVPEVIDIKWVGPNGFKQNGSRLVLTSISKSQSGNYTCMATNFLTVYGHSGSQQRMGTGTVMVDVKRKPGQAQIISARQSVDVGETIKLICQAEDVGNPPSLYTWASPSSGGIFGLEGHNDKSFEVRNAQLSDNGVYSCKAYNDLGEGKAATVMITVIEQARISSPLATERIFTAGEQGKMLECEAQGYPTPVVSWLKDGIPVKQNSYESSATSESKCNPEDFCTQTVASTLTLSGPLKWSDKGNFTCVADNGSDKNGKDSSSWTIVRILHKPVVLNRKFPEKSLSAADIGSQAILRCKVSARPEPEFHWMFKDSEIFENERHSFHTVGTFKKPDEYEQLLQIESVQESDYGEYVCRATNGNGGDHVVIELRKTSPPALPLDLQKLSATSNSISMGWVPQFDGGSNQSYILEARKVDPFSGEVDPSAPATRLNINAEQQEHEVDGALVSKNIFNFTGLTPLSTYNLRIQAVSEKGESEFTPVLIASTEDVVEDSNMMSPSRLILDSGEQKIDVEPKLPSDACTLLYIFVDGIWRTSICHTSSNPISNIISGREYKARFCTTANGLKCSPVSKTISSGSGSVWKTNVFIPFIFFVFISLAICVFFLVCCKTRSSPKNSKLSPIILTSLPGDELKKPADYEEPKHATFVITENETNHSSHHNHHSDVLQKPGKTGSNMDYDVSTDCYLQENTEVLKNTSLSGFGNGETIDSSDGNDDRRIVREIIV >CRE17103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:569680:571115:1 gene:WBGene00060223 transcript:CRE17103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17103 MDIKNKCFVPHDLRPILEALAREVLRSQPSDVAEFGHMFFDEYLKHRKENRNILKDPAAYEVFRADLQKKFAEVERPSSPMDSAATKIQAAFKGHLVRAHPEKYGLATRTTSSEKLDSDNKKDQKRHSVGGYTIEMDTPEDRAATKIQSEIRGFLTRKHVDKMKKEDTEAATKIQAHIRGFLTRKHLDQEGLSPSRSRSSLQSDHSDVN >CRE17221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:571417:573349:-1 gene:WBGene00060224 transcript:CRE17221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17221 MNVNWKEAILQAQKKIDQVLDIRPESVEVEVEEEPEPQEDVIDQNCSSPSQSSEVLGDDIVMLEPVLPPFLNNRYIGDDIQTIISSDIEHLTRASSRTASPERLKAPSDFEKEMLISQVSPVPAYVSTSAATPEIPLPNPEDILNQAISGLRKELTEYKKANQILQNSHANLEREIEENRFSLNEKVVNMLNEEREHFNQEISQLKLTCSQAVTEKMEFEEKYRKSENYWSHTKKTLDYFRKQIAQIKNSLQETGETFASDFETFGAEIKRNLENQRRKESQQRAPRVIKIPCNCAKLAKEDSDSIVKHDEATQTNVSNPTKNDSNEYDKDENMASSPSHKGNGNVTDLLRIIEQLENEKRHLQIQRKHDKREIEKLQEQLNETLVMHGEKIEQLDEIMVDNEELKSILKQQALALTNNSS >CRE17222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:574165:576215:-1 gene:WBGene00060225 transcript:CRE17222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17222 MFEKVKDVPLPQGTVSPWPSNLAVCWLDTRNITYVAVIQSNNIHVGNWDNKAETEVSWDTIVTSEPSTPNEKVIDVTTVGLVHPNARNFPVVIVGSARFINVYDVKKISQKPLFSITLASALNDPKTAVGGDVAPYCRGISCNDNAILVGTHTGEIVVIMCNGDSNFTTRKNLKEHRSAIADIATCRYDEVTVSADSNGELIIWQKPVKGVNSRVLTKQPINVINVLRKQVIVGTLRGLVQYYSVLTGDLMCEVNAHARPVNSVSVAPESAYVLTASEDGTFVVSKLHTRKPHAYQVPRFQKVEYRFSDADEYSMIMGAQFTNGRGSAIATAAFDNNCLTMYKIVKKSNAAPSTSAS >CRE17104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:580933:587479:1 gene:WBGene00060226 transcript:CRE17104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-3 description:Dwarfin sma [Source:UniProtKB/TrEMBL;Acc:E3MA61] MTERQHLNGYPGSVPPPQYTFGQPGTSTANVGPVYGSKQGVQQNGFEDIPDIEAYERSLRGDATTFPLSLASSTPQVIDDQTPLMSPVSTSTKVVNRNGVKVEQMSPFLDPECNEEEPEEGVSYPDPDLFDTKNTIMTEHDLDVLKYGKNNPEEYRKKLEVPDASSPPNKIVEFLMYNRTLKENELQQINAYRTKRNRLSLNLVRLNPDREFDQKACESLVKKLKDKKHDLQNLIDVVHTKGTKYTGCITIPRTLDGRLQVHGRKGFPHVVYGKLWRFSEMTKNETRHVDHCKHAFEMKTDAVCVNPYHYEIVIGTMIVGQRESHDSREMSSTHTPSQRYHPNGRPSADEMSRMNIRPPTLPPTIPQHPIPSLPINPQHHQMPPVQHHMPPHNSIPVHQIQPHQLPPTQYNHQMPQQIQQHLPSIPQHHQVPQLHQMQQHHQIPHSMTPHPTAQQTMQPIYHDLSNGTIQHHQMQQPMTSVSQMDHMNPMNSLIPLTPMTPSSIGSAMPPHSVATSYAYEHPPPYSVAMNGHYDPMAQFSASHMPSITPDPSMSHTPFPQHPTNYHFPMDIPSTSAANHHFPSQAHPPPNQDQEMYQDPDGNIWPPDFFQVYNLQAFRPDDQVAQETNQPLPADWTPRFFIPLSKFRTYCRETFTERFFDASEEDARPDESSNPNFVRMVDKDILGVGSYKYRPLPRGEEAVEDEDEDRPAAEAPYSDLANFVVKVTHESLCMSGKEPPVAPDVRWGVVSYYEEGDCYIERECYRGNFHIDSGFIISEQRLSLGLIQNPSRSTMAFKIRKAMIDGIRFSYKTDGSVWLQNNMRLPIFVTSGYLDDQCPGIRVNKVHKLYGHAKVKVFGFTRVKQIIRDRLYSKQMARLYLQQNGNRNPMFEVYHRIPLIAIQREARVTTDSLVKYCCVKVSFGKGFGDAYPERPIVSQCPVWLELKINSAFDYMDAILNDLENRFQGFQMHDYAKLGVDVSPD >CRE17106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:589627:591504:1 gene:WBGene00060227 transcript:CRE17106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifd-2 description:CRE-IFD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MA63] MTDPLNPKRLTNHPALARIIESGRTNLPTGITSSGSLSAYAQNAAAIIRDNRDREKIEIADLNNRLARYVEKVRFLEAQNRVLENDIGVFRHAAQTHAGRIGVYFESEKNSLATLVRENKAKISTAEQSIRKLEPEVIAAKKNLTSSFELRVKMREDKKSQMKKLSDLEAEVCFILNTLSPFFKFQNAYLKRLTSDCEEEKSHISTEISRLRSEIKRVHALRDKERSKHTSAAQELVKRLNGNITQHEIAIREEINKARRDTTDKNREYFHKELHAAMKEIRDRFEKDSRATRKTWEEWYHKKTLEIKKGSETFSLNQNLAREEILRIRSIVNEFRGKLSDAETTNQQLLKRIDDMHYQDKENLRIFEFTLTEKENSVIKMREECTKLSVELDKLVENQINLRNEITHYRKLMENAEHLRTTAQSHVVIETPATGLRTTSYHAYGSAYTDTSTRQLL >CRE17107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:592163:593644:1 gene:WBGene00060228 transcript:CRE17107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17107 MAKPKPPTEGTPLCNVEHIEFESRADDIKAGLIDTFLNLQRIDTNLYIARHLLKGRHSYNAVYGGQVVGQSLAAAAATVEDCFIPHSLHSYFIKTGSVDKPILYMIDRIRDGRSFCTRVVKAVQDGEAIFSCQISFHHKEPDAIKHNSKMPEVAAPEALLPAREAALEALRSKDVDEVTAGVIQHFLKEIPDAFERVFDVRPVNPGKYLLKEDTEPMSMIWIRARENLGDDNRLHQCVAAYLTDLSMLTTAVRPHMRKGFVPSMSFSLDHCVWIHENEFRMDDWMLYETISSKAGGSRAFIEGKLWSRDGRLIISTAQEALIRAPKV >CRE17108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:594137:596978:1 gene:WBGene00060229 transcript:CRE17108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17108 MSRSTVFLIFLSFFGIASAISPINLENCIPGSFFVENYIKYECFQSGTVKGYTIAGCQPSNDLTGVTLMQWETYNEKWFKYHCVIDGRNAQYSVKACLDPVGEVLPVGKSRSFPDGETFTCFIERDKVKLNHQTSEQNVYYHALVPANYVIVRPNAVGCRVGEQVYGDRATWIDRRNDTITVGSESKVVGKGSTMQCKKYENGTYAVEVAACLTSENTYIRPEDFGTVEDAIVKCAWVEGKCVLRKAEVTELGCKHNNSVYRHNQEWNSEDGSTAYACQFGKIEKKGCLVGSLLIPLYAIRYIEGNAFYCFQGTQVRSFGDLKGCTTSTGEVVPFENRAKNGDHLESCGFSFNQDGTVEFKWTQVGCIYSKELITVNAIQKFGDQYVHCALNGTAGYVVKMMTKEEVEKWLTATQQQWSNIVSGNEGKGTAVKREVPTPEPVTTPSTTTTSTTTTTTPAPTTTTTTTTTEAPTTTTTTTTTTEAPTTTTTTTPKLPVKKCVDLVEDCDKMKIYCNSEQEQAKFLREAVQKFSINPKNKSKMLEFIDHMLSTFVSYFSLTADEENNGNGDHKLEGDKCNYRKKCGKCDKGDKTCHCKCDHQKDFNRRLVRSLCPKTCDLCDEKHSSISSIIATKTSQACVRHHCDHFE >CRE17223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:597100:598505:-1 gene:WBGene00060230 transcript:CRE17223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gtr-1 MNDINAIKKFEWDFQMSNFSSSDFPIEQFRHSNDENEFETIVGIAVVFSAATGIFANFLVIILSFGHVKGDFRFFVANLALVDILCGSVFMFMGYINVNDDHHIPSQFLYYMTLAFYGSFGTMICAIVPISLSRVLALSNASLYATLFGGRRSLIFCVFLDFLPVGILYVICVVEHDVAKILFYTFAFITTFAYFVAFTTNYMVFRVVAKHIKVVENLRDQARLLETRQVAIATLAQAIIPLFCQVPAFLTLSSVLLLSEPITNGNVIIITQLWLALSPFLDAVITIIVIKQYRKNCLTCASTMGKRIWKPKNTDALYSEEKEAFETRL >CRE17224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:602351:610830:-1 gene:WBGene00060231 transcript:CRE17224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-attf-5 MSDNRLLESPSSIAGSARSTPAIQQLEHDVNRRFSQPQQASPPTIDPRIPHHLLLHHGTAPNMAFPQRSRSVAPQKNPPAKPRQNRKNRALTVAPKMSESERLFSQMTPIIRETIFTLCSSKTPPVPPYLLPPHIIRQLVEHILQLIRQQTPQSTGAQMPTQMISQVPTHNLQQANRLVPSQIPVQMQTQMNSQAPPQDLQQILQFHQAAQLATSQQHTQLAPQEPQKSVQGNPPSILQQMAHQIQQLNPQPTPSPASTRMATPVPHWNIQQMSQQIHQLESRSAFSPVRSRMATPVPQWSLQQTPQQILQQIAQQIGTQIPQQNVQQDAQQVLQKLSQQVCQLNAQPTFSPLHAQIAHQAPQRDLSHNSQHILQLMSQQLHRLDAQSTSSPATPQITSLAPQQSHEQIVRQIIQQFLHQVPQLNAQSMPPQLLAQLLQQTSHQVDPSVVQATLAPALTKTCPQLPQSPVPQQIPSQMVTQNTVTVPMTVVESRHSLTINAPEVQLPKEVSSTESRIFDRLRAVKMENLDNNSSSEIHLEQSEQFDADLEQQRIAKLELTEDPAEASTSQTLSAEDDALQGLLMMMKQDQFSQGDRQSTHPEIGKPTIQYQRLPTPSPAAQKRAAMKLQKEQQQQQRKQAQQLKQQQLQEQKRQKQLQQQQRKQQLLEQQQQKHAQQVHHQQWLQQQQQHKQEQQNPQMMMQKQHQHQLELQRLQRMHQEQQLQQQLTQQQNLMHMKAQQQTLPRPPPAHSVPIGMDPQLCNGSTHGIEPRQLSLNPRLSATDPQRFGSDPKLFGTDVRHFLAQLNLPPGTDVIQFLSQFQSLSVHRLPNPKPPSLPETTLPVGSSTQSHSASQFPVRPQENETHAVNTAQSNGLSPEELAKSLFTPQGNNIRVLIQILSIFLPASKPVTPNILRKPRGNEVNTSSNRLADHPLYGSTIPPSVQSLSGLAQPPVPAEQQPAPSAPNTPRRRKQILISDDHHQSTLLSPYPQVSDGFDSIKDTIDAVADPSYMSDDFDSIKNIIEAVANPLFVPSSSGEQDYGLNMSCDFPIEKSGAAETSSVPKLIEDEVMQLVSPHKRKSHKAKKDPQPRGRPRKNCANQLPFAQPLPQIQAPLVATGIDELAELASVNYRRKSKNDANHVEDEEEERERQAILEALPSNLRNQLKKEKEGRKKVSISRISLHKIIPLQGSNKNSISGGAIVTPTESFEDELSTDTVLSILENMTTTDPRSFLAGLKPNTFGSSESPDFPPEVVSKLLENFIVTLEQPLIKPQQDKEPEKVEELPPPLATFFAVAGVRLCILYENPVTIGHAPIWTDNSGPLLPYEWYRDGPKIKRRQMLTHPGLITLLEEIERSKNLPPKEPVFKPKIVTKAALKWMPESMQVSQEFTAPQSPASLKRKMDDTDSHDTSQPTAKKEPSDIEFCGNPESGDIFFQPPAKVKPSENELSEEVESDNNFQPPEVKEPSEIALCGIVESDDTFQPTAKVKPSEINLSEKVESEDTFQPPAKVKPSEIDLSEEVESDDNFQPPAVKEPSDIELCGTVETGDNFQPPEVKKPSEIELCGNVESDDNFQPSAVKESSEIALRGVVESDVSFQPTAKVKPSEINLSEKVEYDDTFQLPAKVKPSEIEFRRSVESDVAFFQPPEKAKPSEIEFCGEIKSVDTFFEPPAEKELSEIKLSEEVEYDNIFQPLAKVKPSEIKLCGIVESVPEPLHDSTPVNEGPFKIERITVQKFKPEKNKNKNKKLGFRTVNSLRKFITELQVFTDVIFETIKSEGIKTANAISSLVNHRVAEDINRQMNNFVQNCATAAFKYGRNVLLCRTGESTVTEFAFDSTSLDSGPRWCSWHEALVNSCVKNVTSKLVANKMKELRGLVKPAWRWLKRIKDPSCKKEASEYGKQIRSIYDTLEYKMDEFKKFYRAIKQRAEKWIAVKNNYREVELEREITNLKRAAVDFIGAPQRGRQNRLWPRLKKLCNSLFHLIQTHDAVVDVCMFASRLQALYYHAHEVFKDKVDVPETHKVLQSLEDTEHLFILAETKVLLLQMGNKSGRIQLIDAYIQGDAFNVEKGRLRRLMMSKAHPQVRKKCAEKMKIKKELFVKSCDVLGLKNIVSNFPSGNWETVIRKEEDRWRKTEKPGRRNFFEKSQLKNFDYALAIPPVFLSETAGNHTLTQMFMTLPQMTSLVDEKFKSIADADKREPKMYIQAIVPLFRMQPKGFQIPHFMLSTRKRPHKNEEVQELPKSFEQEDQELLKRYRHQLATSGTVASENGENCVPSNRGKHDEKQLDEETLRMLKNMQRLKMEQELKRMQIMESAFKNILSSEGNVVDRKIEELSSHDLANAMVEHTKGIKFNPKKTEQNIPPSKQEQVVSSEYEPEDDVSIVLCAIQRLTEQKASISNKKEKRSKRQEIEFLTDRFVHLQKIKAASMQKMTSRVQNNWPRTVTVADPQFEHMLDSLEFYDGYLLDKMDAVDFESKKCIPLDLIGIEDGVSLFTGLNNYVLDPDIIIFVQVANWKESIIRMTFNRSVTPTINLQNPQKL >CRE17109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:615522:617990:1 gene:WBGene00060232 transcript:CRE17109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17109 MKLFLSICEFLYIRWRVFRVVSTSTIDPDADSLLSSSFEAERSKSNNFPRFRILVVTEKIVRTCSIYTPCCPTSLRDRSAFQKPNCIEVYRQFMNYGTTDETIPGHIFLSNSASYVSKPIVVRELYYSDFEYAIEPDNLCQLSKPSSLFGSDEYNGQEQSEVLEESDLYSDTCSLIDEETNSCRLYERWMSRGVRSKPRRLLPRTPTDPSALSFVQTDSQGSLFDNLFNLASRGSEGMTYPEEQRQIKNYFFTRPLYFENDENRHAEERRLDELERLEGARRREKEEILEQQRLLAELEALEAQYDPPPPPIYAERLNSNSYENVYLRQEAEEREREQLLLLDETSGKYVESYSFNSVLASTAVPMGSESSEYQTASISSPIAREISERFSQTYWRVEDYNSEGAIGARDNYHNRYFGKAGYFLTRNAIENQANGNDTMPAVERRALKKERRRWTEINERGGRYDNVEIPIPDTNGRRTNHWEEEYEEPLPPHTSDTWRMPKRYAPRYTSLF >CRE17225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:618411:620989:-1 gene:WBGene00060233 transcript:CRE17225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-15 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MA69] MREYTLIFLVAPILAAIKGPYDIPPELPPLNDENFFDRSHSEYETVLTPEDFELGVRITAAMAHDNGDIWDSDAMYSKDRFEGDIANDNLNASTVELFANGGSGQTEDGKWYNAIKNRLQLWPEGRIPYTISSQYSSYSRSLIAASMQEYASHTCIRWVPKEAADVNYVHIYPDRGCYSMVGKMGGKQSLSLGSGCIQKGIILHELMHAVGFFHEQSRTDRDDHITIMWNNIQAGMQGQFEKYGHGTIQSLGTSYDYGSIMHYGTKAFSRNGQPTMIPKKNGATIGQRNGFSKVDKFKINTLYGCPVGMLSNLKIDYLILLLEGEKPTTAAPTSAPIVITVKPIVVSTSRPTVIQTVSPSVPLKPSECRNLRGDCDDLAKQGWCIRNPGWMRVNCPIPCGMCIPTKPTSPPVIQTTAQPATTPKPQKPVTLPIQPLPPVPPLPPTTPEDCEDLRVDCLVLVSQRYCKISQNFMKSYCAKSCGFCYKPPPTEIPDNGPAPTVVTTRPLVTLPPAVIRSRSPAPPVYSTTTKAAPTTTSAATATPFTTTPPTSECSDRKHFCSHWKSAGFCEGIFMNYMKKNCPASCGLC >CRE17226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:622828:625056:-1 gene:WBGene00060234 transcript:CRE17226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17226 MADGPNCKCNRWVISLQAAFERDDFEKLFRKGVQSITEEEFKRIPEFRHREDALACLFGKLLLRHSAQKFSGEPWKNIKFERTERGKPYLATPADTKFGLNISHQGDYVAFASSCTPNVGVDVMRLDTERNNKTADEYINSMAKSASLEELRMMRSQPTEAMKMTMFYRYWCLKEAILKATGVGIMKDLNSLDFRVNMQDRYRPGAFVTSTTVLEDGRLQDQWIFEETFVDGKHSAAVCKDKKLPRECVFRKDPEAKIFFSKVIMPKNDIENRILVFQVTFDALLENAEVINPMPNDAVDIFEDFMKKPRKTF >CRE17227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:625520:625991:-1 gene:WBGene00060235 transcript:CRE17227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17227 MKRVLIFLLFVLTASVLAKDSRINQLFWRKFTPRAMSSTTEAPGEFQKNMNMTNAKEVMDVLNTLVKVQRDQANIINVRDAQSEEFEKKVMNFMDQTTDVLQKLDKKIKMLQRIFHKIASDIEE >CRE17228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:626318:627161:-1 gene:WBGene00060236 transcript:CRE17228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17228 MNKTFVFLLFVIVASVLAQDRPSRLHRERVRSQSSGSTTEAPEEHHEEHHEEVEVNKSTDKVMGSMDTLSALARVQRDQAETFRLWASQFEVFKNNVMKFMEQTTDVLQKQDKKIKTLQRVVRKIASDIDE >CRE17229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:627233:629384:-1 gene:WBGene00060237 transcript:CRE17229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ile-2 description:CRE-ILE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MA73] MKILIAFGLLSLVASQDPPGTAPTAAEILAENINGQTVHEFRGYYKREHSLIKPYTGSGADIPNWNIVGSTFISSNQIRLTADDQSKAGGLWNTQPVWSRDWELQVSFKVSGSTGDLFGDGMAIWYTSEPNHLGPVFGGKDYFRGLAVFLDTYSNHNGPHQHGHPFISAMVSDGSLHYDHDKDGTHTQLGGENTGCTAKFRNKDHDTQLLIRYVGDTLSIFTDIENKGVWNLCMSVNNVQLPTGYYIGLSAATGDLSDAHDVVSVKMFEQEFAHVERVGEADRRNVVPHAQFTTSPRDHSDDARPSSLGWGGTIALVIVGIIVLVGGLGFGVIYFQKKNERQRKRFY >CRE17110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:630011:631468:1 gene:WBGene00060238 transcript:CRE17110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trap-2 description:Translocon-associated protein subunit beta [Source:UniProtKB/TrEMBL;Acc:E3MA74] MKFSLFALLAVAVTCADVGTQTKDAFVLAHKQPLSTYAVENMDFVLEYGIYNVGDKPAQKVTLDDRHSFPTNSFEIVKGLLHVHFEKIPAGGNVTHSVVIRPRAYGFFNYTAAQVTYYTDNENLHVTLTNTPGEGYIYRQKEYDRRFAPKYTYFLVFFALIAPTTLGSYVLFEQSKARFPNKPVKKTT >CRE17230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:631879:634679:-1 gene:WBGene00060239 transcript:CRE17230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyf-11 description:CRE-DYF-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MA75] MSYDQTRKAFENVIGKPSLTDKLLSKPPFKFIADIVSNVRSATGYLKNEFTDEEISTAATDKDTKIAFLEKLIQILDDGSLGNVKAIKVSSGKEPEETNKMLQVLGTNAASFMSNGQGEKKKKKPKKEDKKAEKEVEEKPKKRSSRKDSHSEEKVEKSASKSDKSEKSEKSDKSEKKKESKREASSDEKKKKTSSSSSKERHKSSERKEKKKTDEEKKPKKSSKKDPAERLLQRQDSMIAVNGDAPETPPTDENRNPQDEGFDELSIPEPNILANSENETSAQSFTIQPVSSPLKTDDSGIGDSPRMIKPEDRPPPLIRPMTGAAGGRPMTSMGRPGTAASRPAPPKLKKKQIATVDATPQTVIELKSEIFTESIPTLNLGDDDNFIMENDEDDEDKTARISELVDEEDRGALVQKIMDTKAGIEDSATQDQEQDAETDKNVSVEKEKIKQLQDKLQDLTKAAYPLARLFDFANDDIESMIKELERWRSEQRRNEQEEQNKKSSGFGDSSRLYNMIANLQKEISDMKEELSKARGRVLNNEKRIQLFISNV >CRE17111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:637556:643524:1 gene:WBGene00060240 transcript:CRE17111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aex-3 description:CRE-AEX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MA76] MNDKEKELCPRLIDFLVVVGKRNRTRGASQSSPDAITDSTVTYPEILRRYPTDDHKDFILPTDVTVFCQPEGCTTTSARIRKNSRNDPQFFVFMLTEKDSAKIRYGICLNFYQSFDRRSTPRDEAKRLPDEAHHKKRDTQVSLTSLCFISHHPFVSIFHQVLLLLKRIIDSANHRAAQRTGLKDIVWAILTGHYNEPIVPEVMKEIKEVETWILMLLSSPVPVPGKTKVQIEVMPIDLSQVFEFALPDHTRFTLIDFPLHIPFEILGIEMALRVLTAAMLEFKIVIQSRNYNAVSMCILSIVALLYPLEYMFPVIPLLPAYMPSAEQLLLAPTPFLIGVPSSFFHHRKIRELPSDVILVDLDTNCLQVPDDLYIPDLPEPDVTQLKEKLKNAINRMTTMTVDNENSVTDADFGIDIDSVDVACRVAMVQFFNSANVFGNFSEHTRTLRLYPRPVVSLQTDSFLRSRPQCTQFITDLCRTQAVEYFAECCLCPKNETFVRVQAGIESAEQVGDKPKWFSEALMPVHFTVYPSNSTLDSAVRVYNTEVDVDEYEEDSATSTENSNSIDDLVFDENQSTDVGGEVTKPLAEVNYIYKEPMTLELPQSESAVSIDSSLSSGRSSPDSSLSTSAVDSEADFARLADNLALKSNSQGAFSFDHGSDSEYESTPVSQRRKTIHNPGSEASDTPTSRGSIKSGLRMKGLSSLTDSGEKVLGPSFMNAINGYAEKSQSVFSQVINKTAPRAQALKERTMKPIATKIEQSQHIVRSKALPNPTSQQTANQQSKNQQTVKEFCDQALAGQSVGMFSAPKLRRLMEDESLRELVCSKLNLGLEVKLSEDEFVKEVQLTKGQFKAYVKILKACLEGIEVSFNTPGCCGFASVFHVLEIAHTHYWAMGGGDAITPSSSAPSTMTTPSEHSNDILKESISRPKLPASTIDLRTPTKPLGNNVTPTATETPEVPASNKPPSLPPPIPPREAPPVPKRNPPPPGAPPKLPDAARAPPPLPPRPKVKTTAPPTETFEPNNEPAQPSSPSFPADADEQTKPLLKPVPPTSLPVGKQEPCKVLPTPNEPVRHYIYQELILAVQHQIWQNLQFWENAFVDLVAQEREIVGMDQEPSEMIDRYSALNDSEKKRLELEEDRLLSTLLHNMTAYMIMCGTGQRALQQKVRRLLGKAHIGLVCSKEINKLLDELPSTQGNFIPLKPLGSRLVQKQSFTVCPGPNADGQMMFMEVCDDAVVLRSITGAATERWWYERLVNITYSPKTKILCLWRRHDDKVHMHKFHTKKCRELYQCMKAAMERAAARGKVNVEGRALGGEFPVHDTETNQGGLLQVRCDGVAVIFAHSQIFIGLSNIKKCNTFGGNVFLLEEFDRKKGEIIQRRYFSQMADQICYAVLCVFSLAAAGHKKEEHSK >CRE17112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:654075:656943:1 gene:WBGene00060242 transcript:CRE17112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-19 description:CRE-NPR-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MA77] MQTDDSWKRNVSFFTLQALLYGFFVIQIKINKTQKTYSYTDYMSPKSQKCVFSTSANRRDDFIAVSIWTIMLLYALISNMLILAGIARSATMRSATSYWFIISIAICDILMTIISLGHLVPATAFHEQYVQFKSVRNIIMIFFYDLFWYTGVVQLGLMAGNRFVSIVYPMEYKHIFSRTRSIYLILFGYFLGFLVSLPTLFDCCHTLWDSNYYITVYEKPETLYKYVDMAVNSISLCMMIISYAVIIYKVRESGRAMAKYQLTIRTRQQNALVNGVSLSCQMSECGRTSSVRPPRSQVSKKEMRLFIQFFVVSLVFLLTWTTWQWLPYMSESKWAYFVMTSLFFINNSVNPTVYIIFNTQLRRELHYLICRHHVISTAQNKRKQTLFGRGIAAANKNEVDFQNNTRDDATKSLVDQAASSQSSQSHATIDEHNMDYTDKDTKISAV >CRE17113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:660905:665280:1 gene:WBGene00060243 transcript:CRE17113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sli-1 description:CRE-SLI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MA78] MGSINTIFHRIHRFVNGATGNNVRFVPNTPTTNTETLTLNPRAVPTIVSLFEVPSVSEMPGFCSEEDRRFLLKACKFMDQVVKSCHSPRLNLKNSPPFILDILPDTYTHLMLIFTQNNDILQDNEYLKIFLESLINKCKEIIKLFKTSSIYNDQSEERRKLTMMSLTFSHMLFEIKAMFPEGLYIEDRFRMTKKEADSFWTHHFLKKNMVPWPTFLAALEKYHGAPIGNMESAALKATIDLSGDDYISNFEFDVFTRLFYPFKTLIKNWQTLTTAHPGYCAFLTYDEVKKRLEKLTKKPGSYIFRLSCTRPGQWAIGYVAPDGKIYQTIPQNKSLIQALHEGHKEGFYIYPNGRDQDINLSKLMDVPQADRVQVTSEQYELYCEMGTTFELCKICDDNEKNIKIEPCGHLLCAKCLANWQDSDGGGNTCPFCRYEIKGTNRVIIDRFKPAPGEIEKASEKKLITIAPDIPPRTSSQTSTSYVNVNDLENMPTNAESSNLNRHRAPSVQAPPLPPRLSVTEHPTHPHEYTNTSNLIDH >CRE17114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:672067:673397:1 gene:WBGene00060245 transcript:CRE17114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17114 MSSPSPSRIVRFDRQIMVRKEQLFEDENQIFIPKPEIGRRRKTTVAMSPSVTDIFTAQRMLDIEEPLVKNKKYPFFKTPRLGGSRRIRNRLVQKQGLCNISLKNVPKQRRKYFSDIFTTVIEMKWRWCLLYFSLSFMISWSFFATVYYLIAKQHGDIEQIANATWTPCIVNVHNELNAFLFSFTTQTTIGYGFRYPTDACPLTIVTMCFQFMWGVMTQTLMAGIIFSKLARPIKRAATLIFSKNAVICLRDGKLCLLFRVGDMRKSSLAEAHVRLQVRVGFCWKFSQKT >CRE17231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:673455:674309:-1 gene:WBGene00060246 transcript:CRE17231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17231 MDPQNMSPSRIAQLSPLTLDSLPVHKLSFSTQSSSTNVHSETELVKSPMDSNENVALEIVSVALEIITVAIFDFRKKRTVTFGEIDITELNYHATKTPPEEKKRWKRQAIRRKIEAKEYRRRLQMGILKGCIIFLFFMLCSIIVLFATAQRTHTKI >CRE17232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:677570:686350:-1 gene:WBGene00060247 transcript:CRE17232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncam-1 description:CRE-NCAM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MA82] MTRILWLLLLVTGLPEISGWTLTLSPTEERLQNRRSGDNFLAVCKVKDFDGAASDAKIEWYRDGKLIPRFGSTMTIERTYSNQLMINRPKISDGGKYTCKTEIHGETQEVSAEISFVDPPKFLNIQEEQHPEEGTRAEIVCEVEGDDQLEVFWQFNGVTLDETSQRGYEFSENNQILYIPHFTAKIDDGVYNCNAAQYSSFETLSVNVTGYARPTITVFDVPNGNRGIEGHTIELKCGAVGKPKPTYKWFFEDDEVPIARSDKHSVEEGLLIIESLNSEDAGTYKCIANNTVGTNERSFDLAVFLKPKVDLKHEHVVKEGEDVELVCSYHGEGQVTAKFTTGSREFSVKKVHANSEEIEMEQNSEESTTTVNSASQEETVTASDELELKSAENVDNSNEEDETSHHDHGGQDGEDKDEKEESTKWKRFADDVNSERISVRAEDNKLILSIKNIALEDAADYTCAVSNDAGTTNKVTQVGIIHPPTLRHYTGPHVRSYDGNTVSIYCDVSAVPSPKWHWYKDGKEVEANGASIQIDTQTSSTKLTLENFDGSDNYGVYTCKADNGVGQLEKQIEVIKVGEYINLRSEIRVKLFVSVSPPTPAGMDCKKMIYPNYGKCSFDSDIYEKEESKPQTLDILIAKFEEMESDYNWSDAQTVSVPFEEDITIPDLASNTQYVIKARAVNEAGRSEYTDEISFETTDPWAPQSPGSVTMKCSDYCIVSWDTPNSHGSPLLKYKITIQEMKVKTDEEEKAASSEKSASQEAEENSDNDDENDVETTTSENDNDEEGDNSSETKTESSESIKLEPHENDDATLETRDSAEILPTDPSTSVERGDAEMVAHGSPVVLEVDATENQLQLTNIKPHSYYKIAVSAENAIGQGEPAEFEHQTDDSPTKYDEGMDSTKVFIAAAIGVLFLLVIVDFGCYVTNRCGLISCICLNLCGKNNGAKQRDLESGRGGPESNRLLDSSGAR >CRE17116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:692644:693687:1 gene:WBGene00060248 transcript:CRE17116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17116 MNEISLVAEKFYDVLDDQLLESMRDEKLLGKIGAPTDDTIVSRKQSASDLQSRLKTRKLLGVGELAGDNGDVYKSKISQLLGINESLYVRLPRGMFVWNTLNSLYFLLAGTICLFLPRLGIYLDHGIDTIPTEAVVIIRYYGVTLVSFGLLFKFILQQRETRADIALLLLVTAVFHIIVLIVSTASHGTVTWWSATLRLGLILGNIFYHAFVDGQGGLHRQLIRVIEDSSFLSSSPILEKKTTIGVVEDLLEDFQNAKTENFKKNE >CRE17117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:693917:696576:1 gene:WBGene00060249 transcript:CRE17117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17117 MLKKFLCLLVILVVLIKRHQATDYDQYDYEWLTAKPLPNVAVTTSTSAPDDDDVIVDQLDNRKAVNSEISGGFSNEKSFRCPHAKPMLHTGESVAQLSPEDIQIVGAMGDSLASGRGLWPFTDVEFRGAAFPIGGDANMDGLVTLPNILSQFVPNLEGISHGMGSKTALPDYQFNVAEIGAETEDLPQQALELVHRMQRYVGRSLKNKWALITIVTGSEEFCEKCEPPSRTSIRRALGVLRRGLPRALIVLLGPVHVASTYRQNINLMRPRCKCLEKMTGSNYRKLFDVWKRYFVELETEFNTDNGTFGVLSIPSLAIHSRNPQSLLVPGKPLLNRKGHSYAAKWLWNRLIAGPNYNISLIALSEDTYYCPSLGCPYIRTVQNFKMCTIMTEDEWQKQNALLKEQKTGKQTRQEVIRTNLVGVISAILGLSIISVAIFGTYFYCHGMKATKGRFDYGQTVAELENELQQQQQEEK >CRE17234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:696796:698256:-1 gene:WBGene00060250 transcript:CRE17234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-32 description:CRE-LGC-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MA86] MGFITWIILLALPGLASSQNEDCAKSHDILSYLETVDSHSSDEIHIKGTYRIRNWLYLEKENKLETDGLFVLSWTVSCESNLFSEMYFSEQKFIIFSPEPVSQICENLLGNVPSLETAPHLLFGVFRNDGHQSALPGSCPRKRNSLLIEKVYMFSIKKIFLRIKVLSRIIQMIPCTFDSRNLPFGNTTCTMTWRYRNLEHVDNVIFDPSDLEDVMEITKKGSINFEEVQYGLTSDQESKLIYHFSQNPQDMILNFLLPSFMFLIPPWLTLLLGPMAITRCSVLMTSLLLLAIHFSTNVPQTLGNGGVTSITIWKLFAYGFIIAIVIELILITLFASLGRSKTCCFAKKRSAKYEMEPLYEELNDLRKRKTRLFENIFNSFLITSF >CRE17118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:699369:700137:1 gene:WBGene00060251 transcript:CRE17118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17118 MPIHACVAHQIYQNAPVSSEKERTNSKVVLTGLHLTSIPSTIIKNRDHIETLIIDNNMLTENSFNMPKFRNLKELSVRNNKIRNIGVFIANMQKNCPNLEVLRVRNNPGWPEKLKEQE >CRE17119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:703233:704053:1 gene:WBGene00060252 transcript:CRE17119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17119 MSEDGKTNNSRSSELRLNVENFYNDQETRNLLLAEEAARTFNRDDYDQDFVGERVYECVIGSSVWRVSRSSLLALSLFCTIAVAVIVIAIVLLCTFITLSSSTEIPDDVTNEDVIGVINWLHYPIGDLALRRANYTRPHQK >CRE17120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:705472:708034:1 gene:WBGene00060253 transcript:CRE17120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rund-1 MMSELEASDLLVELGQSLKSRVSEDAKEIGDGLDYYDTMSETEWKSARLSSSHSDDIGSLNDALRVQQLEEEQERLNNSLFSLSSHFAQVQFRIKQMNEADPHDREKLLTELQEFAFKGCTDMNELQRLRSESESGNEVLEKQNERQKELLKQLREQVEDLERTAYENGEGALPSTDILKKQKAVLDKLQEKIELNLDIDKMNQTEIQRNVDDALKQLVNPFKEKGQLVDQLQTQITDLERFVNFLQKENAENSNQTTPVRSMGSTPLSGAKSKNNSFLSGIIGCSTGRFQKNQLKNTLKGNHYGDERAHVQLAVDATQQVLEKYTLLSFDSAAKGQLEEVQVENDEVFERSEEEVVTVVRKQLCPALKALLEHGMLSETVVHKRIPGLGCFVAKTTNDEKSTCLSHIWDVIIYFYGMKTGRDTTDAPVRKLSQSFKLDHVGGRSITSKQILLTTIENIISTHARLKRSKDAHWKAFVSAAMNEKKLPAWLRIIFRTRQVVEMCYNSWSYVARTGCEELYTLLEDLHKYSIHLPVDLALRPFEQIKDAF >CRE17235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:708225:708847:-1 gene:WBGene00060254 transcript:CRE17235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17235 MPQAESGNSSAFSLQEKFRAGNVDAGEKVAFGYGIIHKERTYVYMQDDPCPYQIVVVGPINKQHKQYEYLILSNWARFPMIGLVRDLRKFYTDYKDQVETDLEKAGFMDDVTGSNPIHYADWSKCKKATPVNYLTNVLTDLFG >CRE17236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:709232:709949:-1 gene:WBGene00060255 transcript:CRE17236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17236 MRHYNNVPYHATFLSLIVLSSFSTVNCQFLEIFGLSTGDAGTHDRGPFQDLATQSVGLMKFLQTVQKNPDNNLAKKLKEPLPSMNLVDGVPVVPGLLGSLPGAGSCLPRGSPLIKDKSPSFFQNILRNIPGAQDYLASLLPAPKVDVKALYGKFQWVSGRER >CRE17123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:716345:718218:1 gene:WBGene00060256 transcript:CRE17123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifc-2 description:CRE-IFC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MA94] MSTYGYTHITSTSQGRGLASGAAYTSGFGGLVSGMSSAGAICTTQIRDAREREKREIGLLNDRLADYIEKVRFLEAQNQCLSHDIDILRRGFSGGGHVSGLYDTEIAQAKRILEQIIAGRTVFDRDITALSADIDGFRKKWIDAVNAVKAHREDHDVDLDRLSKIEAEISLYKRKIRIVEEDVIRIRRENDGIYNEIARIKQLTHNEIALKNERSLNVQDLLQRIKLCQTENSTKIEQELVFIRRDTTAENRDYFRHELQAAIRDIRADYEAISIRNRNDIEVWYREQIRKIQSESTRVNPDLYKEELASIRSTVTSVKSRLAEVEGRNFFLEKLIEDLRNNEESKMFEISLAEKDAQIARLREQCTELSIQMERLCDNEISLRAEIERYRVLLNGANVTTYVSNTHPATSQIHVGGNVGSTRVISQTTRTHSSSNTSYTGVPASRSGAGYTVGGNIGGISVGGTIGTHGASGHVSGGAAGSGTYQSYSYSSSTH >CRE17126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:723996:726367:1 gene:WBGene00060259 transcript:CRE17126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pha-2 description:CRE-PHA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MA97] MDQNQKFYISSLLQETPTSLAKTDESTKSPSQSPVPTGSECSLNDSSDANQDPKSDIKDAAKIGFNAWTYMSQQLAAQLSQSGMNRPGGVPPQLPLLNMNVAQPMGNVFDPRAWLYPYLSKSPQKRKGGQIRFTNEQTDALEHKFDSHKYLSPQERKKLAKSLSLSERQVKTWFQNRRAKWRRVRKDGEDEDEMPNGASARSLGQLQASNPYLHC >CRE17127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:730866:733013:1 gene:WBGene00060260 transcript:CRE17127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17127 MHPRYLLPILLLVSFPAFTSTMTLKRHYRRPVCEPSQSCSYSYQQFTFELCDCPQSKSCPMDNGVQLKGITYQFCGARELPECMPDEIAAEINFLQTSIYCVCPLDQIYVKQKESSNASVKYVCEEKEMCEVGQMCGVGNPIVGIKQTCQCAANSRCQVTAPNVFNPISIQNATCQPI >CRE17128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:735601:739630:1 gene:WBGene00060261 transcript:CRE17128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-96 description:CRE-UNC-96 protein [Source:UniProtKB/TrEMBL;Acc:E3MA99] MSETEDVNFDPEPPKPKSTVLENGTPKMSGTSSALIEEGLSLLNLKSSLSPNVRPVSPDLLERATPSPDFGLSSHTLAHVKTPRFRRIDFDDRLEDLNPNKPAWKSWQENIKDSYNRVKVSAEKKREENEFMDNMMLGRPRTIRGESPYRNLSNDTGFIPSVRSRTSVMSPSLADRSRLATSSSYSNMFNAGSGPYAAPALSISQGIESRYEDRANNIELMLLKTAPLPERYKTITTREFRKAPEPSAGSYSEKDDYDFSHYTTARPYYSRPNRDDPDYFDFDLQHSVDMFKRPEGKYTPRKPQEWENKLISESSSKGTAPLSGHMFTRPDVDWRNNGTSYLSAALRTPKFWEQRFENIGKHVRDSNPISLESINRRIRTLQDIRANRPVTSRFTEYRDPDFDDYEDPLDD >CRE17129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:742176:744270:1 gene:WBGene00060262 transcript:CRE17129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17129 MRFLAVLLVPLVLAEICPQEKHTICNTGHLTCTCSVSQQDENPVPEISCNALIARDLETGNFPVVSIEFNVKDNEGLEEFPEEAFKEKIASSLRVDDEEDIVVLRTNCLGTEDIVTVQFVILKKDTNSSALPFTVEDLIDNESITTRMKAMKHLDKIVDIDVDIIEATDELIDIEYDPSNLELIFKAIVLGLTFGFFFVLGIWKLMKKGDDYADDLQKA >CRE17237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:745552:746407:-1 gene:WBGene00060263 transcript:CRE17237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17237 MLAPIITKDMRLFPTHKVPVKNVRSRAQSLDHFQQIQSSASTIDRLVPIKPRSAVSAGNIAQRPSSSRTKQVAPAKTSVPRSPPKSMMSRKSTLTAIQEGVELNEQPVLHKKIGHSKSESSSVQLEGTTKNSSKSSSEANLEENGGTGGEVDEEETKKKRGVLKWITNTFRKSSRDKTLDNRSTSSG >CRE17130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:748497:750405:1 gene:WBGene00060264 transcript:CRE17130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17130 MIFRLLLFSSILNFSFLFQIICSKKINFEKVYKDGMYASFLKSEFNYTSFLRRADHTAEKYVPFQDTSNDTFFKVGILHKSQLVNYTKIRMEDQLGTLKNKPWMPINEIREEFANCALLKFGSLSMHDFKYDKGMYGWMNIMKFSCAFVNDQFLVFAMAFTRTRFRLKQVILKRQNTHWATSGRSLITRDVQKITEIFTIRFAHFVNEKLEDEFHKHSVSMKNLEANPLHLKNETFEELAVAYGRLRKHIKLSDSRGHTFSTLAFGIKSRIMLTSPTNAPQAVEAISDELSDAPLKVLDTCHKDICTYDYMKNATFNPKTETYTYAKENALIHTVHPRYSLIAYQKSKPYFTFETMKRKTATGLIDVKQNLDAKAKNLWSSLLDQQFTLAIHVHNQELFPFDRFHMTLDRPS >CRE17238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:751110:753750:-1 gene:WBGene00060265 transcript:CRE17238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17238 MPTTRSANQPAPKRPLTPDSDDGDEDDFVKKPSGRGRGGGRGRGRGRGRGRGGASAASGENKPEGSSSTRGGRGRPRGSKNAEPGDGQPPAKRGRKKRAPKSDSEDEGTNDLEDLGEDVKKCLGLLKEFEKTTHNAYTFPFRKPVDTVLLGLVDYHEVIKKPMDMSTMKKKLIGEEYENAEDFKKDFKLMIQNCLTYNNEGDPVSDLAIQFREAFAAKWKKEFPEDEDTFVEEGEAQDDSTVEAADAADAGEDSDDGESEAGDKETEKPAEEPVEAPVEKEEEATPAPKPEEVAPKKDEDEDEDEDDHHQQEEESSSDEEDGLDDDDHVRATSTSSTNAPVVASKPAQDSFVATSSSEAQAIQETTGTDGAVATEAEPASHAADSTTEESHA >CRE17131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:760115:765139:1 gene:WBGene00060266 transcript:CRE17131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrp-1 description:CRE-MRP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MAA4] MLPLVRELVCGSDYELLESGWRNSTNIPQVTNCGQHTDFSTIPTIFLAIFSPVIFYELYKSRNSSLRSFSPISLRIILCCLLVVDLTATVIYDFYLRFTRSPIYNPIHLYGDLVQYAGFSLVLVLTIACRNRGIITSGVITLYWLLVVVCGVPELRYYLTGFLYNEYEVDPCRSTLYIGSFAFAGLELFLCCFADTPSNGYVGKNSCPEYTASFLNQLTFEWFSGLAYLGNKKSLEKEDLWDLNERDKAENLIPSFMNNLKPQVEGYRRLIKKNPEAAIPKNHPSILIPIFKTYKFTLLAGGCYKLMFDLLQFVAPELLRQLISFIEDKSQPMWIGVSIALLMFLSSLIQSMILHQYFHEMFRLGMNIRSVLTSAVYTKTLNLSNEARKGKTTGAIVNLMSVDIQRIQDMTTFIMLFWSAPLQILLSLYFLWKLLGVSVLAGFIILILLIPFNSWISVKMRNCQMEQMKYKDERIKMMSEILNGMKVLKLYSWEKSMEKMVLEVREKEIRVLKKLSYLNAATTLSWACAPFLVAVLTFGLYVLWDPENNILTPQITFVALALFNILRFPLAVFAMVFSQAVQCAASNTRLKEFFASEEMAPQSSIAYGGTDSAIKIDNGAFAWGSREEDKSLHDITFNIKRGQLVAIVGRVGSGKSSLLHALLGEMNKLSGSVQVNGSVAYVPQQAWIQNLSLRNNILFNKPYDQKHYQKVIDDCALVQDLESLPAEDRTEIGEKGINLSGGQKQRVSLARAVYQNSEIVLLDDPLSAVDSHVGKHIFENVISSATGCLATKTRILVTHGLTYLKHCDKVIVIKNGTISEMGTYQELMNSNGAFAEFLEEFLLEESKHRGRXXXXARYPGIPHAGLLTIMMRSPMAFFDVTPLGRILNRFGKS >CRE17133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:771913:778717:1 gene:WBGene00060267 transcript:CRE17133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrp-2 description:CRE-MRP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MAA6] MLPLVRELVCGSDSELLELGWRNRSSLPQVTNCGQHTDFSTIPTLFLVVFCPVLIYALYLSRNGPLRCYSPISLRIMLGSLLVVDLFLTVIYDLYLYFTQSPVFDYIHFYGDLVQLGGVCLALILTVACKNKGIITSGVLTLYWLLVIICGVPELRHYLSGYIYKEYNVDLCRSTLYIMAFALSGLELFLCCFADRPSNMYKKEKSCPEYTTSFINQLTFQWFSELAYLGNKKSLENEDLWDLNERDKAESLIPSFINNLKPEIEEYQQKIKKNPEEAVAKNYPSIFRPLFKTYKWTILAGGLYKLIFDLLQFLAPQLLKQLIGFIEDKHQPLWIGVSIVCCMFMSSFLQSMLLHQYHHKMFRLGMHVRSVLTTAVYTKALNLSNEARKGKTTGAIVNLMSVDIQKIQDMAPILMLFWSTPLQVSIYSQKVGSPKLQIFLSIYFLWKLLGVAVLAGFLVLILVIPVNGIIASQMRKCHQEQMKYKDERIKMMSEILNGMKVLKLYSWEKSMEQMVLEIREKELKVLKKLSYLNAGIVFSWICAPFMVSVISFVVYVFLDPENNVLTPEITFVALSLFDILRMPLAIMAMVYGEAVQCSVSNGRLKEFFAADEIPPNNRITHKNIDSAIHVENGSFSWSSKEDQTLHDISLSIKRGQLVAIVGRVGSGKSSLLHALLGEMHKISGSVQINGSVAYVPQQAWIQNMTLRNNILFNKPYDELDYEKVIKNCELKEDLAALPGGDRTEIGEKGINLSGGQKQRVSLARAVYQNPDIVFFDDPLSAVDSHVGKHIFENVISSATGCLATKTRILVTHGLTYLKHCDKVIVLKDGTISEMGTYQQLISNNGAFAEFLEEFLLEESKHRGRIVSVGEESGEVDELLRDLGQVSPGILQRLESHLSQESEREEKSARDFRLEFSRENSRKSVLLHSPTSKAEETEALLGAITEEDQTEEKEQLIEKETVETGNVKFEVYIAYFRAISISITILFFAAYVGSSMLGLMSNFYLAKLSDHAKSTTSSGNGSSSSIKTQLGIYAALGIGQSLVVCVASIILALGMVRASRLLHAGLLRNIMTSPMAFFDVTPIGRILNRFGKDIEAVDRTLPDVIRHMVMTIFSVISTLVVIMWATPFAGIVFSILAVIYFYVLKYFISTSRQLKRLESASRSPIYSHFQESIQGASSIRAFGVVDKFIKQSQQRVDDHLVAYYPSIVANRWLAVRLEMVGNMIVLSAAGAAVYFRDSPGLSAGLVGLSVSYALNITQTLNWAVRMTTELETNIVAVERIKEYTITPTEGNNSINLASKAWPEQGEIAIKNFSVRYRPGLELVLHGVTARIEPSEKVGIVGRTGAGKSSLTLALFRIIEADGGCIEIDGTNIADLQLEVFSRFLPKVITFFVQQLRSRLTIVPQDPVLFSGTMRMNLDPFTAYSELFIFPNRSKCHNSGDSQVWESLRNAHLEPFVKSLELGLQHHISEGGENLSVGQRQLICLARALLRKTKVLVLDEAAAAVDVETDSLIQKTIREQFKECTVLTIAHRLNTVLDSDRLLVLDKGRVAEFDSPKNLLANHDGIFYSMAKDANVV >CRE17239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:778716:782382:-1 gene:WBGene00060268 transcript:CRE17239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-38 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MAA7] MRSHRRHILIASCFCCLLFSSISAARVQKASKKHLTRVKQLLNDEAERHNALIQSDSVNVFDNIQRNPNAGVHHDELAVNNADEYFQGDVDLSEQQVKIIEDQFTEGKREKRKIGRTPLYKKWDTQGPISFDYAESIPFQTRQKIRNAMLLWQQHTCIRFEEGGPNVDRLEFFDGGGCSSFVGRVGGTQGISISTPGCDVVGIISHEIGHSLGIFHEQARPDQERHIAINYNNIPLSRWNNFQAVGENHAETYNLPYDTGSVMHYGAYGFASDPYTPTIRTLERVQQSTIGQRAGPSFLDYQAVCITCQNINMAYGCTESCPDLPCLRNGYPHPNNCSTCACPEGLSGRFCEQVYPSNSQCGGVIFATKEVKYITSPNYPDKFPLDTECNWIIAAPIEGRVFMEFEGDFDFLCEDTCDKAYVEVKYHSDKRLTGARFCCSLLPKNRFISFKNEMIIIMRGYRSSGVGFKAKFWSNLGEPEGVVTPMPPTTAPVPEIEETTKEPEPETTITLPTTIQTTTLPRRTSKKQFFTRKPITVPLIPPSFVTVPITTTTTVATTVTTESTTVTTTAAPTQPTFVTGETDITTPSTAATLFPTLSTIIPPINPLVGVLPSTQAPDIINSVLECGCGAWSEWQGECSQQCGGCGHRLRKRECKKEACRKEEKRPCNFSACPDGTNFLINNSEFHILWRGCCVGLFRSGDQCSALETESNPFFKIINSLLNIQDAKKNETLIAKRMMRGEH >CRE17134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:783463:784171:1 gene:WBGene00060269 transcript:CRE17134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-19 description:CRE-HLH-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MAA8] MSREKANARERCRQKSIGNAFTILRNHLPKQLRDRKPSKAETLKSATQYISHLLQVLEKDIKMEMNGQQNSMSPTASTPTQSDDNEYVGYQKGVWMPPQCQNPYNNSSYNSS >CRE17240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:784572:785907:-1 gene:WBGene00060270 transcript:CRE17240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17240 MSNLDIEAPSTVFTRAHFPSPARRRMGPMKFPLPLSPYVPEDVIKTNTGNSDLLSPSNLPVFPLPVTTSQGDEFEKMKKQLVEALQKIDDCGKKENELMSKIVELDAENEKFKSEVQKLADQDSENKNKQEMYEEKIRMMKLDTESKEDMLEILRVQCEELKNELDKVSQEKMRSDAENIQYVELLINENNTLQQENSTVKMVNNEMTQEMENLTVLKHELEIALKQKTAEPEVTLESELEDTTCAICMEEMRLKKCTPCRRRFHKSCLEHWLQGNNSCPTCRASMSV >CRE17135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:789731:792023:1 gene:WBGene00060271 transcript:CRE17135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17135 MSLKGGNSETETLYVPPFVGDPDFSNCRHCEELMGNISCCIFAPARRRTPEDIARCLSLESLTDTTTSVAMDSNEIITFAEPKIFEVPRFKNSRVLILGRVRAGKSSFRNTMFHGYGVDHVKRICSNADQKVQSHYCHQGRLVDVQDYGGLQNLAVNSYRNVAGMIYVFDAGVKNYDEDLEKYARAFNNLLLKSPDAKVFVVLHKTDLLKPGEVETVFWALKSKILKIHEGICGNIIEINLRMTYFQTTCLDSIGIQLAWNTILQKCFPCPRDVKDAVLERGRALRADQVLLFDTRYLVLMRMDKLTDYAPFQNEREVYETLSTSYHDTYQNRKRYLKVTDQTCRNFLVVSLFVCPTIEDKKIKKKKE >CRE17241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:792367:793231:-1 gene:WBGene00060272 transcript:CRE17241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17241 MEVPEQPTDTEVKPTLNVEEEIGTQEDVDRLLLQSMEDEARKDPEKFFMQIMEEGDTNPQRKTIQRVHITRFLEKRLEVLSTIRNKTARQMHEIFRMLKEFNYGWLRQLIALCFYEEHPGFYIVQHCFYLYMMKQIRFQVDDKRLFKNDSIGKQRREVYYLEKAKEAEDKKAMEAAKKRQDMESEKENKAECGAEEAEDGKAEEAGSESTETTNYGVGTGAKEQDTLETIDEETPDAEDEAVEEVTEST >CRE17136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:794874:797000:1 gene:WBGene00060273 transcript:CRE17136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17136 MEIMERMLILSEERVREYRRGWNGPRPLICKNSLKDPNVENFEEIVEPVRDPRIPYQVRTPRALWFVPEDLYMICSEFSKEMNLYTLSTKFDIGPSEIKISMSTKTVIVFEKYFYLSLKIRSFLDLQPSGLYLHVVSRTWKEPPKNAKRKELDKSISNFHDIYEKVCYIDKIARLIQSNYDHFGKDEKNLKDINFTIDISVNDHNYLDAAKKVRAFVCKIYERQAYVRNLDWKFKLTKPGDTTDAKFHIGIQMNSGSFFIGLRLLSWEDSYAHLHSTKKYGDSIADYINFLDIQDGMTVLDVEVCLGENLMELAKIPKCHAIGLLKTEGHAKAVLDNLGTAQNMCGLKCDNFQLIISQSYRKIPGCFDPKVVDRVLFCPPTHMDAVEIDEFMSHMHKFMDNFSTGCIFLFVLPYVTCFESWVKDRIQDNPKFEVHLNRITSELHTQRRVILISIV >CRE17138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:802634:807186:1 gene:WBGene00060274 transcript:CRE17138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17138 MTSETNESLTKANGALPVVEADVVKCVDDVKIQENDENQLPATAKVEHFDMLQHIGSGAYGEVAAVKKNVGKDIGVVYAMKAMDKKRMGKHKEMVDHEWKILTTVRHPLMMRMKYAFQTYRHIVFIMPLAGGGDMLTMMDKGCLSESDAHFYLVELVEGVAYLHSKNILHRDLKLENLLIGNDGHMLITDYGLCATHCDAQDAIEGVIGTRHTMAPEVHLKKKYGPASDWWAVGITYCDMRSDKSVFEGGNSLEYSNSTAKKRPKFPACLSCREREFVTKVVVRDPCNRLGGGDNGTENIKAHNIFKTVCWQDVIEKKLTPPFVPDEETINTFKCFPDSALAANKFPTFEPAIPLYWDDIDYTASSLL >CRE17139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:808105:808437:1 gene:WBGene00060275 transcript:CRE17139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17139 MIRATALFVVFFAIAVNSEIYDKNSEQSGIQETANFALAVKDQDLRTDLAVEGQSSDVVIRAKRQYCGYGCGCGCATVAAVTPVPCGYGCCGCG >CRE17140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:809350:811875:1 gene:WBGene00060276 transcript:CRE17140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-46 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MAB6] MRLIFLALCVNLVLSYKILVFSPATSKSHLISNGRLADELARAGHDVTVLELDFLGISETTNSVKVAKKRTIGGFKHAANFKTVLLGFSETVMEEPSFIDEVKGWWAYQVVYNDLCAEFLERDDIFYELKKEKFDGFFAEQINLCGFGYAHALEIPRRFLISSCPFAAPVYDFTGLPMPTSTVPFAADMSVEPTYFERARNFVAAVVTKFEFMILNSQLRAHFNHKFGQEFPSLYSIVSNVDVIFIATDEVIDISTTTLQNIVHVGGLGVDDDIVEMDEVFSSQMQKGKNGVIYFSLGTIANTTKIDEKVMQTVLNIVKKFPDYHFVIRADKYDIKTREYAKTVSNAFVSDWLPQPAILHHPRLKLFITHSGYNSIVEAALAGVPLINIPFMFDQNLNSRAVEKKGWGIRRHKKQLLTEPEAIEDAINLIIHNKQYTEKAHRIRDLIKSKPLTSSQLLVKTTEWAIKNNGLDELKFESREQCTWSYYNLDVLLPILWIILSFIIPTIFGWYKFSCFGHIEEKNKRKSKKE >CRE17141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:814690:822407:1 gene:WBGene00060277 transcript:CRE17141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17141 MASWDGLFSVSPWEKEDGFLNLRARFNLENLEKPMNLDIPEDFSFECKVFLQELRQVILELRNFPPNQSNVSPHFFHQHIQFSSQIVTVMSVPASTVRPVLTENTYICVLKEYPHIQFDVHERKLTVSYGETKGVMPSGGDVPVDYLDMNKGATPLNNAYINCCFITTYAAILAAAVKKGACWFTFVTPAIEAPTAIENFPPINTSGYETGETETNQNSKNNSPYARPIVRVFARTPQKVRKVTDKVNQEKKKELLRQSQATLIDCPEDHEIKTGPSKFYKLDALDEFTGEFVIGMQKTVQAVKSVVKKARNVVAGKRRSGNNRRRMSPKPPMPPKKKEEVIIDEDGFQLVTGKKAARPQPAPQPVVVIVEQPAEVVKPKKAKKNKNKKNAGPSIAMILHDQKPTGECLILEEIEKKDEEEQEIEIVEPEPEIKQKETPKKATPKKKKQQKPVKKEEKEHELVERIAREERKKADDIVMDMYSLIKIVLGIAFLIALVVFYFGDIFRRSSYPETSILVEQATPLETIWAAPSVKIGAQNQV >CRE17142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:825159:828875:1 gene:WBGene00060278 transcript:CRE17142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17142 MEHSHPLIEYFMETMVDPVVTALRKQNCSCAKQTATTLKTKIEKFGGELIDEISRLQNTTEVLQTMNYLLDTVDEVTQKEAEAEETEDEDEAPAPLVSPSLTSDEPSVDEMSITEMILLNALCSPPDSEPERMNTPISINIEPSSVLSPSPPSSADTSIVKEVSSMPSRKRAKRSLEDTVQMLSKENSMSPPPPTTVPHLPSLPFVLPQVSVPFSNSVMMQRWMGSPFTNPVYLNAMNMFQQQRQPTKSSEEHLAALMKMSMHAASFIQKVSPTRVPLQSSATDSDAEDVKVDVESDEGETVVSPSPSTADMTENESSSSSNSGPMTSPTSGDGDAAEKPFICMHNNCGKRFANKFLLKKHMFIHTGLRPHTCPHCHKKFNRKDNLLRHKKTHNPTDTPIVPHIPKNVFHGIPQLPNLHNLALPQSFNHFHALKMTMENGATAVRSLS >CRE17242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:832016:834069:-1 gene:WBGene00060279 transcript:CRE17242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17242 MRASELSPKFQYAFRRCLFYTFVLVAWLFIGMLLFPALCTPAVKQDDNEAGMFRLDAKRSDLLNVLWAETITNGEDDWSELADQKLELYEKALLQHYGIDLDKSDKSFASGLQKSFAISTTIGPLDVDDFTTIGKLIAVLYALIGTPLFLTVIGQLGKMVTSLWQGTTLWIVTIVYIFISAVIYDIVEGGSDDVPFIEAIFSIFLQFTTVGEVDNEFHGVLPYGIVVLGLALITALYQEMQQNIERFIHPFEYSFNRLCGTVERWIGEKSDEKKSIASTRIEEENEDELSDYE >CRE17243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:835131:836320:-1 gene:WBGene00060280 transcript:CRE17243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-17 description:CRE-EGL-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MAC0] MLKILLILMLTTIFRHSHARFTMHANIHRLGETHWQLFNECSQGMLQSFLGSLNTRGYPDRHCLTDWNVIGEWDGKFRLQHAQSKKFICFNKRARITLRFNGTDAKCTFIEEIHDNGFSRLRSVWKPELFLGFNGRGRFQNPLSYHLKPRCFDWIKLVRYVPESEKNVCSIPPKPTTPPIDYSSFIHKAARSNFLKKVSATHDSLYRSSSKTHHIT >CRE17244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:840255:841992:-1 gene:WBGene00060281 transcript:CRE17244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17244 MSKRERDTENPLELSLHSVQVSECSSVRFIRSSSQLQMDPCCTKLRQMFCGRRRVENIDETQDRVRQLTQILTLYNINLEFEENKSIQNASQIERCKTIINKCNVIKSMIEQGQTLTAEIVNSYYRAVKCTQEEYDAIRQRAGEVSVVVATPAVFHVERRVQENRAVDDQQPGPSNSQSTSQRTATVAKMPSRSSTTDFKPGDIML >CRE17245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:843374:846912:-1 gene:WBGene00060282 transcript:CRE17245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-che-2 description:CRE-CHE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MAC2] MKLKLSASRKTRHTEMVCGVGWIGTESILSAADDHLFLLTNTATNESQQILSMQESFYPTSLHIFPRAQNKGGQNDVFAVSTSDGKVNILSRSGKMEKIVDAHNGAALCARWNSDGTGLLTCGEDGFVKMWSRSGMLRSVLAQFATAVYCVAWDSTSSNVLYCNADQCYIKSLKMQVAPLKWKAHDGIILCCDWNPTAALIVTGGEDLKFKVWDGFGQILFNSSVHDYPITSVSWNTDGSLFAVGSHNILRLCDKSGWSHSLEKMNSGSVMSMAWSPDGTQLAVGTAAGLVYHAHIVDKRLTYEEFEIVQTQKTVIEVRDVSSEVSKETLETKERISRISILYKYLIVVTSSQIYVYSSKNWNTPTMIEYSEKTVNIIVQCEKVFLVSDGLTITVFTYEGRKLINLNPPGQVMALLDERKIDLANDTLVVRDRADNKILHFFDPTTGKPQGDGNLKHDYDIVELTVNQCGPLNDRSVAFRDHIGAVYIAMVKTFGVSQRMVKIGSLVEQLVFNDVTNMLCGISEGKVAVWPLPNVAFLDRNLLQKSLIQKSVGSVGKFPQLANFAGNTIVIRKSDGCLVPTGILPFYGTLITMASQSKWDQAIRLCRSIGNDTLWATLAGLAVIHKNMIAMEISYAALEDDEKVSLINEIKDKSDKEIRQAMQVVLTGKLGDADVMLERNGHGFRSLMLNIQMFKWKRALELATMNKQWLEIVMGYRERYLKNCGQKETDPQFLKHQAEVEIDWVHIRELIAAERTKGNY >CRE17143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:848773:851027:1 gene:WBGene00060283 transcript:CRE17143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17143 MAVEILRRNQRRRVEAAMEEDIELQMQKMVSNFTFLNDEPDVSDDSAIGSLSGESVTSLGEKAPIENIEEVYIEPVIEEQPAYVLHVEPVPELEVNHEDLKMYKTEPIRTVHPRLLQLPTIREEVIGSWEHPALTRFNMFSQLHGKIYQQRNLDQMDLLPCDLAEIYSFAESWIRFRLTQISPINPTMTRIFLMYNHILNNNLTPQVCREVCMVTRYRHKPDFLIYMEMHLTYRFDPCYNTVRGTGRHAYRPSHLF >CRE17246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:851490:852037:-1 gene:WBGene00060284 transcript:CRE17246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17246 MGLTEYSTDRPPEPLFIFSINVRKEEIGTYIHPVVRRLKHFYFYHGKEFNYAIHRFYELESPEFTYIIDITRRFIPQRLHEIQHIRGKSRVVRMYQKAVDNFSLLFCRDLFAIMRYKEDYFKFERLENFLSFLYDPSINSLKDVNLKAFLQ >CRE17247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:853195:854960:-1 gene:WBGene00060285 transcript:CRE17247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17247 MNSNSFQMDCAAICLLTTAVQFIPLSSTINCTYCVEARSTYEHCFKDVIECAYRDPSSLIICLNVYEKNGGDPAIVQHTIRCISYQDYYVADESIRQVSAGGSCAFEHIQKCECDVCRSPTTTTSTTTTTTQITPSMHRKKHHHHHHHKEESSHHHKHDQLVYPNNTFAQQYRDSGYYLKSGVCQMSTFSLLLISITYLFVF >CRE17248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:861440:865270:-1 gene:WBGene00060286 transcript:CRE17248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aagr-4 description:CRE-AAGR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MAC6] MWRLIVACLLFGTLGAVDRQNFKTCDQSGFCKRHRAVTSPTGYEVVSDSIKINDTGLHALIKNKDTTLKVTIVTLQDSTVRVVIDENEGALKNRYQPLEALVNREPKQQKVKKTKEEATTTKILTTDGNRVVLQHKPFRVDFYVKDKIVSSINAKETLHFEAFKKKVLLTDREKGFWEETWKDIKDTKPHGSSSVGVDIAFVGAKHVFGIPEHAESYSLRDTRNYEPYRLYNLDVFEYETNSPMALYGSIPYLVGVHQKRSVGALWLNAAETWVDIEPTTADKGGLTKEVLDADTKPRQVPQHNARFYSESGLIDVFITLGPQPNDIFRQLAALTGVTPLPPAFALGYHQSRWNYKDQKDLKEVHDGFVKNDIPLDVLWLDIEHTDNKAYFTFDKDAFAKPEEMIKDLADQNRKLVTIVDPHIKKDSKYYIYKEAKKNKFLVKDAKDAIYEGNCWPGDSTYIDFLNPKARKWWSEQFAFDKYKGTSKDVHIWNDMNEPSVFNGPEITMHKDAKHYDGFEHRDVHNVYGFHQHSSTFDGLKARSNNEVRPFVLSRSFFAGSQRTAAVWTGDNKADWAHLKQSIPMLLSLSTAGLPFVGADVGGFFGNPDEELLVRWYQAGAFQPFFRGHSHQDTKRREPWLFAENTTSAIRNAIKTRYAFLPYWYTLFYEHAKTGKPVMRPFWMEFIEDEPSWDEDRQWMVGSGLLVKPVLEEKVKELSIYLPGKRQVWYDWHTHKARPSPGAVQIPAELNTIGLYQRGGTIIPKLSEVKLTTKDNHEQPITLYIAVNQKGDFANGTIYLDDGESYSYEKGDFAYWGFTFKREHDYLHTITNQNLDKKGKFDSDVYIDKIVIRGAKFYPRNAHIFLDDFSPEDLDFEFNRDNFVMEIANPGAFVTREFRIDLHS >CRE17144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:867468:872618:1 gene:WBGene00060287 transcript:CRE17144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abu-12 description:CRE-PQN-40 protein [Source:UniProtKB/TrEMBL;Acc:E3MAC7] MRWEEFTFLFLLISGTNAQFGEIASLATSLLGGALGNGAGIGALAGAGAAGAGAAGQAGGALAQIGQCECFFLSFVYNFILVYQLAQGALQLTGTGVGVLNQASEGNWFPAVLEQTAKNSQALMKQGGGNGLNLGALGPTPGKSGSGGIGPEFGTSFPAPNIDDYDENAEIPGVTEKKSPKAPDGLVDIEEDEDYEIETTKAITTTTEAPSTTTTTEFFVVPDEDEEETTTEPTTPQPRQIRIQLPDKDGKTEPEDELDYEDLIKQKVEKVHPVIPTIDAESTDELKRNIIATHGTKTQPVVPKLDKLVEVLQKSKLSKEEIDEIVAQVEGNRHIEKPAKYDFNAAVQNIPDKKNKIRQKITDASRLINSNFDNQRKEQSIVFQKQVDEFKVLPDLTDSSIQARSVPAVAPTAAPQVAPQVAPQVPQQVIPQVQQPQPQQPYNNFLYPHNPFQHPFHQQQQQFYYTQAPFYGQQTQNYWGQPQQQQNQYNQQQQVGDLENSYVSIIVKIQYGFQHQQQPQQVQQPFQQQHYGYSTPYPTQQGQTQPQQPQQSQQPYYQQQAHQVQQVQPTPQQPQQQLHQQPQQYYQQPQYAAQQQRLQTSQPPAPVQHQQKPQAPLPSQQVVYRQGNAQPTAAPQRPPVYPAPNTNQVVHYYNDGRQIVQQIIPKRIAANGNLPLNGPFQSQAAAPAPTDPAEYQRRQRALQQAYHQPQTRAVETQGHARVPQPPQRVQPRIYESTVTATGHRQAHAIRTYQATKSASVTPPNPQPAAATRSSGRVSSSKKRYSGHVLPGPTIDHRVSFLSFKKLFQIFMFQSLLEKRTTAKPRYVRVP >CRE17145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:873510:876932:1 gene:WBGene00060288 transcript:CRE17145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17145 MPFISNWPSQNCLRRFGSKMSLNISNISEYLNGKFSTHSPLIGSRIPPFLSFHFASRAQTKMTEYLPLLEYEVTEDGGYDYEELQDGMTNYTEKKKKNSVFKRIRGFFKKNKKDYQPMKSVRSGESATDFGIVVFDDIDMNPALDLVNHAPVKPISILDLPISCCSVPALIPLSLSEHPYQSSHHYVNLENFKNLFMDFETKMDEDHHCYVNIGFFEKMNKSNSTSSTASYSARPRLTRQNAVTNSTQDAEFRTTHSAGSKDAVKQGIQNKAYSPEKFKSTDDIKKGN >CRE17146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:885661:891005:1 gene:WBGene00060289 transcript:CRE17146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dve-1 description:CRE-DVE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MAC9] MFPMRVIVETVRSQHCLTCSHEGHMITDTYAIVAGTTTLNQLVDTVLAALGHSSMANSARGLIQVNNWKPLPFDQITENLDETVENLFKDISSHVVLKILSKPTTDTNAVQCISEVKNKLLKAAVNKTPNVLTNVENQQVKDVINTIISGDETLLNSEQLGAVNEWLDTLDTAEDRRSPTQVQRFNTLFEIPRLEKWFKQDANPSKQKMNNYLSQLNQSPFRRNNSKISYQQMCNWFSQKRSSNRSAAPTDPVQVTPAQTTASQLLPGLQLNLLQSLFGVGVEPRPKFDFSLMNEKLDDNRILGGSDSPSPADDEIHSNSDETIQDNMFVMNIKPEPETFQESTASSPDMSNSMRESLSSTSPKLISGLDLGSFSNHSSSTNSMPNVNSSVFSAARSRLMFDPLTELPVLEKWFEENPHPTWMQIDQYTQCLNNCAYRENYPHISQHNVKIWFKNRRAKCKRLLNGMQEKMEQKLFV >CRE17249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:895544:896464:-1 gene:WBGene00060290 transcript:CRE17249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-19 description:CRE-COL-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MAD1] MGRFIVVGSCGILVCVLASLYTIGNLLNEIEELQVEFQDGMIEFRNYICFLQAITQDTWARMVSKHINPTGKTDAPPTFQTLFGTRSARQAGFEQCNCGPKSEACPAGPPGPPGEAGPKGNTGNDGDDGKPGAPGVIVAITHDIPGGCIKCPPGRPGPKGPAGGPGSAGPAGGNGRRGPPGPPGGQGGPGEQGDAGRPGAAGRPGPPGPRGEPGTEYKPGQPGRPGPQGPRGEAGPAGNPGAPGNDGEAGKPGNAGRPGPPGHPGKNGVPGEKGGDAAPGPDAGYCPCPSRAAAYKA >CRE17148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:897567:911051:1 gene:WBGene00060292 transcript:CRE17148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17148 MSRTRNSFGCYCGEFFAGVNCEIPLCLNGGTSTDGGCICDIGYSGDHCQHISCLQLSDDNFEEAQSALAFVVRASSSMKAQLNEIAEAADRIVKYYEVHYPIFFQKFVLTVVSNNGVTFSHDYDSGKDFVASIRNLTAPATETECDDALLAGVSATIDNNAFKKYPNSPVFVFSDGTSNDDFTTVGYLMEQIVNTRTQIFFMITDSASGSCNVDVSTNTFDQLRSISRLSGGLLIQTTLLQLSDATFSVAQDIWQYDSILTNDLEDCRKAPQFQPFFVDQSIDYLTLRATGCKFFRFQLEIIGHDHFPDNLSPILTLPNNTQLSLQLFYSNGDFYVWRTAKPPVGAYFLNINTNSTHNSICQYRLMGRSTYRLYTSITDNIGTDDTYHAPVFQTTSHLVARMDGLYLDDPLDTTIEAIVWYNDPQTSQRQILYASSGTYRDQCQYEMYFGMFSCPQPYLPLYINIYTNDGYGQVVLRTATSYCSATIPNPVDSNCLNGGVYYNNTCQCPTHFIGDKCQQILCENGGNTLFGACQCPSGFSGQFCEVTKCYEYNGYGFWGFNHRSLTVMIHDSLTTRSTLRTLNDAAPRVINDILYQHPKWISNYQLVEFNATGHTLLVDSESGQDLVTGISSLYDQNRNHTSYSCLGLNFYSTLLDTISHDNVQWGGIVYVFLYGQPVQDLDSYEKILQRIEINKIQINIVQSSLNPCGQDIALDGLISLTQFSGGAFITATTPNAGNVFNQLPTHYMSSLIYENTALDCTDTTFYVPIDVGTQTFTAYVQGYLNADPVYTPPTDSLSTVTNVFNDLGTNARIDHIVRVCDDGWTVDGSHCFKFSFEEKSWFAALADCHSEQAVLTGVFNQAEQDGLNYGTDGAQFWIGLNDVLGGQWEWDTLDDKLNLTLQDTQYTNWETGQPSADPTKKCVVDSNKGAKNPSSRGWFTEDCTKQYYYVCQKHAYSADYIASDPEINHLARGIWKLRIQAKGACSISVRSQSTVQVATRFTTNIHDDVGKEEPNRFADSNRLVVYTYGVKNPSGAEYAHFYYDNFTMLEAQTLRYRDNCMYNFISTPFKCPNFYFQMLITGIDDAGYLYQRVVPAACIGGVNEDSCTNGGVYYKGKCLCTPNFYGDACEYAYCQNGGYLSATLDQCSCPSNYGGQFCQTPICDRNQLNVPAIGDVQRTFIVILDGTNNDAMKTVNDNFEKTLNGVLNSIQTQDPSWFTTFVGVVFRDAESVKANPSVPSTSQVFTSKNSADFASMLATEVKNNPYTAQQQKRDVFTGIVKAIINSNVVPNSKVFVITAGNAEDTIDRQTVISALALSHSSVNFLFIGDTKPPGDANTYDDPTVTSLFEAAHITGGASYQLTSPDDLQTTWLSILASLHQSYYVVTHQLRDRRRRPMLSSVETQRTFRSLLCRQRLPSEISKIGEDRVSAHSSSPRSTHLTQSARRSICTVSLRRRRPSSVADSFSHTTSRGTFSEWRHHQPPPSDSANSHRDIHSTYAHEETKDGEKITHRQPRGGTLSPMMNKTIRRRSTVARTPCVILNCSSYQDYIQLDANGTEAIVDIFAQQAPQIAVLDTDNKQVESFSIVQSKTNTVRAFKQNGEQPGVWTIDVDYGMTNSGPCTLNIRSQSKLEVDLGFTQDVASDGGYHDGGAVLFPRGGDFVNAVVADISTGGTLTYAQIFDLAETRIAWASPMIKRDGCAYPFVSEYTFQCYRNQFVVALDGFDFEGHPFRRTFTIHCDGDIRPPPTEPSFSTTPEVTTPAGPTPKACDATTATVDIIVAFDSSDTITEDMYYATIGALKSIGNAINIGQDRSRIIMGTYDATSHFNGDLNTIDSFDKYQEEIADLFSLGYTGINGNNIQSVFDYIVAENNTAPLRPAPARKLLVLLSSQGWDKGNVSDGKENGYPDPTASAKALQQIGFETFAIAVGPTANLSQLTAIAKCTSQVTTPTALDKTVAQIISSLCGTTPVC >CRE17250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:911271:912917:-1 gene:WBGene00060293 transcript:CRE17250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17250 MNLILAFLSLVGLAQCAHVLNDDLKPLTIKFEIGVNESSVYSVQLGKIMNAVCESKTKELKISVQASSPVDVHLCNCNEKDYQQKFSADELSLDSEKMRNMSLVICGSEARNDNLEFTISGTKGTRGKIVLEVVDNEKHSAIELLYEFKIPEDRGIYVNLTEFESLSRLATHQPIFELNHHIPSGLYQHSLQMSIEVAGNEKINMTFMKCGMRALLVDRYIINNQFTFEPGMLRMLDDVAEYACKEENTPLQVYITGNANITGTIVFRILQDPASFSDLSDSVLFPLIHFVIFLMVVLAVIPLIYWVENRKSKEKEYEKDFASSWSL >CRE17251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:915295:916554:-1 gene:WBGene00060294 transcript:CRE17251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17251 MKLLIFLFFLLPTSSAAYMVGSFTVPKQTPIFSQADLLKALHKPGLLAWRFHIPPNSTQSSICLSALEPVARLAERRKADLSLRIQIDQDRNISMALSKCGLQSAVSSIIMQSEIIILPPNYFTVMSNVGLLGCRKIEKPSGEMFKKPIGKAFDSRLLMMIFGEGNTSGTISLNMLEYPNSAKIVMENLVIHYFYPLLLGILFTVIGCMCSSIVCCAKRRCVATCRGYKAWIEYSQLKVVYGHKGSAV >CRE17252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:918469:921183:-1 gene:WBGene00060295 transcript:CRE17252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17252 MLSRAQLPRQGVPPVREMQRRMDNLYRAQQIHDLGTRYLLFGTTTSLISTGHAFIDATIISIIRCGYGSILLPILRLLSASDCTFPFAANCITLFTMLCGFVPDETYDNGLAYKQYALALRDATMPYFEFIVITPDHLNLYRQLTENCVSRDHLELLTKWFTKKPPSILKGLMYLYNDYPYGGIWNDSGRELYGVHFYRTVENCFQAFPYLFAGKVVDVLTVDATIQELSNNNIADLMIFEDKLAVYRRLVDTRYLEKASYLNLFFIMKTHHGNHLDSPDTNLLSYMENALKTVRGMEKNTIRNLCVIPHVFEEESLDRNNAGLTSSSIAAALLEDLIRTRRVCDFCLNRNYYAKVFANVNHGEYSIDTFVNKFEALLGPMEKFQNHHVNPVEIIEFAKTEMFDFFVVVTISAHNLALEDIILKFNEYRSIANAFSKLIIVGVDNLPRQRGLEHLDNVMLVSGVNENTFLVLDKILRFG >CRE17253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:921609:923772:-1 gene:WBGene00060296 transcript:CRE17253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17253 MGELNQARMEELIKAEEAYRSETMSSSVFEVDAIVKMAKRFLEVGTTTSRLQLGPPEINETIKDAIESGYGSILLRELSVLELTEVNEKNRVTLFVQLCSLAMEQEVGLSSARRRYLSALRKAALPLFVYIVYSPIQLGYFLRLTVNERTFICLKLIAKWYRNKSKEELIIIVHFWPTVDGSRHHDVWRHCRWIFKEQIVFEEMADLIDQVELDDLMADPHMRSVGDYLSEEVAIRYLPQRSVARALSQRDRMEIGRCLRSEEWNRKTSAVEIMFIAKHFENHTDMDTDFVMNTRLKRAYAVLCSSATYVSKKVCVIRIVKRSASVRRPQTGLESLEICTAVLEDLMRNQNARMYNQYPKENGTGNYGKFSTKYEVSPGPLSCFENACKFNSATRFSGINSLCILQKNQYDAALLIKTAIPINLFFDCFVIVGISPSVFKMDRIMAEFDEYKKALNPNSRLIFIGVDSLGMYPPVDSRQDVLMVSGVTEYTMYRVNSFIEL >CRE17254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:925805:926762:-1 gene:WBGene00060297 transcript:CRE17254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17254 MSTPLQLIPRNKWMNTGYIREALDDVGMDHLIYYGLGGGLVLASVEVLREKPSQCIVATNLTLMEYLKKRHPNIEVEEDQYVLKADGGFYPIQFIFKMQMEDFNEIARELRIRKARQLKKKEQNMGPPQPPLSDPEVRLLKTEFFPTGVSFSNAATIKITFLHPNKEKEVARWKKEKLLAEKKENGQETKKEGVVKKMREDTIKDREVKQKGPEVSTAFDTKMKEEQKKLWISKHKKEIPQLPSFRTDPFKFPGFKIAKPTTSSDTPK >CRE17255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:928131:929893:-1 gene:WBGene00060298 transcript:CRE17255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17255 MSLLKPREIAKLVRAEQTYRKTMEPPPNFDEKMAVQSAKLFLAVGTTQREVLVQKFHSPRVDTIQPDKTIMELIDSGYGSFLLKELHSFYPSAATEKNGVVLFAMLCGFVFASREEKNRMETEYLDALRVATTPLFESLAHSLRMFRVFLAATKNMSISYDHSDLISSWYLKKSDEKLAYMFHFWRYGIMPHDEVWKTCKWMLTDSAFEEMANKIKNIEEHGLVDYPHLESLSGIIGDESIIRGLSNFAIAYSLTRSDRVCIRNCLGNPHYIRKTSAVEILHIRRSYESYTFFSPDIYLCNQLMNAYTDICNSDGDIAIKVLVVSMIRQGVTDEETHETSTAVLEDLMRNREVSLFFNFQNNRFKFQAKMFAELPHTWDSQGFYSKRDGVLGKLGQVESQENNWHKIMGAAMNTETFFECFVVVCSYFSNLTFGDILGRFESYKALVNPCAKLIVVAMNYQECTFEVGTRRDILFVNGVGELTMYQVVNFMSFQL >CRE17256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:930831:932690:-1 gene:WBGene00060299 transcript:CRE17256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17256 MKVPPNIHEDSYQELVSAQKEYRNQEECPRLEGNEEIGQLANRFLNLGGTSHFLRLNALIFDDTIKNIIACGYGSHLLEELAKFEPNMYTHEYAVAMFVMLCNWDLTPTVIINQQHQNYLDALRTATIALFKHLFRMPYELSQFLVLTRTTEWTTQYRLTIIMWYMEKTEDELVLYTRYWPIVGNFTHLKLWKLCKDEFVDLSHYLRILDLLERTEIYGLRALPQIKPVVDIMGARLTVFHLGKYRIVRELKRYDREVIEALLNDEHWVEQASALNILITRKHYESNINIRQDIRMCGLFSDAYRRICKSDTDISHRVCVITGVFSAESKKKESLMLESTDITAALMEDLMRNKNAKMYTSHRPMNLVGFYSKYELEMGPLYAWQHCKYNPRLIFECATRNHNIFDFFVLVCITSQSIPVAGVILMFDNYKRLVNSNAKFIIIGSDSLAEYEQLGDRQDVILFSGVKSTTYHLVKLYMNL >CRE17257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:935791:936094:-1 gene:WBGene00060300 transcript:CRE17257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17257 MLLKIILIALIFVNISQALVTSPSETKVSIYDFCSYKVPCAPGHLCHEGFCIQQQSYGSFPSFPRSMFYRSLYRR >CRE17259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:940098:941307:-1 gene:WBGene00060301 transcript:CRE17259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17259 MNSVIKPTESNDANQSQNANQNQDITPTVPRVYKTVGNITIHREKIGQGGFGVVRHGVVLVGGNIIPVAVKTERVTRGLRSFTREVKFYTEANNWNEIGFPKLFGCTMDEKHGIVAMELLGPSLYKLHHDSKNHFTLKTILLLADQMISLLEKLHSRGYIHRDLKPENFVMGGEGPNSDLVHLIDFGHCQKYVEDDGFHKRHLNIQSISGTALFMSMNAHTGYQQSRKDDMESLFFVIAYLTLGILPWGRNEYSNQADRTFQIGVEKRQELEKMLKNLPEAFQKLYYSICRLGFDETPKYENYRKILRGLSGEAHFKYDYKYQWNETFENDQNNGLVQQ >CRE17260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:942920:944068:-1 gene:WBGene00060302 transcript:CRE17260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17260 MESVEQPVNSCCPEHSEQKNENEVSISFIYLFYLPLPLLQDPKPRPLHHYKTISDVVIYHEHVGRGGTCEVRHGNIVINGNEVKVAVKLQNLSSKPASFYNEAAFYTQAKNWNDIGFPKMIKCGKDAHHRFIAVELLGQSLRKYHLKSGKQFNLRTILLLADQMITLIEKLHNHGYIHRDLKPDNFVMGPEGENSGLVYLIDFGHVEKYIDAEGFHKREECIHAITGTPNFMSISAHMGYQQSRKDDMMVLLLIFTHFFVGSFPWEHKKYPDQATRLREIGIEKQTSLGGLIKKMPAEFQAVYNAVEKLDFQETPDYEKYRRILRGLAAKNNYTYDFKYQWDKEYFF >CRE17149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:949532:950896:1 gene:WBGene00060303 transcript:CRE17149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ife-2 description:CRE-IFE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MAE4] MTEITAPETPATPAAPEAQTAAPAAPETPAAVPANGKTKAVYKLKRSWTWWYLSDDRMKSWEDRLKNVNTFNSVNEFWALHDAIKPPSGLNPPSDYNVFRDGIEPMWEVPENQNGGRWLITIEKGRTPEIMDTIWTEILMAMVGEQFAQDIESVCGIVCNVRGKGSKISVWTTNSSDDDANLRIGNVLKTVLNNASLIHQRPLYDVLRYEDHESCQKKTSSGVKAKHSIYAVEPREEKVAAPAPVTPTTSTN >CRE17150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:952380:957598:1 gene:WBGene00060304 transcript:CRE17150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17150 MVMKYMKTIPELITRRNPQLTEISKIVYVPYGDEDVFQLLKKLLEKTLLFQEIRKSHTSVHLVLPCRPFVAKYLKLHNLHELKTWRLVYKDENAYCKSDGAIGSHLYCRCRSFGMEKSKLTIFKQERDGRMIKSACWLLSFLRLEKCVVNIFKTWKTSGNENADVSIAVEFKVIQGFWGDIDFEYKEAETSLRLITDDNSENVTVEISVEGHQEGTKEIVELHANHLDLVLYTDPETNHELPPCIIVRLTSEAFKCIEEQIPEHYKKWQKLLDDGKQIFKSFSDTLIFVVHPEKITTCEAGSDIPEIKQWADVGNIMDRMKKSWKKCLGKKLDWSEPYFCHNCIESIEKIDFQILFSQFGLKSMTSNIALSTNEFLRVFKCEPPESNEEDVEYEEISGDSSGDDEPVADVEEEEIKLIEAELLRQRGEEPEEEAVTHRSSFPGPPSAKKQRTE >CRE17261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:958680:959539:-1 gene:WBGene00060305 transcript:CRE17261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17261 MLHSLRQARRSLKNVIAENTLVIKKTLFVTSNDRRDIRDEDVMEISDATWQSWNVNGIVLIVMNRLNDHAQPTHKLKAAYLLHYLLIHGSQKIFKKFSRHIESLQLLSEYPFGHKNHTNLVQDMIIKDTLRNVLRLLTDPEFLEQQQRDRNETYPERVRRESREKFENYARQIAAEHLALDQVAYVNINFESEDELEDNLIDVSDKVEKFDDELVSRNSFEISIGESQLHYNQTFNYYHFSASDEFPLWKESLLI >CRE07603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:57779:61896:-1 gene:WBGene00060306 transcript:CRE07603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07603 MMSVYNKHSSFFQVGEAHPILRTRDDKDTSGSEALCSLLFQAVRSASYTHRRYANYVREDGDPLTRGLRTRDDEDTSGSEALCSLLFQAVRSASYTHRRYANNVREDGDPLTRGLPKRDDEDTSGSEALCSLLFQAVRSASYTHRRYANYVCEDGDPLTKGLRTRDDEDTSGSEALCSLLFQAVDEGNWKLEEFNQMNTVTNETIMEVNATRLIAQLENSCLAGASSLGRILIRICLAALELACAKATFPLGSEKPRNYSSKMSSWYGQISLCLTTTSNVKYAANHSGLRLSGTSIKEATIRNHTDAMNVESDSGSGATSRFKKNSIAVFAKNLDRESLKTLQMHFKKRHFGNKDSQEFINA >CRE17155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:980109:980778:1 gene:WBGene00060307 transcript:CRE17155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17155 MPGRPTPPFTIGHNHEHPVNQWPFPIFRFFSAPLAYAMLRSAELRMISLHDGIIPYERPPRTKYFFLNKFETKVLHAKKELIKGKIFQLENQDGNDALVQHKLELYRTYLTQIRKVFRMQKKLKETWQNRMKVVAAYLNILAGERKLIRAIAPPPPPLTKFQKLVSRVRNVIRSIFPNRRLRPSDNTQNI >CRE17263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:982693:988110:-1 gene:WBGene00060308 transcript:CRE17263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sor-3 description:CRE-SOR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MAF3] MSNHPDSTSREPLARLTGQPPFKTGKVPLGFGNRKKSQMERQPNTWTNDSRTSQPNQTTPKGASGGSKKPHNLSLKDAHTEQRGGTTNDSRINQQEPATEKRVFSAPTKLLVSRTKGTQTRQEGATTDISSGVQSSSIPPPSTPDSVEEATTPPTFSNPAVPNDSMDNSRDDKAPNNTPEIAEQGYIGVPQDKMYMMAKTSGLFAGSTSRPEIFNNLCESQGRPAQLSDFVMESDSKVANRNTNSAANGRVQTPRGRPMLKTPVESPRNLQQPDQRPRLQTPDLQTPDRYSVDFQTPDRDSVDFQTPDRDSVDRQTRDRKTETGNQIISRPILTIHLFSVEKKHFMSAFAVFSQKFGRARETGSNNSSPTRSRSRSKDRPLEGRDSRSSSVQYIPPSSASPAPTTSAQLPNQESTVGAPTGSNTPSAQPSTSKIRATREDSVQLIPPPSASPAQAASAELANQESSRGAQAASGALAGSDISPAQPAPSKVRATREDSVQWIPPSPVGPTPSVSTPLANHESSRRARGVSRAPDSSSAQRSPSPSGVRAIRARSVRCTPARVVAPSPSASRPVSTPPNTLSAQGSHSPLEVRAGRTRSGQMIPIPSVTSASLSPSNHSIVAQSEFSLRPRNTVANLSSDPSTTVRISPPATRKRTLTTTQDNQDSSQCSSSSAPPKKRLVPAWARQRVEEKEVVQYRPPQRHEVGLLTVSFFSLAFKNLSSFLQKTQVLRIARSIKDASKGENNSLWLENVKKNLNRVIQEGKLLARKQDYIVQAISQILCEKNLTVVALADKECQVGNGKKTYHAVQLESVSNGEMKGKCLCPYRRQITMDIDQVVCDPTLLESIRPTLHLENLQNSFYEVTHWQQAKANFTQGLWRLMEIGQHFELQLEECPEFVAVVRVVANHYGLLVVAVGNRDLRTVHVTNPYCHEMGWALAQRDLPMGQHVQYVPGLDKMLENDAEVVPSVVFRDNAIHTHRVSENDVVAYLDSEQNAFYYAHIITRVPEDDHFFHLQVGKREVVNPRPVHVFDVEEFYKEAIDGMLLASFHIYHRRIFPIDLVLEFGAEIHLPEDGDRERAERVLGTPCSSDAAAYIQLYTRGEGHCLGQNVGANHDHILTARALGPRKMADIKAKMSTLRFVEILREKSDGTMKLKGAQVVKASRNMLCLKVAHEEKLVYTHIADPNIFRYGTCDEMGILIEWERAIIMRDIKEEVEDDYPN >CRE17156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:992466:998107:1 gene:WBGene00060309 transcript:CRE17156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17156 MEWPFRRPRGKSGYAVREKKEKPAPKRPSAAAPFPAKNYAHIGEGRGSQGRPPFGRNWFFPEPNPILGTIFGHSNNTEDNFWAFISASEWPESSPDLYPLGYSAWGYLILIVSTKNYANLNSLKAALLKAWDDLDVNYLRAVVDDYPKRLRARHLSHPVEIWQAPGPSDLDLRRADDWSDFEKGNPSHLIALKREAEERAALQLQPSCSNALSMVHPESLLTGQNQDKAAPANSISRSVPTTGGSFRSVKPYDIFDDQDVIIIESPASVTNVPATAPSDETSIAHSLVDSTKPGTSAPDKLTATECPPAKKLKKSSSFSGCPSAGSESHLTYPGRPTTSSGATVLPPVETWLQRIKNRENSSPLTLTPSRPNSNGTASGTQNTDSDSTGIKSVSVLHERTRDIPPPKRKNRNRNASRKSVSIKPMSWLNDCQCPRRKKRRRRYALGKEPEGLLTNFEEVFKMGNEGELQQLLIALSTKIHHVMYTDEGKKEKARLIEDLSKILGRSREEAASEAAEKVVPEITIDEEMDESTIDFGPWEEDWKCKTPTNRRVPYSEFGEDDWERIRKEVRYGESGRPVQAEASRQGLAYKYAASYQCLETVPEKIDEITEKFTRPYRSIKVSYKIQQGFYSGVELETASIASITFTLKKTPTVSIRFSENLPSQSFELRLFKEVSLVPPLCLGFPSCLILTPIKNAEGMIFRQMEKLSPRFKELKAVMRIGDIPMTVSKPLFFVLKSAPLEPETECTFNVRSDSRFSSQISAVAGFIIMTSNFPLHAKRLKMNYLTDNTFWDDISSMGLVWVFLSPQGGPRGWRVMSRDSIPVRCTSNFVEWPEKPNRIYPLYPPIHPFRPLQIEPNLNWNDSFNPGKPFPSIPATSVLLPDISMLNCDGSGPSTSRPPRADGDSANEDSTPQPPETSPELPSPDFFSVPRREENGKTRKHLNTINGEKDAVAMNLPEMSSSEVQRSDYLASNVIVFNRLRKIPPLPSFFVPLDSFPSSFPS >CRE17264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:999484:1000819:-1 gene:WBGene00060310 transcript:CRE17264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-25.3 description:CRE-CDC-25.3 protein [Source:UniProtKB/TrEMBL;Acc:E3MAF5] MCSDGSCDACVVFNEGGIQENCLQETPNSKHPRRLTLTRQNGQVNLLNFESSPPKTSEPPVQPCRKESIESGYDSCPEETSLIDPSVSTEASITMIRQKMLLLTRKSFSTSEIETRKAHLHVEYHLDTIKKDCSSVYRKISAETLIKAMEGTDQKEFFKKYVLVDCRYEYEFVGGHVKGAINLFHTELARNFFFDDEGNKKLDVVPIFYCEYSQKRGPQMADTLRSMDRLLHPEMYPSCMYEEIYVLEGGYRNFYDHSVKVDNMKFCDPQGYIEMADLKFEKELQKYRYHKKMTKVISK >CRE17265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1003960:1006466:-1 gene:WBGene00060311 transcript:CRE17265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17265 MFGGRNFGQILPVNHNMQQPMQHSSQTEKKYCNQPIENLKRLTEEYTSWMGLNFGTTDFLRPTVPLTTSVSPVFQVTDFSSGPFLNMEHSNSTSQSPCSSASVSLISRASSVLSVENPSLETWTKLFGSDAMPKTARKVVSQNQKMKREGVLVDPSGKKVKAPKKTAKRPYTRKNAVKSEAAAANVVTPDVSSPTPGLTDSEAPLSVLDVSNKSILILADLISRRLKIKRPPPAQGYDGQFEALYASANEFYCSLVSGKQYYADTLTMVPSGAQREKFHNIHMFCKWNEKSAKQFLALLIAAKFDLTTFTFMEFVEPSDPGAECVESSSTHKETNPLLSPKEVEDYNNQCAIDFITSITPVQLESTRKVEYNSFGFPVASPLPIIQTDPAEILLTAAETLRQLRTQPLFEGQDISKCFDNIPAPKPPKQRSPQGRKASPESVARKQRDTVRAMFKNRPRLLTEYIKLKKKFAANLYDPVSVRRFFELHEMLKQPIPHFPDAHNPDFVGSPMEFSMVGTNQLGIGKSFHFQRRFCEKLEEERWINSMALQIPFKTTKLEKHIRVNAAFQPTNNVSVKELYEFYTK >CRE17158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1019776:1021294:1 gene:WBGene00060312 transcript:CRE17158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17158 MVPSINDNDFDAKLAERVRLLEARAQQEKTKSQLQAEKPVTAQKVQSYLFNGTRYFTYGQTSMSSHHAKCQVVEETQETALHFNRNDFNQNFLAWMYRQQHIPVGNHNGTTRNGYPRQYAAYQNADIMDIYHMERVYYSLYGEKFSEMYYDGNFLHLGYNRYTGMFNHKNLHTTKFLQLNWMCQTSCKIGRKKAPNPTFEDILKLPVENRFWYPERDHSVYS >CRE17159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1027948:1030340:1 gene:WBGene00060313 transcript:CRE17159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17159 MDTFRKIFGLGCMPHKENLANFTSVYGPEQPEIGRTTRKRPAILSSTFIEEPGYDAHAKIQDATHQCLFPPFPVELQAKAFIKRRLEELKNTVYEKDLLNMYEVLSNCLTERVALHIASSLQSVTTTQKAFEKVLLENYMTLLKKTEWNVDWSAYHDLTDHPHFAQFYKCQLKSDFNYNKNSHELFMRMQCFLKSLFSQFKALGHHGNKNFYSMLKLMKKEFNEFVARDLLVLYYHNETSKGTENMESYLMAKYSFERYNPIPENMDVTAVELEDAEVKSHPYYVEYFLAKEDLKNTYSFKYDRYFSCYKQVASDWIHFRRTVRDGVYHLPQVVDGMYRVLDEQLTSLRAYDLFIISQYRKDMDKIRRMEAYLSEKYRPYLHYDNLPEVEAGYQAEPETPSFFAKNFPKIEEIVMSSRLINSVYTQEMVSTVFDMLRQKSSELSEKQEDGRTRRHAKLLKFLHDRPNEERVRELYAMFFYKYDKWEDAFYQKYRMDIKLLKNFEVVEKFSIFENY >CRE17160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1031217:1032968:1 gene:WBGene00060314 transcript:CRE17160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17160 MVNKALITVLVLCLIQSVLTLGSLTPSAAFRANMQQRQRSPNTLFYMDEAPSRQNVDEIKEPIYKRFKPCYYSPIQCLIKKK >CRE17268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1033169:1038088:-1 gene:WBGene00060315 transcript:CRE17268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcp-2.1 MPYVGVGAQKASTSLTGTPMVKAYIAIAASLVVVICITAIGVHHSERKFNKYNKVSIDDIHQSDARTIQDNIKSENIKKYLRIFTKDPHIAGTDANKKVAYAIASAWSEAGLEDVHTLPYEVLLSYPDFENPNSVVIKKSSGDEVFRSKGVSPVIIPDEQSGKYAGHQWLAYAGNGSASAEVVYVNRGNANDFKNLKLMGVEVKGKIALMRYGHGFRGDKVYKAQQAGAIGAILFSDTSDVAQDGVESEHVYPKTIWMPNEAVQRGSLMHGDGDPLSPHYPSKKELFKGRTIDEAKEESVLPSIPVLPVSYTTALQILKRMSGRPAPSDWQGLVGGNLTYKLGPGFLNGEKLTINVHSELKTKRIRNVIGYIRGSEEPDRYIMLGNHFDAWVYGSIDPNSGTAVLAEVARAMMQTINETSWRPARTIVFNAWDAEEFGLIGSTEFVEEFVDVLQKRAVVYINMDCIQGNASLHVDTVPILEHIAIEAAKHVPNPSKRERDRGRKTVYDTWMKVFPDKKAGVPKVRVPGGGSDHAPFLNFAGVPVINFNYKNYSTFDTYPLYHTMYETPFTNIHLMDTEDLGVHRAIGQYWAELAKTFADEVILPMNTTHLATIMIRSYLPQLKASISGINVSRTDFEDIRTQYALLSKSAQDLLTMSKKFQETMQFTQHSFSQNPYDPKHVNAVNERLISTERCFINPRGVSKHNPSARHVLFSVSDSDSYSNSLMAGIQNAIDGYESSPSKKNLQEIINQISIVQYSVICVVNTLRDVI >CRE17269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1038932:1040167:-1 gene:WBGene00060316 transcript:CRE17269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17269 MLPISILLFIMSVSTAFATEKKCPPVKKGACCTAPISPGCRLPRQCYKYIMANCPDRKIAVFSRKVGNDRRSAPRKPLGKCGTAEVNYQPCTSKGIANKLFLACCQLYVPEECHHMCVYETDQSVTRNMLTNMRKDSQCRIKHLSSILYCASQNRDNRKCCLDLDLNAPQLQVGSRCLRMCDPSGTSIDRITKEDVTCLYNWNVIMYCHHAGIREM >CRE17164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1056370:1058437:1 gene:WBGene00060317 transcript:CRE17164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17164 MNKNGGHLKRHRDSRCKSSNANISQPSTSSATKSSTKRDFDDTLVNYFAKSGKPLYNLENPLFREMILEVAKLGQAFDGEITTDIVLKRSRGRSIVESRLEEKTKEIIDLVLPAFDDQSASILADFGKLNVDFLSIKSGILLTQRNDEGVEHWKLIIVPIAMSPVNIKCKNADEVFKGIHEGLEKVSPLLDLSQFYLVADGGANIRRAGKIHFHSYIRCAAHATEIVGKRMLSPYKKHQPTEAHKKILSKYSNMLNLCKKLTTDLKADKLRYKKLAISLTTPTDTRWMSIYNCASNVYSNMSKLIAQLPNLEKREECLVLELATNENRQLYAEIHEIYSPLKECNLFFQRNDTTISDVIPAYLGLLDDIKQFSEKPNIHKNNKTLLLYAQKSVLKQIDDLSDAHYVAYYLNPEYKNLSDLQKRLDNHNVMLDAEEIVSETINKLDRMINTDMAPTSPSPQSSSATSTDSFLKRRMSKTPPPAVHTMASERLSYETHSVAVDPLYFWLASKSRFPRLFNIAKKVFSTPASEADVERSFSVLKSIYAENRQSLDLDFLQKLMLVKQFETL >CRE17271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1060412:1063293:-1 gene:WBGene00060318 transcript:CRE17271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17271 MYTLADPLKKGERPSSGTPDDDIEIIKVSGPSFSSFKRAAKEVVEIEAKEEEKKRKKLDEAPTMAQPQKRRMQNQVNKLWACRQVIESLSNWERSKLFTRNSQEICEEEEYESAQLIERLVDNAVFGVPRDCSKCSNGTIVYKSTSRTYVCTGYVTEYTKCTFESKNPVRRPFKPTDSLRQQFPNFDFNLFSERLYIEEEEDDGFAVRKSAKPFMYAAEVFDSIYFPKTTTGDGNSNTYLIKNGTVVDAKFENAETTHVLKNEIDGDLYHATLSLSDVTENRNSYYKIQLLKHHAFEFYYVYHSWGRMGTNIGKHKHQPYLRKDEAVEAFKKIFKEKTKNSWEHRKQFQKMPEAFGYVETNYSEFAEILENVAPGTKTKLPTPVKEIVMSIFKIENKKSELKPFKMDHNNIPLGRLSHNQIDLAYGVLKDLLNVLSEVPLDQMKIVDSTNKFHTIVPHNFGFKLPELIDTLDKVNEKVDLLKAIGDLQLDEICGIDSRTIPGVDPVDTHYKRLKCSMTPLDKNSSDYVLIENYMKNTQGSTHVMKCDLIDILEINRQWESTKFKKEIGNRRLLWHGSKRNLAGILGQGLRIAPPEAPGTGYMFGKGVYFADMFSKSLSYCRANSKDEAYLLLCNVALGNMDLRMQATDISKDTLPGGTNSVKGVGRECPSGEFSHPDGFKVPTGEVHQQLQGSYNIDYFLLYNEYIVYDVDQIQIKYLVRVKVH >CRE17167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1076529:1079411:1 gene:WBGene00060319 transcript:CRE17167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17167 MPLTLYIIYWDSGATQDPRQSQESFECLSVVCKISKSDMQEFRLSFSAGYKLVEEKITYMDKGWERNKTNPYMDCKDIFNNKLKALDYIDLRFKRELMEFELLIIQIENLLKKEDNKMATETNEWKELIDKAKKIQLSDDLITLPREKHNASDFYPIYNTFTKKVELTTFRKIVLKGISSIWKPLRINRFLAHASQQDLAFEFLLFLYKIRELTLVRYNVSCRFLHKEDENWNILITTRTSISVLQIERRRSNNDILPRNSLLFKCVGDTVEMTKHEDIHDNVYYDIHLRGQPEVPTFAAKITDAFEVLLVKIFETTELSANRDVVLRKKWYER >CRE17273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1080134:1083838:-1 gene:WBGene00060320 transcript:CRE17273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17273 MLPFAIKYEKSGETECPHCGNKIEVDTLKMCRVKHLIFPNRNSWPHFHSWYHFDCFFDHINDDIYFTKISGIDSLPWKHQNLLQTRIKQFRDFTEQEFIVYHSVMELSSLNVQRSKSNGEKCQKCLTNFARGEIRVVSRLQVLHFKCHVNVFSRIKGQLEDIPGWDKFGKEARKLIMADYAAIGDSKKPNKKTLKENGLKTAARPLSDDTDKDEIQITSVNGPCSSMNFKKKRPAAKEIDGREEEKKRRKLDEAAKKAQHFERRMLSLNAQVNRLFECRQFLNKLSDSEILELFSKNSQEISGEEQPQESQLIDRLADYIVFGVPTACSNCSNGYIVYNSTRQTYACTGYVTPYTKCLFESKNPVRNAFKPTDCFRHKCSPNICFKSLSERLYFDEEKDDDVAEQKNDKPLMYAAEVFDLNNEVPTTTNEDSNTHFLKKGTLVDGKFEFAKTTHMFKNEVDEALYQATLSLTDVTENKNSYYKIQLLKDDDHERYYLFSSWGRVGTNVGNHKYQSYFKKNEAVEDFKKIFNEKTKNNWESRGDFQKMPGAFGYVETDYSEFAQIAPGTKNKLPKRVKKVVMSIFNFENMNSELMSFKIDVKRMPLGRLSRNQIHSAFSVLGEFMGFIFNEPVDEMKILDCTNKFYTIIPHNFGMKVPEPIDSYEKVDEKINMLHALLDIKLAYDQICEEDTMSVLGVDPVDSNYQRLRCSMTPLDKNSFDYELIKEYMKNTQGSTHDVNCDLIDILEINRESESTKFKKEIGNRRLLWHGSGLRNLTGILGQGLRIAPPEAPATGYMFGKGVYFADMFSKSLFYCRANAQDEAYLLLCDVALGVMDLRMQATDMSKETLPEGTNSVKRYIVYDVDQIQIKYLVRVKVHRAEHL >CRE17168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1091362:1093037:1 gene:WBGene00060321 transcript:CRE17168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17168 MSRMLSIRENSLHHQLYRAIVCKECKDKAQNIPIVADKAYEKTVLTVELQIGGDDCENKGAPRCTQHKDAGWVHRPAVYICYDNSVVLCEECKSAISPDQLVFDIKCISNYYLETLGLFKNDLKESLKVLTEKLDLYKRALPSFDLKSWYHEWAQRQLRHLDTAGDYVTDPVEDVNSICIKTAASIMAVTGPLESRIQQMKGLLKDVKKALLMDVIELVARKEVFQSLNSRKSNIKTLYLPDVQGPLYKYFPLLDEQRRSIYLQYIRKIEIYDFSKESHISKGFTEWLETMEHTTKICDDLSVRLLEKTDGSWTIMMITDIVNITQFETEDGEGDEEECVIFSQNEKRRKNVQFLEKTDKYKIKWDQYDHPHEYIGENTISVEDRTEAYKKLMFYLNENYGSDLDYDGVLEKYTK >CRE17170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1110486:1112066:1 gene:WBGene00060322 transcript:CRE17170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17170 MQHIAFTHYVFFHFLCIVALMSSADVLFFHSIFICSELPLNNGKSAMSSVNGTRNVFDNKRLILPTATPLATGSRPQRQEGQQLTVDFERILKNEENKLLRVTTAYLEACENEWSVQEQLQKERDSLNQKHKCLKVDLRRIEGEIDVLAGAKIESFHATSELYQETRPAGNEAILDLIFREVMDGYEEVNKNERENIRARIATVEEQKVVKQTEIRAQGKKYDDVTTCCNAFEELRSRTETHSDEISNAQSTEVKNSCMENARDWLKRVQEMRNDMNLLLKWINEWKS >CRE17277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1113522:1114698:-1 gene:WBGene00060323 transcript:CRE17277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17277 MKPSHESSTTFTPPFQKIQVHNVSQYKKLDAGCFHTLHKFDTDSRCIILEEIRRLEKSGASRTEMFSKAAIAVYKRIGKLLTVNDVKNVEKTAIIILRNRLKAAIESEMSDEETEEHLKDWCYYHVISHKRKDLKNFVRKVKMKHEIAKYGKIKEVTSKNAQRPTQQIPIESLFSSHSTPNWPPPTCHEYFHPTNEYLSHIPSTQSIFNFDYSTADYRQSYAFYEQQLISRPFFGKAGHIELVGKVHLAGDQNIFEDPSTDEEKWYHDLDQKWFGQKYFE >CRE17278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1116737:1117943:-1 gene:WBGene00060324 transcript:CRE17278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17278 MKAKYLYWVSFSHKVAQIGFVSSALFGSILITLNIFVARKVVGTYKHLMNIFTSLGIIFATMEVILYPNIHSYNAGFFYFSVERPFGLSKDAVTVFLAVYKCFYCATTAFISVQFIYRYWALFNEAMLRYFHGKYIAIWFGYCSFFGVLYAASAYHFLEVDDVAISYFRDELADNYDIYIDETSALAYVAYNPVSYDVRWFNTMFIICITCVMSAQYVIMIYCGWSMHLKMEARIENFSTALKRLHKQFFKTLILQVLLLGWCHQHITSLQIAAPTLFLFIPIGFLIYLPFFDLELSIPTGTIICAFSLYPAMDAIIVLSVVSEYRISAQSELLSNYSTFQFSHFQNSFGSGSKNC >CRE17279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1120315:1122596:-1 gene:WBGene00060325 transcript:CRE17279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17279 MFCVQLLVILLVLITTAHTITTNPKHRSKLGPHVVREPDGSSEDIPTMDINEFLRDVGINPEDDEEGAGNGFDGVQYKNVINCTRGILCEFQMPFRYRNAVDTDVMIETLDEGKESWLIKESQRNFYGIPMTTGTFHFQWHFQRLFRTDFQKVPFEVEVTNGTTKNGINLLIRITMTKPTVEDFNKDPWLRIYFVQKVADVMGERPKYITIHAIETYGNSTRVQVYHNIHARTTCNRTAIDPIVARLTAPGRLPNPELTRALTSRFSIQSVSLYAYLDCVQTTVKPAINAAEITTSALNGTVMTSPKAVSASNVFTTTPTIMRASPSVTSAPRGITVNTTLPSTTTVPTSSHGLEVTNSSESAFAIIFLVLAIVFLAAAYIHNFVGRNQVENGDMAGDLVREMELQPIAENDEPEAESTL >CRE17281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1127470:1128424:-1 gene:WBGene00060326 transcript:CRE17281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17281 MNEQNKEAASTLAQERNLREAQQRKEKDLLERGDLLKVAATEAKRKRKLEQARRSTPSPQPPRTPTPPGYHVTISDSDEDEKVIMNSKFSVQKTLPVLSVMLISTTNGRGQNKNS >CRE17282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1131830:1138539:-1 gene:WBGene00060327 transcript:CRE17282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17282 MATATGGVIDKKAKHQETSPQSLQQKDKLIAVVGAAINGLSNVLLRGVTLDPAIFSEEIKATIENSLNHLAKVVSSQGIGQNGSSGSTMEHEFTASPSPIPTEHLDGSRGTTAEIADQPETLLVENENGMEQNDNPDVDVTLQAQVVESAKNTEQVQTDNIPQNDGVVDGVEQIDNSGELEVENIAVGVPHELVELEDIQVEPSHEHGAKEIVEAEKTQIEEVCDVELTSTSQKKAKIDKHNLLNYANLENENSVKTYQMQNFEHHLLLQKAYDSKRKNENQPPTPPNLSLDESSSILPIEQEEPQTNGKESEAGNNDEMDTDDGVQKMEIDEATVNATTSQRETDCCEKEGLMYEVSNLMCGNISGECRIREGHEYYKSESSCYCAPCFNSQEINPSDKAEYRHEVHIKNIKEEILRCVLCKRNWHKTCYFEKTGIAFTPHKNALFMCTCSIDPREEMPTAESIKETRLSQDAEKDVNMKLQRMIPNLKKEEHVLIRELSYVQAEALISRLRFPDYTLKQFKELYGNSVKYRKRTLAAYQKKDGKVQLFLMIFLQQYRDLQKKEGNNWQVLQYLDTVPHAQRPGGMLSGTVMNSVMYQVSRMGYDKAFVWAKPPQQGDDYVFNKHPKSQEMPDLNKLLLFYNKSFEHAEAAGEIENVETFEEYFKDKKPITLFDIPMFHNSLWDIMINWADYTMKKEKLWKKGTPKHQQIMTVMEIYFQKHKEDNFFIVFKNNNDRIVEDEPEMIPVDAGRKPKTASKIFSSRDEFLTLCVRNNWVFSDERHGAFSTAAITKHYTDMKKKEELAANASAALVPLARLTRKRRA >CRE17283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1146625:1152706:-1 gene:WBGene00060328 transcript:CRE17283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17283 MLPQSHVQSMIGTQSGEGSAIRKRATAHRRRGSSTSGTVDKKAKLQETSAQSLQQKDKLIAVVGAAINGLSNVLLSGVTLDPAIFSEEIKATIENSLNHLAKVVSSQGIGQSGSSGSTMEHEFTASPSPISTEHLDGSRGTTAEIADQPETLLVENESGMEQNDNPDADVTLQPLQAQAVKSAENIEQVEADNIPRNDGVVNEAEQIDNNGEIEVENIAVEVPHDDGVVCCSERNLMFEIANLICANDNQKCRVKPGDKYQFNAQKNKVFCLECFSNAKEDDKKDCEERMHKKSEFEIVLTCTCERKWHKVCARYIGNNKKFVCQQCSKDKNLPIPKYTTAKENLATTALTDILEVVVNGALGLKGKELVYFREMGSAEAKKALKTLVPSLHHDDFKEIYNETIDYVKRALFAFQEREGGEVSFCAMYIQEYQDFANKKGNNIIILHYLDTAPHSSPSGGRLSGTIMNYTLFHASRTGIRFTQIELWAQPPLQGDDYVFHNHPSEQMYKTASQLVDWYKNCLQIGVTKGLFESVTMFGDKFPNGIQSPLELDMFVDGPFEIMLQWADRARKSVENSNKGTVLQKRERLMSHLEPYIKELKSTYFYIIPNNKEIELLPASPFIQRTFVENRKDFLYKCMYKHWQWSSLRTAIHATSEMVNHYCEKLDQGKAKAVKWNDAVPTTRRTRGQKAEENNSSVPSTSSS >CRE11507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig338:73:2014:1 gene:WBGene00060330 transcript:CRE11507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11507 MYPKFIFLVSQGGEDYFFSPREPVVKGEDRLLLEEKVKIVSKFKDHCATKEQLAVVRKILSRVKAGGIINYIKVCVLFGCPKTFIFFQNGYCHGFYMPESLDDEMADISGGPTIFPEYAEEEGAVKKIMENLGMEESEFDEFQWSQLETPNDYYNRPGLYRNQNKMIVTVPLLFDSISEKLLHYDTSFPFGYKRGTTKNIGRLLTEVLCSKHLEDTELARNATSPLPTKFPMALICGGEVGPMDAKIGGEDFKSTTPIQELMLDCLMAFEKKEPAYEFTFTCASTTGDDGTSSLSGLVISNKEVKEMKYLKKKGREIYYSDPNEFWCLFSKSENQLGFKGAVDMGTIFIVTLEKSFEKERKRFKEMNKMTEREKIEAEEKRKLDEMVAEARRKTLLASEKHRKERELERKREIKK >CRE15817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1026:4130:4779:1 gene:WBGene00060331 transcript:CRE15817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15817 MYYSPQFMAPSTKKEFDDWYGKWCHDGFKLHDKLLKYCQSDVRILTLTLMSFIEMCESTFNGWNPIVNGCTIASYVMFVLKHEYIKKGDVGYIPENGYGVGNNSMLALKYIQWLEKKDPTLHMKYKLRGGEVKIEANESSYFADAFNEATRTFLRFFFIFETNPFHLHIHTHRPQGRLTLTTSEMKETFFSKLFQIVSMFM >CRE11516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig338:51000:52808:-1 gene:WBGene00060332 transcript:CRE11516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11516 MYYSPQFMAPSTKKEFDDWYGKWCHDGFKLHDKLLKYCQSDVRILTLTLMSFIEMCESTFNGWNPIVNGCTIASYVMFVLKHEYIKKGDVGYIPENGYGVGNNSMLALKYIQWLEKKDPTLHMKYKLRGGEVKIEANGSSYFVDAFNEATRTFLRFFFIFETNPFHLHIHTHRPQGRLTLTTNESSGWPKENMTDEEKKAYIARTWEMDGVKLDPLKTMKNKTLRSLCKIFLNSTWRKFARNPMKVETRLVYNSDGLAMTNLFNDPNFELTGMLPYGEHKHFISKRPKKDFLKTSPFTNLAIAAITTCAARFIYFILF >CRE11512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig338:50255:50740:1 gene:WBGene00060333 transcript:CRE11512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11512 MNNAKCVLTQIFNQKSSDNPRLLILLYKTFVLPILEYDTVVTNPLKKSDIRSIESVQNSFTRRIQSRELGKYISIDYPDYKTALKRNEMFGLSSLVSQRTLIDHKFVSKMLVGKVDIDTSKFFQLDTNNKTRTQTKFIWTKCKTRLRRHFFTNRALTTIIK >CRE02519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:71798:74332:-1 gene:WBGene00060334 transcript:CRE02519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02519 MSDKTSSKQSTLKLDDDRMIVVETQMSVKERRKKVKKFTKLIASSVKVEDETRLQLGELAAKCSEREADAILEPMRIFNRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAAESEEAEWSVGKVNLELQKVQYEKNLLTECWNEEKEMLGEQIQKIQKEKEVAEIQVSKLEKALKQLRKTHELEERKPKGLWDEVQGSRSWYEKVVNWDSESTNKGSRKKGGEDAFSRKTLSRSGSSEVNEMVQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTESDDELVAILEEKFLKGAAKSLFKTLPKRYERSIQSLFEEFEHKLRKRQGDSKIEALNEFEGLEKRSDQKMWEYLVEVEKWSRMAFPEVKQETLSQMRTTKLMKAARADETLHKMLIMKRFELSLEEQYEHLKDIVLQQGNEQRRGNCQKNRYSEGWKERPKAENDGEKDVAEKESGENRYWMEQKCFSCGGVGHLARQCPSKPVQSVEVRGKGEGVGKVAVETVKLLGQERRMVVDSGAAVSVMSTGAWNGLKEGCRNWMEMVKRLGKPSFEVIDTSKKKMRIIQQIEVPIQVRDRKAEVVFQLVENDAEIMLLGTNAFKSIGVSLEWKQERTDVQQKKGKRGGTSSEEKKVFMVGNLGISVGNTDPYKKTTEKNKEIAVVTVTEEKKEGMKPKKTVIRESKILIAPRIGVKGKSIFEYRKSALDTWKNRFDFANVESIVFLLELTEDEETNQKLGDLVRKLAEEVKEITIIPYKMDGAKSGLVESWKRSWITAGHVKWSDSAASADEKFKTWEQLLEFLEARTTENVVVAQLRKESVTSEPRIKEDKWSHQ >CRE11515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig338:10230:11681:-1 gene:WBGene00060335 transcript:CRE11515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11515 MSIYFRFFSYLGSCSCTLRSSSIAYAINWLSEFPLPEAIRLAKKLSFQAKIPSWAVPGLNQTTTTMSQSELKNLRVVKNPETGSLTAALGSRVDGLSDAVKNDSMDKSAQQVDGHRNSLAPRLLVETDKENDPESDEEGSDEEETDEAQSDEAESDEENSEWSYENEKRRPHRH >CRE18242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:1847:3706:-1 gene:WBGene00060336 transcript:CRE18242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18242 MTHSQLLAILLMLLAAIATAIIITPESKYHPWHIYTKRNITLMEKSGPNGAAPSRKFTCIRRVILEMGYCSVECSYFRGEICSVYLKIHYDITFLSNLTIDVNDGKKEIGFVKVQNRFFQAVPVKLGVHRFSWKFRVSTGQLVRIPFEVHVNRGIPASHMFHFQMIEPTTEQFHQKPSLLLRFVEHLADGVHENPHRFTVRAINFKNNTTRIRLFHNGVKKCNNTDINRIYVAMTGQPGRKKITKELTETMTDLFNIQSIRLVIKECHVFNPFITHAPISSTATPNTTVPASPSTLAFRILDDIETSKMIGLLLIIIVVVVAASVLLGWCLQRVFQKRSSPQATAKNFIRDNVWPAMIEMDA >CRE18227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:14824:17188:1 gene:WBGene00060337 transcript:CRE18227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18227 MAAFKKVSIMMKEETQVRPDRPMSEKIAEISEFSIALNSGNNEKDREENIHNSLDHNQSEKNVKEFLKECPHLSQEQAINWLSEFPLPEAIRLAKKLSFQAKIPSWAVPGLNRATTTMSQSELKNLRVVKNPATGSLTAALGSRVDGLPKLVNNDSMEKSAQKVDGHRNSLAPPLLVETDKENDPESDEEKPDEDETDEAQSDEAESDEEKSEEEESNEENSEWSYENEKRRPHRH >CRE18245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:18632:21357:-1 gene:WBGene00060338 transcript:CRE18245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-61 description:CRE-LIN-61 protein [Source:UniProtKB/TrEMBL;Acc:E3NFG8] MSRLPQTDYLKVVRCGEAKEKRLGLSYSWETHLRAFENGSKTEKYIPVEAFNTNTNIDFKDILKEGLIFECKMHDYDKVTDGVQCRWFARIEKVCGYRVLAQFIASDKKFWTNMLTAEIHNMPAADSKDPKMVQVAYVPPYHVAEEYENDLESFIRNALETEVYGQNTLAHNHDDKLNRLHDSRFHVDQRLELLNYTNSQEIRVARIQEIAGRRLSVVVCDEDSPVPLGEADRQSESSESLYWIDEASFFIFPVGFAAVNGYKLSAKKEYIAHTKRIAADLKAGKTPKFLEEDVTFDDLPHEKVDEEAWKRVKVGQKFELIDPLDQKFQILHVASIISFCETDGYMIVGMDGPDQLDDSFPIHINNTFMFPVGYAERNGLKLADPSGFEGTFNWTDYLKEENAERMPLELFRTEPTQERLNMFQVWLEMVGMRLEAADMCENQFICPATITGVHGRIINVNYDGWDEEFDELYDIDSHDILPIGWCELHGYRLQEPKREGY >CRE18230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:31146:32261:1 gene:WBGene00060340 transcript:CRE18230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18230 MSQFDDGQQPFERVVNKLVLKAREFKEKFRIQQNAVEEAIFQKSRIVDQLRNKKETKDKLQLFQSLEDTRTKMDSALMRAQAAIRELKRQQRTAQLLVETGVGEQELVDNKMADFGINVAEERKSLEYLEQDVERERVEFSNLLKVGWKAVQQVSDTMELKIEEIQETSEAVEKKIDVVQNDIKKGFKNEQFFERMARLLEYRLSRVEEIQTAGASASTDNQVRIVFKETGTSPCQLDRSPSPVRRRSPSPVRRRSPSPVRVRSPSPQPTHSRRQHSPNEERNRHLGSQQGTGSSFPVRCVFCLEEHLSDTCRRYWTTAARRERLDQRSRCYRCLRPHPNAPNHRCPPVKQCYYCNSSGRHHRSVCRQRPQ >CRE18246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:35563:37339:-1 gene:WBGene00060341 transcript:CRE18246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18246 MYDTRATTRYKTTESRDAKVNKKVEINKFHKWAKLPVEVKKLVFEKLENRDRCKVRLTARTEKTLVDMIPMKLDIIKFYYDRQANRWFLNTAIERLEGQQKAPRRQEANTAAHQFVNFFKHRGFTLCLAKFDMFGFYENSWEAFVYLVEYAKQQRMKFRVTNLSIRTNDDCPIEKMVEFVEMFDEDVLEQFEFFCHKPHVQKAFVNTPQWKKCLKLKLDMSRYGVRFNEDDIPDIRYNHALHCNNLAVYVDGMSVKVLQRIIKRFQSKTLPVGQCLYIHFRNYYPIKKHLKVLYNYRAPKDTHWMTFKFTHRYPMEATEEQELVLEFGEFSIKGIVEKKKPIVM >CRE18247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:42606:47717:-1 gene:WBGene00060342 transcript:CRE18247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18247 MNIEDALEQQNEIVSQNLAIQLVNLEASLLFNRVEAPKIIDNIVDLAQKIPNQQAYQESARLFAENMKYASVFRKRESCRKISKLNLTTKVIIIQQFLATGLTTFPMELCLSKRSRTGTVAQGIWSFQKPRTHMAMAFGLNKNGRLGIGSEEEWVDVLTPVELSDSSGPVEINQVFIGPNHTIIQSKNGNLYGCGIKSNFLSKTSNSEKIATTPIDIRSICKCLDDQEITLGETYTKFEKYDKNTSLIVGTDPFVYSEHNWSGGTNLTFVNRRPKTKEYQEIEVETYEKQKRKVKIIKVRNDCLWVDRDGRKPDIAFIVNGSRVHYKKLMNNFKISSAGEAFALIDHNVHKGRFVIMPRKARNDGWRNNGRGEWADESDEVLLCIMEEIALPYAFDGLAVSDDGQSFIAWANFQYSPESYFKKYRAYERCTCLHVPTEDTRYDGVELVRLYKRTVETDIKRMGGFHLNSGHPKYKCLLRGLRALIHFLKVDERTGVSEVLLKTFPKNQQPGVNPLEDEFETAIQEASKLPILVTKSDINSEEREKEIVAKIIKSIALHDFERIDPKKGYIQPRSGRFNSYHCEESLKIRKKSDEPELNLELIRVEAAPIIASNSVGDDMCFHDVYHIYTTEFHIRCIDASLLEHVGEYRVLNLNLACLNDPDHYRFLELLDSFFLIRDDIIHLKTFDRVLGVELETGSLPEDEKYSIRTLNENITQVPKYLFELYSEYDSRRKEYVIDPHARNFFSLSFTEDALKLLVNCLIDVRVFFRANMKLKIETFALAKYLLMRHIWDELRLMIILSAEEKDFHCIGDLLQHDEERDALIPLIARWRPEIIIFWKEFQSNVPLSIIHLIAAEIDNTRYKRIQEVPNKYMPIVALLDENVDNEIISERALTKYLCHPGDDETVKNECRRAVQSWNS >CRE18232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:48671:55112:1 gene:WBGene00060344 transcript:CRE18232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18232 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3NFH5] MSQRWNLDLVLAGQDPDWAAKSLKIDGMHSNKKGKISTLRHITVLRFRVLPNFQQELLVQIFQYVASPACNSVPLHMDFLEAKSKMNLLAVLWLRNGEADNTQQRRLAEKRANEFSVRNLFSLRSVCRKFNDVINNHMRPGTDYGHIKIDVKIQGFDDTREVTVHKYGGTGTVCPSTHFKRIKKYNEEFQDIEPFEKIDQLFVVNTVLSEERLETVIRLDLTEASKITFEKISGFKFDEGVNLHQRIQQFFDDLNSPAIVYFHSNIFNTAATLLNQFNGEDAAFMEVEDTFERAQIKQDFWQFYTDVQRKKCLNFIISSLDSIILGQHHSTVPDRKHGTRARLNAYWAELNEAYTQLTIFKTADEQNTGRDHLRMAFKLLKGKSKKSKMEILYHLKSVKRLIGSSVKCYSYKDVPQEWTESPEKLCYKSGMYFQWKPNSNSPREVPENGAERIDYYFLSTFYLLYVACINLIIPYAWNDLQNIRGISLNQFTKHILELKATMPADRLESMSHLAQFFHYTMHQRQSLWFWGFTRPGLTVLYIIHKTLCIAHILVQLICLHAIFGHSYGWNFGMYLFDFLFIKKLDWQITGFFPRVVFCDFDIWDQQSQKNQDWHFFCLLPVNVILEKIVVLYWIWLVVLIICATCFMIQTVFKLLRHSHIKKLLGYLVDLRTARDKRRFEKFVRDFLLHDGSLALYLLEEVDEETVEQLAGFLYLSFLCLQILQKSVDSFPSIFTGVILTIAFLYIKQFQHPFVQSLESLFYNFLGYFSLSFFSYCCPPLVSSSVKRFFECFYSIISVTSHHFFFIAFVQLSPSALFDTI >CRE24862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:42:1054:-1 gene:WBGene00060345 transcript:CRE24862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24862 MSFSVERTKKGSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGSERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENAKKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDWEKEVE >CRE18234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:74330:79697:1 gene:WBGene00060346 transcript:CRE18234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18234 MSASAEKKTQKEWPFEILAVLIKSIYFETEIARSSITLNDACERFFYEIGDLSEMFPFSQCVLRSYKLLTSKEVLDKRIALDTSQKAMMLFKLNLQPDPSVLDLLLDHPDVTDQKCIEWLLEIKEVQMKKKNQAGPSSSNNVGLSAKRKRQGSAEERQAKERRQSSSKTDSRQASEEAGPGPSMDSSYAEEGASSYDANPELEEHDYRNTTRKLGKRDEDFDKVVQDVSSRKRHSGQVSVERCIDFFLHLEQCHKRKAYNFVHVCDEVELKLRFFKKSSSELNTFQSKRSVLKHKEVRLTIMLTAKSTGAKHLPYILIREGEGQKRAMRVEVPRCKKLFSGKLNLASTSLPHFTPKDMISFLKSGSGPDESYINQNLLIWDSNIAHNHNEVIDFLNRRKTDVVCFPEEENNTLQIADLFWKPKLLEGIRNNYKQWWIETGHLQTEPPSMEEYLPWIVDAWNSITRKEIVDSFASCGLDIGSGGIDPFKIRCFNKGGALENHVGLLDSRRQLRAQNKEDFEDYVTISNVDISFEEEAGADPDLLQAVKDVSREKKCFLKIGVIECIDFLVTLEHMMLRRRYQQILAINEVDLCFSFQKKAPLWQKQATYHKVRITVLLAAKLDGSKCRPFVVLPSKRKLTGKEWKQFATIKNQFKSRIELNAKPESSIDSSDLKRLLPTLLGRSQTTRKLVVWDSRSSHEEMTRFLKSRAVDSILVPENAVEVLQAANIYWKPEFIANLRENFQNWRETSADPNPDVPANDVFASWIADSWEKIPKNRIQESFVGTGLSGWRGQLDANRLHCFGVNGKLDGHRNLMEKRRSILATHMDLVQVEDEESEEEEDVDEEVELEGVEGNADEDLQGERPDEEELEEEDVDLEFDVFDANMIAAAFNIEGNARPAIQDAERIESGEEEEKGEDEEEAEDNHEVHNGRLDRMEDEVEDEELDEDGAEETDDEEEPTEEDEEKEENDAEESAPANPKAMSLREPSPEMMRNSQSTSSSSSNATSQQPSSPAQIETQRNPELQKPIKAHLILLALRKCASTMSRRLFDEFLKTLERQRYLTDVNKTVPLEILVDSVESIESRLVGNKTPRGDETNSVSLSVALAGFESFLATLNEEAFQQHLVRIRELKAKFENQEFNVLFEKIVFFFDHIFVSACQ >CRE18235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:85500:86603:1 gene:WBGene00060347 transcript:CRE18235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18235 MKILNFPCLIQREIFKQLHPSELLFLSFCSARTKQLIRGARSQQCEIIFDVQRKNNIMFHIHNGKPNSECSVLNILNEPFFPRKGDSNIIKLPNNLGIYCKGSWEFEHLTLEQRFNHLQINEFYTHLCDLFHSPTNYFVEFSDYDEIRIQMQTSLKPNMIKKSKLTGEIPPLFFTDHSDQELCIAENLYRRNAMSGNDPILSINNIYVKNICCRVQRMLLFFKGEHAFLETDMYDPFMINMFLKFWAEKTDDKLQSMIVYMSNQKDWELNIFSNMEKVSLKRDSIYPYNSLIKEHYEFSADTFDCSTGFEVKRADGRIGTVKKTTNYFMFFVWNN >CRE18251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:87273:91766:-1 gene:WBGene00060348 transcript:CRE18251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18251 MSQHPARRSANIWPFHTTSKLLKIIYQETEKSESFISLRRACKPFTHLNEKIRRRFPLKDVVAKAHEFLTTSTIMCDEFNIDAQQKAKMLYKLQIRPHDDFLKLIMDHPEIADGKCGAWFASMNLYSNMQVKEEIFENNQEREISDYTMDTREVAVGYKHVDDFVMQGDANSRFFTPEWNTNMHENETAPTSQFYQVIEKEIAGNLKYASSAEHMDIEDEHIDILTIEDTPYPETWHSEKSVTMPENTTPPPTTPSKRVQEKLMRNSEELEFTKKIETSPVNNLFSTATEALNMEEESETSPELDAKLILDFIKAVDNTYSRNPHCRDLLKYCNHFVSYCTTGLTAETLEYKVRYAFARQDEIFDSWDVNLCCRMIKTFEFRLGKRLQEKIRKENELWWHQYLLHSSHKIEPEAISPLPKLSELEDSSPSIPEKQPSKKPARKKPSHRKPKEKKGTTKKSTPKTSPVYNFLDPQSNLVPNPNACESVNAQHVLYSLKVYINRMESPLFTPFTKEVDAAIKNSPVNRFISGAKLIQLIMGTVEYVTSKSRFIKGGDTSGAVSVTKMLTGLHSFASSFEFAGLQKVLHDINQAKLELENSESKVPFGNVLILFKSFFFATDPHEVFKIFNELPLIFSV >CRE18253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:100524:101388:-1 gene:WBGene00060349 transcript:CRE18253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sedl-1 description:CRE-SEDL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFI5] MATKEFYFAIIGHCDQPIFEMDFPAGEKKSKESEGTRHLNHYIGHAALDIVDEHALTTSQMYLKMVDKFNEWYVSAFVTASRIRFIMLHTHRADEGIKQFFQEMYETYIKHAMNPFYDIDDVIESPAFDQKAALYGKKYLS >CRE18254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:101822:107594:-1 gene:WBGene00060350 transcript:CRE18254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zfp-3 description:CRE-ZFP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NFI6] MGDVYTPTKTMDGNIFSIVDGSPAVYVPTPIEPSTSSDAAKMQNKLDDQSSRQKYGAVDHFTTANKTIRIVKRKPKVGQETTPAQPVTPTLKRRATEDGEEHVASPEKTGSLKGILRTPLFDPNRERRRICFADSKNLPLCHIKEIERIAAIHSNVADFRCSEKNEFAASALEVDDFEDAEWHVVKIKKCCRAVRKTLSESGKVEEQRIAAGGVMRAAMFGNLECNPILNDMAAKMFLEWQPIIIPTESKPAEPAISALHDLPMPGRYRQQPHDVEPTAQQPQAEPAQQLYTQQPNVQPPAALQSQTPSYEGLLQIKYPQPGVNLDHLQQHLITRTPYNQFVQDNQISQPGTVAQSTASAAPQIQQIFHDSQPMNNYYTATTSAPQTSAPASATSTESRFELPSNLKEMLNKLKAKGFVSSEDSSTTTPATQEVASPLAAAMQTAQKLLRSQELAGGTPENTYSSEESPPDYPMDGYVATFATPEMMAQERRQDSQNSEGWTQSGWKIQEPCVYFINRPGGCNRGEQCRFTHDEELVSDGFTNSIPNFSNTQRRQKMAELPARGSYHHRDHDDRNFRGGDRRGRGRGGNYGNRNNSHNQDHDFRGQHPSGRDHRDGHPQRTSRFGDTEGRGGQYNRGGGFNRSRFDKKGDFNSHHHQNNQYQQHQQSSTASQVDLPSHDNDGPSPPKRSRISRFDKSEGDSMAHSTGDHDHRPQRKSRFDNHPPRSRFDQSANDRDDRNPQYYNV >CRE18237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:108620:110149:1 gene:WBGene00060351 transcript:CRE18237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18237 MLLDFKRPNRIKQTSIINTGSRSRRVFTAADSREVFLRKLFCGTSNNREVQYSTRRLETLLNWEEALPKLLLNKHRRRTNLELAEAWLEQLTCSKYHEVIKRNAQLEDSKSGNDVKFEELFRMFHPNLVVQFWQHPEKHQGDTSHDLSTQSYCHKATGEYAKLETTIFESGFEFKMVVRRSNEKYGRGLLAIKRVVHSKYKDINRKKCKWELSETHPDDAKNTCQDNHLKASTKETCETGDKNSGTLGKCAQLEPPTFESHLEFKMMSKLSSSNRVSF >CRE18239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:126708:130054:1 gene:WBGene00060352 transcript:CRE18239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18239 MPSRRSPTSPIPTDSPSTPTPTSLSPSGNSSNSSETFPTPLAPSPEFIQDTTTTEDESEAEENVNLGIFEFRGASCREKSQFLTLQKCLFSDRVRKSLGEGTFGKNRKLFAAKFFLVKEGDDVFDYASAIGPEQRVYEYLGSSVHQNIAKIVGIDFVNWAPDNCYRKIILMPLLGISIGQMIDQAQKLDLENRNLIGVDASKAKVSFRIKHIQEMGSNVLNGLQFLLEHHVLHLDLKSENVLFSSKNSFHVDYNGTSHSFIAPRDTHVKIADFGLSRVESDIGDTADIFQTETYRSPEIYSGCLPNKKSDVWSFSILLLEMYTGADDFLSSETENAEVQRFRNLQYAIDQRMTRDLWDEAAKTKGGNETRGALKLYDDGAPDSTAPRLMSLKRSYHADQLFEFLKYSLILDWHSRPSVDELLSHNFFKNI >CRE18240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:133400:134007:1 gene:WBGene00060353 transcript:CRE18240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18240 MKIESYTDQMAKKTEQEDESNTEQEDESNTEQEDESDTESEHGVKMNRNLKLKMTRNQITNRKILVMIPVNFTCIIFIFQILLVNALVSLGPAGLGTENIIGNCTCLICLKMDNQLKIKQPNHLPFLQNMFHGTSKNKSLLNSKITKPTTKAPPKPKTKTKPNPDKSVNALITNAPKTTKAQTTNKA >CRE18241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:136237:137238:1 gene:WBGene00060354 transcript:CRE18241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18241 MPFNPALRNMTGKNIAGYHLTQIIGYGSYGATYKATSGSETICLKVSDKFRGYENEWKTMEKLKGIRGVPTLLMHNSNETLWVIGMSLEGPNLKTLQERNPTKSFQKSTLHKMLYQLVTILEEIHTRGIVHRDIKLNNIIVSHPRSNDNSVYLIICDYGQARQYRNEEGQRIMEPDDDYRLANRFHATPNVDMGEDHGPMDDVRQLSYAVLFASGYNDPEYLKNRTHRDKIKRELFRAPTGVLPECAQWMEYFFEAISENDDIDFPNYEEIKDSILEVLPVTNAIEPLRLSLEADTWYLF >CRE03626a pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:155952:160930:1 gene:WBGene00060355 transcript:CRE03626a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03626 MIPTSRRQRYFRPNSPPVSPSSPGAGPSHRSMNSSNLNVLEQAELVIDGGDEQQVSHEEIVDDGSSDMVVDHHHHQQHQQLRNNPMHHHYDNRQQTGATLYQVQQQNQQQSQSIRRAIGRPIVQRPPNYVQTPMDMVQKLQNKNVYMPQQRPQTRISPAGARIVSFAGRKRDNPDALPPGVKKMTMASTRPHSQPQHQTQAQQQVPQQVQQAQKNLQAVKVVRLAPSSTRANPTASEHALIEIENNIKQTIEDSKIDVDKLRELQEAEFSQDEYHQYLGMLLIDLERSNEANLTLTNYYRDRQRHEKTIFEARENAFAARIRQLETENRKLRESIHTMYCTKFNEAGGHNNLYRNDHMGQMMVEEPEEHVVIEGHVGNNQESEEVPQEWIVEETVEEHRMHLQELESDDHQHLVDDQQQDVDQDNDVKDKDLLVGNSRFGPGSSGGQN >CRE03626b pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:155953:160930:1 gene:WBGene00060355 transcript:CRE03626b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03626 MIPTSRRQRYFRPNSPPVSPSSPGAGPSHRSMNSSNLNVLEQAELVIDGGDEQQVSHEEIVDDGSSDMVVDHHHHQQHQQLRNNPMHHHYDNRQQTGATLYQVQQQNQQQSQSIRRAIGRPIVQRPPNYVQTPMDMVQKLQNKNVYMPQQRPQTRISPAGARIVSFAGRKRDNPDALPPGVKFQKMTMASTRPHSQPQHQTQAQQQVPQQVQQAQKNLQAVKVVRLAPSSTRANPTASEHALIEIENNIKQTIEDSKIDVDKLRELQEAEFSQDEYHQYLGMLLIDLERSNEANLTLTNYYRDRQRHEKTIFEARENAFAARIRQLETENRKLRESIHTMYCTKFNEAGGHNNLYRNDHMGQMMVEEPEEHVVIEGHVGNNQESEEVPQEWIVEETVEEHRMHLQELESDDHQHLVDDQQQDVDQDNDVKDKDLLVGNSRFGPGSSGGQN >CRE03627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:161292:161942:1 gene:WBGene00060356 transcript:CRE03627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-21 description:CRE-DNJ-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LXC6] MTGGLIAAGLGLAAVGFGARYVLRNQALIKKGMEALPVAGGFNSYYRGGFDQKMSRSEAAKILGVTPSAKPSKIKDAHKRVMIVNHPDRGGSPYLAAKINEAKDLMESSKS >CRE03628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:162489:163376:1 gene:WBGene00060357 transcript:CRE03628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03628 MTLPRFDQIRPKIEKHIREVKDFPKKGINFRDIMPLFTNPQLVNELCVVIADHVRHSVGHVDSVAGLEARGFLFGPQVAIQLGVPFVPIRKKGKLPGATIEASYQKEYGEDIVEIQEGAIKQGDVVFLIDDLLATGGTLRAAANLVVKAGGKVGEAFVLIELTPLNGRAKIPDVNLTTLISYDSA >CRE03833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:163353:172313:-1 gene:WBGene00060358 transcript:CRE03833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-40 description:CRE-UNC-40 protein [Source:UniProtKB/TrEMBL;Acc:E3LXC8] MNSNMHKTFFTPLGIFIKISGYILLIIAVYLSSTNANTRKHHRRSTENDPRSIGFQFEIEPQRNVAVAESSSHLLECSYVLAHERFVQTVKVEWKRDGVVLNERSSSRIKVMSNGSLWIESMSSAEEGTYQCAVHVSTKNELTISTWTFLSRKATLRLADLAKFDLQPVDRTIAKGQPTAFHCLINSKPTPLAVWLHNDQPITNGGEYHILPVSNTLEISSTQSRHEGTYRCTVEGAGKRRSSQTARLTVTTGDTSNELSFITTPRLQVVENGDEFLLECLVASRIRPQVRWLKDSRQIIVDGVRIRRVGVSSIVVSRASIEDTGLYTCRASNNDDSIDRAVSVEVRAPPRITTKPVTKVAVETADVELECGTASARPEARVNWYKNGEAIIGSEYFVIEPNRLRILGVVRADQAIYQCIAENDVGSEQASAQLLVDAPDSSSVAASSGVPMTASAPLGLRSTSSGSRFINVEWDPPVQRNGNIMRYHVFYKDNLIDRYYNTSLKVLYIYICFRERMINSSSTSATLTSLQPSTMYLIRVTAENEAGMGKFSDSLKVTTNKEQAVPGKVTSLTATATGPETIDIRWSPPSGGQPALRYKIYYSHDPLEKNEKETLITTSTTHYTLHGMDKYTGYQIRIEAEGSNGSGLSSDTVRVRTQSDEPSAPPVNIQAEADSSTSVRVSWDEPEEESVNGEITGYRLKYKTKARGAKGNTLVIDATAREYTMGNLDSNTQYLIRMAVVNHNGTGPFSDWVAVDTPGQDKEERTLGAPREIRPHAGIDYILVSWLPPADEQNLVRGYQIGWGLSVPDTETIRVTASTTQYKISRLNSERDYVISLRAFNNLGSGFPIYETVRTLSRETPHFSEDSDSDDSDGALGSSESTPVGLRTEAVSGTSIRVMWTESDESAFNTQYTVRYSTAVDGNQHRYVNSTETWATVEGLRPATEYEFAVRAVASNGQLSTWSMATRNRTWSAPPSSAPRDLTVLPAESGDPHSASLHWQPPKYSNGEIEEYLVFYTDRESLADKDWTINYVAGDKLSHQVSNLLPKANYFFKIQARNEKGHGPFSSIVGYTPSGGAILSGRDRNSPRGHGSAAAGDSISLVEQLQSIFSSNPLYLMLLIAFALILILTLILIIMCCLKRSNGGGRKNGYQSAKKTSANGGVGGIGGPPNDLWINGTGSHMRAGASDYMVDGLATAHLTAADIESPTPRYHHLQGQGTLTRSYHQSSQSLEGRQRTPQVVYTGTGRHQPIHKIDFDNSLYGSSSAIGSASTPPLPPMQAPPSGPPTVIDGYRTLRGTPPNSANALRSFTQLSGATPPPPHSAPSSSSRPTIIAAGGRQVPVGRAAAQPRVNVANIYSQLASCSASSDAGESDKTGVECMEMRETTPIKTIPPGDKTANNMLPSHSTEDLNAHLENLDTMLDDLQKLQSNFNK >CRE03629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:173245:177188:1 gene:WBGene00060359 transcript:CRE03629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-7 description:CRE-NPP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LXC9] MSDKSGGFFSSVGRFFSGGSASKSNEEEKSPSSKTTSFSEGGSSRSPSTAAPAALLRDIIDVDKNSSEALDEVSVDTTQNSRVVPRLRYLSPSVLPQRSSDPTNGLEIDVDPVSDIFFSTPSVSTNRKRQLTELDRITLPQSFRSDSAKRSRYLNRSLLEPTLKSTLPNDKKLDVSWCGELTGNHSPASSVTNFSLLSRRSGATTNGSLSTRTQEIFKKLEGANTPAKEVQRMSMMRAGLTRPETWSSTDRKDHDTSSVATPPPPLKKAGDSIPSRIQLISKQGISARKNPYWTDLTRKRTTSKNGDNGSSETSSLRLLNGTLASTELSSVFSLEPPASKKTTSSTSTASTTTINSSNSRKPHVSIMKGPDGKAVSRNTFKLNDDIEEDEENSQKLPPLSDEVLSNSKPLQLNPELAPKRGFLDNLSFTFNAPLDVVSAVGTAKTVSVVSSNRTSESKEVDSDSAESIEKQTSESSSHGSDSGESVDSENDADENVDEVKESRPQTSADTISSAEGSNPSNQSSKDSSPKTAKDVEPVAIVSTAASAKWECQSCFCSWDQTVSLTKCGACQEPRAGAGAPAAQKPAEKQLVSNLKSFAPSQSSNFKFGFGGSSSVPATSSSVPTTTTPIQFGLPTSTTEKSAPVSFGLPTSTTEKSAPVLFGIQKPATSSVSPIVPPPAAAVPETSEVSTVQPSATENRVAWDCPTCMVPNKATNDICACCSHVMYASTSSSNVFGSRAFKPLATTGSAVQFGVSGSSTKPPAFGFGASKPADVLSAAPASTIPATTGFGFTATKPAVSAEPVKPVGSLFGNLGKPADSTATTTPPTAVAATSSTPSLFGNNAKSLFGATKTEPDNANATKPSMFGSILNKEAPTTTTPAVSAPSTSLTSASSTLFGSSSLNTSFGGTSLFGSQKPNSEIPKPTPTLSFGAASSTSTNEVPQKSLFGNIPSTSAPAPVLPTPSSTTSLFGSTSSSSNLFTTKPADSTTSSIFGKPIQFSGEVGTTTTDGGVPAKRGMFSTDAPKLSFGGEQKVELPKFGGFGGSSSSSTAPSSSGSLFSGGSAQLFGTSSSNAPAFASSSSTTVPSFGGSSTVPFGSTTTSSGFGAFGSKPTQPGMSTSSSTNSLFSQPTADSSKYESMIVENIFNIKNFSPFGTTNSNGFNFGASSSTSSTGGQAVFQFGGAPAPAPNAAPGGAFQFGNNLAAPSAPAPGSMDNAFAYQAPSGNNPRKMALARRRNMRK >CRE03630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:182389:185780:1 gene:WBGene00060360 transcript:CRE03630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pamn-1 MNDRILFNLLLISLSFCCCSAAVRTTKNEEIQKFTIQMIGYSPQKTDDYVAVSVEATPGYVVGFEPMAHADRVHHMLLYGCTMPASEQGFWRGMETCGWGGGSYILYAWARNAPNLVLPKDVAFSVGHEQDGIKYFVLQVHYAQPFAGEIHDFSGVTMHISQRKPMNLAAVMVFVSGTPIPPQLPAFQNNITCMFQSTTPIHPFAFRTHTHAMGRLVSAFFKHDGHWTKIGKRNPQWPQLFEAIPSKLTIGRGDQMSASCRFDSMDKNRTNFRAMGVDEMCNFYMMFHYDAKLDNPYPQGAICAQDSPQLMKDYPVDGFELLPSRPELEHHAHQSKVPFGIVQEAIHETLGGVKLGQVAGLAFNNQKELLVFHRAERVWDANTFDNYNILLEKSPIKDPVILVISYKGNQTRVEKKLGGGKFYLPHGIYVDKDDNVYTTDVGSHTVSKWRIEGNELKNVWTTGESMLPGPDEKHFCKPTGITRIGDQLYVTDGYCNSRVVVLDLNGKRIQQFGLPGEEAGQFNLPHDIVSDSAGRILVTDRENGRVQHMTTQGHVIEEFKSTMFTNIYSAASHEDYVFMVPGRPIMGHESEGIAVFVGRSGTGLIEYAFAPATRGKREQMGPQFGQPHCLRVSPDGDTIFVGDIAEGKARLWQFKVQREESMTSMSSISIGWPSLAPADAKYNSFFVLLAIAILVLGAYCVRRRCRNLENGGSLFDKRGFKPLRTEETVGFISDGSESD >CRE03834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:187202:188239:-1 gene:WBGene00060361 transcript:CRE03834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-53 description:CRE-COL-53 protein [Source:UniProtKB/TrEMBL;Acc:E3LXD1] MLNSGYIWRQLASPTVAITLSVSSVIYVTVICPLVFLFLFGDNANVLENDQCPIQMSELRDRVNLVKEHIHENIRQKRELASCCVGPPGMKGFPGKNSRDGYDGEAGRDGQPGRPADGDSRMICIRECPAGRPGREGGDGPKGQKGQRGRTGQQGDSAPPSIRGEPGERGEKGFPGVAGPAGEPGEPGRVYVSDGPPGKDGETGPRGPPGEKGRTGRNGEDGLPGERGERGYQGLKGEIGKRGPMGTFGPIGPKGEPWPCESCPPPRVSPGYYLNSRNKKH >CRE03835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:188897:190009:-1 gene:WBGene00060362 transcript:CRE03835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03835 MAAAPPPLQDAGYQTMIEAEPIDEFVHEQTTRSKETYQQDLDELNLDDKRIERFIRNGEKNRYTNVACFDEVLDRDNEDFYCHANTFSTPYGNFMISQAPKENTCGDHLHMMWIFNIRTVVSMVSAEEAGGYFVPKEGEKFTVFQKYTMKTTAIFDEKQGVTVYQCELKSHKAPKSQSRRTIYIICCDTPVAAIRSPRQQTVLMEYMWSFEETEAIERNGTTSDASTTILVHGVSGTRRCVSFVVTAVMCRQILETGQFSAMETWIEMRKRRAHACTRKHDFYSSLYTVFAFSAQSGTVSETDQNFLKSMTVRNDGRGIIEIYFIVVGHESSSGETTES >CRE03836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:190118:194793:-1 gene:WBGene00060363 transcript:CRE03836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03836 MREGCDEEDIHKTEHELEVCEEEMKKWEQMRTVLEMYGHFSGINRKVQMKYLKERETKTSDEELKRDGAALLLILKWGGELTTAGNMQAEALGRLFRTLYPGIRRTDGKSSPEDTQGLGFLRLHSTYRHDLKIYASDEGRVQTTAAAFAKGLLALEGELTPILMQMVKSANTDGLLDDDCQARLYQTELKRYLHKALQADRDFTPQDYLELNPNGLRSITAAMEFIKNPRKMCHEIAGYVEKMCGVIEEYSQTKPSGSTLYLQESMDLAQRRWNKELREFRRKNKHGEVEFDISKIPDIYDNIKYDMEHNPDLCINNEVEFERMYLCVKNMADIVVPQEYGIKTENKMVIAQRVCTPLLRKIRNDLHRCLENKESEETQTRLDPRASQGIATPFRHVRTRLYFTSESHIHTLMNLIRYGNLCSVDDKKWQRAMNFLSGVTEFNYMTQVVLMVYEDSRKEKDDTDTAPRFHIEILFSPGLYPCFLTEKERIYESRFNLSTNPKPATSSRSSGRESRDTNDSASSSTEGRRPSTEKVVTVVTPTQLSTPQVTNDDLSISSNAESTAAESTGLLNTTGKSHNDSEDDLNDVESVNLVALDELNSTTKAMVDDGKTAKRQRSVTGAEKSMEEGDKPHGEWKGNGVAKSGSQISVGSNEMESCNESTETVGAGKGQWVKDLLDQTKRAMAMNSIREVEPPVVEEESASERQSRSRRYFPYRFKHHTAQLLTGMTGGGVHMQNRLISTDVLTGKFGDHDKKNPRKDFGAGTAVLSTAVIARSSSAPRLMTYESEDFSVGEIKRFWPPLRSLETLHDNINLAQFDGFLERLIKGALTPLPSPPKTPLPSALSCDAINKTPTQDEVEQVIGKLAPTSSID >CRE03631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:195229:196762:1 gene:WBGene00060364 transcript:CRE03631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03631 MSIKDTLTNLKAEWPKMQEDYEPELWENIYQEKHAKSFFESVDLLRKIENLSEQEAERKLLDILIKVTFIETSLTIHGKQDAETLFDNLKKAQNDKPNIPFSSAEEVKSFCDSMKIIFREDMAHRYRNTGVQSRRFVQEMRKRLELGDVLKEANFDDIIQDFRSTIVNKYCQKFAEKEAGLDFSTLYHFSKAVNGFKFDMEQDRLFLDEEASDCISLLLPIFTDTINSQHAFKLDASRHVSGFFTLQETCYPERSQYFMLSDELFQIEAALYRKTLSNKENNQAFCTVISGSGRILPNHPSQQIEIFELELYPFSFLNNLVTENAGKTLVKGIKYPSNANTVNGFLTSLESTFSSRLLKRLSA >CRE03632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:200387:202740:1 gene:WBGene00060365 transcript:CRE03632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03632 MLSLLFKDPLTEEQNTQLFYEFQEYPQKYEHYIPDIHTKLSVYVGDHNLAQQMADKLKHEGFKFITQIHQGKPFFHDDFMSISEKPESKCLDSITDTAADMSNNFQTPIFAHPTATPVSRMERKPAVRFPPIRNDLNQLLNPLPEPSPPVPSSQQYVTMEHFEELSRKAKDQLNEFSINLMSKVEALTASASRTGIVHDHSGYTIPAPSPAAPVTAMRPPPVMAQYKAKNELIANGIRCEKCNKTVKDVEPITKLIMSVRDHVMSHFDSENPLLKRLSCRDCPDYRTNFVDDFEKHLKRHGSMTSITEKRQKLTENLLTETYLKELSQLCNQCFPEVFENAPPSINTIMAVDTPLKAKISVFPRLDRLYCSKFNIPYASTGRASLPAPLIRID >CRE03633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:202850:204065:1 gene:WBGene00060366 transcript:CRE03633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03633 MEALANAVQKLQWEIRSLLRISQKTVAPVLWYPSAMTSMHEPSPEFLSKLTSEENKKVEMILKEFDLFSRLSKRFPSKLKDSDWKTLLEVSTRKARYEQAMFLYRKEILEAEDMKKKEKLREGRRLEAANQIRNPTFISPYLQTKTQEWSQFRNVVEAYRLENHPILAVDCQFLNRLSPRGRGLTALQLQYLISENRNSQNPFRLHFVNYNKKDPKIRELEKNKLQSLANPDVFCPIISNDGFKNVFEAEIIYLSPDATEELESVENNKVYVIGGIVDRVVEHGIPKMASLEAAQSANVLARKLPIDRYVDFKSGSKFLTLLAVSEILRQVNLHGDWSKAMEVAIPKRNIRAAEEKNPNARAAQARIHEFNAEVLRRVEKRLGKDVVQK >CRE03838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:204242:205535:-1 gene:WBGene00060367 transcript:CRE03838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03838 MSTFLRLSLVSLAVLLVSAERCPPVFGDFECPTGFTCEEKQCIGRNKSPSTSCTFDVECREGFVCSEGKCYPITSVKCNRHVLISEGSARSIVSDCGKHGKCVNGQCVLDRCQGVSCEEGFLCRDGKCEKVLEAFCIGHADCGPNMLCQQNKCQLKPQEPLCNCQPHEICHHGQCYPNTQCTSIYCEQGTYCVEGQCLSAIGKTCQDDTCHGGTVCVNGVCIANPCPGRCPHDQDCRLGECRIMEGVPCIGECRHPFVCIDGRCRRNDCAKKVCQIGESCEGGNCVRVADRFCTLAIRDCGEHFTCQENKCVDQMTVLKGR >CRE03839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:205665:206819:-1 gene:WBGene00060368 transcript:CRE03839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03839 MSDTANAAAEAIEKKLEKISIEEKESLGNSEEKLRKHRIPTAERIRLWEEEQKAKRVLTTGLQGKVKWYSVLRRYGFISREDGEKDVFVHQTAIAKSATEKFYLRTLGDEEEVIFDLVEGKNGPEAANVTGPAGENVVGSKYRHKLLSRFRKNRKPRQSVDGDESDSKAESTPKESTPQGEDKKKPKKQRKNRTRKPKAQKGAGDAADSSAVTDSSESASASGSPDSKSCSKIIDEEAGLSKVDRCDSALGASLGAQPIDAQI >CRE03634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:207458:208891:1 gene:WBGene00060369 transcript:CRE03634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03634 MAIEEPMDVEEDVAGWKHLPPEIRINIIARLRFFEKQNMMLVNWDSYDICATLPNKLHELGITEFPSTAKTMLIMKWKNDAGRVVSRMVEFRGTSLRQTECPEHTADAMRAFLRIWRLSKIKSVIIEIPCFEGLAGIWDEMMAGFRTSIAVRSFNIRTNDYRLVTKALTATKNRGQDISLLANDHGGMETAVFDIDKVKNARSVILWSLISNIQDHQLLALTAHNIRLHSDFITSNCIAKMIQEWKDGKRSLERLHITSPLIDLYAVVRQVNGVCWKNMTDICSIIWREVDEEGYSFATRGPTYDIPAAIGLIPGQDGTPTFIFRIIRMTHEMSRVVYERCSVQCPNMVSSEDLARKQKQQHA >CRE03635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:209290:214152:1 gene:WBGene00060370 transcript:CRE03635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trpp-8 MSSVTPSPLIALISSPCSQKHAINRGFKSLSHFVFPFTTHECQLREPIENSRISNRLRLDIRDISSDGHLLTLSVLPHVLIQALKNSTDVSQSIKLFREALARCSEPSEHESFGRYLACIFVVSTQDENPMGELSKMIQTQQTLYNTTSTLMIPGHCSPKWASSHAKTPRHYILLHDSRCPRSSTDRRDEVLAQMCATYGNDNCQVLQLDSDKSPEMKGVWQEIDEFNDVLEKGLEEAHKHSTDTIPSTPGTNGGATSLQSPSSPTFVSTISSTMSAVGSVSPNSQQSSNNPAVWKTSSKIASLQDAKNVQAALSKFLTACLGPYVEKEMRMLYETAGQKKSFTKSITSVSSGMRKWFGTGSSSNNLATPITYAWDSIEMQTRRLADLLLMFGFPGAAYDQYHSLKKDLEVDKAMAAHAVALEMCAVALHISQPNLNSKQYPIRYLDPPVKLLIEHAKFRRYPAVLRCAFNMAEIYSNLGLHKEAAATLAQVSAMDGDHLVAVAQTMAAEQFEKAGMLRKASFHRVLAANRFSNASIPALSFDCYRLALPTFDQKHWGVLDEHLAVRLLTEGEKAGVMTPAIATECIRRLVAVCPKLPSSQQSERLRTIVDALDTYFSHRTDPINMLTDIPKIEMDTVKVIYGERPLWNEIDENEHQSVSADGWIVVERAAHHALFGASAPFRGMQMVSDEHSDNQKVRETPAGERFRVMVDLTNPLKIPIDLMNVRLSVADVHFQSGTESPVPPDLGKLEHLHLEPEETKTVEVYVFPTIGCLKFRVDGLLFQLAIGEKRIETSIPLECRGKRLNKTAKQQKSKVYTNDERLTASVAQKPWPLIEFHVLKHPHKWLYCDQAQRYQVEIENIGQEDVFSMCLATNAFDRVSAGIVGGFEDEEKQDELKLELAANNTKVATFQFKHATSDQPFLRIGEKKRIFFDIRSADEPNSSVVTSKSISTVILIAYRSAGGTMRQWRRVIDEERRHLIVMNSEILDSDSRTFSIHLKNCLAISQAALSRVEILRIRTTQNEASTGARNDVPMNIQLASAVRKIEIESEQSDTLVVRLVANPIPNQAVWLTTNATISSPNWPCPAEITSAMDDDSIIRVAEKIGVLWKANIVNNEGLVTSFIGESFIDDPFARKNAETMAAVNGGVSSSLQISCETSAKDIIHNFSTSRICELPITLRIQNKDPLRRLAAVSIKFSPKVREAVDGVHLVAPENRQQMWIDRPVRKCLISPDDVAVIEFNWKISHAAVYDVGGPNLSVEALFEGGNEAVVFKVPSVLSVVKSSPYTVV >CRE03636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:214456:215255:1 gene:WBGene00060371 transcript:CRE03636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-10 description:CRE-VHA-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LXE2] MASQTQGIQQLLAAEKRAAEKINEARKRKLQRTKQAKQEAQAEVEKYKQQREQEFKGFEQQYLGTKEDIESKIRRDTEDQINGMKQSVASNKQAVIVRLLQLVCDIKPELHHNLTLQKKLHGQFAA >CRE03637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:215530:218902:1 gene:WBGene00060372 transcript:CRE03637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubl-5 description:CRE-UBL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LXE3] MIEITVNDRLGKKVRIKCNPSDTIGDLKKLIAAQTGTRWEKIVLKKWYTIYKDHITLMDYEIHEGFNFELYYQ >CRE03638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:219376:224491:1 gene:WBGene00060373 transcript:CRE03638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-83 description:CRE-TAG-83 protein [Source:UniProtKB/TrEMBL;Acc:E3LXE4] MATTALCCASRKSNKYNNEAGYEVYTISEDQLRLKQKMKDRKEGVQVEYVTSRLIVVSCTAETSERKFVESLLTASQQIQKAHNKHIKVWNVSQRRHDISSSLDAIAFGWPAETAPPLEKLCTICKNLDQWMLEHPLNVAVIFCKGGLERCAVVVNAFMRYNAISATDDSVDDRFSMQRFSERFLGPDGPPSYKRYLGYFSSLLSGRISVNAEPLFLHYIILNFFEPINVFLKIYERLVPVYQSRTVTLKDASKFEMDGSLKLRGDIFFKCIVAASSPGSATRCLFTCQLNTCALELQPINSEGYSVVRLHKEELDLIFNDKKIDNRVTVELVVSHTSGPTTIASAALQSVHSLLPRNNSYETFEVAQGEHFLEILMNSQFISSCFLLIVHSPY >CRE03640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:232765:236912:1 gene:WBGene00060374 transcript:CRE03640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03640 MSDTATTSASTTVVIHLKRNNHDDESATTGSATAQLHQHTRSRYCDVSLASSEESSDLSDVEEKDDLIRRTITSSSKETMEEDDDDVEEEEEFDDVVMEEDVGGGGGADDQFISRQGVIGGPGGGSILKKNNGKDTSEIIHHHPLFVKDTSKYWYKPTISREQAINMLRDKPPGTFVVRDSNSFPGAFGLALKVSTPPPGVNPGDGTELVRHFLIEPSPKGVKLKGCNNEPVFGSLSALVYQHSITALALPTKLVLPDFDPAATPEHLSATQALLEQGAACNVVYVGSVDVESLTGNECVKRSIATCSQRVINGESRAVSVHFKVSSQGVTLTDNTRKVFFRRHFNVQSVIFAGMDPIDRRFENTRALGFHDGCIAQARLFAFVARIPSSSENACHVFAELEPEQPGSAVVNFINKVMLAQKNRS >CRE03840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:237368:238389:-1 gene:WBGene00060376 transcript:CRE03840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-icmt-1 description:Protein-S-isoprenylcysteine O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LXE7] MPPSPPLTFCERVMFHLKSDDDFRTAVDAFMVSFAIVGTVSASSNFLLGIISILPTLLAAYFIARRRLNKSILMPAAFLGCAVAVNLAYTVSHEGEVWEHLTRYFVFVFLFHFTEFVFTALTNRRSLRPDSFLLNHSVGYWLAAGISWIEFSVEAYLFPGMKCYSILWIGTIGCIIGEVCRKLAMVHAGLGFTHRLAMTKRSDHRLVKDGIYAYMRHPGYFGWFVWAVSTQLILCNPICVIVYAYVTWHFFASRIYDEEKDLIAFFGDSYTEYQETVWVGVPFVRGYQRP >CRE03841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:238565:240494:-1 gene:WBGene00060377 transcript:CRE03841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03841 MTSIDVTEIIKSSSSSLNQPLRKKPRFDEGATSSSGASSSGQPRPEDILAALEAEQSTFVALDDVQVKKLVTQLEKKMRINREQRVKNPDDPQKFMESEIELDKAIQEMHSLASQPDLYVSFVEINGVEILLQLLGHENTDIVCATLSLLRELTDDDVMDEGEEGAAELIESLVSGSIVTTLLACVERLDETIKDEADGVHNALSVIDNVIIFIKFLKELFYLQMIGFRVEITEECVKHGFTVWLLKRCFQKGAFDANKMFASELLSVILQTSDNAKAKLTDKIDGIDILLRAIAVFKKNDPASVDEREYMENLFNSLCAALMFPANRKKFLDGEGLQLMNLMLREKKQARQSALKVLNHATSGEEGIENCNKLVEMLGLRTIFPLFMRTPSKTKRKDTTPDEHEEHVCTILSSLLAACSETHRDRIVQKFVEHEHEKVDRAVELFLKYKEKVQRFELKKKRLSQEAGTSSEDDDPDRDYLDKLDNGLYTLQRLTLILGDVAVCVESARLREEKLFQMKMSNNRLDLMLVPIIQEYSDNLGDDATLEQERVLVMISRIENFYK >CRE03842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:240975:246091:-1 gene:WBGene00060378 transcript:CRE03842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03842 MEEEELKKLASHLAQKHLPLKLEWLKICIKYLEKSVDANMRRSLKMFDLVMQQFLNSKISDTLNPVMKIPINASKVMIVKRMVFQITSYTNISVSLYEQLSVCTRHNEDLSWFHGGSNMHHDEENRETEKDTIFQAADKNNNFRPIPKKRGMFKLEITDGLNTVKAIELEEIFDETLVVPGSKILLTGSVKCRRGVFLLEKSNCMFLGGQIESLHIDKIKQLSAALNIDLDAEKKRRQESLEKAAASVSGTHRKSLDKKNTSVLNQSSLSPFLIKTNRKTGEVMNSPKVASLSLSKLVPSVDNHPANPDPIENWDFSIEEIAQHAEPTPEPTKCREIRVEEPSSSSNLQNMSAVQRTVLLPPPPTKKLSSLAIDSREEPIRSPVIPSKMSNVNQKPQRREGNRTIEDWAFDSLSSLEKTTNLKQHTIPDAKVKSKQLPGHLLRSSSNSIEQMLNLCRPTLGDPKMAVEKRKEISQWVWDEKKGSEEEDVSSKQKKDDSVVEIPQCLIKQKLPRHFEDVQLEQKPSFIRTLHGPRKGIEDFKNKTKSPEKKITEHFLPVKGRNSNTKILESPKAKSPSIHTLQSTSRVHVPTIDYDQEDQLDESDEVVPVTPYPKHPNQSLENEEYVRGVEETYMDASIMECTIEQEGRLECERWGLNGRKRGPGDDERVIELNSYSIKKRTEDQDYDNRNQPLPPQISKRVPPSQNQIQPCTKVIDESETAEYAGVPSVSQYHTSQAARPQQQQTFASTNDWNFTDCQKQVTHPPRVKVERVERQPEEKKISTMMRVPAKNPSSSQDVVEIRNKQSANVQIYKTPFAKRLNSTDSSSTATSQLFQRMSDLQIVPLADALVNRKFWMMSKIIVAMPTICHQLHELRSDGIDWLLQISVTDTSAPNVKCRVATDLLNRLFGFNVQQCKNLFNSNQVEELRTKKCEAERKLLGFKRLDLLVWIEVSPDLEKLPLIVDVKTISDALNIL >CRE03641.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:246447:247520:1 gene:WBGene00060379 transcript:CRE03641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03641 MSDTANAAVEDVEKKLEGVSIDEKTTPSNNEEKVRKQRIPTAERIRLWEEEQKAKRVLTTGLQGKVKWYSVLRRYGFISRDDGEKDVFVHQTAIAKSDTEKFYLRTLADEEEVLFDLVEGKNGPEAANVSGPAGENVVGSRYRHKLLSRFRKNRNPRQSVDSEESETTETKTKEASTDVEDKKKPRKQRKNRTRKPKAQQKEAGDAADSSAVTESSESSSATASPDSKSCSKIIDEEATLSKVERCGSALDDAGLGAQPIDGQI >CRE03641.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:246447:247676:1 gene:WBGene00060379 transcript:CRE03641.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03641 MSDTANAAVEDVEKKLEGVSIDEKTTPSNNEEKVRKQRIPTAERIRLWEEEQKAKRVLTTGLQGKVKWYSVLRRYGFISRDDGEKDVFVHQTAIAKSDTEKFYLRTLADEEEVLFDLVEGKNGPEAANVSGPAGENVVGSRYRHKLLSRFRKNRNPRQSVDSEESETTETKTKEASTDVEDKKKPRKQRKNRTRKPKAQQKEAGDAADSSAVTESSESSSATASPDSKSCSKIIDEEATLSKVERCGSALDDAGLGAQPIDGQI >CRE03643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:251731:253501:1 gene:WBGene00060380 transcript:CRE03643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03643 MNIIAEPRRREDLDIYKDPHHEERITNWRLYPYYDQYGRPSGSQTDAHRPAYDSSGRRIRFDGPSSSSSSSSSDSGSGSGSGSSFGSSSSAQNIQNVPNNQNNQISHNYQYYDSQQQPPRNHPGYEEWLRNQYRIRGIIPRGYNEYFNAHSNQDAVYQNRYGSSSGNGNAQETNREFEQPAYILQPNSGNSENNENNQVFYEGGRNENQLNFGNADSENSRDDLGDSGSNSRNSETGETYYSVNPGDPVDASGTQPRVDGEFRQVKNPGEDERDAGDSKNVVIPKNQDAQQQHIRFIRYHPPTVIRDKKGNSYDRRVENGKVHYIDKSGNEVKTKIDDTAIDMKIERILENRRRQEENLRNLVENSESEDGSDPISAAEVARQG >CRE03843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:254029:260532:-1 gene:WBGene00060381 transcript:CRE03843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tom-1 description:CRE-TOM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXF3] MEKVAWPVTGGLGRECATGHQELVVTGHKDGSLKFWQETGEHLQILYKLKSSSHFERLEEMETSDKVSHAVKYIELCLESRQLLVAGISGQVTLFRFTKQELCGTIAVVNIPLLGNLSSNVPSYDFDKSPVPNGPGKEIRRQRKVVSRESTNSLDTSDSGDERIVPFKVRGAPVKRPAGFVPELACFVPWHSHAKVDQITTICLNSSYGIIAIGEITLRFKILISWFSGTSSGLALVDTTQCALIYSWTTNELYGSDPTPAIQLSMQISDAASPIEIDELDEDETLIYNSETGNYQMRSHSENHQKSARNSLTPFSNGFLHPGEMPTRPKSANASAKTGGMMGLFRRNTAELAATIRERYQGHRSDSATDRPDVDHRGETPPPTPNEEHKVQKANRSHSVKGSFIRRFAKATSSKEKKEVKIEAVESNPGIERSRSFHSHISEEGDSQRESPSTGRQLNTSSPSTSSQSLERSISTQQQESITSLAFIHSHSKKNDSKMSPCLWVGTSAGTSLALNLILPEDRFTSTIVVAPSGTVVRMKGQVLNQSFMDNTFCIITPASESYKEAAKEPSAQSPDRSLLNRVNTKASLAPQYSNSIDSNDEISQILIVAAENEVKVVALPTFSQLYVQKFDEIPLVKASPTHIRGYPCLMCLSAAGQIVMLSLPSLRILNTSTIFPHSVEIDDPLCQRTAFSDHGLGVYMASQTEMEKYTMCSEIADQTAESLGELFVPCEMPEQPKNNSFLKGVSSIFGGTPRNDPNDIDAILSENMGVKNSSGVNPMRSVARTIPGPSVQMDRAQAGGVSAGQAAAMALQNLNERTEKLNATVDATENLKNNAMSLSSRTGKLVEKYEKKKWYNF >CRE03644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:260969:262113:1 gene:WBGene00060383 transcript:CRE03644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ostd-1 description:CRE-OSTD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXF4] MKLLLVLGTFIVVACAAVDDVSISNFKVGILAKDQQPDAENLKTVAIFSKLSGELKAEASQRLYVSFNIAKKADNAKVKPQQVFLRFVAQNGEDAVVVVNPDANGNYLYDTVLRTAAKSFHNLSGQFKISLIVGDVTIKNPINWHFADIDAALPVAYEPTPKSQQVRYEPLNEITHQFREPEKRPSAVISDLFTVVCLSPLVILFGLWAQIGINFKNAPASPWVPIFHIGLAGIFGLYFLFWVQFDMFETLKYLSVLGFLTFVAGNRVLRAISESKQKSD >CRE03645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:275129:279193:1 gene:WBGene00060384 transcript:CRE03645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03645 MVFGEFTVEHGVVEKKITDSSCQFQPLDTIHDAQETLPDYPPTKRMKTEEGEGSGEKREVVENTDSDGLMHQENPDQKLPTRPEVPNVGQPRNHYGKTNVHRESRLWFAKSSIVCVSQQKDVAGLTEAARRVFKNIDDEVKETNCNAYIVPLEETAPMTSDFRGLSERLDEMVLADPIELDDFSASTHDYPDKISFTGAPLLDPMTTSSREVLEVAKRRRNTNENHVYQKFDKTKNKYFFDGCNQNVVPKELEFFGRFRGEEPAPAPGYLPVPIYQVDSLEQMPRLLEVLSSTSMSVVRGLGDVIGLNPDDFLIETLAKVKHDYDLICLRQIPQKATTNYWCVPLKGKKRPNPREWACYDAHHTKKLGEFSEYYEKIHDLVREAILQLNNNLEEADKITEELANKMKESSMPMDGIDGIAKDATMGAFGTNMDLTDTTTWPRQAANVNLFPDSFRPDGCGTLLNFAGEMIAGLNKPQMYLKLPGARTTGHLENNCLTSINYNMGPGDCVWYGVPMEYAAELQKIIAKKMSLLTMLVEQFWGCEEEILKAGIPLQKFIQKPGDLAYVGIGTYHWVQSNGFATNLSWNLATPTYTQLAVAAACHDHYLANKYSSLMPIENIAWNMVMRKAEMDEKMKKLLKNILMRSLANSQFEIDYISKKHKVFTIRDAKTGDVKLDSVERCQECRQVLFNNVPVVLIKEHIPKQKGKPAKYVEDDGTGTTTVPLVFCFECCSKKKFRNVTVYQRYTLVTLASLFDGFNVLNDVPSTSGTPSASDYHSTSNVFDDAYDSEDDSAPSSSTAHLKPRNRYQTKFW >CRE03845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:280465:281510:-1 gene:WBGene00060385 transcript:CRE03845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-22 description:CRE-FLP-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LXF7] MNRSMICILLLVSLVSAQVFDLDGQLAGIEQNDALLIEPHIKRSPSAKWMRFGKRSPSAKWMRFGKRSPSAKWMRFGKRSGAEGVAEQDY >CRE17963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:572293:576126:-1 gene:WBGene00060386 transcript:CRE17963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17963 MPGPNPDQLRNLSRRLIRILCGRRLPQTWNTVLVLLSIHMYLTVPVILAAGINENRIDNRCVIPCDTNIRFCITYLESALFSKAFDQVPHSLLLKKLSSFGLSSTIVAWFSDFLSSRSFSVKVNSFVDSSSSFISSGVPQGSVSGPLLFILFINDLLLSLNDIPYLFVAAYADDIKIYSHLPSSLQAGIDLVSNWAESNFLPLAHSKTGLLRFGLLNPHHQFFIAGSPIPDSNSVRDLGLLVEPDLKFRAHINRTVALARLRSSQILKSFKSNNPAFYSFLFKTYVLPILEYCSVIFCLSPTSHLSRLLESTLRVYSRITLQRCNISFSSYSQRLELLSMHSIRHRRLKSQLLLLYKFIAGASHFPFLNTIVRLSDSPRRPMALIYLSPLSDNFFSFTIPYWNAITYNVNTFLSPSQFAILLDSSITRF >CRE03646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:290723:292290:1 gene:WBGene00060387 transcript:CRE03646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zig-9 MVVREISTVFIIFAVFSVLSADSSCKGTCTHDGYPLPCGVWLKNETKSASLGTYALNSGVAKLHCSFSSLPEPVQIQWMFRPSDSATAQWREFPCAKKEEHKNCQKEEIIVESHCEVRMNSLKMSGTYKCAASIPNQHEQRYRAFSSEFAINVVGIEPLRVISSRLPLNKNGEINLEVCANPRPEVFWHTVDGIISPEKSSKRFAVTSLTPRTSLKVQSEPLSPVPYCYRTSLLIHKVQENDEFHMSIRGELNIVHEKITVKVKSSNIITGHYLLILISSLFFIF >CRE03647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:295337:299389:1 gene:WBGene00060388 transcript:CRE03647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bet-1 description:CRE-BET-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXG0] MSDGGDQPQTQRPWASPRQQPIKGIVQPRVLPPFGKPTRHTNKLDYIMTTVLKEAAKHKHVWPFQKPVDAVTLCIPLYHERVLRPMDLKTIESRLKSTYYTCAQECIDDIETVFNNCYTFNGKEDDVTIMAQNVHEVIKKSLEQAPREEHDMDVYWGKNKKKTGKDGVKAGAASVKREARGPSEQPSEAGSEISSVLAGKPERKVAGKKTGKRKAESDDEEKQESHRAKRDVPVVKKEVHQPLHPSLKPCSKLLSEFFSKKYNEFTWPFHDPVNAAELGLHDYHKIIKEPMDMKTIKRKLECGEYKEPADFERDIRLMLNNCFIYNPIGDPVHNFGKKFEEVFDKRWAEMGDANSRASSVAPQSAPAPLPPSTPKIPKTATKGSKKEKEIKTEPATTFFESGGAKSEDIMQINNALSMLRERQARLRAELAATVSMEEKVTSIKSRREGHPNEPFPDKLITEVRIMCTTPISPGASTSTASGSANNTTNKNGRVKKPNPTGRQHGYDFDSDDEENKPALTYDEKRNLSHMVNRLPAPQLSTIISIIQRRECSALTQQSIDDSEIELDFESLGDMCLREMAAFMKTIEMKPAKDEDENPKPFRPAAPTISEKAPSAAPSTSSKPEKKKKSEKSSEKNFNMSESSDDETSTGRKRRKKDSSESESSSSSDDDSDDEGPSIPRKSGQPPSSEWKQSAPPPRMGGMGGQPPMARVPPPPPNTGKNGQGGSSSSYQATTKTPAAPSSIPAQKPKTATSIGVTPKNSDKGIRKEKGVSILDSLLPDTFGSSQPGPSASVNVTSSTDNGVNGGGHAENGENEAARIHRLRMEAKRARQREDENSLSMTNQMEMMSAFEYDNTY >CRE03649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:305778:306221:1 gene:WBGene00060389 transcript:CRE03649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03649 MLKVKTSDDKLIEIGHNVIQRSKTLSDVFHNSKGDSNAIYSLATINSNAVYLIIEWCEHHKDVPIPAEEQCEWEFTDFDKNFFESLVDGEAFQVVTASSILDMKSLMGAGCKYIANLAKGKSPDELRLVYGIPTDSDDDEQHSIRMQ >CRE03650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:307288:310039:1 gene:WBGene00060390 transcript:CRE03650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03650 MEGVLENVNDVVQNIRRRQMSITERTEESVRLGVAQMDNGFKKLKEISIVVLETGLRLPGLLFIELLWRYQGVSFEDISDNMIKQMPLSYFDIPTMLDFVHRRNFDHHAAIILSYFVIFISLMFLTLPLNRLIRMYSHFLSIFLFGVAYKLSAIYVDLELKSNEEELKLDGLIKLERHGFHFLAQVFFFTEMDMRTNRLLQMLLVVLQSMLLEVDGEPWRVALPVFALPIVARMCGCPRDKLKNAHNYACTGTMIFIATYILFRGPSLIKSTKTALRQIKAVFMVHGLADGVSVLWRKLRILELLTFTWITMFLMVLYVELIDKGRTWSEVGRVLLTGVAETTNTPITLAALAVSVSYVCKWIADLTKLITGGTRSHGHVLAHSGYTEAVSVVILCIQTGFLGMQVEQKTILLALVLYIVISALLQSLFEIIEVVLLNLPSTPTATTSRHARCISIALLLVVIPFFTTKTMLTFLPIDIYTAIIIANSATVTARAIGVILKYIVLIVETKSEEPWEGIDDLVYYIDCANKGIELIAANVVLAFGCWQVVRVGFSFATFAILLFHVIVNIYKRAEQTLHYIRNRNAAVKNINRLSKADVTQLREREDVCAICFIEMKEEARITPCKHYFHGPCLRKWLAVKMVCPLCYTYMKEEDFGAKPTSSQASNLDQIRENPRNAEEAEDEEVAAAGGDIFDWDDLFNFRADRNRNERRLHNARDMWPLSVDDDAYESDSDNGEELIIAEED >CRE03651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:310567:312378:1 gene:WBGene00060391 transcript:CRE03651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-19 MFRPAAREVVKLPTRYFSCKSEPTRAVTATKKASREEIAGLIPAFPEIYPDFLQSPVWNRRNALKEELERQDMLERRMNIDIPEFYVGSIVAVTSSDKNLGSKEHRFVGICIRREKEGLLHQFTLRNTIENIGVEVLYDLYNPTIKKIETLKLEKRLDSDLSYLVDALPEYSTFDFHMEPQAHPAGTPIPVNECKVKLKNPPWTRRWEISSYRGIDDTWTQATPWYKRKLHKTLVNDYEKYDLIANYRTSSTKEQEVFVQTQMQKFEKERHAAGLTRRRILKSAASYK >CRE03847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:313127:320998:-1 gene:WBGene00060392 transcript:CRE03847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-28 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3LXG5] MILSDVLLLSLVISSSSSSTNNHHTQSLLPIDIVVGLPIEEGDRGKNPFLLTLAKSKPVFDVALQDVYQLKILPYGALKVTFENSALSDAVGPQKMIEHYCNKTVDAIMGLPYVYALAPIARISKFWGRGVPVFTTTALVDELGDRNEFPLLTRMMGSYKSLGKLVTRIAERFEWQHYFFMFNDEVARGPRNKGRSECYFSLSAIKNLIMNNKTSTWNVKMFSEFEADRLQYRALLSEASVMSNLIILCASPDTVREIMLAAHDLGMATSGEYVFINIDVSTGSHAEQPWIRVNDTNNEENDKAKEAYRALKTISLRRSDLDEYKTFEERVKTRAEERYNYTSITGKDYEMNNFISAFYDAVLLYAIALNETIQSGLDPRNGHNITSRMWGRTFVGITGNVSIDHNGDRYSDYSLLDLDPAQNKFVEVAYYSGASNQLKTVGNLHWVGGKPPTDTPICGYDKSKCPGYPLHVYLLMGSFLLILVLVGLFIFFWRRYKLEQELAAMSWKIRWEELDGEESQKKEKKKAAKKRKNHDGYLPESDPLLRSTSRSSVNSDKFDEDSLIPIRFRLRSSSSGTTRKISAMIDRKLSIFTRKKSTPPSESQKNGGLTPNSLQKAENGDCSPVNEVQFRLPLSDRRVSSPSSDARKKNSNEEDPDNGAKKSLSLKNRKLSFGMVSFKSGSGGSVETIAQNNTQIYTKTAIFKGVVVAIKKLNIDPKKYPRLDLSRAQLMELKKMKDLQHDHITRFTGACIDFPHYCVVTEYCPKGSLEDILENEKIELDKLMKYSLLHDLVKGLFFLHNSEIRSHGRLKSSNCVVDSRFVLKVTDFGLHKLHCLEEINLEEIGEHAYYKKMLWTAPEILRDSNAPPMGTQKGDIYSFAIILHEMMFRKGVFALENEDLSPNEIVQRVRKPVSEDQEPLRPWVSETGEGDDALNDTLLSLMVACWSEDPHERPEVSSVRKAVRSLNRDNETSNLVDNLLKRMEQYANNLEGLVEERTQEYLAEKKKVEDLLHQLLPPAIADQLISGRAVQAESYDCVTIYFSDIVGFTSLSSQSTPMQVVTLLNDLYLAFDGVVDNFKVYKVETIGDAYMVVSGLPERRDDHANQIAQMSLSLLHKVKNFVIRHRPHEQLKLRIGMHSGSVVAGVVGSKMPRYCLFGDTVNTSSRMESNGLPLKIHVSQQTYDILMQEAGFKLELRGSVEMKGKGMQTTYWLRGYKDVEIPDFGEEFA >CRE03849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:330341:331893:-1 gene:WBGene00060393 transcript:CRE03849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03849 MWIDKTRTFIFNLFVLDVLLLAELVVANPGKFEEISAKHPIHLVIPLPDNDDFCHGKNPFLLSSPKVQPLADLALERVYNEGILPNNSVNLIYRDTKLSDAIGPNVVVEQLLRKEIDCIIGYAYGYALAPVARMSPYWKNGIPVITPIGLTMSLDDKKEYQLMTRINSPYKVVSSAVGALFKTYNWKRHIFMFHHAKSPTYAVGECFLLMASLQHPLRQIIEMQHNFFTFNEDISANLTRKERDEQFRDYLKASSGIANEDERRSIEEDDDDGRLKERRDCLITS >CRE03850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:338952:340667:-1 gene:WBGene00060394 transcript:CRE03850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03850 MSVTVEQEAYEMPADNKKVMLKIDDVHLHSSGVFSNVYKGILREPYEKKIAIKKSWPEKGERNFEFIFLTGRERSKHKNVIQMIFAFSHNYDTKICESYVFDYMPNTLAEVIRQKLTDIDIRLYTWQIFAGLKYLEEHKVVHRDLKPVNILVDHDTAFLKISDFGSAKIIVKGKANNFYQVTRFYRPPELLQKAMDYNATVDVWSAGCIMAEMIKRHVVFPGRDSAHQMKLYCRCFGAPTEQEIKAMKGEQLDKDLWKYTRGAGLQRVSYLITLCTEVTPDQLQFMKRILVYTPDKRLRGKPLLQDDFFRPLFRSGAVRHNGQKISEVITAADYKRATENEDPTAGRLAQLATNSDSKDQGIPYCEKSNSYENVTVRRSTLIPKEQKMAREMKSKEDNSDDRRPGSSEDRKSENEARKKRSTEMASAGKRSDKNNNGGKKSMMQSLLQPFSRHDKE >CRE03652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:341240:343895:1 gene:WBGene00060395 transcript:CRE03652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hot-5 description:CRE-HOT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LXG9] MGGVLALLVVFFFSDSLPPTPPIFCSFSVFEFFCELTPTDGRKMKKLPSLFLILVSLIRSIESLKCYSCASFEYRVLFDKDTSLSRKVRVPKFDRLCDLEEMVQGFAPVETCHSTCVTIFEPQYFGGLQSLQRPFLYIRGCADHIFSEMKDRPIEVEFLHRSPICVKLQLSQIYPQVQANEIVQVCSCDKDGCNFDSINDSTTSTMNSFFIVSVFFIGFFLFFEW >CRE03653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:345082:349640:1 gene:WBGene00060396 transcript:CRE03653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egg-6 MRWLLIHIIIIAHLLTFTSSADVNCPRIPEKCACKVSKNLIVLECDGVEVSSIAQSVGANQIDELHVTNGKDVKIDSLPFTGLRTISIVNSTLESFSPTAWRHVETTIEHITISGNRLKTVPVFGNMTTLMSMNLNSNQISSIPEKAFNGLSSLTQLRLEDNKICDFPTKSLDAVKQSLVLLDVSGNCLSAIPAQILRNAANLMYLDLGSNNISEINNFELMNLPFLRELRVQNNSLRRIHPMAFMNVPQLQYLYLQENIISTLDGNRLQAFKNLEVLDVSNNALYALPSLKDLPNLKQVRVDGNLITKIDTLAFSNNPKLQLISIQNNNIVQISRNAFDSLDKLVVLLIGNNSLAKIERGMFDGVKNLQQLSIRNNTLTALDASSFAQLPHMTTLDLGYNKIKNIEEGTFDKLAKLFWLDLSNNEISGFQANVFKKKISNILLDGNKLVCDESFNEFLTYLVTNKVRTFLPFQQEITCHGPEKYAGVRLKDLMMKKANETISEGTRLMGLPQQNNQHNILSSFLPSLPNLGNLNAGGAGGAAIPLVNTLTNTIPALRSIPGFGGGAPVGNGASSVPNKQLNDAIEDFTGPLVRFATGGQPVASDIEQLIRSIPNMVVNVPGFGDVDLSKMDPTMIQYVLNGGQIPGIDKATLESIVKQAMKKMHTAAAANLAGNPIDGQDKVLPPLEKLPSELVTQVMSGEPLPGLDKDETKTIMEYYTHQMPGMDGVPARPIENQGNASTSMFNPAMFDLLKMLPPGYNLTKIPMEVITAVTRGEVPDMRLLPQDLLEHFKQHTSSLTSMFAGATAKNISIEEILEKLPVFVRPELSTFVPYDINELTSEMVLEQEQNERHRNIRMITAIALAFVGAVTVCVLIFFVNYTKKQRRERKSFVNRSSPSSGPDTSRNSIHSSAAPSPIRPPMMSIPKTPINRTLESTFGQPQLCSTLIDTPQVNRSRP >CRE03851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:356357:358715:-1 gene:WBGene00060397 transcript:CRE03851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03851 MSKSQIKRLHQQQELEKSVNLEDEDDNPVPVQRKGPANRFAFFDDEGEEETGSQSDEVNEEGTEPSSSAQKNNKKKAKKNKKKVKKGTDEPEETENQMLARLAAMNVKEAKKAGAEGNTSSLEELMKIDMKLFDTSAEVKRKLGKAFKEVALPGQPEEHSWPQGKRATGRIIKNKPRWFPDRPYGVSMVQKQKEGDNTWFALKHNTFYEQRERMFWMAEDAMNVGIIQEIFSDAPYHLNSVLMLAHVNRMSEDLNQAADMIERGIWYVDQHAAPTFEPFNWRHRMDYTDYENRVFYLLLHRHMLNAAHKRCWETALNTGKLIFKLDPVRDPLAMMSIIDVFALKAKQYTWILDVFEAAKKFKKLHLLPNWPYSVALAKYFVAKTDEDKEQAESELCTAIRHFPSVVVAIMDLLQIHPDSAVMNCKMLTSFTADNEHDSLKLLVKIYTKQTEEIWKVPETLLFLEGATRKVATSNDSKDREECDEWKEKRFKMYHGRSPNVDRLGELLEVIPSTSISDPVPPKNGRCGYPKNGQTARVLADNSFLGGLLHSLLPHFDGENSFQEQLNRYGSDFLQFIMNQFNGPENGDPEQVAARWMEHREGLEPRGAGDVIQFQIEEPFELPRIPGERRDDPEEQNDNDRENN >CRE03852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:359023:360313:-1 gene:WBGene00060398 transcript:CRE03852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03852 MSSQRLIKLPHRVLLKLHGADTNVFLQGLITNDVTKLQSQNGLAAFLLNTKGRIVEDVLLWRRGTEDVFLECSKVNQDVLVKEIVKYRLRKRVEISETSDQVFFEQNPSDKHEHRDPRFAGFGARIFGNPPSSEISENREAYENLRRSTGIAEGADELADLLPFQANGDLLNMVSLDKGCYIGQELTARTAHTGVIRRRILPFECEGQVKIGADILDEKKNKVGKVISSDTTRCLGILQLSSFKSSKLTADEVSLTAKQPEWMPDKILANNKTRTSLTDS >CRE03654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:360689:361784:1 gene:WBGene00060399 transcript:CRE03654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syp-3 description:CRE-SYP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LXH3] MVTADKVFVNQPQSAERFKSFCQQLSEFTQALAGERSTVEKTMKQMETQQLEAELAFNERVAEDKAKFAVQRENLEKELHMLTESNNIQCAQKKVWEEKQEKAFDELAAELERDDLDGAETSFGDHFSTLIDSINSLSYESMNDQFTVLKNNLDELNIEKKQLKLSIADQKSTITEMLPALNPTCGVTYKERNVISTALRNQVSKVRSEYQTSRLQEEALKAKLST >CRE03853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:361831:364027:-1 gene:WBGene00060400 transcript:CRE03853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03853 MVSYLKESFLQPCQMDEDKNYIRFKNYFDLIAQVHSVVETKRGFKYLRVWRTMQLGVSESEKSNENTMFYVTPEIFKSYIVKPDSRIGHAVEHYGKHYLLEIAVYGNHQNVLQTLNSGDFVVVKNIHATQKSVYGNLTTTLDLHEDGTRFNRGIYLVPNNCNDPQFRLYQKTCRRMLELFTDKENFKEFEIERRTSVNHPHVELMNRNLPRVNIEEKPSEVVEETVPAESSSADPEDTMCAKPYSKIEIGSSIAVDYFIKRSKRPYHFLTHAHTDHTRGLDLTAGRPVYCSPQTALILPKIMGVDPKHILDGTICPLELMRPHRFEGFQVTLLDANHCPGSVMFLFEGYLIEEFAGGPVLCTGDFRADKTFMNRLDGPLNFLSEFRLARIYLDNTYFKLDLEFPTRKSAQKKLIKEIKAKRDKNIFIPLHRLGRESLLEETSRILKEPIIIYKEKLEIAELLNHFKKKIETRKSNRKIEVVKKEHNILKLVLHSGEGVTIFSFRIPVSENSVIIDLSALHHLVGTRVATDEKREIIRISYSDHSSRTEILEFLKELSFESIYPASKEFTKNEMKTMLEAGKEFKLEDLDKHLSVPDFRKKRSNAIEDNVFCKSPDI >CRE03855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:365720:367606:-1 gene:WBGene00060401 transcript:CRE03855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03855 MDSIVSITKNNRHQAKVKRPNSVDASHVLISCLRAILARGIFIVHSIVTIRQTVLISERESVWGFALLSLLIVFEGGYAIIMRAGDERKWFCTSVLLYIVATAPPIWILETRICNWRASMEKSTQGFETIQHHVALKDNSELRLQLLEQLLLVNLIVGRWLLPKGDISREQLSQILLAYLAISSDIVEFFDVFKEKVVYSNSRVQTIVLTAWTLSLLQFPFVLTVSRARKMRVAITNDYEQLFARQRPRSCFKAFYDVDIWAIFLANALQDVPFLLTRLYLMTVHNLVTYTMIFFFFKNMLIILLQTYRSIIIINDRYINPKPPDMDIIEHNMDLMKSSRANDIDDDYSSSPYHKNHYKHHEKQSKKEKKREKKREKKASGHKNGNRNNGNETPKKTRRSSSTTPTRTPKQRRTSRIRSISDEREQLFPRHHGRRMDRLDTLEES >CRE03856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:369482:373829:-1 gene:WBGene00060402 transcript:CRE03856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03856 MSDDDPDLGLTSEIAFDRLIHEAKSSIAEWYSVHVVNKLKPDAHRPNKVCTFSHYFCTRTFLFIRISLIFLIVGSVDIENRITFSTSAVYILLLLYTILLEVWQNRIRSTAFQQKYRKAFEVILDLEKSKPTEFKYSPKSLEAVTDDVAVCQSCFRDGRVVEVPRIMLVIGDVIIFRPGQVSWKREKAYTQMELFQVAPCNCETFDGRVLAEGDRLNWDIKTDKKSGFVQPLEAVYARVTCYPIKNHLEVALSADDNPLQFDYQLQYMIHKVFEHYVIPIALVFSILGTIVRFCYSDDENLFGTRFVFAVPSLTVLPLLILQLPLLLHFVKFMNNREVAKFLELPEDFESCKDLVSILSSITGTSFIDKKGILSCVHPSIEKVVFATNQADESGTLGIHLEVMNLSSDQEPSINSKGYPSSKWNLSMDDPNWQRYLPNLLPLSYNLLLNSCQQSEPFHRFLDHLSVVSRKVPRTIATANRRCMCQLPSLLGFTSKSLENFQGSPKILGFYKKQPGEAPLPGLTKHRTPIEMAFCTIHDDAKSLHSHLACQGTANLVVDVSLEFFLILKKINFISQACTHIWNGSDVIPMSKRLKSSVKDFYLRHSMTGHCLAISYRPCFSKLASSVDGKFIEVPLNQENPKIETALPRSHSNESFDEADYTKTVRTASSAIDQYFTGHILCGLVVLHYEPIPKSVTVIEKLDQICVRPVYFSKENELRSRVFAEKLGIEAGWNCHISLAEEDAAPTRKTDVAHEQFVKQKPAKSKLWKRIALSESNLEFLDCESLIRGSPSLRKMSMISAKMGPIPNIARLPTGVQNVRPHLEEVDNVPLLVGLFTDSTTAAVEEMVEIMQENGEVVMVVGSCRNPSNTTLYSKANVSICVEDVQESACRLLDPTGEDSFVTSNVMQISSKLIELATDFRLDHSKLLKIPSLIVSARHRMSSFRHSLLFLLFSSLMYSVSLLMSTFFFLPIIFTHSQTMMTSFIHIPLLFLGTLFTNFEPKSKIIRIAPKNSSEIPKVEKMKAVTQFICQFVPSAIYINLLFLFLLMSNSNLMCAFSDISCLFNTDGTDGSQPKLEFNETRIGQDSLSTETIRHIMGLQLTVIYCVLSSCFVYGLSSLWYEFPIKCLLWDASVLICLVTQIGYSFLRGVQLSHLFSLLPLSFLIIWISFVAIVNELIKKQRIRQFTREQRRTKFEFDTKLGMNSPY >CRE03655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:374216:375441:1 gene:WBGene00060403 transcript:CRE03655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03655 MEQFDSKRKVISFLSGVQPLFVRYFRFEYVEKVSQDKLNELNIQRFLLLCSTRKDSKIPYDRHQWSQSQKGSLPRHQPVYKKMLIQGVTAHSIRCTNVNNLEFRKDVVHVGNVTYHHYFLTEQGVYREPEEGEAKKPRISDDTAVRVRNLLKNGTAQDVYEIAQSEGLQVSKRQCHNQLRHLREPSGSESAGRVVKKKKKEITMEQLDLLKTLFPDEVTLYRDDNNALNYEIRICASGKIDQTYQVEQTDYQYDGVEEFSYEDQMQDQIKEEPNEFIDGVPDISDSLMHYPKFDLDSL >CRE03656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:376177:377859:1 gene:WBGene00060404 transcript:CRE03656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03656 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LXH9] MSSEKQNKDITLQTQEEGAKTFQLDKTQLDEIPEEKKSERSQNCATWSQNLLKSKKFSKMTQEYRDNKEFKPNVSTTVSENRMDKNRYNHILCADENRVVLKERDPSNDYIHASWMKMPDGVQFISTQGPIKETIADFWHMVYTEKCSAIVMLCQYTEDEKEKCHKYYSRNSEKLYGDYKVKVMKCWDDVFKPVKLTIIEIQKKNSPITHRVRHYWYYDWRDQVAPLDTAPLRKLYKAVLEKSDSKPIVVHCSAGVGRTATFIGIHLAYVMIRESPAVEMVDVMKRLRKMRLGAIQSQLQYVFLIMCLLGIFIEEKVYRPDKLYEGFQQRYSDVTRKVTKAIMEEEKQKQDQQKKEEEREEKEREKEKEWEKNRDREREKAKEKRDDKKDDREAPALREDKRREKNLESPSKPLLRRDPPSRPSRKLPNTGEEKTSRDNGSKYENSLAEERSRNKQKSVYVKWPLSSKEKRSKHERQKPKLTKNTNRKKKRPTSNH >CRE03657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:378301:379548:1 gene:WBGene00060405 transcript:CRE03657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-24 description:CRE-PQN-24 protein [Source:UniProtKB/TrEMBL;Acc:E3LXI0] MLKLLIIAGLAVGTVFTLTCYNGSKMLAMQSVGETTEECPDSSYCYNMSTTAYVMLNMVKAGCSRWRCMLAKDTCIFTTFQMVPVSLCCCSYDRCNVGGNPVYSDNPKQIQGSGSNWGNNGNSGNSGNSNNGPSGGSWGNGNNNGGQNNNGWGNNNDWSSNYNYGGQNSAKEEKMIKQDAKDSSSSKKKWTDKQLEEMWKKSIDDKGDEIQLEDDFKKVDKNYKTQSIPQEAPRTLSARKEVEHMPLGAKNSGGGAKPTTSSAAPKSGGSKEINL >CRE03857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:382307:383229:-1 gene:WBGene00060406 transcript:CRE03857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-17 description:CRE-CEH-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LXI2] MMMEYGGYFSSSAVAQQAVDVPTSAPSSVANSFFYTPQSHNIYHQYTTPYLQGGRALTTAQNSSSSSTGNSTSSSSTSNYRNSTHDSLQAFFNTGLQYQLYQKSQLIGNETIQRTSNNVLNGIQRNSLVGALCSSGAPLNPAERRKQRRIRTTFTSGQLKELERAFCETHYPDIYTREEIAMRIDLTEARVQVWFQNRRAKYRKQEKLRRVKDDEDPAKKEILLDEIIDQI >CRE03858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:384255:385626:-1 gene:WBGene00060407 transcript:CRE03858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-52 description:CRE-COL-52 protein [Source:UniProtKB/TrEMBL;Acc:E3LXI3] MVMLQTRNLVLIVYLLGFSGIFLIFTHFFSKIIHEIHGIQKMVSVEMFEFSYASNEAWSELNLLKRRRSARSANRYRNQYTQQNDKISLDNYKNSKSTFSEPITSIDGNSVASVNGEGERKKEKLIRNLKKEEKKKNAADVCFKGRNSFMTFFPYLIRLFSDCQQKNRKCPAGPPGPPGKPGKDGESGEPGIDGMNGSSGISVSVAGGCIKCPPGKPGARGKKGKMGKKGKNGKNGRSVKVVVGLTGPIGDMGYPGYPGFPGPRGPIGPPGLNTNRYINPRGPVGRPGREGYQGAQGPAGFGLSPFLFDSILKRCSFISVYNTGIVGPVGFSGKNGLNGRTGATGIVTTIHLYPSKSHNFREAEWVVPVEMETTVSVRQCRNEIRFILWFLFNRINDLTTSCCGNLG >CRE03859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:386018:387267:-1 gene:WBGene00060408 transcript:CRE03859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03859 MSPNGTSSSSICCCSRYFRRSPTQQPPTNQQNNAHLEPIEIKVNGQPHLMVPHVAIRPTPSVQSIPLPLAPDNLSEINLELFETARTSRTNDSKLHPMGELIRMIRIEEGLEVTPRVESTIQPSTSSKLHQHLQHPNPMPTIPEVPKNTKPRKAVFNDEGDITTRSSTSQQKDIAPPVFSIANARKVSSESIGVPIITIPSTVSPPSRAIIRSSPISRRISATPQMITALVAYTYAFITTMLTTFTNLFSK >CRE03659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:390177:390571:1 gene:WBGene00060409 transcript:CRE03659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03659 MMKNYRILAFLLLVLILETAATPESTGDDVESTGVVSSESKPDVIIKLIKRGETVSALNTLHDLCSFISYFFLIFQELLKDSPTVPMNFLETKEGAVARKTREEKLESNGTN >CRE03860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:390685:391453:-1 gene:WBGene00060410 transcript:CRE03860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03860 MTDDLIWNLLGHKVQIDLDTGDSRRKETTIGHLMTRDPVSHSLVIAKMRDENNIETIEWIPSCSVISIKPFESEEGSGSSDNRVNAVFDKFFGGEPEESNEESEEDIQKRALKVVNYLKSHHLDVVEKPKGTFIIGQCARFERPYHITNIYCDQPIVLKRITKLLQSID >CRE03861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:391587:393600:-1 gene:WBGene00060411 transcript:CRE03861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03861 MPPRRRGPAPVQQTATVTVPPPRTSGVTLSVHPIWPDIQFTQGELFFECTLFLYSVLALFLQYLNIYKTLWWLPKSYWHYSLKFHLINPYFLSCVGLLLGWRVTVCFWKTITEVIAAISANQSQFVQSSLMIVEYAAIKTPVMTLIITSFLFSFNRVCHDFPSRSVLWFLFPVFFYVFIFRSEIIGWLRKFRELVGKWRRKESDFSTICERLTESPPAQIDLDSVLHMCSDNPAQIREEIQVLIDDLVLRVKKSIFAGVSTAFLSIMLPCIFVPYKTSQGIPQKILINEFWECQLGIVVGLTAFSLYVAYLSPLNYLDLLHRAAIHLGSWRQIEGPRIGHTGSMISAPTPWNEFCLYNDGETVQMPDGRCYRAKASHLIRTVAAHPESSRHNTFFRVLQRPHYLINAMCTFEFVLIFIQFWMLVLTNDWQHIVTFVLLMFANYLLFAKLFKDKIILGRIYEPSQEDLLLMHQLHQER >CRE03862a pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:393667:394297:-1 gene:WBGene00060412 transcript:CRE03862a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-10 description:CRE-RPS-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LXI8] MFIPKSRTKLIYEYLFNEGVTVAKKDFNAKTHPNIDGVSNLEVIKTLKSLASRELVKEQFAWRHYYWYLTDAGILYLREYLALPAEIVPATIKTKPREIRVPAEDRVPRSAQGEKGDREAYRTEKVTEAGPGGAPVFRAGFGRGAPPPQ >CRE03862b pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:393663:394297:-1 gene:WBGene00060412 transcript:CRE03862b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-10 description:CRE-RPS-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LXI8] MFIPKSRTKLIYEYLFNEGVTVAKKDFNAKTHPNIDGVSNLEVIKTLKSLASRELVKEQFAWRHYYWYLTDAGILYLREYLALPAEIVPATIKTKPREIRVPAEDRVPRSGSAQGEKGDREAYRTEKVTEAGPGGAPVFRAGFGRGAPPPQ >CRE03660.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:394693:395755:1 gene:WBGene00060413 transcript:CRE03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-24.1 description:CRE-RPL-24.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXJ0] MKVETCVYSGYKIHPGHGKRLVRTDGKVQIFLSAKCLKGAKLRRNPRDIRWTVLYRIKNKKGTHGQEQLQRKKTKKSVQVVNRAVAGLSLEALLAKRNQTEDFRRQQREQAAKASKDANKAVRAAKQAANKEKKAAQPKTQTKATKSVKTAAPRVGGKR >CRE03660.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:394807:395755:1 gene:WBGene00060413 transcript:CRE03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-24.1 description:CRE-RPL-24.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXJ0] MKVETCVYSGYKIHPGHGKRLVRTDGKVQIFLSAKCLKGAKLRRNPRDIRWTVLYRIKNKKGTHGQEQLQRKKTKKSVQVVNRAVAGLSLEALLAKRNQTEDFRRQQREQAAKASKDANKAVRAAKQAANKEKKAAQPKTQTKATKSVKTAAPRVGGKR >CRE03661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:395850:397795:1 gene:WBGene00060414 transcript:CRE03661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03661 MNSLWFLNGGIVAFAFAGLVLLLIRDTPIDLKHLNSECISASLESRDICEITVSNTNRGIFLQNNTLTREFLQAKFETFFSKIYFLRFLTEQVQFLHVFDEAFLAKRFVICASFMIMCISIIELLVVRRMGNLVKSYRALKKLESEESREWRICIIKFAQEKISVLIFCIVRSFPQSNNKHYIDFGEYVRDSLSLRTRSTDITLFACWYCILAICHISTHSIFYRYVKTIPLLERPLKTRIRSQTVFAIVFLTMNSIAALSGILLGSALVSIAFIHPDYSLILLLESGRMFIRCLYVVDRISMSVSKPRMYQIEEMKKLTDQEVSEIIANGCFIDMKRRKLQDYYIKVTLLFTLMLNASYGKLGLFNLKIAALGYFHVCEQMIRNLMNTEKYSATDLL >CRE03863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:397889:398188:-1 gene:WBGene00060415 transcript:CRE03863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03863 MGIFALGLLIILGFHVTYSTQCDCNSSCSIYTSPVQCVRCCTHYVKRSLPLHPAHRKRQFLLENSLDQHQKIKQPIWRQKEPVESTLPRFIRLLLKNPI >CRE03864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:402361:405357:-1 gene:WBGene00060416 transcript:CRE03864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nrd-1 description:CRE-NRD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXJ3] MDSDLLKKFNAELATLHDSRNLSKNKIQEITNAAIKAQKQYKHVVYALEKLMTKCKPEQRLHVLYVIDNVIRSSKHQLKERDTFGPRFLKQWDKFMEPLLKCSWREKLKIVRTLNLWLCNRIFTETQMQPIREKWQKAGLPIDFEEVEKAVKGDKADMNIYSASYKKKSRTSGGHHRSRTPPNPPPDDGLLGAGPSTSFSSGPDIPNFVLSEELVNGTISEREMLEMIQKFGIDRAGVLTKDRTLLQKVLQTFVGSLSQKITEVQSERNKANGSSIQNVLTKDFEYSDDEEEKEKDAEKEEQKKMDHDEILGFVYLKLYSQPEVGFFRLAHTMLTQSAVISKITEVFATAVNPFGLPLVPENMIPTSSAAMSLGAQQNLMALQQTLPPGFANQQLGLPNLSGLNAQLLNPQNAQAAILLQQRAAQLQALQGNAANQRMLGNLMLGNPLLNPFALQAGVNPLLNELQVAAAQQAMMNEVESSEKKLAEAMNNNEMDRARKEKEKEKENKERRKMGLPAVKFGVTIIASRTLLLKKIPTNVVESDLKQAVERCGEASRVKIIGNRACAFITMETRKAANEVIQKCREVVVAKKKVKVYWARSPGMDSEQFASLWDSNRGVWEVPYQQLPADLVAFCEGAMLDLESLPDDKKSLYKENGESVIAIPPPSMQPPVPQPPPMGFPFHPQLGHLQGQPRPPGLPPGVPSMINLNAPPPPGMQGVHGYQPAPPPPGVGPPHSVPPPGFDPNKPPPMFQQGFNPNAPPPPFGRGAGPMPPFPPRGGMHGPPPSFRGGRGHSGPPLPHFDSPRRGGPPFRPENGRGRLPDQGELWNREREQRGRDRDYDRDRDRSQIDRRRNDDGPRRRSRWGDDDRHDDRHDDRHDDRRDDRRERLVSNSQIKILISI >CRE03662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:405931:406966:1 gene:WBGene00060417 transcript:CRE03662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eaf-1 description:CRE-EAF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXJ4] MSESSDIPTGTYSLSLGKSFDTKGRKNDPKAAQFHTLRYDFKPSSVSNNADTFIAFGNNSGDVHVSVPSEGDNMTVYKGSKKDAKPKECLLFFDKKTGTVRLEKITSNINVKKTRDLDPGTELSLKRGIERLRTSSSNQHRSASSTPEERPKSQHFPETAPPARKAETSDSESDSEASSDSDKSAVDSSDDDENALLAQMKQPEVTVPSSSSAPSYHQESHVAYNQFGSSKHSSSHNKNKVDSEKYGLALSESSDEDD >CRE03664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:408548:410490:1 gene:WBGene00060418 transcript:CRE03664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03664 MESIKLSVDRSKIDPNFDGYKVSMDNIHFESKKLEQNICLRTPSNSMVTLQHMKVFSSDNQLFCNAIEGSESHEHLYRILETGHIQKMVYDKKTRQWDISIVGNIALKGNETSAFPTNLLFTNKNIVVASNGLSEISVFLAVSGSNWVNMLTHKVTENSGISLIEARIIDEKLNILVYEVENDEKNKKTRSRVHWIVVKLDDIDYAENLSIEKTTEFIQKGHFETCTFSNEGDIVFLSSEKPHVEGHEDASEVTVLDQSWSQAENIITVRFKLAIEIAQEDVKIDLTKTAIKLILKETTILNGKLGGEIDENDVEICADQKENTLILKLKALDEKKWEKLIAIENWKMESLENEEMKKMDKEEQVYGADEPMEECDEADSTLFFYWVHRESGKVISQCDVSGSQVLFVRRDCMKPANFCLRHDVDGLLWSFDGKAPSHVATLQAFGYVQASKTTRLWSGCSPNISLACIVEGNNRVLLYSQKVEISGSLSNRKTAQKVSHVSKQYLLRVDCSDPIRGVHLTETHIFAATKEHIHVAELTL >CRE03865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:410793:413958:-1 gene:WBGene00060419 transcript:CRE03865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03865 MGGERAYSFSYHRSVYDEHAGERRPLVDDRQHRYRREQHQQNQQQLQQYRQPSYHNHRQYGDYGMTNCGAESTSAPQHPPSTPQTNGLRAPPPSITTVQPHRNPFAPPPQPILSSPDEFEDAINCSPCCDDPNVSRTFKALILGQILSLCLCGTGVSSQLLANAKVNAPAAQAFSNYFLLCFVYCISLACKSDDNGLVVVLRKRGWRYLILAIIDVEANYMIVKAYQYTNLTSVQLLDCATIPTVLFLSWLFLSVRYLASHILGVTICLIGIACVIWADALGDKGAEGGSNKVLGDVLCLAAAMMYAVCNVAEEFLVKQHSRTEYLGMLGLFGCIVSGVQTAVFEQEALSKIVWDGTTVSYFALFAFSMFIFYSLVTVVLQKTSALMFNLSTLTADFYSLLFGIFMFKDTFHYLYFVSFIICIIGSVIYSMKETQMRDADEPRRVCPCLFVCCCCCGCCFEEGDSTEGSIDVSPSPNERMQMGLNPRGSMSPCPVHGNQLSHL >CRE03866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:416316:418878:-1 gene:WBGene00060420 transcript:CRE03866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-src-1 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LXJ8] MGCLFSKERRSGGSELGPAERIDINRFATPQQQTVFHVNNGGNEGTISLNGTSDAGLGSGRPQEREILVALYPYDSRADGDLSFQKGDAMYLLDHSNCDWWYVRHQRTGQTGYVPRNFVARQQTIESEEWYAGKIPRNRAERLVLSSNLPKGTFLIREREADTREFALTIRDTDDPRSGGTVKHYKIKRLDHDQGYFITTRRTFRSLQDLVRYYSDMADGLCCQLTFPAPRLAPTRPDLSHDTQQNWEIPRNQLHLKRKLGDGNFGEVWYGKWRGIVEVAIKTMKPGTMSPEAFLQEAQIMKQCDHPNLVKLYAVCTREEPFYIITEYMINGSLLHYLRNDGSTLGIQALVDMAAQIANGMMYLEERKLVHRDLAARNVLVGDKISGVPVVKVADFGLARKLMEEDIYEARTGAKFPIKWTAPEAATCGNFTVKSDVWSYGILLYEIMTKGQVPYPGMHNREVVEQVELGYRMPMPRGCPEQIYEEVMLKCWDKSPERRPTFDTLYHFFDDYFVSTQPNYAPPSA >CRE03867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:422427:427957:-1 gene:WBGene00060421 transcript:CRE03867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03867 MVVQTVVHGYPSTTDNETELLRVRLLRGTKLGKRDLFGVCSPYCLVRLENSNGDIVDEVQVETKRKTRNPTWNSVFTFRVTRSCHLKILVYDENRLRKDSLMGFVGKALDDGRISTQAPNPEEFPLQAGSSGKGKSIGSLFLSFHFMPSSTDNSPNDSTNDLQQTTSGMPQGWEEREDGNGRTVYINHALRTTQFTPPESIPNGNTDAITEQSVIEETRRRRDNYEHRSQVTDEPSDTTVVSNELTAIDDAMRANFERGGGHEEEEEEDELRLPDGWDMQVAPNGRTFFIDHRTKTTTWTDPRTGVAARLPVRGKTDDEIGALPPGWEQRVHVDGRVFFIDHNRRRTQWEDPRFENENIAGPAVPYSRDYKRKVEYLRSRLPKPNSNSGKCDMVVHRDTLFEDSYRHIMDKKDYDLRNKLWIEFFGETGLDYGGVTREWFFLLSHQIFNPYYGLFEYSATDNYTLQINPHSEACNPEHLSYFHFIGRIIGMAIYHGKLLDAFFIRPFYKMMLGKKITLFDMESVDNAYYNSLIYVKDNDPADLELTFSLDDSIFGETQNVELIPDGANIAVTEENKEQYIEAVISWRFVNRIEKQMNQILKGVQEVVPSNLLRLFDANELELLMCGLQKIDVKDWKANTIYKGGYGPSSQVVHNFWKCILSFDNEMRARVLQFVSGTSRVPMNGFRELYGSNGLQKFTIERWGSADMLPRAHTCFNRLDLPPYTTFKELKSKLLTAIENSEIFSGVD >CRE03868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:431331:432614:-1 gene:WBGene00060423 transcript:CRE03868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03868 MVMDSVEWATTPQWVFWHLVHADGVPIEWFLSTIPKLDAKAHDEAIANILLMMKRMDREPWAGLVRSLFCRLPNKDDTFAIDALKILIEDTDQCHKVGDVVAGLIKKLIGNNDILGVGVRVQKKGNPLKLTLQQLLEHLQHFSATCVEKKHRSTETFMARTSLQEAFAAIKVNEKANLLVKKYGNLFGAMDIIAQDTKEQSSSRTLRGNRQGSGGDKQQTQQQKRKANDTDDEQNNKKRKMHKDIIELSDSDSD >CRE03869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:433380:436090:-1 gene:WBGene00060424 transcript:CRE03869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03869 MNEQFARRVQKLMKINMKSEVPPEIISRLVNDSIALDNRINGKSDKEVIDILILKDEQHDSKSIGVMFHILTSGENVDLYQKMVRFINGGGVDYWHNALCNMNMILFECWPHIHLACKEQLIRLIREAIRQNVKQIENVLMNAFRVANGSFDNVSKQRMFARLSAIVRENDVWFKSQRTAAALVGSIIICTSSVISYTPAPITSQDTFRESLTDFVCWVIRNKKMDCLTLGRDFVLVLIRLAKLPQIEEIWKDILTNPASYGVASIEDYWGKNHYLQHVRISIELERKIIFMIHSGGKNLLIYFSWFANKYFRGNDGPALRAECVRHVLYLQLDVAKAPPSAFENRIQLLHLIVSTAPSGPEQQWLKLCLFADWFGCDERNPSNFTYVEIPLSVVRYALFLSPSPPHQNSIVQSSHCSHFANSLLEYLCKSVDVLFPSNVEYIRKNVNNAMRYCRDRLQHNLAQILENSKIDRKVTELLRSLFPDFVRSGAIPIPRPRKKPTEDPKSVPKVPTTSPSTSEFSEKPEKIDKSERRSKSAEKSYSLDKPSSSKAPAVDFEKIEKDKKDKEDKELNSSIKLLRGEIASKMETLKSQWKDLDDDADKCETVEALLLHMLNTEENFDEAQQELAGQCLQRIMGSVVVDDKSLLPENEKDLSESFTHPIYSFLRILCSPPNDDDSARDIMTTMMAAMREKDSSLTYVLLYFIKGTYGSRPKEAIECYKDIAKISGRVVDEMLASDLQLCAVTDNRLFAYLVPFVFSQFEEEVMGTPALLSTLCANFDAVQLRSFISDIVR >CRE03665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:436447:441476:1 gene:WBGene00060425 transcript:CRE03665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03665 MPVRVAGKAIRDLEYLHSKVILSDLFDGNSPKIYKDLERTLEASEKYLNDNDTVIFASATLKRILVIIQESKKPLPGQYWNPIILHIRRIWDYTADRVCHDSVDTFALLLELHLRQCVDCLDRPATDSHANCDWINEITQWLLEPTSLCRSRFRCITHLVVQCPTLRLNLGEDFFHRTYPLLSNPTFSSVIFQLIVDNIFDRDELWDYHCEMLLTSIDAKLIKSRLIPMIQKYHPSNTASTSKQKQQKPLESDTGVKFLNQLLIQLNSPSSQSDLQSRLEIVHALVVTTWPKKKMKDNEPANLIDFSNWTQCITDDTMSAAIVHVNTDVRLAAFKLVVENPRKTIAFNETDIEYIKTFLASNMTIQSPSSRQQLIAAYKFMCQRMGASAEVPMKTVMPTELDSDSFSPDSSSFESRGLSKWRFGKDANLHPIPESYIALARWMAKLAFESLSPKANYYRRIMALMQIDTLFTKENFITDGKNLFADKLNLDSTLGSDRHKLVLDCLDDSYDLVQTIALSLLKRLDFGNIKMNEEKYLNDAVELMTSTRSRNATSAGFRMQYYLARQPERYAACFERFMDDLKKRTEAAEIDLLSVTTLPVHSILNMIELLLRNPSGLEEDSTEFYRNQCLDKLLPICHKIVSIVSPVVHSLSPEGCIPDEMLRTMCGKSTDRMAELSQHLLVCCWRAHKHVSGIFSWVVETLAPKEIVTKEEIEAIGTFYWTQLTECKHRGAFESATEGFSQLCTFLWNTTIEGLPKPMEWLDEILSAIRGEKDLTNLCSTRRSAGLPHLVLSIVATEPKTNENEALTKATDSLLNMEGKAAEYRVHSMNVMKTMIQSSTLHERAVYCYGRTLRVAIDACRADWSERNAASQLFAALRTKIFGVMRSAQRTLSVDPKNRKSNYEFFSKFPSLYKFLYDQLQMEQSEFSLLPPLVVLSHLYTPSSSSDLYPLGSFIKPLLVIALRDKRENIRAHAVAAILAISDVYAKEDLCRWVGQFEFKNARQNHIHSFLLLLEGLGGYVEYVGRIVFIVENILASLEFKKWCDFNINQLLIIANSYGLEYDVDEITVDQVCLSKRPLAVKILGDRDAFKSEMCRMLRNVDTRREVYRTIYKQGWDSCNKFLRAHIINIAIKDLSSPDIQQCDAKRIMEILTYVTDEFMSKENAQKMVEVVEKRLEDPENNWTLPSTMAYATKLKYGNYLYPDYELITWIRESYELDDPETKQIALDVGGRFIYRVPSKRSYTDQEKDLIAAVALYLQDESDFIRQRTSCYLGHLVRNSGDCEINPEICRLLIIKWCLNDGNENLERFSTDKKRRVEDRDDLFDACAVNQFEEYQLFGDIPMYEVSIGFNGYNQEMYDIEY >CRE03870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:441970:444711:-1 gene:WBGene00060426 transcript:CRE03870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyb-1 description:Dystrobrevin [Source:UniProtKB/TrEMBL;Acc:E3LXK3] MRSKSVRMQNPLAVLSVVFSLSLFPHFSVFFHVNFCISNPVANSTFSIPEDIGFFSEMWSNGGGSREVNGPSPEHIMHSVPPIVASEMQQLIDEMRLQDFDSIRFATYRAACKLRFIQQKTKVHLVDIWNMIEAFRENGLNALPLHTVIKTSRAELLLTTVFHNLNKRLVASQHVDTDVSISLLLAFLLGAYDKQNTGRLTVFSIKVALATLCAGKLVDKLRYIFSQIADSNGLMDHIKFTDFLQQVLSLTTAVFEAPTFGFSEIAVSQCFHKDEKVSLNVFLDTFLSDPCPPCIMWLPLLHRMAAVSNVYHPVVCDACQVRSFTGFRYKCQRCANYQLCQSCFWRGRTSQNHSNEHEMKEYSSYVSSRFNFRNIFIVFNTSNFQKSPAKQLVHSIHKSLQCIPATSSGDANIDILNATGVPIGKPTRPLNLNNIVPATPTTIRRQHAATSSADWPTSPVLLPGQASHGGVIDDEHKLIARYAAKLSGRADYPLSNGRSMNSSMIEDERTLIAQLEEENSMMVREMARLESQTTSDDGLAGLRDRKMELEEKMFEMQQRRRELMMQLEHLMAQLNTGPQPSGGVSSASLSQLPFASDQQLTGVNSNVANAFRAGSLPATSLQGDLLHAADQITTNMSDLVRQLDLAQADDNGVTINGF >CRE03871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:446219:448791:-1 gene:WBGene00060427 transcript:CRE03871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03871 MAELFQQTFCIDYNGWVTGVSKAPPPKQIDFDSQTIPQLIYTKAEMDRVAAVFDAEKQSLTFSKIVSEMESLAAGFLSIGLKQGDRVLVAGSNHSQVMLCALACSRAGLVFSLANPNYSNSYSLKRALELGEFQCIVCFRAHQFEADHLNNLLLEISPELMRSRKGQLKSELLPKLTHVILAEEEHKHAGTFTLSEVFLKSSKDKIAKLPDFSKWSSHKLACLQFTLVGLLKDETSPISLFQGTTGAPKLIALSHYQMLNGARAVAAAFGINDKHVLACALPIFRIAIFNLICLSPFLTECRIVFPDATPLPKNLFSSVSKYKCTTLLSNGAALRLLLKISQTQRVKLSALENILLIGDRVSKEVLKLIKLQAENVKIIAARAVGYLLTETGSIPLMGDQNSDFTRNVGKPIAGYEAHLIPLDGSENQVETGKLGKLLIRVYYGSTFMGYAPDTKGKEKWVDTGDIARMDENGAIEVVASEEDLIYDKNNCLVEHWNLERLLNQNDLIKGVQVVSRGRGQPVTAVCVARSTQFHAAKLKDELKSMCRSHHFVAPDVFAFVDDFPRVHTKIQKFRIRSMLESGQISVF >CRE03873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:449425:456446:-1 gene:WBGene00060428 transcript:CRE03873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-15 description:CRE-SPE-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LXK5] MESSTHSTADFGRLVWISDENEGFVAARITDISQNGFTLVTENTNQTVTRRYEETFGCEEDPNKSVEDNCALVHLNEATLLNNCRLRYANGKIYTYVANILISINPYQLIDNLYSPETIKEYRGKSLGQKEPHIFAIADKAYREMRRNKTSQSIIVSGESGAGKTESQKAVLKYLCENWGAEAGPIQQRLLETNPILEAFGNAKTLRNNNSSRFGKFVQIHFSDTGSVAGGFVSHYLLETSRVCRQSSGERNYHIFYQLIAGASPDLFEKLRLAPANKFNVSFQVRILKNVDIFQYLKHGATMFFVNSRSKLKIDSNRFSETNTISDSIISDIDDFAKLEKALGSSGIGDSEKMFIWSTIAGILHLGNIEFEENSNDSRGGCKITSATETSLMSASELLGLQPEEMKLGLCARIMQATKGGVKGTLINVPLKPNEASAGRDALAKAIYSKLFDWLVAQINKSIPFEKSAGYIGVLDVAGFEYFAVNSFEQFCINFCNEKLQHFFNERILKQEQEMYESEGLNIQKIEFTDNQDCIELFEKKASGLFDLLDEEAKLPRATFQHFTQRAHESNKGHFRLDAPRKSKVKSHREMRDDEGLLIRHYAGTVCYETRYFVEKNNDQLHNSLEMLIEQSSLPLLVSLFHSESAGQVKTGGRLKAVSVGAKFKSQLSALLDKLNNTGTHFVRCVKPNSQMKAWHFDGSAILGQLQCAGMASVLRLMQEGFPSRTSFSDLYSMYEKSLPPTLARLDPRLFSKCLFHALGLDQNDFQFGNTKVFFRAGKFAEFDQMMKQDPETMMELISKVSDWLIKARWRKAQYGAWSVIKLKNKILYRAEKTKKIQAWIRGYLVRKRFHKRLAVFRKSCSLLEHSREMTDILARMNETSQDKWRQSADSTTSELEELVKKIKNDDLEEEIDRAVKCYEECVKRVDSIIAELKQQLENDELAELERARKEEEEKERREFEEKAAAEKEKIMRRKMEEEREKAQKEYEKELELQKQKEAAEAEEEQKRRDKEERNRLDAIVSSRLASSDGVALVQQETSSSSSSSSSAAPKHSKYDLGNWKYADLRDAINTSNDMELLVACKEEFHRRLRIYNEWKSKNSANRDLPPTRAPLTVYSQQKPSSSMMVSRVQAAPHLNPALTQQRYFKVSFAMDNNKKNGGAQSGMWYAHFNGQYIRRQLTIRPSQKPQLLVSGKDDFQMCELPLEQTGLLRKKGAEISAMDFETMWYHYGGKPIVEWTP >CRE03666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:458865:459455:1 gene:WBGene00060429 transcript:CRE03666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03666 MATGLSAEPLSSQKRWVVIYPAYIDKKKTTKQGRKISKLLAVDNPTSAEIHDVLAAVGFNPLLERTKCYPRDGDRDFEVQGRVRVQLKNDDGTPKHAQKTRDEVFKLAAEMIPKLKTRQPGYSAPAVASSSGAAGKKNKKKK >CRE03874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:459995:464642:-1 gene:WBGene00060430 transcript:CRE03874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xnp-1 description:CRE-XNP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXK7] MKDVSESEESDVQVIDDEDLELAAQIEKERKEKRAQKLKEKREKEGKTPKKQAKKKATPKRRRVGSSDEDDDDEDESPKKPAKKTKRRGKSSEIDSDDEVVSKKTKKDKKKKENKNKKVESSESEESDEEEVKVRKSKKKSSLKKRRRTDSSESSEESDASESDKPRRNTRAHSRGKSASKSESEEKDSEEERASNKRKKGKLAKKAAESDESDVPKKKSSSKKHRKESLEEDEDSEDEKNKKVKKTRNSEKRKTKKSSVDTDDEVPKSRKHEKSKKRKHPKSSSEEEEEESEIESSEPEKSESDSEQMIVSKKKKRDAVGRVSVEKSDESDPEVIPEPKKRRTIVSSEEEEKDSDGDKKKTKKADKKKKMESESESKSESESEDDNDSSDGSIEMNRKSKRKAKKEPEKKKKGIIMDSADLAKETIDAEKAEKDRRKRLEKKQKEFNGIILEEGEDLTELLTGTSSHRKLKSVVLDPDSSGDPKTPVEVHNSLVRILKPHQAHGIQFMYDCAFESLDRLDTEGSGGILAHCMGLGKTLQVITFLHTVMNHEKLGEKCKRVLVVVPKNVIINWFKEFQKWLLENDEELDTIQVKELDSYKDVDERRRALQRWHNCKNPSVMIIGYDMFRILTCEDDPKRKKTKLSRKLTKAKEDFRKYLQNPGPDLIVCDEAHKLKNDESALSKCMVKIKTKRRLCLTGTPLQNNLMEYHCMVNFVKPGLLGTKTEFANRFVNIINRGRTKDASSLEVSFMKRRCHVLYDHLKKCVDRKDYRVLTEAIPPKQEYVINVRLTERQCALYTTFLNDVVGNTGLSKRLLPDYHMFSRIWTHPYQLILHEQRLERERMLKEDAEEEADFIDDSASESEESVLSYSSQSESEASFVASSDEEGGSKKKNNNKKDKKEKKAPKSTRRGRGNDDEDGEDAAMNILQEGIRSSRRLAGEDADIRDTDTPPEYNGWFSKMVSDDDRDDYTLSNKLVLLMQIIKKCEEIGDKLLVFSQSLESLSLIKRMLEYMAGTGQWFADGHEALNQEGETWSWLEGEDYMVIDGSVQTGKRDSVQTHFNSPENLRARLMLISTRAGSLGTNMVAANRVIIFDACWNPSHDTQSLFRVYRFGQTKPVYIYRFIAQGTMEERIYKRQVTKESTSMRVVDEAQIQRHYLGHDLTELYQFTPSEFDPDVEIACAPPKDRLLADVIHQNPSAVVDYIEHDTLFANVEEEKLTEQEMRDAWTDYEKDKSGLPPVRPQYDPGMLRGMAIGGPGGMIVGQNMQAMLQNRYNEAVRIDQLQNDLLFKELNKMRLKDVGTSLKIVLLRNLLEQILPYIPVEMRGGMSEFNTHFIRLVHETDRKNETPADLLRKSLESFKTVIKMVRAIPTCTEPLARMARQYPYLFTE >CRE03667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:466927:469696:1 gene:WBGene00060431 transcript:CRE03667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-57 description:CRE-UNC-57 protein [Source:UniProtKB/TrEMBL;Acc:E3LXK8] MLSLFFMFLILVYLFPINILRVKSFRIMSFSGLRKQFNKANQYLSETMGAAEPTKLDDVFNDMEKNIDTTYNLVTDLVAGTNEYLQPNPATRAKMATQVALSKVRGTTKTSPYPQTEGMLADVMQKYGQQLGENSDLGKALNDAAEGYRQMADIKYQMEDNVKQNFLDPLMHLQNNELKDVNHHRTKLKGRRLDYDCKKRQQRRDDEMIQAEEKLEESKRLAEMSMFNVLSNDVEQISQLRALIEAQLDFHRQTAQCLENLQQQLGHRIKDAGSRPREEHVPLPVLGNESRTPRSRSPAPSEMTHNSVPSSVAQNGGGMPQAPPAYQGPPPGGLPPPLSQQQKPQCRALFDFDAQSEGELDFKEGTLIELVSQIDENWYEGRFNGKTGLFPVTYVQVLVPLK >CRE03876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:472591:483398:-1 gene:WBGene00060432 transcript:CRE03876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-192 description:CRE-TAG-192 protein [Source:UniProtKB/TrEMBL;Acc:E3LXL0] MDEGDDYVPQMSQMTDYTMMGGPPQQPPQAQPPVGVPQQQRYPGQPMNPYEQQHHQQMMMMQQQQHMHQMAPPVPSQQPPPQSAQPQPPPAKKPRGKSKKAQAEAAAAAAAAAAQMDPMASMASMANNPMMRQNAYPNQMGMYGGAPPPHYQQGSNGPSQQGYRPGPASGGPPQGQYPQAPPQNYQQMYHQRGPPQQAQPQQGQPPGYPGYGYPPQASTGYPPPPSQQSPYAPQAAHMRHQYPPHSQQQAPPGYWDGYQGYGGAPQVQGAPPVTSQPMQMGQQQDQWARQVNELMTVLGQLEKTCSEYKARMQQLFNLPRNAAADAEVQTLQVKLNQMQADHYRYGQQLQHAQWQQQQAAAAAAASAGGHHQPPPTSSQSMTPNQNQQVPVHVNQSGSQVQLNITADKSRTLISVYHEGYGGAGSGASSTESKESIVPPEPTPSMQSQEQIPSIPSVPQVKMEPEKPPAYQQQASYNGMENGSSTGNPYAQQQQHPMYAQYQQQQQQQQHAQQHQHQNMSGYPPQQHPHQQPPQPPQPHQQPASVPMQHPPQQPHPHQQQHPSGYGIGSTSTAPSSMKPEAMDQQRPQSQTHQNQYPGYPDYPASSGYQDSSETTMNQQPSPAVSDPIMAPPTQPMEQIQNQSLSHQNPIANHEQIQQQQQQIQDQLIQEEQKIEEPFEYPSQSQEPENADSVVNPSEEFNDEMKDEIFKETSSPSSSYPQEELKEEEESYREEVDEVVEKKEEDVNEDEKEMTPEKHYNNGNTLNEEEEEKDPLDDITLDPLDEDDDLKSEEPEEEKSQRGQISESQSQSTLSPGESNSQMEPEESTPVLLEDIENFAGVEETFGDDDEAQDDEDLPEEGSSAKSSKDDVKEEDDDDMSTITKDMSEAPSETNDGFTEPSTPATPMMSTPGTSTTKKKSYRRPVVVAKSKKKKSVDDSDDDDFYPQRGRGKKKGGGGGRKKADVEENGEGAEAEVDEDEEFLMKIDTPAPDPNALIVEKILNVRMEKIQVPVITDEEKEGKSDEKEGGGEDVEMKEGPAEESEDQKEAATSKENGTTEVPTEEVEVEQFLIKWKGRAYCHCEWKTFPELLEIDKRVEAKIKRFKAKKLVSYIEDDEDFNSDFVIVDRVVDMITEDDGQEFVLIKWKSLGYEEVTWEPIENIPEDKVELWRQRQVIDPAKVRDKNRPEPNEWKNMSTLKVWKNGNSLREYQFEGVDWLLYCYYNYLYFSQNCILADEMGLGKTVQTITFLSQIYDYGIHGPFLVVVPLSTIQNWVREFETWTDMNAIVYHGSAHAREVLQQYEVFYDKRHSGSKNWKKNFVKIDALITTFETVVSDVEFLKKIPWRVCVIDEAHRLKNRNCKLLVNGLLAFRMEHRVLLTGTPLQNNIEELFSLLNFLHPQQFDNSANFLEQFGSCQTDDQVQKLQEILKPMMLRRLKEDVEKSLGPKEETIIEVQLSDMQKKFYRAILERNFSHLCKGTSAPSLMNVMMELRKCCNHPFLINGAEETIMNDFRLAHPDWDDETLAQKALVQASGKVVLIEKLLPKLRKDGHKVLIFSQMVKVLDLLEEFLITMSYPFERIDGNVRGDLRQAAIDRFSKENSDRFVFLLCTRAGGLGINLTAADTVIIFDSDWNPQNDLQAQARCHRIGQKKLVKVYRLITSNTYEREMFDKASLKLGLDKAVLQSTTALKAEGTALSKKDVEELLKKGAYGSIMDEENESAKFNEEDIETILQRRTQTITLEAGQKGSLFAKATFNSTHNKGDDIDIDDPEFWTKWAEKAQVDVEKATATPDGRELILEEPRKRTKRFEENKIDEVDSDGSEESGKRKRGNGEKRKRRKGDDEDGDYSGSYRPDELATSKAEYFKVEKVLAQYGWGRWEDIRKYGELEIDIQDIEHMCRTLLLHCVREFRGDDRVRQFVFNLIKPQEFSDKKIGAGSMYSQGWAALPEFNPPSFALDSAFQRHVHRHANKLMQKIDMLKHLETHIIGDERALVEDMSVKWSDIKLKEMPIVSETFVDGWDSDCDKCFLIGCWRHGLENYEAIRADENLCFNDKNLPMWPGQAEFWVRFRRLLLTSQRSVHDPVYDKLKWTKREEQEFIRVLRSFGVKNQKTESAMEDWNSFRAFSPILEKKSDDECHEQFMCVLAMCRRAQGNNDLKPIDLKRAMSIDPMPHRKAIKMLNRINVIRKVHLLAESLEVADLSICETTGMPSGWSSQHDKELIEICDQCGLDQLAANVLNKPAFTKIVRPSETTLLRRVIEIVTTVEAGKWCGVGDVESVNDSDTEEKKDMATVAAAQAQFLRLQQQQQASVTPSSSASRKGNRKRPNNDNDAKMRAMQQMLMGQGGANDYASMLALMLMPQMMASTGTQNMTAAQQQTISQMLTMLIAGAVQQQQQQQQPSTSKASSQQAQAQAQAQAAAIALAQAAAAQSTSSASSSAEQQQQQQILEAILAMSMNPAALASFTGQSSTGTSTPSAQKKAKPSATPKESTSSATAAASAQAAAIAAAAAAAQQQQQQQQAQQAQQAQQAAQQAAQQAQAQQVVKSQEELLILRILEIAGVGMTELAKLNTMSKDAKIPMIHKSTKEPLPDSKRPQIRDLTVFAMSNPEWTIDLSLFHEMTGTGTGSGAALSGAAVAAAKAASSRPTPVATPKPATPKVELKSEPQTPAASATCNIKVGNSLQFEDMIAVFNRKTGEPLAATRWPKTTELSSWLDANPDYNVHSQSALLAHLTLGGKHSDRIGGESTIQTPSVPSTPAPSLTPSTSAAAANAQLMALAAAQQVQQQQTAAATSSAKSRSATAAAQAAAQAAASQQDAMAKSQLEMMQMQLILQQYQQAAAIQQIMYGGYGMQSNASSSSTANAASMAAMIAAAQAAQTQTSVPSTPTTSKQKFQSVASTPSTSTAATTSVAATSSTPSTSSAAAQAATSSSSGSAEDINPLLLSALLQNPAVLQQMMVSDPNTLLLLTAAAQQQAQQQQQQSQKKDGQQPAAKKSKHP >CRE03668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:489723:490926:1 gene:WBGene00060433 transcript:CRE03668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03668 MTSVKEELISEVESEAQVMQIVQAALEGIDMDALTSMTPGLPQVARQNAINSLLGSKKLVIQQAPGGLLRLKVNTSQQIAGTEEEQVIYGLIEESKTRGIWIKELRDGSGLNQLQLRKTLKSLETKKLIKTIKAVGTTKKCYIVFDLEPDMSLTGGTFYSDQQLDSELINTLISVCGSYASSRRKHAIESNPNNIQMQRESSYIRPQEIAQFITEKRVLNVPLSLEDLERILEVAVLDGTIERRADGKIRACAPRSSISPLVSVPCAVCPVVEDCRPGYVISPQSCDYMKNWLAEL >CRE03669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:491167:492911:1 gene:WBGene00060434 transcript:CRE03669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03669 MIMIIVISMLIVMSSHTFVVFCAKTKKEKKDNLLAVTPQANTPNKIGKKPSVIVEREDRDSTRTKAGNRHLKDSKEPDSTDDAFKDLAARLQKKEKQDKTNDSLLVNDDENPLVQLQMPERPVVDLKQDGIKLDNIVQMEKKPTSKQPNNKAPTPTTGPMTAKDVSISNQIEEGEKKSKKSQKGATVTGKSKLESPIKTDKTQSDPRIDPTQIATLEEDATKKEKEKKKEDGSQKKTSGSAKKLNKDPSVKSKGIVKLDTLPFLPDGNQ >CRE03671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:497732:498223:1 gene:WBGene00060435 transcript:CRE03671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03671 MKESGQDVTFYGADPIVKGNSEQYSRIGKFFPFAVGAKAGYSTASVLLNGNYVDVSVVHVDIYYFLSEVLGEKFVDHLWMDAEYAEYGMLDMFYKNSRMDREGLTFCQMSLELSISIG >CRE03878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:498318:500411:-1 gene:WBGene00060436 transcript:CRE03878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03878 MSFSTERIKERSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCQELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQENEPKKAGQARDTKEVPVPSRLEVVRKWSPTDSDDEFSMHGKRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRDWEKEVEVFGKPAFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVENWVEKILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERLGGKLRKVPTEVSEIQCKTVAVRGERGRSSSTGDVGEVFKSEGEKERKRVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWEEVKEAVVLVEYTDKEDENSKIVEFVKSVAKEVEKVWMMPRSLQCEFGDVDNVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNTDRRPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPIGREETKGEKARGIWTTQATSKADVKLNFP >CRE03674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:504065:504733:1 gene:WBGene00060437 transcript:CRE03674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03674 MMMDMMMMYFHFRIEEPILFRQWKPTDTPGYVFSCISIFIIAFCLELLKFGRMWMTRKPKIMTVECCCSTSDGIWGIPETTVIEPRDKVSIAPFTMESISDWKHFMSSSFYFAQNFMDYSLMLIAMTYNYPLLLSLLAGHAVGYFLVGPLMTIEESEAAGTCCS >CRE03675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:506241:506957:1 gene:WBGene00060438 transcript:CRE03675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smo-1 description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:E3LXM0] MATSSLSLLSNLFEPLFIRVSFRLVSMADEAAPAGDNAEYIKIKVVGQDSNEVHFRVKFGTSMAKLKKSYADRTGVSVNSLRFLFDGRRINDEDTPKTLEMEDDDVIEVYQEQLGGSCF >CRE03879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:507011:508934:-1 gene:WBGene00060439 transcript:CRE03879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03879 MTFQLSEKTFKVPVDLFIENRQRLIEDLKTKVPQNSAVLLQGGIEKNRYNTDAADLPFRQESYFFWTFGVNESEFYGSIDVSTGKTTLFAPRLDPSYAIWDGKINDEKYFKEKYAVDEVVFNDSEQTIAQKLKALAVKQVYLLRAENTDSGDVLVEPKFAGSQDFQVNTELLYKVMAELRVIKSEKEINVMRYASKIASEAHRAAMKHMKPGLYEYQLESLFRHTSYYHGGCRHLAYTCIAATGCNGSVLHYGHANAPNDKFIKDGDMCLFDMGPEYNCYASDITTSFPSNGKFTEKQKIVYNAVLDANLAVLKQAKPGVRWTDMHILSEKVILEHLKKAGLIVGDVDKAVEARVGAVFMPHGLGHLIGLDVHDCGGYMGDATPRSTLPGLKSLRTTRTLMERMAITIEPGCYFIDFLLDEALADPVKSAFLVKAEIDKYRGSGGVRIEDDVIIRASGNENLSDLPRTVEEIENFMASGEWTERVIESRVSEFLSK >CRE03880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:510009:511722:-1 gene:WBGene00060440 transcript:CRE03880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03880 MLSGWKSRESERNKVRLRDTEGFRIRSAALCIKGTGKETLVLLVSGGKDGGKWVIPGGGIEKDECAEEAAHRELMEEAGVRGTILKKIGMFQDDVRKHRTQVFLMEVSEELQTWEENEYGRQRIWMNIVESKEKVKQSHRPMLDALMR >CRE03881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:514342:517410:-1 gene:WBGene00060441 transcript:CRE03881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03881 MDIEDIVGRGPVGSRDAAEIKIRTRKQLPKEKEDVPNVDSMVPGVGQKVWVRTWGCSHNTSDSEYMAGLLQQAGYDVLKEGEAADVWILNSCTVKTPSEQQANNLVVQGQEQGKKIIMAGCVSQAAPSEPWLQNVSIVGVKQIDRIVEVVEETLKGNKVLPTILRTSRLHVRLLTRNRPDALLSLPKMRKNELIEVLSISTGCLNNCTYCKTKMARGDLVSYPLEDLVEQARAAFHDEGVKELWLTSEDLGAWGRDINLVLPDLLNALVKVIPDGSMMRLGMTNPPYILDHLEEIAEILNHPKVYAFLHIPVQSASDAVLTDMKREYSRRHFEQIADYMIKHVPNIYIATDMILAFPTETLEDFEESMELVRKYKFPSLFINQYYPRSGTPAARLKKIDTIEARKRTAAMSELFRSYTRFTEDRIGEIHNVLVTEVAADKLHGVGHNKSYEQILVPLEHCKMGEWIEVRITSVTKFSMISTPTSLSEVTAQHWTDSPNWMYFYPMTFFLLLLVTLYSIDRFISPGFLEEWLPFLADTETDNDMWMHGPNAGENDDVVFYE >CRE03882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:517976:520858:-1 gene:WBGene00060443 transcript:CRE03882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03882 MSINDGETVEIAPRPPSDNAEFYENGSTGSRAANVEWVEIVEPQSRQTMYANLVTGQCAWEPPTGANVKTTHQNQWWELFDSKTGRYYYYNASSSVTKWQKPTGTDIDIIPLAKLQTLKENTEGGASAKIRRTCETQTSPSVRRVANKVVTGPVFAQNISPETGVVTFRSNSQNSQNTLNGMQSSFEWMSLDEELSERAASEYGANPPSTRNGPPYGAFPTAASASSTLSHAPSSDSIPARSSRSASPPVTGRKSLFSSVTSTKSKNKNGWSKDAPKNSLTQPDNKQLRKETTALFKLIQSYMGDRKSKTSPEQVALSFCELAVKKPEIADEAIALLMQQLSDNEKPDSLRRGWELLTIVLAFIFPTETISEKLNEFLNKHLDPIFDLPEVSTSYFSQQCIKRLSKVIARLKPTLSTIQETKIHIFRPPLFSASLEELMQMQSEKFPELKLPWLLTTLIELLYQSGGRRTEGLFRVAGDPEQLATARGQLDGWLAPKMHDANVPAGLLKLWLRQLPVPLILPNLYQRALAASDTPAEAIRLVDLLPEINRLVLVRVIALLQDLSREEVVAKTKMDTSNLAMVIAPNILRCESEDPRVIFENTRREMSFLKVLIINYDTTFIQNVL >CRE03678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:529133:530424:1 gene:WBGene00060444 transcript:CRE03678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03678 MPTYSSMSNYSQTTAEISPNQIRYRPNTDNRFHRSQTTQEQFNNGSSRVPITVRTVDLSTTTQRSNEISRHNPLEMDVMTTVYSEKIVLKWQTPTTRRDIKYVIDSDTCDGRRVSTVIQGPLAFTTFFINTTPGKIYNVAIKCKSLDNRLLIAKWSSKIRAELSSAEFNDLYAKCLIFIRTNRKNPFMQEFSFVYRCKPKAYWDQIFNYCNDIMYKYRKDQNGQPGNLINGKIQGLFFSARVLPDGSMPKWSYFGDVRMSIEASSLLDPRLHNFYFADFYCNKEVHYATIVICVKDSETDEYCRDKLIRLDPFTNTFIKLEPLKHSGKWKYYINRSLIVELFYTENLSLSMGYFSTVFPAGAGRSRVNGLPNNKVCRACNLYPSSVPSNSSIVYHSSRT >CRE03679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:531420:533479:1 gene:WBGene00060445 transcript:CRE03679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03679 MQSVVVEKSKQCFFQMNFGGGWAPWHNPPNPESHSWHNPPNFEPHSWRPYANNQGGGPMRQQPPQQIHPQHMHPQHIHPQHMHPQHNHNSRNGWLDVLSNPYFSRNIHAQNHMTNYERNRMHLFNQPQPPPVQRPLMKRPPPKNEMSEIVKQTLMNNAHVPGLRTGLQMRNAMQQRAKTRGKNQEKIINAMQLVVNFSVFSERIELRWQQPTPRKDIKYVVILEHIKTRGITTIEKSSTSMHCDLQVTAGETYKIDVHCKSNDDKQFLIAVWSKQIRAEFCYSELKQLLQKCYFFVSRGIKADPQMHEFCVVYRCKPKIYWDEIHHYCNDVMQKYIKDDNGQPGNLINGKINGLFFSARLLPDMTLPQCSPFGNVRMIINAFLILNPERHNFYFSDFYCNKNIHYVTIVICVIDSETDRYCREKLVRINPLSNPFVKLIPPTDRFGQWRFYINYTLWVELYYTEDIQLDMGQFSAIMATGAGTSKIGGLPNNKHCNMCNLYPIGKKKLVTVEEANRNVTDLDSTMAAILREDNHVDSEVADTLAFLIDRVEERSLSSEELTEKLDKNLVRAVEVMNKTVSKDKTSTLASIAKNLNDFISGFNKRRDALIQEVKKLKSSS >CRE03680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:533860:535324:1 gene:WBGene00060446 transcript:CRE03680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-29 description:CRE-SET-29 protein [Source:UniProtKB/TrEMBL;Acc:E3LXN0] MDEFIKWCKGRGYSFDGLEITCPPGNCGNGIYATRSFRSGLPIITLPEYDMINSALVLDLPFYRKKMANVNEKLKPMEILTMFFCFEDFEQSAWSPYLKILPKEFDTPAFKRIDYDVNTLPLSIRKYWIDQKKEISEISEKLRRLFPELTHDKILWAWHVVNTRCIFVENEEHDNVDNTDGDTIAVIPYVDMLNHDPEKYQGVALHEKRNGRYVVQARRQILEGEQVFVCYGAHDNARLLVEYGFTLPHNLGAKVLIPQEVLLTLAKIAGIQVTREHEMVLEEVGLPSHLYATDEGPSWSLRTNVRVMLLEHWQMANGRWKKIIYSHDPIDEDVDQKIDEKLEIMLKELRNGVVEKTKKMPQEVQWMWEEQVKVCDVAIESFEKPKTPVQEGKKEEVAELE >CRE03883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:535637:536491:-1 gene:WBGene00060447 transcript:CRE03883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03883 MTARKVPSKIVIAGNSKMSLNDRFSSIPRPRPKTVMMKPQSQRIPAPMKKANPQQYNEMDYAPKPVIRRPRPTFQHRAVPFQSRVSFVSTVPIQRFPQRNNFVQRNPQFQNNFRRPFRPNFNQQHPNRKFFHNNNHNNRFQNNGGFQGRKTFPTLPKKKSLADLDRELDDYMRKPKHTPITV >CRE03681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:537242:539423:1 gene:WBGene00060448 transcript:CRE03681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03681 MKALLLSLALVGFVASLDLEPLLNMCSNPPSEQKKLSDKMTLPASYKISGSVTDWKGLNTYLLMETSTNGFRVLERKKDEQVDKWIQYLSGDKRIEYITKDGDCDEKAAKPEIIKVPRFASIIGNETSSISSIVNGILVFIESNSGYAVKDHVDLVGGVNAMKWVSCVNGTSANDTKVLVEIRYGGDDSIAPAVKGFSNPILLSIRLAELSDFNSTVPINHWSLELDRFELPSGNEANIAHGIYCQGRNETAITLKPLDEFAANLNFFDYETNKSEVVEVLYSKSNKVFIVAGSSFDNVMRQKVNYDGNLKYANETDFILHDFQFGYEFTMSRGACDNFTGLTDNTNDVIVDNNTVLLMKPMENILVEDRLRWVLYENDVDMTGNSLRTYRASDGLDHVVELHLTQDGEVHSINKFRARKIIQSMTVTRIPIASSGLNTGSVQIADCYDNVQYSNNTWILPIKDKNITDLHKVGLPRFNKAVVETINKNVAPVIPYRVVAFYVENRDGGLSMLLRLSEKTTIQPGNVGYNYTTELNTAELFNKMNASLFSEKMPIVVETITGAKEEWIADASAMKSFPPDTDTGFLGYTGGAMFVLAIFCLLIGVSIGAVGVFVVTRRQRISTLAYQVFE >CRE03682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:539838:540905:1 gene:WBGene00060449 transcript:CRE03682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-15 MSQALRSAKERAFQIVEKASRIRVQDARDNIGARTTGRQLKKGHNQMGHTQGALERAAKPPLGWIWGDFFRPWQRMYPGEKLFNADINSRREYIPLSLIELARLIDLGWINPSRPIDVSALCATQKFQINPKIRQYGFDLTEEGADSFPYQIDIEVQYATQSAIAAVEKAGGRVRTAYYDVESLEAAVNPKAWFEKGKVIPKRKAPPASLIEYYMDAKNRGYLSEETEMEKERQLSADVRGYVLPDVKVSSALKSIDQVFHGIPSGSVVSLADKKVFAPKNELHREYYNRQVSDKLYS >CRE03884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:540878:557434:-1 gene:WBGene00060451 transcript:CRE03884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03884 MNSRRLAIGLARYVQQDTNQEVKGEIIAAFIYRLRVVVNCEFRRLKLNKTVLKFSDKSKDVDEICEAMRILIQRLVDLPPVTFAPEVWMPLMAAISEGGIEPQWRMMMETVDVSSLPQPTEPNDPESDFEDEIDLQLTSSPKYYEQITSICKEFVLKYGLTRRVFAAILGTDLSETMEKMIDQKWDSLKIQTSNESASQASYLMERNIFDVFSEMKDHEMFAKELFYASLARILKRLQEHQKPSQDSEDVSFYESSFQIFDEVLKKMWPYLSKTDSSIKKNSMKIFEKLLSICPNNVLVQKLTEKFVDTCGWLKISAEDICEEMEPRLWKVIGSLVARLLDLKKTIPYEIDYAPDDFEALCEQPPGGSKKEMFLRSMVDATRASLLQVLIYLRTSKCSSDVVQFMRDYYSELVFSQETLIMHASIDMFRESTFRDSIKSEDILSIVDSIIDTPAIVSMVLVICGIKDIKTAKQALEKTLIRMANDLEDEDQKREGYVNHLHESSSFWACVAKYADRNILDKAAKRFVEVAIDSPDIRTATLSADIFLTILKLELVSDAEKLPEDAVICNAQFSNWDMEESRFRQVGNKLVECNMAKRPKYAQVPLFTLQHLQEVDSLPMRDLIYTVTLMQFLKTKEGMELHKQFYENYPGLDEIFENFQSREHKIRLCSRLLGEFAKIVSENEKGSLIFSLDHENVLNWLEEIGIIDSPIISEKLIDLTFHVEVWHALNFLQFESTECHFDDVQTFGRIWMTNVMEVIPDKTLREVEDQITIMLRNQYEKQGYKMKALREKRFNGKFPKYPKPEPLEPQILSMHNRIGIWLTKKKVEEFADESTKLFTWLIGVCSGQKSYGDVVTAEAKKILAKLYPDQQKQEDVKHYFGMAALLNGIGKCKEEEGKFSFRPMFIRIYQEVVSGDMTTWEAGYSMEVISKRLGGFHEWLEDVNEEKIEKDNGHHLQVIQKLSHHCWFKLRTIEVAELDNTTPDHILLTLRKFSEVITSRMDKIINWLIPTLSPFTLASRLDLLLEIIFLFPEIVEKYRKQIESYFFKLFDLFLKEEYIDGLVNNPEFKIQTTDKYLSSTLNTKREYYLKDPTQNKLFRVPDLLMLISKYGSQNVQIHCLKKIGEALAILPGKILENQEVLRGNKNIEENSEKRVACDVIVLSEFLGYFNCLYSQISMETPSEPSEETIMKTFMLLDTGSRSKNETNKRKTSSGLPRHHQDHIDMKYCTFKSTGNKFTSQHWYNCYTCNMMESTGVCSTCAVNCHRGHELAYSKKGAFFCDCGSKPCAAMKGADHYPNAMNSLRGQFPDNSIQKTSPKPRDVFVYFFEFLKTKDDDYEELKNSLKAVQKEFQKAQNDLEKVLESIEYANRKALNVTEHQRSVVESMKNMDRVVLEEKEEFMSPLDAAGHFLPIRGSDSMVQDRGLSITLRQRELADIIKLDDGTELLVMIPDSVQSCLQLHYMDTRTHLLQGMHCLRTETEQIPFSPVSLDVSGNRLVVCGTYEIYALRFSPQGTVIDRAHIKLLENGSSGSMNGNPVKKARFCPEIEGDTRKKQLIAVATMQYIRVYDLTLHETNFVEEMVLTTGNVEDVLILNPVNGNIRVLVLSSSGYLYEHNISDCTADNNSIFLTNVVNTPGMDMNGDGVSLHYSSKFNLLFVSLDSALYVARLPEPTGNSQAPIYDWKPMNIKWPVEVWREASGIIACLSKEITDQVMYFHPTVDKIMIQKTQVNRPIMTFFMMTSSKNQSIYSVMIFPNLPTCEIWESSWENVHDLWIADVPSERFAIEVVEQKMVPKPLDKEDLVLLAEQCEPIGTVEWSCRDIEMFYTHTELNHRLSISESMPITVVQQTHFTLTARVTNSRQIVRMIRLEVEGPTGPEYLKIGNTRYTVSTRNAKVYDLRLTREESLTLDHRNITIEVIPKTNQNTVKLKSLKLYGCDRNAMDEIQPRFERQPVLNTPNRLVYSILEFPGEDVEWVEKVAESHLSRKLNHPSVCSISTKSILKCHPKIDEKLFQIIDRSYLQEWKSLVNWTEESGFSEMRMHHVEHLLDRMEAVRTRWPYFYQTLKQEFGAVTCFVELMRDEMGKMPLHRCQMMAQAIVKVVFGMLSYGTDESEKLIHVFLDIFTDNSTYHLANDLRSAVQETFSRYDNVLKQEKQLIEGKDKNELIRIGNTGLAPFYGAPRVLAKTPANLMISNVTGTLPLEEIEKTTWLDQIISLLLEKLSRSKSTSTWQNISDSPAYNLSRVLASCVAICDPKIIGSHFSRLIRLISYDANEIYPFSEKTFPNYSLLRSVELLLFVCLEKRGEEKKENLEMLDSIVNELQTVGIRDLCFNVLEKVIPEWKERGPATFSRNSSESHRKVWLPHVPLVSSSANPSNANVMNWPSSLEDAYIIACTDLVLLIPQHLQELDRRKSVPRDDRWIQKLCQLANLSNGCSAYRQCKKLLLAMCYNDETKYKIMRDKYKMQELLQQLVNKYLNVSKEVGGHQQLTEIVDILASITKLALIRPDMWRDVCSTYVSFFSSNVLKHPIFQTTWLLRLACYTTKVVASQVVELLIVAVRDSSVGGQLSIQLADSIIEAQNGEFIEKIIKRFLIGKDEQLRWTLHGMLRSVIQLASRQNQCSIVKKLYNTVYPMVENLGVQGAQLVDLIATYAPRVFSSAELVSMTQSEISTIQKIGDTLDKDGYQGMYELMSHLGLGWKSIQFDRNPCLVCFTSRGIHDVVKMTTIKSDTRYSANTMIYKLLSNYEISKVIIKLSDVKKTKSVESVELKLHPELWRKCAVVNLGENETIINLSLAVPVVTSSLIIEFDEVTDQRSSSQLHCPRCSGPVRTHSGVCDSCGENAFQCMKCRSINYVEKEPFLCQSCGYCKYARDRVIGQCVGALPGAQHITCDAETGQCVQEITQLLTKMETTKTKLTGYRVSISNKHVRFPIEKRDENSCLQALMVLNKYLEATKTVSMDERKKIKVTKKEGVVKWLTSDEDWNDCCSIASTSTAPPPSTPSNPYEWISDCLFSQWMSVRSAANQLLINLSRQQFHEPIALLLLCENLTKLTTLPSADCDQFMSSCHTIIDSSVNTKTRLFVQQFHVYLIRKIHEECANLHGQKITLSTDNTFGERLRCFVELLSLLLSGSYVENVLLKAGADDLLIFLLHSTIFLKRMMTRRTRAIDSSRTALEKLLKRVSCRDGTKLMSVCVDSLKLVKDTSTLGIIVGVMMEIMNPQQETEESFLIQIEKDVAQEDFLQGRMTHNPYNSNDLGMGPLMRDIKNKICRDTEMIALMEDDNGMELLVNGNIISLSLSVREVYDRLWKRTNNGSPMLIVYRMRGLMGDAVETFIENFGVAENSEEDDEEDEQLVRMTNCLTQCGGIDKLMDLMATNVESSSGRFLLGHLRKMFERIVKIPVGRRVLIERRMVERMMSVVRTCCADPTNESKVAIGMELYKVVEFVVSDKHVQDILCGIKEEDANWWFDLFEKRGNEEGSVTELHRKTAQILDQMTSSIGNIVIGSDASENVLVGMYSRILKWDVIDAGVPPSDIQARQRISRRDQTIMMTEQLANITANILPSTYGARLKQKILDSGVIATTCQYLMKDLPNLYQPTESPEWKVFLSQPSLKLILTLLAGLARGHQASQKEIAKTTLKLMHRLEQVASDNSIGTLAENVIEALNEDEEVKNQIKMVRDETEKKKKQMAMMNREKQLMKMRMKVGTGGQIKVSSRTLHNEPSIDDTDSLSCCICRESVIRGSQASGVYAFAAIDQESARTCTVSMMVMVHLDCHKNAIRGGGGGRAVDEWTRSKLHNAGAKCNVITPIAMGTSTDEIWIEAIHRYETDIGRVSGLAHVVVNRNFVFIDICKLVNRFIQKRSFSLQSEGGGRESNMQYLAILHLFGVSLPADAAELEISSSDQRLIGFLFTELTTESWNDQKNDVLRATLHDAQTNGPIATYQDAKPILMTWAFVDAYFNKVIKITGDDRLEWLREHLVETINKTRGFVDDFDSNVLPCEGLAEFCDVTGALLNELSVFLPDN >CRE03683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:558733:560257:1 gene:WBGene00060453 transcript:CRE03683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03683 MGETAAVVQDDADAKIIKQLEYYFGNINLPRDKFLQEKIKEDDGWVPITTMLNFNRLAAISKDTDKIANAIKSSGSEIVTVSEDNQKIRRNAENPVPENSLEYWQKIKHRTVYMKGFNTDTQLDDIIQWANQFGETENVLMRRLKPGDRTFKGSVFITYKTREEAEAAQKADAKFGETELTKMMQDEYWTLKNKETKEARAANKAAKSAKNTAEAVESEKAQNAVHFEKGLILAVDGLSGDSSVDSIKTFFKQFGSVGYVAHENGSKTAEIRFNNDSEGGAQKAWDKAAEAGTDGKVILQEAEIVGRVLEGEEEEKYWTEFNNRKNQKQGGFHGGRGGRNNRGGRGGRGGRGRGGRGGRGGRDDRRAEKRGADGDDNGSDGPKAKRTVFNDDGAPAEAAAE >CRE03885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:560604:561059:-1 gene:WBGene00060455 transcript:CRE03885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acbp-1 description:CRE-ACBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXN6] MTLTFDDAAAKVKTLKTSPSNDELLKLYALFKQGTVGDNTTDKPGMFDLKGKAKWSAWDEKKGTSKEAAQAAYVALAEELITKYGV >CRE03886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:562339:564334:-1 gene:WBGene00060456 transcript:CRE03886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-got-2.1 description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:E3LXN7] MNSNTFTCFFLLRFVTTLCKRRVCQLQPYLSIIVFRCLIYSLVFFLSISNSREMLAFFRRYMSSQAHPTSLPWFKNVPSAPADPILGVTEAFKKDTNPNKINLGVGAYRDDQGKPFVLRAVREAEQQIVDARLDKEYSTITGVPEFSPLAAKLAFGENSEVIRDGRVFTTQSISGTGALRIGGQFVEKFIQSKTLYYPTPTWANHLPVFRNSGLTILPYRYYNKSTLGFDAAGALEDISRMPEGSVILLHACAHNPTGVDPTKDQWKELSRVLKDRKILPFFDMAYQGFASGDVDDDAFALRYFIEQGHNVLVAQSFAKNMGLYGERVGALSIVCDSAEEVSRVGSQMKIIIRPMISMPPLHGARIASRILNDPSLKQSWLEDVKLMADRIKSMRAALKEGLKAEGSIRDWEHITNQIGMFCFTGITEEQVQKLIKNHSVYLTNDGRISISGINTGNVGYLAKALHDVTK >CRE03887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:564575:566620:-1 gene:WBGene00060457 transcript:CRE03887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03887 MTTPKKSSFSSNCRTKELSKMTDLGKLYKKVERLSKRIFHGEKSQSTFVPSAQQQQHQQNSAQSTSSSSNDPQMPHQPVLINPPYPVPVHSGFGTGYPQFPYPQQQQQPYYPPSYGWALNAAVPDTSNSQPVAPPTSNFGSLSLDVGVNNETAGNGYDNLAVHHDENDFNNEPNYLRPDPNSIIELRKAQSDKLEYVKQRVINEVTTMNFIVNDVTELEKWYDAKYPYTLVQEDAITTKELEEKVRKLEERLRRLRAKSSSGAGAPPPRPPPPRSVSQESSTGPWICDRCQKENPSSSYRCEKCHLPSRRFDPSEIDFCGCEYCSPGNSV >CRE03684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:567076:567877:1 gene:WBGene00060458 transcript:CRE03684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lmd-2 MTIQSPSSSSEFCQPDESTFLVGFNRVRRYGTTQSQSSPATPCSYTIYQVQPDDTLERIALKHNCSVSSLVRANKLWSPSALFMKQFIRIPIFNSQHPPQPNLQLSLQEKQCKNVPATTERVDSNREEKSMKEILQRIDRNIKSFRKCDNSSSSAYDHI >CRE03888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:567943:569270:-1 gene:WBGene00060459 transcript:CRE03888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-4 description:CRE-RPL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LXP0] MAARPLVTVYDEKYEATQSQIRLPSVFRTPIRPDMVSFIVDQVRRNKRQAHAVNTKAGKQHSAESWGTGRAVARIPRVRGGGTHRSGQGAFGNMCRGGHMFAPLKVFRRWHRNVNIAQKRYAVSSAIAASGIPALLQARGHVIDQVAEVPLVVSDKIESFRKTKEAVTFLRRSHLWADIEKVYNSKRNRAGKGKLRNRQHKQKLGPVVIYGQDAECARAFRNIPGVDVLNVERLNLLKLAPGGHLGRLIIWTESAFKKLDTIYGTTVANSSQLKKGWSVPLPIMANSDFSRIIRSEEVVKAIRAPKKNPVLPKVHRNPLKKKTLLYKLNPYASILRKAARANVKK >CRE03685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:569755:570438:1 gene:WBGene00060460 transcript:CRE03685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03685 MLTPTSKHLSEIKIDYKRIDLLRIAAQQKSFRVTPDMLKRLEGVDSAGKLLFFCENSVCGFLDLLVCPPSTSTAVASQPPSSPAPSSAVRKNQKRKIEEDIKLTSAADDKCSHCNCKMLRVVDPERPDGKRVLECMRSTCLASIKFTDLPVGTIVGKESLKAKSSYNCLARYYPKSRYTPTPDEQGTDDQEQRRRGMKKDHQMVFESSVRGIFVDVPGQEAKARFLG >CRE03889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:570726:572708:-1 gene:WBGene00060461 transcript:CRE03889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03889 MSALNHRETSGCLDSKSVGICLLLIVNVLWVLSSELTRFIFVDEEFRRPFFTVYVKSCTLIIYMIRYLLFEAKHGNSYKILISENSIDSETYELSCESLALEGYESVTDVDSDVESIIVDGEKRQRKIRFAERREIRRMPASNAEDQRKARLPYRHPSIECQLYLSRHVKYTLFFFAPLWLLCSFTYQAALAFTSVSSLNLVSSSSSVFVLAFAICFPSANNKFSAYKCLLVIINIAGVLIVSHYIPSFIGALFAQISALAYAVYLFTYGHFEERYGKLDINLMFGTIGVIALVIGTPTLNLLDKFGVEPLHPLPNMTQFSSILFSALIGTIVADYLWLLAAGMCDSLTASLSLTISIPLSFFADTVIRSKAPTLAQILASIPILLAFVGAAYAQSSSVSIRKGLSKRVRKVELSPDNEQLIDSLSDE >CRE03890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:574149:574744:-1 gene:WBGene00060462 transcript:CRE03890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptps-1 description:CRE-PTPS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXP3] MPTVTMERVEMFSACHRLHSKELSDAENKETFGKCNHVNGHGHNYVWKVKLRGEVDPVNGMVYDLAKLKKEMNLVLDTVDHRNLDVDVEFFKTTVSTSENVAIYMYETLKSVMSNPSVLYKVTIEETPKNVFTYKGK >CRE03686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:578374:581749:1 gene:WBGene00060463 transcript:CRE03686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ain-2 description:CRE-AIN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LXP4] MNGDGWQGPGGRGGAHHMNGGGGGGNRRGGGGGYNQRMPPSQHHGNMNRMMPPAFRPQQQQYMPPYGPPMGGMPGDVMDLNMRMDGMSMSSTPTMPGQFGDMGPPVPPPPQQWNQPAPFAAPPPQQDDLYDPTGGYGVHHHQMPPYAQQQQQRGNGGQNQYGNRINQGGNRGYQQRQQGGYMQPMMVPQNQQQFYGGNQNHQQQPPFAPSPFSMTGSQTSHDHHMGGGGNHGPNGNFGGSGGGGSSQMDDYSMWTDENDEEAKRKKTLRDKGLLAWGDAETSNSKPIRRWIVPEGHEEDFDTAMERCPSHLKKKALNEEALRRRLGSDNPQIAAQAQQQAEEEASATLKIGRRPIIPCGWGDLPSELGGDKNEFDQGSSKGWDDGGLVSGGSGARHHQQHQQQNEDNSSLWNNPISGGPSSNETRNPFFAHHMQQHQQQQQQQQQHQQHYSQGVYGDNGVLDGGSVWSAVMDGSPMGPPPPMSGGPSSSSAPMPIGMLSMMTAGGGPMSADVDGSQSKLAENLKLAVEKGHLDISLLSLPHIPPNVLDLLTEILTVIPRLDNYEDELKKLGDNRPSNSEEGTSQGEQETTWMNKDQKNEHEKAVIGVVTAKIEVTQLSKKITEALVEAGLIPAPPPQLISNPNHHHHGGPPPGSDGAGPSTSGGGDYNGGGGPPDHYYDYSFLG >CRE03891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:581991:583138:-1 gene:WBGene00060464 transcript:CRE03891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03891 MVRWSTFTAVFGFLLSVYAVYFLIQTLPSSNDTKNLKKPSSLKKKILEKWTECARKQLDKVHTAEEFWLQFVPLISYCDYTEKIEKLGLVGLKNDDEIKYALMPTRSPTDNSTLSFVTLGIGKDITAEKAFRNESTERGYHVKFFGADPITEENEQLYSQIGEYFPFAIGGKTEIGSASVFKNGTYQPEEVSHVELLQFLKNDLKIREIDHLWLDAEGAEFVLFDYFYNDGPLERNGIVFCQMNLEVHIGDINRKIEFMKFAKRLTSEKRFVVLKSVHISHIRMFLFNFGNPSCVNKYL >CRE03892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:583910:585418:-1 gene:WBGene00060465 transcript:CRE03892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03892 MSLRAGRPINLIFIILLIFLGIVLLYGQQTSTHPSPKDPLASNLRPNVPLFNSWSGCMAEKVFNESDHKLFWGKFQIWTDECDEKAEIDQLNLVPLQNSDETKFALLPVETDILVGSQTNLVTLGIGKDIDAELLYKQKLNEIGRNISFYGADPITDVNADLYSKIGKYFPFAVGSDAGYSSASVYINGTYVNRDVVHVDLIYFFDRILKIKTFDNIWLDAEGAEYPLFDIFQKTGRLERKGIKFCQMSLEVHSPSETQQIQFMELIKQIIKEFRYGIHKNRNVGHMRMYLFNFGDSFCVKKFLTRNLYELVAKEESDDDYEDNLILRKSNN >CRE03893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:586146:586605:-1 gene:WBGene00060466 transcript:CRE03893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03893 MSLTADPPACTVPAAGGASTHKLVNGGADKLIFKIKSSNNNEYRITPVFGFIDPSGSKDIQITRTAGAPKEDKLVIHFANAPADATDAQAAFASVTPAGTVTIPMSATA >CRE03894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:586941:588793:-1 gene:WBGene00060467 transcript:CRE03894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03894 MSVLITGGVSKISVKLAEELLKNGRNVVLLHNNSSESIPDALLSNSSFSLVNLSSVNEDGVKEVIETKNIQTVFDGSLTTSDVSLEPIQGAKKLILGITSVLDAIRKSKSPPSLVLISGEEVYGSSVNRVETQPLEPITFVGSAQMSVEAMVHSYAVSYRIPIVVGRLPRVIVSSKNELESELKNLKDLEYVNILETSDAVRGLLSIEKLAQSQKPAEVFNIANSQEFRVSNFSESRNSTLSISKILSETAWKPSDLSTLPSKSQENSKEIKPVFLLFGGDSELKTQFFELGKLEGFVVKESSITNFQEASDKSVIEEINTVKPSHLVYFGNETESFEGDCFTLRTNMATNLYFPWLLASLSEKNSLHFTHFGNTAISQETSSLSVKGYTGKMLEYFENTLRLGTKIDKNTLGLIKGRKTGGLLNNNI >CRE03895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:589421:590809:-1 gene:WBGene00060468 transcript:CRE03895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03895 MPEDPFDDLKNGSIVGKRYKVKDLLLQCNVASFQVMQKLGEGGCGSVFKVEDIEDKNQQYALKVEFNSANAGNVLKMEVQILSLMISKKHVAKCVASGKKPTYSYMVMTLLGESLDSIVRKHGPFLNVSSQVRIGICVLFGIKQIHDIGYIHRDLKPANVALGCVSHDGNPNSSIFFQKGSADERYFLVLDFGLARQYVTDEQDGKKMRRPREKALFRGTARYCSVAMHDRFEQGRTDDLWTLVYMLAELRCQLAWHDVEDKVEIGDMKRAISDADLFAKSPIQMLEFVKIVRGTQFYHRPDYEKLFKLLDDVMKNADYKWTDPYHWEPDKKRTPSSNKKLSFGLGRKGSAGGTPTKDSAEGGGQDVAYFTAVDFTSNPIGF >CRE03898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:597081:597464:-1 gene:WBGene00060469 transcript:CRE03898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03898 MSLTADPPACTVPAAGGASTHKLVNGGADKLIFKVCFVSASSQFNSFNPSRSSLRTTMSTVSLQCSDSLIHLDQRISKSLVLLEHRKKTNLSFISPMHQLMQLMLRLHLLQSLLLEHSQSRCRLLLR >CRE03687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:597946:598424:1 gene:WBGene00060470 transcript:CRE03687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03687 MSLTADPPACTVPAAGGASTHKLVNGGADKLIFKIKSSNNNEYRITPVFGFIDPSGSKDIQITRTAGAPKEDKLVIHFANAPADATDAQAAFASVTPAGTVTIPMSATA >CRE03689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:601635:605879:1 gene:WBGene00060471 transcript:CRE03689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03689 MLLPFLLLFLIPISLIECQLHDLEVTTQPAWAPTGRLNQFNGFATPRPSLLPANAQMVYASVWAAWSAWSFCSDSKRIRVRACNTVRGFRCLGPNQQTEACDPATSPILGRPPRPNAINSDDYDTVDPWQEDRVEALKQLYPDETIDEKLNHLPDHEKEKLRARLLPPTQMSHELLNRPVNEQDGAPGATPERLFGARPKALGTRTRAFAVPNSAGQAGEEALKFPELLNDDQFFSDITNEDKSISTQGKLEDFSKIEDFQASVDAAEAKQNLEEFEKLVEEHEIPRPPKNRGEGTFSAKSKNSQAAQVPEIMEISDEMQKLSDSMDGPKFSNQGFTEDVFGSIEELMKPMEATKTTKMQKTTKTTTLPTTTTTTTTTPRPTTTTRTTTTTTTTPAPTTTTTETTTTPRRTPMRVTPRLPTVIPEDTVELVTPSMPSKVEKMNPEMINFFEEEMMVEQHMTTKKPWKTAIHYGEVIPKMIPTATTTQAPPPPPPTTTVSSGFPIPEEISLDTLHALDWMLANMTKAAEEEGIANGNELRLRLDNSSPSESIPPSTKILSKKPKSSQKKKKNRVKTHRTKIIQLHYGEVVPAEQANRKFPKFRAKNAHRAKVYGIKTSSIPEEQLKIQTKKEELVDEINDLRDLMEQMDERIESRSALMEDNYDNILKPKHEHDSPYRHRQV >CRE03900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:606416:614890:-1 gene:WBGene00060472 transcript:CRE03900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddx-27 MVNLFPKTVESDDEIEVDESDEEQVQDEAVTVRKKKKIANAAEEFAQDFVFESDNLFVDRETEDLQKYLKKQNPTSLDEKIAEMRKLKNTGSAVVVEDDDVDRDEEDDVQQLGGKETRDTVREKKKSGKSKKSNENDDFFSALIDGKSLDTNVNVSFEQMNLSRQILKACAGAGYTDPTPIQQACIPVALSGKDICACAATGTGKTAAFVLPILERMIYRPKGASCTRVLVLVPTRELAIQVFQVFRKLSTFIQLEVCLCAGGLDLKAQEAALRSGPDVVVATPGRLIDHLHNSPNFNLGSIEVLVLDEADRMLEEAFRDQMNELIRLCAENRQTLLFSATMTEEIDELASMSLQRPVKIFINENTDTALKLRQEFIRIRAGRETDRESMVAALVTRTFQTNTIVFVRTKKDCQRMQILLGLLGIKVGQMQSSLTQGQRIESLSKFKKGEIDVLVSTDLASRGLDIEGVQTVINMNMPKSIKQYIHRVGRTARAGKAGRSISLVGEEERKLLKEIVNSNADRTLKQRLVAPEVVEAYRSRIDDLEETIQQIDEEDRAEKELRIAESSMAKTQHALETGEAGNERRVWLMKESQVEKQRKREEKRQMKIDARNKAHASKSSEEIAIEHEAAFHVRAAKRARHTKNRKIRAVVESTGKSGAGRQQNQKKANAKSSLRDIPLVNAGARGVQKPQQKNGSGLKKKIGGGGKGKGFTSALASVSRKSVKAARHGPEDPKFQKARVAHRMKTKKH >CRE03690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:608205:609885:1 gene:WBGene00060473 transcript:CRE03690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03690 MNRREKHRHELALLASTGAYHMYDQWTRSLLPFVQWNLTPGVGMDNFIWLTAISTSFTLIGTFFVARLIEVMGLRNSAMISSAFVILYQFLVCQFTNLYVYIFLQSLLLFNNMQMIIDAAILNLESEDGDYKKRTRLITRIMIPQSIGYALGPYCALQMIFFVTPSLEISQALCGFLAIITLLPVIWNYFPDNPSTNLSLVPDFSGYFEALKSDNVKLYSLLLMMVTGPYTAYDSLLRNSMASSAIRDPNNMHNLFLTLGLTTVLVNTVLLPKLQTMISTQVLLSGSFSVLAGSYIYLAVFHDLIHLFIGMPVQVAAATICIGELSSQLMGAIGKRKAGTAASVLRMSQLAATLLVPFVHGTIVPHHDVVSLCLMSAALSGLAVVMVKKFGTSMVFSSEYLPGFSGKYD >CRE03691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:612394:613961:1 gene:WBGene00060474 transcript:CRE03691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03691 MSSEKKQKVMFVLCLIFGLWHFATQWTTTLLSFLQWDTEEVMTITDLGYIQAFGSLCNAVGALVFGQIADSWGAQSMFMFSAFFTALYYSGISMARSWYSFFFLQILRFGYQLDGTAEMYLATVTTESERTSALMRLTIPQAIAMFFGPIVGSKVAAWTSLRTSQFIVGFVLAGTMMPVVFFLLPNTHSIPRLASARLRPQDYWYMVSKNAALMEGLLIRALLISAYVCYEMISRNFLLRNYMHNTNESAYVLLTMAGSLLAVQFIIMPIVQRRASPKRLLQVALIGLFFSYLSAAFADSFHQMLVITAVQTAAYAVAYAESSTQITTAVELTDLGKATGLASMVQWLTHFILPIYASQLVEHLHYTFAFYTSATLSVFLFGYISVYGKETPNRSGSLLPSLSATNY >CRE03902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:618445:619800:-1 gene:WBGene00060475 transcript:CRE03902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03902 MRPTRLLAPRSYSTALNRFRVVEVGARDGLQAEKKFVPTEVKVELIDRLSACGFSTVETTSFVSPKWVPQLADHNEIVKKHKRFNGVSYPVLVPNAAGLKNALATGVVEEIAVFGAASDAFSLKNVNSNVEDSLKKLLEVTKIALENNIRVRGYVSVVVGCPYQGEVKPDVVAKVADKLLEAGCYEVSLGDTIGVGTVNSVTKMLDTVLKSVPANKLAVHFHDTYGQALANVLVSIEKGIRAADSSIAGLGGCPYAKGATGNLATEDLLYFLKGNGFETGVDLDKVVETAKWFNSAAGYDKWSRVGRAISNKKDAQTC >CRE03692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:620315:622316:1 gene:WBGene00060476 transcript:CRE03692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mppa-1 description:CRE-MPPA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXR1] MLLQKSIPYLRRCRNISVSIRSHREVPGISHQNVISKRLPLSVPLPMENKKVSTPKGALSIGRNSKLTQLSNGLKVCTENTYGDFVTVGVAVDSGCRFENGFPFGISRVVEKLAYNCSENFRNRDDVYAQLEENSGIVDCQSTRDTMMYAASCHVDGTDSIISVLSDTVLRPIVDESSLEQAKLTVSYENTDLPNRIEAIEILLTDYIHQAAFQHNTIGYPKFGLDSLDKIRVSDVYGFLSRVHTPDRMVVGGIGVDHDEFVSIISRHFESKQPIWNSQPNLLPAKIPQIDESRSQYTGGEVRIQKDLLSLTVGKPYPMLAHVVLGLEGCSYKDEDFVAFCVLQSLLGGGGAFSAGGPGKGMYARMYTELMNRHHYIYSAIAHNHSYSDSGVFTLTASTPPENINDALILLVQQVLQLQHGVEMSELARARTQLRSHLMMNLEVRPVLFEDMVRQVLGHGVRKHPEEYAERIEKVSNVDIVRVAERLLSSKPSLVGYGDLTKLGDYISLDQALAKRDLKYLFKR >CRE03693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:622887:624536:1 gene:WBGene00060477 transcript:CRE03693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03693 MMLKAEKKPIHVRSIVISIVTLSLFLLLTGYCLHKPLPDGFTVTQWDRTVMHVVEPALRVAYYYPSRMFSKASNMVYWTRGALNILSKTLGLLVDTQGQIDIEWQNWNGTPVKIYRPINNQTSTDGAVIFIHGGGFALGNVEMYDSLVERMAFEMKTLFISIEYRLSPETVFPGGILDCEAAIDHFYQFGEIQFGVNTSKVVIMGDSAGGNLATVVAQRRVARKALPALAGQVLIYPLLQMADMQTVSYRYFHTRLNGYALVDPESVAYYYMFYAGIDMDEKAYLIPSVVSNGHVAKHLHKEVEEMMSYRTVIETTRNYNNHSISERWQIEKNYEAQDLMKPFLTNPDFSPLMRKDLSNLPPTMVITCEFDVLRDEGLIYAKRLEASGVPTTSIHYENGFHAMLNFHSELHEASKSVEDIEQWTLNIINNA >CRE03695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:641887:644357:1 gene:WBGene00060478 transcript:CRE03695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03695 MDSDLLKKFNAELATLHDSRNLSKNKIQEITNAAIKAQKQYKHVVYALEKLMTKCKPEQRLHVLYVIDNVVRSSKHQLKERDTFGPRFLEQWDKFMEPLLKCSWREKLKIVRTLNLWLCNRIFTETQMRPIREQWQKAGLPIDFEEVEKAVKGDKADMNIYSASYKKKSRTSGGHHRSRTPPNPPPDEGLLGAGHSTSFKSGPDIPNFVLSEELVNGTISEREMLEMIQKFDIDRAGVLTKDRTLLQEVLQTFVGSLSQKITEVQSERNKENGSCIENVLTKDFEYSDDEEEKEKYAEKEEQKNMNHDEILGLAQTMLTQSAVISKITEVLEEFRSDVIQERYNFIELLREAEEFSERNPFDVIDFSKKIWDAASICLAVYLLEHLKIRVRNNRAMSYIVDIICLFFTDAKDCVDLMNLWADAEMCHKNFYHHSLRSTSKRRTLISLMRELPQILEKSDLSGIEGRLGSHEKLKLINIERDTWLLGGKQYDYCRNAY >CRE03697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:645605:646358:1 gene:WBGene00060479 transcript:CRE03697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03697 MYDSLVERMAFETKTLFISIEYRLSPETVFPGGILDCEAAIDHFYQFGEIQFGVNTSKVVIMGDSAGGNLATVVAQRRAARKALPALAGQVLIYPLLQMADMQTVSYRYFHTRLNGYALVDPESVAYYYMFYAGIDMDEKAYLIPSVVSNGHVAKHLHKDVEEVMMSYRKVIETTRNYNNHSISERWQIERNYEAQDLMKPFLTNPDFSPLMRKDLSNLPPTMVITCEFDVLRDE >CRE03698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:646854:647363:1 gene:WBGene00060480 transcript:CRE03698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03698 MSSIVCHPLIDTQNGIATDARIKKQRPPPLVVSRPRDLIQDSCERLVLSDQQLRRIMTLMIYRFHPTYPTLLNAKIAELIVGDIEYKLMLSEDGSGRGGALVAAVATRLKEEKLAALCSSSSSSN >CRE03904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:648656:649444:-1 gene:WBGene00060481 transcript:CRE03904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03904 MTSGGRSRSGMLNFFILESQNMNADGKCSFDEQEGKNGLGNHEHADYIVTGAWSSKAAEEAEKYIKVKKVFQPSKPYVTVPDQEKWTHDEKAAYLYYCANETVHGIEFTPTAPESHNVPLIADVSANFMARPFDFKDHGVVFGGAQKNLGAAGLKIVIVRKDLIGKKQGITPAVFSYKEIIANNSLYNTPPYWRNLHS >CRE03699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:649757:650553:1 gene:WBGene00060482 transcript:CRE03699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03699 MKIVRKPFEVATVYTPSDLKYVPAVMNHLFDLFNTSFGEIVIDIQEKEISEVQCLIDVIKQCERLILVSHRKQHINEGILSVLNSIEVTKDLSFYVNPKPKFKLPEYLLRINAFKFKYSDWITRKMLLSMDCETIRMENCTLKPEDMKAFVNQWLNSENTKLQWLVMIIPDGYDTFDTKVFKAKKFDPKVRSTTNPHELLFNDTTSDGMDIVRKDGLLATIVQRDYVFKFLVWHERFPKDDDDDV >CRE03905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:651550:652851:-1 gene:WBGene00060483 transcript:CRE03905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03905 MPKKFATENPKVTAARDRKATAKKDEAEKKAKATEDAKWVDDDKLANRKMQRKEEDEKKREEALRRKEENRKLVEEEMSSLGNKKPAASSAQKVTRAHIHIRKEDEERIMRELEEKRKQEAQKIEVAGELEQNLNQLEIAEGEARNVDEALRVLGDEKATEDDKHPEKRMRAAYLAFEEKRLAELKIKHPTFRLSQLKQLLKKEWQKSPENPLNARILALSK >CRE03700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:654385:656332:1 gene:WBGene00060484 transcript:CRE03700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bre-4 description:CRE-BRE-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LXS2] MALRHLAVAKLKTFFVLCAALLLVHTMIYKAPSLYENFSIGSSTLIADVDAMEAVLGNTASTSYDLLDTWNSTFSPISEVNQTSFLEDVRPILFTDNQTKPFCNQTPPHLVGPIRVFLDEPDFATLEKIYPDVHTGGHGIPDECIARHRVAVIVPYRDREAHLRIMLHNLHSLLAKQQLDYAIIVVEQIVNQTFNRGKLMNVGYDVASRLYPWQCFIFHDVDLLPEDDRNLYTCPIQPRHMSVAIDKFDYKLPYSTIFGGISALTQEHVKKINGFSNDFWGWGGEDDDLATRTSMAGLKVSRYPAQIARYKMIKHSTEATNPVNKCRYKIMGQTKRRWTRDGLSSLKYKLVKLDLKPLYTRAVVDLLEKDCRRELRKDFPTCF >CRE03906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:656688:659128:-1 gene:WBGene00060485 transcript:CRE03906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adpr-1 description:CRE-ADPR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXS3] MISAIERKCERLRFGSLFPDILNEDRLVDSLECFQTLEGHSGCVNTLRWNKTGTILASGSDDRTVKLWRAGEEKHSLDTGHEGNVFAVEFLPSSDDRKLVTGAADHVVFLHDIETNTNRKWEVEGRVKRICTLEHDPTLWWAAVEDPKGVHQFDTRLEEPEAIIQGPETNGEVRDVKSVAVSEAKPHLIVVGFDETAVRLYDRRNFEAPVLTFNPLYTSPLDYHATHVAFNKEGTEVVVNHGCGGGVYVFSVNSSEDPKVMERFHAVLDQPREPVISSQALPHADLREIGSSAIRGKQFNQAIDYYSDLIHRNDPDRAFRSVCHSNRATAMLLRRHRGDTYACIRDCVKALEIHRGNSKALFRLVKSFTTMEEADLARKCIQKFKEWFPGDKSGMMTKMENEVNQITNGENHETEPVEGTVDYQQRFCGSTNHQTDIKEANFFGSRDQYIVAGSDCGHMYIWNRDTSKLQGIWRADDHILNIVQPHPNQFMLASSGIDDDIVLWQPLLDRSDDYESRHISDPFEFIEKRREERFGAGFQLSLLRDITRREGQCVQS >CRE03701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:659400:660196:1 gene:WBGene00060486 transcript:CRE03701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03701 MGSFRKILWIRFVLYSTFYTFTLICSCSFCFYFKIPFSASKMYCLQWLIPVLLIPKHWIHPIFLVEQALFMWFYIVGFFLERRPCHICSGIFFIALALICYSDPDSCIFWPTCTKKLNGEVCNYVYRDRVLP >CRE03907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:660235:662139:-1 gene:WBGene00060487 transcript:CRE03907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03907 MGLLDDISKLTTPATELPDLEDDNFDGSSLVKPGGNRLKAAKNFNFEEGKYAGAAISRKDIFGDISEISGLRGKDDDSDGSEDVDDEAIEDEEDASDVEEDVEVDKTKNFDDSDDEEEGQDSEDVEDEERDSEDREEDEDEEEEESNLKMTTLSLKDDSDKADKATSVLHQRQVWDDLTYSNIRIHALLNSMNQMPRGDARKNLLKNCDETTHKSLEAAMENMAKLRDLMRKASGFFEEKNGEDSDDEEIPSDEEILSDSGGEEDGDDGVGDSGDEDDGKNQKKKNAETGTSLKTLKKNLETLDTSIDKFRGATITKWYNRTKILNSKSMNNTDFSVFEKGSILGQINKVLADEDKLLKKVRTNRSGKSRIGASPDDVASHDNEIFDDSDFYQLLLKQMLESRNQNQNSQDGADMTRSYMELQSMFNNKKKRDVSQLSSKDRRLKYEPIAKLINFYPSKPVVATWTHESRNELFKSLFS >CRE03908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:662581:664171:-1 gene:WBGene00060488 transcript:CRE03908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03908 MSSGILVVSEQNLEKLRDFLEVAKTLEVDRLYVRLELPRTDEIISKIYLLSANICPRTDVRILVKSENPEDCLLIGDKKPVENTGKVPKKYKKVVLGGTFDRLHNGHKVLLNKAIELASEEIVVGVTDKEMIIKKSLFEMIGPVDYRMKKVIDFVEDISGEVSFQPRRSLYLILIFQAKCTTEPIIDPFGPSTRIPDLEAIIVSRETLKGGDAVNKKRNENGMSQLDVIVVELVEGSDEILNEIKISSSSKRREELGRLLKPVANQKTPGTPYFVNLNGGSGSGIEQIEGYLKEKNGIEVVNWDVLDSDKKMKVFENNSRISNIKVIVITSSVPIEAAQVSEIWTTFVPASEAIRRIIQRNEITGEEAQKKLSSEMPSKERIERSHVALCTLWNEKETRNQVDKAVRGLMKRL >CRE03909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:664617:665418:-1 gene:WBGene00060489 transcript:CRE03909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpx-1 description:CRE-CPX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXS7] MAGFLMKQMVGNQLSEVTGGLGMKDDGGEKTEAGEDPEVVAARLEQEERRKEKHRKMEQEREKMRQGIRDKYAIKKKEEGVAMDFTEGRIGGPRKTPEEIAAEMNAEDDSIIGQLGLTEQVEKAKTMATGAFETVKGFFPFGK >CRE03910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:669136:680791:-1 gene:WBGene00060491 transcript:CRE03910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03910 MGVLSLTVSCSEKGIKKTMQFDPSTLIYDAIKLIREKFGMTDVNAAEYGLIRIDENPAKSFWMDNGRSFEYYMVRNKDEIDYKKKIRYLKVRMLDGAVKTISVDESQQVSQLMLTVCDKIGISNYEEYSLVRDDLLRQQGSIANGSGGSAWNLKDKDNRSKSSDRGGGVYGTMRRKDEAKMEELRKKLHTDEELPWLDHQKTLREQAISEEETLVLRRKYFFSDSNVDSRDPVQLNLLYVQCRDGILRGLHPVEKETALELAALQSHIQYGDFPHDKPKFHLDGRDVLPKEYAKNKDNEKKVVAMYKELSGTSELDAKSKYVHLCRGLKTYGVTFFVVKEKLPGKNKLVPRLLGVNKESVMRVDEKTKEILKEWPLEQVRRWVPSAKTFSLDFGDYQDGYYSVQTTDGEKIAQLIQGYVDIILKKKRTQDHQGIEGDEGSTMLEDMVAPAKATLVAHGQIGNGQHAQDGLVAVRGVLRTPQGGQGYGINGAQYGAVSGEITSQELARAQRLRYQDMYQHPQRALIGTIEATIRAVDEAEVELEAEPQIDIPRFNDDFSQNRWMEEQKAVNKENVNERLAAMGAATAQVVQWTAVEEYDDRVGSAIATIGSNLPDVSRNVRDLGAFMETEERGDLVQATRLLCGAFGDFLTAVNPEQNERRNKVFTAAGRVGEFSQQVINKMDPPSDTQRQYDDYLVQRAKNVATSTAQLVLCAKTISADCEEPHVQERVIQSATKCAFATSQLVACARVVAPTIDNNACQQQLTTAATEVSQSVNNLLHDAEHAVYQQSSLTDIHEAARQVTSALDSLLEHAKCSPKTMTTRREEEEMYNEVLRRTNRMVVHQGPPEDLTREARKVVRHSQLLTEQFQHEAHQRPEHRDRLLDAAAKVAHATSEMILATEQAESQPRHVETEYALRTAAENLGKVTNETTKEQQEQHIMQRLEQAAKQTAYDATQTISASNSTKDVIENRVYSENLVYESTRTAGHLPGLITSIRESQNAQNPSDKFRAQSRLIRDSHNVLETSVRLFESARTAVPMISDTHLASSLDQSANRLGTSLAELRIAVNDAQQLNFSQQLLHSEELIKELDEQLVRTQRMAMAKQLPPIQNATSFSSSSKLMATTTNVGSGVAQLITAATTSNDDRYIGTSAVELAQGLREFADAITEIVTVRTDIHLEKLFVSARSVVHDSGRVFDRVRERCPPTQLTEAAMDVSVNLRQVIACLPDTKHIEEAIRDIQTASTSANIRAIEVRQAANKFIEATSHLVLSIGSPENKEAINVFVRAYSDLHGSVIAQLSRQANINVKTAIVDLLEEAQRGSIGVLETLRQTGNPSDHTLSQQFTSNARELATTVNKLVELSETEGDSRWQMECDAALRRIQAVRHVTQHADVPLSDNGYFASLQSVSDGSRRLGEAMTGMARHAKANDTEGFCTSVRNSADALCSLAESASHSAYLVGISHPASSPGRSALIDASEVARSVESISSSCQRVESRSISRQDLMEDITSITRHSSHLAQLCRLSSEKTQNPNVKKHLVTSAMSIAHKTSSLVGSYKEMDRAPDAVDRCASSASELRQAAQQLLHFAGKPDFAAVQGTISNEGHSAQHPILQASREMLDSSAQMIHTAKSWASAPQDEATWQRMAVNSKEVSDSIMKLVSAIHEAAPGQMELEAAIERLSVLSGQVEKSAMDAYASGNVQQHGANAERQLLQQVQHIASQLEEKVDDLHSAAVEHGERLPKVVQLHRQMVEDLAEAACCAAGMTVDSNQQTELFDKCKTVVEAELAMMVASRESGGNPNAVHAHANVQEAAGNLKHAIGDMRQTIAKVSSEQGAVQGMVDTISSSIANTDLAMSSQHGSSFAEAQTRMTACLEDIRRTAIKVPTLNTQDLGAASLNLSEKYRLVAADVRQAAAMLPDADIGQRLKLAVQKLGTSCIETVKVAGKKRAHPEDERIQRELTGQAENVVERVEQVLAALHAASRGTQACINAANTVSGIIGDLDTTIMFATSGSLNSSDDRKFPAHKDAIVKTAKALVEDTKALVAGAASNQEQLAVAAQNAVRTIVNLSDAVKTGAVSLSSENSETQVLVIHAVRDVAAALTSLIQATKNASGLSLQHPAMGHLKEAAKVMVGNVARLLKTVATVEEKNQQGTRAVEAAVEAIGFEMRQFEHDLNEGVAAPTEARVEHLQQTADHVSEITKRVMAGADGHAPQTEEEIIGVANLSRSAVRSLLAVVRTISNDADNVQQRYAVLDSGRDVANNVKSLLVSLHTQMTRNPGQEESRRLLYEASRGVSNALNNLVGLCTEMSGLPHGHMEMDSAAAQAENELLGAASSIEAASLKLAELRPRQTVQENTHEIVEAEFDENILTSAKGIISAVHILVRAATSAQRELAMQGRTESRPSGSGTYQWSEGLTSASRVVVASVHKLCDAANTLMKGQTTEERLISAAKQVSSSTAQLLVACNVKADPDSQANRRLQAAGQAVRNAAERLVQSAQQVIARDDRNIAISDRLVSGIAQVMDAQEEVLRKERELGEARHKLAHLNKARYERDGEGSPEA >CRE03911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:684474:685700:-1 gene:WBGene00060492 transcript:CRE03911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-5 description:Autophagy protein 5 [Source:UniProtKB/TrEMBL;Acc:E3LXS9] MDYEVSRKVWESQVPVQFTLQAGGPLGDPLPFYTMLPRFTYLALALPKALSSFNRRDSGDPILSEKVWLEVNGSPVKMYVPIGVIYDQANLLENDAILEISVKTTQPPETFQMVDPDMVKAMFMQTVKEADYLKTKSDITNSMMVDEMPQLWRSITNNNFDEYWTMVQKLMDPKDGKDFTHVPLRVYVKNQPFKQALITLKHPNGSLRTIGEAVSDVLQLSPSSEASTPTSESSASSGATTSSTSPNSEERRLISHGIDIPAHTPLIFASKNLSYPDNFVHIVIASN >CRE03912a.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:685998:688592:-1 gene:WBGene00060493 transcript:CRE03912a.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03912 MKAEWRTCPRCKNQGLKPDMMSCDKQGYTKYWWICENFNVCNFPLDMPSNIYHVNQSPFQKRQKCIPLPNILKLPEKYRYLYPLTFSNSRPTSRCSSVVSNSANSRNETTIDSSDGTISEKSSGQDRLKADSVWIADNNNSTPSTSAQSKSETADIDNDNIDEEIEAAARKCGTSRTIRRKRFHNNSSKSWSSLIEKVAADPKASTSILKMEESELVHRMEEFFEDRYFQLVPTTFKRPGVRLVDKLLATLPKTPRNIFRVTYQVEDVEKCLQTITHKDWTSARKRLTTMSVSEQVAMKTSNAEELLKSVGINLQERRKAVGEKVGKIMRGLSSENMPKRRKLAEEKRKVAEQKRRESIQNTIHKSVSARILNKKLRRDEEERSRASTPASSIHDFQRFDEVLPQEPTSSSYYVEPDANPEPVQFHLGNETEDAADDQDHHWGFDLDGLYDSIHADNNAIPLDPHMDAILSQLDDPNIPTEHEENQERPRHLEEEQHHYSGDEADAADVDGIDFTGFGDDDGQSFGGNNNSNFDFVNDNFGF >CRE03912a.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:685998:688591:-1 gene:WBGene00060493 transcript:CRE03912a.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03912 MKAEWRTCPRCKNQGLKPDMMSCDKQGYTKYWWICENFNVCNFPLDMPSNIYHVNQSPFQKRQKCIPLPNILKLPEKYRYLYPLTFSNSRPTSRCSSVVSNSANSRNETTIDSSDGTISEKSSGQDRLKADSVWIADNNNSTPSTSAQSKSETADIDNDNIDEEIEAAARKCGTSRTIRRKRFHNNSSKSWSSLIEKVAADPKASTSILKMEESELVHRMEEFFEDRYFQLVPTTFKRPGVRLVDKLLATLPKTPRNIFRVTYQVEDVEKCLQTITHKDWTSARKRLTTMSVSEQVAMKTSNAEELLKSVGINLQERRKAVGEKVGKIMRGLSSENMPKRRKLAEEKRKVAEQKRRESIQNTIHKSVSARILNKKLRRDEEERSRASTPASSIHDFQRFDEVLPQEPTSSSYYVEPDANPEPVQFHLGNETEDAADDQDHHWGFDLDGLYDSIHADNNAIPLDPHMDAILSQLDDPNIPTEHEENQERPRHLEEEQHHYSGDEADAADVDGIDFTGFGDDDGQSFGGNNNSNFDFVNDNFGF >CRE03912b.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:685998:688592:-1 gene:WBGene00060493 transcript:CRE03912b.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03912 MKAEWRTCPRCKNQGLKPDMMSCDKQGYTKYWWICENFNVCNFPLDMPSNIYHVNQSPFQKRQKCIPLPNILKLPEKYRYLYPLTFSNSRPTSRCSSVVSNSANSRNETTIDSSDGTISEKSSGQDRLKADSVWIADNNNSTPSTSAQSKSETADIDNDNIDEEIEAAARKCGTSRTIRRKRFHNNSSKSWSSLIEKVAADPKASTSILKMEESELVHRMEEFFEDRYFQLVPTTFKRPGVRVTYQVEDVEKCLQTITHKDWTSARKRLTTMSVSEQVAMKTSNAEELLKSVGINLQERRKAVGEKVGKIMRGLSSENMPKRRKLAEEKRKVAEQKRRESIQNTIHKSVSARILNKKLRRDEEERSRASTPASSIHDFQRFDEVLPQEPTSSSYYVEPDANPEPVQFHLGNETEDAADDQDHHWGFDLDGLYDSIHADNNAIPLDPHMDAILSQLDDPNIPTEHEENQERPRHLEEEQHHYSGDEADAADVDGIDFTGFGDDDGQSFGGNNNSNFDFVNDNFGF >CRE03912b.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:685998:688591:-1 gene:WBGene00060493 transcript:CRE03912b.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03912 MKAEWRTCPRCKNQGLKPDMMSCDKQGYTKYWWICENFNVCNFPLDMPSNIYHVNQSPFQKRQKCIPLPNILKLPEKYRYLYPLTFSNSRPTSRCSSVVSNSANSRNETTIDSSDGTISEKSSGQDRLKADSVWIADNNNSTPSTSAQSKSETADIDNDNIDEEIEAAARKCGTSRTIRRKRFHNNSSKSWSSLIEKVAADPKASTSILKMEESELVHRMEEFFEDRYFQLVPTTFKRPGVRVTYQVEDVEKCLQTITHKDWTSARKRLTTMSVSEQVAMKTSNAEELLKSVGINLQERRKAVGEKVGKIMRGLSSENMPKRRKLAEEKRKVAEQKRRESIQNTIHKSVSARILNKKLRRDEEERSRASTPASSIHDFQRFDEVLPQEPTSSSYYVEPDANPEPVQFHLGNETEDAADDQDHHWGFDLDGLYDSIHADNNAIPLDPHMDAILSQLDDPNIPTEHEENQERPRHLEEEQHHYSGDEADAADVDGIDFTGFGDDDGQSFGGNNNSNFDFVNDNFGF >CRE03913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:688934:689428:-1 gene:WBGene00060494 transcript:CRE03913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsm-6 description:CRE-LSM-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LXT2] MSKRQNPSEFLKRVIGKPVVVKLNSGVDYRGILACLDGYMNIALEQTEEYSNGQLQNKYGDAFIRGNNVLYISTSTK >CRE03914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:689705:691165:-1 gene:WBGene00060495 transcript:CRE03914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-3 description:CRE-UBC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LXT3] MDSRASTSSGALRALSMELKNLQSQPVEGFTIDVNEDNLFVWSVGIYGPPKTLYQGGYFKATIRFPSNYPYSPPSMKFTTKVWHPNVYENGDLCISILHAPIDDPQSGELACERWNPTQSVRTILLSVISLLNEPNTSSPANVDASVMYRKWKEDSDPEYAKIVQKQVEDSKKVAQKEGISVPETIEEYCVKWAPPQQDDVLDGIDFNDDYGYDYEEEDEEEDDDECGSDYADDDEDSGQGEN >CRE03702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:694249:697406:1 gene:WBGene00060496 transcript:CRE03702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03702 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LXT4] MTKDSANRRRLLHDEFLVLSRTEARRLTRSTDRATRHAAANRYSDIVPYDHTLVELDTGDYINASFVQGETDALRWIATQAPIDAGESVGKETVSDFWQMIVQYDVACIVMLGQHQEDFVQKCGEYWPESMGKTARYGNVEVKVVCELEEKSWVHREFDVSPTSSYFRGASAKSSQKSRLSHTSQSHVKVSHWQYKEWKDNDTPRISSFLEFLLQVRRRQYTSPVVVHCSAGIGRTGVFICTDNVISRFESEGIIDIFSEVNRSRQQRPSMVYTVKQYECIYNTIGEYLKQTQQQK >CRE03915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:699347:700890:-1 gene:WBGene00060497 transcript:CRE03915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drag-1 description:CRE-DRAG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXT5] MSIAYLSSIVILLFYNPINSCRVEECAAWFQKTKDYENLVPKATERYCQVLQTYLKCMNDTQRFCHGNLRFHSSELVMRRHWKEFECEKWESCNEKNEDKRRPVNTCYFNPPPSSRKIKYCSLFGDPHLIMFNGTIQTCSEEGARPLVDNRYFLVQVTNKNVRGEALTTTVTKVTVLIRKHNCTSSLRYEATSDEEGLPRGFVDGTTFQMKSNSNSNSNAVEVLWQDNNYVEIALHFIHSSIHIRRQGPYLSVSVKAPSIVLETEGDVANEMCWAGCRRSSQISAETAVEQPKKFAECYNRRVHVPKKVAEDRCRNVGNSGTFFDACVFDLMFTGESLTKKDQVPYTKPKNFGKAFYFFLLSLHFSISGDDYLVHLSRNAQSDFRRLAPHHFQSQIIQQRVRQQQEHIRNLNISNSTKIFEKCVPSSSISIIFIPILAFVLIPMI >CRE03704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:705497:706476:1 gene:WBGene00060498 transcript:CRE03704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03704 MSGSCGIIDPDTPPPKISYKNRRAGKGEAYEPTTHEHVANTVSHAIGIGPSILIFYYFMCTYAHRELQHILMVIYGTFTTLLFTSSTVYHFCEYLFRQENKHRKLRYYLHICDRAAIYLFIAASYTPWLTLRHCGLPGLNLKWMIWVFAILGILYQYNFHERYKTLETILYIIIAGGPSVAIFTMNDRTGLEWMMIGGMMYAVGVVFFKLDGILAFAHAIWHIFVLLGASCHTYAVFAFLLGPDRNNPVPDV >CRE03916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:706678:707981:-1 gene:WBGene00060500 transcript:CRE03916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03916 MIIKEYRIPLPLSVDEFERGLLYAVSACSRNETGGGEGAEFLVQEDFTSDTLRPGQTVSGTYTKKIYRLRSKAPWVLQKLLPAEAFEIHEESWNAYPYCKTVLTKWYFQNPGYMKENFHQVIETLHLPDNGSTENALDGPEKREVIWVDIADNDIFGAKNYKKEKDARLFEPDVVERGPLDDDWIDEHDPIMCAYKLVSCHFKWTGLQRMVEKTIHKQYPRVFGLMHRDAYVLLDEWYNMSMDSIREYEEETAHVLRKQLADPEKRGTTCDDDANNNK >CRE03705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:708375:709843:1 gene:WBGene00060501 transcript:CRE03705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03705 MKMMTEDTTRKWTFGQTDGDKEEEKKKYVEFWMTENGRQKSVWVEKDRLFVLGMKLYPEVVDVTEMSESTSQVFQQLLTGSRRYSTRISMNEADEMCHVATELKLVNLLKIMEKDLIGQTSISMKQAVDSLKIAVKYEMKDAIPKLVDEIVFDFWNTEMLRYCKNGKIVVMLLKRKFELEADQPPAVPEWPPDPAASNPLFEDHPYLETQTQNQQVALPRVQQNSQIHKVILNSAKEKIRILLNRPITSFKNGIAWVASQNLRRQRQPARGNQNGENREFQNFPRFQNF >CRE03917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:709879:710735:-1 gene:WBGene00060502 transcript:CRE03917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-88 description:CRE-PQN-88 protein [Source:UniProtKB/TrEMBL;Acc:E3LXU0] MMKLSLLFLLVISTWSQPILPSTSTIGPPTFPNDPNPPNPTPSFFGNDDNENAGIQNQNQGLLNQNPPNQGIQNQGLQNQGGFFGGNGEGTTSGAFFGGNNGGFQPNSDNQGLNNNNNNANGGGFFGGNNQQQQNFQTQPPSGFFGNQNDPALNNNQGFQNQNQNNLNQNQNQGFQNQGFQNQNQQNQQFQNQNQNQQTFQQQQQNPNQLQGQGQTIQFGQQGVNQGFPVSNAFPTNQLPPIFGRKK >CRE03706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:711245:713357:1 gene:WBGene00060503 transcript:CRE03706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03706 MTCGYMREVATANTWTFFKILFRWNGSVWKTIHMELIVWLFIFVNVRTAYEFFMVGTDSAATYEHVVHRCRQISGKCRTVVTFAIGFFVTYVSTRWWNIFMTIPWPDTCALQLTAFLRCRGPKHEEEDRLFRQNIMRYLWLSYVLVFRDVSVRIKKRFPSVKTMTPTLLTEEELIKLNRSSKEMRPWVPIEWILDYLRTAKKRSLLDEFHYIELNQTVLAYRQQLHEILSHDCITVPLVYVQSVHICTICYFIITCFASQTIQSDHESWEGIIDFYIPVYAVIEFIVFVGWLKTAFVMLNPFGMDDDDFEMNALIERNMMVSLSYINDFYDKPPKLVDMKFSRFEFFEFLFLFKQEYVRENANPMHGSAVPVQMTRVNQVMIDNPQLGNLMQAVLPSRNPSLTNLHTQRPINEFPNINQSAKIGLISTDEENSDKDNKIRNPENSYKEDGAEAKARYLLKQEDLEKAVKKLLDKKLAEEEEKKEEKEEKKDKKKEKSPKSLSPEPTQKSWLVEEPTQKSGEDTPGTSLLQKKTSRIRTRASKADVRVPTPTQGQTPNASNRKLVSVMKKPASTMMSPNLTSSVPQSDKKKKTSATKNEESPSLRLDSTQSGEKSK >CRE03918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:713774:715391:-1 gene:WBGene00060504 transcript:CRE03918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03918 MKMRSLLFLLLILFVSGGLGQELSTPSHQDSGESFDSVTPESEYYSSVLYSYTDDESMSSTTTEFTTTEAPITSTVPPTIPTESPITSTVPTTITTVPVFPTIWITHVPTTVPSTVPTAVTMLNATSMPAATTVLPSTLPTTITTVPVSPTTNVPTTLPTTLPSTVPSATSMPAATTVLPTTVPTITASLPTSATTIPTTTATVPTTTNTVTIPVTTSPTITTTVPTTTTTVPTTTTTVTIPTTAYQTTTTTVPTTTTTTPATTITVPTTTTTVTIPMTTTTVPTTTTTIPTTTTTVPTTTTTVTIPMTTTTVPTTTTTIPTTTTTVPTTTTTVTIPMTTTTVPTTTTTIPTTTTTVPTTTTTVPPTTTIPTTTTTVPILTTTVTFPTTTRRLTTTTLLNPSYLTCGTAYDKHNDGSYQVIRDFYQKIQHAIRCNKLYLISNQHYSDLYTLKTCFRTWSKATYFEKQMWSGRFSLIGWRRNTPYHIKFSLLDRNNALIIQNLKWEQGRWVLTYGYQCNCSY >CRE03919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:715601:716337:-1 gene:WBGene00060505 transcript:CRE03919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03919 MRLVLFIFLIFFVSNGIGQTLPTTAHQSPVTSTAIPVSESVLFCYSLSSITLSNPTNVYSPTYMSPFTTPPPTTFSTRTRTVPTTNKVTTTRRRTTTTLRKSTRHPSCSHRSFGSNDGSREIVQQFSDSVAKALRSGNKRYHLSKLYSDKYFMKQCWKTYTKKDFVDYRVRQSQRFETLGVRYLSSNIIEFISSSGWEVRYVEKLKKVNGKWINIGGFDCNCPSRRD >CRE03707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:716850:720385:1 gene:WBGene00060506 transcript:CRE03707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03707 MEIHGSEILEMSFSFFCRNLLAIVENRSAERMSTNGTTKLGVVEENLREFDECFREFQTTPLPKQGKFFLQLALLLLYGDYQTNVSNCFEFSASNSKQQLVSRNKAEEEELRLNQTAASNAAQIREKNTKIMEKQQFGVPLRNVEVAEKKTYLKTRFESAPGCSYLPGFASSMTSQPSFVQKTQSRYPPSSHYKRTPILYQPPNQQPVRYVCCSRKGPSTSGNSGVNCSTKPILKNGNIATGDNRVLTTQNIFGMSRSFINSLLKYLHVFHFPAPTGINHPAQNLSSGKQLGQVILMRRLDGKLVRVRRIIKSQPEVRRVILAPPPQLGNYLKIRNARSEKTDSSDADQLANTEILDKQVKEEPIDVKEETIEVKEEPLDDYERHDNGQPIVVKPPRVIIPRFVFPVPGIPSSLPTLKTIQQTSLSRPSEREKTPTEYWKLFGSGVLHNRRPSPSPEIFLGAGKPINLPNKSTSGFSKLTQKRIDSYYQNRLQSFTKKDGIVRNVPDSSNLLSNSALTEKEGHDTLDWTGAKRVEFSRQDQAKSDFNGQDVYKYMDSESFKQFLESPSMSTFEKMEFSSDLLGEIPRSSSLSLSNLFETSTKIHEDGYIAQNERNRIINEIKVRDSLSGPVRLPPDEEGASTSEIVLHNEQSHQINIVWNGSESKVPELVLCHLCDGIMRLCIRKTKYRGIVKEYPAYRCLRKGCQTFRSIKKQMDQNLNKGMNSTRRVTMTFPKPISFANMEVKEEVMDDPNDFASSQFSSYGIRSEKGNQNEKRALESTEQLSSEGSSLYTLDAPPQKRIRKSILDTLSKDFHCGPETEEDHDEEGDEGLLGESIDFRHIYKSGNIECEQLKKEEETNDYYFEEEDYEDTKSRSLSSEVSIEKEIPEAMDHVIKEES >CRE03708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:720684:723701:1 gene:WBGene00060507 transcript:CRE03708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03708 MWSTFPLLLLLFFVGASFGQPDDPGFHEPFILSNRILRMSVFSDGETLHFLKSMKNSIDSRNETSISELFSPDFEFNGCKRIINKQMIVSLLTRAPSDNKFFYKLKSSDYTENGRMNFHVIIGWNASPVEAEFSLARYKRQLVSGRVSKCEMNQFASQKKPTDWNAYSIARVFFVKALNAIKSQTVERISALFDEKFRLRGCEQAYLKSQVVGKLSHLVRDTPFHWSIVSIRSLSESETELVVEGTAFGYTFLKLELVISRFSSVLIRGRRIECDRRELNGQSQHFLGNQEIENNHFHGLISQESAKKTVTNFLTKLEIAFKSKDTNSITNFFKPSFVFVGCMGTYNREQSIELIQMIPSDSKLNYSYKSVEDLGETIKATVLASGFKSFHVEFEFVLNKKDQQIESARMPDCPKRRFNGVGGAEEKVQKFLGRMARSIESRKSKTISELFQATFIFYGFRGPLNKDEFVASLLQIPSGTNFSITLKSVKKIGPYIKYSVSAAGFGHKPFDSEFILNDESDKLESGIALSCHHGSSKRRDFLPQNQAEVIVKNHLVAASEAVQTRNSIVIGTMFDPNFEFDGCKGNYTKQQVVALLSRIPPGRQFYFAFQYAKFLSPPDKIEYSVIVFGFDSRGIQATFVLNNKTQALISGNITDCQKMRFGGNSDGVQANIHQKLSSQSEIASMYPRTRAQMFLDRLAKAVAKKDEMTFALFQTDFIFKGCDRNYTKLEALRWLGQLPRGIRPRFTIMSTDKMEEMLAISVVVSGFGNPPMEVGLVLNLMSDQLVSGHRLECPDLELH >CRE03709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:725427:726556:1 gene:WBGene00060508 transcript:CRE03709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03709 MWTTPLILLLLSFYGISSEIIPDDPGFVDHLFNGIIPPRAHFHGFVVQDNNREVVEKFLARVTRSIESKDASIIGGLFQPGFIFKGCKGTYNKQQVIGMISQIPAGTNFHFVLKTVEDDGDSIKYSVSVSGFGPSPLEAEFTLNKVDQQLHCGRIPACQKTHFHGFISQESAKETVTNFLTKLEIAFKSKDTNSITNFFKPSFVFVGCMGTYNREQSIELIQMIPSDSKLNYSYKSVEDLGETIKANVLASGFKSFHVEFEFVLNKKDQQIESARMPDCPKRRFNGVGGGEAQLGFSYQESPTTVIERFLGRLTRSIEAKNVRAILDLLQGGFMFKGCKDKYNKCKYFKISEISNH >CRE03920.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:727798:729404:-1 gene:WBGene00060509 transcript:CRE03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03920 MWTTPLILLLLSFYGISSEVIPDDPGFIDHLFNEIIPPRAHFHGFLAQDNNREVVEKFLARVTRSIESKDASIIGGLFQPGFIFKGCKGTYNKQQVIGMISQIPAGTNFHFVLKTVEDDGDSIKYSVSVSGFGPSPLDAEFTLNKVDQQLHCGRIPACQKTHFHGLIAHDTIGNIPPRAHFHGNSFVGAQPEDPNSIMSKFIEQMRQVIDDRNATELAKLFDDNFRFQGCPEVYSKADVIEKFATIPSFLPVGLNLKTAVWNNQGQIIFTVTITVFSQPDTDYEFVFCPYRNVLKSGRRPSCESERSGERSPHLIIGRSSGGDPLSSDYIVQELLDGMKRTIRSRDPKKIGEYFDDSFTFKGCQGTYTKDDTVKKITSIPPEYNLEFKLKSSKFNSQGQIEYTVSVSLPSKDSIDAEFVYCHFKHVLKSGRISSCPARRFADFY >CRE03920.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:727798:729345:-1 gene:WBGene00060509 transcript:CRE03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03920 MWTTPLILLLLSFYGISSEVIPDDPGFIDHLFNEIIPPRAHFHGFLAQDNNREVVEKFLARVTRSIESKDASIIGGLFQPGFIFKGCKGTYNKQQVIGMISQIPAGTNFHFVLKTVEDDGDSIKYSVSVSGFGPSPLDAEFTLNKVDQQLHCGRIPACQKTHFHGLIAHDTIGNIPPRAHFHGNSFVGAQPEDPNSIMSKFIEQMRQVIDDRNATELAKLFDDNFRFQGCPEVYSKADVIEKFATIPSFLPVGLNLKTAVWNNQGQIIFTVTITVFSQPDTDYEFVFCPYRNVLKSGRRPSCESERSGERSPHLIIGRSSGGDPLSSDYIVQELLDGMKRTIRSRDPKKIGEYFDDSFTFKGCQGTYTKDDTVKKITSIPPEYNLEFKLKSSKFNSQGQIEYTVSVSLPSKDSIDAEFVYCHFKHVLKSGRISSCPARRFADFY >CRE03711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:732722:734121:1 gene:WBGene00060510 transcript:CRE03711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03711 MRTTHLILLLLSLLGLSSSFGPDDPEVQLFMENGSPKSSLRQLGPNDARSVVEKFLARMTSSIESKDTSIIDGLFQPGFIFKGCKGSYTKQQVVGMISRIPAATRIDFVLKTVEDSGESIKYTVTVSGFGESPLEAEFTLNKIDQQLQIGSIPKCKKSFIRRSQLPVRHDPNHTFRNIIRRLNIVIASRDTTSISKLFDKNFEFTSCPQVYNLGTVNVSVDTNLHFSARFIVDIINLPYNIEVTYKLIHSKWTEEGFIEGYVSINIPKIGSLHATFVYNPERKVLMSGKKDCETSRKFGGSKRALLANRKETNSTKIVERFLDSLKEIVETRDPGNIGTILDDEFVFRGCRGHYNKNEASQKISSIPVEESLEFELKSTKYNSEKGQIEFILSVSFLSKNPIEAEFVYCPYKNVLKSGKILRCPAERFQKLN >CRE03712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:734803:736704:1 gene:WBGene00060511 transcript:CRE03712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03712 MWLTFIPFLLVLFFVGPSFGQPDDPGFNGPVFLENSEERVFRDVISKLPGLAPPPLSPESTINLDNGAENDGSRGIVENYLAKLNRAITTRKYETIADYFWNGFTYIACNGTYEKATVVNMLVQFPRDSKMEINITSSKFRNYNVDIDYTVVVRGFGSVELISQFHLYRAEIGSFWRLTSGRTPICPSRLTKNFLENSSNSKTPEKVAEQFLIRMKRSIESKDYFTIAGLFKPNFVFSGCETVYNKIQIVGRLMNLPPASNHTLTIKHAEKLGSVLTYTIVIPKSESDLIEVELFLDTNEQQLIRGSVSSCKTNGSLVTVKKFLLLLNAVIKGRDAALIGDMFLDSFMFYGCKGNYDKAQVIEILLKLPPSIQMTMTIKSSKFTDEGIEYTVVIGGALPNDIVAVFNLYLKPGVGNHWRLSSGKRKKCDELLHHFGQLESTKQHFAMDDPSTVVNTFLVKMTEAIESKNSSIIADLFEREFSFRGCKGTYSRDQVVRMILKFSAESDFSYSIEVVEDQGNSVVYNAKISEFGEAQFVLNINNQQLESGRITYCSKNFHVFH >CRE03921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:736924:739028:-1 gene:WBGene00060512 transcript:CRE03921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pghm-1 MPRLYHLSSCAILLVAIVTYCDATKSQIRMPGVVPEADSYLCTSLELSDQENYLTGFKALTTKGTAHHILLFGCEEPGSEELVWDCGEMNKPDDELPRAPTCGSKPAILYAWALDAPPLELPKDVGFQVGGLSNIRHLVMQVHYMHSKQEPDETGLEITHTEEPQPKLAATMLLVTGGTLPKNKTESFETACVIEEDVVMHPFAYRTHTHRHGKEVSGWLVKEDEKQEDHWELIGKRDPQLPQMFVPVEDSSMTIQQGDMVTARCVMNNNENHDISMGATGEDEMCNFYIMYWTDGDVMHDNTCYSPGAPDYRWTREADLNHIPK >CRE03922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:739673:740214:-1 gene:WBGene00060513 transcript:CRE03922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03922 MADPYDAANVPNDPLRSSGDDNYQNLGGLPYVNMDPNAPPGGNFGAVAPPPPPPGQPQFQQQQQIPPLHQTTPTDNGVDSGKPPVGEMVGDGVQELPDSDPSESKKHRIDKPKVKKLKNKIKRVKIIYWAMIVVWTLFLILFVLIHLNQFGIHMLPFLQKE >CRE03713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:740546:740980:1 gene:WBGene00060514 transcript:CRE03713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03713 MQKHNQTKKSNPTHSGRPKKEKDSKDTKKSKNTKTGRRTPSNTKVKNLTNSMSSTDTVTPLKTAEESKSADNNKKLVTKNRQPREKELKLEPTQEDVPVEKKVEKKEEKKKEEDAQSGMEVIVKDDKKPVKMDDGYEDFGPGAA >CRE03923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:741212:742518:-1 gene:WBGene00060515 transcript:CRE03923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03923 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LXV4] MAEIDFPLGGAEGLPDGEGSEVPTNGKVYEFLRSIVNRLVDDWCPGNCQSLIEEKEYIELCYRAREAFWMDRVCLEIEPSITICGDIHGQFEDLCQLFATFFFPPHFPVEKGSSREIKDQPNPQRYLFLGDYVDRGPFSIETISLLFALRLLYPDKIFLLRGNHESRAVNTQYGFYFECTRRFSINLYEAFQYAFNCMPICATVGKRIVCMHGGISEDLRDLAQLETLERPFDIPDIGVMSDLCWADPSNCVDEGMYADSPRGAGRIFGPAALDEWLETLNVDMVVRAHQIVMDGYEFFSNKKLVTIFSAPSYCGHFDNLGAVMHVYKNMECSINCYADEKIYKNRLDAFIKKEAKSNMA >CRE03714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:743804:745685:1 gene:WBGene00060516 transcript:CRE03714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03714 MTKRRASNKKPSGLNKSLGNSLSNDREKTRKSHQRAKYDGNDIENPAFMEHEVNHYIDSVTDETSLEEFLAKAELAGTEFTAEKEQFKIIEKNSAIVVPTRVDYRANLDLQKENEHRLRIPRRPAKELWENMEELTRLENEAFLQWRSDLSELQEVDGLVLTPFERNPDMWRELWRVVEKSDIIVQIVDARNPLLFRSKDLDDYVKEVDPAKQILLLVNKADLLKPEQLASWKEYFENQRINVIFWSAMDDVLEPIAEDGENVVAIPSTSTTNMFITNKDELIAKFKELGHVSDEPSAKPVMVGMVGYPNVGKSSTINKLAGGKKVSVSATPGKTRHFQTIHIDSQLCLCDCPGLVMPSFSFGRSEMFLNGILPIDQMRDHFGPTSLLLSRVPVHVIEAMYSIMLPEMTDPSPINLLNSLAFMRGFMASSGIPDCSRAARLMFKDVVSGKLMWAAAPPGVDQEEFDHLSYPEKKNRDIGRVQMEKLAKLQLLEGDELKGSQFDSQHFSGTIGVAHVRDSKALPQRLGTVIPTAPTQANDKKHYKGKKDKLRRIYNE >CRE03715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:745807:746374:1 gene:WBGene00060517 transcript:CRE03715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-27 description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:E3LXV7] MGKIMKPGKVVLVLRGKYAGRKAVVVKQQDEGVTDRTYPHAIIAGIDRYPLKVTKDMGKKKIEKRNKLKPFLKVVSYTHLLPTRYSVDVAFDKANINKEALKAPTKKRKALVEVKSKFEERYKTGKNKWFFTKLRF >CRE03716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:746689:753854:1 gene:WBGene00060518 transcript:CRE03716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-30 description:CRE-EGL-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LXV8] MACCLSEEAREQKRINQEIEKQLQRDKRNARRELKLLLLGTGESGKSTFIKQMRIIHGQGYSDEDKRAHIRLVYQNVFMAIQSMIRAMDTLCIQFGDQSEELQEKAAVVREVDFESVTSFEEPYVSFIKELWEDSGIQECYDRRREYQLTDSAKYYLSDLRRLAVPDYLPTEQDILRVRVPTTGIIEYPFDLEQIIFRMVDVGGQRSERRKWIHCFENVTSIMFLVALSEYDQVLVECDNENRMEESKALFRTIITYPWFTNSSVILFLNKKDLLEEKILYSHLADYFPEYDGPPRDPIAAREFILKMFVDLNPDADKIIYSHFTCATDTENIRFVFAAVKDTILQHNLKEYNLV >CRE03717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:755318:756410:1 gene:WBGene00060519 transcript:CRE03717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emr-1 description:CRE-EMR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXV9] MDVSQLTDAELRESLKSHGVSVGPIVASTRKLYEKKLLKFTGKANDESLNVVDLNDSQINEEVHQEEKRLSPVFQRKSPSSSTKTPVREAIVRRSSSSRAPESDTDDDCEESMRILTEEEMAADRLAARQSSQGIENRGSMLRSSITYTIIFVIIAVFFYFLFENVEQLQLATMPSNSNADDTV >CRE03718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:756672:757404:1 gene:WBGene00060520 transcript:CRE03718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-12 description:CRE-NLP-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LXW0] MLRHSCVLLMLILVFAEVFATQSPTFDRQDRDYRPLQFGKRDGYRPLQFGKRDYRPLQFGKRSGSGGVVLEPIWEWQ >CRE03719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:758268:759940:1 gene:WBGene00060521 transcript:CRE03719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-96 description:CRE-TAG-96 protein [Source:UniProtKB/TrEMBL;Acc:E3LXW1] MTTDEELHEHFVKIYGIEPEVRITCPGRVNLIGEHIDYHDYGVFPMAIDASTVILAAKNSTNNIIFSNFNPKYSSWESEVPCGWKGSSNPKWNDYLLCGWKGILENQKEEQFGISFLLFGTIPPSSGLSSSSSLVCASALATLSLIVNGDPFEVRGRTMGHQKVIVFRENFAHLCAKSEPLIGTLSGGMDQAAEVLASEGTALRIDFSPLRSKNIELPEDAVFVVVHSNTELNKGATSHYNERVIEGRIVAQILKQKFAISTPSFRLKDIQTLSGKSFEEILKIVEELPEEVNKEQVIELIGKDKLEECLTENTRKFTDFKLRSRARHVFSEAHRVELFEKACEEKNIQEMGHLMNESHRSCAIDYECSCKELDDICQLYLQNGALGARLTGAGWGGCAVVLMAADDVAKVEKLPSLFVSKPAQGIRVHRY >CRE03925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:760483:762323:-1 gene:WBGene00060522 transcript:CRE03925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scm-1 description:CRE-SCM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXW2] MSDNPFADPFSAPPPPKAANSSVAPAVSSSGTEDFNPFANRAGGSASSSSANMAQPSTHQSSGGFGGKSAGMDDELFRKQQELERRAQELRMREEELDRRQRSNVNNLNTNAPNNAPRPHNWPPLPTIIPIEPCFYQDIEVEIPVQFQKTVTLAYYVFLVYVLALVVNVIASLFYMIFAGGPIGQLFLAFIQLALFSPCSFLFWFRPVYKAFRNDSSFNFMVFFFVLFFHCIFTFVQMLGLSNYACGWINALDTFKVSVPVALLMLISAIVFTVALTGMVTALVKVHRLYRGAGFSIDKARQEFTNGVMSDAGVQRATAAATQAAAGAAFNQATQGRF >CRE03720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:764016:768740:1 gene:WBGene00060523 transcript:CRE03720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tub-2 description:CRE-TUB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LXW3] MKILWESDWQGARIEQSITHLSWIHQPDKPDHGLLGVGTDSGSVGVTLTDFRPTPDDLTRYNFNLRGHHSAIRMVTWNRSQTKLASCDATGIIYVWVRNDDRWSVELVNDRGVKVSDLAWSPCGGSALICYEDNFVLIGSASGQRVWSNSFPATSSVTCGVWAPDSKQLVLGFSTGTIQVLSSQGANITERSFTEDRLNQMAFSPVRGKDKEEEWTLAMLTASNKIIMISAYDQINSLVYRSPFQVIRMQWNFDGTILAVINSNNELVMLDTNCRVIHRERVSVSEKGKALIPAPVIAPRLTAFTWAHQGNVVILAAAGCLTTGRILFGVPSLFEIVTYDLWKMMGSSAKKVDKLPIPLKEMNALRELDHHVIRCRIPRPQELCSYVCSVVDARCYCTIRPLARGSHTYVLCFEHLGGLVPLLLGRQVNRFLPQFQIFLFQSSPCPISLAPGSSAQVEDVNSIVRASNARNSLWRRSKRQLRALMSKHVRPTRPDTRLLQVSSNVWCTRFNISSLSPTLLPSFLGQVIYKTSVLHLQPRQMTIDLAVLKKETGKDLPEVEGGTTHLTSSEQGLTSEERQFFEKILLECLSLRAAINSSNFGKPLATPTPPPPPACQPSTSKAHESSTQTTPISTRTIESKHDVTSMASSVSTWHEEIETLAFIDGDGAMDNDKTLLVEPALKSGWDAMEMQASKKDAEVIRSHVDKLASIAEQLSKRHGDFNVKRDKASINKMRSQMKTLLRRVNEIEQKVANGDVKTEVRQLLSTLKEMKKALGEGVPKSGRHPESSCKIETMSNKTPFWNEQNQVYQLDFGGRVTQESAKNFQIELDTKQVLQFGRIEGGSYTLDFRYPFSASQAFAVALASITQRLK >CRE03721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:770233:771998:1 gene:WBGene00060524 transcript:CRE03721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03721 MIYPQMRNFKHESKRERIIRERVTAGLCSELHLSANTVQTNNLSVTSASVAPEDYARIVSHLQSAVCLNVARRVACPAHDSKLWTDGEKKKYPILRFLWKVIVVIMSILYVLLPPYPPKLFRKIVFCCPQKGRYYYLIAAKGATRRACFRASEADGYDHLSICLPQMIRPKVRAIDVFYHLLRCKVFTLPYNEKHKICAMELVCEQSIRWLHRDKNRKNRLRSPNLIIFSQPNSSDLGCCLMMDPNFADIADFLQCDLLIFDYPGYGVSEGTTNEQNVYAAIESVMKYAMDQLGYPAEKIILIGFSLGTAAMVHVAEMYKVAALVLIAPFTSFFRIVCRRPSVVRPWFDMFPSLEKSRKVTSPTLICHGEKDYIVGHEHGVQLKDTIPDCELHLLKHASHQGIFCEREMWDRVEQFLGTRVGITRNWIEHLQSESSTSPAEISEVIENPVSSRTVERVTGDPKFHGKSINLENGIGLN >CRE03722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:772290:774505:1 gene:WBGene00060525 transcript:CRE03722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03722 MDRDSLDINGLSKSFVKNSVIISHAGVDQSFSVYQHALTSHFSRSPLVWKINNKPFPHRLTFPLKFVPYEEKLCFGPQITPTEIMKIVFLHIFNINVTSADDYRQNVRHNVSDWFAKLNSKSDVQWIIVINTNRAKDRKTKSAIMEKIKTDFAKFSSRLFELPDTSDQTAFVSFVQGIQGFIFQHLTLVMEIWDKSLKTQYEKKKQHTWDVYAYFSSMTEYGRVFWSFGAIEYAMSIFDDMEKLLHEFVLRSGDAESPKWLAQLLNIRIEDRPSLLANFGIQRIQKKESHYLGMRNYLLCQQIIMSIHLYQQKMKSNDAAPSLRSDCAVGIMQRSLITIESVKEFNNLLNNKRDIPMTCCWTWWTASESLSICKLLCDVSHLNVAPVILARLHLARFDALFILAKQLKLNPEDRNELSEWLKIKPISFESKILNSAIETTEQMCTEMEKAHDICVNSLAHANRHRFVFNITNQLFVFLRSVKAKCPIQKLAISNSILKTMPIQESTAQMLKSLCSELMDSESISDEMMISLLFFISQCEHGKDQKTNGKLLEKCEKKAKEDGTPVE >CRE03926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:775746:776356:-1 gene:WBGene00060526 transcript:CRE03926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03926 MLRVFLGAALLATSLHAFPVENLADCPFPNGTDKAIHSYMCSNNEQFSITDIQTLDKNGKPLYPIDPRQEFILNLTTYNHGPQIDDNHVNVKINQYKSGWSGDCSWQAIPTFGLLNGIDGCQFAHNCPLTSGPLFLILPLDLSQFSAIINMLAAYKPYELEIRMFNYNAGNTAHEEIACVMAQVELS >CRE03723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:777873:778939:1 gene:WBGene00060527 transcript:CRE03723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03723 MNRRYNISLQFVPVLVINSKTSMLTTDILLEVELNRAAGPNITTVIESAHLSTEPLHSPNSEARVAVPLSKFPMESDLLSVLNLIWKLPLKGSLVKHRLHLDYTVKNQEPQLKYTFDDIVELTVPDVQFEICTQVLSQQPGAQLCRATSPCHFVISIRFLKESSCSLLVVLDADDRMWTLVERTKMVTVKDSGLGQLALTIIPVVAGYLPFPNVSIYECQLAVSQDSWKDKRTSGYIDMTTDKHPNRFASSLDPESSHFAENFAPIFTSLPTVHLPRLSYFPKNDQNDIINRKKNRNQKLKVKRDEKKR >CRE03724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:779715:781584:1 gene:WBGene00060528 transcript:CRE03724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hex-4 description:CRE-HEX-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LXW8] MVRNKQLWLIISLLAMFLMLIFLRTSPNSQGSNYAFYEGGVDPRKTRLFKNVIVHVDLKGAPPRIGYLIEFFKLLSKNGVDGLLIEYEDMFPYWGEIESIRRKDGTYTREEVKRLIASAGELNLEVIPLIQTFGHLEFILKKPKFMHLSEDLIDFNTICISDEKSIEIVEEMIQQIRHLHPNSTRIHIGSDEAYHVAEDIRCQRNMEQNKIGKSELKLKHIAKIGKYAREIGGFDVVFAWNDMFDKESEETIRDAKLNEYIVPVVWGYRPDVTDQGYFPDGLFNRLNNAFDRFYVASAYKGADGARQPFSNISRYLENQKSYVKLMDLHPKAAEKVAGIFVTGWSRFNHFNALCELLPVSIPSLIVDLYYLNYQMPSNHAWKAMKDHLKCDQKKHLRGILTEWAIHGCIFPGADAFEIIMHDWKRVVDRRLFGRRDLQSSESPIEILNKMRESLKPILYKTDIEEVFNQYLTDFHSIQRSTTTTVSLINPVP >CRE03725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:781911:784278:1 gene:WBGene00060529 transcript:CRE03725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03725 MKNSLCETDIDEVYNQREDYVFQATKTKMKKREKEDEEGLLYRKTYAAGSSTVLIGDHDSDSEETSTSSTYPSSDLSFSPWPHVFNLANCIIGVSVLAMPYVFQQCGILLAAIMIALCAVLTKLTCHFLAQAAFNTRTTSYESLAMATLGPSGRRFVELCLLVFLVSSIVAFIVVIGDIGPHLVAEFLELEAPTQRLRILVMIVVVVFIILPLSFIDDLKKFSVISSLACLFYFLFAGRMMLESLPTIYEGEWSIHVVWWRPQGFLTCLPIVCMAMCCQTQLFPVISCIKDATTDRVDYVVSNSINICAAMYAAVGVFGYVAFYSHELHGDVLVQFPPTIVTQSLKLAFLLSIAVSIPLMMFPARTALFCLILRDVSTVAKESMSHTVDLEKFTFHLLTAVILIFNTILAILTPNVEFILGLTGAFIGSLVSTILPSTIYIANQSSETQNRARKVGGATTSTARLCLVIGLFILVASTAAILMAEKKTSVVEKPKAKDDTSGELRNEELKSLESLEEKVLDANLNISAKLDDISELAAKGNDTEAVKMLVEMKEQQKIQQQLIERQEQIVAQLNKKTENLGTFKPMIHFQKVYFSANLTIDEVTVPITPPVEKVEEKPVENLEKSTEKIEKTEKPTKAINIET >CRE03927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:784891:786220:-1 gene:WBGene00060530 transcript:CRE03927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03927 MKSASCFVAIILFGPLVVTSENIQPNQSILLSFFLFLNIFKAIRLYYKFKLFCARKLRKIELMIQYENSKIFTGLHDILLKTVHDTASYLGFGVKCRNGGHKTEDNTCICPKDRFRGKECEQRICINDGKLEKVTVPTVHHVCKCPHPEFISGEHCEKVRCANYGEVVTSKTNGTWRCDCKGSRFYKGEFCEKFAISSGWIMLIACFGVFIIVAFICSSNWFSRNRAHRRYPVNRIPPPGHHQSSSSGHRSASRDASGRRINSSSQNPRTAHRSSSSDDLISSERGAHRRTTAFPQGGITGQYVVRLDTIPTFNPTMIGGVEPLNPSDQPVGPPPSYDQAMSSIRADPPSYTPTAAKNDS >CRE03928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:786782:789511:-1 gene:WBGene00060531 transcript:CRE03928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03928 MAIFIFDGTSVIYVSTLVALILIWVVIIGQRQIWRHRHNVARVRPQIALNSRISSKNAVTLRENQLDAVMRLRCENQARLTDCVSLQFHGEKPYVHRMIAVDEVALEIDGQLNRIEGAVQRQAGESTYSYLKRIREKVPSIPLNLVQRIAFLQEAARYRPEKFAVEQVMELRSSLNQFVKILSAEYESLADEPDMAAPRGVIASFYQFGQKIMPNNSGSKRRRNKFGGSDGVRLLMKEREEQMSLLSPLARQSADSPAPHLRRQSDSHSALLPQ >CRE03726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:789734:791652:1 gene:WBGene00060532 transcript:CRE03726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03726 MSFDDVFHDYIRDIPMDMSRKFYPTQNIRVDMIHIPERLTFSSFEYSFEAESRAREQFDKQNETITLDSTTTTSSGGGPTSSNHSDVKTSESRGSVAHDVLSQNVLVPCPVNSTPSFRSSTKPTYTPNSSSMPHSLHEFESTKNVFDDMQILALDDKKALQEVLMLSNSWNSQPPQSTSSSPSFNQQPNNNNNHVKTAEMNQEKTEKIGKTQHEELKKRLLTKGYRVDLVDKSLNLLPKSRLVHIEYYMKACRTIEKTGRSTVDESLPFLIQCDLSDKMTVLSYSDVCANLLKMGFPRDQTFEAVAVEKGDQDRALSRLLPA >CRE03929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:792065:793879:-1 gene:WBGene00060533 transcript:CRE03929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chaf-2 MDHFMPQIFWHDRQGLLSVDLHHMMRNGKYRLVTASVQKEVRVWEFEFELGLDPKSQETKPQLTVGFLANLAFHNQAINQVKFSPSKEYDLLASGDCEGRITIWKLSDQPAPPPQDEMPTNKENWIRYKVLNHNSDVNALCWSPSGTQIASVSNDHTLAVHDALTGKRLFVASNFRSPNGVCWDPLGKYIVTMSPDRRMDLMDAVKGTRLKHFSSATLPARSFLSASGMIHLEEKSHKLFHDDQLFSFQRALVFSPNGEFIAAPCAHLELGSSDLYGTYFFKREDLGTKDLPFAFYPAPKPTFLVRFSPITFTLLPTTKENHLGLPYRLLWIALNKDAIYFYDSQHNYPVAVVDNIHLNSLTDAAFSSDGRVLVISSLEGYCSFIRINLSQWGEIMTEVVPVCTSPNLIEEKKQKKRKSTAAVVAEKEKEKEKEEDPSKKSPVRKQTETPKGSTPKAVTPSLKKFFKKEPKTAGSPAPAASPALAATPSATTEASPATSTKKRIQLVTLDT >CRE03728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:796543:798016:1 gene:WBGene00060534 transcript:CRE03728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03728 MLNFKSSMSVQPFIRTPTCQVCLEPYDGKRHTPKILQCAHTVCESCINVLEEQSRRRYNTGLDPTIVSISCPVCRTETKTPRACIRTNYQLIDVVDGMCKEATHNIAFVNCIECEGVYHEKDVNICTQCSPINEDTNTNELVERSVSLHQFSLCSTCLLAHIGKKHTFIPLQPLRVEMQRQENIRRILQSQEKLTKSQLRFRELLDKTMAKWILWSGNHELNMTRFREATESYHQKTLFEKFMEEISTKTEQIDNLITHVQMWSDELERDMPRSEFLARFISPDRTPEGARLPNAPPVPPRPAAHPPLPGTPRQHNAGNEENFLYYFERQ >CRE03930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:798403:801628:-1 gene:WBGene00060535 transcript:CRE03930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mab-20 description:CRE-MAB-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LXX6] MKTVFLILGTLLWVSVPGWASISADNTFSDRNIGEFRELIINTKDGALFAGSEGAIFRLWAYNINDTGENVFSKKQLILSESEESECRSTASDERLCRPSTRFLAFTNNMDSIYVCSSVGMRPEIRVLDSLSLQDQQEPRTEIGICVVDPTFNSTAVVVDNGNPEDATSVYSGIRTGMGGENHLIYRPPLTKNGKQLHASIRTIYSDNKWLNEPQFVGSFDVGQHVLFFFREIAHDNSFGERIVHSRVARVCKKDIGGRNVLRQVWTSFVKARLNCSVSANYPFYFDHIQSVKRVDKHGETFFYATFSTSETAFTSSAICMFQLSSINHLLDTGLLMEESANGQFSVTADEIPAHRPGTCSSNSHSISDTDLHFAKTHLLVSDSISGGTPILPLRDHVYTHIIVDQLQNQNVIFAFDSLHRKMWKISHWKEGNEWKSNLIEQQDLRTPAGSKINDVALLPSEFFFTSSKGGVSQFSVARCQDLPSCALCSLDPYCSWNAVNSKCALKTKTNEKSVGWVSSSWAGRISPECSAVEKLTVKDVYLGDGIKMVGARGGVWQKDGRDLEFGQRHVATENGELVILDVDVEDAGTYECLRGNIILMRARVVVHENCARPTSVSEYRSCQREWCKKADAYKAALNIWSDSNKKNVQCKANGSNIH >CRE03729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:803052:809053:1 gene:WBGene00060537 transcript:CRE03729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03729 MPPTTPSTLAHWSKMTNDVRRIVVGHLDYGSRCSMKLCSKSDYYLVNMTKFKANNILISGVNDGDEEGVHIGIDSFSIWFIKKDNETEIERGWKGKKIERYKEIRQEDHYDMSRRFLDKYHQKFGMLNATSVDIEMVSFSPSPNWKIKCDNFRIFEGPLDWLNASVSRKYKSLKILDVTNLDWAVDERMLEATDKLNLLSENDMTDDQLGTVKATDVWLHSNRITTNGLIKYLDNSTMIPYSPTPVEWSTITNDVKRYIIGFLDYESRCNMRLCSKSDYSFVNSISFKANRLSISEVFNWLLTHFQTIIRCDIDSFTIWFIGKENVTRIDRAWNGELIHEFSEIKHENRYDLYRRFLDNYHNRFGIINASTISIVLFPHAPSPNWRIKCDNLTLYGLRGGYDVTWLNQCISITFNSLEIAGGDSTLIEVNDRMLDSSESLKIDVDSSMTDEQLERAKATNFIIRSDLITVNAVKKYLEYYLKNRRENDRIEIFLQLPRDYDFSRLIPESVVFRKLRIPEYSSSIFRIKLTDFQNENGFNEPQDLRVRFTPDRANITCETLRRGRSVSLYSLDKDDEDSEYNDVDFDQLSLV >CRE03730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:810495:811611:1 gene:WBGene00060538 transcript:CRE03730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03730 MTKVKRFSIHEVKNDDGKISISIICDESGTYIYSTPGSDTVDIQRNGNSFTKTGEIHSISSELCEEFIENGLLECEIFELNNTTIPIPKSLQKIHCNQFLSFCLGDQKVKFWLELVDGPMEILNITEKEIIEGMGGMEQLRNVEKQLMLCECDMNDEQLDGIKELGTMVLNSPNITEKGVKKRLENWLESYPEPGSVLEFRFKPSSPDFDKNSIFSHLMKAEISWKRSFEFTISFTLNSGRILEYDGHYFDGNEGFHLMKIVIPMDWKPKHRDDF >CRE03731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:812772:814023:1 gene:WBGene00060539 transcript:CRE03731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03731 MSWSKLTVRLQQRVVGWLDYESRCQLRSCSKDDRATVDSTKFIASKLKISESRSEMSEGKTIIRCDVDTFTIWFIGKENITRVDRGWNGEIIEGLSEIKQENRHDVTRRFLQKITNNGVIQMNSLELESIEFDYPDNWKPKCDILRVFNIPEQYYLNWIRNLFENCRKLKALEIRCWGEYPEIDRMLSECEVSESLKCDHDTHLTDEDLERMKAIDLRISSDRITVEGAKKRLELFLKHGKKDDQLELRFLKPESFDAEIDLFSKSLVIKTVGKDESGDFTGKIVGGFDNVHGVRNTRDIECVLFDETMRIWCTLPYEHDPIPFALYPF >CRE03732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:814656:815915:1 gene:WBGene00060540 transcript:CRE03732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03732 MPSWINLTVRMKQDIIGLLDYESRCQLRSCSKDDRDTVDSTKFEASKLSLVETQSEMSDGKTILRCDVDTFTIWFIGKENITRVDRGWNGEIIEGLSEIKQENRYDVFRRFLQKISINGMIHAHSISIENIEFRPPEEWKPKCVNLKVTNIQNNHIVEWLQNSFVFSRKFKILEISCWGEDEGIGELIPALEITDALKLNHETNLTDEEVERIKAIDLNVSSNRITIEAAKRIFERFLRLSKKSDSLELRINRPEGFDFKTDVLPGYLNVKRFKKENEDPGEYYGKIFGGFENVHNVYDAREVECIIFQDSMRISCEVYERSTNPCTLWPF >CRE03932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:816303:818502:-1 gene:WBGene00060541 transcript:CRE03932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03932 MSSTSLTPSVSSHRSLDIDNFSVKEQEKYAQITSSDTEHEDSSEDEEYVHTECIKPKLTERKTKPKKQENEDTVDFVPIIEDNVDGLVVELENFGTTSEEIKRLFQNGRFRSIPSSKLEAAHVRHLLKDFSLPKKTTVGSTSYSQILSSSDDVVCMSKCVFKNLLLNPSAKGAELNALVLLFVLEDVLGIKTEDTLWWQEPPVSLQAGMKFKRAMCHLYDIVLPLIASASQDLLPPNVGSRFWNLNQDACLVVDALVVKHGDETKSVLKLIATVHLSYRKTKSISFWCISISFNFRELIEVFFPSNMMIKLEITLSKETLAADPLDQDSKLDPGKLSALAEFVLRMRRLADHLSRCKLCRHRFAELEDIGPFYSVLGIVNAVLSSLFRVWYPIDMKFEFGRNIMPIDAKKATRRVIRERNREVKKQGVKTYRKEREILPMRKNLCASTSIQVSILFRKCFCVRTTAMLRMRMKQSTSIRINIVHRPGSPMSERATSEEKLQVVIHQLKEQLYKAAQKIAKEETARILSRIPAKYHNMPIHEFLNSDPPMDMVEALELLEVEEDSEDNNDAKTAETDSTTDIQ >CRE03733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:820024:821794:1 gene:WBGene00060542 transcript:CRE03733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03733 MSWSNLIVRIQQKVVGLLDYESRKVMQHFEIMQNKKCVFSRSHYFCFRCHLRICSKDDRDVVDSTKFHASKLKIQEFPSDMSDGKVSFLSVGFFLNLTCFQTIIRCDVDTFTIWFIGKENITRVDRGWNGEVNEEISEIKQENRYDVMNRFFEKLAYKGVIKVNSLELESVSFLQIQKFSIDRQSCDFLIFENLVFHHIRLFHNFPYFQLTFPVADSIKIKCNCLKIINIQDNHHMEWIRNTDPFAQKFKKLELRCWGDTRELREVKKVLDASESLDLDYETEFTDEDLETIEAMNLTMSSTRLTVEGAKRRLEAFLRHGKKEDVLQIYFTIRDEFQYSELFPQSLKIKKLKREHEQEGDYYGKVFRGFENIHGVDDPREIDCISFGLKLKLICKKYLDKHTFRQTQLFYRGKPIRLQMSGNLDNWPKTTDFPKANIYSNLNTNLQNSLPKKLVQLSESASFGASKSLST >CRE03734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:824507:824966:1 gene:WBGene00060544 transcript:CRE03734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03734 MSLTADPPACTVPAAGGASTHKLVNGGADKLIFKIKSSNNNEYRITPVFGFIDPSGSKDIQITRTAGAPKEDKLVIHFANAPADATDAQAAFASVTPAGTVTIPMSATA >CRE03735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:829901:831128:1 gene:WBGene00060545 transcript:CRE03735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03735 MAEKQVMNEKQEKRIAKEQDKNYVDFRKAEILHDIKPKSKAVDLKRFDEAFPKPDKSKRKGGAMEFGETMHKYLLMRPDGRFATWPGKPVPKKKKAKAAADGKAGGLMHKMASAAGVAKQSKDSIPVTPKKQVKNYEKEVKKALIQQAEAEEDETDQKRQKEALTWLETAEVLAKTSHTLNAIVENKPFWLAGDPVVPEDETDAYVDADMLAEFVSGANRGKFPVNPSERTKFTPYGPVGPLHAMKEYQNKNLVIGNTLQSIIDSTADRKGVPKEREDKGVKPILKWCKKTKQLEYVMDSAPLEKTAIHEGKLQPIVKESGKKE >CRE03935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:831349:832860:-1 gene:WBGene00060546 transcript:CRE03935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03935 MKILKKEVKTGEKQVVVVMKGAQKSYIAPDVPHAFRQKSHFRFFKFKSFFKILVFRYLSGITTSDCYYLIQCGVQSSSSELAILFANRRSEYEELWEGSLPTESEWEKTSKFSEIVPTNRLLQTLEKVVDKGTAVFFDTNSDDFLAKFLQTKANSVRDINHFIERLRVVKSENEMDSMRDVCNLGGQTMSSMISGARNLHNENAICGLLEFEGRRRGSEMQAYPPVIAGNLKNSFLAILNLFAGGVRANTIHYLEANNDLNPSECVLVDAGCDLNGYVSDVTRCFPISGYWSDAQLSLYEALLYVHEELISYAHNMEKVRLSALFKRMNELLAASFSELGLIKSTDHKEMIHLAEKLCPHHVSHYLGMDVHDCPAISRDIDLPGNVSFTIEPGVYVPMDWNVPEFRGIGYRIEDDVATNPSGGIELLTAAVPRDPIEIQRLMGTSE >CRE03936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:833316:834818:-1 gene:WBGene00060547 transcript:CRE03936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03936 MYLMLRCTIKYASSSGFQNSEQMSCALVIGATSTLGKAVVRRLAFAGYKVAATGDCPNSIGKVAEDNKKVGGDVTAFSLDVTNPEHRKELIQKAAEKLGGLDTLVIVPPQNEIRGEIVETSEQDFDKLFADKLSIPFRLSQVALPTLAKSKNGSIIYITSCFGFQPSIDMGLYSVASNSVLSLTKAVAQSAAKKGVRVNSVVSGMVEGDGTGAVWDNASEEEAKQIKQHLETMIPLGRLGRPSDVASYVEFLASNKSRYITGENCIVGGGVSYRL >CRE03937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:834925:837496:-1 gene:WBGene00060548 transcript:CRE03937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-59 description:CRE-PQN-59 protein [Source:UniProtKB/TrEMBL;Acc:E3LXY9] MGIKGDKKATSDQARLQRLTAEGVSGTEEDIQLMIKTIIETTGCTQSQAEIALLDSDNNLYGAIDHILEAGDKLDSWTEQKGPKKEKKKSDEGSYNSRSFVARGRGGGTGFVDRGGRGRSNGAPRESRGESREHNRETRDNKEGGAPRPTRGGFERPYVGRGGRGGGSRGGYSRAVAPSSTLEADAFTADLDENSTTKVDTTVTEVQPPVEESVTATVATSSAPAPISFAAVAAAAHRKEALRKQQAQNPQPSAPPRRSLSPQPPLSAAAAGTSPAKEEPEAATFSEPETSHQQEREDGFFQSDFSEPSALAEEQTPNVSTHHDDNVQSSPEQANQAWTTQLKTELGIGLHDAPGLGLSPVPSVAPVQTMPDPGVEFVGAKPTNIHDYSFGFVDAPPSPQIPPTEPSAASISTNNSENLFNSSRIIPKQVEPERTSLPNGDYNLKSSSPPLGYGQTNRGLSYDTSSASYPPTDRLSSNKSQFPNPGQLPSQQSTQQQPQQQQPQQATQQQQQTPPAQPQQTGHPQHPQHMLFTPQMPYGYMNSYMNMYSPMPGVRDEQYAALMQYGMGVDLANLSTILPQSAALSQTASAQQVPSGQQRETHGLMDFNKFGSQTSRDQQPQQPSNVGPPPGFQATNYMQQPNLSSLFMQQPYPTAPHTFGFMNMMPNVGSNAGGRQMYGQDDDRKSYDKMAGSKPAAQPNQHSQYQHNGGNLGKYGNMNNKPYNWGN >CRE03736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:838679:841227:1 gene:WBGene00060550 transcript:CRE03736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-4 description:CRE-TAF-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LXZ0] MSLPRFRLVQGKALGERSTPPVGANMTPEPPQPQIKQEVEYNADTPEQSIQNIKTESPVSPQQQVMGGTPQPPPMMNQRPIQQMHYQPQPQLQPQHQPQPQHQPQPQPQPQPPQQFPQMIPPNQNQAAQNISAEERNVQKCVRFLKTLISLSNNVDAEMPDKAARVKNLIQGVIYMETTAEEFTQDLQQVLKSQAQPHLLPFLQNTLPALRNAVRNGSASVEDVHPPPGYVFNNGRVPLPQLPPHPQISQQSHTPMAIQQPIGSQQIINRLNGPVIQQRPPLNNGPPLQSTPQIPVVRTMSGQAGPMALGQIPSGPQQMTPIPQQTAPQTPQNLQNSMPPSGPVPMEVDPEPARTRQYPEGSLKSSILKPDEVLNRITKRMMASCSVEEEALIAISDAVESHLREMITMMAGVAEHRVESMRIPDNYMAIDDVKRQLRFLEDLDRQEEEMRESREKESLIRMSKNKNSGKETIEKAKEMQRQDAEAKRNRDANAAAIAALSTNRTVKNKWEGASSASTAPRPRTVRVTTRDLHLLVNQDNRFNGTFIREKLSYGGPAIDTTI >CRE03938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:841478:845365:-1 gene:WBGene00060551 transcript:CRE03938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03938 MGNVESNHTNDDLVDSLVETRAINQRNIERAFRLVNYIKFVPAGSRRNARMPTRILHQPGGQFFPGALHMSAVDVYTRIVELFRLSKGQTVLNVGSGSGFLSTVIGILIGDTGVNHGIELYQNLLDYAEENMDKWARKKCASSVGWARPQLKLGDIYDPDMWRHHQHRYDRIYIGFIIRDKSVLCRAIRMLKIGGILLVPGNRCIIRYTRTSENDSNCETIVGLSFAEGIQLPIEQQPPTPIFESAQSLIHISRQDIRRQLREEAYTRRVRRYINASIVVPIGGRLPEESTLFGIQRERGDIGERVRFLRDQRRRNGPPTLEEVTNRYVNNERQLTTRRRELETALAAMHQELNDQISNRRADAPAHLVLPPERERVSVAEAMEADFIRGLDRDDSDSTESLSLSDLSSRSTSYSPSISSLSSAESQPESVEAMDEGSSHAENDTNDGNRTRSPTDTTDFPDLGSFRHSILQPTPSVAASWLESLQRSLQASVTPSVIHPGGPNDSPVRPTVDTKILDPFASINSDLDSSRWSSSSDVNNFNNAKLKESAPPPPSTPDIDSDFESDGDDLHPQGPRDNNPQREGGHQLPRCEYVNFLISRPTGVRSESDSPPTRHRAASDQSPSAITISCSRRFSTSPFDILKNAKRTQREFIRDMTKKGKPEFRNFRRKTNVHGTRDKSPKEQSTEEEEMKDTESTSKMAQDQETLDDVPGSSGAYPFGNGGTLAGSNQGSSSTAASPQVSHSITIEEKEEEEECEPGSSGAYPLGNGGTLRRPEASSDDSKFKRPYDFPVNKNKKRTGFGKRLKALFSPRNLKRNANGNPVVTQQASHESSSSSGVPSYVAELAELDEQHRRQMEVLREQTRNEEQRLAELRHEHQEMEEIRRRSEHSRRNMMARVDADNRRFAAFEQYSQYLRLGIEREDARFSDLLLQEPFRIRWEETFSPNNSEDRFQLIAEQMAMAISDYQGVMGHGDDEILSRLRPQTRRREPEIDPEIRINFTFGAYRREPIDGNITGDEDEQEGEEEPDPNQPSTSSGVTDYEPSLSDVLQAEQDASSSDDEDANDPEETHFPAGLTPVEMTLFQPMYRIHQMQRQQNARSGEVLRRRQERNAFLRLANRNFHRRVEELPLPVSLRHYVKTSEDKVNLESKK >CRE03939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:848845:849969:-1 gene:WBGene00060552 transcript:CRE03939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03939 MLSTRTCKVSLIISVLVDVLLCAALLVSLAYYFINGKSFSAHYIVGFLAFFILFTGFFISLVVAVHTKDIRAILVSVAFTVARFICAIIVVIAWMSDYHGNPTEDMPKKEEAGPEDPYEWISQRKAILIAFAGIYIKIFISQLFILNRYYSHAAESQREAKEREVNMEF >CRE03940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:853298:859406:-1 gene:WBGene00060553 transcript:CRE03940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gsa-1 description:CRE-GSA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LXZ4] MGCVGAGADAEGREARKVNKQIEEQLAKDKQVMRATHRLLLLGAGESGKSTIVKQMRILHINGFNADEKREKIKDIRRNVRDAMQVILRAMTEIEPPVSLDDPSTAISRDHVLRITNDPEDNYSQEFYDHILKCWRDKGVLACYERSSEYQLIDCAQYFLDKVDVVRQDKYDPSEQDILRCRVMTTGIFETKFEVDKVRFHMFDVGGQRDERRKWIQCFNDVTAIIFVCASSSYNLVLWEDNTQNRLRESLALFKNIWNNRWLKTISVILFLNKQDLLAEKIKAKRYLLESFFPEFEGYNLPNDAVYDNQEDKDVVRAKYFIRGEFLRISTANSDGRHHCYPHFTCAVDTENIRRVFNDCRDIIQRIHLRQYELL >CRE03739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:862028:867729:1 gene:WBGene00060554 transcript:CRE03739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-4 description:CRE-VPS-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LXZ5] MSNNTALGKAIELVTKATDEDTKGNYDAALRFYDQAIEYFLHAIKYESQGDKQKNAIRDKVNQYLNRAEQIKQFLKDGKQKKPVKDGKDSSDEDDDKKKFQDKLSGAIVMEKPNVNWEDIAGLEGAKEALKEAVILPIKFPQLFTGNRKPWQGILLFGPPGTGKSYIAKAVATEADGSTFFSISSSDLMSKWLGESEKLVKNLFALAREHKPSIIFIDEIDSLCSARSDNESESARRIKTEFMVQMQGVGLNNDGILVLGATNIPWILDAAIRRRFEKRIYIPLPDIHARKEMFRIDVGKNYNTLTDQDFKVLAERCEGYSGYDISILVKDALMQPVRRVQSATHFKHVSGPSPKDPNVIVNDLLSPCSPGDPHAIAMSWLDVPGDKLANPPLSMQDICRSLAQVKPTVNNTDLDRLEAFKNDFGQDGQE >CRE03941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:863479:864902:-1 gene:WBGene00060555 transcript:CRE03941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-23 MYGFFNSSEIDEEYYNATHTSAPSPILALIFTVISGIGVIGNASLLVYIIVQKLYHNFISSHFIAHLCFTNLIALLVLVPIIIHNVFTGVNLLQGSNWLCRVQVSITVTVWTVMAMMNLCIAGVHLLTFARIHYEQLFGLSPTKLCVLSWIISWLLSLPSLTNGHVAIYGPAVRTCVFSHSDSGLKFLTYTLIFGVFIPAMFSTIAYFRILQILFHSPIVFQSLGLYKSRFLVYFFLLSPLYTLPFYILTGFDPTDPVRMNQNTLWTIACTMFAFVPCIVAPVLYGASIFIIKEEDMALTARTHKAPPGAYHHVAQQHNMQAQLI >CRE03740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:868661:870393:1 gene:WBGene00060556 transcript:CRE03740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03740 MNVAFLIFLMIYRVNAQYEPQSNIDRLAQRPVLPQCPREWEWACRNGECIAHYDVCDGIQQCTDGSDEWNCGDGRRGGAPMAREGVAPPRESNMANTVAAVVKETTTVVAESSGTVTIQYTHILFALAAFVILSVAVVTVIKRRSRQKTGFRNRRGGHSILQQDSDEDDILISSMYS >CRE03942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:870655:871020:-1 gene:WBGene00060557 transcript:CRE03942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glrx-10 description:CRE-GLRX-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LXZ8] MSKAFVDGLLQSSKVVVFSKSYCPYCHKARAALESVSVKPDALQWVEIDERKDCDEIQNYLGSLTGARSVPRVFINGKFFGGGDDTAAAAKNGKLAKLLQDAGAI >CRE03943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:871151:876965:-1 gene:WBGene00060558 transcript:CRE03943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03943 MLGTLSREIHEENYASTLAVLKIMKNSTGSEDKINGTIDNLYKILLLQTLKVASVKKMDPHIMLEWLDAGDRLFCNSIPRENYAILKLYFLCQNRKLKLECFSCGCVQKYFIKLYTEDENTRLIAALNSLITSKSMNLNPIIERIYNSLPKISSIDYFEYYTELLGELENCSVIDGADSNMKLKRLIQLIGILCELRQADVPKACSNLYALIRLSKYDEIKRDLIIPIILRNKLLPKIFDSIMSSGCNTTLLSDRNSVQKMAELVKNEKYSYAKQASALFSPLQLLNQIYSEFDAWESSSITSLIKNRLNELKMESSEATISFFYFISLIYRNEPSLVDNLVDYLKLQFQNSTTISVKVTILYILEVIEILAGPNSSFHPYIKENNPKTGLILDSELEIVLDYIQGFSSLEIHRFLQKRKFSDDEHSMLMKQLKNLKIYVNNDEFWKVLLKKVDGDSVIFIENQLRILMNRQNQKSINQRIEQIFVRILPKETMDFQKDIENIEMLLLKFPQVLLNLSDSDYKLLPPTTTISLASTLIFGFAAVLEGNESKITTLFRRLHSKLNPTSPISDQEPLEIIEDIAEKIRSKQLSGDLKIGFLKEVYSMKPENATKKERIAQVSSILFEKLAGVFQKLGDANGNSPFCQLATIIDEFVKKTGEVVPNYQIRRSVCERAMEGFAIGAEKWNWLFLRRKILAVLSSAEQDHELMERLMRILNKNEMSEDGELKDSPNTESLNDDLFTIDRNHSGIIPNEDIHDLEVGDEHSNTPDGTSKTAEGESTPSEWMKQMRKRMLEPADAVTGESPEPKRRRVNLCFNCRGEHSISQCPEPKDFQAIRKNKQEFLNDKQQSAGNGGRISKITSEKEEKFKPGRLSQKLRDALNLGPDDIPEWVYRMRRMGFHRGYPPGYLRKSLKKEFETLKIFSEDSKKSDEIDDEKLPAPSVQTEKVHFYMGFNKSYRALRDRENGKFEVPPFDVFCEMLQTEVSRDHEHSEKSRLRENSLRRSELQKKREEEKLKGSQEEEDDDDGFVVDRKKTEDSEEKGDAVDISDEEEETREKTPVRDEKMVRLVVFRKKPQFFQGESIHQLIGTPIISRRDANGSWISETTPSLEAFAVGIVPFEAKEEEQKRGIFKKIMSKLRGKTEGEEK >CRE03741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:877803:879826:1 gene:WBGene00060559 transcript:CRE03741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spd-1 description:CRE-SPD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY01] MSRRHSVVDAYSTVDAKIRETMRQLSNLWDEVDMSESMRLKRVESAFTHISGLCDDMLAGEKDMVSSLRLSISEDMKNVRKMRSELEMDEYQRPDDIKEGSIALRRNLQQEVKNLETEFQKRHEDQKNLIEKIHRLKRRLDSDFDFEYEIHTLFPMSAFQKYTEDCREMEELLSQRWHRIEQLQTEMKQWRSMANNVAEYIKEDKELKDILDRNIDSEDFIFSDEIVDALESYYNDLKPLYTHWLEDIEFRWTEEFEKLYDLWEKCMVPRGERRYLATFEPSINSEKILEQLKDERKRLDKKYKSCKVVYELVEKWNVVWQEKLGIDEKRRQPDYYKKVNVLPDNKRERELVAQMPVIEKEIRAAHRKYQEENEDNSQILIQGMEPSEYIKFVQEEHKRELKFELQLKKEEKTRLQSPTPSRTPRTQKRTMFRTPMSSTKMEPVAKKLNFDVDLPPCMSPATSEMISFITPTRKQLSGPKTSSPKETLARTCSTPMSKRAMTPSSMASGASSAKKPLLRRN >CRE03742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:880594:882954:1 gene:WBGene00060560 transcript:CRE03742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-38 MSAAQYARLVPKKYRSRTLPKIDRPWRPRVIAWAGPAAFYPNRFYEIDKWYKARIDKPEKLPEMHIIDPADHMKSLNELMKKPEIEPINIGFKKREEKVKAEKSEQDRAELERKSRHMQLRIDIDLLSVENLSVYRHFQVFDHLFGDNVFFENVQRLEVNYSDNVIHNGNILTASSTVTRPEVTIESVGNGKGFNTLFMVNLDGNGFEEKKNNGEMVQWVVSNIPDGESIEKGTEVIDYLQPLPFYGTGYHRVAFVLFRHSQPVDLKLDGSTLENRIHSISELYKSHENLLTPSAIRFFQTSYDNSVKTKLHSLGLKSPLYDYEYRPALKPDQREFPEKPQPFDLYLDMYRDSKEVEKEILEKRLSEIKLDNVEEPKWIDPDYVENKKKLPAWLHAKILERKGVGHGKYHNDVQ >CRE03945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:883033:883527:-1 gene:WBGene00060561 transcript:CRE03945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-23 description:CRE-SPP-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LY03] MKFGKNSLSVFIWHLEFFVKKIIQVIFFFRVEVLESYLSLLPFPFFSIFIKNPQFQPPAMYRTAVLLLVLLSTSTVLSFVAPPSQATLACITCIATVKGLEPRVLAEGDDVAKHEVEALCLKEAPTPAAEKSCEEYGDDEIEVIISLIKQDVPPKTICQQLKKC >CRE03946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:883960:884283:-1 gene:WBGene00060562 transcript:CRE03946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-17 description:CRE-SPP-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LY04] MFRPSLLAVLVALISTPIINSFVVPPSQATLACITCVATVKGVEAKVLSEGGHVAKNDVDSICLKEVPTHSAEHLCEEYGEHEIDVMVTLIKKDVPPKMICQELNKC >CRE03743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:885754:885991:1 gene:WBGene00060563 transcript:CRE03743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03743 MKNVLEDDYSDLWHESEFCLAFLALQDEHQRLVRLAKSNSDGENPRRFHWANRYAKIQIK >CRE03947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:886181:888218:-1 gene:WBGene00060564 transcript:CRE03947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03947 MEISLRLFSEERRLNEQEEKELIIEEMTNIYVWIRTARSLQFFYPTIDVDSSKSSDPFSDFYEIAIDVIELLKECDRISFDEFENLCKLRISEIYIEAIFQNAVEHGNVSQRKHGDWIFIMFQLNARLKLLTFSLLFAGLQQKTSDLSGMNRNDVVLTRISKYFGPIFKLLFQLDVGKRLQDRDIVQAIGSGQGYEFLDVLFEGTVKHKHGISNQHGVRPLSHIVPLILEKANGISLPFLCNEIRRHLIKDPYHIDEFSSEKSVQIFNTVRRTTLAHNSRRVVHLHYINRIEVLRKDEFANVHLRICNDKPKASFVFVPLRCETVFLDSLFYTKYILLGAVRGIVIIKDCQGTRISVSCDQLIIMDSKNLEVHFMSPKKPLVSNSQNITFAPFNTIYEGQQEFLEENGHYFENNLVMKEPIIFKNGSWKLDTSKFVCQSTPLHATDKQFEILLNSLPEEYRISHYRNTVEAQKLMAMDSEKCRVNDVVSNFDLLYLKSKIEKKGEGSDENESRV >CRE03948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:888721:895176:-1 gene:WBGene00060565 transcript:CRE03948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03948 MALLKVRDECTKLIGYQFLNSHICLCITLIQLLVCCWAVAQHINSYMTQGKILKCDFLEGSLPLEAVDAVIFDIRLFHYLWGIRGCVAEYLDGGFGRLLWCVSHCLTLFFSIPVTFLAHPKPCLFWPLLFQQSAYGICLLVLLLAALPRIVVVLAAPQAAPLLPIIFYLVGTTLNFFHLYVYWHWYWHVSAMWNSVVRVKFGQRLENANNRSRRDKPRTPKEEIDDAVLHYVPGTKPLEQNHVNHVDNHVLEPKVIIMPPPNPLAYSSPPLQNRQYRRRSSSELPQSTPSRKLLPLQPSESRRHLNHHRPRVYHSDDDDGDSEGEDEDFDDLPTPPPPIFATRLTSDSWENQRLRPSGRRRQLPETPDLPKHGELPQIFSIPHANV >CRE03949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:898259:900104:-1 gene:WBGene00060566 transcript:CRE03949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmbx-1 description:CRE-HMBX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY08] MLFTVEQLELIRKLHITGISSDQVLKAFGDLSVPEKIQTQNTLQNTMIQKAEDVQNLQNQNPDIPQNNNKLSAAALFSPLLVQHLTSSPTTSTSSTSASTPTNLSIQTVPSPISLPTINIKSEESSSSTSPCLFEHPICSSAPRPIRSQRTPMKEITTLDDPNELEEFMQQGEEACILDMKTFITQYSLRQTTVAMMTGVSQPYISKLLNGNHRELSLRCRKNIYCWYLNCRRHPNKLSFFLSDPSSRLETNGDGELIPQRRERYVFRPILIRMLESFFTQTPFPDLPRRIEIATACNHVLQLDKKGVGLMPKEIVSPQVVSNWFANKRKELRRRSAEATAASTSSASSTSSSSACSVSANNDAVSISSMSPSSRDEENTSRNTTPEVASPPPSSESMKSETTTVTTTESSPPLATSSPLSLATTPIIPPISISSLIPSTPPSPLEILAMAHNLGIQLPLFDQNAQFPGLGGFMPFPMTGFYSNPAVLKSE >CRE03950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:910736:912147:-1 gene:WBGene00060567 transcript:CRE03950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madf-6 MTSEDDAQELKKEELIEKGLPLDWLVRAHRATTMETLVYLCHQRHKWINEDQKIILTILQDYEKSGGGMIAENNPPPLRRKRGKHIPASKFESVMEHQEIYVFSCQLFDLVREEPSTWDKLNCSDFGYHQPMRQAWCSISLKLGGWGAIQHVHMLKQLYRRRRDQYNIDFLRLGKPFQYSEKLSFLKNVLEMSKSDSLNPDISLQDHIDASEPKIEYEEEVKGAPVHIQNILRQVSEKIDQVAALNRPEVLAQYLSKCCNQVVANREKLASSGLQDKEWIDIRTSDSQLD >CRE03744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:924445:927229:1 gene:WBGene00060568 transcript:CRE03744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03744 MGNCSQKQSGSAVGSSGTSFFSNLFHKAHIDPHALAQTCPPPHGGEMPLGECAVLRDRPAMRFDSRLVCKYEVLAVVGKGSFSQVLRVQHRVTRKYFAVKVVNGDCGAVNNELNILSRLSHPFVIRLEEVFKSSSKLFIVMQMASGGEMYDRVVAKGRYSEEEARNALKMLLTGLTYLHSIRVTHRDLKPENLLYSDARPEARLLITDFGLAYQATKPNETMTETCGTPEYIAPELLLRVPYTQKVDMWAVGVIAYILMSGIMPFDDDCRSRLYTHIITANYVYYPQFWSGSELAKQFVDSLLNTNSAERLSASGAMKHEWLTGERPTTSQNRPPTRPTSEYNSMQRTKSTRSIRSVTRSDHGHRVDPREVDELANDLKRVAQTTKNYGVF >CRE03952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:927921:930377:-1 gene:WBGene00060569 transcript:CRE03952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03952 MEQSCSKPQPHFLHSHHPRLNSRSHPHTTIRVIQPTAASLPQQIPIQNFIYSQYSGPAGTSSSGNTTSAIIRGNGIPTTSVDYHGATSSEYHDRPEALYRRNDPANRIYRMKKRPPAQVYHCVQCNKNIKYPSKITEHIRKHTGEKPHVCGICNQSFSQAHTLKTHMQQHSHEKPFKCSFCSLEFLDLMEKDEHEEQHMNHPEALNIHQTNRSSFIQIQQQSNRGPPIRDVDDGVGQMCGIYECPEECGFQSYDEADVIEHIAMGHHSYETVCWGDEEDGQLVEGNQGDPGVNGQVIGEEVDVETGADRNQAKMGMVPVQNGMLVQNFNSTAVSTQQIYEYFPQEFVPEVETESIIHQQTRRTSPEVGDGSIPCTSSGYHFNHPDSNVIPTNSEFSTASSEMQSRTTIVESQIIYEGEEIVTAKVMKQDVGQHIYGNIMMQHLEEEEIGGEEELVDDDEEEQEKVRVILNPNPPKRGTKSLRDHHEATAAMTEMIVDASTLEMAAPSRHLKQGYGFGKRNRGKKAENLDWIIDAVAKGVDVNEASPHVRKKPTLHKCEYCGKVDKYPSKIRAHMRTHTGEKPFKCEICGMAFSQKTPMRLHLRRHFDQKPYACEVDGCKESFVSGAILKLHFEKKHLNKKKYVCIRGCGRVFSSAYNQRHHEKKCSQNTYLTWVEEANQVEQGDDEESNGEYQEEEDEEEYMDAMMDPQIVQQNQIEEQHFVEEPVFLHP >CRE03954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:933082:936127:-1 gene:WBGene00060570 transcript:CRE03954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-34 description:Phosphatidylinositol 3-kinase [Source:UniProtKB/TrEMBL;Acc:E3LY14] MIPGMRATPTESFSFVYSCDLQTNVQVKVAEFEGIFRDAINPVRRLNQLFAEITVYCNNQQIGYPVCTSFHTPPDSSQLARQKLIQKWNEWLTLPIRYSDLSRDSFLHITIWEHEDDADYVNNLNSTFPRRLVAQSRLSMFSKRGILKSGVIDVQMTVSDKPDPYTKQAETWKFSDAWGEEIDLLFKQVTRQSRGLVEDVPWLDPFASRRIELIRAKYKHSSPDRHIFLVLEMAAIRLGPTFYKVVYYEDESKNVSGFSEYLNLFSNKMRVSTSVNGGVGIVTACTRYCVADPELLLDSLAEVKHSAMTRRIRDSGDERHRQVKPNKQAKDRLEMIVNLPSSQVLTREQRDLVWKFRHYLRQFPKALNKYLRSVNWAQPQEVKMALALMNDWELIEAEDALELLSSAFTHPAVRAYSVSRLLEAASPEQVLLYLPQLVQALKYEQNQSGETEEEAQTPEDAPEAVKKATTPASEDSEAKDIQVVTKKEARKASGDLATFLIDYALASPKVSNYLYWHLKTEIESTKTTEEHLSKMYQNIQDRLMEALVKRHDTRAQVDSLQQQQVFVEDLIVLMNEAKARGGRLNDGKSAEFRTMLSRAKHMLELKGVHLPLDPSYRLISVIPDTAGFFKSEMMPAKISFKVQPTTGKVDKNFLEEYTVIFKTGDDLRQDQLIQQMVRLIDIILKKEQLDLKLTPYLVLATGVGQGFVQCIKSKPLRAIQEQYKAHKLDCIREAMKELRPGDGPFGIESNVIDNYVRSLAGYSVIMYILGLGDRHLDNLLLCENGKLFHVDFGFILGRDPKPMPPPMKLTSEMVQVMGGVKSKQFLEFVQHVDSAYRILRRHSNVLLNLFSLMLDAGIPDIASEPDKAIFKIEQRLRLDLSDEAATKHIFTQIESSLNAKMAMISDIIHAYKQNLM >CRE03955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:936413:937025:-1 gene:WBGene00060571 transcript:CRE03955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03955 MAKEKEPNELKAELESWIRKKNEIVESLEALEMQIYNFEGSYLEDTTEYGNVLKGWGNFANAPPPSKTNRLEKKLNKRSIRDEERLFSKSSTTSPCITKQGNGTANGGSHSGESTSGGDNGYTKDDSDLNDDDDNGSISSRDSKPPAKRRKY >CRE03956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:937475:940090:-1 gene:WBGene00060572 transcript:CRE03956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csn-2 description:CRE-CSN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LY16] MGDDYMDDDEDYGFEYEDDSGSEPDVDMENQYYTAKGLRSDGKLDEAIKAFERVLELEGDKGEWGFKSLKQMIKITFGQNKLEKMLEYYRNLLTYIKSAVTKNYSEKSINAILDYISTSRQMDLLQHFYETTLDALKDAKNERLWFKTNTKLGKLFFDLHEFGKLEKIVKQLKISCKDETGEEDQRKGTQLLEIYALEIQMYTEQKNNKALKSVYELATQAIHTKSAIPHPLILGTIRECGGKMHLREGRFLDAHTDFFEAFKNYDESGSARRTTCLKYLVLANMLIKSDINPFDSQEAKPFKNEPEIVAMTQMVQAYQDNDIQAFERIMADHQDSIMADPFIREHTEELMNNIRTQVLLRLIRPYTNVRISYLSKKLKVSESEVIHLLVDAILDDGLEAKINEESGMIEMPKNKKKMMVTASVVPNAGDSAASKSDSKAEGSSDPSTSSTTATAASILSGPTPTSCQQELSLEGLRLWADRIDSIQQAITVNKKI >CRE03957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:940764:947566:-1 gene:WBGene00060573 transcript:CRE03957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inst-1 MERKKHKRLNDTIMKPEDLKKSRGMQGPSTSGTTGGIKAKLNFGPSGTGIPPKPTLNSSVNPSQSSHHVRPFLDDKWKEFGETIILNLETFEKSVEEAVSANNFQKVARLFVAALKSFVTGDKLKIELRIMATISATVKIHGSKLNVTDRLYSHNTSFQHIPLHRGLLFILCHSKSYPENVLELLITIITSLVEQQQILDKCYITAFLNDSIGMNVGIGTINRCTWIENKFSRELVARIMSPFGTCFPDPEMYTNCQVENFPMSEFIIFFRQVIGANGRQSRLDTGPRSEEDKNQIEVYLEFLKPWFDTIRGEVLPKPLFRALCLLCGSEHVRFFIANRLEIWITLQKFHREISELLLVLGTNINAKNYELDRDVIDILLKLNTKNMKTRTVVGPFTAALKRICEIPANAEIVLESLFINETGPPQNRSPTNLQTIYMLLSSHPVETTRALARITSKELFKERDNSIKMLRAFLRELIRGFYHSRPIGDFPFSVFAETMFQEVKKRGEADEIPVLVCDLVSQIPLATISSLLLSRDTAFSNKYNNPLESTGESPLVPPEARIARTNFQTEYITYSEKVIEFLAESKRIFFDEHTHIRSFSLLLFLETQRELYTMVEMAAVTESEINNCVKIFGECGISEKMILLVSEINLRSKVILKPIFQICREETQLPQKSLLELVSALTQRAAIYHPLHSTPHQPLVQFTSPFKLIDALLKMTIYPKSEYTRQTPVLCHKKFFWTAWNSILLWVGAGTEICPFFKEIYDGYAILRYIIQCILTRKYQFPQAFEGKSAAEMAKHDALTIVNEAKAFKQFGGEVPSPKDSLIFPKKNEFRAPVLLEEIRVYAEKFNLASRFARCTSPPLLFLLISTVGAQNSLFAVREMLSSDAKTAGILTSECVFHALMFYFDSQRNRDIEHNSKDVIKALIREAELNLKSKEPIEKDFLLNSFVSSLASPKISVRNAAVNTFSKLFPSDSEEKPFDIIQLAKVPRFESKKLKYMETMASAVLVESNTHLANAYIQFLTVNGFESTDDHTLARAVCRALTIDCLPLHTSLCEYFLQYVDMCTEIHKVTDVKSLDASLCCVDFGDHKVALSREVPIAVIKLLAKYDNNKKKNNLPFETLVQIWLTPGSIPKIVDRKTGGLRRLLSLQMRKEMLKSVEQRVVDAALEDLSEKDAQEFVLVSRMSRETAEKVIKKAENVSFLLFSLHFHHIIFQMSIKGIQRPTLSNMYILIRGYRMLGVESGESLVNRVKEELDRLDTVISKEEPMEVDMELLSNDIPSEFLCSYQNSENHSVFSNMKTGKMEPSDITNWLKINCAPANAKSMSKDSKLTFHLPSIFIQSSMNEEKCSLACLSYIEANLKYFLSHESAFQTLIVIIDSAANRFDFVRKRLETFAVKILKSVSPPGSVLGILQKHAANCIKITQKGVKKSVETASTFAEFAASIRKIAISDNREMKEKRLVDDFMATFMEDKGEVVDSEDVNVFIRSIRNVYFGGNPETTAERCLSNRWSPQLRKIVCTSILERYKPELCPIFVFRLMASYCKKFPIASYSEMFTVRKDDKFVLNRNSFKTSVLYFCDVALKTDEYDLPIVNLIAAFEKQGSTQTGFYFGQALLQTSCANTKPIIRRTAKRLLETMGDRLLYLQYKSGVASSMRILTNVESRESCIRRCEQIVDRLVEMPLDPNAALSEDICEEDRQTKEAASTSKESRSDDNDRDQKYNGKRGLDSRRGGPPAKKGRYITAKDLPGPLGTTSEAAEESTSTEDQTQAAVKREWIATSHAMTRFVSLLREHPNIVKMKFPVLANYVSRVNAMSRKELREEKRISKVELILQSVVALCQHMKPEEMSSVDTALSNCLEFYEKHLDEGGYGIKEQTAFAELTIRACAEYLNHSFIEARTFLRDNRQLVERVCKRCHDRYNVEMVLDNCQPISI >CRE03745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:948177:957263:1 gene:WBGene00060575 transcript:CRE03745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-13 description:CRE-NPP-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LY18] MLHFGEMDGRVDHQDFGQIIRNSLFQAHTEENPNNFTVRSGVEQELCGILGGTEGHWLREQLAVQKKLMFGERGIEIPSRQAQAHSTEETLTEDGIVEVPHAVVDDIDEEELDEDILRVKVETDRAFFNHMLLARPAAVPMNPAQAMDRDDLPLQNGTFVNKNISDRRELLFGDKLHKFLKSQGKKSIVELMKEAVEESGTDGALFDVWNDVTSVLNRKTVAGRDDVTTTANLLEDACKYLQKVFTEHMQTVVERNLEVAERGGVPGTRGLVNAFLKVGTEESFQPEDDSIDGLPTWQVTYHCVRSGDMQAAAETLNRLKSFPQCATLVASLNHVAKHKKLDAELKKKLKVEWRHNVGHTKDKYKRALYAALLGGLESAALADTLENWIWFKLHPLHVDPHLTPLLFQEVQKAVSIDYGEQYFMSNGPSEYQYFFTALWLSGQFERAIYLLHECGQRVDSVHVAILAHKLGYLRMSKKSTDEMLVVDQNDATKCHLNLARLIVAYTKSFELIDVPRSLDYWFLLRGITTPTGSDVFEMAVSRAVYLTGQSDAILGKLTPDGRREKGLIDEYLDDPSDVICRVANDTELTGEWDQAVGLYLLASKSTNAAKLLASEISETLRADNKDKIVDLVHVAEQFKRVQKGCQASEYATLSHLIDIGYLFEHCRNEEAETAYGISSKLRLIPTEPDQVTVIVNEFHMVPQKVREVLPDLCLHLMKCLVDHCIRQSTTQSNRGGANPHTSMFSSSNRYVKQIKAIVQYSATVPYKFPTHVTSRLLQLQASLGI >CRE03958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:952018:954742:-1 gene:WBGene00060576 transcript:CRE03958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fpn-1.1 description:CRE-FPN-1.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY19] MVSMTKKELQLNGAFLMTTLGDRMWTFVIGLFMHQLGGMTWVAVQQLVDSVLKLSVITVLGHYLDRVNRDKIIQTTLLINNAAVAGSALIFACLFAYQPDTGATVFLLAAAAIASVSKVASELQRICFTKDWVVVIARSEQIELSRVNSYLLCIDQVSSAILPTISGKLLDSFHWSFVCIFIICYNFVSWAVESYILSQLYKETEALRTRAIENAANEELAGLQIERGSIGMYFKQTSWMAGFGLALLYMTVLGFDNLAASYGQKHGLSAAYIGFLRGFGSLLGILGAFSFQFVARRIGLLWTVMVGLLWQNFFINMCGVSVLLPGSSMNISGFFSEMTVSEWFSQVIQKVANPESQDVPSVPFYDVTISVNLFFMGISLARFGLWLADPAITQIQQETIPENQRYMVFAVQTGLCELFSILKDIIVIFFPFTSLFGALTLGSCVFVFAGFLFNLFYHAQVILFYSCIDLNQKICGFSFTDKNRHLHELQAPDIPLETIPERQSLIGAENGHVVEELPTKNGTSSIVENGHPKENGKPSNTVENGHVVEELPTKNGTSSIVENGHPKENGKPSNTVENGHVVEELPTKNGISSIVENGHPKENGKPSNTVENGHSKENGEPTSETSPDKISQEEQTSSNAE >CRE03747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:959537:962982:1 gene:WBGene00060577 transcript:CRE03747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03747 MLHASRSLIRTSTDLHTTIRNSSSTKHVFACVLGSGVAGSSVAYHLTKRNIKDVLLLERASGVASPTGTSFHSPGLVSASHPAHRYKPILAHSIELYSKLEAETGVNIDFQPTGTIRLATNETRLAEFRKYVNRDYYKEGDVCKTTLLTPEQVRELAPAVDHSRVLGALHTTNDGTISARALTQALVAGAKNGGAQVIDGAIPREIRYDKEKGHWIISLEDGTMVTTRNLINAGGIWANDIARLSGHALPVVVVEHQYAVLTPNKTPDNTPAIIDHDSTFYVRKSGDDYLFGGFEPLEKTVIREDWYKKGVPTEGSKSIKADFSRLEDAYKRACELIPGLEGAKVDARAAVFSMTPDGYPLVGPYDKNYWMSTGFLDGVSSGGGIGKYLADWIVDGEPPAELFDTDASRYERWGDRKFFTERSRETYSMYYNWSYTDRLAGRPTDRISGVYGRLKKDGASFSFRNGWEVANSFNMGIQHEAYLPTLIREYEMVTNKCGVIDLSWKGKIEVKGNDAEKLMDYAIASQIPALGKISSGVMLTRHGGILGPMMIFHHDRQRSAFILLTEPERESRDLYWLRRAAAEKQMDVQETEIRKSLFYFQVSIVSEYLASLALVGPKSREVLSALTKSDVSDEGFPQKSTRMIRLGPVGVVCARSSTSTGQLSYELFHNRAETAKLYNAVMSAGREHGIVNFGQAALNMMRLEHGYKIWGKELTLDTNPFECGIGSLVDFNKKEFIGRESALEFSKKEFERRLALITFDTEEGIVLDDKYVPSGNEVIRIDGQEARVGQITSGAYNVRLQKPIAFAWIDNSVGKNERLVVDIGDKRLFATSLETPTIPPIQ >CRE03959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:963705:965908:-1 gene:WBGene00060578 transcript:CRE03959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03959 MFASMNLLDSSDETKSEKLISSSLLENLCQCQICCTNFNETTRIAQLLHCGHTFCMECIGNIQKYGNSAYLECPTCRAETKCDLNEIATNFLAMEMIRKLEMMGPAEAASVKEPKRARAARRERTIDEMVDEQYRQLIADVKGELMLKFEDLRERLRKSAIQSAQSELEDLSSTVMEAVRDAYDGISDTEEEESEEDDDVSGDTDNKEDDDDLSVVSMSTAMSFASAKSGVIEEVSAFVQRPLNLLRRFFRRRRARHTSPNSSIVVIKNPLRSSDESSDSSSGDESDSEEDSDEDNISSSD >CRE03960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:966472:971422:-1 gene:WBGene00060579 transcript:CRE03960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-iglr-3 MREDVPFPLLLLLFLPFISCSNALKTCKSFWVSNRPSQDCSSLSLQEPPPLLPNVLSLSLSNNSIFRISNFPQEYRRLQSLRLDQCQLEKLDFDALSVFDQLRELDISRNSLSKLIIPRNLVSLRVLNLAFNSFTYVPDMSHLESLRLVDLSHNRLISVRPRMLPFNLEVVRLAANRFTHLSPWPFLHKLQELDVTFNDLECDCSLWHFVTWAEKLALFDSCHLKVTSVIPKFQTVCGPTVVSSSPESAVVSLSDTHVMCCTALATPPPQLFWQLNGKNISNGLSQKHLSDSGKVEFCLEIRKIQLKDMGKYRCVASLAGLNSSKEFHVERDKIPIVLNSAEGIMIYCQFTICIFIGVCCVISCCVLRTGGRGKTRPKREYMHAKILEIPQDSCEYCCDEVDGDDVDDDETRDCDDWGDAETAAVRQYLQWRQMHQDQHKYSMIPQIRHESLCRLSNESNSLDNKTASHRAPLARFDEQQHSVSFDMTHL >CRE03748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:974732:977783:1 gene:WBGene00060580 transcript:CRE03748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arl-13 description:CRE-ARL-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LY24] MPEKTWFETIFCCCNRKPLHRREIKLGCFGIGGAGKTTFLKVLKGEDPRDLLRTNGFSTVKMEYDDLFHLTIYDVGGDKGIRGIWNNYYAEVHGIIYVIDYSTDEAFLESIEAFNAVKSHPHVQKKPIFLLLNNQNNREFDDVEISNETNIQAGQHKIVMFSHFNKYNGYLDNIKNATLTVSSRAKKDKNEYQEAFGRFIDVISEHYVELSEGVKAAELALRIRQDEAKEQRRLMQMKNEHDALKADVAGLELRNTPAPPPIPPDPPSDPKSASVHIESPPLSLASSTIPSDIIQSSPEECTPRDPVNFCRISQTSTKPVTPESKDMVKIEEPENIMRDNYFLPPKTPGRRYSRIQRIQNSLSNRVVPK >CRE03961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:977832:978320:-1 gene:WBGene00060583 transcript:CRE03961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rla-1 description:CRE-RLA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY25] MASNQELACVYAALILQDDEVAITGEKISTLLKAANVEFEPYWPGLFAKALEGVDVKNLITSVSSGAGSGPAPAAAAAAPAAGGAAPAAETKKKEEPKEESDDDMGFGLFD >CRE03962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:978420:979338:-1 gene:WBGene00060584 transcript:CRE03962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03962 MAHAQRKTRKLRGHVSHGHGRIGKHRKHPGGRGNAGGQHHHRINRDKYHPGYFGKVGMRVFHLNKNHHYCPTVNVERLWSLVPQEVREKATGGKAPVIDCTKLGYFKVLGKGLLPETPLIVKARFFSHEAEQKIKKAGGACVLVA >CRE03749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:980085:981228:1 gene:WBGene00060585 transcript:CRE03749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phb-1 description:CRE-PHB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY27] MAASAQKLLGRLGTIGVGLSIAGGIAQTALYNVDGGQRAVIFDRFTGVKNEIVGEGTHFLIPWVQKPIIFDIRSTPRVVSTITGSKDLQNVNITLRILHRPSPDKLPNIYLTIGMDYAERVLPSITNEVLKAVVAQFDAHEMITQREVVSQRASVALRERAAQFGLLLDDISITHLNFGREFTEAVEMKQVAQQEAEKARYLVEKAEQMKIAAVTTAEGDAQAAKLLAKAFSSVGDGLIELRKIEAAEEIAERMAKNKNVTYLPGNQQTLLNLQS >CRE03750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:981433:982777:1 gene:WBGene00060586 transcript:CRE03750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03750 MALMKCRYYENQFPDVEETVIANVKMIADMGAYVRLSEYNDKEGMILLSELSRRRIRSVNKLIRVGRSECVVVIRVDKDKGYIDLSKRRVYQKDMNQCNERYANAKMVNSILRHVAEQLGYTTDEELENLYQKTAWHFDRKEKRKAASYDAFKKAISDPTVLDECDITPEAKEKLLEDIRKKLTPQSVKIRADIEVSCFDYEGIDAVKSALITGKNCSTETFPIKINLIAAPHFVVTTQTLDREGGLVAVNDVLETIRKAIEGFKGKFTIKEEARIVTDIEDEKKKAEGDEEEEDSEEDEDEEEDEDDDGLMAPKGLDQQVDAEEASRDNRKKAGNDEEDSDEDDD >CRE03751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:983923:986629:1 gene:WBGene00060587 transcript:CRE03751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03751 MSGNAPDGLPKGNRVWADGCYDMVHFGHANQLRQAKQFGQKLIVGVHNDEEIRLHKGPPVFNEQERYRMVAGIKWVDEVVENAPYVTTVETLDKYRCDFCIHGDDITLTADGKDTYQEVKDNARYRECKRTCGVSTTDLVGRMLLLTKNHHTQDEHIEQHVERARSLSTDNVAMSPWTRVSRFIPTTTTILEFAEGRPPKPTDKVVYVTGSFDLFHIGHLAFLEKAKEFGDYLIVGILSDQTVNQYKGSNHPIMSIHERVLSVLAYKPVNEVVFGAPYEITPDVLDQFNVKAVVNGFRDRESSIVNCPLTSIDPFAEAKRRGIYHEVDSGSDMTTDLIIDRIINHRLEYETRNRKKEKKEADVAKAMNLIKVEE >CRE03752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:986867:988141:1 gene:WBGene00060588 transcript:CRE03752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03752 MTLTWSNFPKKELILHFLDYEARCNLRTCSKNDLALVDSIHYVPSRMKISEISSRMDPEKSHIRLDIESFTIWFIGKHDKTRIERAWNEELSEMAETKAENRFDLFQRYVDRFLNNGTLEADILLIKHVPIEPLDHWKIKVSSFILLSPGTPADYVINWLTKIDSQLKELMVCNWTLEGVSEVPAVLEVSERLHLSEAADLTDEHLERLTATGITISSLEITLNGIKKALENHLKNGRRDDELIFCSNFPEDFDPVELFPNGLKFQKIEGTFPEDNIYKILGGFENKHGVQDTRICRCSNTLFQVSLEPKKSKDHVHILWPFTF >CRE03963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:988175:988874:-1 gene:WBGene00060589 transcript:CRE03963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-moag-4 MTRGNQRDLAREKNQKKLAEMKKRQGAAGQDGNAGLSMDNRMNRDADIMRIKQEKAAAKKAEDAAAAAANAKKVAKVDPLKM >CRE03964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:989893:990189:-1 gene:WBGene00060590 transcript:CRE03964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-10 description:CRE-RPB-10 protein [Source:UniProtKB/TrEMBL;Acc:E3LY32] MIIPIRCFTCGKVIGDKWETYLGFLQSEYSEGDALDALGLRRYCCRRMLLAHVDLIEKLLNYHPLEK >CRE03753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:990658:992342:1 gene:WBGene00060591 transcript:CRE03753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03753 MEVYKDELEMYKRRYFQNNPTSTKEEESLAVIRKAEEYIQNTLPSLVNQNRAYDTNQKAFLLSEAGKLYNVLEEYSETAEKMLSKAVRMNPKNADTWHELGQCVMKRLDLEFAQSCFTIALGITRTAPILTSLAVAMRLVALDHPEPAQTEMRTRAMELIIEARRLDPSHGPANIAFATGLFYAFFSTAKVELQYLDKVVENYQKAATSSNSELSRTDPQVYINMATCLKFMEKYDEALESLEKAAEYDGRNELETREKLEAFVKYLVKFTDCVGKKGRMKPKRLIEMVNELKKSGGASDGFRLKIIGSVGHDETIPVALVGVDATGDVYGITIYNCLSNFGFVIGDTVTIARPDFREIKNLRIPSDPPNHVDSLKWIRVATPTQIKKNGVPLPESVLARAIASTQTK >CRE03754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:993681:997240:1 gene:WBGene00060592 transcript:CRE03754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03754 MSDIREIKKRNEDPTDREQRQMLRSAQYDEAKKFFKCLRKTGAMSYCDYVGSLSETQVHIAEHTKDFLFSCILCGKELYDATQIYTHRNGKTVCGPRKEDLIVNIPPKEDTVDLWRARNVFIDTATREEYDEFKRGLARKAEMQPGNNGVPGTPGYGDEEDNNSRSNVHSRQSSRSHSRSVSRDRSRRSPPKIINRDHLISSASQPTPSVPPAAPNVPQSSGIPGFQHQPPHQQPMSQADPRGFYEQQRQSTSAGAHGQAGYQQPPPPGVSHQYHPPPPGFAQPPPGFAQQPPGFYNQPPPQQYHPSVQGPPGFYQEQLPRNGYPMEHPPMFANGGADFDPNILPPPPQQHHRPHTPSKSQNWNNNFQNDNRGAQSSYQRHRNSGSSTGPQDNHQNSGWNSSSSQRNGSYSNPRDRGQRHENYGRNGPDSRNNANRQQPQETLYRRSPVPSPQRQSSAPTDNHPYGQPPSQNSVAPPTSEWQRYPPPPLPPPESPAPQSTPQQSQPSSWMPKPIDKNLLQQCATILQKPAAPTLVPGPGPAPVPEVNDARPTRRETKVPSLIIPDSGVSGQQIYSGGVTTRSMSRAQSRNPSRATSRAASRATSRNASPVRGTAGPTSSNVPTGDSTSSRRGRSSNRKEQDQGRGTISLAIQTPQEMRRQSRSAVRYRTRSRSKSMETPSRAEIDLVQQRRNEFQQAGTATVKVPPGRNRVCMLIDTPPPPKTMTERERRRMENLEKLRASAEAEANQRNTSNVEPPVETTHSATLRGLHNLPERNQPSTSSAANRGGGSPRPVPPPGGQRGADRRLRNSNSPPPFLGNKRRGNNDDDDEDFTDRRLYAKNQKPRRNDDEDQHNRKDKRHRAYRDYRN >CRE03965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:998006:1001119:-1 gene:WBGene00060593 transcript:CRE03965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crtc-1 MSNSNTPRKFSEKIAILERKQNEENTTFEDIMRQVQSITHHPTDSSGSSTTTAPISIPQQGGLLPPQQPWGHNLGGSLPNVHQMPSYSPPQWPPNWQHEAMHRPMQGHRSRSPEDHMIGSASGSPSHHYHPYGMRSNGHHSRSPDRTPPHHPHYAPYGPPYNQPGQLVPPESWNQINRARSDPAIHNMGGMVPMHHPHQQMPIHQMPHYLQNAMPGPSGMMHQSQSNQHSPQMTPQGSQQGSPVQMHHQIPPPLQMGGTQQIGGNGMSPLQSPNHMMTPMYGYHNGSPLHSPMDSPHASTLMLDGSGTPHMELSPPGMHDFDAGSLPNLQNIQNSQMQQQQQNSEYTNGCNGVNHSSGGSSGYYHTPIGPRHSTGACGPRLVPGPALTPESQSAPTSPHNQLDPNQPPMWPTRTFSNSPEALDIPKLTITNAEGAPGHHVDSYNDFNDLGLESLENVLCNGGGPIPNNSFHDPGGTQMLQN >CRE03755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1016685:1018398:1 gene:WBGene00060594 transcript:CRE03755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03755 MHSSENSTPNSSTEGPSFSKTHNDTRPADITAKDVWYHGELNEHDANHLLQGTTPGAFLVRQTGPTRFYLSILDQDGYPKHMPIRQLTSPHYFFEGKRYDTLRDIVEFFKPALFPIRKGGIMPINGEIIESKYLCLRSFERRDVDELSAEIGDILTLCDTDELGWMLGRNETNGTVGIILKSHLEPLISDVDDLADLPYFYDTVSTDMVQYNPIGTFLLRRSSKGIDTYALLVKTQFDLVEKFLIVGSPSRGFCLAGRPFPTIGHVLTRYCDRAISGGVRLTHAVCVKNQRKSSRRSTAEVRWPVVTDPTMIAMSSSQYDDILRDRKEVNLSMNYIQLILICATSNYSVLK >CRE03756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1019768:1022042:1 gene:WBGene00060595 transcript:CRE03756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03756 MFRGTSIDTALASASSSFLTATTAATSNSTSTAMPSPANTVSTGIPENLLDRFNYEDVNDHVETSSTTVASTVALRKCMLSVLISKYSHFSAREEKSWKDCWLTLSDIPGGSSQLSVFDSMGSKLRQQLDLSTCTMFWLDETVFSADGCLFLSPSFPSQSALYLCFRPFTTFLKWVRMLRSRTIYQDVPPPMLQGTISVGDPNSQVSFLSVEIDKFRSESLKPDNLYSANVSLNGVRVGTSNSFAPAGNKGPNELPTVVIDSKFVIPCIPTCSTNIQLSIMSHSSAGKKGRSCGTTVTVCLNENNESVFQQTVETAGFVFRAQRSRCPVLPLDRYKPMLEMIRESPTSLLSWPSQVLPPHLKQFMYSCISHLYALNPQFMSPVIRRVISDVLTTSTPEDVFRKDSLATGIITQCLRHLFKTPFDEFLLENSQFCQSLKSNNTGNLESAVEQLVTFVDQRLLTIPLATRLLTIAAECARHRFGDDERHLVKRTLSALLILRVLNPIVFSTLNTGVGSQIAKNVQISANSAASQSPSDTLTSAANTIRRMFDRLIILIDQVRFIKEIAVNLEFLFQQPSESEDPLITQPDEIHTEWISCLSYIIAHSLTIRTTSSGSSGTSTTSSSEDVHLPVAVLELVRLHQL >CRE03966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1022952:1025441:-1 gene:WBGene00060596 transcript:CRE03966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-2 description:CRE-NHR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LY38] MSQPMTAEAMQYPPHHQIPPGYPMMNPYGQPYMQPTDMTNFAASQSFNPEMFQMYMMQMSQLNQPQMQHPMPLNPIQSVEAKAERDSGNDTISPPPPQQQQQIHSGYSTNTPTVSPHYPDPMPQQMQQHQQHMTPHMTPIPTPSPEQMQQMNQNNQTPTQQVSTYAINNLLSSATTQNSPQEEEKEDTSVRRNTLPSVNRKRRPTAYDRPAAPPAVPQNFTANYSPMMMHNFAALMDPYLRRDLCAICGDSATGYHYGVISCEGCKGFFRRSVHRKVDYVCQKGAACQFSYENCAMNRGARTRCQACRFKRCVEMGMNKDSVKMIGKDETKEESTTSTTKMSPEVKELVDSFVAAMKVSSNFTSQTHAIAAIKNFVKEVSALSSIFTEFDVQKVIGGILAIRAAFTFDPISFIDSLNFHSTVTLLRTCIRNSVFNDTELALLSGIHIMQTMNGGISKNFPVYCQELRNQLAETHPKEVGLYDRLIMKLGPHLNQ >CRE03967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1026652:1028718:-1 gene:WBGene00060597 transcript:CRE03967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03967 MRTTQVLTGLVARRFFGTSSRIMASGKTLNVSNINPNVIKMEYAVRGPIVIRAVELEKELANGAQKPFPNVIKANIGDAHAMGQKPITFIRQLLACIANPSLMETDKSLPADVIEHANAFLGSCGGKSAGAYSQSTGVEIVRKHVAEYISRRDGGVPSNSEDICLSGGASESIRNVLKLFINRNNAKKVGVMIPIPQYPLYSATIEEFGLGQVGYYLSESSNWSLDEAELERSFNDHSKEYDIRVLCIINPGNPTGQVLSRDNIETVIKFAQKKKLFILADEVYQDNVYAQGSEFHSFKKVLVEMGEPYSKLELASFHSVSKGYMGECGMRGGYVEFLNLDPEVYVLFKKMISAKLCSTVLGQAVIDAVVNPPKEGEPSYSQWKKERDAVLASLKERATLVEKAYSSIDGISCNPVQGAMYAFPQITIPPKAVEKAQSRNQQPDFFYAMELLESTGICIVPGSGFGQKDGTYHFRTTILPQPELFKDMLARFTDFHAKFLAEYK >CRE03757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1034392:1038567:1 gene:WBGene00060598 transcript:CRE03757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-obr-4 description:Oxysterol-binding protein [Source:UniProtKB/TrEMBL;Acc:E3LY40] MEGPLSKWTNMVHGWQYRWFKIENDTLLYYTSREKMLKGQQRGQIRLTGAVVGIDGENNSLFTITVEGKTFHMQGRDLRERNQWVRILENSIRASSGYAKNGSVGTTPAQHFKQKTEEANEFLKTLVDKVKELEQLKNGERIADEKKTIDSLIGASNNLTNNVKHAIIYLQMAQARLDPNMKTEKLMFTHPDLDEKPSSRAGTVSDSTQTSSHQSNTQSEKPLSGIQHLDHLKPQRAESYASSDEEEFYDADEELIDLDKFESEKSGQEAGDSEQRGDAAIMSSDEDGYDFGDSHEDFDEIYNNAEEHDIGNVQQEHGSVLMHLLSQVSVGMDLTKVTLPTFILERRSLLEMYADFFAHPDLFIATNGIDSPEKRMISVVRYYLNAFYAARKSGVAKKPYNAILGETFRCRYTVPNDSLSGKKTESGPWPGSDEKQLTFIAEQVSHHPPISAFYAEMPSEGISFNAHIYTKSSFLGLSIGVASIGEGVLTLHNYGDEQYTITFPSGYGRSIMSTPWFEFGGKVKVVCEKTGYYADIEFLTKPFFGGKPHRIQGTICKEGVKKPILTVRGEWNGTMYAKPQNGEEYVFVDVKSKPEVKKECVPVMQQGKRESRRLWRHVTAALLRNRIQTATTSKRFIEQRQRKEAKERQESGETWTPLLFDTTDKDGEWMYKQKLGVRKTL >CRE03968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1038850:1042513:-1 gene:WBGene00060599 transcript:CRE03968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-47 MNGLSLIQCIPFRCTIWVPSSFFFPVRERIFWSLSFSSKPTILKMSDEENSSSTELPVQNKLKKMLKMALPHVGLAVFLFLYLLLGAYAFYYQEYDADKKIQMTKLNLIRQRYKKIENETTTGYAYAVPVTPIGQCFAIIYGLVGIPLMVLAAVDFGRFLSHIVLEIYSKYLDLVHKIKIMKTVIMERHRARDETRRLHAFKRLVQETGSMSECTTQKDVQLEEEQTTDSDEMPEKRLPLVINASILLIFCMLGGVLYIAAGGRATFIESFFLTFNLVANLTMSEMPNELNHILTIIYIFVFVTCGVAVLSMSAELAALELKELFMKIHYFGRRINFKRRQPKKEQMDVQVKELLKIIEEIRKRYPEKEKITTMDILEYMHENTVNDKLNERRDTIAFMPQTMEVIKFADEQDLEERSFSRHEDVASLGGKASTKLASVVDVMSFSPEENMEKRFKKEIRKYTTEIKYVNKRGQITNKAEI >CRE03970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1044562:1045567:-1 gene:WBGene00060600 transcript:CRE03970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03970 MNSRIVILCLLLPHVMPVTKLIKIYGKVESGPIPTPIDSETDCVNSCYMDSDCLLAHDANDQCSLYSFSATTTELKVMRSWKDTGSLVYFKVSLSQCPASFNDVDLTFTSETGENYNWKKTEYGFSFARCRDGWKEFDRSSGVSVCMMAVSIPEYVSKTLAQEKCESLNSTLIGLETEEEAEWMLDEIIRLGNSKRYWLAGERITNSGIFNCTSTDFNVNWDDDGMTTGSNIMNNPKIAGLSCMDKQTKGNTENCFTINYGVSLSLNDVGCTYSRVNGAMCGYKLY >CRE03971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1046276:1047162:-1 gene:WBGene00060601 transcript:CRE03971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03971 MNSRIVILCLFLPHVMPVTKLIKIYGKVESGPIPIPIDSETDCEDSCYMDSDCLLVNLDPNGQCSLYSFSATTTELKVMRSWKDTGSLVYFKVSLLECPASFNDVGLIFTSGTGENYNWKKTEYRFSFARCRDGWKGFYRSSGVSVCMMVVSIPGYVNKTLAQEKCESLNSTLIGLETEEEAEWMFGEMKRLKAGGRYWLAGERITNSGIYGCTSTDFNVNWDDDGMTTGNDIMKHPTIADLSCLDKKPYGWITFVKMQFDEI >CRE03972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1048168:1048962:-1 gene:WBGene00060602 transcript:CRE03972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03972 MVKSTPIQRFEFAEKICNRDLRGYVLYSTESAWIWVGESKIESIGLAHFPNFTMLVDGENTQREFIKSITLRLTKTSRFTQVFFTTDIECEEGMFWKVLNDNMLEKLNALHSNQ >CRE03758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1049208:1050535:1 gene:WBGene00060603 transcript:CRE03758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-calu-2 MLLKIGYSFLLIQFIVISISAEKERVTDGSVSPQDRHKPAAQQKLNLKSGQESVQKFAKALDTNKDGFVDKNELLAWVSESYQKTVDREAVERMSELDENADGFVSWEEYLRDSFPEEELHNKEEETLIAQDKLYFKQADQNEDGKLDMQELASFLNPEHHPHMHPVLIAVTLLEKDQNGDGAIDEKEFLGELDDQRGSEWYNVEVERFHTVYDKNKDGKLSGDELTAWLLVDGTTAGSYEAESLLQNSDDDKDGKLSYDEIVKHHALFAKTEAAQEADHLHPYSHDEL >CRE03973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1050591:1051399:-1 gene:WBGene00060604 transcript:CRE03973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpc-2 description:CRE-GPC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LY47] MDKSDMQRTVDSLRSQLNIERTPITISAAELRRFTESQEDPLVNPIDKKVNPWAEKSKCSML >CRE03759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1052659:1055838:1 gene:WBGene00060605 transcript:CRE03759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hrpf-1 description:CRE-HRPF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY48] MSETFQVQCRGLPWEATEEDLRGFFGGNGIESVDIPKRNGRTSGDATVTFSNEDDYKLALKKDREHLGSRYIEVFPMNSAPRRRGDRDDFRPRGGGPPRDRYSDRGEQHRSGGTGGPDPIIRLRGLPFSVTARDINDFLQPLGIVRDGILLPDQQRARPSGEAYIVFDMLESVQIAKQRHMKNIGHRYIEVFEATHRELQRFADDNGLRVPRMGPSPFISSPPTAGPPRGAYDPYSSTDRYRTHSGGDYRRSEPEDPYGRPRPAPAASDYGSRVGYDPYQQTAPSSYPAQQPASGFYDNYSSNPTGYETYGRERVPDPRDIGRDSIRDSRLSDSRLGDSRPPVDPYPVESRYPDYGYDSRDSRDSYWRGGGASEPRHGSGGVPPAPASRDPYSVGDSWASGGGADRGRDLASDRSLDRYGSGGYTSEPYAQREAGGALRRSEYGRPDDRYSRPDPYGGHGRDRERDYVSHSTMAPSQSQHFVLRMRGVPFRATEEDVYDFFRPIRPNKVELIRDNQFRASGDARVIFFSRKDYDEALMKDKQYMGERYIEMIPDNGRY >CRE03760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1056141:1062229:1 gene:WBGene00060606 transcript:CRE03760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03760 MGEVLMSQVFTQASQPRRGGGTEKFVDALIKFRQKHPDSLDDVSETSRKAVITNLRILNNDNKWNALGRLLIQMCSDDRGGKLFEFTHSIFSLLPILSDFAEIEVLSLKTIKFLMDVLTKADVSVPNFFGMYNDLMVQLENSSNNLLVQFVEYVSMELKKANEVRVEETDELDTENIQVKNEHEPRNFNQRWKDFVAVLIKKIRDVGQFDYNDDLLPGTEAADNIIFEWMKLTEDVNAFELIAEIAETGTLERFCDEVISMEIGIDSTSDDMEKERKRRAVMTWKAIILTTKTEKERIGKLWNGVIGAWEIGENEEKAMKEKREISENLEKCLVIGHKVLNSNVESAKKFLSIFRTDKYRVLSSEFGLILTLVQCSLDRNDSMADMKKTLQKLWRIGEQSEECVWISDIACGRLLHLVDLQLRIISESLLKIENLRSLLSRPLFSLMLSLLDTPAYQKQVVVVDGRVADGCALWLLSRDILITVSQAQTDMRGHLSNLFKAIASSSSNSSALILIDVLRELVRKCSVEILNNSKLIDGLFDYVCRMRKDISVSLIRCLIPIINTRSQLRNGLFKSLKKDLLCESTVSSAVPIVLLLLRSVSKRREDGGGGQFDHSMSQSFGTFSTQVLNSMGCKKNVDQAVGLELIGIIKRCLWQPAKTKIALYDGICELATQTSTMLNQFLDMIASHSKMIPEWKKSEMTTSSGNIVQLVEPLPHLIQTLECLISELSSFDPEFKLEGTEVLLRQGASQMEQWVLKAMRIDVTDMGLDRNIEWTTATANGRSSLLFAQMMLSTYDVLIEHMWRRVEAMKTKKDADKLIVLLNRRNELDRLFKEKTISKKDKEKDGENNVADKDKDEKIPLDTSQSEILTSAKTLASILTKLVALPNADDADDSGSVLTELLSNFQLELLAWAITRSKVLSSDLVKEYRPLHSILSGTNSLLLLSKSLINFYVGNECPIWASEIEMGNPIKTIAIESYSNILEFLSIKYKQTPSRVTMSWFEEKEGEDEETRRKRQEPNILAVRSSGSLRQAHFLSCRLFRQVLDVENEDIEEEKKPKAQYEMQGRAILKAASAALSMTKNVKVWSNIFVRVMKVLEDESFYNNQMLRDYCKFIIKCSLRCADSDKTSATEMNVIMENILEFLSEESEDMKYHFITKTTLNIAIEFQFTFVEKTLILIRETFAFQRDFFVKPPVFDRMLHSLLTKCMEITELVSRTLQIFVQYKMMQERVTEVVTSYFTTIQMSVLLLQNLTKVWGREMSDWESVDLLAELVKTKLRPILAMVDDHIGFSKGKEEMKKKISQKTRYAKSKRDEKLFSKFSHEREAVQHGILVLCKLIKDERFDLQIKNNSIGYRDFRIDMDVLRNKFSGEANEEIQEPHHKRRRREQTEEDDEEDETNATDENTTRMTTTNRQRSSEEPEEVRGGENTTTVREVKREVEEEEDDGNTRGISPVF >CRE03761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1063405:1065959:1 gene:WBGene00060607 transcript:CRE03761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-37 description:CRE-UNC-37 protein [Source:UniProtKB/TrEMBL;Acc:E3LY50] MKPSYLESLERIKEEHSEMNKHLNQQRAELEKVNTEKENMHRSYMTYAEVAGTMRNDIKRAEEANKRLQEFILQTLSPLLSPEHQASCLAAMESFKSASSPRENGNGAAALPPGFPPGAAGMLGMLPFGMNPAMSQLFNQFTSQNGDGTGAGGSEAKKAKLEDPDDNELEIDVTNDDNPSTTNGGAGHKNGRDSTNSVASSGASTPSIASNSAKARQQQPQGGLQSLEQMSFLAGLNPNMLRQGGYNLFSDPHAHAKIAAAMSQMSGRPAYSFKIVDGVPTPTLFPADAQKGPGIPTGLKKKMELNHGEVVCAATIARDNTRVYTGGKGCVKIWDVCESDITGNTVANRPSIATLDCLKENYIRSCKLFQDGKTLLIGGEASTVALWDLTTQMKTLDLETDSQACYALALSPDEKLLFACLADGNILIYDIHNREKVHTLPGHQDGASCLDLSKDGTKLWSGGLDNSVRCWDLGQRKELAKHDFSSQVFSLGCCPNDEWVAVGMENNNVEVLSTTMKEKYQLTQHESCVLSLKFAHSGKFFISTGKDNALNAWRTPYGASLFQLKENTSVLSCDISFDDSLIVTGSGEKKATLYAIDY >CRE03974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1065970:1066239:-1 gene:WBGene00060608 transcript:CRE03974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03974 MLRKLRNLFILDSTRRFADVPKKNLKEKAMVDKTPKGALDKTEEKQFEDPHLKKHPGGVNKNTGEVGGPAGPEPTRYGDWERKGRVTDF >CRE03975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1066477:1067073:-1 gene:WBGene00060609 transcript:CRE03975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cytb-5.2 MPDLRVISLEEVAKHNYEGIEKSCWIVISGKVYDVTKFLNEHPGGEEVISQLAGKDATVGFLDVGHSKDAIEMTKEYLIGQLPESEAATTTTAPKTTSTQKKSSSSFFKDFTDIMTSPTWTNFLIPVSMGIVVYVVYKFLFN >CRE03976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1067351:1068753:-1 gene:WBGene00060610 transcript:CRE03976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhod-1 description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3LY53] MNQNADRKYIYSKLPPGAISRSTVIVLATGIAGYSALELLYGSETFYKKAVMPMVHKFIDGEESHKWAVRAASWGLLPRFGWNRKEYPELKCELFGREFKNPIGLAAGFDKDGQAITQLAKNSGFGLIEIGSVTPIPQPGNNRPRVFRLLEDEGVINRYGFNSDGVGRVHQRVRSARDSWVPEEYAYFGVNLGKNKMTEDAKLDYEIGVNYFAPHCDYLVLNVSSPNTPGLRSMQKKGDLEKLLAYVRDALNMHKLEKRPQVFLKIAPDLIESEMKDIAQVVTNKKYGVDGIIVSNTTIARPDYLRSENKAETGGLSGAPVREISTECVRKMYKLTNGQVPIIGCGGVFSGEDAYEKIRAGASLVQLYSAFVYEGFPVIGKIKRELAELLKRDGYTHISEAVGADHRQK >CRE03762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1069902:1071769:1 gene:WBGene00060611 transcript:CRE03762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smg-5 description:CRE-SMG-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LY54] MSEDAEKFKRYCQQLEKYGQTESVHSPVMALLRRKARKQLLSLMKQDIDCSSSINKLWIVGYYHPFQFFIRVRYKLQNTFKLTVYFQEDDNSMETVTLLTMFCGELQEMLFFTTKNEYSALWNLFIADLHRYMPDGEIQKLLAPGFYSRAIELDPRHGRAFHMLSVTLTGADYATKLKLMVLSQLAEIPHKKSSDLNDFLGKANGDKFLAEFCNWALNENPKRVDHQLAGLKLINQFKTEVESENDWPMILGVCRLVAKLAYKKFGYNQFLDCFDVISSFYLEYYSKSETTKSLLSEVILWICDVGEVFGSENPVKKEPYFLSLSVFAKAKWNELNDLVMDHINSLFASEHLLEDSSTPIPMINSSEPSIQILSQLVHNLLRIGHPTMELLKQKGQPLLRRINQTESKRMDIPIEALAEKISDLSNREDWRPVYVLMDTDTILNKTRFAHKIWDIDDFICILPSNVLDELDNQKMRNKAVRPVIRSLMELQAEGRIILKKCTDERHCAAQLVQSAKGSSEDHKNIVAFLCKKPEEEEPMEGVTFYEIKQFYTKYLE >CRE03763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1074458:1076291:1 gene:WBGene00060612 transcript:CRE03763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03763 METSTSPFSTSSSLPSTSSSPLDFYNSPFPNNYSLFDLTVTPMSYRDRITVELSLNATSWLLSTFLVIFVRLKRAIWMSLKSTIVFVTVGSFLLNIPLILFQSWMVFNLQAGHQPVYSIFICSFLKNFCSSTTSTYQVLPFAVSLYRYRTVVLKGHPSPWFVINVHTIVTIIFIIYAFLNYPFGENNKNDVCYTLRFSNGMELVRIFSTLLFNFAAIIVNCVILRFVKHFEHVQSKRVQLTQSLLIQSVIPVLVSLPLLLLSFEFYFGVPMPSSFGTTWYALTFLGPFLMPLSSILGIKSTRRELIDTLLCGCFPRPTVGHSQMKSAVTVIIPSKHERSTFTIRDDD >CRE03977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1076478:1077251:-1 gene:WBGene00060613 transcript:CRE03977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nepr-1 MAARKLNEDPSAACEDLRFFERRLTEVITYMGPSCTRWRITIVVFAVIIGVIGSKYVTREVVGFFGYLADFTFVFQNIEQFETSISHFLFTTHLDFTICVCVGFLLFGLLGVHRRIVAPTIVARRCRDALAPFSLSCDHNGKLIVKPAVRSPTL >CRE03978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1077451:1080635:-1 gene:WBGene00060614 transcript:CRE03978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ngp-1 description:CRE-NGP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY57] MVKARKEGKVSKKLKQKPDYGPVKNRHTFRGSNHSMNPDRKADSGDKSQRSKATINRLRMYKSFKPIRDSKGKIVKAAPFQEKLSSGTQARIEPNRKWFGNTRIIGQEQLQKFQANLGKVLSDPFQVVMKQTKLPISLLQEKAKTQRVHVTETESFEYTFGKKALRKKAKLNDASLEDMTKSAEDREVKYKPELDLSREKELGDRPENQNPLFRAGQSNRVWGELYKVIDSSDVVVQVVDARDPMGTRCRHVEEFLRKEKPHKHLVTVINKVDLVPTWVTRKWIGELSKEMPTIAFHASINNSFGKGAVINLLRQFAKLHPDRPQISVGFIGYPNVGKSSLVNTLRKKKVCKTAPIAGETKVWQYVMLMRRIYLIDSPGVVYPQGDTETQIILKGVVRVENVKDPENHIQGVLDRCKPEHLRRQYGIPEFSGVDDFLTKIAIKQGRLLKGGDPDIVAIAKVVLNEFQRGKLPYFVPPPGCEERAKKDFEQAPINQMCADDDEQLPPDAQLELDDVARNGGPDEDKDDEEIDDEEQEELTEIGSTCSGLTDLSGISDLAEDLSDSEEVVVEEQTSSDAADKKKKKKDDIASVRTRGKRGGKKANKAKIAGKTIVQAASEKKDKSVVDFAKPGAQKSNMWRKKLEKRRKVKHQK >CRE03764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1085006:1087367:1 gene:WBGene00060615 transcript:CRE03764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03764 MLVVESQGLRLVPWNEVASWLVLGLTYFFKFFIIVGGAIPYVFQYAEIHHRRNASGFSLFVCLALCVANILRILFWFGKRFDNALLAQSIVMLVCMVLMLEIAVRMNRKHTAKPLRKSILKYSIESSQQYAHIQSD >CRE03979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1087843:1089672:-1 gene:WBGene00060616 transcript:CRE03979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ser-5 description:CRE-SER-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LY59] MFLVSDGDGGVIEEVDYTKIARLAGISMGNESEVVSELCKEQLRIILREKLRTGEQLPPAVLVLLCIPAVIIILLTVFGNLLVLFFKARVGRTNTTLLVWNLGLTDFLVGVIVLPMGAVYLIYRKWIFGRFLCRLWVAADVTFCTCSVVTICVISVDRYLAVTRPLRYKSIVTKTKVITVMTIIWVFSSSILLTTVRWEQPECYDDVISLLRWKRNSTFGSQCRLRFLPSSFSHFNSLLENLQTGEEPSEGVGSRISHDSRLKHELSHEHTKSAGICFFATKNLSLWWFESLNNSPSFHTRSFRQHFVYISGKQTGWLSISGEFLGHTRGLRRLSDETSINVHLSDYKCSGCIPPIAIDVASWLGYCNSMLNPIIYSFTVKEFKRSAFRLVVPIWQFVNRCLPFVPAPPDNILQRIARHVHRHKEKVSYTHLYGRILENEMQTRHRSFEMSSNKNGMLTTKVRSKRRQTEPNVVGLITPDHKLQTVAS >CRE14559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:575929:578893:1 gene:WBGene00060617 transcript:CRE14559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14559 MLIYRFFGGKSSGHHPIQLETVGRCVPFDRCSGIRQFDRKILLMFQTYGSMKQFKCEVCHRTFSEMYRLKLHAVTHSNERPFKCEICGKPFKTKICLRQHKEVHENVSFECSVCKINIRQRKNLWKHMKNVHDLIGNQLDTAMEKSINKKAAKVENGETDKEPRPQLHNLFPMSASDSEEPLSKIAKIENSTDHTLKTSSYSNHLDGMKQELIKYENQLKLIQETPESTLLEDQNRSPSAEVSTSKDVMGNHEPQLFASQEFQAAHFQNTNDVFPKESVLVVQQKTPSNFDEDSRQISHQIMRVAANSTKYRQDCFRQLLFATVFAFESSPTCTNVEEFFRMMGERYAKK >CRE14558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:572586:573677:1 gene:WBGene00060618 transcript:CRE14558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14558 MSAFMIPPPTDPLYHAIVSNYSDLKKEFNAAKGAEPELIGQIRQAEKGKKNELVEATSNTNSQINELLLSGVHTLKAHLDELGMYKVSDVSELLAGSKHIVIQHKGLTTNVAQIETNVAVEEHKLKIMQNNEAEKEVKEEVDPMLEPKSQLQNINSNKRKLDSLLDKQLAKKVKTEDKSKLCSQGNKGVDSVDSQAQAVKKESIEFENQSDETPKAMPVEDQNQSQSAMHSTVSMSQNAVGSHESLLPQKGFFEASSTTHFQSSNGTMPMPTMPVVQQQNPPNFDEDSRQISHQIMRVAANSTKDRQDCFRQLLFATVFAFESSPTCTNVEEFFRMMGERYAKK >CRE14555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:559552:561646:1 gene:WBGene00060619 transcript:CRE14555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14555 MPKKSNKPLSYESLKSVLLHMEANIRFRLSFKLHGIRTAERATPLRISSLTLSYYNIVVDGIEYSVLAQRKHSSMEVPKPFSWYPVTSRGALYDRSCRFGNYDINFYGGLDWITEDVMTPGDMRMEWLETELNDRKRKENGKNRGKRDQQLAEDTLEKMIEDARRLPENKTSPQEILKKWYSTTIHFTMKGRTCYKTETLTYDKKLIEAKKYVATRLFGNRRHSIIVRHFYFVEEESEVVRLPSGFLQFKVQSLKTCTKPAAVFNFLEPILDPDSFPLKKISMNSAYSDFEREDFEHSAIHNAKKLIFRRFSTISVLKNLQNEFVAVELGDFTIQNLMDLLNHWIATKRKIGSIFRCSYEREEEITRLFNLLHDRFGVDHHISESSWDNFSSHNMWIPINETSQLRVFSGVRTTFDSQSWRVYMKVMHT >CRE14554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:554079:556363:1 gene:WBGene00060620 transcript:CRE14554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14554 MNSNRPLSYESLKSVLLHMDANIRFHLSFKLPKIRTAERTTPLRINSLTLRYCNIVVDGIEYSVRVKREYSSTKWPKQLSWHPVNSRTIRFDANYDLNFYGGRDWITENVKTPGDMRMGWLETDRNEKTRAKRDQQLAEDTLEKMIEDSRRQNKTSPLEILKKWYSTTILFTMKGRTCYKTETLTYNKKLTEAKKYLATRLFGNRRHSIIVRHFYFAEGDEVVRLPSGFLQFKIQSLKICDNPSALLNFLEPILDPESFPLKKISINEYDGTENDLQHSAIRSAKKLIFRSDIPLMSVMKKLQNEFVAVEDRDITIQNLMDLLNYWIATKRKIGSIFRCSYERKEEITRLFNLLHDRFGVVHHISESSWDKFSSHNMWIPINETSQLRVFSGIRKTSDSQSWRVYMKVIHI >CRE14551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:542270:544659:1 gene:WBGene00060621 transcript:CRE14551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14551 MLNSSTKKYKCEICLKEFTRLCDLKRHELVHTDERQRPFECEFCHKAFSTSYYLQRHVVIHTGEMPFECDICFKKFKNSSDLKRHTVIHTGETPFECEICGREFNDKSNLRAHESIHLNKKSIQCSICGIFTGRKTTLRRHIQRQHNLDGDHLEYEVRRCTRNVLRDGHGTTSQTAVMGSDQSAQRYEHESSKRWNGRVDTSNRMRERIDNKWTYQFSEVPRHHCNSKRLPTIHTRKSVTCHKQFVRPSEWKQHRPFECGTCGQTFKQKPHLRSHELTHQDNLFRCSICGIFVKHKAGIRQHLRKQHYLMGEQLNDAVKNIYESSRKDWENGTHEMTDVDGSIPILDERFLGTNLEYLEVGQEWKPSDQFVDVSNTMVANTEIIKVNDQDSQRNENLLNFNDLEEVLNEGNIELDQFEWSVPHLEEMDYSKYPELDPEVWYSGIIREQLETSRMAL >CRE14549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:536802:538191:1 gene:WBGene00060622 transcript:CRE14549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14549 MSTNYPSVWKGKVIDNNQSIKYSWQFDWKECDDDIDGFVGHIHVKRPALSATETVRFDVELSRRNQIIEKVIVNANTFYQPDVAFDYCLVPVHAFENEFSLEEMFLPSEKTDAVLVVDGKKLHVNKAFLSFHSDYFTALFSSNYKEGQMDEIPIKDVSFEDFGLLLSTIYPASVFPTDKTIEKLLELADRFLIPEVFCHAEHHLSRHSAIKHEKIVLLADKYKMEKLLKKVVGQIKNIEDGKKLKQSSEYEKLSEHAKAMILDELLH >CRE14674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:533971:536550:-1 gene:WBGene00060623 transcript:CRE14674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14674 MTDKRAMQTRKRFLDEDDEDENRSENCKRQLREENAILTKKVTTLETNLSDLQSLLLQKDAEMKFLEDEKNKLALSQKLLEEKLKNTISELEQTNRSLQLRNNEISNIRRENQKKDELNDFLRKQWQASESSSTEILRESALAKTAEVQMRKKSLEMKNQLSALKLKSPSGGAKLKKYGDIRKNDTKIARVKRILDCVKNDIGEQDLDAFITDFCNYVAKNPTFSFKTCLTAMESFVAVVKFKFSDTMLKDLKSFLTDHLGHDIFVSRKEIDDLKKLHSTIDDYDITTREMVKKVGSREVTVKSAVVKARDVSSLIKRRLERLSDNNMLRFKNESDPVKIGFGGDKGGSHTKLVISFGNIDTPNNPHSLLLIGMFEGSDDYKSLDEHMASVFEMVNQITSLTYKEHGIEVTRPVLIIPNGDCKYLSAILGHPGQASSTPCFSCKLSWSCRAPHATLLGDFDFSIQADQYEPSDLKRPLLNVEPSSVAPPALHVILGIVQSYVMNPLVALCNVLDYGDELPEDLKDQKKMLRSLEQEQQEYSDRVESLQCSLRTIDSLLEVVEKTKTSAKKTIDISSKCEADFCLIPFCRNTEFRHSDSFVCDSCNKTIHNVCCFVLDTSAETSTTCLDCRFSFANLEDRFDLLSETREKTYQQLDNDYDVLKHVKIDREKLQSLFSETKETRKRLEAVLESIGCGHRTWYQQLTGNQARKLLREENIRRVLSVFPPNSSDKLQLIEDIMIDLSRIMSAGDNREKTDEEIDEIQEILWNLESNMKTAFPSATVTPKLHLLFAHWVPFLRIHRSLGHLTEQGLEHMHAIVNSLHAKFAAVTNPEAKAALIVKHFANFNYLFDTKQSWFKCE >CRE14548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:531820:532953:1 gene:WBGene00060624 transcript:CRE14548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14548 MSVDKIEYSSGTFFIATDTDILETMTINGLTCIWRGTLIDNGKLSKYSWQFNWNGILEDIDSFIGYIKVGRTGLSPVETTRFDVKLTKQKHIIDEVVANTLRYVRIGVAYDFCFVPFERKKAFYDLNEQICLDEMFLPSEKTDAVLVVDGKKLHVNKAFLSFHSDYFTALFSSNYKEGQMDEIPIEDVSFEDFGLLLSTIYPDSVFPTDKTVEKLLELADRFLIQEVFRHAEHHLLHISAIQHEKKILLADRYKMKKLLGNTIREIRNIEDGKKFKQTAEYNQLSKDAKVMILDQILT >CRE14546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:527480:529582:1 gene:WBGene00060625 transcript:CRE14546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14546 MSVDKIEYNNGHLNVSANTDTILTKTINGLTCIWKGKVIDNNQSIKYSWQFNWNEILEDVESFSGHIQVRRTGLSPVETTRFDVKLTKQNQIIDKVVVNTNQCSIPAIAFDFCFVPSYGLKEQICLEEMFLPSEKTDAVLVVDGKKLHVNKTFLSFHSDYFTALFSSKYKEGQMDEIPIEDVSFKDFGLLLSTIYPDSVFPTDKTVEKLLELADRFLMKSVIRHAEHHLLRNSAIQHEKMISLADKYKMRKLLKRKISDIGCIEDGKKLKLSAEYDKLSNDAKAMILDELLI >CRE14545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:525238:526278:1 gene:WBGene00060626 transcript:CRE14545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14545 MSAAKIEYSSEKFSISANTDTIETKTINRLTCIWRGKVIDNRQSIKYSWQFDWKECDEDIDGFVGHVQVKRPTVSATENVRFDVELRRRNQIIEKVIVNVNSFPAPDVAFDYCLVPVYALEDEFSLEEMFLPSEKTDAVLLVDGKKLHVNKAFLSFHSDYFTALFSSKYKEGQLDEIPIEDVSFEDFGLLLSTIYPASVFPTDKTIEKLLELADRFLIPSVIRHAEHHLLRNSAIQHEKMISLADRYKMKKLLEKSIREIRNIEDVKKLKQTAEFKQLSKDTKLMILDELLN >CRE14673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:522143:523139:-1 gene:WBGene00060627 transcript:CRE14673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14673 MKDYQFYYSAKEFHCDIPWKLAIRPMSKAPYVYLVCSKFTKSDSYSIDARVEMRYFKNGEADCIKSATFTNVHNLMLFNNFSSGIPNDYIVDGNLSVEVTVKIDKTVGITGKLRSFDDEATKKYSDVVLIVKNEKFFVNKKYLASQSSYFESLFFGNFDESKKSEIELKDIDPYIFHEFLEVLYAKPAVDEDTIADILKLVDMYDAASVVERCEEFYIYRSKQSLEVKFHAAVKYNMVKLKEKCMAEIKTVEDFKSIIPEDSKQFDTDLWKELCQKSLTLSK >CRE14544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:520609:522009:1 gene:WBGene00060628 transcript:CRE14544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14544 MQGLKLHSAVHSEERPYECETCGKTFKRTYNLYEHMRIHKKNTGKNENTTIPVIENDEEEEEELDPAPEPKPQLQNIDSKKRKLASDSEKPLAKKVKAEDSRILRSQRNKRVDLDDSQSSSAHMKAVEEEPIEFENQSDETPKAMPLEDQNGPQSAMQPIPMSQNAVGCLDELEKAMDESVEKKNLAVMVNDDVEKEVKEEMDPVPEPKPQLQNINSNKRKLIGHLDKQLAKKVKTEDTTDHTRKLRSRRNKGVDLEDSQSSSAHMKAVKEEPIEFENQSDETPKAMPLEDQNQSLSAIHPIHNALFMSLNAMGSHEPLLPQQGFFEASPATQFQNSNGTLPIEPVPVLQQQTPPNFDEDSRQISHQIMRVAANSTKDRQDCFRQLLFATVFAFESSPTCTNVEDFFRMMGDRYAKK >CRE14672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:516014:517561:-1 gene:WBGene00060629 transcript:CRE14672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14672 MGRLENQKMARIERILGTTSPFGKSITLHNTAYTVARLTLLSDIDRLYEKLNNNLSEEEKKLIFEKINNKVIEWNEIYSLNHELDLHGMTAGAAVKFVDCDGTRTSLFGEDTKNQAEIVITIQVPSENQSVQPGTTDVGEAVKAITIMFFK >CRE14671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:512364:513411:-1 gene:WBGene00060630 transcript:CRE14671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14671 MAKSSKRNECNICHKTFTRPFTLKEHEVVHTGEKPFECDVCGSRFSQKSSLNTHLRTIHAYENPKSSHNTLRNLDLDESRTVSTPKTNKKQPIKAPKRYRQEEEELESMDDDSHRSDQQQEIDSESVISSNRDTGNNGNSDEAIDLFESDEDETEEKPRLMLAAQSKSSKINTPLVKSTPFPIKIENPIVQEVGDLMPFMDLSTSAFPPSASQQGVFDMDMESEIGNISNISSINTPHFSFDEDIRQIAHQLSRVATARPECHDALREVLYGTIVAFGSGGFDNNVGEFFKKMNDRYNH >CRE14670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:508373:509477:-1 gene:WBGene00060631 transcript:CRE14670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14670 MTDPSKQLECDVCHKTFTCHSALKRHEVVHTGEKPFECDVCGSRFSQNASLNTHLRTIHVYENPKSSHNTLRNLGRQAKKSTEALDKSRTVPTPKTNKKQPKTKKNRSKEAPKRYRQEEEDLEAMDDDNDRSEEQPEIDSDFVVSMNQGTGNHGNIDEVIDLFESNEGETEEKPRLMIAAQSEGSKINTPLINSTPFPIKVEQNVPIVQEVGDLMPFMDLSTSAFPPSASQQGVVDMDMESEIGNVSNISSINTPQFSFEEDSRQISHQIMRVAANSTKDRQDCFRQLLFATVFAFESSPTCTNVEEFFRMMGERYAKK >CRE14542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:504518:505342:1 gene:WBGene00060632 transcript:CRE14542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14542 MTNPAKRHECNHCHKTFTCHPALKRHEVVHTGEKPFECDVCGSRFSQNANLYRHLRTMHASRNPKSSQNTPRNSDSVVCSNRGTGNDGNSDEVIYLHESNEDRAEEKSRLMLSAPLIKSTPFPIKIEQKVPIVQEVGDLMPLMGLSTSAFPPSASQQGNLDMDMDSQIGSVSNSSSINTPQFSFDEDIRQIAHQLSRVATARPNDHDALREVMFGTILAFGNGGFNGNVGEFYKIMNDRYNH >CRE14541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:502520:503946:1 gene:WBGene00060633 transcript:CRE14541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14541 MTNPAKRHECNVCHKTFKTPSGKIVKKFIKIQYVIPALVVHAVIHTGEKPFECDDCGMKYNSKATLKKHRLIHETLKPFECRICGKPDTLKYNIKRHINKVHNLEGDRLETAIQSSERRRNIRKTARAVSRDTNTVSTPNTKRKAPKRSRQEEEDLEAMSDDNDLENSSGTLPVQQREINSDSVISSNRRNALGTQETGNHRNSDEMNKQSESNQEKPEEKPSLILAPLPKSNKINTPLIKSTPFPIKVENPIVQEVGDLTPLMDLSTSSFHPSASQQGILDMGLDSQIGSVSNNSSINTPQFSFDEDIRQIAHQLTRVATARPECHDALREVLYGTIVAFGSGGFDNNVGEFFKKMNDRYNH >CRE14668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:500416:501147:-1 gene:WBGene00060634 transcript:CRE14668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14668 MKVNKPLTYLSLNCVLKYTDPNIRLQLASVSPGGKSTEKLVPLKVDQLNIKATSFTINDTNYNLGVIRHYPDVTKAPKWALESNAAGGTSLDVGEFGDPITRECQRLTMKEPSDEENSLKFEHFLQLTITSKNGTKLFERMQYNKTITESMDYFLKKFLLGNRANLNVHTVRFDYLPEIGDFRFRSKNLIIGDVDPVRAQNSLDEIASPLESMELFGQKFLMRPHF >CRE14538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:487207:496089:1 gene:WBGene00060635 transcript:CRE14538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14538 MSYITHENIQEWGETISDIQKTYEEELTTSINTTLRLNPAAKLAETTPINIYIAEYGVFLTSELEKFQKLHKKYTEQYNAVLSKLHETPVGNLLAETAKTTIEQAHSKNADIRKKVTELENKMKVRHIAANVVAGEENITPIGKINFEVAVKKQPNLIDLNTDFNNTSSNNHQKVRSFNTETSSSALSFRHVKLPNFDGNISQWSAFYMIFKPTVIDNDEYDDVMKHNILRNHLSGDPADLIRPYDTDGTQFKDAIDRLLAMYGSQEKQYDHLWNRLSNVPMAREHPKSLRILHNELFAIINSLKKHGDIDTLNYQSVVKSKIPTPILIEILKSKPKKTTEILDALDVIITIEETAQRSKTVPEKEDRTIFAVKKSHSHQKCKFCQRENHPTIECRTVSTLEDRREFIKTNNLCFNCINGGHRIQECKSSACRKCNTKHNSAICPKNLQIARKNVPTFKKENFSTNNFRTPNHPQNLNKGNHQGQGYRAPSNYQRNQNFHGNGYQPRYQNNQNGQRNQTNAPQNTQGHFRNQGQSTPPKTYNQAKSYKVNSNNTSLMVANAPVLVNDEVEIIPVLLDSGADQSFVLSSFAEKANMKILERNVEIDLSVFGRDPTTIISNKVELAIIAPQKENSIITVEALTVPDITDLFDPVDLSFEDKTYLETTNQETVNITKQEKAVALLGADIFWDIIQDGKKKLPSGKFIIPTQLGTVVCGKGNTKTTSTHALIARLKEKKNDTFSETCLEEFFEISNIGITEVVHDPTNENIIAEFEKKVKVNENTHRIIAPLIWKEGQREKLANNKDVAVCRIRQIVRSSKNTPAWDPLVANFENMEKMGIIEEIDNDPDIGFFIPYGLVFNNSSNTTKVRTVFDASSKKRGELSLNNALHQGPSLVPDLQGILLRLRIGKFLMTCDIEKAFHAIEVNKNDIDALRFIWLKNPEHDATYDNLRLMRFKNLPFGVNCSPFLLSMSILYAVRQSNAPKKLVEAIEQMCYVDNVFILTDEVSELPKMYELLKEFFSSIGMNIREFTVNHSVNFLKEDDKAKNLDNVKMLGYLYDLEKDILEVKKTRLEIQNNKIPKMKKKQAVGKITTFFDPLLYFAPLYLEGKLILRSISDHELKWQDYVSEETVVRIMKYCDKICNSSLKFSRPIPKLDKEKPVQMAVFTDASEHTYGACIYLKIEKEDEPGQFDTHLLIAKQRIASKSKTLTIPRLELLGILIGVRILNYSIQQMKLNLEKIELFSDSTIALAQIKNHSTKKGEKLPQFVENRRKEIWNTLEEIKKRNDPIEISLSHVPTDQNPADHITRGCDSERELRETNWFHGPLWLQNENHCENPSKKEGNKLLVLKHCDEIETNVVAMPVQVKPSDSENEIIPLEKINNLEKSKRITAFLLRFLKVKIYDKLNKNLKIKLERNFPELKHYPKTLNKMLKLEELDLAMKLLIRENQRKYKIEENPKENQFLDKDNVNSPSDQIVYQHNRITGKPKTPIVKAKSQLGRLIIQKVHRDNLHIGPLTTLGIILDKYSGDSWRVAVKSELKRCSTCRKSNNHAFREAPPGDLPERRTNESRPFQHVGVDFMGPFKTYIRNSNDVEKSHIALFTCTTTRLIHLEHVRNLSTDEFLLALSRFMSRRGYPDSITSDNAATFKLTAEILDRFSEKEDNFLAELAFEKIEKLKSDILEKEMTKKGVKWYFNTALAPWQGGFYERLVGVVKKSLKHCLGDSLHNYKDLETIMAECECLVNKRPLTYIDDSEDFQCLRPIDIITPGLYFSIFDENGLRDEYFEYTSNFREVKKNVKRFWDIFRRDYIKQLKTFQSLSQPNRVHSNLVKPILGEVVLLKDDDVPRKQWKMGIITELMKGRDGEIRSVRVRTTQKRKVRDGTLPYKPFKIQEITRPLRLVIPLELRPQSSEDTSVDELKVKVNHARNLSHGLQKHRMSEKKMLRPVLETQNDDFRKNLNRRPNFSLWNIWTVIMLMCIIATSSVTASPNKLKTFTESSLTEEENIMNFTTMTIPIPSSTTIPIPSSTTTPKTTTSTSSPTTTPRKTTKKITTQSTTTQTTPSTTTTQSTMRTTSSTTTQVIKSSTAIPSTTTFPTTKISIPTIRSSTTPSTTTATTKILPSSSTSIPTSVSINPTFRTTVPNVRSATEGFMPKEDYRRSIQATKPSTEQMPLIETTTSNNMHILNRHEIHDSKSRLECTANGVNLIDEENMTSQPNSVCTENWCDHQVVTKKKVTEVIIPPEYTVHKHRITWKKSIGQSFVILSKVCPPTDYCWKANKHFDCIFCTRFLFNSQCHPKATIAIVISIIAILMKLITLCWQRTKLWKLFKLMFCWCNFCEKLHQFVCCKKPQEETDELEEIEMVPLRKSQVPKRIATVRNWRDRIRHKKYLPSRSTPSTKRTVNFSNSTTPANPRTLLFEVSTVEEEGRDVLRIRKTSSRSPSPPTTFLAIATLSLLISSVATDVCDSTYPISHEESTCNEQGVCRVERTEDIFFTPETKTICLQVVSTNNVLLKFKLTVDHNFRKCQKGPIMFTKNVSVHADSSKRCHGMGECVDRKCLDVGPNSKLSEFTEGNKYPGHTYCSSSCGGLWCRCLLPTEACLFYRTYAVPTSDDKFQIYSCDTWSNAIHFTASLTFDNQVIEQIFQIREGGDYQINFRYGKQKDHEIDLKFRLLEVTGETGLSILGKKFIQNEEKIALASITNEIFPLECTESGDCNYRETCNCNLGDSEAICLCKVPDLFKILDDRNHNLPIITERYHLGISPDNIPTIRMRHNNFHLQLIMEQSYHTNIIESKIDCSIEKTTAFIGCYNCLKGASQNVTCKSKEPTHAKLSCDNEEFVDILTCDKKGIVNEIHRKFYQAYPKGVCTVSCGSKNNSYKIEGTLTYVSHTSLSEYFNQLLHSEKSISEIHPWNIPDYWTILNTIIKGAVPITLAIIGMLFTSAILYLCCIPACTNFLTRRRRFRR >CRE14667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:482718:485452:-1 gene:WBGene00060636 transcript:CRE14667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14667 MSLDGVIIEIEERERDDFLLRARIAELEAQLRVETSENLRKTAQETVLKRQIEREQDGHRKVVQHLERERARWERKTQETYNRNVELEEEMKKNEKEKQKLVKEVEKLKTGKRGRELSLENDVKSMKRARHEESDKISEMKKELKGTKKWGGQQKPYSSLSSREAQKNRVLSGIEELEKISGDSSSEMYFRDVYKAMGKMGKMKTRLEDGEAYALYHKVGLSRAGYEEVRTILDQRHVPNPFPSLRSIRQEEKLHASRNLFRVERIQKSDGGKTKDVVVVQLVDVEKFLVEKLEHLAQNDKLIFDESTGNSIWMCISGDKGGGEFKLCATVGNVVAPNSAYHIIPLGMFTDDEKVEAIKEYLADTIEQLNNLTEIKLNIGGVMTSYPVEQYLAGDLKFQYQMIGHKGAAAKKSCMHCFSDGRVKIGSYERGQCLKARTEADYLLDSANEKNSNSVIPGSAFVFNNVRLANIVPPSLHILMGVAHRYGFKVLLDLAMDIDNKSNTKIDKSKKKAMRNAKGDMNVKEKEYNGLKQHLDSFEVVLQVMSRFKTSTIIPAHSHTSPCSAKWCLFRDNEMKKAGVFKSTPLRCATCSEVNHAVCSGLWSEDDWELLSQVEPDMDCLRCCGRKGAMIEEDARKVEREMREKLEELKRELEVAQENYRMLMTFVNGEGEKREELEKAWGDCGADMSAWQQNFNGNHTMKLVREEAVNHYTSVFPPTDEILHVKAFLVCLGKIAKLCLPRSMTNEEIAEMDDVLLHHLKQFQSQENMTPKLHLLLEHVLPFMRRHKTWAKNKRAGTGSASCDRQPTSQQI >CRE14666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:479555:481043:-1 gene:WBGene00060637 transcript:CRE14666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14666 MNDDYDWVEEYQILEYKLANEPYDLRKLNVGGTIYQTTKYTLKKFGGRLKRILESKTKTATDENGDIFIDRSPKHFESILNYMRHGNIDLPDSVKEVKEIREEARYYELTNLLELCDEFIDEKLAPGTRDHRNLKFIKNDDEYLQIVTEPVKPVFVFHYAPIEHGKFTYPYNLNIQDFLKKYKERFDIYFKAQKVENNEKVFWKWSIHHYNKYLEGQDGYKYGVKEEIDNDIEKFLKNAPFP >CRE14663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:472144:473586:-1 gene:WBGene00060638 transcript:CRE14663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14663 MDNYSMQHEEFTKNNWLRQYDASIMMRPFYFNDFNQEKSDKFYDDSYNYFLKQFEGFSEIELKLLVIAALIVTALLVFVFISTVTMTIGLFFYDQIEIIVVTLLVHGFYISIVVSPVFNIILIFLAVQRFLLNFKSIYWSLLVVFLHFLFLMLHLLYWLKGHSIETIELLNLLVILAYYIHFILEFLALISVVVYIPMFISIRKLLYLPSLAQSQPQKYIMYQIIFIFIGKLFLLFTMRLFASKVLGWPFLEPAFVITNLSTFYLTPLIIQMTYLFCNKKNTQLVISYLSIRFVIDKLRRLLHMTNNVQPVVPTDGTTVQPNIPTDGRVIA >CRE14533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:458395:459516:1 gene:WBGene00060639 transcript:CRE14533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14533 MATAVKEFVLTHVMENISTWKENERVSSPIVDHFNVPWSILCSKVGGSFSLHVCCERPKDSGEWAITTENTYELISATGKSFKKTGKDCNYGTSSQFSGWGFHQFVQWETMEKEYAINDRLVVQAHVVIGKITGIETTKIRHFDETTKVISDVILIVEDVKFYVSKTFLAAQSSYFKSMFFGEFEESKKSEITLDDVNAEDFQYFLELLYLEPTLTNSNIKGVLVLADMYDAKNATRLCDEFLIGKSKDSLRNKLAISMKFQLEDLKKHCIESLKSRADIRAVLGASGGEPKFDSSITNSLLEKMFSLAE >CRE14532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:456440:457756:1 gene:WBGene00060640 transcript:CRE14532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14532 MSHQELRSVKQEAVTPVWEQPLRIPLPPLPPVDPTRQMTVEEYSKIAKNPCKVEQSWIKIKDNKLQKMILMCVILDEISNTTADLASRDHHAKNRLYSGVAVQVYKRTGRLLSVHAIAGCFHNAKQQLRYYLKVLIRVKRLTAEKVEHELLNWPLYGSIRFYRAYTQEFEQTLRQRGTKTIDGDHIVFDVSDDEEDDVSLPVQQKDSDSDEDIMVDTTPVKPTVNLKQVKKEQYSRRRSYPYSVERKPERLGKDLSHEYQQMEYSHQKVSPLMPMQPKMSPAPQMVTMGYGGHDQLYRTPNGYRHDSMLSSTSQDSEMKTLQDDLKFFNSHAIRVAKKYPGRIEQMREVLSATMLSFEWSNTDNLGDFFTQLGEKIKKNE >CRE14660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:454307:455661:-1 gene:WBGene00060641 transcript:CRE14660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14660 MSLKRPLAYPNWQCVIKYMNSNTRILLSQHCPELRRLEKSISLKVHSFDFCCQDPIFLNDSVYRVGIFRQYHDKNCEIPEEIQWFNNNGGMRQDVDKYGFPSSGYLRMDDDYLQKRKKKLQKRIKKLKKKLPGSADRLKYAVKNLKHLNDLIFRFDLQSKNLEPPFTHYLQLSISPMSLLAKYQIPSRTNEAQQTERLVYKQPLESAQKYLIEKLFGGRSQIFIGRLGGSLHDQHFPMGSLLRVQNLNIQSWSFVHYRDKVESVLDYKNYPLETLTLCGEEINHPIAETAQQLSFTGLPSGFPVIRHRNVEFSCCFDITASVLRLVQHMLSTRKSIGVCYAFNYWSSLLLTEFVEKIKEAHEERVKLFVNTRNDTFSNCVVLQMTDTSDLFVYWTNAKMNYLKIEVLPSGSPVPIEHDE >CRE14531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:450148:451464:1 gene:WBGene00060642 transcript:CRE14531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14531 MSHQELRTVKQEAVTPAWEQPLRIPLPDLPPVDPTRQMTVEEYSKIAKNPCKVEQSWIKIKDNKLQKMKLMCVILDEISSTTADLASRDHHAKNRMYSGVAVQVYKRTGHLLSVHAIAGCFHNAKQQLRYYLKMLIRVKRLTAEKVEHELLNWPLYGSIRFYRAYTQEFEQTLRQRGTKTIDGEHIVFDLSDDEEDDVSLPVQQKDNDSDENIMVDTTPVKPTVNLKQVKKEQYSRRRSYPYSVERKPERLGKDLSHEYQQMEYSHQKVSPLMPMQPKMSPAPQMVTMGYGGHDQLYRTPNGYRHDSMLSSTSQDSEMKTLQDDLKFFNSHAIRVAKKYPGRIEKMREVLSVTMLSFEWSNTDNLGDFFTQLGEKIKKNEQGRH >CRE14659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:447972:449516:-1 gene:WBGene00060643 transcript:CRE14659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14659 MDQINREYLGNSAHNEAALGRFPSDVIHRPLSLLVSWSHYPSPFTNSIGHLSLLSFISTGMSSKRPLAYPNWQCVIKYMNSNTRILLSQHCPELCRLEKSIPLKVRSFDFCCQDPIFLRDSVYQVGIIRQYHDKNCEIPEDIQWFNNNGGMTHDVDKYGFPKGYLRMDDDYLQKRKKKFQKRIKKLKKKLPGSADRFNFAVKNLKLQNDIIFRRDLQSKNLEPPYTHYLQLSITPNSLFVAKYAKDYIPSLTNGTTRTERLIYKQTLESAQKYLIEKLFGGRSQIFIGRLGGSLHDQHFPMGSLLRVQNLTIQSWSFVYYQAKLESVLDYKNFPLETLSLCGEELEHPMVETAQKILFTGLPSSFPVIHHRNVQFSCCFDITPSVLRLVQHMLGTKKDVGVCYVFNYWSSLRLTELVEKVKEPHEERVKLFENTRNDTFSNCVVLQMTDTSDLFVYWTNVKMNYLKIKVLPSGSPVPIEYDE >CRE14530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:445896:447280:1 gene:WBGene00060644 transcript:CRE14530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14530 MKLMCVILDEISSTTADLASRDHHAKNRMYSGVAVQVYKRTGRLLSVHAIAGCFHNAKQQLRYYLKMLIRVKRLTAEKVENELLNWPLYGSICFYRAYTHRFEQTLRHRNTKTNDGEHIVFDLSDDEEDDVSLPVQQKDNDIDEDIMVDTTPVKPTVNLKQVKKEQYSRRRSHPYSVERKPERLGKDLPYEYQQMEYSHQLVSPSMPMQPKMSPVPQMTTMGYGGGDQFYQVTDGYRRDSMSSSTSQDSEMKTLQDDLKFFNSHAIRVARRNPGRIEKMREVLSTTMLSFEWSNTDNLGDFFTQLGENIKKNEQGRH >CRE14658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:442272:443811:-1 gene:WBGene00060645 transcript:CRE14658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14658 MDQINREYLGNSAHNEAASGRFPSDVIHCPLSLLVSWSHYPSPFTNSIGHLSLLSFISTGMSSKRPLAYPNWQCVIKYMNSNTRILLSQHCPELQRLEKSIPLKVRSFDFCCQDRIFLHDSIYQVGIIRQYHDTNYEIPGDIQWYNNNGGMRNDVDKYGFPSGYLRMDDGYLQKRKKKFQKRIKKLKKKLPGSAERLNFAVKNLKLQNDIIFRRDLQSNHLDPPFTHYLQLSITPNSLFAKYVKDYIPSLTNGTTRTERLVYKQPFKNAQKYLIDKLFGGRSQIFIGHMGGSLDDQHFPMGSLLRVQHLNIQSWSFVRYQAKLESVLDYKNFPLETLSLSGEELDHPIVETAQQLLFTGLPSGFPLIRHRNVQFTCYFDNTASVLRLVQHMLSTKKNVGVCYVFNYWCSVRLTELVEKVKEAHEERVKLFVNTRNDAFSNCVVLQMTETADLFVYWTSAKMNYLKIEVLLSGSPVPIEHN >CRE14529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:438077:440866:1 gene:WBGene00060646 transcript:CRE14529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14529 MSLAYRQGRVTMAIKALKEVRDIANANIDSWRDMEEEDLMSNQKDIFGAQSLMESKLSTLDTAMDKFLLEADKIDDSDPIALRKIDESSTRVIEAVDEANKVLDQVLVQVRRITAATQKRTTPETPSLSHQLQPVIIHSESRLDPKPPRFKGNRWEFENFWTLYSATVENSGKSNTLKFNYLLNCLKGEPKEWISRFKINDENYPLAVEMLKKKYNDKEQIISDLSNQIQKETAPSASIADQRKLFEKLFIMTAQLKDYHENIETRMFKDQIVQKFSKSIRSELYKKKIDMDSTEWTVDQIMKDLETIISREEELNKLMKCSDSEYQNNPKTQSFKNDSKKDESDSKKTSSMKCTFCRQEGHWGSNCEIHSSPEERMEILKSENRCLLCTRFGHSIDVCRSKACSICQKNHHFSICYSTNDDSASHKKQSEQKAKTQSSNESYTPKVQAVFVQSSRNDGSFHDSSQDETKEDCMCPAEKKDDQSDMPTASSDNKEEFETFIPTIQVNAFNPKKNCWDPISMMLDSGASNTHTDMKMFEEWNLPDKGQKTVWNRVFGSAQSTVNTHQKTAVRIQLGQELLDMDIFVSDHLVGRIPKNDLPIEDMKFIIQNALVMNQDALKSTCQPQIIIGCDYMSKIMTGQFIKFPSGISALGTACGLTTMGRSASKIKKKEQHLLMVIKDSDQGYTFSRLHQEQKERGITERVEFPRDKSGKSENSNGRLGPSDLQDSAKEPILLKPNSDLTAQIDQGHFLPHDRLGKGSEPEVTCSLFKRPAATSLHLHLPPVMKEDEEGPVNRKVLIPKKSPTRDRTPIQVEDSIPPEAPDQKVSRRLASRSPSDKSRRRHSSSSSSSSSSSSSSSSSSSSSSKRTSRRHSRSSTHVSNGHATRVTRPRKQTDNQGFRLDLRSTIGLSTTIGLSTTMGQSTSSHPLL >CRE14528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:434108:435398:1 gene:WBGene00060647 transcript:CRE14528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14528 MSHQELRSVKPEPATPAVWERPLRLPLPPLPCEDPTRQMTIEEYLIIAKDPAKVEQSWKKIPDNGREKVDLMCVILYEISKSSGNLASKNQHTVKRVYIEVAVQVYKRTGRLLSGEQVSKFTSSKSYFLDQAIMSCFRNAKAKLRQRLKRLIQNKRLTAEKVENELLNWPLYGSICFYRAYTHRFEQTLRHRNTKTNDGEHIVFDVSDDEEDDVSLPVQQKDSDSDDDIMIDTTPVMSVNLGRVKREPFSRRRSAPYSVEPKHERVYEYKPKGYLHQPASPQMPKMSSVPQMATMRYGGRDQLHQTPNSFRRDSMSSSISQDSEMKTLQDDLKFFNSHSIRVAKKNPGRIEQMREVLSATMLSFEWSNTDNLGDFFTQLGGNIKKNEQGLH >CRE14527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:431571:432930:1 gene:WBGene00060648 transcript:CRE14527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14527 MSSKKPLSYPNWQCVIKYMNSNTRILLSQHCPELRRLEKSIPLKVRSFDFCCQDLIFLNDSVYRVGIFRQYHDKNCEIPEDIQWYNNNGGMRGDVDKYGFPSGYLQMDDDDLQKEKKKIQKRIKKLKKKLPGSARRLKCAVETLKYLNEIIFRFDLQSRNLEPPFTHYLQLSITPNSQFAKFAKDSITSRKNGALRTERLFYKQPLQNAQKYLIDKLFGGRSQIFICYMVISLYDQHFPLGSLLRVQHLNIGSYSFVHSQAKLESVVDYRNYPLKTLSSCWEELNHPIATTAQKLLFTGLPPGFPLIRHRNVQFTCYFDITDPVQQLVQHMLNTKKNIGVCYAFRCDFDFEKTELIDEIKETHEEKVKLFENSRNNKFSSCVVLQMTETSDLFVYWTNEKMNYLKIEVLPSGSPVPIEHD >CRE14526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:429229:430552:1 gene:WBGene00060649 transcript:CRE14526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14526 MSSKRPLSYPNWQCVIKYMNFNTRILLSQLCPELRRLEKSIPLKVHSFDFRCEDPIYLNDSIYQVGIFRRYHNATCVIPEDIQWQNNNGGMRYDVDKYGFPVAPGYLRMDVDYFQNEKRKKNGAQRTERLVYEQPLQNAQKYLIDKLFGGRTQIIIDHMRISLQRDQHFPPGSSLRVQNLGIQSNSFAHYQAKIESVLDYKKYPLETLYLSGEELDHPIVETAQKLIFSGLPSGFPVIRHRNVEFSCYFDITASVQQLVQHILDTKKNIGVCYVFNCLYRKLTKLIDEIKEAHEEKGKLFENSRNDTFSNCAVLQMTELCDLFVYWTNAKMNYLKIEVLPSGSPVSIEND >CRE14525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:423927:425481:1 gene:WBGene00060650 transcript:CRE14525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14525 MNKVKGWKRLVEWHTPPVRAYTVSEKRINKGLWRCNVTDSPNRPQVPGKLRPTHHVIWSHYLSLTAFVICVLLSYISTLMSSKRPLSYQNWKCVIKYMNSNTRILLSQHCPELRLIEKSIPLKIHSLELRCQDLIVLNDSTYQVGIIRQYHDANCEIPEEIQWHNNNGGMRHDVDKYGLRLTPGYPRMDRDYQEKEKKMVQKRIEQLKEQLPGSATLLEHAEKNLKHRDHAIFLYDLQSKNLEPPFTHYLQLTITSKTNGTQRKERLVYKQKLQLAHKYLIDKLFGGRSQILIGHMGVYSSDQLFPRGSLMRVQNLTIEYYSIVPFLAKVLDYKNYPLETLSLRGEELNNPIAATAQKLLFTGLSSGFPVIRHENVEFSCYFDITASVQRLVQHMLNTKKNIGVCYAFRCDFDFEKTELVDEIKETHEEQVKLFENSRNNTFSSCVVLQMTETSDLFVYWTNEKMNYLKIKVLPSGSPVPIEHD >CRE14657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:419302:421594:-1 gene:WBGene00060651 transcript:CRE14657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14657 MSLHEPPSKLNRRSHSNSLRPPPSLNKHILKFADNACLKTPSMNDMLKTATVNNSPRHTPMSIDGTPKVNGPQTDQTFFGKHEPLFNHCEMRTTSTSSERKPDYCSEQPCSSNVDLAKTKDLATPNNNSFGIPRMTNGHRKPKPEGVKLISVDSPGFSASMFQFSPMVEHLLQTLTDKGSSTGLPELQVEEKPPVFKQESLDSIKVTALHKCHFQKYSFQLPPARRYTNVLHVSMLPRKTSEPSHVGSTLQNEQPSTTIPRVTRTNASSSLRSLEHSSISSIPEDPYMNSSYSSHTSFSSVIGIRGFDLNFVLILETAAAWHTLNQNLSQWMITATVITLANKMPLQDKPYTCPRDGCDRRFSRSDELARHIRIHTGQKPFQCRICMRTFSRSDHLTTHVRTHTGEKPFSCDICGRKFARSDERRRHTKGLNKNKLDKMKPHFEIIE >CRE14524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:412813:418310:1 gene:WBGene00060652 transcript:CRE14524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14524 MNIFLGKSTVKSLKEKWTIPKLELHALTMGTQRMLSVVQCLQKGDIGVSEAIILTDSEIALSWIKSTPGKKEVGVLITNRLESIRLASQEIAETGVKVRFGHIRSEDNPADLGTRGITKDEFPSSFWWTGPSFCKKDSSVWDTYQTFEIKESEEDNARINICNSIDDNADTAEIFDSISASSLLRKRRVIAYTIRAIAKFANPLSQDVKERLRTTIAELKEVPEGNPPISASEQSAAEIRIIREHQAQISLRKKHSWNDLNLELDDNKIIICRGRLKHMDNAKMARFPILIEPKTQLAKLKLREAHGKWHCNEQQTMTEVRKKFWIPNLCQQVKSLLSKCVACQRYNKPPFKYPDMVDLPEHRVKETAPFQHTGLDYFGPMSYRKEDNTVASCWGCLFICATTRLVHIQLIVRPDTSCFLKAFQRFVSLCGKPNAIVSDNAPHFILTDKILQDIAETTTKNCNFNNEVKKFLGDAKIEWKFITPYAPWQGGMYERMMRSIKQSMFKGIGRSILSLDDIHTTFTEVAAALNSRPLTYVGQNLDSGFVLRPIDFVYPNIQVNYPMDSTLEMNEDYAPPGEISLAKDEAIAAIKSVAKVVETVWQTWKTTYLAELRSTHKLRMNNKRGKSETPAVGQVILITDPDLPRNYWKLGEIVKADPSSDGVLREVHLRTSKGNIIKRPINLVVPLELDGEDTQRKNETNGVSLPEEQVPDAPEVQTKDMVKRYNLRKQKRVNYNEDQHEDRFQVASAISTLVNFPWSKFMIMVILSIIIGPTMAISPLECTPTGIRVNVEYEGFEMCVQNYCTSRPRMTWNSNYADVWIPPALKITDHHATAKILMANAVTVYELNCHAVSTCDSIDCVICTTNVLNPECHPYMALGGFAVILYIIAMIVYCIFKVKISMGAPLIMIYKLLQMIISKCRGFIPRKSSRRGKINWEIMVTILMFSSMIHSSNACQEVNLLSQGEKICTKEEPKTCKLVTQEHLTIGSFNKEACLRIEQNGMTTKEIRIRFLEIRMECLKNTITFTKDAQIHVWSAKRCAHTGSCVADKCLKITQNSMVPELGEANNHIGNTYCTESCGGLGCSCFFPSPGCLFYRIYGKEKNNGTLEIFQCAEWRERLVIEMSVTRLNGDRHQKTETMTLPVSFPGSLQDISVTAAWINKPVSPILENCIQCKRKEGDETCQLNERCTCEPAEDSMVCTCEEDDLETQFNTIQKRLPLREGHWTLKAENESVVATINDEVTIGLVLTLEDNVTTSILISSDKCYIKAKQIQGCYNCASGGQAEIKCTSSMKEVIGNIVCDKDMFTVPCSPNGKSTNITFFAQYAGFRKVCSINCGGRYTEYFKITGTLKFTGSMWTSIYRIIEGKTTLMNEIAWPDLSHLAQWYLQFMKSMMAIIITVAVIVATTGTLVLSVFLIGFKKTAKWTLFFFAIPLILSMDIQEILRAASTIKKHAKILEKIENEKAADKEKEIEIHLAPKWGIDPTKSTLDEMEQLIAQLKEEGAEFQKDLESAKEEERIAHQKFVCHLDKSKIKKIENLTVKRAEELNKEADELEKEVNMANAVIGDIEAMIGFKNDVLKLVEKWTRNATFEFHRTGKKPEESHAQFFARTQGGEVPQVEKDPITEKAIKTTQRQEKDLKDRKADPMEHKHTLQSVVSKPTKRPAPSREIKTNEIKRQKKIRRITSFGEDKPNMKCSFCGGGHFSNLCPQHPSIADRKEIVKRDRLCEHCLLVKTKEPCGCKERTCYYCETTNHHSALCSLPQTIID >CRE14521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:408395:409757:1 gene:WBGene00060653 transcript:CRE14521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14521 MSPKRPLSYPTWQCVIKYINSNTRILISQHCPELRQLEKSIPLKVRLCNFRCEQAIFLNDSVYRVGIITQYHDENYIITDDIQYYNNNGGETHDVDKYGFPVAPWYFRMGNDDDLQKRKKKIQKRIKTLKKQLPDSANSLENAVKDLKHLNDIIFRRDLQSENLEPPFTHYLQLSITPNSLFAKYAKDNIPSLTNGTTRTERLIYKQPLENAQKYLIDKLFGGRSHILTGRMSVSYDDHHFPMGSLLRVQNLTIQSRLFVRYQDKIESVLDYKNYPLERLSLCGEELDHPIVETAQKIQFTGLPSGFPVIRHGNVEFSGYFDLTTSVQQFVRHVLDTKKDVGVCYAFICWADRKETELIEQIKETHAEQVKLVVKTKNNTFSSCVVLQLTETSDLFVYWTRGIIKIEVLPSGSPVPSESD >CRE14656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:406247:407378:-1 gene:WBGene00060654 transcript:CRE14656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14656 MSTAVKEFVLTYVIENISTLKENERFFSPIVDHFNVPWRIGCVRVDGFFNVYVFCEKPKDSGEWAITTENTYELISATGKSFKKTGKDCNYGTSSQFSAWGFNQFVQWETMEKEYTINDRLVVQAHVVIEKITGIETPKIRHFDETTKILSDVTLIVKDIKFYVSKMTLAAQSSYFNSMFFGEFEESKKSKIKLDGVNAEDFQYFLELLYLEPTLTNSNVEGVLVLADMYDAKNATRLCEEFLIGKSKDSYRNKLAISMKFQLEDLKKHCIESLKCRADIRAVLGASGGEPKFDLSITNSLFEKMFSLPE >CRE14520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:403624:405169:1 gene:WBGene00060655 transcript:CRE14520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14520 MTDRDYVGQMDQIDREYLGNSAHNEAASERFSSAAIQCPLSLFVSWSHYPIPFTNSTGHLCLALTFLSFISTSMSSKRPLAYPNWQCVIKYMNSNTRVLLSQHCPELRRLEKSIPLKVRSFDFRCEQAIFLNDSVYRVGIITQYHDENYIITDDIQYYNNNGGETHDVDKYGFPVAPWYFRMINDDDLQKRKKKIQKQIGTLKKKLPDSADFLEHALKDLKHLNDIIFRRDLQSKNLDPPFTHYLQLSISSKTNGTQRKERLVYEHPLENAQKYFIDKLFGGRSHILTGRMEVSHDGHHFPMGSLHRVQNLAIQSRSFVRYQAKVESVLDYKNYPLERLSLCEEELDHPIAETAQKILFSGLPSGFPVIRHGNVEFFCYFDITTSVQQLVQHVLDTKKDVGVCYAFICWADRKETELIEQIKETHAEQVKLVVKTKNDTFSSCVVLQLTETSDLFVYWTNGTIKIEVLPSGSPVPIEHDE >CRE14655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:401168:402846:-1 gene:WBGene00060656 transcript:CRE14655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14655 MPTAVKEFLLTHVFENISTLKENERFLSPVVDHFNVPWRIGCTHAGGMFICYVFCERPRDSGEWAINTGITVELISATGKSIKRTGKDYNYESSSQFSGWGFHQFVQWETVKKEYAINDRLVVQVHVVIEQITGIEISKIRHFDETTKILSDVILIVEDVKFYVLKAFLAAQSSYFKSMFFGEFKESKKSEIKLDGVNAEDFHYFLELLYLEPALTNSNVEGVLVLADMYDAKNATRLCEEFLIGKSKDSLRNKLAISMKFQLEDLKKHCIESLKSKADIRAVLGSSGSEPKFDSSITNSLFEKMFSLPE >CRE14517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:389705:390202:1 gene:WBGene00060657 transcript:CRE14517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14517 MNNQSLQQNENVNTPLNPEFLNGFIRGAEMMRNYLQTVSSVMPPQLQVAPLVPTTGLPYSMASATSQAPPITNLNSADQSKITRSIDNRDEKKLLSSPKEMDSSSPRTTKLKSCSHCKVTESCCWRKVRSDAGMMCNACFIYERKYKKSRPLSAIKKHNAMTEHN >CRE14516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:386007:386738:1 gene:WBGene00060658 transcript:CRE14516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14516 MPFRPVDQLKCPELKGDFKCGDCDKSFCHAASMRRHRANFHGDSQKCLLCNSAISSDVRRHMFTEHNIDKTYTCTCCKWSFRTKKELMSHNKSMSNGGVPGEAVAIAINTKKTDKNTISDSEKPASEDDELASFLVDLITCQKSDLTQQPSFDSILATFMKEVAETGSSAPQMPREGSESGIEVSPPTTTETSPSTSAINLAQKTQRSHKRRSLSDICSALVLKKCK >CRE14654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:383143:385497:-1 gene:WBGene00060659 transcript:CRE14654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14654 MSVEFVLTHVIENVSGLKEQERVFSPTVDHFNVPWQMGYFKNNGLLAVHVYCLTHKELGEWRINGGIKFKIIKPTGEEMTSDGIEFNFGSHTHYTGSGYLQLISWDHLERILWNDRLIIEAHVTINEITGIEAKKLRNFDESNKNLSDVALVVEEQKFFVSKLILASQSPYFNSLFFGNFKESNLSEMTLNDIDAGDFQHFLEVLYHEPALTNSNVQGVLALTDMYDVKNATRVCEEFLIRESADSLRNKLKIAIKFRLKKLKVPILKIDLYSFSFFFQKHCIDSVKSGADVRAVLGGSCIEEEEEDFLDKSTYKALLEKSIFFK >CRE14651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:375429:380362:-1 gene:WBGene00060660 transcript:CRE14651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14651 MSSDSSPSLFSNSVNDSENIGPNENLNTVTDTSSLPDCLKNISHGAVLLDLLDPQTLESQTVCKICGGYARGVNYGVLTCDNCRAFFSHYLHRKKELKCRKNNNCVIDKFTSNKCGKCRMEKCLRMGMSYNLKNIRNNSTCKKSALVLAQIHKTCKVCGDVPVGIGYGVLSCGSCRMFFRRHNGTDVHLSCNKEGKCDLRLKFAKCPKCRMRKCLEVGMNTNSFVKRQGNLKVFNLKIEADLAPKYDKAINEISQSFELSCTYKKEDIENLAKSNFDLVRFFIEVRGVRSAAKKLMNPWADTDLDKLDVRDLMRAGWLFINVPDFENHQTGLFVNRLPSIDSFEISDKAILFKRSSFLMFILRNITKFSSDGFMLPSKRHIPFRTMKTVYGDLMNEIILVSSDFKSMQLNHQELSLFTAFIFLRPITRGSQDWQIFIGDSTLKGVRDYYRTLLYQLMTERENTQKIISDLATMTLKLYDLTHNIQFEASYLCCINISDKIHNETISFLQTNSKFMNLPPFLCEVYDILKQGAKDANLDSEIPKDVCHDVTESPITPQASLIQPEKVVNSLVQNVVKLKSNKRGNKPNQARISYQHLYRSANSKNIRKAAELKVPDDSSDFSDQPEVIKLSNPAASQQQVTETNMESIDQIEEEMTLDYICDDLIPHFDEMDYSKYLELDPGVWYSGIVNEFSLGEDEGMETRGATPENIL >CRE14650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:369914:373134:-1 gene:WBGene00060661 transcript:CRE14650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14650 MREGIEKENDVIAVTSSKTFKCKICDKQFKWAYMLKEHASVVHSIQKTWECHVCHKNFVSRSELKQHKLLHKGERRFECGVCHKTFPRAQALNVHKRLHSNEGQFECEVCGEKFNHQSNLCSHKLIHNDKAMFFECSICGKYVRHKNRIRDHIRSVHNMMGNQLEAAMKRSRSALSANVKNNEDELAKIFGKRREASGIPEHVQVIRRDKNSSDDFAGASVDDDFPVHTNFQIQSSQMDQNLVTSIEDDIPNTPVHDFQISYHRYMPEIAQSALGDPDYVFTDDRIEKENEDTRLMMPTSSYLNL >CRE14649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:357797:364618:-1 gene:WBGene00060662 transcript:CRE14649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14649 MESNKKPTKNVRVDNEDVDIIEMTLSSIKRVPQTTEKAEKTVFRKQTRRKLPPKKTMEFTHRRQRMKRFVEEVQDLPRPVIYRMKGLSTAELKEFHSKRSRVTRQIASHKIRQFHLEGENTKIEDVSEKSLAETIDSYLEESRKYMQKSTRFYDHMLATAVEYDQTVKMLHFGRTMKKHSSKQKRIKFQGSWWFCPKKYRTNKRPKGFFKEPMGRVFKISDDKLLFRNHGTFLDNQPSPIHKIYKELIARLMRRRTTNDIILDSSYFAREFFLVKSSISFRITRSSDIPYCFTPPTLRCGYFPNSATTRKSKKYYLAERFKEAQIEYLNLTYRKITPPKGFKSGTITSTELWNFHKNGKHIHGFFVVWTNGKAKRYLVDMFSHQHFPLFVKYENWETRLKMAFDRVTAYNLNLAEVIRANRPVFDSLSQNPSFLKPITLGEIMCSMAEREMDQKYYMTSVGKQEFYDFGRGTTNEDYLSAFVIICGGTKVARPIWSYNLKRHRIFKPNAMGSGVLTKAGKVFILNTPDKPMEFLIHLDDFELDVSSEMIHVRPLLSVEEANTTRIISDTPQKSVHPQKPKAKKAVRERRTRLTRMQMLKSRKAVVSVPVEKDPVKQQEVVKERKKPGRKPKTLVSHANQTHDFETAYIASDIESEYEGYLSSEDISNELRRPKLKRSQSAESFLLDINYTDYYVNGLSLIHGQKIDFVDHPCAKTKRRKMKRRMMKHLGRYRQLQKTNHVYVELIHCHEGNFPNGKKAVANTKSAIRNGTYARHNLPEVYNKIWKQREVDAAFETLKDVIALIVEEELRETTIQISSAQFAQQCYTQRIEKIENLPATSSIRLPEPPYLAMEMLSGKKLSGRFNLEQERGKLKREVGMEVKKYESLPQCRKDYLFEMAMIERQKLEFHAHWKYLMERDAQLDRSLKMLKFDKLSIREFNLSEEERRLARLRSNKRVDIRMELVKANTPESKLELEQKDKEWKEEDLERKRKNAIEKRLASQARKEGEKCREKAEKRQKIMVATRNRAYLKAAKQFFQLEIREKRKLEEAKKQDE >CRE14648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:356269:357032:-1 gene:WBGene00060663 transcript:CRE14648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14648 MPCYLSYPSLRTVLEHLEANKRIYLVSRCPSIQRFEKSIPLHLNNMSVEEHCIILNKVRYAFLEDAEERPRGYFSWRRSDAPLWRHIPQETSLKLCFKHEEREYSNPLSTIRSSEKGFEELVNYLVGGRKMIRVEKLAINMRQEVKIHNEFSPRYSFLREKPPKAPKLKLNFKCRAKELIGDDYLYLYLPLIAESSYPLKKMECYMNDEIQDHPAVQQAEHVVDTSIADREFAIQFD >CRE14644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:343961:348824:-1 gene:WBGene00060664 transcript:CRE14644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14644 MPKVEFDIGGTIFRTDKITLMEKASGSRLAAETQKQNDGYPIFFDRSPTQFQLILNFINTDGLIDLPESERELKEICREANYYRFPLLVEKCEDKLWIIDANRPILQVIQNENELVQKLACPRKPVVVIWYNMRNWGKVFHSINAAEFVEKNKHAFDIYFTPLPKNKIHWRSSIHDKTIRDFATLSEDNNNKIFIGSLQFRMISFLYRKGPLTDENQ >CRE14513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:339699:340805:1 gene:WBGene00060665 transcript:CRE14513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14513 MAKSSKRHECKVCHKTFTRPFVLRRHEVVHTGEKPFECDFCGSRFSQNSSLNLHLRTIHAYEKPKSSHNTRRNLGRKAGKSAKAVKNKNRTASTPKTNKKQPKAVPKRSRQEAEDLEAMDDDNDSENSSGAPPEQQQEIDSESVISSNRDTGNNGNGDEVIDLFESNENRTEEKPRLMIAAESKSSKINTPLMISTPFPIKIEQNVPIVQEVGNLMPLMDLSTSSFPPLASQQGVVDMDLESEIGNVSNISPINTPQFSFDEDIRQIAYQLSRVATARPDAHDVLREIMFGTILAFGNGGFNDNVGEFFKKLSDRYNN >CRE14511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:328570:336481:1 gene:WBGene00060666 transcript:CRE14511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14511 MLPGLTLLSKKVVLKFMKADKRFYLSSQCPSLLRIERSTPLYLTSLEFHDWGFIVDGFSYMLAKVDSNHVIIIFNDRHSRRLPHGITLQLALKKLKDNLIGGKKINVESIIFRLEKEWNQSILIPKDQIFRLQTLDAGNMNFNQLISIIDVSSLRLRELKVNIAKSPLIDNYHQLTEKLIITDRDITGARFAPVSNLLNHPELKNVVLENTYLSEENFWGLAQDWIRDNRVIGSSLETCVGKWRTPFGYVMFTRINFGGTIGYFKEKNGLIREDIGTCNFLPLDNSSQLVIHGYKNHKKGTTHIKMEVVPADSPIYLLNLENLSLLLRNLFTFDSEDFYFKWMFFLENLRIHERQLASSNTFDKMESTLSEAYDKENQIIDKNYLRCNICGDVANGVHYGVPACEGCKKFFSRMHNKQEYEFLCRKRNNCFKNGIDRESRNSCQSCRLAKCRQAGMRYNWRHGAPKTHAKQKGSPDNISITSPSVTLESNESTSECKTTAEKEHARCEVCGAYCDERYSTNRVPAYCEACKVSFTFYSSHIKEIKMFQCEKDGRCVINKWTRDKCQSCRMDKCLEAGMDYGIRKVLKRIICSESSSSIENKQVVKDIFSGYRNSLTYHLKDFQNASAANFCLTRHTNYLIDLLNAWQVYAPVVDYEARQTLQFVRNLPHFNLPDGSTEIKDKETLETACEYYKLLLDQEMSERPKKLEKLLDLVPTLQKMNKDHNKVVNSLRMYSQFINYPPLFCEIFDIQKQKPVEQNIPENQSELELDYVEIENAEYELLNDENEEIRLDEYMEDTIESQDVC >CRE14643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:326633:327943:-1 gene:WBGene00060667 transcript:CRE14643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14643 MLQYESLKHVIQYMSIGSRTNLRRRCPSLRVTESLFPLRINHLEFSENSITINQAKYYFCTVFCLEDPHRKIDYTEIMWDLNDRYAVEIRAISPGDLLLKIAGLIRVDMPCSIEQMECRKKYIKLTLSTKYVKYLEDTSIRKCLKTVAEKFLGGKKDSIKVDKLVVSMRHGGIRLPENLKLHVGHLKIYDPIHLTTISSILDPKSLDSIDLIATPDDPVFQHDLTKTAKILKFHASTSERSWLDTLLNLDNDQIQIDSNKPILNAEDTVTLVENWVNSDRKAGSSLHMITNEGIMREVCDLLENRIVAGSAALQRSIGFLDHIIIPMGKCLELTLDRAPSDRINKTYTFTIKVL >CRE14642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:318778:322699:-1 gene:WBGene00060668 transcript:CRE14642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14642 MNWSFFLLLFISATFLQEGDCSCSSDLAPECTCPDFQSLINIDSPVEQRDNVTIIDEGGCRRKLTCGRHHETSLSFYFDESEISAPSDLRDDCDRMGIVLNQLNIVIDSCISKMKSLLVSASTSQELRTSTDEDESGGPPVDLFSYFGIICENNTWYATKAPLGFLYTTTEGILKGSGNGGELNGLKAKIHSFSCSPPGRAPCSSPDIREIVDPLDHEFDRMAIDAVPYTMKDGCVISITCSAHDWTWITSSYSESEIIFPNDFVDRGYDLSIGSAQNKNSVDMFSYFGIIYENNEWYATKYPAGVLYFTEDGDKYIGENGELDGKKSKIFSIMCVITTQEGCKHLLAKCEKVDMICDSIQLYAKTANDTVDIGYYSWMPAATLSCTQDGKWESGPVLVFRHASYNRALPKSKKLN >CRE14641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:315442:316607:-1 gene:WBGene00060669 transcript:CRE14641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14641 MPLYNFFSCCIPSRPLKKEHAFLLDMPDVVTKEIFKNLDFGSIRMLRKVCHAFRDYIDCVKPDSNLKSIEMYVLQDMIFGLLSSPSQSTEDIKFSYKKYNELCKGLWGPRYAKFKNNTFDLYVDDSLWPALKHQKSLLDELCVMTFMDLVKNRQPVPQADGRLVAQNFEMLFDSLINALESRNRLLQVKSLIISVQGGDQLIQLLRHVDLKILKSLEVYRRLETESSYYYDEDNNEFVLDLDLLKDCKNLEKLHVVRFAVCSPFRMFTHIPDLKVHMQTIYCEDVLRFMQTTENSKISVSSQIRFGEFPDKSRFMEAIGLADDGSKPVHVFPSKLSLTYHPDLKCMDFSWEHNS >CRE14640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:312122:314567:-1 gene:WBGene00060670 transcript:CRE14640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14640 MFVEFTVGEKCVCMHKNVAYISKITKIQEIRGVKYYFVHYEGWSKNRDEKIPVGSDRLFKGTMEEFKQNHPGVNADESRPALMEKNKRKRKADPVVEDTKEDADVFALQAKKPHFSIEWPKEMLSIVIFDQMVANEKPVELIARLPPNGQTVNDIVKAYMEFLGVPADSMEETENQILQYNENGVSLSNLSLAHSAQGLLALFNAIHNSQLIRAEEKDKFYKLYADHAMNSGLSYEEIRKKPVENGFYASKHFGIVHFLRMFSIIEKKCVPDMKPHVIIGANRFLQFLENNRDQFFDEEIDYEPIPIKEEKEGEGTSSS >CRE14504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:294609:296634:1 gene:WBGene00060671 transcript:CRE14504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14504 MESQKPQKRTGVILMVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNEERETLFREFNKLKEEKRLAEEAVSKYKKTIKEEREASSELRGLLRKEEGEPKKAGQARDTKEVPVPSRLEVVRKWSSRDSDDEFSMHGRRGEFSDSERSWGEDWKSGRSSRYSAGNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDDELVAILEERYLKGAAKSLFKSLGDRQERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQKAPGQKLWEYLVEVEKWSKKAYPEVSKMRVRGQIKIPMVVRGRKVRVVFQLVDNWVEKILIGTNAFESIGVELKWKDPYGLVNDEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRSASTGDVGEVFKSEGEKERKKEAVVLVDYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCEFGDVDNVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHPVRCQLEKNADRRPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPHGITEAKGNEYSRGNRKT >CRE14637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:291372:294148:-1 gene:WBGene00060672 transcript:CRE14637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14637 MPIDNRAVSTFAASAGATTVWLGMFCFATGNTTTCYHDDNSGPLSYNSFAAGNPAIAGNGGCVYMQTSGKTAGQWLSAPCEVVGMPFICEVPLTNADPTCTHNYNGWCYMASHEMQLATVNTTYVRAQSICQSNGGNMVSFHSKPEVDYVRAIYRTSGIQQIFVGAMAFLPDTFDWSDGSVWDFDYTDPLATSKGNCMTMDLSSRPNNGMWSETNCQNINYFLCKRKAGAAQPASTAKSMVEDEDNSVVEQEKPVNPKFVRTLKDSIKKQTELIDFSNCNSTLLMAPGTITSFGYPNTRPPITSCTWNIAALGPYRVGIYFTDFSVYNAVYIYDEFGNLITSPNGNMRPFQVLGATNVVKITHDSRYDAAYNYHGFTATILPF >CRE14503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:287344:290418:1 gene:WBGene00060673 transcript:CRE14503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14503 MKSSIFLFSLVILSFLAELSDAKIACPTGFALVNQQKCLKIFPNHLKHLEAELDCTHFGGTLATIHNAIDNRAVSNFAANAGVQNAWIGVFCFENQTTSCYYDDNSGRLSYNNFIPGHPRLDNGYGGCVYMTTSGKNAGQWSSGPCGVVGLAFVCEVPTTVADPTCLHNFNGNCYLPSHELTGSPPNATYSDARGICHSNSAELASIHSHQEVDFIRTIYKDLDFYSVLIGGQVSADGNNVTWVDGSDFDYNYMNPIGQTDGNCLQMNTIKSQTNNGLWSKFKCERINYFLCKRKIGDAVNPKFKSVASIKDKPTHTINLADASHCNSTLFLAPGIVTTLGYPNSVEGIFCTWKIGVLGAYRVGIYFTDFSINGALNIYDEYGNLIEAPSISRYPFQALGPTNLVSMTHDSRYDKPGLYHGFSATILPY >CRE14502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:275932:280282:1 gene:WBGene00060674 transcript:CRE14502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14502 MPKLYSLFFLFFNLFSIIHASEIVCPSGFQLINQNKCLKLFSTNLKHLEAELTCTSYGGTLVNINNAIDNRAVSNLAASTSATSIWIGTFCFSSRDISTCYNDNGSGNLTYTNFAAGYPNVGEGYGGCVSMQTSGPTAGKWISAPCEVAGMPFVCEVPATVADPTCTHNYNGYCYTPSHEMPGSSPSASFFDAEKICFNGGSKMVSIHSKREIDYIREIYKDSEVTNQITLGAFSYDSDVFNWVDSSRWNFDYFDPLDMSFGDCLGMDLSEEPNSGMWTRVNCSTSNYFLCKRKITSTVPTKEAENLQGIPPNPDPFDFSHCNTTLYLAPGQITSLGYPISKPPAAYCTWKLATVGAYRLGIYFTDISVAKSVYIYDEYGNLLADPSGNLSPFKVLAPTNIMTITHDGSSGDHGFSATFLSY >CRE14501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:273967:275450:1 gene:WBGene00060675 transcript:CRE14501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14501 MENQEVLRSKPLSYEASKAVLKSLSLEKREHINRRIPELRTINSRLPYVLVNVVITSATFETNGRRWYTKPAWVQSAENRLVPIRDEQTEDGGKSELTILQDNSRKAARYRVNKSPEKILEQLFDEYIRDGTVVSGSLCLCGIPEFLKGTRFSDLKMKVKNLNLRTLPAEDYEHFIRFIDFDALENVKLVSAENSLAILDKPEIQNCRNLTVTVHRYFVPPSVDQLLRLRNQHLHLEDYRFNLHELQLFVETWITTGREIGTRFSWEQIRFEDVLGILEHLKTHFGADEAGSNLEYYFSSKTIYGNRTLKMREDRELVMYCDKSKIQSERFTNYLWVFEMEVVASTTAACIVPIHDV >CRE14500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:269461:270742:1 gene:WBGene00060676 transcript:CRE14500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14500 MENQEVLRSKPLSYEASKAVLKSLSLETREVIKRRIPALRTINSRLPYVLENVVIRSETFETNGRRWIIELAWAEGFYNPKGIIKMQDRHVADPRRNLVTVFQGDSRNKAQYSVNKSSEEVNEQLFDEYIRDGTVVSGSLCFCGIPEFLKGRREDLKMKVTNLELTTKKTEDYEPFVRFIDLDVLENVKLVSAENSLAILDNTEDWITTGREIGTRFSWAQIRFEDVANILEQLKTQLGAVEAGSNLEYYFSSKTIYGNSTTLKMGEDRELVMFCERLENQSERLSFCLYTFEMEVVMSTTAGHDV >CRE14634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:265574:268120:-1 gene:WBGene00060677 transcript:CRE14634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14634 MQSLLLFFFFIPISYCLICPKEYILVNDQKCLKISWAAATHWEAETNCTAASGTLVNIKNAIDNRAVVNFASNAGLKTIWLGLSCFGNTTSSCFWDDASGNPIYENFNSYSPPGYYGSCASMFISSDYGTSQGKWSSTGCNNVDSMMSAKQPYICETPPTIETTFMSPCPFNYNGYCYVKSQDIYMSSFPSANGTQASAYCSRPQFSNLASVHSKMELDFIRNIYKGTNTTAIYIGAQAAATDEFNWLDGSKWDFDYMDPLNFNKGKCLVMDVQGDGLWSQVDCTQKMEYLCKQKLVAPVTPAPTKSSLEKKNPENLLDASNCNSTLFLAPGEISSFGYPDYSSPPTYCTWRLVTLGPYRLGIFFDFWATYGALNIYDEFGANIGQFLSPYSRKPFVRYTPYNIATVTFEPKSGGAGSEVDEGFHATVLPVS >CRE14499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:262593:265234:1 gene:WBGene00060678 transcript:CRE14499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14499 MSAPRVIETTFGGCKMHIIGKPPAWYQTPEEKSASNSYGVMASATKPLNYSSAKAVLEHMDANRRIALVAKNPKIFGAIDKCVPYHFQNLKFSQEGILINQKLFARFTPNLGHMQMLKAFLGANRVHSVDCLTANDMNSISGLPKNLQLKVNKMVFRDQTLQVLQAVRQVILAECFPLKHMCIFPDHPEDTIFNNRITSADTDTMALILPEPKYGEPQFAEPLKMLRHPNVEINDFEITFSDFVRVAQDWMRSPRPIGTKFGMTTNRYQKYIGAVYGALDCKVFSDRYIHVLQLRSLTQIWKWALLEDCDPRRQISVLRAGASAFARPRALNGSDGGGGNRTCGSILHVRSPFPNSIFLVLLFS >CRE14497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:259233:260652:1 gene:WBGene00060679 transcript:CRE14497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14497 MNDTLSEWTIEKFLDMRKEVLDKQKDLEKSNSEIVKKIENLSNEQNEKFDSIQSKLNEIVETLKPEVASKMEENNDSAVILAPVDSTRDVQKNEEMMSTSGKYFVLKHTFNNVSSIRTGTHYYSEEEEHFGVRWQIRAERNKDFLSFWLWSLYYKKTEKNWKIEVELEPKIVSLGSSGKKEKRRRKCSIVFQSDPMKYSWRCFKFVEWDELEKEFVVDDCFCAEIAIKVKKMTGIYKENLRSFDNSMKECSDVVLIVNDEKFYVSKLYLATHSSYFKTLFLGKFNEAKKTEIKLFGIDEDDFQNYLEVLYGEQAIDDYTVEGILMVADMYDTSLVIQKCESFLLKESNKTLKKKLQLSTRYNLPALMKQCLGEIKSVADIKSVLPGDIHDLDPSIMAVFLQRALSLHNS >CRE14496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:256359:258041:1 gene:WBGene00060680 transcript:CRE14496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14496 MWSLGTGAAKKRAKKINNTLSEWTIEKFLDMRKEVLDKQKDLEKSNSEIVEKIEKLSKEQQKKFDLIQLKLDKIIETLKPEVSSKIEENKDSAILTVDSTQGFQKTEEMAPTFGKYFVLKHTFNNVSNFENNKYYSKKNWEIEAELEPKLMSISSSGKKEKRRKKSSDFFQSDPMKSSWRCLKVIECDEFENDFLVDDCFCAEIAMKLKRMTGIYKENLRSFDETKEESSDVVLIVDDEKFFVSKLYLAHSPYFKNLFMGKFSESKKSEIKLSGIDADDFQKYLEVLYGEQAIDEFTVEGILIVANKYDSWLVIEKCENFLQKESKKTLRTKLQLSNRYNLTALLVLSHYQTTVNILFSETMSRGNLMNG >CRE14495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:248530:249264:1 gene:WBGene00060681 transcript:CRE14495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14495 MSKRKHTDTLPDDPPQFVAYADYMELFNHVSKLTAIINELRSGIIESASKTLGEKIALTCEPLPDMSPIGLPVLAPPVFDEVFPQSIFPTSYASVTSKNAGANPNSVPKVSSFTPNHLEKLEIAREAAKLIDKATRVVIERFPDDRNDKEQDTKQLRILQNLASSNNLPVPVKIHRHECKSMYRPLKVQFESSSDRDSFLHGFHIARRTNPDILAMPSKPRARRDLTRPEWETLRASRKHVYDEN >CRE14494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:244391:248015:1 gene:WBGene00060682 transcript:CRE14494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acdh-9 description:CRE-ACDH-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M977] MLLRNILSKGFSLRNSSSYCMRPSVGLERDVEDVLEAAHQFAKKEMYPKMAEWDKQGELPMDVLKKAGEMGFGAIYCSGDHGGSGLSRLHASVIFEQLSMGCVSTAAYISIHNMCAWMLDTYGPDKLKEDLLPDMALFNKLGSYCLTEPDAGSDAASIRTTATKKGDYYVVNGSKAFISGAGTSDNYFVMMRQDGAAPGAKGIFCLMIENGTEGFSFGKKEDKLGWNSQPTRILTFEDCKVPITNQIGKDGFGFNIAMAGLNGGRVNIASCSLGAAQRSLDLAIEHLKCRKQFGKTLSDFQYNQFKLAELATKLYTSRLIVRNAAEQLDNDLPEKVALCAMAKLHATDNCFDVVNGALQMFGGYGFLKDYPIQQYLRDIRVHQILEGTNEMMRLLISRDLLTKDIFWSS >CRE14492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:236571:237644:1 gene:WBGene00060683 transcript:CRE14492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14492 MTNQSKFENWNFLPEEMKLSCIRFMDFKTRYRLRATSHTERRLAEAQKFSWESVEMRSWRRRKEILLNFGFRPKTSIYYQENQIESMIVPLFSFIFNTVKLGKFDFSWADYDFYQHLQHFVASSPYQIDSVSGTFSFQTLRFLNNFAPNSIKHLELEYEPLGHEGRFPINQFVLYPMINSIKLWYLTVRTIPDYERIARKWMEIDVDVGSVMFFNYYENRTTDDFVSGMEDYTVVQKSPNLVRMEMKDKEKHMVLYVTYKWDKKCFIKIVSSDSPESQITDFILRN >CRE14632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:221195:222296:-1 gene:WBGene00060684 transcript:CRE14632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14632 MTNQSKFENWNFLPEEMKLSCIRFMDFKTRYRLRATSHTERRLAEAQKFSWESVEMRSWRRRKEILLNFGFRPKTSIYYYENQIESMIVPLLSFIFNTVKLGKFDFSWADYDFYQHLQHFVASSPYQIDSVTGTFTFQTLRFLNNFAPNSIKHLELEYEPLGHEGRFPINQFVLYPMINSIKLWNLTVETIPDYERIARKWMEIDVDVGSVMFFNYYENRTTDDFVSGMEDYTVVQKSPNLVRMEMKDKEKHMVLYVTYKWDKKCFIKIVSSDFPESQITDFILRN >CRE14631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:215489:217284:-1 gene:WBGene00060685 transcript:CRE14631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14631 MSQNNDMPGSPESKRHKPNTDVVEVDKTENKKIRKTGKRFLLKHTFKNILGLEVGKNVYSKKEEHFGVQWYFCIEHAPEDFLIVLYCSWPKSIGESTIKEYFEFKFGANTGDTTSKKFIATFRNNNGSDSNGFQMKWSEIKSDFVEDGKLKVELNAEVLESTGLFGPRLRNFDETTKEVSDLILVVNGEKFYVSKFYLSSQSDYFRALFLRGYSESSMPEIKLEGIDADDFQHFLELLYGEKVLDGNSQRVLRKHSYHFPEITVEGILLIADMYNTPVAIRQCEDFLLDVSKKTLKKKFQMAIRYNLEKLKTKILADVKTISDIREIAWEEEVDDLEPSIISTLLKKISILVPYTKDNVDPRIHRVLKKFGIKDSGGFDIIERSELKAYLRDKNEITVDAYVKVLKTTGLYKPRIRNFDETTEKFSDFVLSVERAKKNLETQENWRKEDRIPSKTPRALFLAPGGTERSSCLLLRDSPES >CRE14630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:193104:195605:-1 gene:WBGene00060686 transcript:CRE14630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14630 MVCTPWILTFLLIAVASQSQETPELEKTVEKQKILIDVLNNFRRQTANKLQISYMHELIYDETLYQQFDLRYKKDIPSFEIDNYTTVFKDLKSYTSAYWKKSETGVYRGSAIGHGVSEDIIQYLSPLQQMHRGGKWFEMLFGTDLFFETFLSDLFIFFHSNVSTSYSIDSHFRNFKLIQNMDLDLKPLILFNLETLEDQKKFYDDIKIMHERRNDERDPFERQQLKEDIDNDIIDWNEELYPEAWNYFDTHFMSEERLAEYAESVYDMMTDDEYMGVRKFTFNTGRGIHSPNGEPAIQNRLLKTFKGRPRCDVRIDEYNEGLVILECW >CRE14629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:183121:183804:-1 gene:WBGene00060687 transcript:CRE14629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14629 MEERRRADFLSVINNLRRDVANRFKIPYMHELVFDNTLYQQYDISLKDFACSFKFRSYDKLRLESLFITDSIISSRNKTYYEIGVTENNFQFLSPLQKRIRYSKPKEGGLKCVLGPIKERIWWYYSEGDPGSLCDDGYYNYDGLCIMIPVTTTATKVL >CRE14628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:180135:181011:-1 gene:WBGene00060688 transcript:CRE14628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14628 MMIKTLLLALLLLGTSSKILENAGKAIIGAVGDVQEESIPLPFGTPEEFLVEVNQARRDYARKHNIPNMRQLVWSEDLVKIAEKLDMEVNWPEARVSWRYCNLDRYYGIAVTIRNRMAFHKMMPKEETLKFATTFTLDTQELLVPMQAKIGCVKKGERVLCLLGPTGSYWYPEGSVRSEGAPGSDCGEGYTNNGGLCALSGIKSDEENGKGEISESAAPDLLYVVVLLMAYFL >CRE14483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:178602:179480:1 gene:WBGene00060689 transcript:CRE14483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14483 MKKLHDMTLVLQVMDYDRFSSDDPIGEILLPLKHVKFENSPVYWKHLQRPTVSKDACGEIMISLCYLPTSGKITVSIIKARDLHSKDRTRHYDTYVKMWMVQQGNKLEKRKTSVKPHTPSPIFNESFAFSIPVKNVLLAEVNLVLTAMEYDVIGSNEEIGHVIVGGLGSEHGQRHWSECINHPEQPVAMWHKLCPKW >CRE14482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:171207:172467:1 gene:WBGene00060690 transcript:CRE14482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14482 MVVTQITVPVEFVYVVGAAILSAFVVVGAAIYKMRKPVDIDELDCEQTARLFRPEVLPPTLQVDTESRKVQPSLKARLSQSINPWKTSIIDQLRPEVVSEYRGRINFSVAFEKECSTLHVHLMEAVDLPVKDFTGSSDPYVRAFLLQDPGQSERSKVHRRNLNPTFNETLSFRG >CRE14480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:148843:156629:1 gene:WBGene00060691 transcript:CRE14480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14480 METALVVPEQKEHELPCIRFRPPKRPNYGRIGREIILRTNYYRMKIVAEKVQHYSVEMSTTMCSRKTNREIFTRFLATYPDHFADMHPVYDGKENMYTKKLLPLDHQNLSVVLLVPVESGGRPRLVTVSVKWVGEISLMSNDNLKYEAIQVIDTILRHVPSLRYATIGKSFYYKPLPDQGLSLGGGREIWMGYHQSTKLTRKGCMLNVDVSAAAFHTAIPVIEFLSKVLDLPENLRISVMEQGITHSQHHQFSKAIKNLYIELAHFPTRSRMRKVINVTEQPADELIIDKNLPDGSTKKCTVAQHFLEQHQITLQYPHLPCLQVGLIEFPSYFPIEVCILADYQRCVKKLTEGQKSQMIWASAKPAPDRMRAISKQRDALEFELDPCVNDFGIQISSNMTELKGRVLRPPSLVYSDNKSPQKDASKSPTDGAWDMRPYKFLDGIHITCWAIACFAEPKEVHEDCLTRYVHLLRKISQESGVPITEYPVFCKYGHGVEEVELVLRFLKETYPDLQLVLVILPGKHDFYPEVKRVGDTLLGVTTQCVQAKNVVKTFAKTAANICLKINAKLGGVNCILNPQHRPQIYNESVIFLGCNVTNITVADTAIQSVVSIVGSMDAYPSKYAATVRVQESQDLIADMAAMVKELLLRFHRNTGFKPSRIVVYRDAALENMFHEILQYELRAIREACKMIEKEYEPGITFIAVMKRHHTRLFAIYPMHQTGQSRNIPPGTTVDSVITHPTQFDFFLCSHAGIQGTSRPTRYYVLWDDNKMPADEMQQMTYQLCHTYVRCNRAVSIPAPAYYAILVCTRAKIHLWEREQDREREGGSEDSARLDLSHLARAVQVSTINSKIVHNSKNFRL >CRE14626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:146136:147064:-1 gene:WBGene00060692 transcript:CRE14626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14626 MVSKTTLITLLAVTGFIALLAFVGTGVVRSARHRPIYYSTQGPGNYLGTPTQFVKKINELRRWCARDLNVSNMHQLIWSEDLVKVAEFLKEHEVFSDKGRVEVINSYQDAFYSLQGLLKKKGKLGTAVNFKQIIDKDKRNQGIDFLVPNQLYVGCSPKESTMTCLIGPNNKFSFFDYSGDSIEIPGTQCLDGYVENDGICSPTTETLVEASREKFPKIKEEYEEDDETSGTNASQLGLVFLCLCFFYAFQLID >CRE14478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:133610:135677:1 gene:WBGene00060693 transcript:CRE14478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14478 MSLFQSLLIFLSFVAIKDVSPYYTDNYFVDKINDLRRTYAKKYNVPNMHRLMWSEDLVNILDRLDWSVAWPEARITWRYTALKFYETSQIETDVIDFLKKTPEEKSKTIKTDAKSSMKGMELFNPLQRFIGCYPKREGNSSFPVCLVGTEGSFTMFDTTGQSTGIPGSECHKRYKNEGGLCVPNNEDEESYYGHLEDIIESVNQIREDYSREFNVPNMHQLVRDIFSTARNNFFQIWSDDLLKNLQNMNRDNGFKGACVTWRYVHIDTSNKGSIKKELSSTFFEKSDVEKRSFVSINSHKTLESLEFLNPLQKFIACSRNSNTRFICLLGTEGKFTMFDYSHKSSETPGSNCHKRYRNDSGICVAIKPEEESYFGRQSDFMSDINEIRRRYAKEYRVSNMHALTWSNELAEVLDPLDTTGVRAKAKETWRYGALNTYDNTIYHIKADVTRFFEMNRTAKNDHIVKTLSDKDTMDRLEFLNPLQKTIACGRKEEEGVTYIICLLGPEGNFTIFDTSFQSQLAAGSKCHKGYYNEDGLCIMQIPTQVPIIDYRKMAEEERNKALTEEPEPEPILENHGNEISLGYLLVFLLAKLFFNI >CRE14477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:130844:132926:1 gene:WBGene00060694 transcript:CRE14477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14477 MNLIQSLLVFLSFVPIKDSSILIGKKFFLELNNLRQHYANKYNVPNMHKLIWSRTLVDISKWPNWDVSLPKARKTWRYDESVTYLPGFRDIKDQPGFTEIKDAAEAYFSNPYNNTYTMGHLELLNPVQRFIGCGPKFNAKFNEFSLIHVCLVGTEGKFTFFDTSRKSKKLPGSECHKRYKNEGGLCVAKNETEESYHGYSQDIIDDVNRIRKKYSKQFNVPNMHQLIWSDDLLKNLQNMAWNNGFMGARVTWRYVQLDTYIETFIERELSNFFEKTDVEKRSFISTNSDTTLDSLEFLNPLQKFIACGQNSNTPSLICLLGTEGKFTMFDSSGKSKEIPGSNCQKRYRNDSGVCVAIKPEEESYFGRQSDFMSDINQIRRRYAKEYRVPNMHALAWSNELAEILEPLNMTIVRAQAKKTWRYGALNTYDNTIYHIKADVTRFFEMNRTAKNDHIVKTLSDKDTMDRLEFLNPLQKTIACGRKEEEGVTYIICLLGPEGNFTIFDTSFQSQLAAGSKCHKGYYNEDGLCIMQIPTQVPIIDYRKMAEEERNKALTEEPEPEPILENHGNEISLGYLLVFLLAKLFFNI >CRE14625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:128528:129975:-1 gene:WBGene00060695 transcript:CRE14625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14625 MSSRDNNQEYAISALHTLFIIVYLQEMAWISAPLLISANVFLHACIRQFSVESLVYSGIVFAFFLITGTGITISLYLAHTVALRHVRPGYFLPYAVFKAFRIVTLAIVSFAILFSPTLIKTYFYASIYGLFETVAGAIALEVTIRCIRETKRIRSGRRRQTRADANLPPNIVSKSTLIPEN >CRE14624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:118682:120662:-1 gene:WBGene00060696 transcript:CRE14624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14624 MRLPPFFYTSLLPLFTMAFEIHPKEELRKCFSCSVFTFINSKLVCANPSFCFSDFCYSYLLSDGRSMFLSGCAEDVSDYSIRTYDDHLMCHTRGAVGLCVCSSAQPSCHDLWPTSRNSTTGGPRNMTTHIRWVDTDVNQINAISHRVTGFSADYARNRVGQMRVEHFPEQFPGSPVSVANGLLYFVVYLVTVLTYFF >CRE14623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:107548:113480:-1 gene:WBGene00060697 transcript:CRE14623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14623 MEHFPAVCCFGGEPFLDFHTNSSIDPTDWSADLVKDPINTTDLLPSLSRSLTQLGIDITSQCKIFNITFNDLADQTLFDTYKASFWCRCPIGHFGQSCELQESTLPISLPLVSQDPLSSPPDPMVMLLVIAAVVLALMAVLAMCHKGRYSLQK >CRE14622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:100453:100928:-1 gene:WBGene00060698 transcript:CRE14622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14622 MVKGCFCDCFGPMSREDPYDKPLDPEDVRRCLQKVRENQAAREMAREPLVSHPPPPSALPPMVSESYSAPYSQAPPSGMRYPSAPPMVYRPPSPPPAYSAIDKTPAMHSSQI >CRE14621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:97925:99987:-1 gene:WBGene00060699 transcript:CRE14621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14621 MNLFQSLVIFLSFVAIKDVASMDREDFISDINVLRRDYANRFKVPNMHELIWSWGLFNILIPLEWGVPWPEARKTWRYLRLESYEGANTSTKNAAEKYFSNPYNRTDSYNELEFLNPIQRFYACIGRSEWIREELRGYCVCLVGTEGEFTIFDTSGNSKKVPGSECHKRYKNDNGLCVPNNKTEEWYDGHPQDILEDINQIRKVYSEKYNVPNMHQLIWSDDLLKNLQKMIWSNRFQDACVTWRYVHLDNYIKTSIESELDTFFNKIPLEKRLFIRNGSDTTLRSLEFLNPLQKFIACGRKTNSLIMVCLFGTEGKFTMFDYSNKSSEIPGSNCHKGYRNDSGVCVAIKPEEESYFGRQLDFMSDINQIRRRYAKEYRVPNMHELTWSNDLAEVLEHLHMTGVRAQAKKTWRYGAINTYDNIIYQIEKGVTFFFESNRTAKKIHIESLSKKDTMDRLEFLNPLQKTIACGRKEEEGGYRRGIYIICLLGPEGNFTIFDTTFQWQASAGSKCDKGYYNEDGLCIVQIPTQEPIIDYRKMAEEEKNKALTEEPEPEPLLENHGNGISLGYLLAFLLAKLLFNI >CRE14620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:93696:95459:-1 gene:WBGene00060700 transcript:CRE14620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14620 MSDIEKYATDFLKKNSRGNKESNSRRGSFTIFDSNGQSTSIPGSQCHKRYKNKDGLCVAKNPEEESYYGNVRHIFEDVNQIRKKYSKTYNVPNMYRLIWSEDLLKNLQNMNWNNGFKRSCITWRYVKLNSYIKPSIEPKLNTFFKKNPLDRRLFIRNSSATTLDSLEFLNPLQKFIACIRQSNAISFVCLLGTEGKFTMFDSSGQSKETPGSNCLKRYRNDNGICVAIKPEEESYFGRQLDFMSDINQIRRRYAKEYRVPNMHQLTWSNELVEVLDPLDITGVRAKAKKAWRYGALYTYDNTIYQIEKDVTFFFESNRTAKKDHIKTFVETAYRLEFLNPLQKTIGCGRKEENGITYVICLLGPEGNFTIFDTTFQSQLTAGSKCDKGYYNEDGLCIVQIPTQEPIIDYRKMAEEERNKASTEEPESEPLLENHGNRLSLRFLFSFLIAKWFHSVLN >CRE14619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:88720:90649:-1 gene:WBGene00060701 transcript:CRE14619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14619 MNLHLSLLLLVPAVIAYGERTTTIEDAYKRTTAAPPLGPPDRFLLDLNNLRRHYAKKYNVSNMHELIWSENLVNVNEHLDLWIAPPEEEQRTFRFGIIESYENANDKLRSDIDEFMKKSDREKEELVRENRGRTLSAPEFLVPHQRFIWCVYEVCILGPETLFIWNYQQFQRSSEPGADCLDGYENDDGLCVLKDSKNKFIDGINEVRKKHADKFNVPNMHKLTWSNELLGVLESLNLTNGVPTSTMNFRYWILPAFQYNSSSEFETSLETDYFSKNSNGRRDFVESASLGSLEFLIPLQKVVACAAKNVKREYKKVCLIGPSGTPKMFATNTASMTRDLTHKLKCSANYKRENGLCAPKHPENVSYFGNSEEFLNAVNAQRKKLANKYHIPNMHELTWKQSLATTGDSLTWPDDSWTCARHVWRYVPTFYNGITEAIEEEVHHLLTEIHHNEFLEFLKRENETHVGHLELLNPMQKQIGCASKKGVDPFVLCLLGDEGVFKFWDLRNNEIPGSDCQLGYSNQRGLCSLPTKANAEPSAKKRKIASASRDQHLGNVPRK >CRE14475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:81841:84408:1 gene:WBGene00060702 transcript:CRE14475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14475 MKLLSLLTLLLPPAFSELLPFSNDSINLRDYEELRSFHPDTHVRQFNKIRFAFSRVYQISNMHPLEWSEDLVRVLEPLAWDRPWPEARKTWRYMVVPKTDLHRIYNVFEYELNKILEHLIDYMKSGEYKDRRDTSLSYLELLNPLQRYIGCGPKPEGDKYIIVCLLGPYGDFKVNMWENMTNESNSKCYDFYQYDGGHCELNDPDFTESINDIRRKFAKKYNVPDMHKLTWNKDLLKILESLDVSANDTSLKNYQRYWILRGTGYQHTLNTLRNKINREYIKNVEKEEIFASGLNSTLGDLEAVIPLQKTFACAPKLMNNQWKIVCLIGPSLEPKMFDIDPKIVTKNVLGSACPTHYKHEDGLCVPENPEKNVNIFDSDYFKEKVNDVRVKLAKKFNVSNMHQLDPSYDLSKELEDWNINDRNQKQERIRYLAVPIHDFEKMLDTLETRVQQEYFSKNPKERRAILASGANTTLGILELIMPLQTMYECAVNEVNGVFKVVCLIGPSLTPKMFATDMTSVTNNVPGSDCMPRYVDNLGLCETDEPSHARKWFLNDFNLLRKKYANRYQIPNMHELIWSDSFATYAESQMMSEKPLSCSGKSWRYVSIFFTSFIVTLEEKIDEYLMEMNHYKFIDFLKNGNKSESVGYLELLNPLQTHIGCTGRFQGVLCLLGDEGVFQMWDLNKNSGEAGSDCQRGYENRDGLCLLSRTQQSPKSRKNEDPSFSGGK >CRE14618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:77464:80870:-1 gene:WBGene00060703 transcript:CRE14618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14618 MNLHSPLFTFLLLVVVAPSEPSDRFLSDVNQLRRQYALEYNVSDMHELIWNNDLVKVLKPLDWTTSWPDARKTWRYMYFNSSVSPTKDIEGQLDSFFAKTQSEQKEYIYYSEDVRKRSAGALELLNPLQRFFGCGPKTEGDSKLTVCLMGPRGDFKLFDTSGKSSERFLEDVNGVRREYANRFNVPNMHELIWSNDLVEVLKPLDWSLSWPEARKTWRYMYLYTYANVIKDVEDKLNPFLAKNLSEQKQHIEDIKTYSAKSLELLNPLQRFIGCSQKKEKNSNIVVCLIGTSGDFKLFDTSGQSSASPGSKCYKRYNNIDGLCVAENPEKESYHSSPERFLEDVNDLRRTYANRFNVPNMHGLIWNENLVNTAKALNYSYGPWPEARITWRYVNIKTYDTSSNYIEKEIEEFLELNETEKQNYVNQWAGTSLGRPELLNPRQRFMGCAQRIGKILCLLGTEGGLTLIDTRLESNANPGSQCYKNYENDDGLCVATRQEKLTIRINQIREKYAKLYNVPNMHQLIWSEDLEKFSNDGNFMIDPPQMGKTMRYVYLPKFENREYFDFFIESRIEQKYISKDPIERNAFFASNVQTSLGDLEFLIPLQKSLACDYMMNEHAQQDYVCVIGPSGNPKMFNVDTASVTTHVAASECSSRYKNLDGLCVPEDPTDVSYFGNSSDFLKDVNDGRRKYANRYNISNMHKLAWKESLVTLASSLNCSDNLSCANKTWRYTTDLVYTGITEKIDEEVDYYLTEMSQDEFLDFLKSGETHHVGHLELLNPMQTSIGCVEKLWDFTVFCLLGDEGSFKMWDLKNVESKAGTNCEQGYENDDGLCSIPEAKSIVETTTEESTIGASTTSSIPEPKAIVETTTEESSTGASTTSSAPKKSIVETTTKETTTEETTTEKSTTGASTTSSAPSKRRLLPKSSKKHSARVNKKTDGK >CRE14474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:72948:74906:1 gene:WBGene00060704 transcript:CRE14474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14474 MNLHLSLLLLVPAIIAYKGPPDSFLPDLNNLRRDYAKKYGLPNMNELIWSEELVNITGTSDSVRELAWRYSAIESYENAIDTIRSKVDEFMKKSNSEKIDISKRMGNESASFAPEFLNPVQRFIGCASTSYLNVSRLCLMGPEKSYNDNFWLYTSSYVPGSQCLNGYENNDGLCVLKDAKNTFIDGINEVRKKYADKFNVPNMHKLTWSKELLGVLESLNLTNGVPTSTMNFRYWILPAFQYNSSSEFETSLENYYFSKNSNGRRDFLESGAETSLGALEFLIPRQKVIACAAKNVNEEYKKVCLIGPSGTPRMFATNTASVTQDLTQKLGCSANYKLEDGLCVSEDPEELSYFGNSEEFLNAVNAIRKKFANKEHIPNMHELTWKQSLVTTADSLTWPDDSWTCARHVWRYVPTFYNGIAEAIEEEVHHMLTELSHKEFLEFLKHGNENGHVGILELLNPLQTQIGCVSKQKVNDPFVLCLLGNEGVFKYWDLKSKQIPGSDCELGYIDQEGLCSLPVISNGDEIE >CRE14473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:68524:71387:1 gene:WBGene00060705 transcript:CRE14473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14473 MKLHSLLLLLIPAITAYGEHTTTIDSHEIHSYVNQLRRQYASKYNVPDMHELIWSNELVEILKPLDWTTSWPEARKTWRYMYFNSSVIPTKDIERQLDLFLLKANQNRNRTLNILLNPLQRFFGCGPKTEGDSKLTVCLMGPRGDFMMFDTSGQSSASPGSKCNKRHRKNNGLCVAENPKKESYYPNPEYFLENVNDLRRVYANRFNVTNMHGLTWNENLVNTAEALDMEAKPWSETQKTWRYVQIKTYDTSSKDIEKEFEFEEEFLLSLSKTGKQRYINKSADSSLGMPELLNPLQRFIGCTHKRRTILCLLGAEGAVTLIDTNLESNAKPGSQCYNNYENVNGLCVPTREEELTIRINQIREKYAKLYNVSNMHQLIWSEDLLKFLELGNFSVDLPQIGKTMRLVYLRKFEFQEYFDFFIESRIENNFISKDPIERNSFFASNVQTSLGDLEFLIPLQKSIACEFVMIKRDYLDFVCLIGPSGKPKMFNVDTASVTTHIAASECSARYKSLDGLCVPEDPRTVSYFGNSFDFLNDVNDARRKYAYKYNISNMHKLKWKESLVTLASSLNCSNNLTCVHKTRRYVSNLVYTGITEKIDEEVDYYLTEMSQDEFLEFLKNGETHHVGHLELLNPLQTSIGCIEKLWDFTVFCLLGDEGSFKMWDLKNVESKAGTNCAPGYENDDGLCSIPEAASIVETTTEETTTEESTTGASTTSSIPEPKAIVETTTEESTTEASTTSSAPKKSIVETTTEETATEETTTKESTTGASTTSSAPSKRRLFPKSSKKHSARVNKKTGGK >CRE14617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:65662:68278:-1 gene:WBGene00060706 transcript:CRE14617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14617 MMKLLSLLTLFLPSAVVVFTNTATEQPVSTKTPTEAPHIYRKHIVEEFNKIREEYSSKYQISNMHPLTWSEDLVRVLERLDWSKSSSWPEARKTWRYMVVNNPYWIYAGLKYDLDLILVWNVNNTRGISEEYEKHSNSSMKSFESLNPLQRYIGCGLKPDGDKYIIVCLLGPYGDFKVNMRENMTNESNSKCYDYYQFYKGYCKPNYPQTHFTRFINDMRKQYAKQYNVPDMHKLTWNTDLLKVLEGLDVSANDTGLKNHQRYWILRETGYQHTLNTIKNKINWEFIDNSENMRNIFASGLNSTLGDLEIVIPLQKIFACAPKLMNNQWKAVCLIGPSLEPKMFETNPEIVDKNVLGSACSTNHKLEDGLCVWEEPNKDVIFSHDRNSHIFQSDFFEVKVNDVRIKLAKKFNVPNMHQLGSFFLGDLGDKLWDWNINDRNQKPEKTRYLAIPIYDFENMLDTLETRVQQEYFSKNPKERKAILASGVNTTLGVLELIMPLQTMYKCDLKDVNGVFKIVCLIGPSLTPTMFATDITSVTNNVIGSDCRAGYMEKLGMCGTDYYDVVDGKRFLNDFNRLRKKYANRYQIPNMHELKWSDSFVTYAKSQMKSKKPLSCSGKSWRYVSINYTFIVPLEEKIDEYLTEMNHYKFIDFLKNGNTSESVGYLELLNPLQTHIGCGERHKGALCLLGDEGVFQMWDLNKNLGEAGSNCQRGYENRDGLCSLLKTQQTPESLENKDPSH >CRE14471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:53836:59108:1 gene:WBGene00060707 transcript:CRE14471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14471 MKLHSLLLLLVPAIIAYGEHTTTVDSLRRSILYYVNQLRRQYASKYNVPDMHELIWSDDLVKILKPLDWSLSWPKARITWRYMYFYPANGNPGFDMSDSLIELRSFFKEKTQSEQEQYIEENKIYSAKALEFLNPLQRFIGCGLKKDGDSDIIVCLIGRKGYFKLFDTSGRSSASPGSKCYKRYKKNIDGLCVAENPNRESYYSRSEYFLDDVNDLRRDYANRFNVPDMHELIWSYDLVEILKPLDWTITQLERHKTWRYMYIYTYDNAILHIERYLSSFFDKNESEQKEQIKDSETSSAHSLEWLNPLQRFVGCSPNQDIFEKGSSDIIVCLIGTRGDFKLFDTSGQSSDTPGSKCHKRYSNINGLCVAENPKKESYYSSPEYFLEDVNALRRKYANRFNVPNMHKLIWSDDLVDILKPLNWSLSWPEARKTWRYMYLYTSANVIKDVEEQLNRFLAKTQSEQKQYIENSEEVRWRSAGALELLNPLQRFIGCGPKRDGYSTVVVCLIGSSGDFKMFDTSGQSSASPGSKCYKRYKKNIDGLCVAENPNRESYRSSPEYFLEDVNDMRKEYANRFNVPNMHELIWSTDLVDILKPLNWSLSWPEARVTWRYMYLYTYVNAIKDVEEQLNPFFAKTQSEQKHHIEDSTTYSAKALELLNPLQRFIGCGPKKEGNSNIVVCLIGTSGDFKLFDTSGKSSDSPGSKCNKRYKKIDRLCVAENPDKETYYSNPEYFLEDVNDLRRDYAKQFNVPNMHELIWSNDLVDILKPLNRNITLLERYKTWRYMYIYTYSSGVFEIENTLKSFFAKNESEQKMLIKDGKRYSHDLELLNPLQRFIGCGPNQNMYTGSAKKLVCLIGARGDFTLLDSSGQSSASPGSQCYERYRNIDGLCVAENPDKESYYSTPEYFLNNVNDMRREYANRFNVPNMHELIWNENLVNTVKVLDYDPQASWPEARITWRYVLVNTYDTSSKYIEKEVDEFLELNETEKQNYVNQWAGTRLKMPELINPLQRFMGCAQKEKIIYCLLGTEGGVTLIDTTFEVDVNPGSQCYKNYENDDGLCVPTQEEKLTIGINQIREKYAKLYNVSNMHQLIWSEDLLKFLELGNFSVDLPQIGKTMRYFVLPRSEYLEYWDLLNTLESRIENNYISQDPIERNAFFASNVQTSLGALEFLIPLQKSLACAFVKIDGQKEYVCLIGPSGNPKMFNVGRASVTTHVAASECSARYKNLDGLCVPENPATVSFLGNFSDFLNDVNAVRRKYANKYNISNMHKLTWKESFVTLASSLNCSDNLTCANKTWRYTTDLVYTGITEKIDEEVDFYLTEMSQDEFLEFLKNGESHHVGHLELLNPMQTSIGCFERLMEEEFTVFCLLGDEGNFKMWDLKNVESKAGSNCAAGYDNDDGLCSIPIASIVETTTEESTTGASITSTPPKTSTVEATTKETTTEESTTGASTTTSPPKTSTVETTTKETLRS >CRE14616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:51124:53587:-1 gene:WBGene00060708 transcript:CRE14616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14616 MKLLSLLTLLLPPAVIVYTTTASAKPVSTTSPIEVLYIDRKHYVSEFNKIREEYHKRHQISNMHPLTWSEDLVRVLEPLAWDRPWPEARQTWRYIVVPDNSSIYNWAKEELDEILKKGSRGISEEYENHKKTYVKTLELLHPLQRYVGCGLKRDGGKYVIVCLLGPFGDFKVDINQDMTKEYNSKCYDYYQYTNGYCQSNHPAIHFTESINDIREKFAKEYNVPDMHKLTWNTDLLKILESLDVSVNDTSLKNHQRYWILRETGYQHTLNTLRNKIKWEYIGNEEKEEIFASGLSSTLGDLEIVIPLQKIFACAPKLMNDQWKTVCLIGPSSEPTMFETNPEIVTKNVLGSACSRHYKHEDGLCVPENPKKHEKVNGLRAKLAKKFNVPNMHELDFTSDLILYMGNWNINDESRKKERTRYLAVPIYDFENMLDTLETRVQQDYFSKSPEEKKAILASGANTTLGVLELIMPLQTMYECGVKDVNGVFKMVCLIEPSMKPKMFATDITSVTTSVPGSDCMPRFTNDHGFCKRENFTEDEKWYLNDFNLLRKKYADRYQIPNMHELIWKDPFATYAESQKKSKKPLSCSGKSWRYVSIDYAGFIVQLEEKIDKYLTEMNHYKFIDFLKNGYKSESVGYLELLNPLQTHIGCTGRYQGFFCLLGDEGVFRMWDLNMDSGEAGSNCQRGYENRHGLCSLSE >CRE14470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:47135:48339:1 gene:WBGene00060709 transcript:CRE14470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14470 MTESRKREKKDLSLTAPGETKKYIRDFGVFDETTSDGVLVASGRKFHVSKHHLASHSNFFKSMFFKEFDESTKDEIVLENVEAEELQKFLETINGELCIDDKCVDGVLRLSDMWCAATPMNACERFLMRDSKKSRKDIFSIARGYNLQKLKDDLISKANNHAELQSILPSDVMSLDHATMGAILKKFSALTTSHSRIGHYEGTPQYYSHRGPIPQPTYSPT >CRE14469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:43444:46862:1 gene:WBGene00060710 transcript:CRE14469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14469 MTDNYMEKERDAAGERRKRKKEKEKKKKRKGIVFNVRACVLSRLLLFYTTNGYLSLNPTQEIMAEAPALKKQRLSQARKNLREFDGSDPNHYDMILVVEAEKFHVCKMYLAIHSTYFNTLFYAPFAEKEKEWVELKEVGAEEFQHFLECIHGDLCVDDQSVGGILRLSNMWEAKTATQRCEHYLVHESRMSKKEKFELADLYNLQILQVKSLSRFKTILKIETAVLKEVESGMNSLLADVTTGPELQQILPIDLLTLNHDSRGTVLRKAMDLLTAAPPVFFDEQLVAVEDNAAQVDRPAFLQDFEVAPAPPPLLAMQNPGRAPPPMMQNFNLLNNDNLLQVLNMLQRNNNVV >CRE14614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:39428:42540:-1 gene:WBGene00060711 transcript:CRE14614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14614 MAQPGPSSSSKKTDAPKFGADPRLFDAVVRVQQQNFPVKKCVLGEHSKVFYNIFFVEKKESTDENPIEFDDLREFDFQHFLEVINGQTNIWDYSVESVLKMARRFECEQLERRCVSHMMHDSRESLKNRFKWAFEFDLEELKTKVLSEVKTIKELKAVMPSSDVSTYGPEDTFLLFEKSLDVQGIRKKIGPPIDRMRLIRRLE >CRE14613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:37870:39232:-1 gene:WBGene00060712 transcript:CRE14613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14613 MSEGAPPSKRLCGVLLRRETEETSPRKNILEFDGSDTNLHDVVLLVQNRKFYVNKKQLAVHSKFFHRMFFGGFEETEKDEIEIKDLDATQFHIFLEAVYGVLYVDDSIVEGLIELADRFDCEHILKKCKEHLMEMKNGSSNKLLRMAIRYDMKPLKTKILSSIKTKRDLRDLIRPTTDGFDHETMNFLLEKSLGMEQGPVFFEDGFPGSSTIESARTVSRWLAMRDTD >CRE14612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:36625:37683:-1 gene:WBGene00060713 transcript:CRE14612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14612 MSDPSPRGRKRQGEAPAYPTAPKKRNPVVFDGSDPDLYDVVLAVEEKKFYVNKKQLALHSKFFHRMFYGEFEEIKKEEIEIKEVLADDFQKFLEVVHGLEYVNDSIVEGLIELADRFDCEHILKKCKEHLMGINNVTSNKLLRMAIRYDMKQLKENVLSKVKTTEEAIDLYTTNEFDEETMNILLDKSFGITSSPASAQDYLSGVSAIASSLIAHRLLAAMVSQPD >CRE14611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:34686:35804:-1 gene:WBGene00060714 transcript:CRE14611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14611 MSGRRGRKRQREVTPHPTTPIKMNAVEFDGSDPDLFDIILVVEGKKFYVNKKQLALHSMFFHRMFYGGFEEAKKEEIEIKEVSAEDFQKFLEVVHGVEDLEDSTVEAVFDLSDRFDCEHIIKKCKKFLLAHNQVPPKTMLALSIRHNFEELKTKALETVKTKWDMKAILPANLKDLDHPTMSLLLKKSLNISGNASIDTPSDDERDVQDLFRQGEEDPGQIDIRARVGEASRRIMARVRALGP >CRE14468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:28748:29940:1 gene:WBGene00060715 transcript:CRE14468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14468 MLPTIPNERKRPAESGEEPDAGPKIAPAPEVPEEPEIIEIPIYNRTQDFTSNSDPNLSDCVLVADGRKFYADKKNLARHSLVLNEMFFGPSSKQDEINLDNVTEETLQKFLEISVGCQGVLNDTNVEGVVKLAHQWSAPVIKEACGEYVSKECSLSLEKKLELASLFRLSDKVKTSLVTSIKDWTILDSIKDSTITIGHDTCLMNLLFNKCMELQKNQPVAVTTAQPLVPQAQPQVSRALVAFPIPLPIPPLRGQQNYEEYRRRVRERIEQHSVDEHLYNRRGPRTPPPAGIPDFIHIPQERDYLRF >CRE14609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:20983:24098:-1 gene:WBGene00060716 transcript:CRE14609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14609 MYSRPRSKFQRETPMEPNMVNGRKRPSRFDYPVVPRAGPSHRSIPAVDSDIEEVEFIKNAPPSYKCAVDFTSNADPNLSDLVLVADGRKFYVDKKNLARHSTTLNAMFFGRFEESRQDEIKVDEVGEESLQRFLELTVGAQKVLTEENIEGVLVLADYWDAPIVKKTCEVFIMESQTMQIPKKLELATRFHLDEELKERLVSSITSFSTLEEVQNESIIIYTDKTMMGLLFNNQIDPIKFMPGGRGSSGVRGRPRGARSRRMANQAAPELENVEETAPEAPDPAPAMVPEVPAMAPVVPAPAPEAQAPAPAVAPEAQSPDPAVAPEAQSPALEAPMAAPEAPSPAPAPVELEEPMDIVDAVPGARNYEIFMTFGINSPEISDFIIIVEGQRFYVDKRHLTRHSSILGAMVNSPTVQNATLVLENVKEAPFHWFLEVINGVYYSLKPHNIEDVLELVTRWKAKYAKWACGDFLCRRCPDIPIEKRLELSVQHELNMAKPVLVKRIQDVATLELLRDSEIIFTDPVVLGLLFNKAMELAGAAPPPPPRPPLHLRLQAAMEQGANDRGVVRPFENRPQQNGINQNARYNDPNHPRAPTPPRPRMPRMPTPPPPYHPPIVAQPVGEIGRRGLYSSESSRSPSPQRGRRRERRNSSSMRTSESEYESESYSDDSRPPYSPLRARSPDSYYSTSPEPPRRRALRDRYDVYDHEERRGRDHRSRSRSPRR >CRE14606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:14106:15150:-1 gene:WBGene00060717 transcript:CRE14606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14606 MASGNANKRSGDHQGSAGGAKKLKKMQVDFSVADRNLTDVVLVVEGQRFHVLKGRLAYDSRPFYTMFFETHRDEPEIELSGFGAEEFQSFLEIVHGYVTCGNGEVARKVLEIADKYDVDLVRKKCEKKMIETARFTKKQKMEIALKFGLDTLKSVLLKEIKIFEDLEAILPSDLADLDPATMKAVLEKSLEFNRAAPVAADPEPAAPAPAAPAQPVPRQVSPVPVPRALDPDDIIAQIMRPADDIIAQIMRPRRQAVQRAQNRAAR >CRE14467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:8585:9549:1 gene:WBGene00060718 transcript:CRE14467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14467 MNYIPLLLFLVFITSVLGTHHSSHSPVKLDIGGTVFKTTRHTLTKHDGSFKTMLEADTPVEKDDNGCIFIDRDPRHFPSILNYLRDGYVRLPNSEHTVREILREAKHYKLEGLKELCEAKLVTGFSLVEKNNQSTVVSVAPVPQYIVPVEKPKFHNGTLRVIESESDYLNIIAYPTKHVMIFHYAVNAYGRSSVPAGLNAPSFIEKHKDTLDIYFRAWKTSNGDLNRSWLWSFYYKDYNVNYVTDWGGSHKKYMQEVEETIAKLLVDKPLS >CRE14465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:2293:5998:1 gene:WBGene00060719 transcript:CRE14465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14465 MSEQHEMSSPGGSTQETPKHLRWLNTYRTEKCSLFDQKQCEEHRPYTCFFWHYPSQRRRRPILLADGTFNYSPDVFCNQYDTETGRCPNGDSCRFLHRVTGDTERKYHPRYFKTAQCVHPTNYKGYCVKNGPSCAYAHGPHDTRHPTFEKPLKSTHTAPADIQDTYFCKPGAEWQSQEYILEHYKTDKCKITPYMCRQGYSCPFWHSFKDKRRCPDKYNYRSTPCPAVKIGAEWSNDPDVCHAGDSCGYCHSRTEQQFHEDFYKTSRCNDMLEHGFCPRHYFCAFAHSDLEEQGVRSARKKPKHETCRINFERTEQPRPRSNTVGPMSPVKEMKDPRSSLFTTSYSSLFGVDSAVDLGQSFGKLDDLHLDLQMMEQNGNYSNMVNEVLSPALSNMMSPGPSSSNHQPPQFENLAQRCQYLEGMREQEMKNATIWKALFEKERMKNTWLQEDREHLLDQIKAMEMQLGETYVGDNMLPLQVKLPEEHPNIRPSASLPNSPSYNIFVKYDDDEAPVCAQCGRTPPPPGFQVTTSCTLCKNHS >CRE21367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:10507:11361:-1 gene:WBGene00060720 transcript:CRE21367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21367 MSVRKRIGNSDSGGGSKGKSKYEAKKPKVIVISADFQEKVFQLMESDRFKKIVQNRLEDVLGERIEQLERDLEERCRKLKILEEELKVLDEKVKLLSEVKDSGVSAMNGGSNTGVKVGGGADQEKSEDPGEMERRRSVVVAGVPEYGGNDRMRWSWDYHCVGKLFHFLDIGSPPVSIYRLGKAVPGKNRLLKVVMPRSWDQQTLLARAPKLRYFQCGTTPVYVRASLTKTQREELRNKQKAKINDPVTSNVKNNYNTPHSSHPPSSPPPSSVPQQLVENMDTGN >CRE21294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:16436:17565:1 gene:WBGene00060721 transcript:CRE21294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21294 MLNENMLNFMLDKTRQSKTRRLREYAGISWNRLAIRHSNTDTIETKTINGLTCIWKGTLIDNNKSIKYSWQFNWDEVVEDVKGFTGHVQVRRIGMKPIETTRFDVKLTKQNQIIEKVVENTNQSSNILVVFDSYFTSFKKKTAFYDLDEQICLEEMFRPSEKTDAILLVDGKKLHVNKAFLSFHSDYFTALFSSNYKEGQMDEIPIKDVSFEDFGLLLSVIYPASVFPTDKTVEKLLELADRFLIPEVFHHAEHHLLHISAIQHEKKILLADKYTMKKLLEKSIKEIRNIEDGKKLKQAAVYNQLSNDTKVMILDKILT >CRE21295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:17995:18439:1 gene:WBGene00060722 transcript:CRE21295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21295 MCSPDNTVKLNVGGTIFQSTHSTLTKFNGYFKTILETEVPVEKSKFGYIFIDHDPSHFRLILNFMRDGDVRLPDSEQDVDEISREANFYLLEGLVELCSRKLNVPEPENVSKMRFLETDDDVLRAIAYPEQVSY >CRE21296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:20168:23836:1 gene:WBGene00060723 transcript:CRE21296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21296 MLDKANTLANLTSAGSGTIGDPAQLIMLASLLPGDSAPHKRRRMEDPSRIGQQWFRATGAFRGEGSARYAGSNNRSNGYGYQKTGYSQAAPRFGKAVCYQCHQPGHYASQCQQRAWREIVKDEWIMSVVEKGYVIQLGPDPIFPEPEGLRKSAKRHIDFITSEVAKLVESGAVTVTESPKVISPLHVVEQGEKKRLILDLSEFNKNLSPPKFTLETWKHAAPELRRMSFAATFDFKSGYHHVKIEENSSDFLAFSLTDPPTAPFYKYRALPFGLSTAPWLFTKIFRPIVGKWRREGIKVWLYIDDGLVVAETKEELTRAVSIVKRDLERLGVALADEKCNWEPSSVFTWLGFVGDMRRKTVTLSEKRYKAVLHRLEVIKGRLAPTVLDRERFLGSLSSMLFVAGNEAQARSRHMQSAVATARREDWPETRQIEKTKGELAEIRFWSENIRRLSSTTLEENFRPVWRVYTDASADGMGALLKNLEGEVVCRISEVGADTFKSESSAMRELKAMRMLARRIAGWIRGAVVCYLDSQAAVAILKKGSMNSEWQEIAEQVWDALQTVGNVRFLWIPRELNKEADFASRDFDFDDWGVDQKVFLWAQTRWGKFKCDWFADEANAKTQLFYSRDPCKCSQGANVFDHIDVAKELGFAWWVPPSNLVPQLIAECRKTSMRGVLAMPLWENHVSFQAILDSRGNWIRQLVDLRVYPAKDRIIVPGTGSMYCGRMSTPVCETKFLVEDQNSTPSTANTYKAANEKRQKWLKEKSLSNDVESFILYLADQASEKSSSALAISSAAFELENGPMEMDFKTFATDLIAARRREEVRARSSPPLLQASDVAKIIETNLVLGDPKTERDTLLALLSYAALLRASEVAELKWSDVTKETKMLKITIRSAKNDQLALGRDTFVDCQPGSTLELLLLRWRINNRSEYVFPNMHNWSKLSASAVSSIAKRLIQRSGLQGTHHDFRRAAANILLQNGLRREEIQNRGRWRSDQGMARYLRDSPEAQGFRKEEVQEEEVQDPHEEHSYAFKLAV >CRE21297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:24842:25668:1 gene:WBGene00060724 transcript:CRE21297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21297 MSSPIQLDVGGTIFKTSKSTLTRFDGFFKTMLETSVPVEQNQSGHIFIDRDPTHFQVILNFMRDGDVDLPDSEDTVKKISREANFYLLEGLMELCSRKLEVPEPESISKMKFLETDDDALRAIVYTEKPVLIFYYSIDYAVTGTVSFPWDNDNDHCVDIFKLLKKYETEFDIHFQKGERDPEDNDHWMFCIYYKNRTIADEKFPKSSRRFDTIMQQCIGIIERYKRSENN >CRE21299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:28127:28799:1 gene:WBGene00060725 transcript:CRE21299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21299 MSSPIQLDVGGTKFKTSKSTLTRFDGFFKTMLETSVPVELDPSGHIFIDRDPTHFQVILNYMRDDDVDLPDSEDAVKRISREANFYLLHGLMELCNQKLKNSAVKNLPMSRMKFLETYEQVLRIIANPQKPVILVYYSVSAYGFIKKPERANFTFFNISEFLDKYENKFDVYFSKLKAEVVIKSVALAVFD >CRE21368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:29842:33346:-1 gene:WBGene00060726 transcript:CRE21368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21368 MQRQMNNHYQTNQGMNSAGYCQMFSEHEFPPIGAPPPTKCAATRSPMTSSQNTSDSGVSASVSPATNENSPGGVKATDSWSSGNYTSSVPPSLNGFGVLTWLSPKAGVITYGEGETIAFQKDAFCDQGVADFTEILRVGMVLSFRGVVHSAHQYSVARVTPLYGEESRRVFANSGEIVLELPEITQRIGKVTYSPDLDYMALQVALEAFLKHGKTRIMFSHFAEHLRNYLENNELNDYVGMSSMKRRTFLEARTHLFMINRDDSVALQYPQVYEALYLLNSYLLRHGGTTSLQDLYDFYLSPLIPPHVKNHIGYDRESFWNLIQSHTFVFAIFPSKAYVSARRNLPPLDFTGFLKQNFPGIEQQIVNGNYHQARTCLPSVMDSQYSAPPPSSHSRQYQNQSYGYRNGGNYNNYNNGYQRVPYQSSQSSHSSRDSWRPDTVDETLTLEFGSQLSLNSGNVMANKKIGIGHANCICTCKCGAHHKAAEQENVGPQGTWEKSVSAMLYAKTEELIGAYKKPEPAKSSAELLAKMAAARQSESGFWGNPGESSSEFFDNGFSKSYNFSDSGTFGHIGKRSALSNESKGDDGPSGTYNLFGGSNNLLSLGK >CRE21300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:38436:39246:1 gene:WBGene00060727 transcript:CRE21300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21300 MCSPNNTVKLNVGGTTFQSTHSTLTKFDGYFKTMLETLVPVEKDELGYIFIDRDPTHFRLILNFMRDGDVGLPDSEQDVEEISREANFYLLEGLMELCSRKLEILAPKNALKIRILETDEQVLQATVYAEKPVLIIYFVVGSHGEILKPFYQQERFNIFGYLEKYETEFDIYFRKKYLDDGICSFQIRYKNEVVTRQNFPPNIRNFDIKIQECIEKIERLKSYNS >CRE21370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:40273:45004:-1 gene:WBGene00060729 transcript:CRE21370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21370 MRDELVAVWPQFEEALELIFQRSPMNMKTYMQYTTMIYRYCTETYQLGFRAGDNVMDRVQEDLISVREFIAVKVDVVTKNCHKSDGQDLLKYLNNEWELFEISSSLFDAVFGNFNRHSNEVNTGKTDSLTRNLCMEVWKENLFDVLEEKIIGAALHLIHQERTGTSMINNRDISDFVVCLKKLPVKFPESDIKKDKTEDQKLKFYKESFESLFLKTTEEFYKKEVEDFMKNNGDVKDYMKNVEMRLTEEDVRVQLVLFGCTRRPLLDCLEKIMIIDQIDFIQSHFEQLLDEKSDEDLSRMFRLCSRIRDGLVFLRTALESHVVKEGLGTLERVAEEAFNDPKIYVSKLLEVHGRYSSLIRASFFTDSTFLKALDNAAINFINKNAVTMKGRQHSTFKSAELIARYCDLQFRKNTKMPDEIEMEKMQKQVIIILRYLEDKDVFLKIYTRIFSKRLINELSASDEAETSFIAKLTALCGYEYTSRLSKMFQDIQVSRDLSMDFKEKSSTNKSIDFNAQILSSGSWTRFPEFSLMLPQPLYSTIGAFIMYYNSKHNGRRLTWAYPQSRGEVTAFMGKKYVFTVTTPQMCVLLQFNNRTSYSVYSIKEATEMSKENTLTIIGSLVKTHVLKSNKELVKDAVPFDATITLNAAYTNKKVRVDLSRMPMKANSEKVAEESTHLLDLERKHVVEACIVRIMKMRKQMMHQDLVSEVVTQLTSRFQPKVGLIKKSIGTLIEKEYLKRSDKKYDLYEYLV >CRE21301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:51379:51939:1 gene:WBGene00060730 transcript:CRE21301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21301 MSSPAAHPVFKLGEICVCFQKETPYLAKVIGVEVKDGVEQYTIHYEGWNNRFNEVVPGDSGRVIKGTLEEYQIANNLPIKPSSKYQGRKSRKVESRASSSLGGSDIQEEEEVFTTRRMRLMEPRRVVRDDVVDKKVEIEFSEPLRQILLNDCRMMSTRKTVLRLPDDGSVEDIIARVSGNYSSLVP >CRE21306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:73307:74444:1 gene:WBGene00060731 transcript:CRE21306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21306 MINNYYTTCPNMNQNFYHPLWYQGTDHYVPSYFTNTSYSYPLSPHVSNSPDNLVHQVPASSIPQYQVTHQPLRCLWNTDRQQCQKVFSNSENLGFHVREEHAEGRKICQWDNCGKEFKQKYRLVNHLPVHTGEKAFQCDTCAKLFARAENLKIHKRTHTGEKPFACTHFGCDKRFGSSTDRRKHMYCHAEKKKYVCEHSGCGKRYTHPSSLRAHRRNYHKDQIFKFRPLPTPIENTYSVLSSYRSPEMNEDTTQADTRSMTPGNCFKEFQNDPFSESYINNYQLCYN >CRE21308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:78258:80317:1 gene:WBGene00060732 transcript:CRE21308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21308 MSATNEMKFFMKYVIEDVSKMDKEVYHDGDKERHFNVPWRVYVLLSDEVCVFIECLKFGGSTQEWSINTDITVKIISENGEEKVQDISFNFTKEESDSNIANFAFVEGDKRFIVEVHVTITGVTGFRTTKLRSFDESMEKFSDVTLVVKGQKFYVSKLFLASHSTYFESLFLGNFDESGKKEVEINSIDPNDFQEFLELINGESFVDEDNVEGILHLADFFDAKTALKRCEKFLLEDSERSNKDKFELAVEYELDDVMIKCISDMTTKEDVMAKVPDDPEELSKSTWAVLFNKLASFS >CRE21309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:80620:81643:1 gene:WBGene00060733 transcript:CRE21309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21309 MGAPNQYDKPTDTTDVILIVGSRKFYLSRQWFTDRVPVLVLMHQDPRMEIWIGCERAGPELFLGFLHCLDRLDVINEDNAIGILRWAERFECKIVLDQIEQFFLNSSQKNATEKLKIAMEYKFLELQRQILNNIKSAEEFWETLPVSLTEQQKIEIARMVHEHSERESGGS >CRE21372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:83693:86700:-1 gene:WBGene00060734 transcript:CRE21372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21372 MSEFAVGEKCVCIFGGKPYPAKISKIKEHRGVRYYIVHYEGWAKTRDERLPMGTDRLHKGTIEEYNRTHPREETPPVEVSRPTFSEPTARRKSTGAPRGRKSTTTSDGPSTSTQSPAAAAPSTSTQKPRGRKSAQKAEESPEDSPPSSSDSSSSNPFRKGRKSVPEPDGSSDDSSGDMPAAPSAPSTSSRTGTSRGRKSGQTSLGPSTATQAPRGRRSVPQPPEENPEVDSPPASSDSSSPTPFRKPQGRKSAPKPEVSSDESSGDMPAAPSAPSTSSRTQTHRGRKSSSGPSTSTQAPRGRRSVAQPPEDPEEDTSAAPTQTPRGRKSVPEPQVSSDDSSGDMPAAPSAPSTSSRTGTPRGRKSGQATPGPSTSTQAPRGRRSVPQPPEEDPEEDTPAVPTQTPRGKKRAQKPEASLDESSGEIPAAPLTSPRTGTPRKRKIVQKQSHAGPSTSTQAPQLPEESPEDSPSPSPGPSTSTQRQAPQGRRASPGPSTSSQAQRPKIVVDFPIWMKGIIGHDTREFLHNQTTNKLVVRLQPEGRTVDDIIKDYTDSIGVSDEDVERMDDDMLDYNDDGTSLDNLSLAHCAKTLKNYFNRLFGRRILYNSEREEHDTLVRVYAYRKKLRYGDVVTDPFQYGFHASKRYGIVHFARMLSRFDNLIESLRLHDAMILYLNLGIKDLMEFLGNNVGRYYDRDADYVPPSYNPIMNMATTRSARKNRPSRPRPRTSSA >CRE21373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:88088:94054:-1 gene:WBGene00060735 transcript:CRE21373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21373 MNSTIQRGKRDCMSMWTKPRRSMKKKSSTELKKAHCTLKCQIESCQKDVLIYSSNSVIEHICKHSKSKLFKCILCNHTERQRRQIREHYYDVHKSFRSIPKYDMTSTMRRPAFQKTLKECFGDKVTLVNNQLQYDVAQVPTDANESDMLSDPEFENPIADGNNDALDIDEFPPSGSNEQTVDANIVVDEMEVDELGESCSNEVVAIKIKFPSFLLLENSGSDCFMNGMLNILNRCRGLRNCLPAPGTSEVADRLRNILSGQTRSTKRLRELLPVSFHKFQQDITEVFPILMEVRRIGIYFLICNVHILQKLSKEVTVGPIQFLQQVIHKCDRCVRQQHDAPTYECISEIFVHYDEASQTMPTFAEAFSRTYSSNTKKNCEGKKCGGRLSNTKQISPIGDYHVMLIHSNNGRIQDLTTNSIVSMFGSQWKIVAFVAHISQRNGENVESKTVPENSENLDNSEIEELPKKKQPKNQGHYKTWVEHNRKWTRVNDNMAERTHRRTLDLSRFNVKVILFEKVKDARGEESDVEEPDNETQDGWRKLKNHVYKTDGEESDKEDGNQSGLLSNLAEINRKRKRNLKKCVHWKKRGNLKKQTISSPGDMDYSDHDVPEVIKVDDQDEMASTSADPTVPTDEVEDQEVFRVDTGTSSNADQNPSTDDVEDQDVEDGQDVEDDQYVDEARKGRYHGSWQRSSYLKYPGIPSRKFSSADMERFDKDEDTQWSVQTCFEHRKNSQLVQVLYVGWACDTIDNVHIKEFRKTSQDVIDNFTIRTNFLKRIKEMERGNIEIQKSVNREMIPSSELRATPAHLFWLYQDLTYFHSLMHLDKDMAAFFYMCLREKMVKPPCFKYIISNVANEKVKSICENNPLNTTYAKLKKDHPAIIGKSNPSCENSKECKCGFIREVLFKRAQWKVYSYNTDGRLNLSGYNFEQERIVIECSDVCGCSKKCPCRALQRGQQKTVVVYYEDELRGFGMRAAEKIKKGDFVCEYVGDIKVAKENQKGKKRDESYDAALTVFDKELAICSANIGNISRFMAHACSPSATFMEAYSRETESNAIVPRIGVYALKDIEVGEEITISYFGKKLLLEATQEAEMTGKGTKCGCKGRGVCSNYLPMRS >CRE21310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:106018:110354:1 gene:WBGene00060736 transcript:CRE21310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21310 MTMAKELTETLRAFREQIEASTEVNRRKLEENKWLRESLVRATGGSEANLGGDANTAPPSRSGYSARLMGDLARRIPKFQFILDDPDAFRKWITRNELTITEDGKDLTEREKVRLLLGALEESTFHRYVDSQRDAGDIYEIAFKDTVASLNKVFGSHRSMMIRRQECLQISRSSGLFHDPLEYSNKISEAVLDSKLSVMTSDDWSVFVFLRGLDSPGDAAAKAYLMQWAEQSERKKETVTLAAIHDEWIRFIQLKQQTKTVAASSPKQQVGVNKVEKKNFRGDNKNKTETTANKSDSHSNKEVTCFKCGERGHTAPQCPQNSGKKKGRYWVKKGVQKTQCVRVDGLHENQNNVSKPTMRVNVDGQLLSFQVDTGIEITLINEQSWKDIGCPELEKVPHRISCANGTQMSVKGRALVSFELKGIQYTDYVYVKAKDDNLIGMSWISSLRDEHHPLPTSEHIFGKLKGSIFSQIDLRDAYLQLELDEEAQKLAVINTHLGLFKYRRMPFGLKPAPAVFQKVIDKLIAGIPGVAAYLDDVIVATDTMQEQEKILKKLFARFQEYGFKVSLVKCTFAKSEIKFLGFIVNGEGRKPDPEKTEVIRKMDSPKNQKQLASFLGAICFYSRFVPKLSELRGPLDRLMKQDVKWKWTPIEQNAFDKLKNAVADSTMLSHFKEDWKIIVAADANQYGIGGVLMHETPDGKEVPIAHFARSLTDTEKRYSQIEKEALALVYTVKKSHKFVFGRKFSLQTDHKPLSAIFGDSRDLPVHSQNRLVRWATTLLAYNFDISYISTAKFSKADWLSRMIQNYPRDEDDVVIDLYNYGFDWSEIQRGNKSSWEFGVVRRRFGNVLYEVQIGERFHRCHANQLRLRFGDRSKEDMFEETVFPMFFETVKGDGVQTQLSGGPRVHGEGLNSLQFSDTSRSVDISSDFRDSFVGEEGVGRRESGKSARPPVELNFADDYDSMSDRRRAPEFTTTINRSPHSDTNTNPSHSLRRSQRTKRAPTRFDPCPATSQGRGKPRGSNRQDRPAGRGRGVAPASNRSVPLNSSLKGEGVGKERGRPRWH >CRE21375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:112229:114285:-1 gene:WBGene00060737 transcript:CRE21375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21375 MCRTGMRSSTERKKRVSKSRIQCQKDKRQKARPIVVRDEVAVVRDEVDNEKNTNKVQLKQSRKRQRRESEEKSPTVSLMDTKRGSSEKENGIMAHAPVLKEKTAKQSEPSSSKNPPRIKGASKSTVPPPERPSGSTTMLSCCMLDKNISYGTSNRHCKEKDTCRIRKGEEYMCSKAKPEAENICLDCFDTADVYKDKWTKKVNINNKREETIDCSECGDSWHKLCALHFEESFVCPNCCGGERDWILETDPNCEIDVFLAEKANKLVNEGNVSVASFTTKKSVTTRTLMPDFYQKDAKKKYGSTVDYVARAIYFFQIVDNISVAFFTMFAQEYHNLAGKSWCVIDYLDSVPWMTSTSKKPSRVYSQLILAYFEHMGKKGFKHGHLWANPPCPGDDYAFNVHPEFQKYLDRNALICWYQSLLEEGRKAGLISNFRNFREESADGKFNKPIDLPVFVKSLWQEVLLATQDKMLVNKEVAYNKINFEKSLEEDYKERAEDNFYIDLCGSQRLKPLPTQNLNSHEILGDRETFLDKCIAENWEFSSLRRAKYSSVGIIGLIKAARGQQ >CRE21311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:118086:119406:1 gene:WBGene00060738 transcript:CRE21311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21311 MDPPNICSMCGFTTRNYAEFREHLGLHFGITARQLLKCPKCSFQDHSKVRFDIHMRVHNHETIFKCEICNRHFAHLTSFRRHKLRHDEKSLLCGICPLKFFTMQERASHLIQHYNQQNEFECLFKSCNVKFPKFSGLKDHLKNYHKVSGRNKMPCKTCDHELPSIKSLLYHVQTAHFGPKVTDVVLMDPDASDSQPSITPAPDLPLSTGSSIINTPNSTAIQSPQLQHTVPTITNLLSRSHNTASRNSVIVKNSNYIELQTPELLRTVALNGETSTNILAPNSLLICQHCDIALLNETQFLNHTLLHTTGHPLKCSICQIAWVNKIYFGVHSMLFHTV >CRE21377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:120437:124339:-1 gene:WBGene00060739 transcript:CRE21377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21377 MAGEWQCDVCKKTYSCKKNLTTHMHAHAREPQFECKICLRRFTFQTNLYEHKSVHTGKKFECPFPDCTKTCRLKGNLKKHMKVHCKSVRKLDEEYKKFTLSTKKQKKQRKQKRQQKRKQRSEAVEDHLEMDQPDNVSENDDANEPRTDNVREADSPTTSNNQATANEPNHEELGPARKRERKDQSRPQDETVAKKPSSSGDNNNTPSGSGDNLSCCGLERLLRYSTPIRNCDRNDTCMIQVGEEYMCRKSRPEADNICLDCFARANVKNQNLWIKKVNLNEKVEDTVDCSECGETSHKVCVFHFEEAPFLCGNCSGEPGFKKIIETDPNCEINAFLAQKANNQLEDKKAKISVASYTTQKSTTTKKLMPDLYLKDAKEKYGSKIDFVARAIYFFQIVDNISVAFFGLFTQEYQDFGGKSWCVIDYLDSVPWMKVSSKSPSKIYLELILAYFEYMGLKGFKNGHLWANPPVKGVDYIFNIHPETQRYLDKVQLIGWYHKILKQGKDTGVLAGYRNFEEEFKKGEFKNPIDLPVFVDSLWHKILEWVNDQLKETNKFDDENFKRMLVGEYEDRALDNFYFELRGSELPNPIPLQPEEFNPHKILGDRETFLDKCFVENWEFSSLRRAKYSSVGIINLIEAAREERDVSPLSMCHLPLPITSQSVFFLQFALCVPLPLPLPTTSDCLSFFSCFLHVGIQRS >CRE21312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:126237:128688:1 gene:WBGene00060740 transcript:CRE21312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21312 MLCSFICASLLLIPFLTSQSNLLIEVPELKTHAYQKTYKCEKCNRHFGQLSSYTRHRRRYKQKSHICGICTMQFHLKQELAEHLISHYNQQEEFDCLVKSCNKKFFALIYLKTHLRDDHQISSENKMPCKSCDRELPSVRSFLIHIQLKHQMPNENESGDTSDTSGVVSGSPEFQLLTSPAPIQDGSTGSSLIHTPHSTATPAPTSSIQTSQVAGVNDVQNPFLICPYCDSVFSNIVNFLIHVFLHTLGEPLKCIICQVSFVNKADFSIHSMVSHKM >CRE21381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:142449:143560:-1 gene:WBGene00060741 transcript:CRE21381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21381 MLSYTAEFSMPEFKCSYCDYKSNKIKQFDLHLKTHKIKKQKFVCSNCNQLFWYAGSLQKHRERKCREKGAQRGSQAFSDHTSPEVCQIKLHPFPSGSVEQNIIEMLVNSPASPTFQKLNAAPVYQADVSISQPAGQTLLDFLNSDRIPEKYKYEAYIQSLKDLGTLQKPLEPFNTDDSALAAPVSQPDSLLKLFKTDPLPTMPEESTENLQTTDLNDICIQNLMGSTSVQSLKLLNADPTPQPAAQDALEEDIIRPKQKTHPWECVDCAVFFKDEAMYIVHRLTHFDNNPFKCALCGKQFFDRYSFTSHIYLQGHEEIKF >CRE21313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:144879:145698:1 gene:WBGene00060742 transcript:CRE21313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21313 MGCGQSQIAFEPSAPPISSSSSESIESDSDSDDPTPSRTAYLENYPDPLGHFKSFFIIDKVSEIIANRDNESNWNALWFRKMNISFSHSIYMKSVTNHLAIDIIGEIRNPPFKKWRLKMNLKIIIHNLSNEDDSLIYNIRDEWFESGNEQFRYIFLQKITNLRLDDLLDENFGFVKNGQMKLETDIRVLQLEGVDQPMVMNYRLAPEDPKHAYTMTIGDETFYCSRVLMDFHSQMNLRDFRLFSIQIFSNDIVSES >CRE21382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:152581:153702:-1 gene:WBGene00060743 transcript:CRE21382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21382 MSSDTAEFSMPEFKCSYCDYKSNKIKQFELHLKTHKFKKQKLACSNCNQLFWYAGNLQKHRERKCREIGAQRGPQAFSDQTSPEVSETKFQPFPLSEFDSANRNLIKMLVNSPAFPTFRNLNAAPLHHAAVSVSQPAGQTLLDFLNSSRAPRKYKYEAYIQSLKDLGTLQKPLEPFNADARGLATPVTQPDSLLESFKTDPLLTMPEESIENLQTTDLNDICIQNLLGSTSVQSLEWLNANPTPQPAAQDALEEDIIRPKKKKHPWECLDCVIGFKDEAMYIVHRLTHLDNNPFKCASCGKQFYDRYSFTSHIYLQGHEEIKI >CRE21314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:154993:156192:1 gene:WBGene00060744 transcript:CRE21314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21314 MGCGQSQIAFEPSAPPISSSSSESIESDSDSDDPTPSRTAYLENYPDPLGHFKSFFIIDKVSEIIANRDNEPNWNALWFRKMNISFSHSLYMKSVTNLLAIDIIGEIRNPPFKKWRLKMNLKIIIHNLSNEDDSLIYNIRDEWFESGNEQFRYIFLQKITNLRLDDLLDENFGFVKNGQMKLETDIRVLQLEGVDQPMVMNYRLAPEDPKHAYTMTIGDETFYCSRALMDFHSQMEKGDKYFESYTDSKPSDGEIEHFLDGVHGFPVGIGGRGARGGYCAIFYLCEKLKMRALVQRAELVLIQYFHDIPNFYLDCARMYNCRRIIHAWLNRKDSIRRKEVKRLKVKKMTGEMMKAIVKKVFEVGWK >CRE21385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:158299:160272:-1 gene:WBGene00060745 transcript:CRE21385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21385 MDDSGEPLEIAKFSMPEFECSQCDYKTKGPKQYDLHLKAHEFKKPMFACPSCHHLFTYARNLRTHREKHCKEIRRSRVQGKLKTSGKQISQEIQKLFQTQPNQEPCVLKLDCQTPGLEPPFTFSGPETVGQSLLDLLNSSVSLSSQKSNVLIPEKDEDGIWIQNLKQMLQQPLKLFNTDPALRATAPVTQLFKNDPLSPISEEITGLNDICIQNLMGSTSLQSLGLLNTPPTPQPATLLDLLNSITVPQSSQKSRCDVPSHKKDEYESYIQSLKDLKTLQQPFEFLHTTPGHQPIVPVLPSVGINSFEKDTIRLPKKKKHTWECLDCAIGFKDEAMYIVHRLTHLDNNPFKCALCGKQFYDRYSFTSHIYLQGHEEIKI >CRE21315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:161529:163183:1 gene:WBGene00060746 transcript:CRE21315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-14 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MUN6] MPNLDTDPIPVTCDESYHPQIEMLKFLVQYLYLITGLIINLSILYTLWWKHPKVYRSNSFFVLFSVDCVVNTLLLLTDGLMARLLIYLTPLCPILKDYFSSPLTFFKFIMILIFHSRICKSIIQFLLVFNRMTCVIFPISHDSIWSKKFLKILIPIIFVIPVSVDWNLAIARVFMQSTYGGFWVNYFKKVSWASQSRFLLVFIVFALFITIICTFLTLLTLIKLPERSKNLEKSISNATLIISIGFSGTAAFQIYYGFFFVYSDGSHPIFGLNFLSWDFLTVGSPIVMLCVSSSLRRHVLGKNGVRDVRSTIRIRTRTI >CRE21320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:170065:171428:1 gene:WBGene00060747 transcript:CRE21320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21320 MPFMKYQYNLEQITKFEFHPQTANKYEELLSKVRMDHDALISKKREIIASSNRGTYYSRQHRSIQKLKTIELKSICWNLLKSNITVAVIEQAKDDILYTEEAGCVNNITCRVHFETILAFKLADSEILFPEDNNSDWALLHAGGEEGSSVDLFSSFGIICENNGWYITKYPSGIEYFSKNCNCYPFIGVGGEYDGKKSKLDEFDW >CRE21387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:171762:173317:-1 gene:WBGene00060748 transcript:CRE21387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21387 MPYDKSKILSMNIAELIQILAAMNFLDLDRACSNSDRRALKYKYVNNEEKVVLEEFYNKSMLIAQRLNSLSEDLRTVEDNLRSALRCLQEILLGTNINLQDPEEHFMKYRSNVDQIMKFEFHPQTANKYEELLGKVRMDHNALVSRKREIIAYSNRGTYYSRQHRSIQKLKTIELKSICWNLLRSNITVAVRVGKILGCCNELKWKIYCTGWTDFFHNLTVSNHPITTADFHRILKIHGYLVNFSHESNTGPMKWTLVRDDSQSPRSMIAHLNAIVNNATPSTSILTTTNSSRSTDVQDPVIGYSHQQFFWSGGFLGN >CRE21389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:175486:176479:-1 gene:WBGene00060749 transcript:CRE21389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21389 MSSTQSITALAQHENGSTPYQMITKLGHGGFGEVHLMESRLVPGFRVAAKLQLVSESNRSIVQKEYNIHKELSRHGHINVIHIFEMQETPMFYYLFMEFADCGTLSERLPPCIPMSPEMAQMYFKQLIAGLKFIHGLGVVHRDIKPRNLLIGSRDILKICDFGQATTFMQSGLEILLVYEGGTSQFEAPEVCEPWHRGPPLDVWSAGVTLMILQTKGVLWDSPHMECAEYRSWIEGTDLKKSPWNRMNKMLLDLLRKILTADVDQRLSLEEIEKSPWMRLSYGSTQMEHDVITID >CRE21390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:178952:179919:-1 gene:WBGene00060750 transcript:CRE21390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21390 MPPTSATARPDQSLLPYKMVRKIGSGSFGEVYLMEDRVNPDIKVAAKMISKSKRMKAHKEFLIHKELSDVGHENVIRILEMRKSPDFSYLYLEYAECGDLFDRIPMNSSMRPPMARKYFKQLITGLKFIHGQGVVHRDIKPENLLIGYGDILKICDFGHATKYLQTGIEVLLAPEVGTVEYAAPENYGPVHRGPPLDVWSAGVTLMTMVVGEYLWKKADVDCREYRKWIAGKNLTKNNPFRRMNSVLFKLLRQILTDDVDQRLTLDEIENNPWVRLNKDVEYDVITIE >CRE21391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:180341:182382:-1 gene:WBGene00060751 transcript:CRE21391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21391 MYSKVHNSVFCGLANYQHHYIMIRQMTVPVEILDVKIDVSLKCNKCGTVIRKLTKEKEVARCNKCQSKSKERSEACKMKVNVIHSQNYFEVDLSFQAITQFVKNVVKFQGKARSDWINIILESYWPTWRKKKVEMILCLSDEKWSCIGIKELEENFKPVRNLQSQETNERASRRDEDIGFEDREVKVEEVEEPIDGPRQMKENLNVQIEEQAEGHEKRHENGRMRVDEAVVRSNQRRRGGERERPVSPKIEKVEFEEGVEHRRIPEDFDIGRLRGYDDMDMDYDDFAAEEREGRERNLGNRDLDGEDNIDMDFELARDNRDKEGGSNDSREELKESDSDEGEQSDSGSGDDSDSEQDEEEEESDEEPEQKRTKRRSVVSSIETYFILIGFFQKAVISKTVHRNVQLKNKNTTGPRIFFFVNQKKVLNVEFKRNEYPSKEECERIAKKTKLTVKQVIRWFNDHRYMKKNSGKKINKGVGRNRFDDKQVAVLNRAFERNQNPNKKQREPLVGKTGLTDDQVRLWFRHRRECLTK >CRE21392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:183390:185168:-1 gene:WBGene00060752 transcript:CRE21392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21392 MIRQMTVPVEILNVKIDVSLKCRTCGSVIRKLTVEKEVARCNKCQSQLKVCLETCKMKVNVIHSQNYFEVELSSQTITQFVKNLVKSQGRSRYEWMNINYWPTWRNKKVDMVLRLSETKWSCIGINELEEDIKPVPSPQMQEANGWISGRSEDIDFENREVKVEEVEEPDGGPRQMEENVDIQGEEQAEGLEKRHENGGSMRVDEDVVRSNQRRRGGENERPVSPKIEDVDFEEEVEQQRIPEDFDDGGLRGYDDMDYADFEAEEGEERERNLGSGDVNGDDGMDMDFDSGRDNRDEEELSNDNREKYEESDSEQESEGYDEDEEEEDDSDEEPEQKRTKRRSVVSSKALKTGPKNVQSKNNGTRVVFSVNQKTKLNDELRRKVYPSKEQYDSIAKKTSLTVEQVTRWFKHKRYLMKKRGIIEKPRNRFDDRQIAAMNRAFERNRHPNKKQRKPVLAETGLTDDQVRRWFRTQRDRLAK >CRE21393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:186535:188354:-1 gene:WBGene00060753 transcript:CRE21393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21393 MIRQMTVPVEILNVKIDVSFKCRMCGSVIRKLTVEKEAARCNKCQSKTKACLDSCKMKVNVIHSQNYFEVELSSQTITQFVKNLVKSQGKSRSEWMNINYWPTWNNKKVDMVLRLSETKWSCIGIMELEEDLKPVPNPQMQDADGRIFGQSEDIGFEDREVKVEEVEEPDGGLLQMEKNTNVQGEEQAEGREKIHENGSGMRVDEAVVRNNQRSKGGERERPVSPKIEDVELEEDVEHRRIPEDFDDGGLRGYDDMDMDYDDIEEGEGRQRNFVDFDDGDLSGEDDMDMDLDLGTRIRNVEDHSNASREELEESDSHEGEESSGDDSDSDQESEGDSEDEEEEESDEEPEQKQTKRRSVVSSVERYFILSGFFQKVTRWFKHERYLMKKRGIIEKPKSRKRFDDRQIAAMNRAFERNQHPNKKQRKPVLAETGLTDDQVRCWFRTQRYRISK >CRE21394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:194934:196745:-1 gene:WBGene00060754 transcript:CRE21394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21394 MIHQMSVPVEILDVKINISLKCNKCGTVIRLTKEKEVARCNKCQSKSKERSEACKMKVNVIHSQNYFEVDLSFQAITQFFKNVVKFQGKARSDWININLESYWPNWRNKKVGMILCLSDEKWSCIGIKELEENFKPVPNPQSQETNGRASRRDEDIRFEAREVKEEEVAEPVDGPLQNVQEEKRAEGREKRHEHGGGLRLDGINELEEDLKPVANPQIPDINGSASSRGEDIVFENREVKEEEVEEADDGPRQMEANVDVQGEEQAKESERRHENGGGIRVDKAVSVRFHQNSKMLNSRRRWSIEEFLKILMILRGYDEMDMDYDVFEEERDRRHRENFDDGDLSCGDNMDMDFDIGRDNRDEEEQSNESREELKESDNEEEERRSSDDSDSEQESRANDEKGREDDRDEVPEQKRTKRRSVKAVTSKKKKKNYTELLREYFSVEQKKRLNDEFERTEYLSQEQLERIAKKTKLTVKQVTKWFKNQRYRKQFI >CRE21322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:197747:199288:1 gene:WBGene00060755 transcript:CRE21322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21322 MGTKPLAYDSLKIVIQHMEANKRIQLASRIASIRLTEKAVPLKINTIRLGDSEFEVNQVKYRVGLYQEYSDGNESESIYYQNMYGYFQDDIDEFGFVKRFGRRTVLPGDLLIGQPGLDEEDDRDDKERMRQLENELKEEEGCLEKFQSAILQLADSEPDKKNKNKINGEHLDLLNDGLDPLQYVADNRNHPRARLTLKTAMDVCSGGIELLKESLSPFYHRQDNIPLPYTPLIQISISGKGINQVHRLPYNMKVFEAQKRLAFFIFGNRSCPIKTGSLQQEFCEIFRLPVGVKFHIQKLQINGNITKIHESIKPIIEETSFPLAVLQTCCYHNGHQNYAHEIVKSARELVIGEFKRDVREVNWFDLFTSLNNKIVHKMLDDKFFSKDDYVRLIENWSENCKEIGTCFSFGINKKSRIRQILDGIKRDVVGVVAVDDKCVRVPMKSDSQRMIQISYGTNDYELASLKLKIEVIPTNLT >CRE21395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:199579:200837:-1 gene:WBGene00060756 transcript:CRE21395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21395 METSMKKFVMKHVVEDVMSIEDSKEVSFEEDHFNIHWRLSLSRKGEFFSLTLKALMSFARTMREIEAKVSLKVVSTSGRSLIVEMDYQFGNLFSVIDPCKWEDFISRKKLDKEYNVDKKVTIEAHVTITSMTGFGKGNLRKFDESIKDLSDVVIVVKNRKFYLLKMFLGLQSSYFKSLFFGNFDESQKSEVELKDINPDDFQNFLELIHGESAVNDESVDGILHLADMYDSPTAIKRCEEFLLKDTEKTLKSKLEMSTRYNLKLLKEKCLSEVKTVADIRSVLLQNISQMETSVLGALLQKSLDLQN >CRE21323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:204581:206612:1 gene:WBGene00060757 transcript:CRE21323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21323 MSAAEPIFAVNEKCVCLYQETVPYEAKIMGMKEVNGIQHYLVHYQGYGRRHDEKIPFGSNRLHKGTVDEYRRANGIVDDDRRKATRTPKTPKTPAAPDTPVSPITKSNKRKGPGRRQNRVKPTPVPMDFDEQGDEGPPAKRVYNQTTEFELSPPLIQLLNDDWLMVKQLQMTVKNHAGPSIDEIIKQYIRTISVNNEELREFEDGENHETLDIALIHSARSLVDDFNSDLGFRLLYPSERSQYNDLVQKEAMASGVSFEEVGYFGFRASAHYGIIHLVRLISRLPKVTANVQINGGRMTNIRIGISSITEFLTNHMKTFFREKAHYRSQYEDDENEEAENSI >CRE21398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:207300:209949:-1 gene:WBGene00060758 transcript:CRE21398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21398 MTKESNKKITNTPPESTIEKVLDMCKELLEKQENLEKSNMEIVEKLRSAEEKIDKISQKREEDTVEEKLNEETTNGIENSVIPTECSDEKSSQTLPMTGKCFVLKHVFTDVQDMEDEERCYGEEEEHFGVKWQLYLLKLGDHLGLYFECLKSLDDEKWLISSYAQFKLISKNGKCHSKVVSDTHGNADGNTEFVGYGASEFIEWDKMEEDFLEDDKLAVEIHVKIKKMTGIYKNDLKSFGDEMKSFSDVVLVVNEKKFFVSKLYLAGHSSYFNSLLMGDFQESKKSEIELTGIDADDFQNYLEILYGEQSIDEITVEGILLVADMYDTPLVLRKCEEFLLEKSKKTLRKKLQMSIRYNLNALKKQCISEIKSIDDIKSVIPGNIHDMDPSTKKSTLTRVASSFKVPIETNSPLEKDEAGCIFIDRDPKHFPLILNFLRDGVVELPDDKKEIREIRREANAYQLDYLVLLCERKGVY >CRE21400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:211734:213628:-1 gene:WBGene00060759 transcript:CRE21400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21400 MSEENEKVAPIQNEQGDSTSKFQDEIIGAINSTIQSVQNMCQKLLEKQENLEKANLEIVEKLRSAESSQILPMTGKCFVLKHVFTNVQDMKDEERYYGEEEERFGVTWRISLRKRGDHLGLYLTCLKSLSGEKWLISSDANVKLVSTNGKCHSELLSDTHGNADGNTEFVGYGASEFIEWNKMKEGFLEDGKLAVEIHVKIKEMTGIYKNNLKSFGDEMIEFSDVILVVNEKKFFVSKLYLAGHSPYFKSLLLGHFQESKKSEIELTGIDADDFQNYLEVLYGEQSIDEITVEGILLVADMYETPLVLRKCEEFLFKESKKSLKKKLQMSIRYNLDALKKQCISEIKSFADIKSVIPGNIHDLDPSVTAELLEKCLAL >CRE21330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:236031:238100:1 gene:WBGene00060761 transcript:CRE21330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21330 MLSQLVIVDRNLSKPITLNFGNFMCYDWSLTINKLDSDNNHECWEFRLFSECTSNVPDSLKLEVEAEIRILEYRYGRDIVKKHEGKERMFQVEFQIDPNSRCSSIRVDINAIKVVGVPVDYPNCSPTVKLNVGGTIFHTTEQCLEGRIKTYYEIEKYKFKLEQKNKADTDGQVKEMDPLFFDRDPEHFRLILNFLRDGKVNLPDSDKEVEEILEEAKFYHLIELSNYCTHHLDRKSSRNSTPVVDIVQLDIGGTIFKTTKSTLTRFDGMFKTMLDNEISVKKQDFESIFIDRSPKHFDIILNFMRDGDVELPETNRELREVSKEAQYYLLGGLVEKCENCIDNFVVA >CRE21332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:242047:244329:1 gene:WBGene00060762 transcript:CRE21332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21332 MSSDDDMYDDDSFEQETVDDQILDREGLKSDMEEVITIVQETIQVTKGVCRILLQNHKWNQEALIDKFYDSADLETFLSAANIPLHTPSSADGECDICCDMAPLTGLSCAHLACSQCWKAYLTEKIKEGQSEIECMAPKCQLIIPDEQVVKCISDDTKVLDTYHRVILNNYVKTNVYLEWCPGIDCGKAVKGSTCDPHLIVCTCGTRFCFACSNDWHEPVDCRQMKLWVKKCGESSETATWIIENTKDCPKCLTSIQKNGGCNYIRCTNPKCGYQFCWICMNAWSVHANAWYNCNSFDQAAENNRSQFRNNHDRYLFFYNRYRTHEQSLKMEERLIAKMNMKMEQMQNHDMTWTEVQFLREAVNVLSLARRTMMFTYVFAYFLRKNNHSMMFETNQKDMEMATEQLSGFLEQDLEGENLKTLKLKVQDKCRYVEQRRNALLNHCKEGIEQGVWEFIE >CRE21407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:244780:246840:-1 gene:WBGene00060763 transcript:CRE21407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21407 MNSIPLQYESLKAVLIHMDANVRFQISRRLPAIRSTEKLVPLRIQKLKLDGVSTAVDNTFYNLGIYRDYEPGVKAPRNVKMYNDFTGSFHDLDEYGFQTYSDSVLDSGDISFQHPNGPPFQNGTDDLTEKNYTEELKCYEKAMYLRTGQLPTGKALEEPDSSGEWGRINEIRLKHAMETPMNILEDFADDARSNLVPFECRRFDRKPPYTCYIQLTVICNKKTKQIQRYAYNMKLYEAMKRLNTLLFGGRRPGIQAQSVQLPSFGAVLRLPIGFRVKTKQLENGYSLNEWSEGVNLMLDASCFPLNVLKLRISNRGREDFELPIVRDAKKLIVHNSDSQFDILPILTTLSNKEVVLAATYREVPIQSYFELIENWLDADKPVGTFYSFGIKEEDTAKGLLKVIKSRVENTKRTKRCISVITGNNTKLEVFYVPIKSPRSREQKDFMYDCKWVLKIRIVRL >CRE21333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:247835:249547:1 gene:WBGene00060764 transcript:CRE21333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21333 MNSIPLQYESLKAVLIHMDANVRFQISRRLPAIRSTEKLVPLRIRKLKLDGVSTAVDNTSYRLGIYRDYEPGVKVPRNVKMYNDFTGIYHDLDKYGFQIYLDSVLDSGDISFQHPNGPPFQMGTDDITEKVYTEELKCYEKAIYIRTGQLPTGKALEEPDTSGDWGHLNELRLKHAMETPMNILEDFADDARSNLVPFECRRFDRKPPYTCYIQLTIICNKKTKLIQRYAYNMKLYEAMKRLNTLLFGGRRPAIQAQSIQLPRFGAVLRLPIGFRVKTKQLENGYSLNEWSEGVNLMLDVSCFPLNVLKLRISNRARDDFELSIVRDSKKLIVHNSDSQFDILPILRTLSNKEVVLADTYRDVPIQSYFGLIENWLDADKPIGTCYSFGIKEEDTSKGLLKVIKSRVENTKRTKRCISVITGNNTKLEVFYVPIKNPRSREQKDFMYDCKWVLKIRIVRL >CRE21409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:252091:253280:-1 gene:WBGene00060765 transcript:CRE21409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21409 MKFIAIQDWGIRLDVHIAFDDKTETSGMKPVELEIGDDFKTRGIVKTKLTKLKQEYCLISVPKLDAKVTKALHEHVKQLYRYTYLCGIEIGTNSLTEELPIYENVSKILVKGKSALELNDLDTFLSQYYLNLTTLLICSPINGEATSKLLEVGRVHLSYSDQCGISFLSKFNGQQINLFGAVLTEKELNEFIRKWMKSEGYLNLEFVTIYLSRESVLNRDLIIDQLEIESFDEKKRPGWYQSDIPLFMMKTNRLDFSRDDCFDVIRESDGKRASVLPTPSSFTFLVWN >CRE21411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:256475:257925:-1 gene:WBGene00060766 transcript:CRE21411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21411 MKNIPLQYESLKAVLLYMDPNVRFIIAHRAPTIRSTERTVPLRVRYLELDEYSTAVNNTLYGVGVYRDYDADEKIPSEVEMYNKYGVQYDFDRHGFPVASGFSVLKPGDILIGNLDRHDFEYDTVHVERAFRRALKRYEKSLYLLSNPSASEASDDEEEEEEEEYNDSTDMSKEELEDLIDSTRDALLPFECRRFNLKPPYTCYIQLTIESGEKRQIQRFPYKMKVYEAMKRLNNFLFGGRRSAIQVGELYIHDRSFILRLPIGFQVRTKQLRYLSNLDNRNDSIVSMLDSSYFPLDVVSIYVNHQFQIPIVTSAKKLIIHNLGIVYDVSLSPLIRKCSNPHVVLADTFLFITAEGLFEAVQLWIDGSQSIETSVSLGIEKEETVKELFKLIKARVENTKRTKRCFSMRTQDNSRLEVFYVPIKSNKEQIMYGYDSKWILKIRAVQF >CRE21334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:258568:260019:1 gene:WBGene00060767 transcript:CRE21334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21334 MNTLPLEYQSLKAVFLYMDPNVRFEISHRLPTISSTEKVVPLRIEELDLGDLTTSVNQTSYKLGIFRDYKEEEKITWRAQRYNDFGGLPRDLDRFGFEIFPGHNVLDPGDVSLPCPYSLVRQVERDTVHIERMHKTRLKFYQDVLKTRLGSEDVEISDREGEDAILERYYMSLPINELERHIGEIHEDLQPFECRRFNLKPPFVCYIMLTITSKSHKETSLMPYNMKLHEAMKALNNFLFGGRRQSIQVQKLQLSINGTILRLPVGFKIEAEQLKGVYNLAMRNDAIISMLDKKSFPLKSVSFFAEDKRDFQFFDFGKTTSFTAMDVNPEIDLFSILKTLSNERVNLSFYDPDFGIGEYLGLVQNWIENERPIGTCYSFGIEEDTARQILKLVKTHVEGAKRSKRCVSIPIRNSARLELYYIPMKCLNDSEQEEFMYDYDWVLKMRIVRF >CRE21412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:260690:263713:-1 gene:WBGene00060768 transcript:CRE21412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21412 MSDSEMSDEEMSDEEISDEEISDEDISDEEISDEKMSDEVVKAKQEFTIRHSFEKPLEMKKDEVISGNVENHLGIPWKLDLENTEEDELTINLNCLKPDCASGWIIDACVRVNLINATKKKKLDACEVEFTHLDPSDALYDIGKDIVKENLIDGNVVVEVTVSIGKQKTFKHLLRNFTSAEAVEKSDVTLVAKGVKFHVVKMDSVCFMTFNFDYHLRAVATYIEAKVNKEKCLYGNSMNVFHLIERLLDFYQHDLILSRNLYLATHCEYFKSMFSGKFAEKKKQEIELKDVDPYHLQCFLELIYGELPINGKELNISGLFALVDMFIADSARRRCIKYLEKAKKLSLSEKLELSFEYRLEDLKPMFLAEIKTADQLEEVLPDDPEELDHDLLAALMVKSMELHKTRTAPIFKPKRAPKRKLDD >CRE21413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:268432:270379:-1 gene:WBGene00060771 transcript:CRE21413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21413 MNSIPLQYESLKAVLIHMDANVRFQISRRLPAIRSTEKLVPLRIRKLRLDGVSTLVDNTSYRLGIYRDYEPGEKVPRNVKMYNDSTGIYHDLDKYGFQIYFDSVLDSGDISFQHPNGPPFQMGTDDITEKIYGEELKVYEKAMYLRTGQLPTGKAIEEPDTSGEWGHLNELRLKHAMETPMNILEDFADDARSNLVSFQCRRFDRKPPYTCYIQLTIICNKKTKLIQRYVYNMKLHQAMKRLNTLLFGGRRPAIQAQSVQLPRFGAVLRLPIGFRVKTKEVDNGYDWNDWSDGVNVMLDPSCFPLNVLKLRISNRERDDFELPIVRDAKKLIVHNSDSQFDILPILTTLSNKEVVLADTYREVPIQSYFGLIENWLDSDKPIGTCYSFGIKQEDTAKELFKVIKSRVENAKRTKRCISVCTGNNTKLEVFYVPIKNPRSREQKDFMYNCKWVLKIRIVRL >CRE21335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:271575:273294:1 gene:WBGene00060772 transcript:CRE21335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21335 MNSIPLQYESLKAVLIHMDANVRFQISRRLPAIRSTEKLVPLRIRKLKLDGISTVVDNTSYNLGIYRDYEPGEKIPRKIKMTNDFTGIWHDLDKYGFQIYFDSVLDSGDISFQHPNDPPFDAFLNDDDMSEKIYSEELKVNEKAMYLRTGQLPTGKALEEPDTSGEWGRINKIRLKLAMETPMDILEDFADDARSNLVPFVCRRFDRKPPYTCYIQLTIIRNRKTKLIERYAYNMKLYEAMKRLNTLFFGGRRPAIQAQSVQLARFGAVLRLPVGFRVKTKQLENGYDLNDWSDGVNLMLDPSCFPLNVLKLSICNRGRDDFELPIVRDAKKLIVNNSDSQFDILPILTTLSNKEVVLADTYREVPIQSYFGLIENWLDSDKPVGTCYSFGIKQEDTAKGLLKVIKSRLENAKRTKRCISVITGNNTKLEVFYVPIKNPRSREQKDFMYDCKWVLKIRIVRL >CRE21414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:273755:275198:-1 gene:WBGene00060773 transcript:CRE21414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21414 MNNIPLQYESLKAVLQYIDANARFKISQRLPSIRITEKIVPLRVHSLHLDKYSTTVNGTVYRLGIYREYNLGETVPKSVQNCNDYGGYSFDLDEYGFTITSTQFELAPADILIGETNNIVPARSDTIHQERDRQRELRLAEKALLVRTSPEKLELSIMEQYDFYMKYYYMKIPIETLKYDIENARFALLSFECRRFNLKLPYTCYLQLTVQNGEEKRIQRFPYSMKLYEATKHLNDVLFKGRRWPILVEKFQFPYSSNILRVPVGFKVSTKQLKNSVHSSNRYDVIASLLDPSHFPLDVVQIETRDEDDFQFSLIRNAKKLIICSPSPEIDILPSLKTLLNKEVILFYTGSEITAEEYFGFVQEWLIGDKPIGTSFSFGIEKEEPAKELLQIIESRVENVKITERCVSICINNDSRLEVLYIPIKDSRNAKEEGLMYKRKWFLEIRIVQH >CRE21336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:276167:277633:1 gene:WBGene00060774 transcript:CRE21336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21336 MKSIPLQYESLKAVLIHMDANIRFKISQRLPTIRITEKMVPLRVRSLRLDEYSTTVNDTVYQLGIYREFKLGENILEKIKRENDYGGIESDLDQYGFRISPGHSLVTPGDISFRDMNSHALQYDTIGVERSYRDELKIYEKALLLRTNSETDGITTTEHDGNLEGNRADLFPTYGLQMPLDTLKYHIDNLYTELLPFECRRFNLKPPYVCYIQLTIQTGKTKQIQRFPYKIKLYEAMKSLNTLLFGGRRSLILAERFHLPTSSIILRLPIGFKVGTRQLRDLPFFQNDRFNILSSILDLSFFPLDLISIYVKNANDVQHPIVSTAKTLIIFGFGTDVDKRTAIRNCSNRQVAFRSIWFTITVEQMFGNVQYCLENQLIETCYSYSINDEKTAKELFRLIKTHINNTKRTKRCVSIKTTDRRRLEVFYIPTKSMQNEEQVERMYDSKWILKIRIVRV >CRE21415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:278489:282078:-1 gene:WBGene00060775 transcript:CRE21415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21415 MSDSEMSDEEISDEEISDEEISDEEMTDEEMTDEEISDEEISDEEAEEKQVFTIRHTFEKPLEMKKDDVISGNVENHFGIPWLVFSSIFRIKLDLENTGDDELTINLNCLKPDCASGWIINTCVIVSLINATEKKKLDARDVGFTHLEPWDPLCDVGKYIVKKNLIDGNLVVEVTVSIGEQKTFKHLLRSFTSAEAVEKSDVTLVAKGVKFHVVKMYLATHGEYFKSMFSGKFSEKKKEEIELKDVDPYHLQCFLELIYGELPINDHNISGLFALVDMFIAKSARRRCIKYLEKAKKLSLGDKLELSFEYRLEDLKVYLKSHVFINYLNHQKTAQRQFTIHIFVSRIQGFVEQRKKARKKRSSTSHIIFSKVMDQLVNERKPGTSMRFNAYPG >CRE21337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:283706:285160:1 gene:WBGene00060776 transcript:CRE21337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21337 MNTLPLQYQSLKAVLLYMDPNVRFKISHRFPSISSTEKVVPLRIEELDLGDLTTTVNQTTYKLGIYREYKKGEKIPWRAQRYNDFGGFPYDFDRFGFEIFPGHNALNPGDVSLPCPYNLVSQVERDTVHIERMHRTRLKFYQNVLKTRLGSENVEISDGEGEDTILEHYYMSLPIEELERHIGEIYEDLQPFECRRFNLKPPFVCYIMLTITSKSHKEISLMPYNMKLHEAMKDLNSFLFGGRHQSIQVQKLQLSINGTILRLPVGFKIETEQLKGLNNLSMRHDAIISMLDKKSFPLKSVSFFADDEPDFQFSDMGKTKSFTAMDVNPEIDLFSILKTLSNQRVNLSFYDSDFGIGQYLGLVQSWIENERPIGTCYSFGIEEEDTARQILKLVRANVEGAKRSKRCVSIPIRNSARLELYYIPMKSLNDSEQEEFMYYCDWVLKMRVVRF >CRE21338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:285964:287377:1 gene:WBGene00060777 transcript:CRE21338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21338 MNKIPLQYESLKAVLLYMEPNVRFKLGHRLPSIRLTEKIVPLRIESLELTSHSTKINDTEYLIGLFQEYNPGEKVPKAIQNSNEKGGVFGDLDQYGFFESSGYFVVEPGDVALQIKSDVTQESMDDSRARREREYKYYLQRNEEALAAKLNPEIPHDRYNRFIEKSVENLEDSIDLYSTCLQPYERRRKNLKVPYVCHLQLTIKSEGNKQTQRYPYEMKHYVAVKRLNTFLFGGRRSVIKVQTFNLSYQRTTLRLPIGFRISTKQVKNFDDITNRDRAICSMLDPSSFPLDRLHMDLAVAEDFDLPWIQNARTLYIGFLPTDDFWVLATLFNWRIFFKCDWRDVSIQECFEWVSEWIENERPVGSCFSFGIKEEEAAIEHLEMIRSQVEGSEVSNRFDFPKGCYNQCLFFRYISVPTAFDTRLEVFYIPVKDLQNMEQIEFYEKSNWIMKLKIAQ >CRE21339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:288695:290172:1 gene:WBGene00060778 transcript:CRE21339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21339 MNHKPLQYESLKAVLLYMDANVRYSSEIFKVLFQFLYSTFRFRISHRLPSIRSIEKIVPLKIRYLNLDEFRTIVNALIYRFGVYREYNSGEEVPKLIQDDNDEGGVQHDLDRYGFQISPNHSVLNPGDVLLGNLTDDVQFDTLRRERALEAEINRYEKAIFLLNNPEEVEDPPEEYVDDDAAIEAYELAEAMKRPVESLKTDLDYSRTDFLPFECRRYNREPPYTCYIQLTVHSPSGKQIHRLPYRMKLYEAVKRFNTLLFGGRRSTLAVMRFQLDTSSTVLRLPVGFQVKMKYLSSIFGLTGPYDGVISMIDPACVALAEIQIHVYREKDLEYHFIRSAKKLIIQHTSRRREMIAALINLPNHLISLVISDPEFTAENYFELVQNWRNNDRPVGSCFSIGIQQEVEPVNALFQMMTERWEETKRNDRYASIPTRNGNILEVLYEPKEEPRSRGQWEFAYHDEWIFRARIIEME >CRE21416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:291177:292631:-1 gene:WBGene00060779 transcript:CRE21416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21416 MNTLPLQYQSLKAVLLYMDPNVRFKISHRLPSISSTEKVVPLRIEELDLGDLTTTVNQTTYKLGIYREYKEGEKITFRTQRYNDFGGFPRDFDRFGFEIFPGHNVLNPGDVSLPCPYNLVSQEERDTVQIERMYKTRLTFYEDVLESRLGSEKIEIPNGEDDDAIMKRYYLSLPIKELERHIGEIQEDLQPFECRRFNLKPPFVCYIMLTINSKSHKQVSRIPYNMKLHEAMKALNGFLFGGRRQSIQVQKLQFAINETILRLPIGFKIKLEQLKGLNNLAMRNGAILSMLDKKSFPLKSVSFFASDETDFQFLDVGKTTSLTAMDVNLEIDIFSILKTLSNQRAYLNFYHSDLRILQYLGLVQSWIENERPIGTCYSFGIVEENTARQILKVVRANVERAKRSKRCVSIPIRSSARLEMYYIPMESLNDSEQEEFMWFYDWVLKMRVVRF >CRE21417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:294188:295656:-1 gene:WBGene00060780 transcript:CRE21417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21417 MNHKPLQYESLKAVFLYMDANVRYVTFQFFFQFLHSSFRFRISHRLPSIRSVEKIVPLKIRYLYLDEFRTIVNDHIYRFGVYREYNSGEEVPKLIQDDNDKGGAKHDLDRYGFQISPGHLVLDAGDVMLEDLRDVIHYDTPEQERALEAEVNRFEKAIFLLNNPEEVEDPPEEYENDDAAIEALMLADAMKLPLESLKTHLEYSRTDFLPFECRRYNRKPPYTCYIQLTVDSLSGKHIHRLPYRKKLYEVVKRFNTLLFGGRPSTLKVLKFQLETFQTVLRLPVGFQVEMKYLCSSRGLRGPYDSVISMIDPECVSLAEIQIHVYRERDLEHPFIRSAKKLIIQNSSRRREMIPALINLPNHLISLVCTDPEFTAEDYFELAQNWRNNDRPVGSCFSIGIQQEEPVNALFQMMTERWEETKRNDRYASIPTRNGNILEVLYEPKEEPRSRGQWEFLYPDNCIFRARIVERE >CRE21340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:297238:298703:1 gene:WBGene00060781 transcript:CRE21340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21340 MNHKPLQYQSLKAVLLNMDANVRFRISHRLPSIRSVEKIVPLKIRYLDLDEIRTIVNDHIYRFGVYREYNSGEKVPKLIQNDNDEGGVQHDLDRYGFQISPGHSVLDPGDVLLGDLRDKVQYDTPGQERDLEVKINRYEKAIFLLNNPEKIEDDPEEDLYRYEDMMEFLRLEAEMKRPVESLKTDLDYSRTDLLPFECRRYNREPPYTCYIQLTVHSPSGKHIHRLPYRMKLYEAVKRLNKFLFGGRRSTLKVLKFQLDTFQTVLRLPVGFQVKMKYLSSSRGFRGPYDGVISMVDPECVSLAEIQIHVYRERDLEYPFIRSAKKLIIQHTSRRREMILALINLPNHLISLVISNPEFTAEDYFELVQNWRNNDRPVGSCFSIGIQQEEPVNALFQMMTERWEETKRNDRYASIPTRNGNILEVLYEPKEEPRSRGQWEFLYPDNWIFRARIIERE >CRE21418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:300036:301695:-1 gene:WBGene00060782 transcript:CRE21418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21418 MSDSEMSDEEISDEEAEEKQKFTIRHTFEKPLEMKMDDVISANVENHLGIPWKLVLDKSGEDELTIQLDCLKPDCATGWIINGCMIVSLINATEKKEQDTLDVQFTHLDHFLPLYEVKKDIVKENLTDGNIVVEVTVTIDEQETFKRLLRSFTSAETVETSDVTLVAKGVKFHVVKMYLATHCEYFKSMFSGKFAEKKKQEIELKDVDPYHLQCFLELIYGELPISDHNISGLFALVDMFIAESARRRCIKYLEEETQLPLRKKLELSFKYQMEDLKRMFLEKIKTANQLEKCLPNDPEELDHDLLAALMVKSMELHQTRTAPIFKPNRAPKRKMDD >CRE21341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:306050:307118:1 gene:WBGene00060783 transcript:CRE21341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21341 MSGETLVYKSEPKTCSYIEKVLEVSDQNGIECTWTSEFANGNYNLVTFKWALNWNQLKNFDADSLIGTITVTSPNNDFNPCAFDVKLTQPVQTISHCAFCNTSSPQVTFEYSLTPHYTPYQEVSCEDLFGPSEQNDTILVVSGKKLHVNKMFLSFHSEFFRALFSSNYKEGSMDEIPIKDVSYEDFGLLLSTIYPKTVFPNDKTVEKLLELADRFIMSSVIGVVEYHLIYNTEIANEKLMLMADKYGMKELLKKTIREINTAEKAKLLKKSSVCKELSENAKSMLFDRIMHWM >CRE21342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:308627:309515:1 gene:WBGene00060784 transcript:CRE21342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21342 MKTNLGLYLHCNKLCEDGNWSIDTEFEFKLMSVNGRVITRNRIHTFRSTSEKKYADGHGCGDFVEWDLMEKDYKLDDKIFVSARVKINKSTGINKNRLRNFDETVSEFSDVVLTVEDKKFYVLKLFLASQSTYFKSLFLGNFEESKKSEISLADVNSDDFQNFLEVLHGESAINDDNIDGILKLADMYDAPTAFKRCEEFLIKESQKSLKTKLLMSIRYKMNKLQNFCLVNIKTKEDI >CRE21419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:315173:317962:-1 gene:WBGene00060785 transcript:CRE21419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21419 MRSPKKEFTLTHVFDNMETEEFDFFTVEEKHYNVFWNLNLCQYNGNVEFYIISSLTEDDGSVAGSVDSDWSEPDGEEYCVETELDIKLTFADGKKIEEKRKVVFKTGDDSMHEFMCIPWSDLTRECSVDGKVKVEVRVKILKATGTETKKLMNFDEAMKDFSDAVLVVKKEKFYISKLFLAYQSTYFKSLLLGGFKESEKSEIVLKDIDPEDFQKFLEVLYGEDAVDDDTVEGIVHLADMYDAPKVLKRCEQFLMKKSRKSLKSKLKLSAKYNMDSVKNKCLADIKTVADIQSVLPENLEEMDHSLMASVLRKAMSHLTFHSLITMSTLKKEFSMSCTFKKVPTSRKCKNYSSFSKQEDHYNFQWRLSLKEADQKAVVNLHLIEPKDASDFSVETECDLTVFDVNGICNIARFTYKFKPGDDNSGITFSSWKRLSKTYLKNGELEVEAHVKIQNISGFEYKKLKVFDESMKQFSDIVLVAGEEKFYVSKLFLASHSSYFNSLLLGKFDESKKSEVNLKDIDPDDFQRFLEVLHGEDAINDSTIEGIVRLADMYDAPTAHRRCEKFMMKESEKSLKEKLELSARYKMIRVKNKCLSEIKTAKDIKSVVPENIDEMDPSLTAAILKKAISHLK >CRE21420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:318369:320777:-1 gene:WBGene00060786 transcript:CRE21420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21420 MTTSKKEFILSHCFENVPNLKEDENIYSPEEEHFDTSWYIGLSREEENVCAYLHVEEKSEEYSIETEYEIIIISANGRNRSEKRECTFNSSEKCGYGSEFLSWEKLLKDYLIDGKLAVEAHVKVLKMTGIKKKKLRNFDESMNVLSDVALVAGEKKFYVLKLFLASHSSYFKSLLLGNFEESKKSEIELKDIDSRDFQCFLEVLHGEDAINDDTVVGIVRLADMYDAPTAHRRCEQFLMKESEKSLKEKLELAAKYKMRRVKNKCLSEIKTVADIQSVLPGNLEEMDPSIMAAVLQKAMTHLINLQPIDMSTSKKKFTMTRVFVDQLDDAEDYNDFHLGEEHFHMHWNIYLSRENGNAELLFFLNDLDPVDEDSESTDETFFIEAEIDVQMLLENRRIEIKNQKVICQSLDDDYFHKVRFMSWEDLRRKCSVYGEVKIEVHFEITKMSGVLARKTVNFDEKMKEFSDVVLVVGEEKFYVSKLFLSFQSAYFKALLLGNFEESKKSEVILQDIDHWEFQKFLEVLYGGNAIDDDYFVSILRLADMYDAPIARRKCEEFLMEKSKKTLREKLELSMEYRMNNAKEKFLSDIKTVADIRSVVPENFEEMDHSLMATLFKKALYPLK >CRE21345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:321172:324340:1 gene:WBGene00060787 transcript:CRE21345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21345 MQVPKSETSPVIRSIGQSSHMPVSRTIDPPETLKASFPVESDPKLVELLSNFLISQGIPLEATKDAQLQELITFLNPKSVIPSDDVMMEYVKRQNSIIKPLINYPKTIGSISVTIDVYDDLNEKFLVFSIHYFENIDERKNVVFLKKISNNQMNAAHIIETIRREVNVHSHHDVKFRHSVSSNTEILQMIALNEHVEQHHICFYHNMSIFVAELLKIEEFSMRIDVLREFIRFFEPESDLYCKFQRFQLSRNEEMEIPSMDNNSWENTYIFLTKCLVLHESFETFCEVYGIDFYIYNKSFNDLIHLQRLLRQCAYYCRSMSTPSSCISQIIPAIEGLRRLIDREFPFQQEKILNLLNLSFENYNMQSHEMAVLLDPRFSYTEIFTEEKWRDLESLVIEDFVNNNWRWAINSGIQDTTLMNPRGRMNIISLEITIYRQFLMRERPEESDCPSRWWAERQSPFKLLSVMARELLSCPAVSADASTYFSDGGKLRRLTKTCSGTKLEQALNLAGTLQNFRGKGASESSDSEDVITEDIMRILDRTAQQTSENLTPKDISVKKELPEIDDFQEVNWQEPSSSAVKLEPLDPSDESQFDKFTVPTIQDLGHPEHVIHPQSAHGCPLRCHICKEMKHPGDFLNIRRECDRLSILAGCLYRELITFQHAERIANFRCIVVCKSHIPEIIEEIYERIGFSSRKDLYDCSLDRLEDMLINVRRLAPEMTAGALRTALVLFLTKFDQCREIEIEEHRSPPIQSQAKHSDSRRRPDADWIPNSDQILNITSQKMEQSNLPEDSPQNPSSTAQKSINPRSRNKRCTICSQIKSLGEFRDIRKANERLLILIGCLYRKSITMERAETIMKNRLIAVCITHIQETLDEIYTRLCITDVRYLNSCSIDRIQNMLVTVAVLKPDMTAVSLRDALFEFLDRFDYVRNDMNYGKLTIEGPPEASTSFTEYTKRRADSEEDHVMKKVSVLSEILNPRKSAN >CRE21421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:326295:328796:-1 gene:WBGene00060788 transcript:CRE21421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21421 MGKIANETTIANEKIANETNIANDPVIANETNIASDQIANDSKANEYLPEIWECAEELKIKKNASILLENQATSLKRSNLELKDRADSLHKQLSDTISRKSGDIMGAMIAQKRGCDVQHDRQVKTLKRTIQEMTMSSPSHGAKLKPYKDLKSPDSKKARLKSVLSYLKREIGEDGFDGFVTDFVNYVAADPEYSFKLKLSDMDSFISTVKWKLSDGTLRDMKAFLREKLGFDIFSSRQKIHNLRKMHSGLEDYKIRVETVLKKSAGRDVEQESYVIEVKDLQSLISKRIQRLHDNGRLSFREGSSDIILGIGGDKGAAHTKLVVVFGNVDKPNDPHGILLVGLYEGQDDYGTLKEKMALVFKQLNDLEHVTYNETGKKITRSVVKLAIGDCKFLSAITGHSGQSCATPCFLCDKRWTSHGAKAQLVAQFNFFLAGSPYDPSALKEPLFHAKKGTIGVPGVHTILGIAQTYGLDWMFALCNKIDAKDPTLPENLREQRKVLKSLEEEEVHYETRYNSLKSTHNIVEHMLSVAENYDESVDDVDTNKSCGSSFCFVATSDDSKICDSDVFDCSNCSKTVHNICSFIFTSTQDHQQDICCLECRKKNSNMSLQTRVAILEKIERNFRKKMENDKECLENVVSEKKILDEKMKLYNGETRKKLEAVLKSIGCDMRAWYQQLTGNQVRNFLRPASIKKCFAIFPPNSSDNLYAMESFLMNLGKLMSSANNKVKTDEEIDDLEKVVEELVEDLKQAQPRATVTPKMHLLTCHLIPFLREHRTWGSITEQGIEHLHAVINSLHVRFASVLDTEMKATLIVKALSNYNFIFDVGASWFKAA >CRE21422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:339029:340225:-1 gene:WBGene00060789 transcript:CRE21422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21422 MNWFIIISLFFIWNFQECHCCLKWKWSADYCECSDITDILWKSYGTDEYDILISEKGGCVKNITCHDSVLTYVTTNFSTSEIVRPDDSHKDTKAYVDSCEIYTGIRKGSIDVFSFFGMTCENNKWYVTKYPFGVHYITKDSETKFITEGLDGKRSEIESVSCRPVEPPCKCSDITDILWKSYGTDEYDILISEKGGCVKNITCHDSVLTYVTTNFSTSEIVRPDDSHKDTKAYVDSCEIYTGIRKGSIDVFSFFGMTCENNKWYVTKYPFGVHYITKDSETKFITEGLDGKRSEIESVSCRPVEPP >CRE21425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:346726:347764:-1 gene:WBGene00060790 transcript:CRE21425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21425 MVEVFEYKSAAKTVTCAGNGIMEISDRNGIKCTWLAHASVNTQFVTNRTNYSWIFDWAQLEAQGCDGLTGSITVRSTTNSFIAFNVNVDLKNTYQIIADEKPANHGGYSVTYEYSLTPQYTPIQKISYDEMFLPSELTDAILVVDGKKLNVNKMFLSYHSEFFRALFSSNYKEGSMSEIPIEDVSYEDFALLLGTIQPKAVFPHDKTVEKLLEMADRFIMPSVIGQVEYHLLHNTTIGNEKLMWMADTYGMNKLLEKTARHTRSPEKAKLLRNSPEYKKLSKNAKSIVLDWIIQLI >CRE21348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:352036:353217:1 gene:WBGene00060791 transcript:CRE21348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21348 MSTDKVFQMKHVFVDLLNMEKEKYHYLPTEIHFNIPWKLGVYVYGSDALFYLHCDKFHGIEEWSIDTNIVMNIRKIFGENDSISVDRIFSQHCGSNGHIKFFSLGEMDSYLINGNVTIEANVTINGMKGIKETFRKFNESEFSDVVLIAGDQKFHVIKMYLAAHSTYFNALFHGNFKESGNPEIELKDVDPYDLQHFLEVLYGESSIDNDTVTGILKLGDMYDARTAIRRCEEFLLEKSKHPLKMKFISAVRYNMDRLKEKCLSEMNTVAEIVDVVPEDADQFCPTVWKELFLKAYSSH >CRE21350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:357389:358437:1 gene:WBGene00060792 transcript:CRE21350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21350 MASGNIVKLNIGGTFFQTTKSTLTRFDGMLKVMMETEIPVEKDESGCIFIDRSPKHFDGILNFMRDGQVTLPDSEKEILEIQQEAHFYQLDGLMELCKRYLLNVCIFEITIINFRKLEHSAEKKIPPNHNIRIIKTDEELLEIITYPKKSVLVIHLPAYHDGTITYPDGFDVNTLSIKYRSILDIYYKPYKINNNLSPKEPFFVIYQTRCIHARPQRQMAGTVTFMQAVENEIARRLK >CRE21351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:359491:360317:1 gene:WBGene00060793 transcript:CRE21351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21351 MASGKIVKLNIGGTGFQTTKSTLTRFDGMLKVMIETEIPVEKDESGCIFIDRDPTHFRLILNFLRDGQVTLPDSEKEILEIQQEAQYYLLDGLMELCKRYLLNVCIFEITIINFRKLEHSAEKKIPPNHNIRIIKTDEELLEIITYPKKAVLVIHLPVTHSGVIIYPDGFDGIAVSMKYGSIFDIYYKTYKVNHKIISNPFFNIHEVNSIQAGPNSSFYHNKFSQFMVQLERRIANHIQDNGY >CRE21353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:361597:362701:1 gene:WBGene00060794 transcript:CRE21353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21353 MSAPMKVFVLKHVFQDVRNFKENESHYSPTEEHFNVPWKMRVARNNGYLSYFLHCDQPKTTEWSITIDWKVRLISIGGSIDTRNCESTYESNSNYTRWGWEEFIKWEDMETKFMTDGNITIESHIKIKKMTGIAKKKLRNFDSKMNIFSDVILSVENEKFYVSKLFLASQSTFFESLLSKKQIKSKKATIKLEGCKSEDFQNFLELIYGESPIDDETVDGILQLADMYNAGIAFKKCEEFLIRYSVKTLKEKLQIAKQYDMDNLKDGVLSRIKNVADIRSVLSYDVNEMDPSVVAALLQKALSYLP >CRE21427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:364224:365222:-1 gene:WBGene00060795 transcript:CRE21427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21427 MSETNEKRFVIKHVFKNISGIESGQIVYGSTKEHFGYNWTLSMSWERPLTAIYVKLMCEKVPNDGTWSIETTINSEMLSKMGKESEIHKFSANSTPEVTLIEIYWDDLKKYVVNNHLEVEINVKINEIKKEKLRNFDESMKEFSDVVLIVEDEKFYVSKLFLASHCTYFNSLFFGNFGEADKSEVKIKEIQAEDSQNFLELINGESFVDDYTVNGLLHLADFFESKAAYRRCEEFLMNSSKKSLREKIGLAINYKIDKLKEKCISSMKTVDEVNAVLPEVSNQVADSVWKELLMKTLSFSK >CRE21354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:365705:367372:1 gene:WBGene00060796 transcript:CRE21354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21354 MNQGEVDDWELRLLIAEIDKKSINKVYNYKNLLSKLQEVRKEINKDNFILDEHMLDEIESGRDELIEYEDILVSSDYLESDFNFSDLPEELKRKCLNYLEERPVMNETDHEIVLSTIQKIQIEINQCHSVIDEDMLDLIDSGRDEIENQSTDYEFDLNFERTLPVELRSRCMNYLGSSEGRYVLRFPKIDTDNEIALSTIQKIQMEINQNDFVIEEDLLDLIDSGRDEIENSENEVKFDTNWNDLSPTLKMRCMEYLDFEDSLCLRATSRTEKSLIDSYRMKLDVVQLFPEEDVFMSVMRNFKYSELKIPKINTFGSDRNLIYLERFTHSLSYILNHFNITKLEVFEISGMHTHVLKRLHQLVAPQSIHVKYLYSNLLHSTSSFFLHCCWNRMESIIFENFRIFHVKELKKIPSVKTAKRVHFVDSDGSMKQWREAMERSRAGEAARR >CRE21356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:369052:371199:1 gene:WBGene00060797 transcript:CRE21356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21356 MNFRTSRLIIVLFVLLQLIVCSENHKLSEILKKIGKRYNGNPALEEMLVDLKQLKAFKNRPDEEINDLKDNVAESFALHVLGQSSIKFRWIRSAFALASLMDEDMTAELCGTDIILNKYQFLHYLRRARISIERITDDTKYSYEVLPTEKDVLNTIITVDLTSKWGFPAPVIWNITAKYSTKESQNLYKIKKIVVGGGCMDHGRVNHKYTQSEVRRETLENFQRNNGHVAFWNLTDLLLQDQSRVREEATDKIPSLWLEGLDDNPGFLNITVCEYENVDPSIRTKNQFLSWYKRFGQMWHPKENDTDYIRIQPLNVQKAKSTFRITMRLQPGKRDNVTTFDFDFKVTIDVNQHGDLKVYITRLEVLCNPMIDYMDESLKAIREVVTENFLDSIRTITPPIPWYSSVEFISKFSNNKSIEVSICDVGEKTNLTQIEILLFHRGNVKSVELKLYRIDYDDIPLPALEQSYFRLYTISSSTKNETHYFVYEHEWVFHLQWDTMDQFYYIHKVELECPHVLYEQKDHVYLAFYQRIGG >CRE21358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:372916:373397:1 gene:WBGene00060798 transcript:CRE21358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21358 MNNDEDISQQPGVETDVSAVKKNHPSEALMKARQLKSDRKRALAERARLLQQKKREKSDEDAEQESKFNKPKADEKAEKSKKHKLLAIKMREALAKKRTNTAKVAERFRLAREEKKKKQEEASSSNF >CRE21428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:373492:374725:-1 gene:WBGene00060799 transcript:CRE21428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21428 MSTKEFVLKHTFKKIDRAVESIREHSEVEEHFNIPWRMNVSREKNNLAFYLCCTKEISVEKWSIDTEIELELSSPSGKSRSSRGEMCFGNKKDSEGYSQFGWSAFINWKEMERDYVKNGSITVEGRVRITGTSGLYTPNLRCFDKTMEEVSDVVLDVNDRKFYVSKLFLATHSPYFKSLFLGNFRESNYPEVPLDDIDADDFQKFLEVLYGDSPINDSCVEGIVLLADMYQTEIVIKKCESFILKKSTKTLKKKLQMAIRYNLENLKTDCLNKIKSLADVRSVLPSDIIDMDHSILAALMEKVLSSPTTCQQRIPILEYNCY >CRE21359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:376024:377631:1 gene:WBGene00060800 transcript:CRE21359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21359 MSDTAGNSNTQCNLMSKFQKLRSEVNVIHSIFSKETAFEEKSNDESNLLKRFCEMMINRQNLQDEFNEVLLENQNKNSMGIIEKIQLIKDDLENIKNQSKTEFQSIKKLILQPELDDFSIVSRNSNDKDISTSVTGKEFVLKHVFENASEIGTARVFSEEEEHFNIPWKIYIYRNKSWLALFLNCPKSLKTGSWSVQTNIEHTVVSNQRVRKSREGSNEFGNRNGNKKFSSNGWSKFIDWKSLEKDYIVDGKLRVEIRVKITNTTGLYGTSMRNFDERNKELSDVVIVVKETKFYVFQLLLAAQSSFFKSLLKEHSEKTKLELADIEPEDFQVYLEVLYGEPAIDENTVEVILYVAQIYGTDGVTKKCEKFLIDKSEKKMKKKLQLATKYRLEKLKKHCFDNINTAAEIRSVVSSEISDMEPSTMASLFQKSLALLG >CRE21360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:378286:379985:1 gene:WBGene00060801 transcript:CRE21360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21360 MSDTTDNANNPNNLMSKFQKLQSETNEIQSIFSKVSQLEIQSSFDATSLSGNRMLKDFCESMINREKEQNKFNEELMKTMKAQNTELTNLQNEQDKFIEENFKTMKDHNQELEHQNSELKLQSEQVLKEIRSIKSDLEELKNQYPSEIQSMKKLMNVPDSVDSSLLPDTSVKNNASASVTGKEFVLKHVFENASKIGASPVYSEEEEHFNIPWKMYVYRNGNFMNFCLHCSKSLEVDEWSAQTSKEYSFVSNQGTKKTREFSHEFGTKNGNTKFNSTGWNKFVHWEILEKDYVRDGKLRAEIRVKITDTTGLYGKPLRNFDERNKSFSDVVIKVKEKKFYVFKLLLVAQSAYFKWLLSEISPNGKVKLSGIESEDFQVFLEVLHGEPAINENTVEVVLYLAEKYDTHAVTKKCEQFLIDGSEKKMKKKLQLATKYKLEQLKEHCFDNINTAAEIRSMISSEISEMDPLTMASLFQKSLALLG >CRE21361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:380254:381924:1 gene:WBGene00060802 transcript:CRE21361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21361 MSDTTDDANNPNNRMLKFQKLQSETNEIQSIFSKNEQNEFNEELLKTMKELTYQSQQKINEELLKTNNDLKDQNTELKNQSEQVLKEIRSMKSDLEESKKQHSSEMHSMKSTMSNSADSVLLPDTPVNNGIRAIVVGKEFVLKHVFENVSEIGASPAYSEEEEHFNIPWKMYVYRDKGCIAFYLKCPKSLKVDEWSALTNIEYSIVSNQGTRKTRECSKNFGNKKYTTRSCSYGWPDFIDWKDLEKDFIVDGKLRVEIRVKITKTTGLYGKPLRNFDERNKAFSDVVIVVKEKKFHVFKLHLAAQSAYFKSLLSEISPNAEVEVSDIESEDFQVFLEVLYGEPAINEKTVEVILYLAEKYDTHAVTKKCEEFLIDGSGKEKKKKLQLAIKYKLDKLKKHCFDNIVSAGEIRSVLPSDISDLHPSTMKTLLQKSLDLLE >CRE21429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:382118:383641:-1 gene:WBGene00060803 transcript:CRE21429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21429 MGSKSSKSSNETKSIEEMKEKEADNIKHFIGVLYQVSAGGNVCIHANGSSVSSVQEPWSPKRMTSTGYNEFVLTHVFKNVSNFKEGESNFSDVEEHFGIPWYVETKSVNFLLFLFRRLYASRRGSCLGLFLSCDKVCKDGDWSIDTDFELKLMSINGKMISRSTSKCFHNSDGENKPQGFGCPSFIEWDLMGKDYAVDDKIFVEARVKIKKITGINKRKRQLFDETTSDLSDVVLVVEDEKFHVSKLVSQYLVSIHLTTFCLFQFLARQSSYFKSLFLGNFNEAKKSKISLTGVESEKFQNFLEALHGEDAVNDETVDGILSLADMYDASSVHKKCEQFLIEKSEKSLKIKLQMSTRYKLKKLQNFCLVNIKTKEDIRSVLPGDLSELDPSVSSHLMHLLASLA >CRE21430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:384101:385164:-1 gene:WBGene00060804 transcript:CRE21430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21430 MPVPEEKEFVMRHCFSSCYTDEFGPKEIRYNIPWSMQLYCKRHCLEAYLFCWKEGSGWSIDADYEVKCVGKRKSFGVKGTARFDGYESFANHMLPFAKANSHLVNDKLKVEWRIKINKMTGFDEEDSSGNKENDDIVLKVKEEKFEVDKKFLAENSTYFNNLFFKCSDESGKPEIQLEDVDPQEFKTFLKVLREEEPIGDETVEEILKLADKYDSKNALKRCEEFLIDKSKKPLKMKFNAAIQFKLNKLKKKCMSNMESKEDIQEIAEEDARHFNASVWKELLQKALSLD >CRE21362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:386501:387901:1 gene:WBGene00060805 transcript:CRE21362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21362 MTENSAAILEELRSIREENQESFKKLTEEMEEMKKKQSLQVEMMEKIIEKLEKMAVKTEAVDLKIMEKWNEFPPEIKMECISRMDFETRLNLRRTARAERSLVDSRLYPMDTVNMCNDGIEVESETNYFLHETDKCAHNKRASSCGSKLLSYILKTGNIERLYVFLPKEKVNKWVEDLKNSQIDTIRVKRLIFQSTSKQLTEFFLNKVNKETLESIEVLCRNDITGYTDTEAFLKSPTVINVRRLLILRQFYTVLVFDFIMRWIEYDVQIGQKLFCHTLYPTTFLDFGTNFGDRLVKSEDNYIRIRTDNESKHILIRLLPCKKHAQSLSCHVIPAEQETVEKEDDYYTSYDLSHFDESMEDDDDNDTDYFDDDSDEEDSDVEDYDFDDEELDDEEDEELVYVQ >CRE14185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:57331:58217:1 gene:WBGene00060806 transcript:CRE14185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14185 MVECRMIRPHECDRCFKRFKARGGLQQHMRIHNNEKAYVCQYCAKSFTQKSHLDQHERIHTGIKPFLCAFCGRAFRQRSQQIGHESTHLNHFSSSSAQQTPGQTTPSSSSSTSSGSSGEKRDDVIGGGQNGGQSSGQQQPQQQQQQQMLLHPTPPTPSPEQQNQLNMAAVVMQQMHHHARQHTELSSLLALNHDFVHQNAGQNGGQHHQFGQSTAEATANGMRMLSHIAS >CRE14221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:59324:60898:-1 gene:WBGene00060807 transcript:CRE14221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14221 MNSFGNASVDIDMLEREMAAEQTANLSGNIAGMSAPKSSSNRRGPMQEVDLDAEFDTLEEPVWDTVKRDVMTVGAKFSHVILPHGDKQQLLRDWDLWGPLFICVGLALLLQHNGGTESAPQFTQVFTITFFGSVIVTANIKLLGGNISFFQSLCVIGYCLLPPFAAAVLCSLFLHGIAFPLRLLITSIGFVWSTYAAMGFLAGCQPDKKRLLVIYPVFLFYFIVSWMIISHS >CRE14186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:61444:63374:1 gene:WBGene00060808 transcript:CRE14186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pri-2 description:DNA primase large subunit [Source:UniProtKB/TrEMBL;Acc:E3N1J7] MLFANAISDNRNVRSQMFNNQQSIKNVPSNTQEIPGYLQLYQTPPGENISLTEFDEIAMERLKLLKNFENCKDSHPMHTEEFKNKFRKTCDKIKLIVFPDLKPTSSEDIAELWRRDNIGHFILRLAFCRTPENQKWLTQIEGDLLRFRLRQEREVVVDSSLSAVNFPIHKLSSEEKKEMEEDLEHACQVLSIDMKNKAFYKVDFIDAIELIRRGSVHLKKGFAYFPFEDLVTILVSKMKNTTMAAMARAFKHLAILEEEGRLLPRLARLSNNAYSGKDYNGEQADGSIIITRHMIDKLAVRSFAPCMKQMHKNLRLNHHLKYGARRQYGLFLKGIGLSLEEAIAMMRDEFTKKIPSDKFDKEYSYNIRYMYGKEGRRVPQTAMSCATIILNNPPSATDCHGCPFRHSEKQVLKQKLSGESILKKGQVELIVDLAESNQYDKACTRYFEFTHHLEEGGLGQRITHPNQYYEESQKIIAERIAAKHTSDSQTSQKSEPKEEQMDTQ >CRE14187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:64776:66762:1 gene:WBGene00060809 transcript:CRE14187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmg-20 MRSKRSDSSAGGSSSSTSRIPDIEVESEDNVTNMNEDEMNRTPEENKNPSKIKSPPKVEVTEKVIRVEKSCSFTPPPALSPAYSIQEQKEQTPVESEGVNVKMEVDSDEHEEEQEIQISSSPKKQVKKNEEEVKPKMKYKVCKVQLNLFMQLKLKLQLVFYYFQKKSEKDKDGVPFLDPNAPKRNRSAYVHFIIHRRSSYSKATMSQRDINISLAADWQKLTAEERIPFHKKAEEEKEKYLELMEEYKKTDSHREFVEKRSKFLTNQKHSPLKKKQRKRKHGDQESEDEEVVQMAFPKPQVFCPLLNKPQQTSASSSNATSSSSSSLQYSGPIFTPEFMSYNKTRDSYRRQLAVERSNVEHELEALLQYDMDVRIQKQEERIKSVDEKVEETMEKMRAMFSSVPAAKDHLDSIDSLSDWLATLTRAGQSSPSKKAVKETINKNGKAIIALRK >CRE14222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:66817:71305:-1 gene:WBGene00060810 transcript:CRE14222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14222 MKKTCPENNASFLSRITFKWFTPLTELGNKKPLEFEDLWELNERDKSENLIPLFQKYQNGQNSFFLPLLKTLKTQLLLGALFQLICGLTEFFPPILMKMLIQFMENPDEPTWKGYIIAFLMFITSNIVTIFVHQSWDVVYRLQINVRSCLTNAIYSKALKLSNEARKEFGSGEIMNLVNGDVPKVEGIALNSMKFWAEPMQIIVSIYIIWNLLGISAFSGLLVLLASIQMNKFISEHSRKVTSDLVKNRDEQTRMESEVLNGIKVLKMYSWEKSMESMILNIRERGHALFKKKEFVYCCSYFLWDASSLLVIFFPDVQMSFLFQASAVTFTTFVFLDPENNKLTPELSFVILSLFEIIRIPVARMGYMYGQAIEFSVVNNRLKTFFAAEEVDSVEENCEEKDFAISIKNGEFCWNSDETPILRDITFNINRGQLVAIVGTVGSGKSSLLHAILGDMKRKSGVVEVNGSIAYVPQQSWIQNLSLKDNILFGHPMNSNNYEEAIRNCALVEDLKSLPAGDRTEIGEKGINLSGGQKQRVSLARAVYHDADIVLMDDPLSAVDSHVGKHIWDNVICKFFIEIKTDTIRFSASETGCLSSKTRILVTHGLTYLKYCDQVIVLNNGSISEMGTYQELLENDGAFSKILDEYLVEENDEVIGEASGTSDRVDENLELNMSQKRDDEFYENRENDESYHLIEKETIESGSVNSSFYLDFLQSIGFFTFTTFLIACVVRSSIEVWANKYLVEMSKEDETDTKIKLLGYSSLCFGKSIAMAVAGIIWIQGTVEFGRVLYARLLGNILRSPMSFFDVTPIGRLLNLLGKDMESAERLLPSEIQEVIKQSIVLISKVSVIIWTVPSSGFLIGVLTIGYFYVMRYFISTSRQLKRLESALRSPTISNFQESIQGASSIRAFNSVNRFILQSQKIVDDQLRANFLMVTANRWLAVRLESIGNLIVLFTAGAAVYFRDSYEMSSGIVALSVTYALSVTHSLQWNVRAMGELESLTVSIERIKNYMNIRNEGMQSKNLSISESWPEKGEIQIKNLSIRYRQGLDLVLHGVSAHIKSGEKIGIVGRTGAGKSSLALALFRIVEADEGSIEVDGIDISDLNLDDLRSHLTIVPQDPVCFSGSLRMNLDPFSTFSNAQIWEALRNAHLAYFVEILPGGLDFQISMTVCDSVYPDLRTTIFSVGQRQLMCLARALLRKTKILVLDEAAAAVDVGTDSLIQRTIQEQFKDCTVITIAHRLNTIMSCDRILVLDKGRVIEFDSPRNLLLNPQGMFYSMAKDDNSIQ >CRE14223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:72318:73234:-1 gene:WBGene00060811 transcript:CRE14223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14223 MFVVSKPTTTEFGTGCFAASSSCQSEYNPKIENDAVAHNSINGLRGSLRRNSSNLSHKLTELQETCLADAAQIEINCSCVNASQAFFVRIEVPTGEQGVLHFSPSTGEISQVFTPIQNGCGHGVWKFTVCTMENGSEIAHSQVSRNLDGVGILFFNVFDDLEIRLTQQEFLHISHCHTRRH >CRE14189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:84838:88591:1 gene:WBGene00060812 transcript:CRE14189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14189 MYRVENLGNENITVSDDLKKTTIDLLVEFNKTVKFNKDGQLEVALPYNGNQVRLADNYAVAFKRLVSLLVTLKRGKDLLEKYAKIIIDQEIAGYIEKVTPEMLKVKGPKYNIPHRCVVKEDSMTTKLRIVLDASSHAAGELSLNECLYAGTNMITAIFGILVRVRFPPIIVVADIEKAFHQARLQHEFRNVTMFLWLKDVTAPATANNIQVYRFTRIPFGVASSPFQLAAYITYNLDNNPHDLNKEIKDNINNMGMNLREYIVNHQKTMQSLSPADRAQQSTSSCLDILCYAYSIVDGRPPIVSLLASKNKIRPSKNENWTIPKLELLGIQCASNLACAIIAELRVNIASIKLFTDSACALYWILSEKNTRPWVGNRIKTIQENRNKMKECGIDTTIHHCPTKENPADFATRGMSTTELQNSKMWFEGPDFLKQDPGDWPCMIQGKVTCPAEFRALVYSEIIDPETKKARKPLMERKKKVTTPAANKEAQTPSDTVMTTDIRVTRKGSFIPFYATKSLTKLTRIVVQILCSFSISLKNKSWESQVMKEFTKSDCPLHRAKVARLLIITEHYKDCEALDYKYPTDIEFKIDTQGIRRVHRRIESPVLPQEASEPIFIHNRHPLAQLIARETHEINGHLPETYTVSAIRTKYWIPKLGGILKNIIRECVECQKVNNFPFDYPYTKNLPKCRTTPSKPFSNVALDYLGPIMYRADDGRSAKKAYVHIYTCLNTRGAVLKVVPDGTAFRYIQTLKMIFEEVGVPKSIYSDNASTFKLSGEIINKDIKNADYSQSLVEYLARELINFKFITPLAPWQGGIDERVVKLVKTQITKECGTRMYDYYSLQYVVSRAQSMVNNRPLIPHYRSPGDIVALRPFDFINPEVLTEIPAESEDPNVPPRSTEATVRAHLNKMEAATERIVVRSSKTEVGQEVIVVTKLVKGHKWPLGIITKVERSERDGQIRSAIVKVKGKLYSRAVCQLIPLELNPLNHPSTQAVKQADQAEDNNSFELPTPASLEDPDMRYAPELFPTDDLPNIAESEYNLPESNLPLNPITDKLESIGEPGEPEYENLETPREWSRRREYLSRSPQDDIS >CRE14190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:97523:103966:1 gene:WBGene00060813 transcript:CRE14190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dlk-1 description:Mitogen-activated protein kinase kinase kinase [Source:UniProtKB/TrEMBL;Acc:E3N1K3] MTSTTMLTTLDLVTPTSEDPGPIQAAPQSGAVASTSDFSTVVLSSDGSELVTQSAPNTPIQHRELANAEFGNKEATPDANKMMAATGNASKPSLNNFYADGFGQLREGLFSCFRPVLGYFGGKPPAEIKKSEDEEWEIPFDAISELEWLGSGSQGAVFRGQYENRTVAVKKVNQLKETEIKHLRHLRHQNIIEFLGVCSKSPCYCIVMEYCSKGQLCTVLKTKNTITRELFSQWVKEIADGMHYLHQNKVIHRDLKSPNILISAEDSIKICDFGTSHLQKKNDSTMMSFCGTVSWMAPEMIKKEPCNEKVDVYSFGVVLWEMLTRETPYANIAQMAIIFGVGTNILSLPMPEEAPRGLVLLIKQCLSQKGRNRPSFSHIRQHWEIFKPELFEMTEEEWQVAWDSYREFAKAIQYPSTVTKDHGGPKSAFAMEEEIQRKRHEQLNHIKDIRHMYEAKLKRTNKMYDKLQGCFTELKLKEHELAEWERDLAEREHMHIHTSPRAMSNAPRPHLRGNCYPNEGYEEMSSDEDGQCPPCRGSPYRNSNTSTSSGAQSSPFSRQSSCRSSAGQQTRRSEGANSQKILRNDAMRHSSSYWETLGGTRGSPARGSGFSQDSGMWSAGASSMAINGAGGVQGGVPVTYAQTIYRNGEGRWSDGRIASRRRVSSSANKSAVPPVFFTRDSPSRVPHGVINYSSPRSSSKLNRSSYPSRNAPHQLEDACCCNHGRVPRAKSIAVAMPTRGRSPTPYDNETGETQENMEEHFVAQLPESTSYEEALKSIGEADDVEMTTSVNPIYASPITTYSNPCHVVFNPEEENANDVDLTSSMDSRRSRADDADVESSEDEGNGNNILNTSMESEELRYRIDTSQSTMMSSLERSLEIGATRSDGLSDNERRVQAVKHSIKTHRRTSSNPQAIIHQRIEEYSSSATDDSDDAGAVRI >CRE14224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:104404:104914:-1 gene:WBGene00060815 transcript:CRE14224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-19 MGGGSALLINSNRRGGSGGSEFGCTGWFCDILDGAMMILVIMIIATAFLGCLKSCFNRKRKYPDQEIEPKRISEQPQNLGHQESSEAPPTHLPVVIVMENPPDYEENEESLYLPPSYCTLRFDQNAQGVQI >CRE14192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:111249:112667:1 gene:WBGene00060816 transcript:CRE14192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14192 MQYRAHSMIMSIPSALSNTGGIFETPAKIVDELVKHRRMAKGYEETRHPHVPKILKKTVDFQEIKKTYGYLGVTVGVAGIEHGSKECLDWIQKFVGERYKAISDSIEIPQNAIAVSMAGSNFEIGSSCQEFRAIRAYKAGGPGEKGYFSYKRLSKNLQITIYNDELGNQFLAGCCFYPTAAGKIVTCMDDQKTIFYNELAKMEPFPLANRAHNFYYKNRGKYGRYCSIAQCRVLVWTGKSDGQIVHELYDPFFNEITYKGCAECAQDFKNYKFEEPEKEEPKKAETEKTEPEKAETEKPEPEKEEPRKEEPKKIGQVAEKSESSETKQVANDEKHDDIPDDFDALDDISNFDAISIH >CRE14226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:112874:115036:-1 gene:WBGene00060817 transcript:CRE14226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14226 MTIIKSKNEEMYPIVGIEYCTNCHHYPFDLRLVSAHCTHYQVHKKDGKEIFLQSILLLFCYNPTAPLKILVYSSAFAASHTNYMARLADTLTEAGHNVTFFVPIIDESRENHLGVKLTKDVIKLEQDETMKEKKISIDKITGKFWTMDVTSQNGRMLFETFYEQVVLLTGNLFRNKEIIEQLRSREYDVAIAEPVMLNGLALFRHLGIEKVVIASSCPNYDIVMAAMGEPGETSYVPAVFSGVTGDRMDFANRFENHEIYNLMLQIYKEMFDDEAKVFRSFLGDDFPNWEELIPDTSLHFANSIPYIDFPRPSIQKTIDIGGISVDIEKIQSEKLSSDWDVILDKREKNMFLSFGSLAKSSEMPQEYKNNLIHVFKSMKNVTFIWKYESDDVSFADGIENVIFVKWAPQTALLNDNRLTAFLGHGGLGSTFEASFLGKPAIMVPIFWDQTRNSHMLSRLGMTITLHKSDLGDLLKLKNTFFEILNNESYRLSAEKVADVIRNQPTKPKELVVKYIEFVGKYGPFHHMTPYSVKMPWYQRYNYDVYLYKFSLYFVPTFVFIIVLKTALFYLPIRLVSKVQKNN >CRE14194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:120030:121577:1 gene:WBGene00060818 transcript:CRE14194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14194 MAHHTTQCNVLQRNIAVDNAAPMRDFDQQKIINMDDPHINDIRMNDDPFQGQAYPVWNQHQQNMQMENLNIDQHYVSRGRSFQDISYEHAHMAKDLKYSEMPIGYDQLQDQVIPNVYSNDQYSDHNTFQSLNSSHDFNNRSSSEDGSFDEIVQEKAKNSYEQHLSGKLSEEPVSSSPQQDSDEDYEPEQKQDLSQEKMRRSDIKTRTSKRVRVADPDYNEDSDEDDEEQEDIKPDKKELQKQMQRSNWKPQTVARPYSLKKAKDREDPLYQLRRAKNNDSVRKSRNKKKEEELAREEKYEKIEDENFELKRRVAELEKKLENCRCQKETARKPGKRS >CRE14227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:122182:124042:-1 gene:WBGene00060819 transcript:CRE14227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14227 MSRCIFCPTPEIKPDTKNMSMCEILCFPFCYSEPQEPLHEHAITNVPFVPNSLSDLNGRRSISISFSDGTELLRFARGSTPVNGKSLEMIREQLRMRFVNHEDVLNELRDPLPATTVAVSMPMNNFHCGDKCHEFLLDSQYDAPSDSYYSYQRITDNIQVTYYNENGIEYTAGFTYYPESIESIENLNRDKAVIIMVWCSCEPYPLSARDSFYTRICSKRGRKTVIYLTSGNAFHMEYHHKYNPYKYTKCRECTEEAKNYKWVDPLKGELRQTDLSQLFSISAQKAQIIKGYPKTTEPYVPDNLEKAVSFEVIENLQEDRIIGLQLAPENMQIVSSASLRMIKNCLNGWFPEISKSESEKLDNLLKSRNVICVSLAMINFAVGESESCGEFYPDSDHEISSGAFYTYQRLSENVQVTFLNEYGVEYLAGITYYPELSGHGKMMKNDRALINFSLIIGEKYPREENENVDISVNYGRYCEKVKKRVIVECDEEKGAGSHLEFDHWQCSYHRVKCQECFEDLIAKKKAKELRKKATREKRLKLIRQRLPVLKRSNSSPPDMKYFLEMQQEETRDYWGISCLINR >CRE14228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:124697:125867:-1 gene:WBGene00060820 transcript:CRE14228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14228 MNLISTLVNGITEYLDSGPKKYHMDHGYKMRKIPRMFKILENASDFKALESTKGGQRISLTLAPEGFERVNPKSLEFIREMLLSLFTHYPDIQKELEAPFPRNTIAVSFSEFNFQVGKSCQKFSPALGSKTGFLTYQKLSPNIQATYYNEKEECFLAGVCYYPVLIGKAKCFENDRAAIHLARASSEPFPLQDREKSYKKVMYKGYGRYCSIAKKRVTLDCSGKYVRHLEYDHFWNTYIRTPCEECLVDSVTYKWKGPKEEASTSSAASTSEVQQTIRETPILSGSDLSEKVVELDLPEQVEEVMPSLNTATLDSEDREESEAAEDSGERASSPLSSVGSLLNDYDQFEDASSDFESDIDAEVIG >CRE14195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:127073:129019:1 gene:WBGene00060821 transcript:CRE14195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14195 MSFLSSIFCYQNSKTKDEINIFCCSCCYSSQKIGVVPRQENILTSYPIIPKLLETTSTTFQVLVSPMRHKLGFTYASEGSKLANFKSLELIRRSLLKWETYYPEFLTQLQNPFPRNTVAITLCKPNFGIGNSCQEIYLVEKDSPELIETQSDAFSYQQLTENVHATYFNQDGIQFLAGLCYYPFSSNSKSLKNDETAIFLALAAAEPYPLSNRNTSENRIHYNVYGRYCSKAGKRVAVHCKDYIFHIEAETESRRNSQLFKYVSCEECSEEAMNYKWEDPVLVLKEKIVDGYPITDEPYVPTVMKYLYMNSTVKTNKKSSCIHIQYAEESKVLSSRKSLDMVRGFLLDQFDDDLEGIDYLLKEPLPRNTIAVSFGCNGFHFNRKTFELSSSEITENANDVHLTYQQLSENVQVTYHNENGIRYLAGISYYPAQIGKSELIENDYVLMFLADLTREPYPTFREKNYFIKLMNALINGSCGRYCERSGKRVAVDCMREYGHIDYDHVNNEYLITPCRECMNHFQNRLLELYRNPHLQDQMKSQKNEEKTLKRFKKYWKKMKPEVLKYLKTLTVNYSIENKSEEELDDASEKILIELFKVRQLMPL >CRE14229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:129813:130592:-1 gene:WBGene00060822 transcript:CRE14229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14229 MKPPGMYTAVIRSWETSKQVENHEYPITVNPFVPEILNNTDHRKLITSGNEAVLSFVYAPEGSKLVTETSLEMIRGVCLSWFKNDTSIQKEFESPLPRNLIAVSMSEKNFNVGGYCQEFSPSGELEIPDNDSFNYQKLSQNVQATYYTEGGVRYLAGICYYPVINGKYEDLLNDQAVIVEADMKAEPYPLQNREVSSNMIDNKKYGRDCKTAGKRVVVECSGENDTIRRHWEWDINSRMYVSTKCEECLIDGFTYKWID >CRE14196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:131484:133331:1 gene:WBGene00060823 transcript:CRE14196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14196 MLRYVAYHAQREEKKREMEKGYPITSKPREPHFMHLAEDKQLFTLYEHPEPMLKLTYAAVGTDVANAESLEMIRKKLLSWFNKYPEIQKEIENPFPNNTLAVSFSQMNFQIGDGPQEFRQFDSFAVSPTAYYTYQKLSDSLHRTLYNENGIEYLAGITFYPAPQGSPKFLNTNGFLYNWIQCSAEYYPQCDRKITPQYTKFNQYGRYCNEAGKRVLVKFNPAYDTVCHTEFNHRKNKYVLTPCAECKADHTDYKWIEPKKEVSVTTVSEVPVGSSQPKPSKDVVPTSKKLSPLEILESAGITLQLLLKLKDLDQNIKISMVHKNTKEVLAEKKKPELSGLLLFVMLNPDWKIDVDNEMLVNENSNALDETVLRMCHRSTGVELENAELPKVSDLAKWLDENPEYNVHQKHALIAQLTLGCEYEDRIGSDSSVFAVSDEISDLNLVRSDEVAESVTNSTSESSVSDFEEEDEVSESECSAQCDNQDEQINLHFQTSDEVNKKTDETPLESNEKSTGSMSSASSHESVLEDLEDSDEESDSEDDFELV >CRE14197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:134388:135636:1 gene:WBGene00060824 transcript:CRE14197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14197 MQNLVSKLTSILMEPIRLVEDHFHHSQIVRGYPVTRHPFYPKILENAVDWKTYKDCGVPILGLTLAEEDAELVSIQNLNHIRKNILTMMEDDAESQEVMKILKDRFPNNTLAVSMALNNFQLGRSCQEFLPVSEFKIPDGAYFTYQKISERLQVTYYNENGNEFTAGICLYPVSPGTNTLKEDTRVLYDVLDSIEPYPLGDREKQRNYIEEKIYGRRCGLAGRRVIVYCGSQNGMMYHLKFDDNDGNYVNEYCKECTQEAKNYKWEEPKEKSVEVPSVAAASPNDSEVSLATKSSDHNGTSKLKKTEQLTEVHKDLTVQKEIEADTSSKKAEDVKYSKDYQLESDAFPTERLPCDDDINEMKDDTPEIDSEFDAELVN >CRE14230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:135724:137364:-1 gene:WBGene00060825 transcript:CRE14230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syx-4 description:CRE-SYX-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N1L7] MTGRDRLLEMKQLSGMEEGILQRKPDTAIPDNSLEQFLENVDAIRHVLMTLNMDRETIRKEQEDSLASGCADQLKCRRVNEHGDQFIRQARVVRQRLREATEDLKNYPENTFGTGRARHEQVRALVASFETIMAKFSEDHTEYKNRAGLKVAAYLRKQNIEVTDDQIDYAIENGSLFQLTRNITMGTEQKKSLFDDVKNRATDIMIIEKQIQEVAELFEDLHSMIQHQGETIDRIETSVCNATEYAGRAERNVKEAVQLRRKSYKWKIIICILLLILVLFVLAMIKVLSPI >CRE14231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:139458:140837:-1 gene:WBGene00060826 transcript:CRE14231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14231 MIIASVEITCFHIFLGILMFVIYLILHQIYHQLKLRRERKVPNILYQFEKIPGLHTLRSQTHTFFCGAQNYRLSSSRKSAQAYNQDRHKVQRLLRKLLENPTIHVPETTVAVCIPTWCSMTGARFHEFQCMPPAPYCPCPTILSEFHYTYKMVTGRVQATFYHNRRCTCLAGICIYMRIPNEPWFFGELPEEMIFDLIGPGVKRFISPRGRRRPPPLLQPIPPTVPPVEVSTSDENSEDENSDDVTTPEDPPPYASVFGSVRTDIISRIDELPPPNYFSLFVNSSKL >CRE14198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:143488:144847:1 gene:WBGene00060827 transcript:CRE14198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14198 MSVSSGSKESKDKKKKNTVNKISRMNQVEEGSSGKKKETTSTGSSESKNTSMKDETFDKARGAVKNTIGWMVQTQSKQSGLLGFFKAATFERNPPENHKTYAFQANREKNRNPDFPLYDHSRVRLKCEAESDNNYVNATNITCQPCDRKWVVAQHPMEKYYEDFWRMVFYQEIDVIFAIFSPDEQLPVYFPTEQGKFFNHGQFFVHCWKLTPPSGKYQATNYTIEVLPAGCSNARYTTILHYSHWPKGHVAPSPKVILKGIQALDPAEKVTKSKCLIHSAEGINRSMSFVMVDYVVELMFRNNTVDVKITSLIPELITQLRSQRGGAFQNRIFCLYALYVAIDYVKIRLTKFKNAPEVLLEITNLQKAMKKEFSGVIPRELGSSKKGATIDATIE >CRE14199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:146631:147723:1 gene:WBGene00060828 transcript:CRE14199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14199 description:Armadillo repeat-containing protein 1 [Source:UniProtKB/TrEMBL;Acc:E3N1M1] MAIFLFSRMTEPQDTAPTPNSDKIRTIRAYYKLCQKPENRCIVLRDKTFFNTLPTLLKDDSSDVIRYTVKILVLLTEQSENIEQLLKSAELLPSLTEACEYITNPSVNYNLVLISSRIRAVKNSLEARKAVAAEREPLADAVKELDKGGTLHRKFVGRKSKQIMMEFDADEFDEQKKREVEKNLLKKKGVISVYITENNGIPRAVLRTIPTIEAKEIAVVVFSAGLEYAAQILKVEDGGEEKFEMYASEIQKGGGPKDYPEYLDDEMLHVDPTSCVITNEMASHGAGQEGGWFSSITSFVKTSFW >CRE14200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:148026:150641:1 gene:WBGene00060829 transcript:CRE14200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmp-2 description:CRE-HMP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N1M2] MFGAVSMQRLVLDLASRVNQFSINFISVTATMMLHQTTNSYSMYTDHEIETRTSRIRAAMFPDWTPPTSAAEATNSSSSIVELMHMPTQQLKKSVMDLLTYEGSNDMSGMSLPDLVKLMCDHDESVVARAVHRAYMLSREDPNFFNTPGFDHRAFIEALMHASNSSNVNVRRNAIGALSHMSEQRGGPLLIFRSGGLAEIIRMLYDSLESVVHYAVTTLRNLLMHVSESRGQARALNAVEALTPHLHKTNPKLLAQVADGLYFLLIDDAQSKISFLSLLGPQILVSILREYSEHRKLIYTVVRCIRSLSVCPSNKPALISLGCLPALYAELCAAKDERSQTAILVAMRNLSDSATNEENLTQLIIKLLEIIRTANDGMTACACGTLSNLTCNNTRNKQTVCSHGGIDALVTAIGRFPDVEEVTEPALCALRHCTARHSLAEEAQSELRICHAFPVILDQLATLRTPVIKAALGVIRNCALLQTNLIELTQEQTGGGHTAVSLTMDILRRAITAIEENPDIAVDGVPMWGVIEGSVSALHQLANHPAVAAACCDDMGQVGNPEFPPFLDLLHRLLSHPKLNSMEDEVLEREILGLLYQLSKRPDGARAVESTGVTVLLMESRGSQYKSVVTYANGVLNNLKRGDAAGMMNMSNSYEYEMSGGGNDWQRDGLERELFAEMYPTNDGGHSESINVALNNSQMRPNHNWYDTDL >CRE14201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:150977:153411:1 gene:WBGene00060830 transcript:CRE14201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14201 MSLCLEKQKIRCGMISRVARHIAIDENSGKIAVVRKSRSSETHDYIEFYNILSSWLAVPELTVCPENGSIENLLFVEHGELMTAHANGSVCFIDPHNNGRVKRIQISASTIWAVCKHDASKSSIAMISHSSVLYFFDVTSKMITSSISLGVESRLFDVSSNGSLVAIGSVDGIILAGDGRVQQTLKLDRQNRRDPTIAWSVLFVKPSLLACGDSRGTVSLWNPTDGSLVQSISCLQSHILSMVTMDDGTLNVAGVDPRITELKETPGGHFEITNRRNGPTRDIRSMAVYENTVYAAGEDFDIFVCKNGCRKLLFHQQKNIIVAQDIITSAGENYIDVFWKEQGEDEPTEGSTVLQQHYEMIHLAKIFTPKKRIITCWSISPCGQYIVIGTCHDTTIYKITPTARKLSKKVLKTATLDVIATSFCITKNNRLFVARNDFEIAEFDLTEDNCKEIKVLISQNDCGSVTRMCASPCADHLAVLTTRSQVFSINVESGDSRLMKVDLPIDLTLTSTSAFILSTVTSSDSNQDTKKIMHEVGLSNGLIKRSASSHQLRMLPSSPKSSIPGQPIYLMGINNSKIMAVSYDGHWSILDIDAGTVVSAQDGPLKANKKKLLNGADSCPLLFSGIRLRDDTNEQNGTDHSGSPSKRTRRSSVRNGTEPFQSMNSRVVQLDVSGDEIPKTAGFKLKKFGMQ >CRE14202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:154617:156752:1 gene:WBGene00060831 transcript:CRE14202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sol-2 MIEYILIVFHIIILVQCSYCPIKHIHSSTPTTGFIESPGYPSAYSAPLDCVFNITTAASNVIQLSFVTFDLASKNQLSDQCLDSYLLVVVVDRHGRQHVGERLCGTTVPSSINTMQSWMQVQFVTTSANNKHRGFRIQYRILSEAAIQEPISTLGEPIFSGCGGHSTPGQLSGEILSPGYPNTYPKNSTCNWLIRVEARQRIYIRIVHLHLAPTIAECERASLTIIDGYKHENYGTDRKESASETSEAKFCGSQLYYSEEGMKSYLSSANRIVVRLVTQEGPATSMIGEERIGFKIVWTAVEGLIGENGIDDGIVGGNGGAEACKDQFVCHGGQVCVEQGHGICASRSRLCIHSSLVCDGIHNCVEGDFSDEQHCYSREIITSAALGFSLIVLTMLVLVCCDQFRKRRRLRVLIRERRATENGKCNNNNNNSATTRVQPNR >CRE14203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:157827:158677:1 gene:WBGene00060832 transcript:CRE14203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14203 MLCSSVFNLVELHISCFVKNSLNFPATMSSRGVRAAGSDGTDFQNRQRIAQHYQESVQYKSILKWFFVPHFLILVFMWLKVGSEFLRSNFGWKSAFFERLDMPSAYPWEYVWCLSFVPVVLALASFQRNKLKILHYAYYAEFIFGIFPCMIGLGGQLPELMEYAQDMEGSNTPTFKGIFPMVIIWYIFFAVALQIHGFSMYFMHHLSSAWAPVKRD >CRE14204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:158788:159911:1 gene:WBGene00060833 transcript:CRE14204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbs-5 description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:E3N1M6] MWGETFDDFENEECELAVAKQNLMSEPARADFTFPKLPLGIQPVDFMKTHFAETAGKSMQFRKGTTTLAFVYEPATPNDKGGIIVAVDSRASSGEYISSKSVMKILDIGDRMVATMAGGAADCQFWTRTVAKYCTLYELREKTSITVSAASKYFANVLYGYRGQGLSVGSMVAGYDKKGPQIFKVDSEGDRCQLKVCSVGSGSLNAYGILDNHYKPKMTDEEARNLGLRAIMHATYRDSGSGGVCNLCHITPTEKIRLPPMDVSKLWYEFSEELGRDIAYNPVE >CRE14233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:159947:161507:-1 gene:WBGene00060834 transcript:CRE14233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14233 MWLLLFTAVLSALSVVIPQCQPPNDCSVHVFRVRLSQKPDVDDPENTTYSAVQNSEYGNNYYEIRGGKEMTPKLAAEIISSMGKDADPRLKEKITNKEFYWKAVGPGDRMASAAMQPPPPPKKEQPSTPSPSTSRPSEYPVLLFTLLVCVGIFVGVLLTAFCYFMATMQKEPQFDRSSVVIYNKVGGGRDSRRPMLPRRREQQIELIESASVAPSMSSATRVGPAWRNGNGVALTAAPSTTSRHISL >CRE14234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:162560:165258:-1 gene:WBGene00060835 transcript:CRE14234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14234 MQAVPPSKHNEDLEKHLTPHEFNETLRILYPHIRERENMTRLIFLDASIQGDKDPSGVVRADFHIPASVGTYYFEVSILHGHRGCMGVGLSKQGGELNRMPGWDPHCYGYHGDDGNFFSACGHGTAYGPKFGTGDVIGCGIDTTLNMVFFTKNGKHLGVALECKPGELEDLYPTVGLKTPGERLVANFGQTEFMFDFDGYRDILNNKKIRMLEDVKVPPNFGNHMDRVVASFLAHTGAMETLKSFSKVAKLDRPIDHEFVRKRKEIVDMIMNATNGAVIQEKLLEYFPGCLQNQNRVQLIFLCLRYIDLANTMQKAPPSFRSSIDEHQNRPISPTEIRAKPPKLLKGNHCKSTKRTRESQKKQSNPKVHTPPPVRRTDAKATEDLCIKNSNIDRFYTDEDTGEEIISIDGLNITKRMYVELYTSDEYGKLSYIIKLGREIMRLAGSVENQLTKKDRQILEVRGFSHKLRINFIIQTSMMMVLCPNPLEKYPLKASDRRYISNVIKETINNYAPSTPSKPSKPVNEKEKEKKKDGKNKEKKEKEVEKVEKVEEKETRKIYSELRGLFLAWKGIHYDLSSRDGVPASIYFMRNMILDELKFPEKPIEYSDEPMEQEASEAPEPAPEPVREVDNGMVMEEDDDELDEEEEDV >CRE14205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:165695:167508:1 gene:WBGene00060836 transcript:CRE14205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14205 MSELYSNKKILAPMVRAGRTPLRLLCLKYGADLCYTEEIVDKKLIESTRVINDALGTIDYRNGDDIILRLAPEEKGRCILQIGTNSGEKAAKIAEIVGDDVAGIDVNMGCPKPFSIHCGMGAALLTQTEKIIDILKSLKAAAKVPVTCKIRVLDNPEDTLKLVREIEKCGVSALGVHGRRRDERQPDQCRIEEIREVSRTITTIPIIANGFSGEIEQFSDIEKWRSATETSSIMIARKALSTPSIFREDGVLDKFEDIENFLELACQYDESYTMTKYVVQRILGSDQEHDPRGKATVAAGSVLQICKAFGKEEVFNKWNEDRKKKQSKKRARVDDDGVYNIEVSFPLKRLKNSVGFSPTPKMALHDYCVETKTPKATYEVTKRDDKRFIATATIGEKKFRSGIGQPNVRMAEQVAALAALHGMNIRNRLEGNWEED >CRE14206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:168046:168777:1 gene:WBGene00060837 transcript:CRE14206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14206 MTGMDTEVDYGDADKYVNDESIEMAWAIKAGERSEIHMNLIMRCDTRVLRLNKHQDIILPAFRKSFPDLDVEEVTASLLKDGGAKETWREYCEQFKDIVEDYSMGTLMRCHAAKPYSPDNTVVVPRIIFLAVEMARNVEGVNERNKAAYTEAYKTAAASQQ >CRE14207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:169211:171151:1 gene:WBGene00060838 transcript:CRE14207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-4 description:CRE-NPP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N1N1] MSLFGSNTPAASSTTPLFGTSTASKPAGGSLFGAAPAAATTTSTVPLFGSTTTTSTAGGGLFGSKPAATTTQATGGLFGSTTTTTAPASGGLFGSTSTTTATSASGGLFGSTSTAPATTGGGLFGSTTAAPAATGGGLFGTKPSTTGAATLGGGLFGSTTTSAAPTTTSFGAPPASNTILGLGGIQQQSSSGSTNTTGATTSSLSGTGDKDTTKDSEWQQTQTLIRDMIPLIEEKLKKNRELMEETENMAVDSVSIDEMIDKTRGWINEVKRDVCTATISSHDVAKLVAHDKHLADTARRVQDQSSTANQSMHAELIKKHLGERIQGYDSEIRALQTRVNKMRTRFEKLLKGEPHLTFEELVEEFMKCDTVVSNGTYDVEALGRDIEEVRDLLIEQGYTQLRKWNTTVNHADPVSAISEGAEFFPSQSSLAIIGSALRAPAPAVAPAVGGLGLSTGTSLFGNTGGSSLFGSTATKPAFSGGSLFGSATTTAPTTGTTATTSSLFGAKPATTTPFASTVGNNSCGVLFSSKK >CRE14235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:171449:174637:-1 gene:WBGene00060839 transcript:CRE14235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-yars-1 description:Tyrosine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:E3N1N2] MSGKEAPKVEEISEKTKETRDLILRNLQESLGVDKLTKQLETDGKVAHVYWGTATTGKPHVGYLVPMRKIADFLQAGLKVTILFADLHAYLDNMKSSWELLKSRVVYYECVIKALLQSLDVPIEQLYFKKGTEYQLSREYTDDVLRLSAQVSQRDALKAGAEVVKQVSSPLLSGLLYPLLQALDEQYLKVDGQFGGVDQRKIFILAEEQLPKLKLGKRWHLMNPMVPGLTGTKMSSSEEDSKIDVLDEPDRVRTKIMGAACSRDQPDNGVLSFYNFVLFPIVSPNAIEISNQQFFDFESLKTAYLDGKLDETSLKTFLADFLVKLLEKVRTRCDNDVVKDAKEKGYSTVEDVVSEALKSSPIPELSTEQKAWKDVLGAELLIPDELDRVLPTISSSNPLKIMFVAHGKGKFHLGFVAPLLKIKSLHDSGVPVKGTILVSDIEAFLDNEKVSWGAIEARGIYYREMFLSLIKRLKLEDIIEVKIAAEHEKYFDKDYVLDFYKMASAVTRDETTICEGSALSGNLVPLIYSLNAHIHRPDLLIVGNDSTVFADLSARLLRYFGYPAISHLAIPTVPGCNGQKMSCSVLDFLLDPLDTPKQTKTKIARSFCEPGNLDGNVAMQLAELIVFPLLNGSCLNIPRSADNGGDVSVSNYRELEHEFVTGTNPEFPLHPGDLKNAVVGVINGLFDGVRADFADKLGRNWSKMLLWLRRERRNSSLN >CRE14236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:174924:176921:-1 gene:WBGene00060840 transcript:CRE14236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14236 MIRSRCLIRNSHTFVTSPIFYANAGKVRSGYFATFPNLFSISEPHIGHAYTAVLCDTAYRWNVLKNSNNQNSDSRALFSIGTDEHGSKIYQASQLAKKTPKEFCDQVSSKFSNLFENLNLSHTHFIRTTDSKHSEAVQTFWKTLSSNGYIYKSSYSGYYSITDECFIPENEVEKRNDKMVLKTTSQPVEWIEEENYMFRLSEFREKVKEWIEKTDVVYPVKYKTLALDSLTMEDDLSISRTRSRLSWGIPVPDDPSQTIYVWLDALVNYLTVSGYPQKQFVWPPTCQIIGKDITKFHLFYWPAFLMAANLSLPKKVFIHGHWLVDNVKMSKSLGNVVNPNETMDKFTSEGLRYFLLKQGNPSSDCSFSWSSCLEMINSDMVNNVGNLVNRSTVAKINKSGEYPKVESLESKVKEDTEKLMEMLEESYEKCIELYNEMMYYKVIEHLMLIMKEANRVFQLSQPWKETNSERLESVLYVTYETIRVVSILLQPITPKMAAFCLDRLGVKAEKRGLADAKIGCYSGGKLGKDRGVFIERIQK >CRE14237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:177236:180444:-1 gene:WBGene00060841 transcript:CRE14237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14237 MAPPTRRSQTRQTPQPVTSSPVLEKKLSKNELLIGGAETSEADIDTNQKHFFSFQEEGLTVEECAQKFDSFVTKEDFFATIAPEEVSRFMTKAVAGKNGGLVRCMICSREYATGSGILFHLKKCSLTEVEVDEDVEKYRNSPILWLKVSQKEETLEKIVGTNYSCFSHGCSKSFKTAKSLINHLDACVRAGYWTFSDRPDEFRKLDKKPKNLYVKEALAAGNGKVACFLCGRIYSHTHGLLYHIDRCQVEEADQPWKCYRCGFEAKRPDAEAHLLECGRQTETKLIDSKMEAAVLSFLNNTDDPDAATPATKRRRTVGGAPRVTARKDGSTLFRFRKSTVNRSFNGIVTRADQQCYEETCRTIFENWKLESDTVAFSNRLQNIPSAEWTPELLDESSQFYRIFQNRQSVTICTGKLEGLQSTIPSGIRLNTRESVYLEKGESESDLNTTVAYCGAPINGIQVAPGKTSEGDDVICVTTFANETNFESDSSVVQFWKHRLITEDDSIDQKSQMELWFLLHIPHHGTILSMTWLQKYDSTEAGLIGFVAFATSIGRVLIYRIDETILKAERREMDSSSSENVSVVTNVEPHLILTLPKSSESSSQTSEDDFLNATVKIEGEEMIIPIKFDESIVPIVKISWSGHSGGGNLAGITGLGAIAIWNLDGELNEPRIHLDESWQSPPTDISFLNYDHLVVGFKERLVKVVNIDSWDVKLEENTMKTAGEFFYPLLRRITSFPGARVHTDSRIVHSFFTFQSEYTSFPYTQATGISYINMDLDSMNLILIPTGNTHQLMTWEVAMCAPLGTLLSCGVDGRLLASASGRLLKNNHLPFFANRNLMALKRRRVLKEEDLIVPKMEESETSASTSSEKQKEPLEESLVNHDEVCQKMWLEVAFDEPFEPKRVELSCRDQRIESLNCVDATTNSEFPVAFTGGEAGLLFAVPSKLVVKNMNL >CRE14238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:180549:182162:-1 gene:WBGene00060842 transcript:CRE14238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exc-4 description:CRE-EXC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N1N5] MEPYSTLSRNLLRKFSDNSCLLSVTLFFSFHFHGSFSVILRFPAMADAYQIQSNGGDTQSKPLLELYVKASGIDARRIGADLFCQEFWMELYALYEIGVARVEVKTVNVNSEAFKKNFLGAQPPIMIEEEKELTYTDNREIEGRIFHLAKEYNVPLFEKDPSAEKRIENLYRNFKLFLRAKVEFDKGKKEPSRVEDLPAQIKVHYNRVCEQLSNIDQLLSERKTRYLLGNSMTEYDCELMPRLHHIRIIGLSLLGFDIPHNFTHLWNYILTAYRTAAFIESCPADQDIIHHYKEQMNLFTNQRETLQSPTKTHTIPEKVLSDIRIKGLAPDVNVH >CRE14208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:187703:195988:1 gene:WBGene00060843 transcript:CRE14208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zoo-1 description:CRE-ZOO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N1N6] MSTSEDPWYKTSISSTSSCIEEEHEPVDQDEEEMYNPSGCTWQLLSVSLHRAANLGFGIAISGGRDNPHFTSGDPVVVISDVVPNGPAWGLLQVNDRILSANNVSFENIDYSSAVDIIKNKDHIDMIVKRRVAVPMLEYEQRTLKFTLSKSRKKDDFGIVVGCKFYIKEIRNPKLAEKDPGLKEGDSVLRINGQSLDGASLEEVNKWLERSRDKLCLVIQRDVRRGTSRWPSQNTVYERVGSVAATPRHSPSPMLPHMPITQRNYIKSSQVCFRNSHEYVNSPRHRSDGSVERRVSSPANSQLSNFNGMNTSQITTQEYDCYTRQPSRSIDANGVANIMFRKVGGSVGVRVIGGNEVGIFVSAVAADSPASLHGVSCGDRILEVNGRNMRGVTRESAVQLLLGLDDRVSLKLEHARQDFEHVRANQLGDNFYIRSHFSREKRDKTSLLELSINEGDIFHVTDTLFGGTVGLWQAARVYSSSENKGEPVKGVIPNQTTAETIAKEWRVYVEQKQAKSSGSGTLLRRKFESRRTKSLPKNMICDPTELNLPLPAYERVALNTPSFHRPVVLFGPLADIARHQLLNQFSARFGSPESDGGVIRLSSVDHVIASMKHCVLDISIESVERLQLAQYAPIVVFLDVDGRSKIREIRKKCNAPHLSSRKLAESANQIKKHHSHLLSATIDATHETGWFDALRELIVHLQQRRLWMPEFPPNLPLEDVLLFPLPKYEGDVDSLKSEYVEYNNEGSLPRKPDKNSKYNWDSNGPPGPGDLPNEMREYSTMPRPHHPLSTPQDFGAVRNRQSSNSQSTLSTFVTTASSSNPQNPQNLQNPQNPQRPLLTPTRDESTSRTTTPHGYYHVKQLLDDDSLYQDARLANEIANARLREEAKLREERVQRQRIELSPSNLQHRLDNTTPNNFDFSSLPVNGGPSSMTTNPSSLNNTRYHPLTPSSMTSSSSGASRTNQQQQPNTQTPPPPRPPPVHPNPYSQSYIRNKWNNDGAIDARGGADESPQPPAIPARTNGSASPANASISLTVSNVPTGSSIASKSPTPRLDENENGQKSEEEEPTVVEESTALIGSKGGVIRCEKSNVELRIPPGAINDGEEHEIYVKVCREGDSSPIDRSKGETLLSPLVMCGPQGLKFEKQCELRMPHTGPISADSDGQWSFSLKTGEGGEWKHMEIEQQATNANHDQFLTVPITHF >CRE14239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:196440:200328:-1 gene:WBGene00060844 transcript:CRE14239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpom-1 description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3N1N7] MKRTLERIIRIQSQCRLASSTVANVSISPTTTSGLQKKETKNDDWKAEILKILEIEHKQKKRKLWKQRVDQHAMADIVRFTLKDDVQSLIRLTENQLRSRLSPEIVPICLLVLNKKMEKVLKKVESIESNQSLSLFIGISDLWRSLKRNESNTTYLMALLLLNNSRKWKDQRSFDSKNSKVIDDLFDSMEQKMRQFAAKSINISEDQQFEIFISSEDQKTIRKELNMMRSFYIPAGKKLQGARYSEDLELVSPLQRNCSSEDYHQNPFQVNNIEKKKYKQLFEDHLKLESSMWIQVKNSVDRKKSDVSGEELINQWSWKRKIADGLKKIDPNDTHPLVSTCLELLPREKLVETLYFTAMAACSQGQNLIGATQFQFDVIDPILKELGNVFKKEMGFNENEIWKRVFDNYIEYFIADSEVSRQNTHREWWDKSCKTQNLASNFQFNLGNMDAETRNQVCNVIINVLFDACLFPVEAKDSGKITWQRAFSYRSISIEEESKLSTDCRLSLSRMLSINSKLMAMFDKNPFDFIVFSTSNLPMTVPPRPWIDKGTGGPLYTVGQDIIRNMMEFKSVRLNDEMRQRITSPSQGRPVFDALNQLGSTPWVINEPMLDTLKTVFNKSNQKDSEELLEKLGIPMRQDTFDVPDFVTEFGKVKKEDIDVEKFRGYAKRKAEAIKMRNESNSLWCWMLYRVVLADHFRGQTLFFPHNMDFRGRVYPLSPYLSHMGDDVNRCILKFAKSQPLGSKGFDWLKLHCINLTGTMKRSSVTDRMVEAERLLPMILDSARDPLGGEKWWMSSDEPWQTLAACVEIEQAMRYGSDVSSFQSQLPVHQDGSCNGLQHYAALGRDNEGGVQVNLTQSDTPNDVYSDVAQRVEQKRKQDEESNGEDRDVALKLRDALPQNVPRKVIKQTVMTTVYGVTMYGAVLQIKRQLKALDIPGEDAAIFARYLARKTFASLNDAFTSSMALKDWFRLIAKGSSDLMKTVEWITPLGLPVVQPYCKLTERKSKLVLVPIPMKQVDAFPPNFVHSLDSTHMMLTSLNCAHRGITFAAVHDCFWTHANSVDEMNAICRQQFVSLHSQPIVQQCSEWFKTTYLTPRMQKILPSSEFEKYTDIFTAKVKQGELDIEKVKDSVYFFS >CRE14240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:201198:205814:-1 gene:WBGene00060846 transcript:CRE14240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14240 MGLNEKWTRIVERRGQRRRQSSGTTTSSTTSNANTPLPTTESSQSICCDTQFTTSLNSENSKIPNSNSNSHIVNSSNSNTHSVTTRRVSFPNISPSFQSPRSPSTIFNMRSDAESDTISLSPRSFGSSGKTTSDFSAWFSRKINGILGEKAETSSVVSILTRTLSKATAGYRKKKRECSLTISSFESVTSEISKQQRSWFHSESQLLDLFLPETSYHAECRAIADKYGLIVDLDDDEMLTRIVEGALEMDDAMYSPISLEHKRLNISVSESNEAPIDFIDADAEGTLKTRISRDGCQDYNVFGLPDHISRMVSPSDHSHASSSSSNGATNSMNNLYSQLDRLYGKHDLFGAEEYQSWHEKYRHNTLSTKQVKKQDAIFELYLMEKRHCANIAFLLQGYRRRMLDESIISKQDMDILIPDVLEPLLIFHLNVLERITARIQENYEVGTISDIISEELAIDGGQHTKLCCDAYTDFGVAKERSDVLYHHLMNKNAKFAEFFKRTYVEEPFYKHYDFRPLITKIIGRATKYSLLLETILKNEQPFSEYHDLTKLALETARKFAHKIDENLSMAHMSMKWEEIKVQIDPSSTTNLYVSDPSVPNGTIRYNFDLESLNSATNRKLVHLGEALIKIPNLVGSGGGTHSSSSSGKTTDKKDQCWIVLFDDIIVILIRKSSRQLVFMHDQGAMPVQSLLIRTAARGHSIMLISGAKPVLFEISFNTSTDRKKWVAHLEAAPKNVPPEGIRITTGDADGVLRDRVAAQQELEDKWLKRLEELFDSRLPEEEALSKYLETRLNFFDDLRDHVSKLPFKSRTDISNRIREAVKSRFRELRRSRVIPLNRLVERMSESRDADLWSYFDEKADASDVLEKSSDLSEDSDSGDSVAGNGRTKPRRIQTFHGTSQPLGSNGINDSKNNGIRRHTTVPRMNSEGGSASNHPTSSSATDRAIVDEVELDDDDVASSQMLSARGHDNAELDRKAYGAMISELPIRQTMRARRASTKLIKEVISLRRENHLLRNDNALTKSRCALLERVRGGGAMMSSTATAVDESMEMLRKKEKEIREMQRQMLAEKEELLEKQKAVESQEQEIQSKWAALQSRSMEQQAPVTPLHTRSISSHSNVSSPTYKTPQPLPMSSSQNGEWSPVLASLATKTETKKLKK >CRE14241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:207877:218105:-1 gene:WBGene00060847 transcript:CRE14241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14241 MNEEEKPPLPSSPPPEPYEPPRGVSFALSTESPLYDYNLVTSYSSSTSSPSALYVTNEYQRYSPSSPGIPLIPEEQKPTTFSFIESLFLPVSSSNSSWQYPPSTTPTPPMIIEEGIVKNGSTHPVSPRSIANSLDSLSECAQHHQSDVQNANTNGDRSRSLGDLLSATTQDGNDSMRNGSYDTLVSKGGNGLTDSGIGAGLLMMRDEMDIMDDNNTSPSSPDRIPTDISSPDSFSYPAVSFASYDPVGAGNIALSYSNENTNPLDSSSRGHSSDDRKHSELPAISEESEHEQSIHIPYNYQLNHQNPHASSVGPLPSAIDRHREVVEHTYPMFHCKSAPSTNSFINNNIEHRRVVSDDVTEITRLKRSEEMENMDKIGRNSFEKRSASSQNLKKGRCKSAMVVFQGELDEQEDSEDEDNDVDGYSKDNEMLILDKGGADERYRYAGASKANQSLRHYDNHANLPSISSSEPPPVRIHRKVSAASAASSSRSSPYKASDQSWKDKMPPFGMVGHTMYSPQSLPTRDAVPRSPFDGSGTISGASGVISGGSQRLISVELPTGGETETDGTTTSPDSTSPLYTTPIRPRLLQYHNLPSPPALLATSEVTEDIMPLSEICNNNRFSISSSINTDHSSPASKSQTSNPNNSFVRRSLRKIMKRGSVSTTTLGTEDGGLRTGSLKRRASNASSSFFASAQAHLRPRPKSLKKQRIGKNKKEKSGSDTFTFGNLFRKKPTASRPNLADIISDLDQRSTTSRQMSGGGSLSVGATNRRSTSATILPMSGGPNDPTTPFDSASLDGRLK >CRE14242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:219920:228291:-1 gene:WBGene00060848 transcript:CRE14242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lev-11 description:CRE-LEV-11 protein [Source:UniProtKB/TrEMBL;Acc:E3N1P0] MDAIKKKMQAMKIEKDNALDRADAAEEKVRQITEKLERCEEELRDTQKKMTQTGDDLDKAQEDLSAATSKLEEKEKTVQEQTSLLDVLKKKMRQAREEAEAAKDEADEVKRQLEEERKKREDAEAEVAALNRRIVLVEEDLERTEDRLKVATSKLEQATKAADEADRFAEAEVASLNRRMTLLEEELERAEERLKIATEKLEEATHNVDESERVRKVMENRSLQDEERANTVEAQLKEAQLLAEEADRKYDEVARKLAMVEADLERAEERAEAGENKIVELEEELRVVGNNLKSLEVSEEKALQREDSYEEQIRTVSSRLKEAETRAEFAERSVQKLQKEVDRLEDELVHEKERYKTISEELDSTFQELSGY >CRE14243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:231504:234175:-1 gene:WBGene00060850 transcript:CRE14243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exoc-8 description:CRE-EXOC-8 protein [Source:UniProtKB/TrEMBL;Acc:E3N1P1] MGSNEDGLFQTDAFSPSDYIRDRLKGVKIGDETRKLRQLRSEMSALNHASQETLKNNVFRNYQQFIDSSKEISHLEREIYQLTHSLIEQKQVIENLLMMTDDDKNSIHTVSSHSTTTSNTNPIQILMQKMEGIGGILNNMSTSEKVILHGEMEQFDVETKKPVQKCMLILLSHRLIIGNINAAGKYVMESTLSLNSVAPVNVKDRESGAANAGKVLKLLIFPESRCYLCESARIRTLWFDELEQAKREILHKGSLVRQATIRGKRQTVKERKAAAVAATVIEEKSTFQEEDEDVGDEERSEFQHNASRFRKVTKYLDDNDISWLSELPAELDDCIAHRDLDQAVELIHEWKQCPTKDAVIDIQLQMRENTIVQLLSDDVSRPGAVHGGPRAMKKARTLLTQLGRGTYATDVYLRRRSAMQRNAMRDVTVSEEPISYVKQLCTLYGNAVSDIANEFRSMPQYYCQVLQWCSYELSTLLNLIRRHVIEVAPAIAVIAYTWKNVMHTMEDLTAIGVHLNFEVNRLLSTPLERALQGNFENICDAVKMRISEEKWRPYVLDSESALNRLVEELSDVGINVEWAISANDETKSSLNVSQNVVHFARVAHTLSKDLAPLRLSPIQVLCEVYADELWKMYLQHLSDNIRDGAVFAYSTTFILTMVLPVCDKILYGEEGELIRLIEQFPSLAPYADPSDDEKQKDDEEVAHV >CRE14209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:234379:235759:1 gene:WBGene00060851 transcript:CRE14209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14209 MHIVEVIVGHSSTRLPPGRPDGHTHKWTLFVKPANREYEDFLDTKLIQKVKFKIHETFEQPERLVKKPPFKITESGFASFGAVVTIYLNLPNEKPRSIPYELTLFTGDHDVQNEVQKLAIRSEDVPQAYLEQIRRYSKSKKRKASMISSSNDEKSPQDNHRKSQKMTPSEDEKMEKKVKKRDMEFDEQYEKKKDKKEKKEKEVKEPKEKVKTPDELTKKLNECEDPYVIYKASEFLLSLPTTTLSSTTFNLSYDLTKCDTEALLEIGRILKLKKTKK >CRE14210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:237129:239158:1 gene:WBGene00060852 transcript:CRE14210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bath-40 description:CRE-BATH-40 protein [Source:UniProtKB/TrEMBL;Acc:E3N1P3] MSDRNIADGRSDHNYLATKPSCSSTRRHSSALHSNECMTNVESVVLTQRWTVSNFDSLLKLSQPGSCLRSTVFKDDAVPEACWQLCLYPGGKREENANNVSLFLKMSATSPSKEVILKAEYRFYFLDDNEEPKFSNVNVGEFHAKPPKGGHSWGLRNIPTAKVQNSIRQDKSLVISCHIELIPDVSKVPCKRVPIMPSIKMPFSQVPTSYVERELDMFSTSEGVDMTIIAGPPDGDRQSFRVHAYKLRAHSDVFQMMLSHGQMRESQEKKIEILDFSPVAVRAMLEFIYAGVIKSEIDVYQAVDVMQIAEKYQILALKMTCEQHLLDRLSVNNVLECITHAERYNTDVLYDACIDFAVHNRQTIMALPAWRVFIQEEPMLANNLLEKMVNANDVSPPTKKSRV >CRE14211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:239306:241517:1 gene:WBGene00060853 transcript:CRE14211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-riok-2 description:CRE-RIOK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N1P4] MGRMNVSMMRYLEGDHFRVLIAVEMGMKNHEVVPLALVSSIAGIHRGGVLRTLNDLCKHQLVAFERSKKFDGYRLTIRGYDYLALRALCSRDVVGSVGNQIGIGKESDVYVGGDPELNDLCLKFHRLGRTSFRKIKEKRDYHKKRKSASWLYLSRLAAAKEFAFLKALQERGFPVPKGVDVCRHLVVMQLVIGQTLCNVNHVEDPAALYDRLMALIVKLARHGVIHGDFNEFNLIMLEDERVVMIDFPQMVSIDHANAEFYFDRDVTCVRTFFKRRFDYESEDWPKFDEIERKGNMDVLLEASGFTKKMALDLNKAYDDGNFLAHCEQELRTEKQEDEEGEKEEDSDSSDSESEGMEAIQEDEEEKDHEEVQAQVKSVKQQKIVLCQSTRFNDWLSDATTLLDNVDLKELKTEEGYDDSDLPDKINHPPGVTARKESESSDNDDEQEDEEEEDDDHVAVEEQVAKVVKKKRRGVVQSGARSVASSAATFSAEEIKRKLALDKKRNKEKIRLKVKGKQSAVGRNRKDNKNVIAEYAGWI >CRE14212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:241994:243057:1 gene:WBGene00060854 transcript:CRE14212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hprt-1 MTHVRAVIPDDFELPVEAFDIPLCYQGDLSGVVIPEGLVRDRVRRLAKDINAEIGNKPIALLCVLKGSYKFFTALVEELTNARSACTEPMTVDFIRVKSYEDQSSTGQVIEYQQTHNVQLSTFQIQIMGLSNLDELKGKSVLVVDDISDTGRTLAKLLTTLKETGVEKTWTALLLSKRVKRVVDVPEDFVAFEIPDKFIVGYGLDYNQKFRDLGHICVMSPSGIEKYKTH >CRE14213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:243295:243620:1 gene:WBGene00060855 transcript:CRE14213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14213 MEKKNEQHSRQKFIEEQLAALKQEEKALNDSRLALLNQKWQIQSEHEKLKRKIVERDEMQQAQAAEPGTSGI >CRE14214a pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:244854:247727:1 gene:WBGene00060856 transcript:CRE14214a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ero-1 description:CRE-ERO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N1P7] MREPFHLVLLITVLISISDAQFEDGRLCFCKGDEAVEPCECSQLRTIDKLNNERIFDKLQKLLKKDFFRFYKVNMDKTCPFWADDRQCGTNQCGIAFCDDEVPAGLRRRNAVNMVDEAVPTSSKEATEDKKESESEECVDAGNNIDPMDRTLRDDEKRQLDAMDHHDDGLEDKFCEIEDDESEGMHYVDLSKNPERYTGYAGKSPQRVWKSIYEENCFKPDPKFDKNFLINPSNFGMCLEKRVFYRLISGLHSAITISIAAYNYKPPPPSLGQFGSQMGTWFRNTEMFAGRFGTKWSWEGPQRLRNVYFIYLLELRALLKAAPYLQNELFYTGNDQEDAETRKAVEELLEEIRSYPDHFDESEMFTGVESHARALREEFRSHFVNISRIMDCVECDKCRLWGKVQTHGMGTALKILFSDLPHSHYKQDASKFQLTRNEVVALLQSFGRYSSSIMEVDNFRKDMYPEGQETTKKKDTRRNNNKIDL >CRE14214b pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:244857:247727:1 gene:WBGene00060856 transcript:CRE14214b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ero-1 description:CRE-ERO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N1P7] MREPFHLVLLITVLISISDAQFEDGRLCFCKGDEAVEPCECSQLRTIDKLNNERIFDKLQKLLKKDFFRFYKVNMDKTCPFWADDRQCGTNQCGIAFCDDEVPAGLRRRNAVNMVRRSSSHENTHENHKNHNNNRWNDSATIAVVQVDEAVPTSSKEATEDKKESESEECVDAGNNIDPMDRTLRDDEKRQLDAMDHHDDGLEDKFCEIEDDESEGMHYVDLSKNPERYTGYAGKSPQRVWKSIYEENCFKPDPKFDKNFLINPSNFGMCLEKRVFYRLISGLHSAITISIAAYNYKPPPPSLGQFGSQMGTWFRNTEMFAGRFGTKWSWEGPQRLRNVYFIYLLELRALLKAAPYLQNELFYTGNDQEDAETRKAVEELLEEIRSYPDHFDESEMFTGVESHARALREEFRSHFVNISRIMDCVECDKCRLWGKVQTHGMGTALKILFSDLPHSHYKQDASKFQLTRNEVVALLQSFGRYSSSIMEVDNFRKDMYPEGQETTKKKDTRRNNNKIDL >CRE14244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:247983:250675:-1 gene:WBGene00060857 transcript:CRE14244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14244 MMSSQTKVILPIQLLLVSLISLSNCLLHVQKNEIRTFDSLDGLWTFVREPHNGGDIGIGNQWNTLDLEKFNNATVMPVPCAYNDIGTSSELRDHIGWVWYEKKEFVPLRDRNMRHVLRFASVNYFAVVYVNSEKVTSHTGGHLPFEVDVSSQIKFGAENKFTVAVNNTLSWSTIPQGDFNYQRVAQRNISGRILSRLPDGAVKNVGNFDFFNYAGILRSVQLVKIPSIHIQNINIVADHTGSFYFETAASSLDGVKVEVKMFDSDGNVVYSTNQTKGEGKLENAKLWWPRGMGDPNLYNLEISLISDGELVDIYRETFGFRTVTWSDSQIFINSKPFYCLGFGMHEDFELIGRGFNQAVMTKDLNLLEWMGGNCYRTTHYPYSEERMFENDRRGIAVIVETPAVGLKGFSKANNNLHVKMLTDMIDRDKNHPSVFAWSLANEPNTNKKESRAYFKTLVDAAHGIDRTRPVTTVYGPTNFDNDETADLMDFICVNRYYGWYIDMGYIPWINQSVYWDISLWRETFHKPIIVTEYGADSIPGLNQEPSVDFSEQYQNEVIQETHHAFDALHKDHTITGEMIWNFADFMTGMTTTRAVGNHKGVFTRSRQAKISAYTLRNRYLKRFDQSNLEIWD >CRE14245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:253363:254950:-1 gene:WBGene00060858 transcript:CRE14245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttm-5 MGQSVSRDDFIWTLTEQPHMSRREAIVKKYPQVKTLFGVDPSLKYVVSSMVIFQIFMCWLLQDADWILILLEGYLCGGIINHAMTLAIHDISHNTAFGNKHPLKNRFFGMWANLPIAVPISISFKKYHVEHHRYLGEDGLDTDVPTTFEAEFFTTSPKKLLWLALQPFFYAFRPLIIYKKAPTDMEILNAVIQISFDLAILHFFGLKSLIYLLFGTIISMGLHPSAGHFISEHYAFKEDQETFSYYGLWNLCTFNVGYHVEHHDFPYIPGRDLPKLRAMAPDFYENLLQHTSMMEILTEFVMNPSMGPYARLKRKPRVDQQFYGNYQLFEYVEGFLHHIGIYRLQKFAGNVFDLNNNNENKKLN >CRE14215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:257379:259584:1 gene:WBGene00060859 transcript:CRE14215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14215 MIPMTSEFDQYVDYQLIFHFTNMSESEQYKGKIMEIDSLGWLNGKQTIHHKLPYFIANPSLRVPHISKEWCDSKDFFNLGFRIHQTTRELGLPYQVKCATCHPIIEGLNNIYYLFPERDFTQMLTKLSIGTRTLDNHQDYCNLKDCEQNPRVAVFQKYLISNDLDFGKWTRWSGEHATNEENREIMGTPNGELAIHSRSSDGFTTMCGMELNGNVVSSNIFVNGDVVGPDNGGLFTYQNYESLVITVNSTKDNNLNLELFVSWDNWGCCSACCCPAAICGHEFQTTSSECENLFSSRARTGHLSVRIINRETTNLKHQSLLELHKLLSIPPYTYRGIPVFSSLLKKPILKFSTDKIKEIMIPKFVKDNKNNRYVFSSGMFVESESCKSNIQKTDCLEWARCHNISLAESDVESSENVADACQLVHFVDVLVGTEHMKVTVGEHQNLRIRMDSEVHDMKKIKAKWRVNLRKPKKYDKRRPCLLQGVVQRDDEILILNFAAFDLKIDLILGDEKVRITFVNARAFENDRVVKYSIFYWALAIVAFFLLLIAAVIFMHREKTRRLERVIKSVTE >CRE14246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:259652:263481:-1 gene:WBGene00060860 transcript:CRE14246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ekl-4 description:CRE-EKL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N1Q1] MIGDVQQILQGSEVPKDVVKKTPKPSAVRKPEGMKRELFNLIKGKDLTAVMPTDVKKTYKQKFQAIFIIIFTGFRSVRKYKWMSFTNEARTDGLMLHHWVRADKVEAMNPYPFSKFNKVIDIPTYTDEEYENHLKIAKWSRGETDYLFDTCRRFDIRWPIVFDRYDCKMFGVNRSVEDLKERFYSINYELNLLRDPSSSPTAYDAEHERRRKEQLNKQWNRTAEQLQEEEDLTAELRRIELRKKEREKKAHDLQKLINMTEQPASPSTAGFPGAATAKRKNQFRVKGGSISMAVGPLFNPLDISVTALRFSEFKSSGAHFRGQEMKLPTNIGQKKLKNIEVILEKCKMEMNPVASESIMKTYNDFRSQVMLVQELKSAMQTAEYELESVRTKMQEHGKDFEIDPRFRISQLPEGGLDEDLVGGPGQAATSRRITSYIDTSGTKDAATIQSAAARKRKATATTPTLTSTPSSSSLADPKRPRKV >CRE14216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:263930:265064:1 gene:WBGene00060861 transcript:CRE14216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-20 description:CRE-RPS-20 protein [Source:UniProtKB/TrEMBL;Acc:E3N1Q2] MAVAFKNEKALTDNSEHRIRLTLTSQNVKPLEKVCAQLIDGAKNEHLIVKGPIRMPTKVLRITTRKTPCGEGSKTWDRFQMRIHKRLINLHAPAEVLRQITSISIEPGVDIEVTRAD >CRE14217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:265180:266466:1 gene:WBGene00060862 transcript:CRE14217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14217 MNSITPNRQQSINAAIDKECPVCHCEMVLPTAIPACGHKFCFICLKGVSIAALGGCPICRGPIDAGIFEKPSQSLNLKMVLTDSGAPSTSNATDRDVKQEPVDEDVKPDVNALNAAMNMPAPSKMYWLYHGRQQGWWRFDPRTEKDIEEAFVSQMPITEVTICGQSYIIDFAKMSQYPKTQRGSTRHVKRVDSDEFDRMNIKGMAGVYAAT >CRE20675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:4006:9935:1 gene:WBGene00060864 transcript:CRE20675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-catp-1 description:CRE-CATP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFA3] MNKNISESQNCIAPSSQNGSAMLEHHGSAGTSMCSSSDTTFTKLSEKAKRKREEKEEKEHGMSLIDIIKTSFVEHTLTVDQIKDLYPDSYVFPQVAERSDGLHSDEARKRLKDGGLNHIDTNSEPSLSRFFLAQFHFKFWILLIGAAILSVMTYFIHMARGYNEPLNMYCAIILIAVVIFMGILSYWQQAKAKKVLQENRDMMPAMSYVIRDCEEQEIKADEVVVGDLVYLRLVIIPSFKISTSQSFRAGYKIPADMRILQANCLMIESNDATGHRTVREYKADPVPPTISVFDSCNVAFMGSYCTEGEGIGIVIRTGKFTVMGALAEQHTHIPPPSGRLQTELQNFSTFITIVALSMASGVFFIGCFVARFENILDHFIVGFVVIIVANVPQGLPATVMSQLRIIARRMAQKNILIKKLELIDELGAATVICADKSGTLTMNKMVVTDLWYNSRLLTGSAVDMKHPHLRAMKSSMKCQERLEEPLPDILTVMSVCNNGQFEHVRRSMRRVSTMRAMQKSASEAMLSAHMKKKFTIVDTRTGQVSEAGGLNKERTNQVSQSVAGESGKKSVKAKKNDIFGVPSDVALIQYVELSASVEGIRQRYQTVFEIPFNSSRRCQLVVARCLASDFPVTAESVENPSEGQSRFMIFTKGAPEVILGKCSQARHGKELKVIDEKYKRECQSAWEMLGNEGRRVIAFAQKSFNADENTKFNGQEHDGDLVFLGMAAIMDPPRPETAAAIEQCKVAGVKVFMITGDHPTTATAIARQIGLIGTTNLVDAEKPRNSWAVVTGDQLKNYKAQDWNFLLKNHNIVFARTNTEQKLEIVQEVQRRGETVAVTGGGVDDAPALAHANVGIAMGQTGSDIAKQTADIVLLDDNFASIVMGIEEGRLLFDNLRLSLAYTFAHLWPEVFPIMMSFMLGLPHGLSPLQILSVDLASEMPPAISLAYEQPENDIMHTPPRSRTARLLSKSLLVYAYILAGFGITIGCIAAYLSVYWYEPLSRCLLIDFFSSYHNIPIGDILFTAEHHWKIGAKNFTTSNGLTFDEQQQLFIKGQAAAAWQITLVMSQVFHLYNCTTRRVSVFRHGLTNIVSVFAVIIEVLLLFMFVYTPVFQYIMDIHTPPAHVWAIAPIVGLYLLAFNEMRKYFIRNFPKNKLVRLIKW >CRE20733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:10182:10578:-1 gene:WBGene00060865 transcript:CRE20733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20733 MLRLATSLRSTLITSALRRHSACCGGDHQMSEAEQKMSKLLTEKIEGCSRVEVHDVSNGCGSMFDVVVEASSFQGKSKVAQHKIVTSILKEQIKSMHGLTIKTKAA >CRE20734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:10938:14114:-1 gene:WBGene00060866 transcript:CRE20734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-13 description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:E3MFA5] MRRRRSTKNTKKMAKDTGEEVGDDWIPSTVMSGPGWKARGDRKPKEKRVPFNRPTPYQIYEALPFVRRYFMYWMKHTFDKEKLFINTFQPLKHKPYYGVPCGGIGCGAIGRDFRGGFCKFSLRPGLVEHKVDVVAADQFIISVRENDTCIYQKVLSAADVHRSGNQLSTWDFTFPKKNVNYRGLFPRSWTTFRIPELGITVTIRQVSPVLPHNYEDTTYPVCLFVIDVENTTTSKEYEVSIAFTFRNGTGNRRWERESECSAVKFETPGPSDSESNPGQEKVTGISLYHTISSMPCTYGLATTHKNGSILSVCERFDPARNGSAFWNHLQQTGDVPSCVRILHVFFHKINSFQEEECAVNAREMAVAVCNRFRLSPGTKKTHDYALSWDMPKVHFGSVARSYHRRYTRFFDAAEAGTAADSLCVRALHLKNKWEEEIEKWQLPILNHEKLPDWYKSAIFNELYFITDGGTVWFEFDEQWAEHEMHLSEYTKEKMKSIGRFGYLESWEYRMVNTYDVHFYASYALAELWPQLELTVQSEFTDQVYHSIEKPTRFHMEGDWANVKTASRVPHDLGNPADEPWIATNAYVMHDTGKWKDLNMKYVLTSWRDYVVLSEEHQEFLFHTWPAVKMIMLEALENWDQNGDGMIENFGKADQTYDAWQMEGVSAYCGSLWLASLRVSIEMARLMGEEATENLFRVTLNKAKKVFIDTLWTGTYFRFCERSRSRETVMADQLCGYWFLQSVSPEMVDDLLPNHMVRSALDTIYRLNVCRFGNGQMGAVNGMKPNGVVDREYIQADEMWTGVTYAVASLLIQQGEVEKAFHTASGSYLTCFEQTGLQYQTPEALYESKFYRAIGYMRPLSIWAMQWSLKKHCGLNTSQERLPKLPTASTSSIQEKEEDAMKRMTVETSASEDSSSLGYVSDYREESKISTRIR >CRE20735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:16616:19657:-1 gene:WBGene00060867 transcript:CRE20735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apg-1 description:CRE-APG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFA6] MATAVELAIEKIDEYKSKIGRTLGTPMRLRDLIRQVRAARTMAEERAVVDRESANIRESFRDDDSPWKCRNIAKLLYIHMLGYPAHFGQMECMKLVAHPRFTDKRIGYLGAMLLLDERSEVHLLVTNSLKNDLTCSTQFVSGLALCTLGSICSAEMCRDLANEVEKIIKQNNAYLKKKAALCAFRIVRKVPELMEVFIPCTRSLLGEKNHGVLMGATTLVTEMCERSPDVLNHFKKLVPNLVRILKNLLMSGYSPEHDVTGISDPFLQVKILRLLRVLGKDDVRVTEEMNDILAQVATNTETAKNVGNAILYETVLTIMEIKSESGLRILAVNILGRFLLNTDKNIRYVALNTLLKTVHVDYQAVQRHRNVVVECLKDPDISIRKRAMELCFALMNRTNIAIMTKEVLIFLETADAEFKSECASRMYIATERFSPNHEWHLDTMITVLRLAGKYVPDEVVSCMIQMISANDQLQSYAVSQLYHAAQRDAINAQPLLQVAFWTIGEFGDLLLQPTDVDSTPISESDVISVFETVLPSVLTSLMTKCYGVTALAKLATRFHSTGDRIEALVRMNQAHIQLELQQRSVEFNVILRLGELRDGLLERMPVITHNSLNAAAPSMIEDDGKKDDLKISSAEIKTFVVSTDATPVTNGDLLGDLNLGSTSDYSADLLGGGGGAPAAPAQQQQHSNSNILDIFGDSSSLPSSNAGVADLDFGIPAAKESAPTYQPVIAINSKGIEVQIQVIESWRNEQARLKMTAYNYTPRTLTNFNFLAAVTKTFDIALEPSSSPNIEPNEHATQFMTITRKVPNTAARMRTKISYIVDGSEQVGDGVVNEFPGL >CRE20676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:20097:22027:1 gene:WBGene00060868 transcript:CRE20676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20676 MTTEESSQDSMSQMSEPEPESTSKLSLRAERSGIGSLLSSLRGDQLASEINQLSTSAEIDKEKGVRNAPEVSEASKIPEASETTDAQESSEAPTEAPDRPLNRKEKRRLQRLQEEKNQTGEGNSAKRIAPEATIVIEAAEIPTGLKKLYCDSTSFESYEAPFGYKSTSKNNFVHFSIQNNDGTRAIVASQDRCIRMYSLDKNEVQWKHNTGGLVLDTYWEHSGKGVFSTSRLRPIQLFETETGDIIGAYNGKDSGDNIKEAMCVGQSENTLIGGFKNHFQLWDIEYTGSALSTIKYYDQSFNTGITGISMSLVCHPTMPDLFGAVGTTSLLGIYSKKWGNAVSTMEGSSRGYTNCRFSLDGVKLYASERCGDIHCFDTRMNMMTQILKREMTTNHRTRFDIDPSGRLLYSGTSSGAVVVYDLHDFNEEIQPVLSVEHVASRCIPCVSVRGNKMVLCTGQRVFPDDPLLKEDDDVEMMDTTVVATGNSVQVFEIL >CRE20736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:22168:26131:-1 gene:WBGene00060869 transcript:CRE20736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eat-18 description:CRE-EAT-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MFA8] MRSLERIVETRIELLEWDSKETKEDCARCRALDCDIIFALLFTIVIACLLVLIMVFWLKGVLQYEDCDIEYVDIYSEVESVDEDILSSALGGRYCGTVAPHVRISLRHVMKIVLHSRSTNHEDSHGFRAKYSFIPEDKFIAGEPVSGKKCSYIIDSSDRKIGTLYSPTYPGTYPHNMHCSYLMKGYRGERIRLFFTDFDIFFGGEHCPYDSITIFDGPTPSSPIIRKVCGLQQRMEIYSMTNSLLIHFNTTHPAKSDPRGFIMDYEFSSRFVNIDKLLNKQRGVTHIRGTECDVRVESNRETTHTISSPNYPEVYPANTTCTYIIHGLQGEQNLEKVILTFDSIAVLSFDTSPATAPPSVDDIACPSAWVGIAIGEGNMKAVMSSTDDSIFDVTLCERIPSDSPLLGPYISEGPRMVMQFGSTDTRDDRITPIGFKATIEFKTDFGVTGESLGTSNECRFRFTSSTGFFNSPRYPANYPLDTNCTYYIVGQPGREILLHFEQFALSGDNDNNCNDYLDVYDVFVKNGKEELKLKERYCSDTFPGPSVSAFGSHEMRVVFTSGSSGTANGFKALFEIRTARKEDVPHGEAHIRRGAYRCGSVINSTSLKPNGLIISPNYPVKYNKDVHCDWQINVKEGYQVLLKMEAIDVEGEMTSDSASCQKAVIRVEGAPRTEYCGTKREFFEAYLSPTNSVRISFLTAPDKVNGLKGFNLSWTEVKNLSGKDESVCKSDSLYLCTYSKLCIDAKLRCNGLDNCGYGVQDDTDEQHCSLKEKTADRTVVIAAIFCGGIFVFICGFFLYLFKKKLERKKKSKRKSDTKHRQRQPYRQQKPMHKQHCDSELSPPATSRFVHHDATGIMPPIPLHQIGSSSRDMYS >CRE20677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:30246:31642:1 gene:WBGene00060870 transcript:CRE20677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-95 description:CRE-UNC-95 protein [Source:UniProtKB/TrEMBL;Acc:E3MFA9] MFPSLHRLFLSNMPTIHSSTILQMTISPQPPHQQFESYQWTTESRSSQQRNGTMTPSQDGRLSAIPDPVERHVARWRSESRNSNKDKVFRNDEEFSQQDEIVNGTLTALKNDVEQTTEIIRRKQEQMRMERRQFQTEMEVNGRITIDPTDDWLAARLKAVSADDMNRQLDTLKQNQRQNAVTDTLAALVYDVNATTEVLRRGQRGRDGEDGSKKRKEEIEYTLRLTPAPEEQIPQRPKIPEDDHLEKDDYSRDYGLQMTEETDSLRRRRARSTTPRRTLHISGSPPPPPAAVCAYCSEEIDGAILTALAPNSERAQKFHTYHFMCTYCQKALNMHGTFREHDRKPYCHDCFYKLYNGLQYAPDDHQASIEKLI >CRE20678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:31939:34189:1 gene:WBGene00060871 transcript:CRE20678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bbs-1 description:CRE-BBS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFB0] MARSIEENSRKWTAPVLMKSGEVFCPSTCVTLGPIYNESESKLIIGTGGHRGMNMKLRVFKDVDQDEECTLAESPTAIMHFVNEARAVPTIAVAAGSSLLVYKNMKPFYKFTVPSSPIIPTELEAWKAAASNKINPNTLSTVLERLVTDVSFSKLTPMSQTYILSKSNEDKLALIEKIGGKILNSGTITCIAKLTKSAADIMDILVFGTEHCDVFLIDGQAFTIIQQIKLQSVPVTIRTYGMSGYFDVDYRIFVHTRDSLIFSIKRNDTEYKPIIVSQSMITSMILHNKSIVYTTTEDHITFCNFKGKKTGRAKCKDKVWNILLKTRVGITIFQVKMIEPFYYETKQLSAVIAVFDKELRMYNDSYLLDVTKFQKPLAWVKYGCYGREDSSLIVCFKDGTLGIQIFRRTANFDIRKDFNEVPPAHALKLQIPKKTKIFIDQTQRELEFSSKIHNAYQKHLFNMKFKVAESYLALASSATSSVSTTSSLPIEIAVDINGFGPTHRMTVRLLSSSRQNLYDMQISIICDPEVYEFETPLMMVPILTPGHAYTYTTLLTCKDPERATTSEVRALLIHEKRATPIVTAVIKMPFSEFPLD >CRE20679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:34398:35260:1 gene:WBGene00060872 transcript:CRE20679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ech-7 description:CRE-ECH-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MFB1] MITLTRTGAAENVALIALNRPNALNALCRQLMQELSETLLKVEHDSSYNVIVLTGNEKAFAAGADIKEMAKLEFADVFQNDFFNNWDTLSHITKPVIAAVNGFALGGGTELALMCDIVYAGENAVFGQPEINIGTIPGLGGTQRWPRFTNKSIAMEICLTGDRLTAQNAKEVGLVSKIFPTQQLVSEAVILADKIAKNSPLIVKTVKNSVNSAYETSLNQGLQIEKQLFQSTFATNDRKEGMTAFAEKRGPKWAGN >CRE20737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:35400:38699:-1 gene:WBGene00060873 transcript:CRE20737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20737 MLAQDFVKKDDRNFTPTQSSRSASYFVVLVLTKILRCIGIFFVDILAKSSHIVNLLWLCKIICTCILFPLQKPLSAGKSLKRGLLPMILKLSLLNGVIEILWFYGITLCGPLRSVLVFELSSTVLLSAIVSFFKGGAVSTTSKTRGFFLLIVGFVALFLMDKDGTIEDPHHTTHAHHSGLNHLFYHLIGLFGMADHKGGLCILILSLCLRTGYETTFRHLAVEVGGAKRLYTLVTAGSAVILTPVAVLSWALSSSSIASMGFFEYSILLIIVAVFVFVLDFYAESICFQHVADPIMAAARWSSVTMFACAFGLAYLWYGHQNLGDHALTGGVSITVVCFILGEFLKNFNQIVVNGIEKLNSFFSASISLTHSNAPKHRGGQFVGISNTGLPLFTYGEAFLQKTSKSLMRFMKDTLNEILANNDSRRIFWFLCVNLGFCGIEFLYGFWTNSLGLISDGFHMLFDCSALVMGLVASVMARWPPSKHFTFGFGRVEILSGFINALFLCVIALFILIEALERLFDPPNINTDRLLFVAVSGLLVNLFGMYSLGEHGHSHGGSSHGHSHGGGGSHSHGHSHGNANMQGVFLHVLADTLGSVFVIISTLLIQWFGWVWVDPLCSLILSLLIIGSVYPLLVSSVNTLLQDIPEEDEFEYHVNEILEIEHVESYSNAHMWQHNDINVASVHVQVKDEANAQMVRHRVANILKSTGAGHSTVQVEKKAFAHRIQQLCPNYKIGYTVVRGSVIRDKTQHGHSHDDGHGHSHDHSGGGHHH >CRE20680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:39124:41083:1 gene:WBGene00060874 transcript:CRE20680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20680 MKSQLRIPIILLVSSFFIAHKAEKIDDSIHYYISFTSDSTFIKDPPPLEDSELSDDYVKIMSRNNERFVCKLPHIGPDEKKAIDYSGPTAGKLLETMLYKDSMCSFLIDVYWTYQVCHGRYVRQYHEDKNIAGHVALTEYFLGNFDSALTASTNEHVKPQTRRIENEDYPYYSVTYNHGTTCDVTGKPRTTDVVYICVAKVQHKILSVTEISSCHYEIVIMTDLLCRHPEYQLSEKKDHKILCWNEDAKNENEAKPKTLQRLDDFHDSTFKREYTINNEQEHSKLEEREDEGVIDAANFEKLREINQHGYILSGVKRWGNHKNRTFSEIILDFMNFSIRNFRFKTKESLANNPAVVHHTVNRIITGEDCIVGGTGWWKYEFCYGKHVIQFHEDANGQRSDILLGLFDEVVHKEWVKLDPKNRGAIEGPDQIHQIRHIYSKGDICDETQAHRDVEVRIRCATADHSALSFSMHLTEPKTCQYVLTIDSERFCEPLQYADEFGLIEIAPVDGSLSRSPPALMGEPVEEVDNVDGHVSDDEEHEEEDDDDEFKIKEEL >CRE20681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:43005:44168:1 gene:WBGene00060875 transcript:CRE20681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20681 MNFVFEQVVVPHSSFFMLVNSFFSESFRMAPLKVYVASATANPETKYRVQRTLMILDGLGIPFDSIDITKPEHAEQRRFMRENASKKGPNGAVLPPQFFYEDEYLGDYEDFDTSVEADTITEFLRLLPDAIGNRIRVNDANKCVAALFSKSQNKGESNVPTNGAEKPEENTDGGTAAAKSDEKKTEDEEDEEDEEWDEDEAEGEDEEENEDGEKKKKDSEEVKKEEDVEAETTETITLATPKSATSEDVKKDSEEVDDEELEGEDEEWDEEEEEEEEA >CRE20738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:44785:49283:-1 gene:WBGene00060876 transcript:CRE20738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20738 MQASPPPPPTSSAAKMLYEEGETHDGLTIWTPSNPYRVRSSHRRARICVAITFGILMFGLGFSAGGFLHAIVFPDGSSSIIKIPSFLKSSDSFEVDNSTLIRLSDVGNSVELEDTTIVSEEREEEEDEDDDKGKKVNSKSSEDEEDSDSDSNGEKENEEDENEEEDEEKKKVKIEEESNKKKRRGKKEPKVNSDKSEHEENEVEETEKEEEKEDENEEESEKKEKVEEKVEEEEESGDKFVKANKECTNITWYSSRLPRTAEPIEYELTLHPNLTNGEVDAEVTIRILIKSETKLLILNAENLEMVSYEISKKGSKLKADFIKCEVMTQWAWKFGKRLHKGDHIKLKIKYTSQMKSDLQGLYFSTHLGTDGKKTKSAATQFEPTFARKMLPCFDEPNFKATFQVSIIRNANHIARSNMNLLMSKEYKDGLIKDEFEKSVKMSTYLLAVAVLDGYGYIRRLTRNTTTPIEVRLYAPEDMLVGQAEFGLDTTIRALEFFEHYFNISYPLDKIDLLALDDFSEGAMENWGLVTFRDSALLFNERKASVVAKEHIALIICHEIAHQWFGNLVTMDWWNEVFLNEGFANYMEYKCVDELFPDWSIMSRFYAENLAFSQEPDGFLSSRAIESDDDDSLLNLFDAINYHKAAAIIHMIAEMAGQKNFQSALIEYLNKYAYDNAIGVDLWKIVEKHANLQGTVSIPDLAKAYTTQVGYPLITVERVDDGILVHNQTRFLFAEGDKAEEKRGDWKWPIPISYRQDSVRNGAETVYCNICSVSVTWETTSNDWLLLNTGGVGYFKVLYDSETYGKLIKELKNNHSAISPIDRSMIIVDSYDLSKTSLLNISVYMDLLEYVEKETDKMTWSIVSKQLRTIESLIEDSDYLDIFQDFQRSIIMKLYESLDWDEQGATPNQKRLQVDIFAVACRLRIKDCTKQAYQRYLKWVSSGVRNPEHHMIALMEGVKQGGTTAWERIWKAYKTAISPSEKNNIIGALTSTKDVTLINRILKYCLDGKIKANLIPRVFSYFALNQHTRNTVWKYFKSHFNEFHVILGKGSLMASCVKCLAEPLSTENELEELQEFLKSQKFEEDGQIKMEMTYEQIELNIQWRKLNEAQLGKWISKWDERRRTLYRRKRHRHNSRHIVSAF >CRE20739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:50895:54737:-1 gene:WBGene00060877 transcript:CRE20739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-src-2 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MFB6] MGSCIGKEDAPGATTPVHTSSTLGRDTLPSHPRIPSASGGIISSSTLTSSSGNVVDKNQNVAQSLTFVALFQYDARTDDDLSFKKDDVSFHLRLSKYIYDIQILEILNDTQGDWWFAKHKATGRTGYIPSNYVAREKSIESQPWYFGSIRRLDAEKKLLQNLNEHGAYLVRDSESKQHDFSLSVREDDSVKHYRIRQLDHGGYFIARRRPFATLHDLISHYQREADGLCVNLGKPCVKSEAPQTNTFTYDDQWEVDRRSVRLIRQIGAGQFGEVWEGRWNGNLPVAVKKLKAGTADPTDFLAEAQIMKKLRNPKLLSLYAVCTKDEPILIVTELMQDNLLTFLQRRGRQYQMPQLVEISAQVAAGMAYLEEKNFIHRDLAARNILINNGLTVKIADFGLARILMKENEYEARTGARFPIKWTAPEAANYNRFTTKSDVWSFGILLTEIVTYGRLPYPGMTNAEVLQQVDVGYRMPCPAGCPPALYDIMQQCWRSDPDKRPTFETLQWKLEDLFNLDSSEYKEASMNF >CRE20682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:60241:63979:1 gene:WBGene00060879 transcript:CRE20682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20682 MIILLSLFLLPRDIGSLPIEPKTLFLDPSPRRFDRLITSVERKIGESDIIHPSVCPSSCEHLHQAMTYIQNHTDANFSIKECSCICPMSRPAYVSTAGSCIDRLNECPNTIRWKSINSAIPVVQLQPHTIILPESELDFRSAGIRISPRGADEWNCGIRKIMYENLNTKWRAIAKERGIFQLTSSNGKPIVYFGGTEFDASFLVGAVVMIKLDCRTFSSPTDSPFCISLRIAGTSGTSSTFDHKQFEMEETGWRVESIYFLVIVALIVIVIIITFIVWNICWSMKKRKLISDFQMQFVQQYKNHNGSHHSSITEFEEIDDQEILQSHSQSLNRKRLIFSAEYFDPGQMTHPSPLAIQFLYDLRRVVDIAKERIRQRRFIPALPIILEDADNEKSYEDEEIEEKRRIQEPTTSEESLMNATTTPESSPRSEQKSVDSGRESKDDSEEDGDEVEERKEEIIPKAQTKVSDIVKSFEKSTKPTTVPPRPSYVPIMLNSSMRKKKQPLTTAPTTVTVEQPEPAFRSRIKAPTAFTERRSPRERKGYAVFPSDGTFNKSLPRRGKRNPSANSSQPQTPTN >CRE20683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:64719:66000:1 gene:WBGene00060880 transcript:CRE20683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20683 MYGRIVSRLTERVFDKSVWEGVHGGERAEPKDALRAVLLIVLFALTFAAGMVATFLKGEWARSHILSFVSCIGGGVFLGACLLDLLPDSIESFEKTKLATDFPVPLAFVAVGFLLVLSIDQVVKAARERNVFGNVGYHIHSHDHEQRLEEREHLHGEGGDEEVAQSGIGVAMLVLALSVHALFEGLSLAVTSDASQLLQIFGALILHKCIMGFCLGVRLVQANLTTPWIALAQFLFSVQVLIGGLAGIGIMKFISGGEQSFAAIVSSVLQAIACGTFLYITTFEVIPHELHNGKFRVLKTLFIYFGFGIVVAFILIFPEAS >CRE20684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:66702:73977:1 gene:WBGene00060881 transcript:CRE20684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20684 MFRYIDFILSRIISCITKCEVRIGGVGFVRLEDVTIRIAWLSIHIDVISLRRDAAHPTRFCILRLDDVRIEAEKDSGRTGETSRSSTSPGASLWIQRFTRIAQYCGLVVNRVHIVVMNTIPDCLLHVTIDELSLETFRSREGWQFETSCSLIQGKLLRRNSRSGSLLAEVSMPFQLSLDIDKDIIENVSLRISTPSFAFTDDFLHVLEGIVAAKNAGNKKSPKDPEDVIPAQPKNLIEKLIQLNVDMRSISIKYTVSCGAESHGGTENRYITTNIKQILATRSSFQVTELNIADQLLRSEIKLNSVQYEMQKEESDPGIRQIRSGIEGIHAKISFHDLQWWKINFEKEEKILKFLKRINDNSEKIEQQKMESLQNINTNISFEISDFCCDILDFDHHKSQAKMGFLSFDKVFSLPSESSESSESSESSVSQFPRYEVGVELLFFGTVPPDSPQFELHRWGESVFVGALIVQWSITQNLIDITVGCDDLKFEWSDRLARQIEGVLNGMMIERNVEQNSKPEVRRSDMKCVAIQVSVNRVAALVDVSNKSFGALTSSKLELVTENFQNDRLFKIEMIRGATGLRSGKDHIYLADIFESERIRPHNSEDEEEKHGSPTRRWSQLEREKNYKEERENREKGMYFKQFISVDYLEVSVDKEAPVIDKISLFTPNEIYLAWSPQLHLIGFHAFKSVKGTIQLLASSTTSKKPTRQVPRKKHIILTADDFVEVQIELARNHRMFWQGEKFNLERFDNQMRMSTEMLYILMNEQRVISVLNASIVVQNHDEMMSQSRDAFSTLQAKYNKVWNWTADKFHFRLPFDFNFAEIFTEFSNIIKWIKVVHEVKKSEFTENSPLPSDVRIEFHEVCLELEDDEFENRLKLSAQLKEDEVYESERREQLLEERLFNLKKTAPFLSKEMIETIKQTLFEKNSEIYIERWKMTEISDAPLFLSKWKGWSMRAFADISLHGTEKCIQMMKAFDPLSPIPEQKYSTLWARAVEFDVDEWTVTFKDYPMNYLDIKDLHFFGTLVAAESIADDGRCLRECTIPLPEPFPTHTVQRNMSPLKFYYDLQCASTEYNCTYGPCWEPCLSMISLVWNSISAPSIDPSQPLPFWDKMRFLLHGKLLWSSEKLVTTMLASNDPYNETETVEMLWEDFGLDWALGEIRIKSGLKIFLRTASRYDDSQILSLPDVRLKVLLGWECGGDPHEHHAVQLCAPHRLPHYSSTEEHDSYRQFRSSCVNVTLNFDVSPGSCTSNEKMPNLLLYSNTFRWIEQFLKSLTTKNRNVRRGKVFGNRVLLKPQLSKHFGKLQFSFSLPKVLVTYWMSHSSSYGFRVFSDGLQLTASFRQTVQHSSVNRVSVYKNISSVAPTFFLQEMNVQRRKVYSWSTHHMTCTWWATQIHVYGGESRPPSDGSPSEDTFLLGFGRVQYARETFPGKDVPLHKVTAFDLKMAWTAENRDACLTIADGVHRAHMLRRILSNDAVKTLNVHLEELVDTKPKDPTPKKEEHQKTHRRGYSVTEANPWMLTQLIDEVGTKLVAHCEQASDVPIDSLIGVHQSTMDDVKLINWQIDLFNSQLVLKGCERDGFLLVCAAKSQLLQNVSYCKLFISLISLLQFHRNVWKRSLLLSKKSWSAKLSGMQYFAPISLSASGTNKEKFRWLPREVIDDKTQDASGFADDFVQKFTAAGEAVGGVVQSEVPEKNSEENIQLQRIVSRCSCQIYFCYFSDELKTDSSEDISVPPQVDPKHQTLDADAVGIDVLTLKHNMLEATSNSEQYEMVVDIVNNLALFVDPNKKEMGEKRRRLRFECQLMGMAEMRAKIMRYQSELREIVSLGRYLERQLFYLNNQGNQADNSSASDQIIEYTEEQLVQEAEETKQRMLTVSEKLATYISSYKQRQVNQVKEYDEKSAKKGVEVVRRFEVCFEDCIWKLTESDGQIALAQTQIRNFLYTRTVRDSNCGDHLFEVGSVRITNLLPDTLYKHALHRDETHHSRQPAIRLYVRDMPPVGGISVKEHFELNIAPMVAEITHRLFDKMMRFFFPGRNIHTNDSLESEEDNSVSFFIFSHFQLPLTMFQTFSFTRKIASTLSMRSNKSASEKLMLQKSLYEKDDIDRMKERADKVNHFMYIKIPEVSFVVSYKGNKDKNLIDVNQFNFIFPLCEYHEQNWTWLDLALAVKQRCKRVLLQQFMRQKLLRNRISNFSIDPTPQGVSEDEKKRIAVGITTSDQKSLKAPK >CRE20685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:74755:75617:1 gene:WBGene00060882 transcript:CRE20685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-5 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3MFC1] MKSLLILAAVLAVGVFAQDDAKGPKVTDKVYFDMEIGGKPIGRIVIGIFGKTVPKTATNFIELAKKPKGEGYPGSKFHRVIEDFMIQGGDFTRGDGTGGRSIYGEKFADENFKLKHYGAGWLSMANAGADTNGSQFFITTVKTPWLDGRHVVFGKVLEGMDVVRKIEKTEKLPGDRPKQDVIIASSGHIAVDVPYAVEREGVN >CRE20741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:75713:78978:-1 gene:WBGene00060883 transcript:CRE20741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20741 MTRKRKIARRLTAPDRTPLQTLRFIGERLNHPLIINDLEPKTYRGQEVSPSPDVKRIMCFAGIPSCVLSSKGTGARENLADESAAHAMLDFFRLAVSPEFVNKLCACKDDDAVLELLPQVTPVFEQFDKAISVDFLSINRCTQLEMSPSSLLRGREIKKRVLVNTKNPSVGYELEASTTPKPNRKKDKLSPNETTQDESNNGTSNELITISSGDSRSSSCSADIIIVSENIKVRKMEPMSPIKSPSPQVESMRKVDDAQKSCMAGEFVRQSRDRREEGIDSSTYFGQINTSNQGPADVFCCLPDPNPVRYNEDPYSDDSEDEGEKAVPSKRARTDVDETDNEVIILNTSSASLNPLGEASTSGESVLSKKNPESRVKDSDEEIGDMVDEWDENDDIEILEQDSGDQEVTTTTSPTTAQNGRLFDGHPNHQSFMKAHGLSVHYQAKTIGVVVNKKLSDSVERFVESVCERSLDSVKKLSSLAWDHYRHNTQSDATYNKKMNARQTLLNEIQQLFPDKFINLQVTGSTINGCGAFNSDVDMCLCYPTNSYRGYVFDDFGNDRSNSTKVLRKLDRAIKRTKYGQPLKNLIYRCEMIPAKVPIIKLKLNGIFKELEVDINVNNIAGIYNSHLTHYYSLVDARFPVLALLVKHWAGANYINNAQAGYLNSYTVILLVVHFLQCGVSPAVLPNLQYVFPDKFDKKLPLDELLLYGDISDKLPVSVPNTWSLGELFIGFFHYYSNFDFEKYAISIRSGQVVPRSLLPRDTANYPMFIEEPFDAINTARSVRTSEHMKQIKREIRKGLSVFNAQKFTMRDLRVAVWGDT >CRE20742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:79404:80424:-1 gene:WBGene00060884 transcript:CRE20742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20742 MPSMVEKYSVELRERDPETVDTLFLDNSDDGKIGGINDKLTNLTMLSMVKCGLTTLTGFPNLPALTYLDISDNQLGDEANFDILVRNAPELERITLAGNKLSLDNMRSLKMLPKLSELDLTNNAALGLLEEYRAKVFEMIPSLKILDGCDIDGDEVEEEFHGEGGEDSGEESAEEDGPGLSYLDKSQFSDDETDDYVPEENGAEARGTKRAASGDDDEDNEEPDVKKAAADEE >CRE20686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:81831:83242:1 gene:WBGene00060885 transcript:CRE20686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20686 MPSTSKGGILKSEATYFFVLELASAFVLSAAHNVLFFVLDIKLIATSFAQFFFTFLFLKLIHFANYIPQAHIPKKVILPAAGAKLLEVLIGSLANSHNRTGELYLVRIFDFLFAGCVLFYFSSRQNGKALTKTDCWLVAPLAIAVSISWLEPGQIEYTGVSLISAFILPFSRALSFILLKNSMDFMGKGHINAFMLDYTRLVTILLFLPALLSYSMSSVEVTASWESIDYVLMSLSFIFMICNLYSQLWLTLSLSPNVYLVFENSRNLLASCAQWIIQNMAHPSLIAFGAKLVGLSSIFRIWTRS >CRE20687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:84194:85895:1 gene:WBGene00060886 transcript:CRE20687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-10 description:CRE-SET-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MFC5] MYTLARENPLAAVLCPQFQDFYCATCFAELDVNGEAEILMCDDCSEVSYCSLKCQRKDWRSVHQFECEILRTQNNQTPMTTTMRLCIRTLLVTLRNSERSPSFNGAIIEDLETNYKEFRSSPSHNQFLSDLVTIIKSVGHNVFPKSVETNKMIAIICTVLCNSFGIIDDKRVEPIGSGLFVGLAKHNHSCASTSHVVFEKNQVLLRGRDMDYCKSTTISYVSRMLPTFERQKSIRSVHFITCRCEMCRNDDLDFIGLASRCQTVNCSGYVKGSDSCGVCKKPAVIPIMESASSTSKLIDILDNLHKSNEFDCTTQYDYLQNLRKEYIRILADCNVAILQLDEQIAYCASDLKKIPDDLTEIAVRGCQHFIDRLGIGALEVTRRLYIACKCLSLLPSSPSSEIHQLAIQSSILSHGEDHSITKYLNDLTNGCDISDD >CRE20743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:85989:88099:-1 gene:WBGene00060887 transcript:CRE20743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-122 description:CRE-UNC-122 protein [Source:UniProtKB/TrEMBL;Acc:E3MFC6] MVPSDEETSRRRSRRLVIAGEIGNALLIGVLYLHALLWLNTLNCEISEFKPSPVRIKRDTKQLPVDLEDYTIIIGQDTIIPKNVLDRSCSQVHQYCSEKSQRLTGFPGARGSPGDQGPVGPPGRRGPMGIVGPIGLVGEHGSVGEAGRDGKCNCPFPDMYVQRVPIPGPPVIKIEEKMVPVPVVVVKEIEVTKLVPFEPTPPGFGPPEGWAPGMPKPGRTRKLPRYSTVRPPPTPKKKTTQPPIHVVPTEKNLTMDEWNAEMNISTPRPYMGPPTLGYNRKECILAAVGIPVLHAESQYGRVGSWMRDALPNSMKTAKRRWVTDGFASPVLYEYEDERQLLDKVQKIKYYVDYLASGTGNVVYNGSYYYHKHGTTSLVRYELETGVQVEAELDPDMSHVDCGRLPDHTFEECNATDRHVWLYDRPHNYVDFAVDENGLWVIYAGADSETMKMAKLEPDLYVVSRWDVEVNTTDIADSFIMCGVWYGLKSAYNLQTQITHAFDLYRNDTIPGQVEWYNPYQGLTMLHYNPLDARLYFFDNSSLLSVNVRIEEDLPEYIDE >CRE20744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:89029:91218:-1 gene:WBGene00060888 transcript:CRE20744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20744 MSSCPSCVHCQGDKRVAAASAALAEQNDVPVVDPAHYLNRVPLLRDDQKKIAMEICHIWKDQKLSCIEGIAGTGKTYLLNAMRTLFKNVNLQTEFISSCQHHPAKQMKKLLGQRDEALENVDVFIIDNGNQITKEELDCLHHKLQRAMISDEIFGGKTVIMAADFGLILPVSAEFKNKLNSSLKNFVGIGQFKKYILETLDNDWGNFLNSVRKDPEIQIPQGNIVKSVDELIEFTFGPLNAVPPNPKSIILVAKNLDRDLINKKVLDKMKKRVIIIEAQHTSNIIRSDLELHQLRLKKGCILVLEEPAEGFPKGTRLVLEDVTRSHLNCRTVETNQCVDLERVKRFLSPSTKSPSKNKNNKAQGVQSVIQFPVSLGFGSTIHRCQSTQFEKVGLFKVDQPFEHGMVYSALSRATGAAGWRIAGEGSVIKNKVENSLI >CRE20688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:99596:108355:1 gene:WBGene00060889 transcript:CRE20688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-54 description:CRE-UNC-54 protein [Source:UniProtKB/TrEMBL;Acc:E3MFC9] MEHEKDPGWQYLRRSREQMLEDQSKPYDSKKNCWIPDPEEGYLAGEITATKGDTVTIVTARGNEVNLKKELVQEMNPPKFEKTEDMSNLTFLNDASVLHNLRSRYAAMLIYTYSGLFCVVINPYKRLPIYTDSVARMFMGKRKTEMPPHLFAVSDEAYRNMLQDHENQSMLITGESGAGKTENTKKVIAYFASVGASQQEGNAAADPTKKKVTLEDQIVQTNPVLEAFGNAKTVRNNNSSRFGKFIRIHFSKHGRLASCDIEHYLLEKSRVIRQAPGERCYHIFYQIYSDFRPELKKELLLDLPIKDYWFVAQAELIIDGINDVEEFQLTDEAFDILNFSATEKQDCYRLMSALMHMGNMKFKQRPREEQAEPDGTDEAEKASNMYGIGSEDFLKALTKPRVKVGTEWVSKGQNCEQVTWAVGAMAKGLYSRVFNWLVKKCNLTLDQKGIDRDYFIGVLDIAGFEIFDFNSFEQLWINFVNEKLQQFFNHHMFVLEQEEYAREGIQWTFIDFGLDLQACIELIEKPLGIISMLDEECIVPKATDLTLASKLVDQHLGKHPNFEKPKPPKGKQGEAHFAMRHYAGTVRYNCMNWLEKNKDPLNDTVVSAMKASKLNDLLVEIWQDYTTQEEAAAKAKEGGGGGKKKGKSGSFMTVSMLYRESLNNLMTMLNKTHPHFIRCIIPNEKKQCGMIDAALVLNQLTCNGVLEGIRICRKGFPNRTLHPDFVQRYAILAAKEAKSDDDKKKCAEAIMSKLVNDGSLNEEMFRIGLTKVFFKAGVLAHLEDIRDEKLSTILTGFQSQIRWHLGLKERKRRMEQRAGLLIVQRNVRSWCTLRTWEWFKLYGKVKPMLKAGKEAEELEKINDKVKALEESLAKEEKLRKELEESSAKLVEEKTSLFTNLESAKTQLSDAEERLAKLESQQKDASKQLAELNDQLADNEDHASKQLAELNDQLADNEDRTADVQRAKKKVEAEVEALKKQIQDLEMSLRKAESEKQSKDHQIRSLQDEMQQQDEAIAKLNKEKKHQEEINRKLMEDLQSEEDKGNHQNKIKAKLEQTLDDLEDSLEREKRARADLDKQKRKVEGELKIAQENIDESGRQRHDLENNLKKKESELHSVSSRLEDEQALVSKLQRQIKDGQSRISELEEELENERQSRSKADRAKSDLQRELEELGEKLDEQGGATAAQVEVNKKREAELAKLRRDLEEANMNHENQLAGLRKKHTDAVAELTDQLDQLNKAKAKVEKDKAQAVHDAEDLAAQLDQETSAKLNNEKLAKQFELQLTELQSKADEQSRQLQDFTSLKGRLHTENGDLVRQLEDAESQVSQLTRLKSQLTSQLEEARRTADEEARERQTVAAQAKNYQHEAEQLQESLEEEIEGKNEILRQLSKANADIQQWKARFEGEGLLKADELEDAKRRQAQKINELQEALDAANSKIASLEKTKSRLVGDLDDAQVDVERANGVASTLEKKQKGFDKIIDEWRKKTDDLAAELDGAQRDLRNTSTDLFKAKNAQEELAEVVEGLRRENKSLSQEIKDLTDQLGEGGRSVHEMQKIIRRLEIEKEELQHALDEAEAALEAEESKVLRAQVEVSQIRSEIEKRIQEKEEEFENTRKNHARALESMQASLETEAKGKAELLRVKKKLEADINELEIALDHANKANADAQKNLKRYQEQIRELQLQVEEEQRNGADTREQFFNAEKRATLLQSEKEELLVANEAAERARKQAEYEAADARDQANEANAQVSSLTSAKRKLEGEIQAIHADLDETLNEYKAAEERSKKAVADATRLAEELRQEQEHSQHVDRLRKGLEQQLKEIQVRLDEAEAAALKGGKKVIAKLEQRVRELESELDGEQRRFQDANKNLGRADRRVRELQFQVDEDKKNFERLQDLIDKLQQKLKTQKKQVEEAEELANLNLQKYKQLTHQLEDAEERADQAENSLSKMRSKSRASASVAPGLQSSASAAVIRSPSRARASDF >CRE20689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:116650:118624:1 gene:WBGene00060890 transcript:CRE20689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aex-5 description:CRE-AEX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MFD1] MKRKVRQIKSEVQVLLKVCLSVFENKSPDWNLLPSMRIREAWEAGFNASRVTVAVVDDGVDVAHVDLRSAFSPDVSFDFVKFGSLPIPAVSKDTQHGTQCAGLVAMEGNQCGLGVGHGATLGAIRLLGQDTLNDALEGDALAFQKNLIDIYSVSWGPKDDGLTAEKPAKFTQEAIRNGAIHGRKGLGNIFVWASGNGGMNGDNCALDGYVSNEYTISFGVVDSTGAPASYAEGCSSVLAAVSGGDSMIQTTGLESKCSVISGSSASAAIASGVIALALEANPTLSQRDIQHLIVHTANPSAIRDITFFENAAGLKFHPSVGFGLLDAQKLVERAATWQNVGEQKVCRKTKLLSGDIDVTDCVGVTRTERVILTGSVIHPHRGQVRMHIESPHGTVSELLPLRSKDESSDLLEWDFVSVHFFGESPKGVWKLRVISEEDLKDPESFRVKLTEFKITGT >CRE20746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:110107:116473:-1 gene:WBGene00060891 transcript:CRE20746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-245 description:CRE-STR-245 protein [Source:UniProtKB/TrEMBL;Acc:E3MFD0] MFQFHSTIERIAVIFALVGNVSLILLILKKSVSKLGNYKYLMVYISVFELAFAVLHGLTVPVSVEIFLFIYETFSFKVIFTTDCMNFLVTRVDKTWFPKPILQVLNVLFCDMFGMSMSLFATHFIYRYMVLSRKKFLRKYDGRTICVMILFSILFGIVWGVFAWDTMQPFPEADRMLSEKFATQMNLTLDQIAYVGILFYYKDKLGNEQVHWPSVVGIGIQSFFIGISFFLIFYFGFKCYQQTRRLVSSQSNSSNNLQSQLFYALVFQTLIPICLMHVPASIVYGVAALNKSNDFFGQFLSLFICLYPALDPLPNFFIIKSYRKAVRGAPGAELFGPDFLGFLASRLLGFSAGFFRLLGRTF >CRE20747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:118849:122847:-1 gene:WBGene00060892 transcript:CRE20747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-maph-1.3 MPEEYIMSSKASVYVLGGENTAALFDLDGVYVLDGGLSEKSPGFITCVREVTAVILSAPTLGNLGTTAALLEKDKTLPILTNTKPLKSAKPGSSAEVVKAIQEANSKLISNTTPTFDPKYPAHILYQSLSKGILSLYILAGDAKDAEAITKALASGNETEVEKAASEHGTIGVMLWRPAEGDKPVVRVLISGTASLARIQQSLEKAAKALPFLNAATVKSKDALASIPPPSIPRALAPSKPAAGAKPAATKSATTRPTTSGPSAAARPASSAPRALTSRAPAPISGAARPVSAKPTAPGASSRTGAPGKAPAPSKPAARAAPSPKTSAATKASAPAKAPVKPSAPGRGAPEPAAQKKTVGKVQGAAPSKKTETAAPAPAKESPAPAATIPAAAITARVPEPSETAANTTIVLDDSLLDDDHHSGLNPMDIVVIPPTPEPPRDPRDDDFVEAEPKKDEPKEEPKDEPVAAVEPPKEIDDVANLADVEDEIPQPVDSFKKPEPTPSVPEQPKEAAETPKTDDVEDKVPEPIDAFKKPEPTPEDVHPGAYPKLDDVLADFDPLTPSHPEPSAPDVPSDHVIIATPDPNLPDIAAAIPFIPPTPLGPNDGLIQLEDDSINVAPGFEEPLIPQAPREDGTLADCSEELSKLVEISMDTDKSTEAGAELAKAIGDVTQLSAELQQLGLDEKTDEYVRKLSNQMIEDATLPFTSALASSIVSSNGSTDQEPNGHVSSPPANGVHKEIPKHDLMQSRSSVIENGAPVEYEKTDPALDDILNACAQESDKLDASHPAAPATTHTPETLHMPAAPGTAMALKPVKFTRPYYFDLVTAPRNEKLETTATSDGLQEFISKIRSRNVILASKDISGEQLQGVLTGKQMWCESGHQCIVIPTHSSPVLLDFRQKNEEQFHAAHLTFTVPVEKQRTTVSSDIGSTEYELAKISLE >CRE20749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:128326:130255:-1 gene:WBGene00060893 transcript:CRE20749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20749 MLHNRLFFRHIVANFASLSAAAEYRESDKLFRREFNLPQKAIDGLRNAVVTCERPAKQLQNEADQLTSKLEQRRFPESPEKLKKVRDEVKRKLKSGKRGEISDFDKEAFGGKVQEAQQYEIRNEVDRILKKANFNWKPLEITSKEAAAAYSLARLAPNYADISRCLEEFNRIPDFQPETILDYGSGSGAGFWAATSRWDNVKEITMVDLSDAIMKFSMDSLRKNHNPADGIDNGRPFVHDNINFRRHLIPSLNTTYDVVIAHRVLCEIGSSETRLQLIESLWKRTNRFLVLIESSQSGAFGGILEARDFILSQGTLVDYRKLLKTLEEKVMLSPKVVRIVEDYNLSDYEKFVLLNESVPPGEVVPTMLPTGTVMAPCPHDLGCPLGVHSSCTFSSRFQPIRADGKRSEKESDGTEVSKFTFMIIEKSARKVNEHTERILKNRKLGGHVTCDVCTAFRGIQRVTLSKKHGEMYTAIRSRRDGDVLPINLRTMTSSGIFDVDN >CRE20690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:135350:140841:1 gene:WBGene00060894 transcript:CRE20690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eva-1 description:CRE-EVA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFD3] MRSFTLLSCIFLLVFRIDGKLKSGFIGSNNHETSPIEGILKESLRSNRVQACDGERITLSCPRNTQISVQTGFYGRVVPENQLCPPQAGRKIPDALTDPLAMIHHSSTCDVIQAHTVGIELYVVGTKSFNFQRISELCDKRRKCTVVVDSNTFEDDPCPTTSKYLQMAYGCIPMSFDEETFCTPKPTEPSRPEIRLECREGRRLAVYSAQMKTSPQCDPDTEIRHECVSDVLPQVLRQCHAKEGCTLKSEEIKGHCRHGHLHVVYVCVNEEIFSEEAIKGELTSLETYLKEADAIQKQEDERFFKDVNDKTQWERVVDSEPARDSDVHQIANDASYVTHDEYRMEKQDPPPITERVEPNLVGVGHDLLQVVQFFKENKEKAVMCIVLAVSMAAIVVLSACIITRLCTSTKDNSRSSRSSRSRRSLETSKLVSSNYGGSITPQHMLQDIEDEQFLRFSMGSAANSNPHYSHYDF >CRE20750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:140968:142488:-1 gene:WBGene00060895 transcript:CRE20750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddo-3 description:CRE-DDO-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MFD5] MLRLLLLFGGVLAVNSLRVAIVGEGVIGLSTATAILDLAAKENVTAPDIQIFHHKPFEKILSRHIAGLFRIDSGSEINKKYGYDTFEKLATLWREYGGLSGVQLVSGHILSDSKPRLDSQRVAYGNLVYNYRDLTEPELFGPTSLFDLPRNTTTHGIHYTAYTSEGLRFCPFLKKELMAKGVRFIQRKIENLEDLGAEFDVVVNAAGLYGGVLAGDDAGNMTPIRGVLIRVDAPWQKHFLYRDFSTITIPVIDSVYMGTVKQEGAYGPPNVTFNDIQDITSRYVKLQPSFKRVHMLSSFVGYRPGRKQVRVEKQVREAYGMKKFTVVHNYGHGGNGFTLGYGSAVHAARLVLDLPLDDYKGIIPDPLPVNTTIGEWVHVLDD >CRE20751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:143044:143811:-1 gene:WBGene00060896 transcript:CRE20751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-16 description:CRE-UBC-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MFD7] MSDAATRRLMKELAQLKNENPEGLLIDNTITSHDLKQWKIGVVGAEGTLYAGEVFTLQFTFGPQYPFNSPEVMFVGDTIPAHPHIYSNGHICLSILSDDWTPALSVQSVCLSILSMLSSSKEKKHPIDDAIYVRTCNKNPSKTRWWFHGKRRNIQVSFRPMSDRAGFINVFTRIDSI >CRE20752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:144197:145345:-1 gene:WBGene00060897 transcript:CRE20752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-49 description:CRE-LET-49 protein [Source:UniProtKB/TrEMBL;Acc:E3MFD8] MLPGFGTQTVSPFPNPPEYATAYTSDRIDNGSAPPPPQALTEFKVFGEEYRLGDDVIAPLKDANVEELYKNKNNWKEEMKKLNRSAICAFFDLVEILIRAPDHPLREEKMIDLHTIFINMHHLINEFRPVQARDSVRILQERQIEELGEICDNFRSYLADGKEVIEDQFKLVTGKLPPPPQPSELSKVRLQDGVLHELIERIKISEEEEDVEMKEEESEETKRRKVLEDLTREDGPPSVVHLLTRQLHEFELKK >CRE20691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:145864:149256:1 gene:WBGene00060898 transcript:CRE20691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20691 MEHLGEYPLLLDHIIQSEISAELLLDDKVITCAKFDQKYAEHVDNRLEEEFPEHIIGCKELDEDDKIVTVTSRNTLTIRKCSDLDIESTIQCDYFATSICSLIHGDSVASCHVFFGSVIGDLIDWKPNKDKKPVMRKGHKGMIFSIVTDETRIFTISDDRTVRMWLISDREGGPICTVFGHTARPFAISIDTVHFTIYTGGIEQTLFCWKYDNDSIKLFQKIPLSFGVIRKITKIDNNLLAISSQNGDLLKIRINENPYVLEILKEDVVNFAHLKDRLVILTSKNELFVYDSGLMDRIVFRSKEMKHMASSEESVVAWKDKIMILISEGSVTRLTLSMNIISISVYMNYVTIKTIDGYIQVYDFYDPEHLRCLNRFRLKNAAMIPSVTSVAHRTLIIGTTHGEIYHSDLRNDTDKVLLSLTRQDSYEIFGGKEVTCIHPIPKTTMFMTLGKTGIWSTMRVTPEDTVKVMNSRSFSAASRVAWPSKFIEWKNGQELLIAGFYGTSLVIWNSTTGLPVSEIYCGGGHRIWQLNPSSSDEHSFNFDYIRETEYCRQKIDFTEKVSLISTAHSSTIFAASGSENYLVTVSLDGHLSIGTNKGNPILTMFVGENLLSTDVYENHGEKQSEVFVLTGGGKSKFSVFRFQPDDLKKYNVFWLRSVARPDEGRIVAVKTCRLQNQNYFIASYSSGVIEIFKISKDDNNLESTSRIEIEDSLGIGAKMDYCEKDGKLFVGTSGSYVLAYILKENGRLEEENKLKLSDRSGVTSIAISPDGSAHFVGCDSGHVYQCHATETTKIHSHLSTVVGIVVDKNDLVHSTSLDCVVLTSYEPSEFHLRKPTIIDMPNGMVRTGESTIIVVGDGIQIMKKF >CRE20753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:149497:156426:-1 gene:WBGene00060899 transcript:CRE20753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smp-1 description:CRE-SMP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFE0] MRQTLLLLLFFLFRNSEAATSGVVNLRPKQNVNSVGIGDRFGGIGTSSDESDHFKLLAADGDSLLVGARNAVYNLSLSTLSVNHKIDWKPPAEHIEECIMKGKSKTDCQNYIRVLARKSAGVSLVCGTHAFSPKCREYTVTDYGIRNTRQFDGQGISPYDPKHNSSALYIPGTNQLYAATVTDFVGNDALIYRKTIDETASTKSANIRTQSYDARVLNAPNFVATFVYKEHVYFWFREIASEAIDNNEESQIYARVARVCKNDKGGARPANERWTTYLKARLNCSLPSGSSPFYFNELKAVSDPIDAGNNDHVVYTVFSTPDSDVRMSAVCKFSMKKIREEFDNGTFKHQNNAQSMWMAYNRNEVPKPRPGSCTPDSTKLPENTVSFILHHPLLHRPISAVSAPLLVEGADRADLTQITVLPRVKAVGGHSYDVLFIGTSDGKVLKVVEVDGNATVIQAAIVFQKGVPVVNLLTTKDNVVIVSSDEIASLPVHNCAQQTSCSKCVQLQDPHCAWDSSIARCVHGGSWTGDQYIQNMVFGQSEQCPEGIIVREVFDDNENGDAQPEAVSRNVYAKEHSTVTVLLVAAVASLISLIIGAFIGIRINRWTASSEPHRSASSTSGSDYDSFGRARLTRHDSLTTATKVDHGFVPQSKQSMDATSLVMSMNATHHPMSMSQHGSGINTPSRDKNAIVTSINQNTLPRDYKVKKVYL >CRE20754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:164178:165712:-1 gene:WBGene00060900 transcript:CRE20754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20754 MIKSSTIAALVHRFGGPEQIEIAQCGIPCIKNANQILVRNHASGVNPVDTYIRAGQYAKLPTLPYVPGKDGAGVVELVGENVKNVKVGDRVWFGMELGTASQHTVVNRPFLLPEGVSFSEGASLGTPYLTAYRALFHLAGAKTGDVILVHGASGGVGSALMQLAAWKKIEAVGTAGSKNGIQFVKRLGAKHVYNHSESGYVSKMKADYPGGFNYIFEMSAHTNLNTDLGLLAPRGKVAVIGNRDETKINARQLMATEGSVFGVALGLSTESELSDFGTNIVEFLKETDFRPLINKEYSLEQIGQAHKDIMENTGAKGNLVIRID >CRE20692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:166274:167778:1 gene:WBGene00060901 transcript:CRE20692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uev-1 description:CRE-UEV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFE2] MVDVPRNFRLLEELEEGQKGKGDGNISWGLEDDSDMTLTRWTGSIIGPPRTPYESRIYNLQIQCGANYPREPPTVRFTTKVHMVGVNQSNGVIEKRNLATLRNWSNSYMIKNVLEDIRKNMMMAKENLKLQQPAEGAMF >CRE20693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:167926:170696:1 gene:WBGene00060902 transcript:CRE20693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hinf-1 MSYEDLKPVRFDYDDHYQPPPVYYNSYSHEPDPYHPPQPQYIQMMTPSENGHNNHHYQQVNSHHVHQHHQTYQHQIHQHQNIAPTHVPHQNQHLHYTVIDNQDSDSQDSMDSTFQHRPMTQAKLSGRGNGVQKQRFSVEDDSEDLSEEVQNHWMPMTWCERSSDDPQVFNFVCLWGQCVAVSTGKDEFINHLFSHVPGREDSIQQRRYSAEDCITCKVRGCGAQLASIDDLSRHVSMHVFQADCQQKGSEALIEKEEYSGIESCGFEPCTNLSYDGMVLTCLWNDCGMTFNSLTDLFDHVGNHIDDVCDIDRLEQEFQNGVKKTVFPCKWTGCNHLAESKSNLRRHGRHHSGEKMLACPFCARFFSRRDKLYDHCVRRTILMTDPDIEDPYLCKLCQKRFGTERALCMHVTRHLVGHTCPLCALALDSRAAIHRHLMTKHSRRSRDFKCTTCNKMFFTESELNRHAVYHTDVMYSCKTCPEKFKWKKQLLKHMKEHDENYNPSPYTCHLCDRTYTSGFALGRHLTRQHRLQIPYGFSRFTYKKCADGLMRLQTKKLFRNESGENPDQSLSASHVPY >CRE20694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:171443:172876:1 gene:WBGene00060903 transcript:CRE20694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20694 MLSKQIIRSFLTRNRNFHKTIKSKVLSPGFSLEKEWTGRHVALGKLGLGGDYEWISAVQKKFIGGGYASAVDVDAAVCVAEQKDQVDDVIELLYKLRHSVKAAEKLESSEYALVRLLLKYQPETILTLANDPINYGVFLNQHLACIVIDHFIKSSNIQSAARIVTWMIQQEELENELLNVLGLYVCAKWAELPVDQQTLELGGVEEEEDVNDDDIRTFKFPYLKNDSFDEHFDLTNPNHLIGKSLMWLSRDTSSLNKDLKSSLNALGAVLFEKLAEAESTISTSLPSVKHLVAERLKAEEGEEQSEDVKKILEKIGETQKSEEGQKLSDMVLEHFKTIQAAEEEKLCSEQVKLYSAWNERRQILVKSQAQKVLLRVRQDEIRAELKQLDEQEEQMSFFKNRLQWEKRAAENTQIDEESKERHKKRESAV >CRE20695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:173093:173693:1 gene:WBGene00060904 transcript:CRE20695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20695 MPETTTTPNKTREIESRARIISDRISESIRLLDNEPSLALYRLQEHTVRSLPGIVNRRIQLTQQSATLSGAQFDLENCLSTTTNMQNASSSFENCIEMLRNCMFYKQQLDFDSTRKATSSDSMSTVKGRSKSLHNVATRVHSSEAPTTSSSHDS >CRE20696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:174812:176022:1 gene:WBGene00060905 transcript:CRE20696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20696 MSSMFFIVFLIIQCGTKKKSKKNKSESSESDDDDDDEDSEAERRRRKRAAEKKKKRRAKKQAAKLAAQKEAQQVPKPPKNMAALAATHDPNYQTLAGLNNDLVFGDQAGANGMAGNQGPKAPPPGSNPGMVGTHDPNYQTLAGLNNDLVFGGGGAGGGPLPPKPPAVGGKAGTNDPNYQTLAGLNNADIFKEKAMDGAPKAPAVGGKAETNDPNYQTLVGLNNNDIFKEKGQFAPKPPVNGGKVAGNDYQNNYKNNCPKQPVMKNVKAGTNDPNYQTLAGLNQDIFGADKKKKF >CRE20756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:176172:177278:-1 gene:WBGene00060906 transcript:CRE20756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20756 MFKRAASSGFRFAFKNSTTSKFQRFKQVPKLLAITGGASMGIAFFGKAEEKKPENLEQGTGNSEKPKNFDLIVQNADELYDNYLIDNCYNILKKFESSKCSELLWRLARVVCEKGKLAKDPNERKELILEAYQIIKKALENEPKEGCFGAHKWYAILLDYVGEIEGNKSRIEKSFLVREHLEKALSYFENDPTTWHILGVWHFSFANMGYATRMVAKAIFATPPSSTYQDALHYFLKAEQISPGFYSTNTYYIGEVYEQLGQKQDAIDAFKKSFKMPVVTSDDAAIHKKAYEKLKKYGVKDSEVV >CRE20757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:177788:181324:-1 gene:WBGene00060907 transcript:CRE20757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dog-1 description:CRE-DOG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFE9] MSAEQFFGLFKKQKGRNTPSVRKPFKVKREDGGGDSEDPNVPKTHEIAPEIVKKSRAGKRKRIEIKNDDFNQLMMQSVPVRYPKGLTLYPTQKLMIVRIIKAIQNSENVLGESPTGSGKTMALLSSTCAWLKQYMDQKKESREKCAIHGDGAFGGNMKYEETEDTIKEEMNETRDVKPMVPVKNENLFEDEWKDDFIAHSIPAAGVKIEEKPDVKPEVKKEPSEKAAQAECTCLPRVRIYYGTRTHKQIAQVVKEFSRLPYANIIKHTILASRDQSCIHPLARKQPDISQFCKEINSANGIGCSFKSAMRPKFEKAQPLRDHLRNNGSVVFDIEQVVETLALSYPQICPYFSTNRVLTQDADLIFCPFSYLVDPLIRNSSDVHIKNSIVILDEAHNIEDTCRDAASFSFTEKELDDSLLSLRLKKVAIDQVNANKTPEDALHQTPDLTIELNEFRQHLLYLELLILEMLRWVRHVAQDARKPARGGMDGFKTCTLSSGNLFSSLTNAENGIDLFTPPKTPKYDAISVSYNLILIFQSFNTLLSLILTSLFQVAFSGVTKHNEPEMQHMDQFKPSATAIVCIEKWLYFQSFFGNQQYQPTYRLNVSIEPINQTGRFNRTFDGDLSMSSSFSSTPSLKTRSSAGPRNMQYKEENPWLQENDDDEWKDPSISQTGHKAISEGCKTTISLWCMSPALSFYDAFSETRSIILASGTLCPMDTLKTELGMEFKQQVEGDQVISPDNIFAAVIPFGPHGNRITCTFRNTSDPSSPFYEEIASIIKYVCMHVPAGILCFLPSYRVLEQLKTCMLRNHSMKHIQTKKVVLFEPRKSSELTAVMDEFDSAIFHPTNFGESINGALMFAVFRGKVSEGIDFADDRARVVISVGIPYPNAMDDQVNAKKSYNDQNSKDLGILTGDEWYTTQAYRALNQALGRCLRHKNDWGAMLLIDDRLERQTEKIMTGATSARVSKWIRAQLKNYRDFKQFNQNFRVFIEGRQNVNNVKKEKEDIVDE >CRE20697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:184356:186326:1 gene:WBGene00060908 transcript:CRE20697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20697 MSNSLIVLFIVFLSFIPCTSLKILVYSPAYGPSHTNFMARLADTLTEAGHNVTFFTPIMDESRRNQLGVKLTKDVIKLEQDETMKKRKVTIDNVMSLFWTMDVTSENGHRCLETIHQQAVLTCDNMFRNKELIEKLRSKDYDVGLAEPLMTCGLALFRHLGIDKVVLTNSCVNYDVMIPATGEPEDTSYLPCMFSLKISLKFGKKFPAMNSQVTDKMTFAERLENYNLFYLMYDTFGKMFDDETKMYRSHLGEEFPNWRELVADASLHFINAIPSIDFPRPSLQKTIAIGGISIDVEQIDAIENTEDSEFSEILDRRPKNMLISFGTLARSSDMPEDFKNNLIQVFKSEPNCTFIWKYETDDVSFADGVDNVVFVKWLPQKALLKDKRMTAFLTHGGLGSTTEAAFLAIPTIMFPIFADQSRNSNMLGRHGMSIVLHKRDLGNFQKLRNSFHEVLNNEKYRLNAKKVSEMVRNQPLNPKELVVKYIEFVGKYGPFPHMSPYSLKMPYYQRYNYDIYAFKTGLFLVPILLICIVFKLVISYFPVTIVSKDTKGKME >CRE20759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:186489:186774:-1 gene:WBGene00060909 transcript:CRE20759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20759 METPEDRIVMVILILLFPPLAVWYKEGSCGCSVCLNILLYFCFVFPSYIHAVYVCYLRDRR >CRE20760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:188491:216072:-1 gene:WBGene00060910 transcript:CRE20760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lim-9 description:CRE-LIM-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MFF3] MMLSTVNNPYRGGNNSNNNNSNHRMVIEDVTDDPEYSAYGNENEKPENEEEGAFVEYEKLDKTEDPAAYKVCQSTTAVNRTYNNIRPSYRMPIGGGSGSSSGSISREIRVESMNLTDVEEGARCRNKECRLGWKQHPWRKVCVHCKSDRSDHELPPNQALNVYNRLGIQPPAGMPQSGVAEVEVPGSVGHGYAWVPPGLSRKKVEEYMSQLPNNVVPRTNSSGEKLREKQLLVQLPRQDLSVAYCRHLTTQTERKVYEEFVNARNEIALDIGYVSSNINKAMECHKCSGILETNEMAVIAPKLGDSTGWHPACFTCQTCEQLLVDLTYCVKDSQIYCERHYAELHKPRCSACDELIFAGEYTKAMNKDWHSDHFCCWQCDQTLTGQRYIMRDEQPYCIKCYEDVFANQCDECAKPIGIDSKDLSYKDKHWHEHCFLCSMCKISLVDMPFGSKNDRIFCSNCYDQAFATRCDGCNEIFRAGMKKMEYKGKQWHDKCFCCAHCKVAIGTKSFIPKNDDVFCGPCYEEKFATRCSKCKKVITAGGVTYKNEPWHRECFCCTNCNSSLAGQRFTSKDEKPYCANCYGDLFAKRCNACTKPITGIGGAKFISFEDRHWHNDCFICAQCTTSLVGKGFITDGHEILCPECAKVCI >CRE20761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:222184:224192:-1 gene:WBGene00060913 transcript:CRE20761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20761 MSDNIGPKELSNAFKYLLAYQLDSIASDGVMPSAHGALHKLLCSCYSKNTEVVDIYGEDNEQENQEQYTKRAITSSPSENNNMKKAILPAPSSPSQFPTSSELSTTIQKVDELKKRKDEMRKKEKEEKQQSPIIVEVYDDTPHSKVCILLFFWKKI >CRE20698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:225406:227624:1 gene:WBGene00060914 transcript:CRE20698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chk-2 description:CRE-CHK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MFF5] MVRGTKRRRNSEEKSKTVITVNRDDTIPVDEDAAVGDSQMTTSKPFARLVGIRRGIATIDLIEDNFVCGRGSEESPVNYNFSSLSHDTGLYRFISKVQFAINRDSESHRIYLHDHSRNGTLVNQEMIGKGQSIELNNGDLISIGIPALIIFVYETTEDGQYPEELTKKYHVTSHSLGKGGFGKVLLGYKKSDRSVVAIKCLNTQFSTRCSRAIAKTRDIRNEVDVMKKLSHPNIVGIYDCIAVSKYSYMVIEYVGGGEFFSKIVDSKYNRMGVGESLGKYFAYQLIDAVLYLHSVGICHRDIKPENILCSDKTERCILKLTDFGMAKNGMKMKTRCGTPSYNAPEIVANEGIEYTPKVDIWSLGCVLFITFSGYPPFSEEYTDMSMNDQVMKGRLLFHSQWRHVTIETQQMIKWMLTVEPSKRPSAVELMSTEWMKCGDCRLAKQEIIKIYKPVTTTDIKNNNNITTAAAALANKKKRLQ >CRE20762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:228527:230395:-1 gene:WBGene00060915 transcript:CRE20762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eme-1 MDDAICLSDDDGDECILIEETTVVTTRKSQEKTCSTSYSLVDSVNNRPRSNPITSKDWLEEGLDELDKLIQEKRKNNGNSASWSIGNGNIGDIDMMDYGRIPSTSSSSGYFTSSGNIGNKPEKRKLTEDEKDHQREMKENAKRAREAEKEKKAIEKDQKKGEMLKKREEKEREKETRKIEREISAAINSKCEQYTYCHIGKTVMDNFPGLEAEIRILYAERKIDNQLKIENNLGTRIEWRRKCIEMKEDEDGRNERFEYMVRVFPCPDDTQFIFQSTQNLFAIVVPASTLKDVINSNSFEDFIIEQRAGFQNGRCTMLIISFGKLDIQKKRLHKMSLEIYETHRVQIVQIETIHELALLTAQYLRSLARREKKKMDDKEPGESSGGSHKLQYLGEKGIVIGSRNEIVSDWWSKMLSTIDRLSDAQRRAILGLIPDPISGIDKYSKMDYSLAIQEIGDLVAENGRKVGPVMAHRVLTMLTDETGNSIVE >CRE20763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:230801:232306:-1 gene:WBGene00060916 transcript:CRE20763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20763 MAETKKKNDDMATVEFESSEEVSIVPTFDKMGLREDLLRGIYAYGFEKPSAIQQRAIPAILKARDVIAQAQSGTGKTATFSISVLQTLDTQVRETQALILSPTRELAVQIQKVVLALGDYMNVQCHACIGGTNLGEDIRKLDYGQHVVSGTPGRVFDMIRRRNLRTRAIKLLVLDEADEMLNKGFKEQLYDIYRYLPPGAQVVLLSATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVDKEEWKFDTLIDLYDTLTITQAVLFCNTRRKVDWLTDKMKEANFTVSSMHGDMEQKDRDEVMKEFRAGTTRVLISTDVWARGLDVPQVSLVSVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKQDDVRILRDIEQYYSTQIDEMPMNIADII >CRE20699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:233073:234028:1 gene:WBGene00060917 transcript:CRE20699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-20 description:CRE-VPS-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MFF8] MGGIFSKKERAPKSAPVSDQDNAILVSCVTVNVTINCFYFQALKTQRDKMKQMVKRKENCLEKERQLAKQLLKDGKKDRALLILKKKRYQENMIDQTLKHLSKIEQMVNDLEFAEVQQRVTEGLRQGNEALKKMNQLFDIDEIDRIMEETKEAADYQEEISNMLTGQLSTSDVSDVEKELEELLAAQVPQVQLPDVPSHELPEAEREAQREKDKPRKERVALEA >CRE20700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:239752:241347:1 gene:WBGene00060918 transcript:CRE20700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20700 MKEKAGKITQNTRFHGINYILLNLIITVIAVVYGSYYLYRRYVTDVNDKRENDEYLRKLVRQVNDSPETTWKAKFNKFGVKNRSYGFKYTRNQTAVEEYMEHIRKFFESDAMKRHLEELENYKSSDLPKHFDARQKWPNCPSISNVPNQGGCGSCFAVAAAGVASDRACIHSNGTFKALLSEEDIIGCCSVCGNCYGGDPLKALTYWVNQGLVTGGRDGCRPYSFDLSCGVPCSPATFFEAEEKRTCMRRCQNIYYQQKYEEDKHFATFAYSMYPRSMTVSPDGKERVKVPTIIGHFNDKNTEKLNVTEYRNVIKKEILLYGPTTMAFPVPEEFLHYSSGVFRPFPLDGFDDRIVYWHVVRLIGWGESGDGQHYWLAINSFGNHWGDNGLFKINTDDMEKYGLEYETAVV >CRE20765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:242118:246133:-1 gene:WBGene00060919 transcript:CRE20765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pyk-1 description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:E3MFG1] MYRFWSFISGDNRNPSMRSIENAKHIAHVEQVPLTPILSKLAGEPVAQDLNNNDVKPVDGGLKEDGTRPKTHVCSVPGFRQNPYKTRQEQKLAAIPATTHMEHLCRLDIREAPHLVRQTGIICTIGPACASVDMLQKMILNGMNIARLNFSHGSHEYHAGTIANVREAADSFSDKRVIGIALDTKGPEIRTGLLAGGASAEIELKKGASIRLTTDQHFSESGTAINLFVDYKNISKVLEVGSRVYIDDGLISLIVEECQEDAVVCQVENGGMLGSRKGVNLPGTIVDLPAVSEKDIKDLQFGVEQGVDIIFASFIRNAEGIRTIRKVLGEKGKKIKIIAKIENQEGVDNADEIITESDGVMVARGDLGIEIPAEKVFLAQKMLISKCNRAGKPVICATQMLESMVHKPRPTRAEGSDVANAVLDGADCVMLSGETAKGDYPIDALKIMHYICKEAEAAVYHRRIFDELLQSTPKPTDMSHTIAIAATSAAASCHASAILLITTTGRSAIQCSRYKPAVPILTISRDVAVCRQLHLYRGVFPVHYQSERAADWPTDVDNRINHAIAIGKDRGFIHRGDFLVVVTGWRQGAGATNTLRIITAE >CRE20767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:256308:259635:-1 gene:WBGene00060920 transcript:CRE20767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eef-2 description:CRE-EFT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MFG3] MVNFTVDEIRALMDRKRNIRNMSVIAHVDHGKSTLTDSLVSKAGIIAGAKAGETRFTDTRKDEQERCITIKSTAISLFFELEKKDLDFVKGEQQFEMVEVDGKKEKYNGFLINLIDSPGHVDFSSEVTAALRVTDGALVVVDCVSGVCVQTETVLRQAIAERIKPVLFMNKMDRALLELQLGAEELFQTFQRIVENINVIIATYGDDDGPMGPIMVDPSIGNVGFGSGLHGWAFTLKQFSEMYADKFGVQVDKLMKNLWGDRFFDLKTKKWSNSQTDDSKRGFNQFVLDPIFMVFDAIMNLKKDKTAALVEKLGIKLANDEKDLEGKPLMKAFMRRWLPAGDTMLQMITFHLPSPVTAQRYRMEMLYEGPHDDEAAVAIKTCDPNGPLMMYISKMVPTSDKGRFYAFGRVFSGKVATGMKARIQGPNYIPGKKEDLYEKTIQRTILMMGRYIEPIEDIPSGNIAGLVGVDQYLVKGGTITTFKDAHNMRVMKFSVSPVVRVAVEAKNPADLPKLVEGLKRLAKSDPMVQCIFEESGEHIIAGAGELHLEICLKDLEEDHACIPLKKSDPVVSYRETVQAESNQICLSKSPNKHNRLHCTAQPMPDGLADDIEGGTVNARDEFKARAKILAEKYEYDVTEARKIWCFGPDGTGPNLLFDVTKGVQYLNEIKDSVVAGFQWATREGVLSDENMRGVRFNIHDVTLHADAIHRGGGQVIPTARRVFYASVLTAEPRILEPVYLVEIQCPEAAVGGIYGVLNRRRGHVFEESQVTGTPMFVVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQVLPGDPLEAGTKPNQIVLDTRKRKGLKEGIPALDNYLDKM >CRE20701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:259937:262790:1 gene:WBGene00060921 transcript:CRE20701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20701 MDSTSQLSSTEETDSPSSLLAEPPTSDSQDQSMTNENEETTKTIEEERKLRRLERRKALALARSPQAPKLGTVHQSGNNTIDLTNEDDDPSNLWFKKTFNAEKIPKKAKSPEDAKLFAVPRTISKNAPKSLIVRENLQKKLQASMSAKRRKAQEERRKMYEEDNEHLKPGSDEEEEEVVFKKKKTKKPENEEYDSDEDDEDYKPGNSDSEEGKNDEEMSVNLLNDSFTYDVFNNVKRSGPGSVVSNFDTRSQDDPSEKSTIIPTMTQILGGVVSSSADNPDDILNFCSGKFEGEGFPDTLQMLLDEGKSENRSEPVASKPVLKPSENSDSESEDDSGPMKRLNKSAKANRILDSDDDEEVENSPDAESVILKSQDVFASSSVETEDVPDTIEVQEKEEIHLTRIVVSGDEDSEEEDGDADDEEEVEDGEEDQDDVGEEEEEVEDVEVPEPNFDDEDDELAVIKRIEHQEYKKQIKKRTLFDDEASLSGDDVGSDLEDEEGVENAYEAEEGDADDVPDNDTIRRQNFKMLLKQENDKETRALAKLQDRLLADGDLGGVETNRQFRFKLREEVEIQMAGVDGDGTEDQQEDDDEEEDEERKKEKAEMIKYRIEHLFKFIFQAEELRLLEQSRDDDDDGMFARAGKIMMKTEKTVIEETEEPSTVKYKPLIKPSLLTKTTLAVSFQEVLNGAGGAGPKQMYVQKFEKNSEVMSPATSSRLSSGIGAVKRVLKSPEQQQNSSAKRVRSSKLSSLE >CRE20702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:263175:263561:1 gene:WBGene00060922 transcript:CRE20702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-54 MLRAALRSGISLTARRSYPPKAAAGAGVKVDKSFVEEDAVKLATHVCINAYTQGEEPGPKILPDSDYPEWLFKLDLRAPRELEDLDPEKDGWAYWRALRKRQIEQNQRIQKLKTKFLHLQDSPSMKKK >CRE20768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:263995:268549:-1 gene:WBGene00060923 transcript:CRE20768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aspm-1 description:CRE-ASPM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFG6] MDSTLERNKAMKLRQMAERHEQLARAKAEKEMKKKKIDLGATEKAFLQTSPSAISMKTPLQTQQRSFTITAESSSSPILSFDEKADKQMIALATWTNTMIGMDNSEELDLGATTAEACRRIQKMLSKKADSSDIETPQQSARRRYHRIFEKNDSENIRKQCKKLLDDSGMENSIRDMLSKNCIAIREEHSVYNDLALQTTLLRTFLSFHPAWLKCALEAIFNAKIDAQPKYMIRALSQFLIERVFSNPAMLKNKKFAQGSGKPIITKAGREALHHHFLEVSMKLMFLIEAAYTHNVIPNLTRIFTKSSQFKSLDDMFSELTKELLTGSSLTFRKAFGKIGFIPSYKQSFIDNYDYQAKGKFEDFSDGLILAKLTETVGEMPHGKLLLKLRDPAGDRIRKVNNVKVVLQEMSALGISTEDVTANAIVGGKKEAILAILWAIVGVRVAKERKIRVTRSTDNAEGMTTPKKRRSAVHDDMSCEVLSACKAFGRDLDIEVLDLDTLTDGLLLDKIWTVYAPNGMSIQVYPGETLWEKIVSMAELELGIPRGLDQNVPLFVKMFLERTQMVRMYEKAAKIQKMWRCYIERKNTPKLYFIVKSLLNTELRHRSMSPASSIDNGTFTIPKTPGSSRILTEKLSSSQIPTTPRFSMNSTLNDATFTVSRDSIDTLSNTFKQPKTPLRGTFTRNTITAMHLNEVIEESEEDMENDETVVPSTLKKRVRVEGIQKVSIFEAEDSENHLTVQKSKTLVVSSEEVHIIKTINMNSENPVKIENIDNADQMLKDALEANLDDDESVLADNSTNCIPSVSELSPFFVNIPEDVSKSVSDGVEFASDSAERSSDYEKTQRSVSATEDCSQFLEKQEFLDEVQHPEADLTLDSSAAAIVTEGAPEASKEDVETSEIAKDVEETPEITPEELDTSQVVQESLPVTENITENVEAEEVVKNLEETPESDLQNVTPTHESSILAPESIRTPIPTPRSTLTMEHTQTVSSKSNSFIEYEMTEEQKKNEEEFRQYEENQKQYVLRNQLNLEINDERDSVNTPELKRILRETKELKKKQEKIKNKLGAIERRAMEAKENAFIDSSFMNTARLSSISGIEEESERSDAGHDDALIQDETDEVSRKMDSLEKSIDEIRARKSPESFELLAEIEDRRAQNGAAEALEKERTLQETAATTIQKMVRGFLARRRFCLEIENWREKMIRYNQILAEENEQFERDEAKDSSVENKLRNCTVYGLVNDNLHIVHLAATIIDRITDLVPSLLGKFVVDLNGVKIIYEILAVADQGLAYTSILHPLLRILKKSFVKVPEEVSNAKIRPILSKLSPRLVLLMCKHCMNTEFFDPIIITLISIARRFQTKKESALDPAYAIRQTMK >CRE20703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:268899:269990:1 gene:WBGene00060924 transcript:CRE20703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20703 description:CRE-GIP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MFG7] MCTISRGKLAKVLKSTSNCIFENLAFEEHIFRSHNVEKNGEVLLIWSNRPAVVIGRHQNPWVEVNIPFAHERNIEIVRRHSGGGTVYHDLGNLNISLLTTHAQHCRPKNLKFISDALNNRFSVNIVPNKRDDMELQPGTRKCSGTAARIARGQAYHHLTLLIDADLSILSKSLKSPWRDQIESNATRSVRASAVGFLKQDDQKANVDESKAAILEAYRQLFDECQIETVNVSEEVRKSEEISKIVEELKAWKWIYGKSPKFKFFGENGSEIEVKDGLIIGTDQQFSTDL >CRE20704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:270290:272722:1 gene:WBGene00060925 transcript:CRE20704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gip-2 MRVAQQIEPWRETRRQLLNKWHGVRVCDEPSPWYMSIPTPFSQLHNVLPEEQEEMVFTELKLFLVGHQTENIRIDQFDSHLLPVTIAVNISFDPFIRSQLERFYDILITISTIKICCYSITHDAVTFSPIGSAVAGTIEKKINGFVTNICTKLSALQESTQQMNNLYREMHKIHESLTFYCGIVRSIYLEQLIGGQVLTLIDSKRRDVFSGNIQDLDDVFQAGWAVFARSIGRLVCKFEADYLDYEFVIWSTKQMNENLYKKLASTQTKHTKSPRYVIIDSLCPKFFIKYLDILVRCAEFGDASNAENSRKVIGQVKGKESVESVMEDVRNVDWSNLDVNTTVRMLGQFSRKESACLLREITSATNVDQAIRDIHELLIKGSCAHDVMLYGLKADILSKPVEEVGPIRMKKLTNDVLGAAAEKHHPFWKYFSFIIDRQNVFEMLGQRTRLGSHNIEPRETVTPALFECISVKMDCPIDIEPIISSSAVFTLIPIFRIWLQLHVASYTVAEYRDSVRGQMPSRQLGDVAKQKHLMYALDSEISRIKQKWIGYVNVAITLYYERVQKAVSLDEYTECQDILAREVTKMMGITELSQLKIIKDTLNIIWNYNNPDSNLDSLIAEFETVQSIVQMDNNIVMA >CRE20770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:275154:276290:-1 gene:WBGene00060926 transcript:CRE20770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aph-1 description:CRE-APH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFH0] MGYLLTITCYIASFSPSIALFYSFIAHDPVRIILFFLGAFFWLVSLLISSLAWFGLSSFLPNTFLISLTFCIIVQEFARVAYFALLKKAQKGLNKITRQGQISVAPGVSDLHNARHMLALVCGLGMGVISALFYTMNAFAVFSGPGTIGLPLALETGEIDPNRAGKYLPLCYTLSAILLSLFHVTWTIMVWDTCHKIDRIPSAFVPAAAALISHLLVAFLSSLNSRGFYIVVFPVQFLILLICIAYCNVIMGGTISSFINGIGQSITDGITFKGIRTLIEERKMRTQRQSVPDEPMTERGGTATEGEETNTVDA >CRE20771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:277131:281429:-1 gene:WBGene00060927 transcript:CRE20771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tat-5 description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3MFH1] MGKKKKNDDGCSSSSSQKQSVNQSTSTSKDDYSVSFVKSEEDDVATTIKDKTASLKSNATHFSAASAAKGGMLCCRSLFSRRRILHSRTVRVGYGPVGHDANVTFSPNTVCNQKYNIFSFVPIVLFQQFKFFLNLYFLLMACSQFIPAIQIGAPITYWGPLGFVLTITLIREAFDDFVRYLRDRDLNSEKYEKLTRDGTRVEIRSADIEVGDVIIMHKDRRVPADVVLLRTTDKSGACFIRTDQLDGETDWKLRIPIPYTQHLPNEADIMELNCEVYAEKPQKDIHAFVGTMKITVDDSVQDGSLNVENVLWANTVVASGTAVGIVVYTGRETRSVMNTTLPESKVGLLDLEVNNLTKLLFIFVLMLSTVMVIMKGLDNLWYRYLMRFILLFSYIIPISLRVNLDMAKLFYSWQIGRDKHIPETVIRSSTIPEELGRISFLLSDKTGTLTKNEMHFKKIHLGTVAFSSDAFEEVGQHVKSAYAGRLAKHSFSAKLQNAVEAIALCHNVTPIFENGETSYQAASPDEVALVKWTETVGVRLANRDLHAMSLSVQLPNGQTLMKQFQILHVFPFTSETKRMGIIVKDETTDEVTLLMKGADTVMSGMVQYNDWLDEECSNMAREGLRTLVVARKPLSTAELEAFDRAYHAAKMSISDRSQNMANVVNRMLERDLQLLCLTGVEDRLQDQVTTSLELLRNAGIKIWMLTGDKLETAICIAKSSGLFSRSDNIHVFGNVHNRTDAHNELNNLRRKTDVALVMPGSALNVCLQYYEAEVAELVCACTAVVCCRCSPEQKAQIVQLLRKYRAPLRVAAIGDGGNDVSMIQAAHAGIGIDANEGKQASLAADFSITQFSHVCRLLLVHGRFCYKRSCALSQFVMHRGLIISTMQAIFSCVFYFASVSLYQGVLMVAYSTCYTMLPVFSLVVDRDVTATNALTYPELYKELGKGRSLSYKTFCIWVLISLYQGAVIMYGALLVFDADFIHVVSISFSALIVTELIMVAMTVHTWHWAMLLAQALSLGLYMISLILFDQYFDRQFVLSWVFISKTTAITAVSCLPLYIVKALRRKFSPPSYAKVN >CRE20773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:287292:290086:-1 gene:WBGene00060929 transcript:CRE20773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ent-7 MSVKIFIAFTIVSISSMFPNNAFMNAHEYFYYKLRNVTHDEDPVNTTSSWFIKRQIREDAPTELQANFEAYLTVYGSIACVLGSILNVFATKSLSNSTRMIWGHLLVVVVFIPTIVLTLINFDDDQSFFFNLSMGLISIACFGSLGMMAGGVLGLSALFPSKYTQAVMIGQSCAGVLAALMSILCQAVTSNVILNGQMYFGFSLLMCFISLATYYYLTTLTPPIELETVDDMNGLIDNQEVQGFDDVNEVSIEAQASHFPPIDSDVTSENILEDGPKWAMYTDIIKKSSIDLTTISVVLIVTLAAYPGLTSLVHSTSRNHTWNSYFSAVASFLLYNVGDLIGRSSANSLRLPRKYLLVIAFFRFLLIPMIAMCNVSPRSHTHAMIPYDGVFVLLVILLSISHGFCITNATIGATMSIEKQSRELAGSIISLIGVTAAMMGGVLGVLIIKLV >CRE20705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:292817:297106:1 gene:WBGene00060930 transcript:CRE20705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20705 MCNDPINITITTASSLIQTTSAPPISLPILKPESTVSPCDLIEEAAALHCIGPLVDYAADLSNNVLHPPLSAVEMTCDKYNQYMMCTQGVRPDCRQSRAPNIEFMYETICEEKFAAIMKEEKVCLLEVENDKNVKECFVNKTNTLRDETPDTVSTPTQNYECTVIQAYVDCLIEREDTTCRDAVKIEISFLSMLAKRNSEARCELNTDATKARPKAQPLEECDTQGNCKCRLPGYYYEAARKKCLDVDECESLSSACSQKCINLPGTFECSCDQRFYKLAADNRSCERLDQSPMWLFFAHGQSVWNISTDGKSFQLQRAGLQKTAMIDIDVKENRLYYADIGANVIERMNIEGTFPQAVQRFDVDGLEGIAVDWIGRNLYSLRREDILAQSLDGRFRQSVYKNVMKLPRSIALHPAKGIMFVTDWSANAFIAAASMDGSHFRKIVTDRITWPNAVAVDIFAEKIYWADAFLDTIESANMDGTGRRIIIADAGSVPHVFGLAIADDFLYWTDWTYRGILRANKHNGENITVLAQTALLPYSLKIFHKTLQPEQTSTCDTRKCDQLCLLGENGAATCACGEGFDLQTDGKTCRSNCSESQIECGGADPKCISKIYLCDGLAQCSNQADELNCPPRICLPGQFQCHDNRKCLPPGGLCDEVADCADSSDEIYCSHQTKTSRGEFVLNSPSRKEKRSSDYWSENMI >CRE20774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:297393:299385:-1 gene:WBGene00060931 transcript:CRE20774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20774 MSYTPTITATIPIGTVVNIVCYTGYSLSGNSIATCTSAGWSPSSVGSCVQQEVLGASCPAGIPAVLGATMTYSNGEPFGPYPTATVVTATCQVGYVPTGIMASTCSNGIWTPASLGLCELTGNEIGGTSCGRLGDPLGGTLVYSALGLGPYPTGTSATVLCNIGTTLSGSPTALCSNGVWNPLPGTCVSVLLRKGPAKGVPKGAPEKLVVGQNVTAADDVPDDSKNVTAAPTGLMLSGEKCPPPIAPAFGEITYSGFSSKGTFDDGTTAALRCNLGYKPTGASFSTCRKGSFRPILGKCANGSEGSLPGVCVPLSPPKNARVVYIQSGSSLDFEDGTTGLLYCEEGYAVTGIATLQCQNGQWEPQSGFGMCDSI >CRE20776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:309953:310960:-1 gene:WBGene00060932 transcript:CRE20776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmat-2 description:Nicotinamide-nucleotide adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MFH7] MKRVALIAVGSFNPPTIAHLRMLETARSHLEAIDTHVVEGIMSPVADSYNNKPTLIKSKYRIEMVRAATKTSDWIRADDWECTRPTWTRTIDVLKYHRERIQKKFGSDVGLMLVAGGDFVDTFPRILPDGSNLWNPSDILKIIVDFGLIVLTRDGSTPLNTLDSMPGFSEISGKIQFISDEVCPSAVSSTRLRAAISAKKSIKYATTDEVIEYIQENSLYQK >CRE20706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:312933:314685:1 gene:WBGene00060933 transcript:CRE20706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-5 description:CRE-RAB-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MFH8] MAARNAGGAARPGGPNRTCQFKLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLTQTVCLDDATIKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNQESFQKAKNWVKELQRQASPNIVMALAGNKADVANKRTVEYEEANAYAEDNALLFMETSAKTSMNVNDIFMAIAKKLPIGPAQGEPTGTVDMNQPQQQQKGSCCK >CRE20708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:327699:330717:1 gene:WBGene00060934 transcript:CRE20708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20708 MTSNEDITSRSHGPTKVKKSTVGDATSTTSSKSKGGRSPRKSIPFVSSKFWLRLVRPWKWRNLRRKVRRSDSDRSSSANRVNSVSNIPTTSPSLPELSSIVREDSVEIPAGGSNDNSEAITYRPATSQYTSVSNHHTTENNSPQNPQPLIVDPTHISIVRTRIQDPQQESTSSEVQRVQFVQSTSSSRPSGDQAVFKAPPPPPALIIQPPPESRDSDEENINYDQSTESEGEDGDESEEMKSSGVVNGRRGELSPQTSRMLKEGYRRIEAKEPNFMAQPDKPVLRRPGQPSRLRLNGKTGRTSKDVEPSSSSNYQSDQNHPSKLTDDSDSDAPIQYRDDPISLAAAAARRAANVAPPGSSTDSDTGDDEEDVPIPKNGLASKVARRDTIALKLDAPPCKDDINGQTPDDRKKLMKTASIKLARKLSERPTPEELEDRNILRRRGMTSSEAMEEKRKLLLRKLSFRPTIVQLKEQQIIQFNDYVEVTQAEVYDRKGEKPWTRLTPSDKAMIRKELNDFKATEMDVHEESRVFTRFHR >CRE20777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:331214:333711:-1 gene:WBGene00060935 transcript:CRE20777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prom-1 description:CRE-PROM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFI1] MDSSTPKRTYYLRSTDRAAQAFPTPKKPSSTATDKNVRISLRKRNTPTEGGRGQKRQRTLQSHGPPFKSPECINPPKKTVNEEQRVIVSLPARMSLEMETPFGNFGKLPTDVVFQILTRTPYTLLGRMSMTSTSWNRTVVSYMKTGSFRLRWITDIENSQDSFNNVTPGSDSLYFAMGALIKFITANDKWSTRLHCLRTFLALSYEVGAPIAGLGKMINAFAIRPDEEVMMDQIDDIVDMVFSVVGSLKDELNSVLVRPDVERQLENRDNLHVWFYEIELRRRTLALFLNNGTADPALGLNKYFLSSLMKIFKDLRGAMPTALFYLLFSPTIFHENEEVIDFHRLSQISVLDMEDTVELKQFTRAIYALLRCKQLNNSVPWSKNTVFNLMEEITTYPNPWSMNTFVSLHVLEPELVPIGVVARMNRNHEDEAGDMICTMKMLLHRWNMDVQGVMENAIEAIKAALCPIQRRTLFDRCWDWHQRNIDDLRVRFGPYSDIRAEIESQIEVMPVLMKLL >CRE20709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:334453:338473:1 gene:WBGene00060936 transcript:CRE20709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpoa-2 description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3MFI3] MDCDIASYHVSSFDFLVTEGCQFAAQAVPAEKFRLKNGDAVTMKFTSAQLHKPTLDNGAKLTSDTLPLYPAECRQRGLTYAGNLKVGIDVHVNGTRLDIIETILGKVPIMLRSEGCNLRGMSRKELVAAGEEPIEKGGYFIVNGSEKVIRLLIANRRNFPIAIIRKTFKEKGKLFSEFGVMMRSVKENHTAVMMTLHYLDTGTMQLALQFRREIFYVPLMYVVKALTNKNDAVIMAGFKRGRNQDQFFSSCVLNMLAQCQEEGILHQESAIRAIGSRFRVAIADRIAPWEDDLEAGKFIIRECVLVHLDSDEEKFYMLAYMAQKLIALIKGECAPETPDNPQFQEASVSGHILLLILRERMENIIGVVRRKLEFMSSRKDFILTSSAILKALGNHTGGEITRGMAYFLATGNLVTRVGLALQQESGFSVIAERINQLRFVSHFRAIHRGAFFMEMRTTDVRKLRPEAWGFICPVHTPDGAPCGLLNHVTASCRIVTHLSDNSSIPSMLAELGMYTQKTVSLAPPGEELYPVLMDGRYIGYVPIAKAASIERYLRCAKVANDARIPYTTEIALVRRSTDIKNIQTQYPGIYILTDPGRLIRPVRNLAMDSVEHIGTFEQVYLSVVLDPEEAEPGVTMHQELHPSCLFSFAGNLIPFPDHNQSPRNVYQCQMGKQTMGTAVHSWHSRADNKMYRLQFPQQPMLKLEAYEKYEMDEYPLGTNACVAVISYTGYDMEDAMTINKASYQRGFAHGTVIKVERINLVTDRERKTIFYKNPREDMKTVSADGLPIPGRRYFLDEVYYVTFNMETGDFRSHKFHYAEPAYCGMVRIVEQSESGSGAQHALIQWRIERNPIIGDKFASRHGQKGINSFLWPIESLPFSETGMVPDIIFNPHGFPSRMTIGMMIESMAGKAAATHGENYDASPFVFNEDKTAINHFGELLTKAGYNYYGNETFYSGVDGRQMEMQIFFGIVYYQRLRHMIADKFQVRATGPIDPITHQPVKGRKKGGGIRFGEMERDAIIAHGTSFVLQDRLLNCSDRDVAYACRRCGSLLSVLMSSKAGAHLMKKKRSDNEPLDYTESQRCRTCDKDDQVYLLQVPRVFRYLTAELAAMNVKIKLGIEHPSKVTGS >CRE20710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:345992:347950:1 gene:WBGene00060937 transcript:CRE20710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hxk-1 description:Hexokinase [Source:UniProtKB/TrEMBL;Acc:E3MFI2] MSSIVCHPLIDTQNGIATDARIKKQRPPPLVVSRPRDLIQESCERLVLSDQQLRRIMTLMEKSMEQGLSASKKNVAVKMLPTYVDAVPNGTEKGDFLALDLGGTNFRVLHIKLEGKETKMTGKIFRVPESIMRGTGEALFDHIADCMAKFMEENNLKDAPKLPLGFTFSFPCEQDGLTKGKLVTWTKGFKASGVEGADVVTMLRDACHRRKDIDIDVVALLNDTVGTLMACAFQENTCQIGVIVGTGTNACYMERLDRIPKLAGYVDEHGVTPEEMIINTEWGAFGDDGTMDFLRTKWDDAVDRESINPGQHLYEKMISGMYMGECARVVLEDLAKQGLLFGGHSDAISIPHCFPTKFVSEIDSDLLEDDDRTFQKTYQILEDIGVEMITANDCANVAYVCSLISTRAAHLTAAGIAMLLNRINKKQVTVGVDGSVYRFHPTYPTLLDAKIAELIVGDIEVRYKLMLSEDGSGRGAALVAAVATRLKEEKLAALSSSSSSSN >CRE20778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:348763:350612:-1 gene:WBGene00060939 transcript:CRE20778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20778 description:Phosphoserine aminotransferase [Source:UniProtKB/TrEMBL;Acc:E3MFI4] MALEGRKINFAAGPAKLPEEVLLKMQEEQLNFNNLGVSVIEMSHRSKEFGVLLNETISLIRELMNVPDNFEILFMQGGGTGQFAAIPLNLKGSHDHADYIVTGAWSSKAADEAQKYIKVKKVFQPSKPYVTVPDQEKWVHDEKAAYLYYCANETVHGIEFTPTAPESHNVPLVADVSSNFMARPFDFKDHGVVFGGAQKNLGAAGLTIVIVRKDLIGKEQGITPSVFSYKEMIANNSLYNTPPTGGIYTTNLVLKWIKAKGGLQAIYELNLKKSGLIYGIIDNSNGFYHCAVDKRYRSIMNVCFRIGGPSGNDELEEKFLKGAIERNMISLKGHRSVGGIRASLYNAITLEETQVLATWMNEFEKQHNTN >CRE20780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:357272:359639:-1 gene:WBGene00060942 transcript:CRE20780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-70 description:CRE-HSP-70 protein [Source:UniProtKB/TrEMBL;Acc:E3MFI7] MSFCKAIGIDLGTTYSCVGIYQNGKVEILANSEGNKTTPSYVAFTDTERLVGDAAKDQAARNPENTVFDAKRLIGRRFDEETVQSDIKHWPFAVKAKQGKPVVEVEVKGEKREFNAEEISAMVLQKMKETAETYLGHTVKDAVITVPAYFNDSQRQATKDAATIAGLNAIRIINEPTAAALAYGLDKGINEEKNVLIFDLGGGTFDVSILSIAEGSIFEVRSTAGDTHLGGEDFDSRMLQHFMNEFKRKTGKDISPNPRAIRRLRTACERAKRTLSSSTEATVEVDSLFDGTDFYSKITRARFEELCADLFRKTLEPVEKALRDAKIDKGHIDEVVLVGGSTRVPKIQKLLKDFFNGKELNCSINPDEAVAFGAAVQAAILSGVKDETIKDVLLVDVAPLSLGIETAGGVMTNLIDRNTRIPAKASKTFTTYADNQPGVSIQVYEGERAMTRDNHRLGTFELTGIPPAPRGVPQIDVTFDIDANGILNVSAEDKSTGKSNRITIRNEKGRLSQIEIDRMVNEAKQFEREDAEQRDKVSARNQLEGYAFQVKQALEEHGDKLSSEDRSRAKEAVEDTLRWMEANTLAEKDEIESKDRELKAVCQAILTKLHQSGGQQTGCGNPGSGGFNPSNYPQGPTVEEVD >CRE20712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:353550:364497:1 gene:WBGene00060943 transcript:CRE20712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uggt-2 MRLHSIILLCILIFPTFGSSEKLVKTRIHSKWKKTSILVEISECLSEQNDSLFWKFMDSIYLDDKEISTSKSDKKKSKMVILKFNSVLFSESDLEQYNLGISIASRLLSSSEMNLLRFSVSTRIFSPRVEIHRQLSLKFKPEKCSLTAFFVFGSQKGCHLSQLNMNNYDGNRSSEGVFQFDHIYPINSTANRTIFIYGKLGSRELNNLITGAKKLVETEENLRFAVRFSDFSATEVSSRSPVSLSGYGVELAIKNTEYKAVDTSKVEDLPENLHGLNFKILKQKHPNQQKELDSLIDNLEKVGEIVPLKKWQLTDLGYKTCEKILENGSDLEGIEKLLQDFPSHARTISHRTLNETLLKSIKKSQELLQSSGIGNGLNVLTINGRIITARDERIDLFSLAEVMKHEKKVVEDLVDIGFKLKDEEGNQVDHSKLLTLLDFTPVDLSTKAFDYRTAEPIVSSISDCKREIKIIQFLNNVESKGGPYRSIHLLLQPFPPDQIRPISRNIFNLIFFLDPFNSDDKLMDLVEQYLKSKVYIRIGVVPFFNERAHGMTIEEAVDSKPISPRKSKIWSSKDTLIKTLKKGSQFLENFGLSKVPHALLNGYLLDVSSPENFDVLLQENVQKQTIRLQMMIYHGMINDNVKIDEWWLAKETNPDIVARINQKIVIAFNDRRFLKLNEKAFSDMITSVDYFPKSSLSSLKSSNVSTWIIADFQNPINRFFAANTLKSVARKSENRIAIISNPKSSSRNSESESCSFEYGDLENLIETLESTDNCIKTTVSSKFLIANGINPGETVIVSNGLIIGPFSDEREYLKVEDFDYLKELWNEKGAGRTSEFFKKQYSVDDVDIKFYSAMARMYRKDVSRISFDEFKNSENIITFPPENASLPSVTITWISNPVSREAQQIISIVKLMSKVLNAKVEIIFNPAAEILENPIKRFYRFVAKEELEFNDFGAVENHFAVFSNLPQKQLLTMSIETNDGWMIELKEAEYDLDNILLDSTSEDVESVYSLEHILVEGQSRKSSGEASDGLEIELQSGDTTYDTLVMLNLGYYQLKAEPGVWNLHLREGSSSEKYKFLKVDSKQVEKDIKVVVDSFTGKWIQLVVDEVESKKTPEPSKIEKLMNSAKSFFSTPAPSDTINVFSLASGHLYERFMRIMIVSVMKNTKTQKVKFWFLKNYLSPKFKKSIPLLADFYGFDYELVEYKWPKWLHQQTEKQRVMWGYKILFLDVLFPLNVEKIIFVDADQVVRADLQELMDFNLNGSPYGYVPFCESRKEMEGFRFWKTGYWNNHLMGRRYHISALYVVDLKAFREFSAGDRLRGRYDSLSADPNSLSNLDQDLPNNMIHEVPIKSLPQDWLWCETWCDDNSKKTAKTIDLCNNPLTKEPKLNSAQRIIGEWKDLDEEISNIIRNDNRTKRSKLSESNEEKEEL >CRE20713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:365335:366999:1 gene:WBGene00060944 transcript:CRE20713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20713 MSDNFDWPVGNNEAKERLGERYGIHNIKNIKSISRFSSLHLDTKISASHAKPLSNADPVVVPIYHSSTYRFKTVNQFNEPNHGSNFVYRRCGNPTTENVEVVINEIEGGAGSLLYNSGLAAISAVFLEFLNSGSHLISMSPIYSGTSSFINETLKRFGVEVTFVDVEKEEDFADAVEKAIRPNTKLIYFETIANPTMAVPDVLGTLEVAKKHNIRTCIDATFSSPYNIQPLKLGADISLHSCSKYIGGHTDVIAGVVTVAHYEDWKKLKLQQLTTGSSLSPYDAALLTRGLKTLALRVDRISENAQKTAEYLESHPKIDHVYYPGLPSHPQHKYAKQVMKQFSGMIAFDVGTAENAINLVENLKLIIHAVSLGGTESLIEHPLSMSHGKQLLRDADGPTVAPGLLRFSVGIENVEDIIGDLKKALDQL >CRE20784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:389904:391585:-1 gene:WBGene00060946 transcript:CRE20784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20784 MHSKYGLLVLNSKNVQKLKQLLTSAATSLSSRLYIRLNPDQPRTDELVSKIYLNSANSCPKLDVRLLVSDIPGKIENYTAIGEEKPEKIEKIEKKYKKVVLGGTFDRLHNGHKVLLNKAAELASDDIVIGVTDKEMILKKSLFEMIEPVEFRIKKVVEFVEDISDGAKCLAEPITDPFGPSTRIKDLEAIVVSRETIKGADAVNKKRNEQGMTQLDIIIVELIEGSDEILNETKISSSSKRREDLGVLLRPVRRVPRETDRPYIIGLAGGIASGKSHSGKYLKEKHGFDVIDCDKLAHTCYEKGSSLNRKIGEHFGSDILIDGIVDRKKLGSIVFSDKSKLRELSELVWPEVKSKAAEIVEKSTAKVVGKIKKKNVTVSDHFIISNVKFITVIEAAALIEAGWHKSLAETWTVFVPAEEAVRRVVERDNLTKEQAIDRMSSQITNKERIDSSNLVLCSLWKYEETRAQVDRAVNDLMKRI >CRE20785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:392986:394843:-1 gene:WBGene00060947 transcript:CRE20785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20785 MNHIHDFCSIVSHYFSYSNFSIKKEKERRSHNRMAAPPQKELIRAQNGNYEVTKPLATGTFGSIYKAKRESDGKLFAVKCESLNMKSSLLRQMSVVLASIQYPSPFFATIEERGTVPQRFLFVVMPLVGLSIYFDQNFSFDVQYGENLIDLMHSVRERKFSMSTGLHLAEQTLTAIRDLHRNGFIHRDIKPTHFCIGRESEDQYHHVFLLDFGLCKRPRFANKNNEAEEQMRKNAILYRGVVKYASVHAHQGKNLGYKDDMESWWYMVLEFFLGALPWALLNKESEQDVLHLKKRLTAQMVAACWKTTSETSSAFFELLSIVREPKDVAEFVDYDRIADGITKLFEKSKANPQEPPDWDCMTDYKGPSYQQVPMIAPPEVGLKPEMEFGKNDPGAAAGSVEPMKSAEGAKKKKKKVRCFS >CRE20716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:395126:400817:1 gene:WBGene00060948 transcript:CRE20716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdc-1 description:CRE-SDC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFJ8] MVQDISVDQSMCSTRSNKARILPDKKLDSDIVPSEITVVANFYKDQNQDIIAELPRLPYITSPNYGDADDYENYPNKMSSWFENTKKPGKKQSDKPPNPKKRYYCIKCEWSFDTGQQLFRHKIMHRTPGSFACQVCLTLFAHAFNTHSHWRSICPQITKSRSDIELSRSDMSEIRNFVLCAMGMANRAQQYHVLGGIIFFPSPWFLKDKYNIIDTHYQRPCHLCHVTVPDKFLECHGDVHRGRFRIDGRIYGDFFCHICGQVFQLKQSLIEHWRFDCPELMNYTPDDIFLDDEEMAALAWLFLQSTISQDKIIAMAGNTMLTLERWARDHAKKYGFLHLLDRYYHYPQEIWPLKLKTGMDIMNDSIPIMGPNAFLRPPADGNGMTLNNPVLPVHATNLLATVCPVFYATGAVFTEIIGANEDPKEPKKLHRILMRYTTTGSIIDKYKISIRTCPILRSQKINGLRRPEYYNIEKPFFPKSPSGGQSKSNTTENALYSKSWTCHARFDTRMEQTDRKENICDICMRIVGIRSLKEHWTLNCVPLRIIFPEAEDRMCVNKTIALQIKNLFKKHKTNVTHIWVHRPKKDKETESEPAERIGERRKIHVEYVESAMKNIRQSLAVLIEESRKEQDQRRELEAKLAYSVVHAIICPHCGGRINQVRFFSHLENRHFYDTETKKICREDVENWKNGGCSESYKLLETIIPKEFERTGRSMLPLIPKEIYNKACEAHKILAKRPTQGDISFNDPSSTNAYDPWTENPTTRSVVLDKPLALCDKVPKRLEKSVVTLERKKKVGLEVYFPETTVADNSSIVNQSQEGDEYRTTGSTKKMKQIENKDYKDIITGEELPADLIQIRISQDKQFFGDTQEDEARKNRLNIKTIGEFYQQVELCKEDDDYSSSEQEEEDGLEKSDDENEDDEGDLSPDEEGKPKKKKRKPNPPGQSDDDDDDEEDDEDDENNDDDNCPDSTVIKAKVQISGNILLYLFFQKRDGCDPDDESEEAMKERNQVRQFERRKHKEMSLNFKGTPEEFNETQFAKLSINVDPSKLEQLKYLTRVESDNSEDSEDSDNDGDVGDGRNLLAFSMAYENSGDFLQSALHFNKHCKEQLPPKQSGHLKEKLTRLQKSTAIDLDNDWHIDQDGPPDAVRDKKSGTIYIGGYVRPMEKNEHDDLKSVYLTRGEYARQFKIFNCEDKEGHFKFYWPMDKITIEIAIENYREFERVEMVDADILFATLLAEHDPSNKDRQKSKSKPHTTISGKRANLIIANNLELDQMKFEHPVRAVAEKEVEPEEWEDMMNLEPEEPLDDDEPARKKSKRVKDDDDDDDDMGFPGPRVRANRVRMREEFYDNYDPKVDGGDEFGPLLRNYSRASTSTVIRDSDLYEDDKDIPSISTVPPKPKLRRRGN >CRE20786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:400792:402657:-1 gene:WBGene00060949 transcript:CRE20786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20786 MYLNSNIPPYFHDLLRKYGHLYGLIILKSRTLYLFVIFLVFLHLFLHPLPVLRRVRNAYTYKMKELDNDEKDLFFVSAYYYGNEYSLYENQVSLTFIAPRDAHWDRRKIIVIRSNGSTSVLEPMKVHRATPHNVCKFVTLVGTVTLKDDLMDLEILVSRNIAGIRYLPADNKQRDLVVCTPPIYNNVGWQSILLASHIYSRFGGHLQIYLSNTNPAFFDFLEELKRRKGISMNAFPDFYGNSKLNEVEFGGITVANSDCLSKYKSSASFITFLEWNELVLPKSFNSYFSEFANFFESEIFVGLLEFRNSKGISKVVTRPLLISSVWLNEPVERPFKLKSKQMDSNKIYEISVSDDVDSMIRGDFPGSDILKMEDRRAIGLGIRKLVELIYFIGFTSIWPY >CRE20717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:403016:404192:1 gene:WBGene00060950 transcript:CRE20717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20717 MNSLASNQPARFSDDPEVIEERKLIDYSKKVTIEDAKNGKVTRPVRVYADGIYDMFHHGHAKQFLQIKQAFPNVYLIVGVNSDEETLKYKGRTVQSEDERYEAIRHCRYVDEVCRASPWTFPIQYLKDLKVDFISHDALPYQGPQGEDIYEKYKNAGMFLETQRTEGISTSDSITRIIRDYDTYARRNLKRGYSPKELNVGFLTTSKYRIQDKVDEVCEMGREFLQVWKTNAEHYIDSFLQTFARDASISDEVKKSASGVKMEYGHGGVKEKNK >CRE20718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:405044:406290:1 gene:WBGene00060951 transcript:CRE20718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20718 MSDPLASFMPAPFSDDPEVIRKRDLIDYSTQITLEAAKSGDISRPVRVYADGVYDMFHYGHANQFLQIKQALPNVYLVVGVCSDEETLKYKGRTVQPEEERYEAIRHCRYVDEVFKASPWTCPIPFLKELKIDFMSHDALPYQGPLGEDIYEKHREAGMFLETQRTEGISTSDSICRIIRDYDTYVRRNLQRGYSATDLNVGFFTTSKYVIQDTVFEVQKMGRGLLQTWKSKSDYLIDGFVKTFAGDATNISPPKPEDLENVEI >CRE20787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:406645:407492:-1 gene:WBGene00060952 transcript:CRE20787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20787 MTITYPRKIWIIRHAEREDNINRHWKKLNGADGLASDNSMLSQRGKQQAKECKTRFKNAQFSHIFASPFDRTIETASIIVEDRDMKVKAEGGLCEALYLCEKPPGFWETDKLAKKFPLVDVDYIPVYSRYTLPKEGCGDDACVTRVGTTLRKIFEKYEGNLVLVGHGASIGACHEVLMGDFKYVGQATVSEFEETAPGKYRCNFSSDSSHLSDKKNLRPW >CRE20788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:408000:408967:-1 gene:WBGene00060953 transcript:CRE20788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20788 MNILHHKSWHVRTKSNMERVRRDERKAAEDEQRVLDRQIQAENERRINHLRQKADDRMTTMFGTSSSSGPAAKDVSISDETGHVNLFQDLEREERKNLGTGNKEYEAEKAAEKKEWESKMGIQVYFADNTNDLNKKKEWYEEMPLRRNPDGLTTSRKFDALPCISRGKEETEKEKKKKKRKRKHSDSDSDSDDGGKRKHKKDKKKKQKKKHHRDSSEERRLEEEYDRERKQKMAKLRDERIKRERLEKQRQYELLHPEEVQKKKKMEEEEEKKGKKKYNSQFNPEFFRK >CRE20789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:409406:413956:-1 gene:WBGene00060954 transcript:CRE20789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hgap-1 MFARKGKSSDVQASISRFTDLNRDCVSRVKHLKILLDTLTTNEKRQLLDEHSFETFHLVDELLLVNDVSSQIDSAIEAESGLWTLEQVLCLAPELVGSGWQRHAIESLLKKAVYPKNLMAVRKIAIRLFLVFYQCLGVYGKATPDLDRVFQCLLPFFPLKSGENAEDVLNEYCQSSGTGDWCERLRNGPIKSTASAAQTSKERAQMLQVYLDKFLEYCTRETVRIEWNDEGKRQECANFIIDKVIKLYMGECFTELETNGVDVFGGWEGADEQGEPLDTADPVVIARYWLIRWINNIASATHQPTNGPPQSAHSSLILYRNALFSSHKATNVALTLMREAMKLPLACSNVTHKVVNVLSSWLLQYEIPPFVASGEVSIERCSLLLANILLSFFHSPYLAQSGERHSSAISIAFAILTSCKQLVSHRIQLPKPLPQKFWSELMSGLCAVASKICHQSDIFSQHVSAAFTSTLISNVVIIKAVRRIEIDDDVWDEVHEVMKRGVWIPMAEQWAAIVNSVTRALILHLAHIDIFSREEALRKATEVSQTSRRQAIESANAMEEPRKESSEAGDSMSSTNTISEDNVFHDTDDITNAVTSWSGDKLAWLQTWRRVISLVDPYTNSSAHVAIDCMSLTIKNLLSVNLHPLAHWLACRLVTVPPILLPRCVPALSAILNESSVRRPPPLLSANILLCFIRLMQSKEQSVVPAICGLSANELSIVAPRALEHLPKMLQAARTSKDSKMSSNSLKLFAMLCSSYPGAEQILLDHLVHTDVSENAVVIVNTLAILIVQKAQIDLVLSALKVIETHQFAMRLIPLFCSAIATLAQFSSTTLLQALLRAASLLRDERQRTEIEWQMVKLCVQWPQPQMPLVIRGILADRHMVLHGELVGLGGQYPIRGFDVSRWNSSGSQAPGSQATSQEDKTVYINRQTAIISLSRPTPSKFEITSRTVVARHIWDLDTLETPRASTRHVTNWLRKEALKGKKPGRESQGILGAMDDPFDDLPRAPSSASTGTGSLGSGALGSPVEGSAQFASMIETSRRQPQPLGSGSGTMGSGPVGSGPTPAFTPNSKLLEWRSLSASLGFVPLVSQVHTNFLRDLKHLDQTSSREVHKVAVIFVGDTQDDRASILSNTSASPQFDDFVSELGWEIKVGRGHEGYSGGLPVETRAPYYADAESECIFHVSTWLNGDVQQKWKHIGNDEVHVVWTENYRKVYTRETIATKFCDVLIVLEHVGDKMVRVRVDTASALEFGPLFDGALVTMSELSQLVRLTVINASRAYRLARVEHSRPLRHREEVFCNEALGHMRPMALAQSINHLYVPTI >CRE20719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:418748:421466:1 gene:WBGene00060955 transcript:CRE20719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pif-1 description:ATP-dependent DNA helicase PIF1 [Source:UniProtKB/TrEMBL;Acc:E3MFK5] MSKILKNEEKDGISESPSNCTYCYTLECSLRIESTSSTKKKTPINSKNAILTVGRNAHRKIHLRIELKTTSSGQPAVVSYDATDAVVHLQNVANGKCTVEIPSLSLMFQMFNCAPRKLNVFMKSLQAKLDIMKMDNQSLSALPKQFSRPPAVFNVLSPLSVGEMRRVKKMREPSSLVTNPLTDNSKLSTSTTPKRRNSSMNLAEGLQTRIINRSIGLKRMTSFARDDREKAEVLTSLKPLKDAPSIAERIQLSDEQKSVVRCVINSRSSVFFTGSAGTGKSVILRRIIEMLPAGNTYITAATGVAASQIGGITLHAFCGFRYENSTADQCLQQVLRQPHMVKQWKQCAHLIIDEISMIECDFFEILEYVARAVRNNDHPFGGIQLIITGDFFQLPPVTKDNDPTFCFESEAWDRCIQKTIVLKNVKRQNDNLFVKILNLVRMGKCDQKSADLLKQSSKNEFASHVIPTRLCTHSEDADRINQQSLNETIGEQKIFHAYDDNEFDSKIRTLAVKKLILKVGSQVMLIKNLDVNKGLCNGSRGFVEKFSENGNPIIRFVSQDVSIEIRRSKFSIRVPGCDAPFVRRQLPLQLAWAISIHKSQGMTLDCAEISLERVFADGQAYVALSRARSLSAIRIIGFDISCVRANSKVIDFYKSIENNYDEDDDDFSRPSSKIKRFRSL >CRE20791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:421726:424379:-1 gene:WBGene00060956 transcript:CRE20791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20791 MKTKTLLLLFLNIHLIQPHLQCLRWFPEPVSYKLEVSNLKLGEDFFMIDDFLMNRDENSTSKVVEDYVIGKLNEGKSGGYSDIFSIVFKQLPSVRQLIISMFCLIVSVVIFFIVWMMDVSEFFEFIGIRFKFTEHRSGLTIIPIFCCIGLLVLHSNIRDSFAATTKGIVDLYNTNDGEFAPLEARIARKMEMVPCLFKKDFAKGLISHRLVDRYTDNMNMTSLFDRVRDTLFGRIPTMIDSLPKTILQDLLKRNVVFDKKEMNNNCMNLLKITNESLMTWRTEMNFNILHSELGNLEAEYIDIILKALDVSIPIFIKALGTIEDFVEKYHSTVLILPKKHIIEFYETCAESSITISLVITVILTALLMVKFEFKRKWCKRVAELSVPVTMSFTIICLFLFAALIVRITFIQLVHQQVEHENFAAFEKVNPFNLTENGAIYSINYGNVLKKAVEQETTLFHLLAAESEYNENRRFIPRSINGKLLFNSTKKFNATIEKLVEIMNTKYEKYVEISCEKSRLAFEKLEKEVEKSHCSENRSLGILNEMRTLLNTTHHTACNLKTNPIDKSKMRSSSYSAWVQSLLPLKNQALIRKASVQDVRSPMQVYLIFENPSRKLRPTVLENMLHMAVLIVSMAPIFLILAIYFMCILPLLMGDEESEWAESDSRSSVLEENRSKNSSNEKSGLKNYEN >CRE20792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:427401:430869:-1 gene:WBGene00060957 transcript:CRE20792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20792 MRLNIFSFLFILTFIYERIQAIHNTDENSAFHNFKLIRINPETENSVKYLRSLYEDSSPYELDFWQPPTHIGAIVDLTVAPSDAPKFVRDLESKNINYIVAVNDLSKAIENERGSDKFYNPIAGFAYDKYNSLDEIHSEMKRLKKEYPTMITLIDIGQSHENRTLLVMKITGKRNPLGSKISMWIDGGIHAREWISPATAMYIAHELILGYENDATVAKLMDHIDFYILPVMNPDGYEYSREKNRMWRKNRSPAKCHRQTFSTVCCSGVDLNRNFDWFWASTGSSSDPCHDTYHGSSAFSEPESQAVRDFLEQNTPEAFISLHSYSQMWLIPYGHRKQSYPQDYHTGLRPLALRATKALYELYGTKYQVGTGADLMYEASGGSHDWAKGQLKVPYAYLIELRPKNTMMGHGFLLPEREIVPTGLETFESIKVVADELVAQFVEPVIRAKLTTTTRPSIPPYRRGYSIIDTTTMDPVEELAPVKKTFVAPTTTEATTTTTTTTTTEAPTTTTATTTVPTTTTTTEASTTTTESTTTTTTTITTTTEEPTTTSTTPIPSTITDSEPDSNATVVLPTETYPSEVTDKSEVSSTTLTPFEETSEIPVEEVIVFSILTDSSQLISIFSGNKPASEMHGLR >CRE20793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:431628:434500:-1 gene:WBGene00060958 transcript:CRE20793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20793 MKSTSSPQMLILLFFSFISLSLQSFSVYRLLPKTQTDFQAIQRLYKNATDHDLNFWKTGKDKHGFWDVMVELNNEKFLMDYLQINDISHVKTIEDVEKLISKHENNKTLSKMFPRLWDDSSSAHYDFHTYGSYQRMTDWMKQLVVKYPKMVQYISIGKTTEGRNIDGVEIGGDSRTKKIFWIDGGIHAREWAAPHTALFFIHQLTSRANEPGIKKLLEEITFVVVPCLNPDGYEFTRSSTNPHVRLWRKNRSKMQCRKDIWGRNRCCRGVDLNRNFDFHFRESGTSDDPCSEIYQGPAPFSEPEAKAVRDALLSQRYKGRTDAYITLHTYSQIWIHPYGHKKDAYPGDIKDLYEVGKKAAQALKRVYGTKYVVGSGADTLYPASGGSEDWAKHEAKVKFVYLLELRPDEKNWDGFILDEKELIPTARETWEGVRVVAEAVLDRIVAQKASTPREAPKARGFRFGDGTEGSCFDVRHACKRWVQEREELCRTVPIFMRENCAYSCNFC >CRE20720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:439769:443555:1 gene:WBGene00060959 transcript:CRE20720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20720 MNVFFLIFSSFLVLEIAAHQKKFTVWRVQPTTQDQVDILQNLYMHDVKLDFWKSPSEAGKEVHVMISDEKTPKFLQEMDDNSIAHSVMIDDVQKVIVEQKEKRDKLRKQVRLHDWREQKVQFNLAQYHSFADVINYLNSLAITYPELVSVQPIGTTHEGRQIPLIKITNKRNGGTKRGIWVDGGIHAREWVSPSTVLYFIHQLVTQYDKDAQIRQFVDTLEWYIVPLLNPDGYEYSRSSNDPEIRLWRKNRSPPKCIQQATGLFQPPTTTCCQGVDLNRNFDWFFGQVGSSTDPCSEIYQGAYAFSEPETAAVRDFVQRHRISTFLTFHSYSQILMYPFGHQVRTYSNDLNDLRSTALSAAQALNSVYNTQYKVGTGADTLYPASGGSEDWAKGKAHVKYSFLFELRPEEQVWDGFLLAENQIIPTARETWEAVKVIAAKTIELPSNTHRAPPKRCVDHDSLCPSWAQGGACENWPEMRLRCPRSCQVCV >CRE20796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:445742:447517:-1 gene:WBGene00060960 transcript:CRE20796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-16 description:CRE-GPA-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MFL2] MGCIMSQEDEAAKRRSKKIDRLLREDAESSNRTVKLLLLGAGESGKSTILKQMRIIHDVGYTTEERKVFRGVVYGNIILSLNAIIRAMEQLQISFATMDHESDARKLLMFSTTGEEDELPEELVVLMKSVWSDEGIQKALERSREYQLNDSAGYYLSQLDRICAPNYIPTQDDILRTRIKTTGIVETQFVYKDRLFLVFDVGGQRSERKKWIHCFEDVTALIFCVALSEYDMVLVEDCQTNRMRESLKLFDSICNNKWFTETSIILFLNKKDLFEEKIQKSPLTHCFPEYTGANNYEEASAYIQQQFEEVNKRTAGQKNQEIYTQFTCATDTNNIRFVFDAVTDIIIRDNLRTCGLY >CRE20721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:449417:451722:1 gene:WBGene00060961 transcript:CRE20721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-him-19 description:CRE-HIM-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MFL3] MDSTVTNSLNVSNLESPKSSSSSLFNDSSYRWKRTPEKSLSFDADVENLEVSEPFVIKFHNISNGERGQQHKIDVACQLDDFIEMPIADAGKCLDCMTDDRIQLDNVEIEIKICAPTSKIAIENVIRRYIERRERCRTNVPVKRIALFIYDFTYAKELAPILGRLAPNCIILNLGIPKMKTYPLQPSMESRYVADFISQFVGTRNTCETLRVLRIFNFVSLDSHLQKALSKCSHLHHLTISNIDDVGLFELPTIEAVFLDSCDFSITMFDEMFAEKHPRLFPNANTFGFLRCPVELSIRAVKEWSMDRKADERTELFFYQPERDFRKFLFETSKSFEVVNDDRELEGEIQIKGHNGGPLITVFNKDRVYKATTILDDI >CRE20797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:451917:453695:-1 gene:WBGene00060962 transcript:CRE20797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20797 MTEWVPPPTTTTTTTTTTTVDPCESSHPLDLTRRLRDTAGYNKIYMAKDFSTARNEFPDLRGLAHKPPADYHCGKRCQRSSQLIRKIIKTGQPAEAERAWWDEDEWEEGRRRRSRAADWRDNEDGTSNSNSLLSRNTGTSRHILGKLPLIYTTSTSVVSGMTVAVECDDETGEFNSGGYSLCTTCRAVRHLPSTYFPRVINELICSQKACLRGEGKCIQRVMPMKVLRNVGTRECARWQMSQIDVRTCCDCMLNPNSPLVTYL >CRE20722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:455854:465000:1 gene:WBGene00060963 transcript:CRE20722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pde-6 description:CRE-PDE-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MFL5] MENMGKRKRRRDMEKKKKMGGIRLHGGKDAPSQAQQMTTSLLKKKNKREEKKKRRNWGEKYERERRHEKSEKRREKEREMAGLASFGRGGGSQQQHSTLLEEAYTHIHTQHTEHQCIAMRWCCGGSYSENGGGGSGSSSRTPHQQPTPLLTVATGSATSNTHDTFGPMQVKMHKTAVIVTEGTGESVLLDSLRASGWNCTISFPTQATSDVESICPLAVFIDLRVPHPSQIAKDVSSVSTEEVLIVSIAEKHISEKRRRALGQSNIIHHVTWNTRDVTLFDYVGRLANRIRALPALFAVLDETDQAVEVCDEQRVVQYVNRAYENVTGCIRSEVIGQPESEMRRKSLPRARGEEERRRSSDWKFIRVPFANNSQFVYMKRSNTTGEAAIFRDVSLKSLKSQTGGIEAPISEVLTMLRDVSSRVDGEPAQTIKDAMKVLSSHELYAPSINRFRDADRIATQYYDGLIRLHHPARQRKRSVVDAHREKRGSHGERRRVSADVKNALENDNCWKFDILHLEKVSDHHALSQVGMKVFERWKVCDVLGCSDELLNRWILSIEAHYHAGNTYHNATHAADVLQATSFFLDSPSVAIHVNESHAVAALLAAAVHDLDHPGRGNAYLINTRQSLAILYNDNSILENHHIALAFQLTLQHNANVNIFSNLSREEFIQMRHAMVEMVLATDISRHFEYLAKFNKMHVTDVAEEQRDTNSLTICDMLVKCADISNPAREWGLCQRWAHRIVEEYFEQTREEKEKGLPVTMEVFDRNTCNVPITQCGFIDMFAREAFATFTEFAKLGELSDQLESNYEKWKLMTSQWTPSHNTNLVL >CRE20798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:467231:471637:-1 gene:WBGene00060964 transcript:CRE20798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20798 MYGGYQGAYGAYGSQQYAAAANAANAANRANAYQQGHPQPAQNYYTGVNPYANYGYGGVNAPLPPPPPPPPAPQTNYGSTYSSFPSQATKMTAPGAYFKKAQSTPSNQGAFNGYDAAVYNYAQQTTQSAQNTANHIGATWNNTTGGAAGRNNKQSSGDNNMFYCETCKVSCAGAITYREHLDGKGHKKREECLKTGKHPVSLAKHKLSYRCELCDVTCTGQDTYNAHIKGGKHIKTANLHKKMGKYVPEDVPTIIAPGVDGPIETKAKPKWHQQQIPGTKKVIGINTVQFVGGHKLNSTGQLEEKKRVVALTVGSAGKKEDSGMEPIIVEDEMLQAMIVAEEIQPVGAEYVMEDRDATGKLVQFHCKLCDCKFSDPNAKEIHIKGRRHRMSYKQQVDPTLIVDQKPSNKKSDKGAKKGMDYLPMKFKCTVVPPTPKGREMNIVDDRTVDQKYQSLHPGKEYNDNIDRFLADVKSCFKMVSDKIGEVSGESTSESEVTTAQTPTNGKTNNPRILIGCIRCGPFSKKTYIKADAYADMVLTCTPLPTPELVQRMTDTFREVSTDLTIESDPTSGVCVIISANYFPELKCRVMITSPSLRSEEDSEGAKSEFPEKAMCLNALAMIRSTKWYEQLELLVSNVIDSTPSVLNPAEAFKRVIEAISSGYLHSAILNDPCESTSINVLDALSDEQKHSLTCSAQSFIRKIGFNKIHEVLGIDRLVDVAPGIPTKKRPFDTSSRDVIGEMYDEMLGYDGPVIDPRPPGVGKDFVEEDYAGIDQDDGEPQAKRGKLDDFIEEQKMDTTKEEANLATETVELMSTEEEERNVADPVNPDKSEAAEPMEEFV >CRE20723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:477920:480636:1 gene:WBGene00060965 transcript:CRE20723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20723 MWGKITSHIGVWLGLEEAERPMRASCSLSMIHHPQLTAVRNSKSSENLHRITTMSPQRIQDVEGSPSTRKTSSIFTLRRSRNKEKKYKNNGSNKSPLSKNGTEMTQSWHSNNVNGTSSGSGSGSTPEHHNKNIFSFLSRQSRSRSNNPSESLSTVTHKTSPSTMPPPPTSNTVADFTHLRKRRPRSAHYDPNTITLPAKEEVTPQDVNDLYKRIDKLGEGSYATVYKCESKLDGSIVALKEIKLQFQEGLPFTAIREASLLRNLRHANIVSLHDIFYQHHQLTFVFEYMKMDLSKYLEQNVYGLDSIDIKLLLFQLLRGLDFCHRKKILHRDLKPQNLLLDEDGVLKLADFGLARAKSVPSRTYSHEVVTLWYRPPDVLMGSTDYSTSLDMWGVGCIFAEICTGTALFPGSKDSHYPGTKDQLDMIFSIRGTPDEKKWPEVKNLPSYNPEIFPRYRELSFIAVNPMFTKILKTGQELLGMLLQLRPESRVSAASAMLHPYFASFPREIHLLAPSQSIFRLRELRDLRSL >CRE20799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:480893:483550:-1 gene:WBGene00060966 transcript:CRE20799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20799 MHWSSWAIALNVIVLCIADEAPEGFPEDHVDLVFPMKMKYDSHLRQHDLPPHFIGVNETNLIPLTLRMETRRKRCSCGCSGCDLFPNRSCCSSSCCSSQKPIPLACCPPPPPPKPCCQPAFGPCCPATPNCCPKPCCRGRRPEYEEYEDEEGNPGGVPAPPNPPRTCCPPPTPAAPPPPPPPPPPAPEAPTQCCPSSPYGRTPCRSGCPNGDCGCGRPCCYYQNPTCCNQGQKACCPPEQPCCPELKLDTGCLSMIPPCLRSCPSCPCRKRLMLGKRTKRAAPGLHCQSLGLLGQQSPPTLISQPTKTIVKSRVKAAGTKTSTVTATKKLIEQSSDHVESPPTAGRLYDFRRAHVRVKRNLGGEGGCQLCLNGTPLKRTKRSFDCVPCTYLQPQYSDWNPFLGDQRPRGSQSPVGTPIAGHRTKRAGCLPHPQCTVHVRRYKRNLIGSQYCEPCNGHYGRKKREAERDQCLRREKRYADEQCDNDEFSVNERSKRQAYNPKGILDIVKLLSKASSGGNNPGGCMKFPACVLAQKKRRKRNADRLDKYYQAVEEHKKQVEEYEKALEEHKRVKRQFFAPDNSAACVPCPAWVTLALASRKKRDVDGKEVDDHEDKKQMTISEAIADIRSKKGYKEGFDADDDDSSEETIETRRKQRRSCQQSDDCLNNVEYAVFQKVYAEKRTKREAVFRRKKCSRCGVSGLTPHRVKRNFGQPNINVSEQNCMAFPQCRHRVKRNFLGEDCNICTQDTGLKRRKRNMGTAQCYPCPGTRA >CRE20800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:485305:506676:-1 gene:WBGene00060967 transcript:CRE20800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zfh-2 MDVALLTMDDTKPTTTTSNQNGSSGGNRANESAKCHLERILAATGFDEQSLVNGNFPFDASSLGFADLSPFSSLRNSSKTLKCPKCNWHYKYQETLEIHMKEKHSDADVKCIFCVENQPHPKLARGETYSCGYKPYRCDLCRYSTTTKGNLSIHMQSDKHLHAVQELPNSIGECDELGVIMSMTLINSFNAFFSEFAANFACGAPVSRSSPIEESDGSLICLICGIYATESIPEMLDHVEKDRSRTYQGDVTVMNGNFRCYLCPYQTTLKANFQLHTRTDKHLQKVQTANHIREGARPHTAIYRLSSTKTSVQVLCRQCQEVIPSSESLREHRHFLSTKQLWRCKLCNQEFDSVTQAIDFKLMEDAAYACAGCDFTTKDVSDFEEHAKAHEEEASSGGEGASSQKACALCLEPTEDLEKHLVEQHRIAESAIEKFLLTERTAKEASCSTSTTSDPSSSEFPHRCSKCSMAFRSESQLQTHSLKHVFNSFHKCPTCGDSFDENSIVTHMLEHTKEECEMCSETFPSKESFLSHLNSARHLQQAKKQLETSKVDLNSQDPSSSEKQRIYVCNVCKQSYPQAANLDVHMRSMTHQSRMSRLAELVANGDVDGDKTVFEQPGIPAPTIQSYIETTANQVSMNDLMSLLSRSESDEMREEVNGLQVLTQIKVYGEPKITNLVPGLEGKVDNIALFDDQQAAEISKIDCGACGQQISGILALNLHYEESHSSKIPSEVLKKFGERILSAVEEKLSRENSVRNDGSQSPQSDDEPMEKKIKLENMLPDMDKNAAANQFAMFQQMMNCLPFMAPPGSAGFGGFSPEMLSQLMNPAAAAAAAAAAAANAANNSPAKRARTRITDDQLKVLRQYFNINNSPSESQIKEMSHKSGLPEKVIKHWFRNTLFKMIIYWKPKKSITFQERQRDKDSPYNFSNPPQMGIDLDMYEKTGETKVHSLNNDNAPKSELSSARATPTIPTPTPLPLVVEEKKVSVSEPKSASSSASSSQLNLQAMLSQMQNNVNFFDATSFMAAATNPMTPSTPSSCNTTSSGRRANRTRFTDFQLRTLQQFFDKQAYPKDDDLEALSKKLQLSPRVIVVWFQNARQKARKIYENQPNHENSDRFVRTPGSNFQCKRCNQVFQRYYELIQHQQKKCYKDDGAALASDNKSVEESLTEEEKAQLLAQQQITQLASSLEMPKFQPADLLKMIGASSSGASTSDVLMKMCENISGSGFHKTCLFCNQEFRDKAVMTEHMNQKHPQHMLLPNFDLDLMPDAGAELLMDFKESALDLSGSSVDYRDSISTSPPRSEDDVLTEALDDSTFAALGIPLNIPGGHPGSGSECRSPASNKRFRTHLTPMQVQMMKSVFNEYKTPSMAECELLGKEVGLHKRVVQVWFQNARAKERKTRGAVDEDSRSGELHCEQCDKTFGTRLALQDHLFTSEHIGILRNQLKLEGVSELTTASVTECSPEKKTKSSNQLDLASLPFFNPLIDIQIYGTPIQFLQVPEDIKKQIADDIAAGKSRTVFSQDGLPLEQLKEALPENEKSSLSTVQKDVGWACPGCTFVFQEEKKLRDHQKSMCPCDKTLTLVQTHYSCKSCKSDFCLQSEYIFHLSMPPHTSP >CRE20801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:526351:531531:-1 gene:WBGene00060969 transcript:CRE20801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-1 description:CRE-MIG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFM0] MLPFLSIFITGRHKNFSLSFYFSRWSANRPTDRKKRTQALNFSFSLYHAYKYLITEGPPPTTKLRPHFGSFVNEERRRDGCHWWYLEREGVPSSSSFPSLLLLLIISLFTTKPIGPLTNPFHYHIMGPSFRGFLVLLLGVLGVGAQRCQRVDHEMCAELPYNLTSFPNLVGEESFKDASESILTYKPLLSVVCSGQLKFFLCSVYFPMCNEKLAHPIGPCRPLCLSVQEKCLPVLESFGFSWPEVIRCDKFPLENNREKMCMKGPNEQGAIQDERAKYAAAERENNDDEEDKRREADRLNGKCPQDEVFLNRSSKCVPLCSNPQKVGQTDRESATRLLLFLSLSSVILTILSVFIVGLSIYFRRLELLHSLPETAMFFSCIAFCVTSTIYIISVSFKDQFQISCTDYTHHLLFVVGGLSHVPCSSVASLIYYSATCSRLWWLLICFSWNKATRTANLLDESRTRVIMLILGIPLAPLMLALLAKAVAANPLTGLCFIGQASAGTDWIFNFCRELILFLISSIALSSACCRLLGSDDQEVNGFAGVIAAIYPIVALFYMLFFINDATQPNLALDRSFNAVSATKFSFDMLLSFIMCAFCLVYLLFKIARSSSKVSKEGYQPAVPKLPQPAIPGSVRSNTYASTFRTNNMI >CRE20724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:536450:538239:1 gene:WBGene00060970 transcript:CRE20724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20724 MKEEVPRDHHNITSLIYPDHNMRCHSTNDDDRLNKDVKFGVLRIFWGEMELPFTEEIELRAVKRSVEMKTFMSWEFRLGPPEDQKVLVSVPAHLFWRMLRTKKEELKMYPATFMFQLAQEGMEHLKTSIPDVYESVKKYHKERRKPLSELLFVVLDPANIQFFELGLLLYRGAYEVLIRQFHKVFDKHWADHNKWCMTRYPDPRVVYAPIEEVSGDDFSHLTKRFGLRNYDTRESADGIRYTVRTYLPRKEVKKKYKKGAHMKKTMNQREDGGVERREDAGGHDQEEILGNRQMSFDRKIVYPENLTDFFEEMRRKKQMQNRKNRLLKEIEDRIVKVKEPKIVVEVEEELIEVKEEPKDEAMEGPEEKTVEEDVSEEVELDFGMEEEEEEEEEEIEEADDGSFETDAPTTSTRSAKSRQVRRRAPGSAAPSTSLTLDNRPRRQRNEPTEIYKATPIKMRTSKRR >CRE20802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:538681:542140:-1 gene:WBGene00060971 transcript:CRE20802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-20 description:CRE-CUTL-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MFM2] MILLWIIGWAVLPFIQSVAIVDTSIACDKTDFLLKIKFDEVFHGTIQSRSGHPKCIYANGTLQPDTKYQLKIPLKGCSTKENGEGNLENEIEVVMGSNNDFNVETDKRFLLTCIPAAPVPKESQVTVSFGGITINSDATTASSILNNQTVDYRVRVLDGASLDAKQLQRPLAVGDKVTYTVEMKDDQNGRIGRCWANDGISELPLSDSQGCSLQTSGEVWGDFEVSRRDGKTIFYNHIKAWAFPTSNEVNIFCNLHVCVTCSQPSCRGRERRHHPETPVSDPFSSSIDVSDISPPIAVRTSFRLKRENQARLETSPTSSSASSSSNSSPSANHVFLVPLLLIVASGVFSLF >CRE20803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:542745:544642:-1 gene:WBGene00060972 transcript:CRE20803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-shc-1 description:CRE-SHC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFM3] MPDVDPRFSQELRSSGVTLAAVYLGSVPVIESMNSVVSQMRSQVVAECIQHVAATVGQCEAQEINPIVGRIIGEVKEENYVVDLNISSKMVKVIKKNRLIQRHPITYFSFGCRGRGENSNMFGYIAKNKDGTDRRCHVLSSKDAQKLVDTLIAAINVSMTDTRGTPSTSSTPSDDGFVKPEVPAEGRIVNLVRQSPVGSHRQVSDDVVGKVWFHGHLSRDDAQSLLTTAGDFLVRQSDHTSGKFVLSGLTTDGDHKHLILLDHQMRVRTRDHEFNNITELIDYHMTNGIAVRTERNAKGETSIMLLRPVPAPAEVPPSPESPN >CRE20804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:547720:548862:-1 gene:WBGene00060973 transcript:CRE20804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-46 description:CRE-COL-46 protein [Source:UniProtKB/TrEMBL;Acc:E3MFM4] MNENARETAYASIIIVSLFISLSTMSSFLISVHPLASILRPSIQNTVNDATYCQESAFEIKGMLSDIGSQFQNITRQKRDEVDDFLRETGQSAHERVCECRHPQGPPGLSGRDGLPGPKGSEGAPGLPARLPCEPPVDYKSLCADPCPRGIQGTTGITGIKGDTGKTGIPGKNGIRGENGKMGQKGPIGPQGISGLDGEMGDPGADATPKPFIPGPAGPIGEEGEFGPPGPSGMPGIDGPMGAQGPRGKKGKPGFPGNDGAAGAEGLIGERGEEGNRGVCPTYCATDGGVFFVQPPDWMLQ >CRE20725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:549348:550386:1 gene:WBGene00060974 transcript:CRE20725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-47 description:CRE-COL-47 protein [Source:UniProtKB/TrEMBL;Acc:E3MFM5] MKMLMFSVSCPLVFLIYHFFQILHEISSMDDGFIYANEEYKSRMKNLTKELAELELNKRREKRGYSSHPSICSCSISVWQKCPIGKKGATGEPGLDGLPGTNGINGMDGDNGHSNMNINMSPTGCIRCPAGPPGSPGDLGSPGEPGFPGQPGAPGPDGADTIGPVGPPGLPGHSGIDGGPGEPGMDGMDTVRIISAPGKQGRRGDEGCRGSKGSPGAVGKAGEPGKVGESGESGLKGIDGRSGAPGKIGSPGLPGKDGTYCRCPERNRYDILN >CRE20726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:559608:562755:1 gene:WBGene00060975 transcript:CRE20726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20726 MVDLQLFTPLIRYSEPEEGEPQTIHPNKEQLSRRHAIIPDQPSENQETSSQGCCSSDNVIIVPHVQISPNESHLFNRAISSGGGLLADLQRATIASQAFYAEASEPQKNRSPWILKRLYEWSGRAKRREIIKHVQAALETSKDHEIEDDELEKLLPVSYEEMFLGDETSTLPPAKQKYLQAVWELFHTELVFLYRQLFVLRDVYKEPLKRCQVEGCLLLVEPDLLFGNLDQLCRISRTFCQSFLSLLSTVDKEVWDCTQLVVDLFEKFSKGPSTISAYQAYCINYKATMEYLGSIRQKEERFTEFERICLADERCFRLQLEDLLIAPLQRITRLPILLREIHKRSEDEESKGKVEKVIDTMTESLRSIDDSVQWLHNFERLQQLQTQVVWPNISELEPKSYIPDFLRVALSRQFCENLLAHPRRKLIHEGPLELVENGRTVDMYAFLFNDMFVLTKTKKCASKLKVKGVPLGKSEHYIVQRQPVPLDSCVFCDADSNDQATPMSLKFAFVIIHLTRYYQVVGIYTLQAADKADKELWIEKFQESIENYESIQLKDMLKCTPLFSSLSLRRCSSSRLMSKSEPNSPQLPKEDGKEKKEKEEKKECCSSSTCNQNQ >CRE20727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:565755:573237:1 gene:WBGene00060977 transcript:CRE20727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-30 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MFM7] MKTLWIFVLLVVGLIEAQDFMSLFKPFLGGGGGGGNPFANPQAIGGLFQQFAGGQGGGGFGQFLGAMAPKPPPAPAAGPAAVAPTNEDYNTDLDAVPAPPKPKARAAHHRRPQADAPPPPQQSFRQPRTKQEKIERWKNIARTFSPFYFADQNTTPAPQFNNYIWQQNAPAVTPEPFTFAPFSLATLATVAPIGPTLEPMLPTTASPQLLAHNTARMIREIATFSDGGKSRDQDFGAVQTLMQAFFEAVASGNNGGSAAATGAAVGTPLGDAPMYQARRDGTELGANRALTNKLFESDMVLTVPQMKAVVLAAQEARNPHGRKKRKVITGSVYRWKSVIPYRFKGGDSKWKKLIKEGLSLWEKETCVRWSENGHGKDYVIFFRGSGCYSSVGRTGGSQLISIGYGCEDKGIVAHEVGHSLGFWHEQSRPDRDQYIHLRKEWIIKGTDGNFEKRSWEEIEDMGVPYDIGSVMHYGSNAFTKDWDQITIETTDSRYQGTIGQRQKLSFIDVKQVNRLYCNSVCPVALSCQHGGYPDPNNCSVCKCPDGLGGKLCGRVAKGTDHDKCGGELVATPEWQEMIYKGKRTCNWRVKSPNGGRVRLVLTELRYQCATSCKAYIEIKHNTDFQQTGFRVCCFNKTYDVISDQSEALILSNANIVDYEVSYKLQWIQEITDNESLKQYFPDNGKPLPPPKPTSTWVPGKENRPFRGVENTGGTIEKFILQAIPKIRDSHRPLESITSIVAEYGLATLLGISHNDTTSPVDIIITVSDQLLSFHFIRSSSTSQVMILICFYLLSLLNLANSMSATVRPFTNSIPVPGRNVPIMRLFENYAASCRPTNKRDFNMDQLATLLQSFQMDEVATKQYNSIFFGMADMQIEKFMGKWYTVVDSKEVHKEDCSIFYFDMVLQTPYTATFTSKQYALVNNDVITHEGYGSMVGPEPGAVLITTGHERDQCPFFPVRIGGLNDDGEYQYMILSTPLKYPTMVWTRDLELFETKWKHEVYDFVEKNGFMSPMAALNTRLHFTDTDVCRKVNKLYENGNI >CRE20728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:573702:575119:1 gene:WBGene00060979 transcript:CRE20728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20728 MVTARFSSATVFLVLIVGTALSALPDLGYPGWQCDASLYQKSKKVPTSAHSVRFADIKVIGALGDSLTAANGAGAPKGDPLAVILQYRGLAFQCGGDNTLDEHVTVASKTNPVLYRFLFIISSDVLKKFSPNLMGYSTGIGSANVWEVSKLNQAVPGAEASDIITQARALVQIIQSHKEVNWIDYKNDWKLVNVFIGANDMCAYCNDGENGGHSKANWKQNVITAIQILKNNLPRTIVSMTGMFDMRMLREIDHDKYFCDGLHTFECPCEKNKAFTNDDISAACHRYMDAQLEIQSSGIFDSTDDFTFVVQPFFNGIVTPPLKPDGDVNLDWFAPDCFHFSKLGHANVAKHLWNNIVQPVGSKNTIVNLSDPTIPLNCPDASCPFFRTTKNSVDCSKYMTA >CRE20805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:575576:576306:-1 gene:WBGene00060980 transcript:CRE20805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-9 description:CRE-CEH-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MFM9] METEMLLQILQPYLALLTPDLGKTPRKTSHLIKDILDLPTSNGEIDEFGRCKKSLEKEQERSGSISSEKSMRNKKKKARTTFSGKQVFELEKQFEAKKYLSSSDRSELARRLDVTETQVKIWFQNRRTKWKKIESEKERIASEVIPEDQLVKPTSE >CRE20806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:578934:582234:-1 gene:WBGene00060981 transcript:CRE20806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-16 description:CRE-GRL-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MFN0] MRVLVAVLLAVSPAWACIGGAGSAGGCCPPSQPSCGAATPPCSSSSYASGGGSYAAAPAALAPPPPPPPPPAPIGGGYAGAGGFGGAAGPIAGGSYNQGPQGFGGQQGGQGGYQSGPAQAIQGGGQQGYAGPVGGAQQSSYAGPAQSGPIGGGQEASYAGSAQSGPIGGGQQSSYAGPAQVAPIAPQQPQGYAGPAQVAPEQPSQQGGYQSGPVSSIQVTQVSHGGLYQAPPEQPAQQALTFSLFTSHSVVEQVAPVATYTQGPVPTPVEQVVETVVEPAAAPEPEPQAAPEPAPEQQTETVVEEVVPETVVAETEAPAVVEETEAPVVEETEAPVIEETEAPAPVVEETPAPVEQAPVPAAKEESTYDDIVEEQPAVATATEAATEQVDTVEETNEAVEYADEEGDEGNCDDPELRAIVEAALKNEKDNLEAARKIEGDASAKFGGRFNAIVSDAEFAYVNWYGKRNCQLRYENRHSLTWED >CRE20807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:586818:590177:-1 gene:WBGene00060982 transcript:CRE20807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-21 description:CRE-PTR-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MFN1] MSSAEGEQFIHRPTVISTASAASRPRHKTFELLGPFSNISLAEMYKVCGKIIGRFPVPFIIATAIMCSAALGAIGLQMKDNVRDGYTPKNSQSRLENKLYREFLGSEGDPVMTTVLMTAKDGGSMHRIEYLEEAQRQWLYISKNLSVDVGDGQFMKFGDFCGHYCQANDILQYFLSAYKTKSADPTVDGYQLDYPIATVMGYQLHLERNFFGVTTNQSNPVTNIQMMRVLTLPVLSEVKTFEDTDKLNKWELAVYNYATGYSALDGPNHLVEINVIGAEVVDTEMNKDAQKMVPYFIVGIVSMIIFIFITVSISASYYGYFSWRIGLIALACLMVPILAILTAFGINNMLGNRTNSPMMIMPFLINGIGVNDAFLTLQNWLQHSPDLPSGKRLGYMLSEAGPSITTTTLTNVIVFLIGWMNPTEEMSIFCLGCAISLLLAYVYTLTFFCPVLVLLLNERVKEPSKLERSFNKALEFYAKIVCSRWTFLLLMLGVAVYWGFGIYGTLRIQAVLNTAKILPLETPIRKPNRIIEETVWKEFYPVTVIVNNPVDISNPSKLSIFENLVHDFESMEKCRGSEYTISPIRDYQTYFYGVGAEDFDYEDETVKNTSTTFDFSKLTGFLENPIYKHHKGTMKLNFSNTVPIRKVQLIFAYENVTSWDDRIKIMEDWRHIASSYDTLNVSVWNVNAMFVDQMQSLKGLAISNALVTLGCMAAVCIVFIRNPLSVSLATASILSISIGVTGYLCFWDLDLDPVTLCAVIVSIGMSVDFVAHVACHYQVRYKEFEENGVVKKIEMKTPESRVVNSLSNVLWPMVQSAFSTLLCVLPLAILQNYLPMVFVKTILLVVIWGMFHGLVLLPCILAQFPLSVFNKTFADLLFGRTSSSSCSSETDSEEDTMAEAQEMTPLSIADKA >CRE20808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:600695:604669:-1 gene:WBGene00060983 transcript:CRE20808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wwp-1 description:CRE-WWP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFN2] MARNEPSQQPSSSGSNGTSSASQNGSAKPSKVTVKVVNASFTKPCDCYVEITSDTSSAAPKKTTVKKKTSGPEWNEHLNVHANETSTLSFRLLQKAKLFEDTCLGMAKIKLANVGKTENGEFKADINNISLLAKDSSKMGTLNVIFSGYAERKRRSAGQRAETAVSASSEASTSNGVLPTASASSGGRRPATAKRDTLAVPANGVAGANTSGGGTTTGTTPEEALPEGWEMRFDQYGRKYYVDHTTKSTTWERPSTQPLPQGWEMRRDPRGRVYYVDHNTRTTTWQRPTADMLEAHEQWQSGRDQAMIQWEQRFLLQQNNFSADDPLGPLPEGWEKRQDPNTGRMYFVNHVNRTTQWEDPRTQGGSDQPLPDGWEMRFTEQGVPFFIDHHSKTTTYNDPRTGKPVGPLGVVGVQMAMEKSFRWKIAQFRYLCLSNSVPNHVKITVSRNNVFEDSFQEIMRKNAVDLRRRLYIQFRGEEGLDYGGVAREWFFLLSHEVLNPMYCLFMYAGNNNYSLQINPASFVNPDHLKYFEYIGRFIAMALFHGKFIYSGFTMPFYKKMLNKKIVLKDIEQVDSEIYNSLMWIKDNNIDECDMELYFVADYELLGELKTYELKEGGTDMAVTEANKLEYIELLVEWRFNRGVEQQTKAFFTGFNSVFPLEWMQYFDERELELLLCGMQDVDVDDWQRNTVYRHYAPQSKQVTWFWQWVRSLDQEKRARLLQFVTGTCRVPVGGFSELMGSTGPQLFCIERVGKENWLPRSHTCFNRLDLPPYRSYEQLCEKLSMAIEMTEGFGNE >CRE20809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:605269:606073:-1 gene:WBGene00060984 transcript:CRE20809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-icd-2 MTGSTETRNNEKDVKEPQVDSDNDSDNEALEHELTEEQRRVAEAAGLGDHIDKQAKQSRSEKKARKLFSKLGLKQVTGVSRVCIRKSKNILFVINKPDVFKSPGSDTYIIFGEAKIEDLTQHAQMSAIENMKPTREAPQLKTVEEDDNEDLEEDSAGIEEKDIELVISQANTTRNKAIRALKEADNDIVNAIMSLTM >CRE20810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:606706:607442:-1 gene:WBGene00060985 transcript:CRE20810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-psf-3 MAGLGKQIVESKFFDLDDIIASSESTSCTFEIGELNPDFFQEMVGIVKPTQNAEGYGVDTPLWLLNPDIIKTPVTVRFYESSFKNVISSQIHLPQAYSVNMQGVLNADARNVNLSRMQQQFYTNGMQLCYLTKKQNPDGALNLARCLLSTVTQRLGGIISSSANQRTKGDRFDGLEIKVFLEGKRCKDDIDRWLRQDNKSMSKKRKRNS >CRE20729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:610798:611979:1 gene:WBGene00060987 transcript:CRE20729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20729 MSHRFPLLFVPQLVLTEIIKFLKPAELVTLSLCSKRCRILVKIHRKKSTRVSIQLFNGHVPRVGVCINTNNNSYNVLGSFGGYRFHFESANKEKYKKIENLVISGHMITCAIDQRTGYSVTFWDDNTQGLKIITDYVCNLFRSTVRTVSIDQYSSWIVDWINKKQKSPINRMIINDHMDDLKKPNLLQVLRSFRGTEKLLIVASPPKLFKFPYKFEKVSTLIIKNGFWLTVDNLIDLDCVSMKIKNTNLSSLEINMFLLNWMTGSSRLRCFQIEVTRGNFNEVIKDVEHLIELFEGTRVYKWDNIADIHFHGGYNIRRDDGITATISVGPKLIIFAVWPDWQRK >CRE20730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:622216:623712:1 gene:WBGene00060988 transcript:CRE20730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20730 MLPDSPSPRAALALSDLQPQTGASTFSIGSGSGNWSTRGVGGVSGDYMDKSGGGMFGNSRNNIFNQFSASTCFVSTPSLGNNS >CRE20731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:623891:625073:1 gene:WBGene00060989 transcript:CRE20731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20731 MRSAAGILILISIIPSVYSEPKLPDCEQIPKVLCCTQRVLDKCMSGCIDYVTEKCPHKLEKYETIDDEEPSTTTTHRAPKKQVKAATVSSRVVGQVDHEIKEQFIDSKDIRRAPLAGDAKLLNQEYPITEVTDADLSSECGTEKSNPPFSPCLSRKSADDVFLSCCRQQLPSNCHSLCTYEHREHVAAETLIQAVQQEHCDMKYLTNLLYCANQNRDNRACCSHLGMSNPELGVGDRCLRMCNIAPSGDRVSSVEKEDLVCLSNWNVIMYCARGGLRTIN >CRE20732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:631940:633852:1 gene:WBGene00060990 transcript:CRE20732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acs-13 description:CRE-ACS-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MFN8] MVITDTAAWVQSQSRSIVDFISTPTANSSSLPPYVQIGAAAAVTMGLGYYLSKGTSGSSGSAGSYTFNNGVTYTGNVKPLVDPMNQSRVLPDGSRISAYLKDDKLQAFLFEDARTLYQGVRRGARLSNNGPMLGHRVKQSDGSIPYVWETYNQIIERAENVSVAFRELGIPTGNDENIGIYSKNRPEWIVTEFATYNYSNVIVPIYETLGSEASIFILNQAEIKIVVCDDIAKATGLLKFKEQCPSLKTLVVMESLTDELKSSASSLGVQVFTFEDLEKLGKDAKTRPAHIPPTPEDLATICYTSGTTGTPKGVMLTHANVIADGVCMDFFKHSGIAATDSMISFLPLAHMLERVIESVCFCVGAKVGFYRGDIRVLAEDIKELKPTVVPVVPRVLNRLYDKVMSEVNKSTFKKLLFDFAISYKARDMAK >CRE16143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:948613:950036:-1 gene:WBGene00060991 transcript:CRE16143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16143 MSVSDEEDDLYWTDKYRTLVGQDEPANRKGTMEESPTRKDVMEESPTRKDVMEESPERKKVMEKSLKRKDVMEKSLKRQKVMEESPERQESEEWSPKRKERTGGSQDKKEPTERRRGRKEKAKREDSYEKYEYDDVVKFISLIEESEFLWNPLKTDFHRRSVKSEKFAEIEEKCKSFMPHTKRTIGRCAEERWQEISTDYAQHQKRIQKALSGSGTSDVRSDFRYAEHLSFLCIAAAAREPKNAFCVGAGDDDEESMLMATPKRAREVISGPRTPKVPKLSRKPDSFEEVMREEMDKTRQTMLKMFESPTSGGSMCSNDRVCEVYANVAAGKSRWEMLEMEGKVIAYISSFRNRGGSEPPLSVDYGNNTIASRLILTSVSCDLCAAFTMFRKCSNFSLAITSTPSDGKSYCLLM >CRE16141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:939197:941726:-1 gene:WBGene00060992 transcript:CRE16141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16141 MKKNQKKNLCKEEERLEKLAKKLYRQMSLSPSTTVSTPSSTPSEACAVCSDTVHSHQFGVPACLGCIVFFRRTVINKVQYKCWKQGRCLITFASRCSCRACRLRKCFHVGMKPAAIQQRDRLGPRNPKVIFEEQIMIQADDIPTSSSLLSPLVNLQRVQRALHVNYSNHPIRNRNQPNAHRKPAGRADINFSLKLAFKNADEWGNQFETYRKLSREEKNSVLSEYGIAFLLIDQAFQTSRFKKNNVWILQNGKVLNPDMFQEDEEQSSKAENNSDFIEELIECLGNPFRNLEIDDFECAILKTILILTR >CRE16140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:936887:938149:-1 gene:WBGene00060993 transcript:CRE16140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16140 MFDDWVFQYLPKIFCGLAFFVNPIFIYMIFTEKSKKFGNYRFLLLFFALFNLIYSVVNVVVPLDIHSYRYCFFLFLKHDWFVEPSEFHFNLLVARCSLVAASYAILLIHFIYRYLAIHNSKFTREKFHLYMTFSVLVFAMYFGVWHAVSNFLMELLTETSFQICFYPGRANVEIKKYIREDFREIYGSDSMDFNMLGALFNEGSEETTFQSWVAVILWSSLSTISIVMFLVLAVMIIKKLNKMAPNASRKTSKFQVELLRALVVQTVIPIFISFSPCLLSWYSPMLGIQLGRGINYIEAMALGVFAFVDPVAIILCLPIFRNRIFRFCRDGGSKLKSAKSSTEQAN >CRE16002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:935302:936671:1 gene:WBGene00060994 transcript:CRE16002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16002 MQLFLLFLFIIPAVYGLSCPPEYILVSGIKCLKMFSTPANHWEAEKNCTSVEGTLANIKNAIDNRVVTEFVRNASVNAVWIGLTCYGNNKYSCYWDDASGMPSLYDNFNYNEPSDKENGNCIVMSAETNWKWESKVCMDPAWPYVCEAPTTVEKSSCSQKYNYNNNCYTKSLQVANGSLAAYNCQNKSDTLVSIHSKMEVDYIRNLYKGTNTTSIYIGATVDANDKITWLDGTPFDFDYRNPLDTQKGDCVVMDVHGYGLWSRVDCSQNFEYLCKNPLHGGSGPEVLEDKPEIFPTQSGCNSSTVLAPGFISTFEYPLNGLNIFACYYDIVALGPYSVGIYFDFIDTYGELTVFDYRGLKIGDFGGIDNRDQVAVYALYNTATVYYEPRHKNGTEKDRGFHAVILPL >CRE16139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:930506:932855:-1 gene:WBGene00060995 transcript:CRE16139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16139 MFEDDVSRKPHSLLSVVRKFLPKELIPPTWKCEGDDLVKNVQNLIQEGNKLLGMFETSTILWHEMYSYMNFPRCLEYFNWHAAERIAPFPYFHKSMNGKFCLYRNDLYKMFDYWDKTPLPGSHEGILAEAVLDRCWHKLINGSHHELIVCPEEPINMQRQFPPHRAFTENITSEKYEQFVEEWKQEMKERINNLDDYILTVLDKLLKQCPGLKFSTEELARIISWVGYVIGNVKDYAIKGHIHLPPLNSERGWKPLVRKFSFDCNHFVMADELVDTLKKLRVDVNWLENEVAEMPDLSSFPYNEIEEKIGETFYAMLEFVDIEITQFLFTQSPIPSVRYDDFCLLAVDALHEILMDMILAKKVFQKITPEDGNIVIEFLESLKFYFDPSRDIFFVDIKVVDAIKTLWDDIYVHKMHQDASKAKLIIKTKSEKFEEKELCKTLDFLELYKFFDNIKGYANKVHKNLAGQDSLTRRHVHVAVALCQINCLARKVSWMLDFVHMQKACPWFGIVDCERCRDEGVEQ >CRE15999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:923691:924916:1 gene:WBGene00060997 transcript:CRE15999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15999 MALSFLTDNISRICYILTIFFNTSLIFLTVKFTRHIVGTYRNMIVTFAIFGIMLSTADILIRPVTFSMSLLHSYNGCFVYFTLGSAFRFSKKLSKIALLVHAGVYGLILVFLTVQFVYRAVLLSKSRKNWSRHFDGWKLVFWIFYAVSIAVIWAVSVNFVSSDEITNNYLRKMILADYGVEISTVTYNAILAYQKLFQNEEGPNKTVRWNSVQTIGTLSIIMTSHYIVMISCGIYMYHRTKLKFQNSSSAYDKLQKQFFHALIYQTTAPTLFFHLPVLFVVLAPFFDLKVSFNSSLVIYGISAYPLVDTLILLKVVKEYKNAYLSEFDFTILWNLLKKVSGFVGAIIKSCVEVCGEETPRNPQTLTNGIKNQTI >CRE15998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:919572:920231:1 gene:WBGene00060998 transcript:CRE15998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15998 MPIPAVSTVRDLGIHFSSQLSFTHHHAVTIRKAHQRINIFFSVLKYSSWKIFIKCYVVYIRPLLEYGTVVTSPILKENIIMLESVQKSFIFRIYKKFNMTYTSYFEALDECDLKSLEHRRLCIDLIFTYKLMVTKEIIIDDPIFELMDHSKLRRHRYYLKSLTRNSTKLSSQILSNRVLRCWNSLSDLVFPVKPSTAVFKSRIYKYNLNHFLSLNPTNY >CRE16136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:917968:919228:-1 gene:WBGene00060999 transcript:CRE16136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16136 MQDRLTDLAVGNVLSNAVNIGNRLNLFKAIADISDEQNPALPERIAETAGCKERYVREWCNTLACGHILEVNEKEEFWIAKENLEVSYFSRVRPPIPNILTFQALTTDNFPLIFNTMLSTVLKPIDILIECFKKEGPYGLDYSVYSDFEEMQQKFAKATSEKHVIPSLVPAIGHGIPERLVSGIRVLDVGCGGGLHVRLLADHFPNSVFVGLDITEKAIEQAKLHKKSDGSDFKNLEFVVGDAGNMPKDWMDSFDLVLLFGSCHDQMRPDLVFLILIVNQCNFNKFQCLLEVHRVVKPDGIVAVTDVDGSSNVHTDRETYGKMAAMKYGGSMLHCLPVGSNSPDALCCGSMWGRKRAVALMNKCGFDDVEIIPTDYFPGSVLYLMKK >CRE15997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:916157:917666:1 gene:WBGene00061000 transcript:CRE15997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fpn-1.3 description:CRE-FPN-1.3 protein [Source:UniProtKB/TrEMBL;Acc:E3MB82] MISKKYVFLYAAFTLTCVEDRAWSFFVTIVLESIGGMYFVSIGQFSESFLQMMFGGMLGQFIDWVSRKNAIIMVTHFNNFSILMASFILLKGSPPGPLLYLSIFLCAINRLFLNAEKSIISRDWIVALNHESALARQNATLTGFDQLLNVLSPVVVGTLITSFGIHQTLIIFAIGSMVSLILKSTFFYFTYTSNPCLQTRRNQKYYKIVSGSDSDLPLLEESGQNLKPPGVFSTYYRQTTFCASLGMALFYKTVMGFDNLAVGYATTSSNLSIFTIGALKSYGAVAGMAGVISYAFLETKFGLIRAGYVGLVVQQVFSLLAATTIWMPGSPFLGRDIISDGPSISVFLVAIASSRFGLWCLDMAVTHSMQLHVPESEINTVFGFHMALCQTFSVPKEMLVIVFPEPSHFALYILSSYFCVTLGQYFFYLYARKVKE >CRE15995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:904029:905066:1 gene:WBGene00061001 transcript:CRE15995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15995 MDPNSFSCVVCRKFTNAFNYGIVCCNSCKAFFRRSQTAKTFPTRCKSKRNCSKCRYCRYQLCITAGMNWRTLSQCDNQLDTIIQNLIHLDSYRQDRFLNFTTDMNLSLDELILADSVNYDQKTIDFQPDYDHWAIVNHITAIDFMKRMDFVKKLPSDDLTSLIKSNHLQHVFLWNAMRSYCDNIGYVCYPGGIDVLTASLTSLFPEHPQVLNKFRCSLIGKLAEVRITKEEFLLLSAILICNTVSSKLTVPSQNLVSQYQRTSRLTQPTAAQPPFLHHPSGCYSACGASQIVQTIEASEFFSSSGLYNLFTCW >CRE16132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:901389:902972:-1 gene:WBGene00061002 transcript:CRE16132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-71 description:CRE-PQN-71 protein [Source:UniProtKB/TrEMBL;Acc:E3MB76] MRCILLALAVVLVVAEASSIRSTRQACGCAQSTQPTCSCQQSSQQYSCSCQPTTSSCSCAGQQQYQLQTSQCMPACQQSCSQQCQSNGNTQCQPTCQQSCQMSSCNSMTSTQMPSSQCFTECDNNCMQQCTQTQPTQQCQQQCQQQCQQQCGTMNTNNYYTQDPYNQQQYGAYQGYNNNNMMDQQMYQNQNQNQNQMYNPYQQQQPCQQQCAPQCSQQTSNSCQQCQNSCQNTNTNTQVITITVQASPQTSQCVPQCQQQCQQQCQTRTSSNQQCAPACQTSCNQSCNQPMQMACQTMQNNQCGCQQNYSPCGNVSGQCCLKK >CRE16131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:891329:896418:-1 gene:WBGene00061004 transcript:CRE16131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpf-1 description:CRE-DPF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MB75] MTAEADLLEGYDEELGGNEAQKRDCKGITTAVLVVVIILAMIFAALVLFTPLFASAHIGSKRLNISDLRSLRYPYAEFAFSENNVVMQSWEGVEMVENGVSRLIFGRENGAEISPSADLKYFALMDHALNPGMNPQNETFHLKIVNNNERLHSLIPYEIEELFRELSDSRITHDIGLRKEESVIQAFKWNGKRNDFVFVESNKIYYKSAPEDEGSIRVSHGGENTVDGLFDWVYEEEIFGRKDALWWSPKGDRLAYASYDNHLTKNVTLRSYHRLHSYTIDTNLHYPKTFAKVLPSYTLSIWDKKTEQAKQLDVQLKDSLSYHYLLAVKWVDINGTDRLVSVWTNRYQNEVALTICDWESAICHLEFEYKYAPRRWVAHDDFNTITSYENTLYFLLPHDIRGNAYQQIATLRLSTGNPRTPQFLQLGAFDVTSIDGINKETKTLYYHAAAPRPQQRSLFSFNLAGDSSRMAKSNVNCLTCTITNCTWAQAQIDEEMKKAIVSCKGPVAPHTTIVNLTRNLDKEETEYSELLEDRTYQTRFEDAGLPVIISDKFKISDEYVALTKLSIPKDVYAREKTRAIPVIVHVYGGPNDQNTKDATQIGIEEIVASGQQVAILRIDGRGSGGRGWKYRSAVYGQLGTVEVEDQLKVIKVVLKAYKHLLDPNRVAVFGWSYGGFMTLSMVNEAAENFFKCAISVAPVTNFAYYDATYTERYMGDAPLASYSDVTRKLDNFKTTRLLLMHGLLDDNVHFQNSAILIEELQNRGIDFDLMVYPNQAHSLSSRTAHVVGKMSQFLKQCFATEK >CRE15992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:884815:885882:1 gene:WBGene00061005 transcript:CRE15992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15992 MSQLAYQPSKAVLEYFSFEKRKILHATCPGIRKMEENVPYHLELVKLVSMARNEIIMIIDSFQFCFGEYDGTKMLMINLSTDERVDRLTCLRPEQEAEKFLLYTLSREGTHIKNVELTAGPEFISKCIPITIKNLIVNRTAAWFPTNKPVEHVKVDKTVQEDTLKMAKHVTVKLSDRVNTKIVSQWNCKSIRIESTMSSEEVAYYCNQVAKRADRPIGSTLMANHISSVEYVFDFLSFKEYARKTMLNDRKCVTISIDESTELNVYSSSVHCYCVVVEVCARGTAIDQVS >CRE16129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:881928:883149:-1 gene:WBGene00061006 transcript:CRE16129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16129 MLRSFLQYIGPDFNFTLAHVINNGFELDQDFYGCSEGIPESVDHRRQYWGVYFITVGILILILYSTCLIAIAKSNQMKTPAYKTMLFLGISDLSSTMIHSIATGILGYNGFGFCDYPRLIFTLGSIAMGSWMGCCISSMVLAFIRICDLNSQLKMRKCFAGWKIYVILLMFFIYSLYAMFLSKPLIFNPVYMSWFFDPGLGKDPTLFINVIHTFNNIMMAVSTIVFYGYLAIVFLKESRSSATKKLTKMQVTILLQTFFFCIFHAIGAAIYAYMQFFESSEFIVLVGHLAWQSSSGSVCMVYLTLNRTIRRSVVKLVCSRKYNVENYVPSIISVHPRSRANSRMFEMSNFHNFH >CRE15990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:877435:878605:1 gene:WBGene00061007 transcript:CRE15990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15990 MISLPSSYKCVVCDKSTYSFNYGVISCNACKVFFRRCHNLKTPIEPCRTNGNCRGLVSCRNCRFKQCINSGMKIPTPGSSNIITPKPASQLTSILQNLLYLDAHRHQIFSTYLAMENPGLDDVILSNSITFIEKPSQMKLDFNDWATMDHISAINFMKNFGFIDWLTSSELKSFVKNSYMQFIMLCNAMRSVGLKSDTVGYPDGVDIFPEEIRQMFTNCSEKLMKSRCMIAARLIELNITKEEFILLAAIIICDPACDCTEANRNIISQYQKMYSSTLLEYCQNRYQKCGPSRFNDILSICFTINRTFVEVGEVWMIYVTFDKKTKTKKLISDCFEFVINKQ >CRE16127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:872407:875646:-1 gene:WBGene00061008 transcript:CRE16127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16127 MRYATRTVVFFSLFFSGALIFSRWKARQEVSLQPSEIHSQSSPCYIPTWNKVHTNSVMDSLINSFSHFLWMKLKLSVENNQNYTSISILGAFVYPNHISISLTAQYLVQQNLHCRYFDCKRNEIPGSAYQSVVFPESVLHCPRRIGAEFMSVSRTLEKSEEIPEPVKLTYRAYEKPPHDLTVCVAPLYGNESKWLQIVEFVEHMKLEGATFVYFYIGSISDYDRKILNDYVRTGDIEVIDLHDKYERPYYAWHLITVQDCHLRAKYHSKWVSFLDLDERISGTPNQNLLQLVESQDPYVGELIFPVLNIVKYGDVAEKFVDVETLKRDMMFRQWTDTVDPTWNASKAIVRPEKVGIMFIHFATAKLPGVKTIQISPNQALVRHYRSTQHRVDVTNWHLEVQADGKLFVITKRPLPPVFDQNLTNAIVKRVQNVYDQVPVNCSAIARYLWESRKFPNPCESMSPVF >CRE15989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:871220:872338:1 gene:WBGene00061009 transcript:CRE15989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15989 MMCILLYIMSQLSNFLEYLTVIRFAFTVPINLFLIYLTIFRIKQIVGTYKYMIITFASLGITFSICEQFARPFVHNYNKGLMFFSLSWIGLRNEIMLIGLVVYAGFYVLVVAFIAVQFVFRYVVLIYPDWVKKFEGMGVLVWGSYPFWVGVFNGFAIFWFAYPDDFGDEYMRNEIFEVYNLDITKVPRILIIPYDANESIRWFNTLYLLTGAFTLLSQYFIIIYCGVKMHSQMNKELLKFSIPNRKLQQQFFKALVVQIIVPTIIFVFPSTPVLLGPLLNLKMSIQSGGVCALLSLYPPIDSIVFMLIVTEYRKIFTKKCATKTHSLPFHYITV >CRE16126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:867589:869289:-1 gene:WBGene00061010 transcript:CRE16126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifc-1 description:CRE-IFC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MB64] MALYSSQQTSSYVAHQSYGGAASGTFHRNDLVSGMSSAGAICTTQIRDAREREKREIGMLNDRLADYIEKVRFLKAQNHVLAHDIELLKRGFSGGGQISSFFESEIQQTSHTVQTVLASRTKFQNDVTAITAEIDIWRNKWLQAVAAVKAHREDHDVNLDRLAEIEAQIALFNRKIRIVDEDVLRIRRENAQIQGNIGNIHAQVHREISLKNEHTATIQTLLHRVKTLQTENSTRIEQELVYIRRDTTIENRDYFRAELQAAMRDIRANYEAVSLRSRQEIEIWYHKQIEKIREQSHSHVYVDTYKDELISIRSTLSHTQSRCAEIESRNSLLSSTIMDLKHQQSEEARIFEAILAEKDAQNANLRERCTEISIQMEKLCDHETSLQVELERYRTLLNGANVTTYLSNSTGHRTSHVTTSTTRTTGGYAESITSGHHHHRGGISVGGNIGGISVGGHIDRSGVSGGVTNGYRQSSYSYSSSAASNH >CRE15988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:865857:867453:1 gene:WBGene00061011 transcript:CRE15988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-3 description:CRE-SRW-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MB63] MDTTTHNIMVSYISPPDWYNDVYYVFNYTICIMQFFGFAINLIHFSILSRPQMRSNAIYRLMIGICSCDLLTQINTFLIFSPFWIRDSSKKEEKCYMTHTYFDALLYLHGTTVLDITQRAASWMALFMALYRTLSVMFPLNLKIQKLSKPIFAIWTIIGVLIFTSLTTWMVQARRIIREYEWDYDCNKNEIPLNTTRYGVLIPKEDAEIQSRLVSIYGFIKALPSLIDPILTVFLILELRRASKRRKNCGKCEEDKTDNTTKLVMFVTISFFMLEVPNGFSHIVNGFFQNNVPVRTVSVMIPVFAEILPILNSSSHFFICFLMSSQYRETARALFRLKNDKCIMVKECRFTESHHSVMQVKKI >CRE15987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:863825:864924:1 gene:WBGene00061012 transcript:CRE15987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-4 description:CRE-SRW-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MB62] METTESPKLQEYGALPDWFDSAEKLFNYVLAILQFFGFFINLIHLSILTRKQLRGNSVYRLMIGICSCDLLSHTLTFLAFSPFWIRETRKKSQQCFLTMTYSDAFLLLYPVIVLDITQRPSSWLALAMAFYRTLSVMLPMSGRIQKMSRPKWAVFTIFVVFVVNTAWSLVVFGRHLIVERNIDTDCNGNEAHLSQVRYLILIQTTLEHLHNTITYIYGIIKALPSLIDPILTILLTNELRKAVKRRLKCRKSSSCDKSENTTKLILFVTISFFILEVPNGFAHITAGLFHGHPQILTISYMIQVFAEILPVFNSSSHIFICLVMSTQYRDTAQELFGCCRSKKNL >CRE16125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:861908:862998:-1 gene:WBGene00061013 transcript:CRE16125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16125 MGIQEFIKYPNCIFSLIGLFSNLVHLAILRKHIKTHPMFILLTFITVLDLVFLFTGFLAELMAILDNLNSEFCDGYVSATTGVVRFIAIYVNRIAKLSGIYITILMSLIQVLTLENPRKQFWIRKTIATVFLITVTLYLLFYIGEAVTLVFYSLEMPTQECLSLAILESADYSDWIFTVLKLTNYGEIGMQLLSISLEIVFYIVLKALSGKSIDTEKYKYSVMIMRLLIFCFLFDTAPFLVQISYGLITGIAELKWTRDDLLLSYTLGHVVRSILLVFRPLLIYHTSEGYRATFKEIKTKNFWCTRNKVQDNLQIAPTSRNPSISTRTSLVSIF >CRE16124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:859463:861058:-1 gene:WBGene00061014 transcript:CRE16124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16124 MQNCNSTVTYLDTTDFLSTIFHSMSGFQYPAHLFGAYMIIFKTPQGMSSVKWSLLNLHVWSSVLDVYWSTFAIPFVIFPYMAGAGIGVLSMLGLNTGFQVYFSVMIVSGRLINLNFFSNSKISVLVATMVRVYENRWYLLSRNRKLWRRIRGHACVLNYIISATFFIPFLFFVPDQTEAVPVVLKKTPCYYLYTQTIPLYVFTLNPIPVLATIALFSVVQISLMTLFVCLTVRVLSIQAKRNTTSQYTVTLHRKFLYALMAQTGLPVIVVFCPLLSLFYLVPMGYHNQMITNFIFISVSLHGFFSTVLLLLVHQPYRLATLRILKCRCVTKNAVRGGTSFK >CRE15984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:839198:849724:1 gene:WBGene00061015 transcript:CRE15984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15984 MSDSFDEFPYGATKRTHAEAMALCQNPADCSFDDFPQNATKLSRVDTMKLCSQLPTNGLFNNLNDQKPLDVNDIGPALMTSTPIKCLSIPGIIENVGKIDGSTRIDETSQYNDNDVTDGEEIGGDEAFYSSKNKPAFALPVLKCLDFSYPPKNFSDGTNAFDYPPPPYESQVTQSCYLGERLSDFQSRAIPRQRKVGEKHVNGVKRPQGHQLQFAQEDNESSQKERCKISALAALNSDGDTTNNFRAVEKMRETILQQHFGRKCERVLGGSHCMVKALCLGKLVSDSSNPRTSEVDRKEFKKTLHNLTRTDRTEAFQAEAQLRMAKNFLEEAQMDTNQEEHGREDLEVLAAYLEDYQITLWSLKGHDTVPTEEAHYNEKGQGFIGLFHYNGHYEYVTHTKSGKPSSYNDEEEEEDDDHQFAGEEEEEEEDSEVGGDSECSMDEPEPEKRSKTLTKFSKFLMTDPRANGAYVIAHNGGRYDHVMVMAEMDRLAGPEATPPSFIMNGKTFISAEFSYKKQRIHFRDSLQHLQMGLAKMPSAFGLTGEAKGYFPYLYNHPDNYDKVLTTLPPKEYYSPDFMGTSKREEFEEWYAENYNTSFDLYTEMERYCLSDVRILRLTLVAFIEIQLVSYGYSLCHFSYFTWVYFMSWSSLEDMDASISKIYPELIGSNYTYSGVLDLNASSQIVFNMMSILNPVIVVTSALICKCKIERYLNKFIFTEKKKRQHRSLVNVLLYQTVSPFLSLVTVALYVTVGQTGGSTAILENLMPFSLVLLTVVSPTASCILIGPYRTAFFKLWNSSVSKIFGAHSRLLKNQEQPLRSTVSVTNQL >CRE16120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:832019:832727:-1 gene:WBGene00061016 transcript:CRE16120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16120 MTTNSQFSVPTMGIELFHCKICGEGFWTENTFHSHRDNHPNNPLKCLLCDEFITGGFTAKSHLTWVHAIPKPVICRCCDWAFREVSDCRGHKKFLRGSANNTPLPLVINAHEPGLFHQNGYLLDGISKHFLRMWQLNAIVQVHKPNHPISTDSSLQSSIIENSIEETDTPFPTSASPSADTSEKKREKGFMIKDILDLND >CRE16119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:827346:828497:-1 gene:WBGene00061017 transcript:CRE16119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16119 MSTTVETTEPTQLPPQPPQLQSPTPSTNPSTKATPDEEASKTYGEIWRAVEETAKRSEALRKDLVDQHQAGQEMMKRRLEELESQLEQAPQQISEDMLKQLEKEAEKLVEETREEVERKMMSLDRISGELKLRRAKIQAKKEKLRKQMQAAEARRKKIGTSEEAIKEAVVQQTKARGESVQARCSYPTEASQDTSNIVNVVSIPPATQRGIHYTTNKESVDLSWRQPSDSWRTTAPRRSPAPPSYVHKIPSSSLRKIATSQIARVSVRRRNRRGSHDKLTKLNKFTIAKSYQNNSFTQASGDGGTATTSTSWRRMQEDEQGGPAAKRRREDEANQKPSTSGSQQNLYGYGGGRTGESRQRPRNQVTRASYLPASELFPQRQRK >CRE16118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:824504:826417:-1 gene:WBGene00061018 transcript:CRE16118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16118 MQPIHNIPEDRGRRQIADDRIPQLDEGPPIIIPPLPIPDIVVVALRHGIYPPHEEWVRELLRRSPYLNTPIVRRFLHGSLRIYMPRAQAREPNEGRCATCGSNWWGMPTIPHSTNQCPVPQQYRLVFQATNSRALCFGCRGQSEAHNWCRNVNEYCRQCREAGRGERRHHLISGICHLSDDEITERFRIYRIEYYRRVKEESEQEPFRIRFPNDEPLPEAPPAYNTYLGQKAMVVNAEATGGVEYTPASEYAGLVQISQREERERVDQTLLRLQRDFYKDPLTWAGATEEVRIQIQAHRDAIQRHNGRRLRIAGRRNQGNQQQEAAPEEGQQNAQQVPQHQGIPEQQAEAARGERQQEAPVAPQPQEPNGELNQVQEVVPQHQMDHQDEIAHRAVLQALQEETPASERQYVEFMLTTTMRTGALENLRIQIPPRVAANPEQQQARRQQGNPPQEINANWWITRAGKVRELSLVRLPMIKKLVINSGNLVTFRRPAEQRAQDQDHQFQVNMAVLRTVINDMVMDVDVDTQAAIPMLQRALSGSRNLPEVFERQENGNRGWLDDYYELLLSIGCVVVLSGRPVQTQMTGEHTEFIQAYGWRIPYVIPDVEFYLDTPQQHRLPFYKLLTASFAQQLDDDE >CRE16117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:821812:823710:-1 gene:WBGene00061019 transcript:CRE16117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16117 MMQDDNPSYWHLEEVKKMYAIDQIDLARSLMALQGIDLTKSLNGPRGITPMLARLKRGIATHEPITSSISNRLRYPQQSVIYSEQSEELQYFIKSIGFMRILQQAKEVLDGSIKDLQENPDYRAQHMLKQLGTPPKTTESKDNTLDNVKKQKIKLHRVNLLDFVNKATDQAIMVIPKTEIPCYEEVECKFCGSFCHVMEKCPTMLQKRFKTATQNRICMRCLEEGHTKEYCPSDIVCEECTGEHNTSFCTQEGDLAKIIGYVKVEWRNPCWYCHKQHMTMDCPWSHQSRRILVGIEKACEMCLSRSHPTESCKPFKTCTFCQGYHNILHCHAMEMEKRVKQSIEDSWRYPDQLKEVEEYRHQVRTQEMVELTQHIRTIQTPAMIEPCRYCNSAEHAAIYCEEDINVKALAVFVKNLCENCLSDQHHAETCTNTKVCIICNGEHVHSSCEHRKDPGTIKPAQLKIVFTKDTSESTNKLKIHPEEGKEQELQGEEIPEEVNIEKLSLDEQNTPKVARNERIKILSNESTISEEPKEGGSTTRTDQNQFDRSPNITINSPTSKSSRIPTALEYPETSQQDQGKQSYYSETKSQGIVGQSTQSRSTVPDKVLQKRSQQTSGHTNNPGSPGQDNTQA >CRE16115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:816400:817828:-1 gene:WBGene00061020 transcript:CRE16115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16115 MNETKCELAYQLTYQPVYRASQFYTFGVSILAVPALLTFIYKRILSLSFHGNLRVLLVTYFSSVLLYAIVLCFAFGYHAFAPFFIKSDCGLIIDKSLFQIGHIIVLFCLTFPMMFPIGFTIERFVATAMSGSYEHTRTLLGPILVIVLSLPNFYTFYRIFENEKFEDIFISFLMLPSTSATQFTNYLWTLLYIKFGNTMANMVLLIVHIILKPQYQKSSLTTKYAMEEITKTSKFTMTITFTHLLFFGIYTACSLFVRVLGQQFFGSFINFYVARGINCAVPTYNLVIVIVGFVSLYQLNKKRNQAVTTNVQMMSIGSEGAKNYDEAINNQWATVVSGKV >CRE16114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:804532:806495:-1 gene:WBGene00061021 transcript:CRE16114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16114 MNTLLDFIPKIKITICGGGDEPQRPSIDHKKILEDQRKAYQESMERLESMRRDAANAYEKAENAAREERKQIRKENNETIELLRQKINKDDENHKILVQELNNMFAEDLKNLRGAAQEERKNAQEKFNEEMKCLKKEHQTQQQVLQEELKNVKKDGKKKIRKVEKKSEKLKEEREKQLAKEQKDIEEMTQQYANERKKLIEDQFKMRMEMTEEKRKNREVEQEQDLQQLKDLTSAVNALIANDATKRVLEQTNIITDIVQNTTESLVKLNVYCASDSPKELQEHVRLELDEINKMKSMFKFQACKIQQHFMNESSANPEAVKVCKNYLRQLEEAMRSKQLIAMCALLQFDLAKGNIRKIQSYGNDADSLAQKLETIQTDVAFGGICMKDLKSTMDQKQLKN >CRE16113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:799012:802098:-1 gene:WBGene00061022 transcript:CRE16113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16113 MFGRDLFNLGRGGTSMSNIVEGGCTHRPTPFSIPSIFGVGDSEKQKIIDDLRRELRECKAELDEVQSKYKSVHLCWGDTRERAGKLDRELKEIKEIKESNDRIIASMKNKIENNQKNHDEQIAKIIEEHEENMSVLKDRNAECLKTATEDHEVKIEKLKKEQNQEIKELDKMLKKTIQESEVKEAKIEKENKILKSRQANELVIHQREMNRLVEEHQKETDAIRKEQEAMRNKLEEEKKKYHGLEHKLRSEFSTELTKALNRQITLDASNRVLKQFLNITKTVQDASEALKGIELYCSNESPEDFEGEIARDLHELNVLKANFKEHVFHFQQFVINEQNAHQEILNVCKSYLQKFEESMTNKSLLELCLHLPTAIENKKTFEIEEFKKKAEKLSEEMKITRDLVQMKLEAISADNQHEILSADMNSEIIIDDLKRELRDCKKELEEVKKTTKKEHEDKLTDFQMKIEELNEILKITIQESKAKQAKVEKENKILKSKQPLELDLLQKLYEEELNEELEQMKKYHALEEKLRTEKEAEFTKFVNRQNTLDASKRAFIQFLNITRTVQNASESLKSIERYYSNESPENFKRAIAVDLDVLKESNIIFRERVFHFQEFAIYERNVHQKILDVCEVSLFTSQIEQRPRFQSYLKMLEESMMNASLIKLCIHLKNAVEYKETVEIEESVKNAEKLSEEMKITRDEVEMKLKAILHEIQSTDMNSEMLPGKSD >CRE16112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:787130:797315:-1 gene:WBGene00061023 transcript:CRE16112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16112 MAFYIEILQNQERIINDLREALRKCYEELKEVSTELETVTNSRRYVYERERQLKRELEELKEIKASDDQIIRSMKNIIELNLNNIDERMAKNIETFEETVSDLKNEKAECLRKAKEEHEANMEKLNEEQDRRDKELDEILKETKQESEAKQAKIEKENKILKSRQANELVIHQREMNRLVEEHQKETDAIRKEQEAMKNKLEEEKKKNHALEHKLRSEFSAELTEALNRQITLNASNRVLKQFLNITKTVQDASEALKGIELYCSNESPEDFEGEIARDLHELNELKANFKESVFHFQQFVINEQNAHQEILNVCKSYLQKFEESMMNKSLLELCLHLPTAIDNKKTFEIEELRKKAEKLSEEMKIARDEVQTKLEAISTDNQLEILPADMNLEIIIDDLRKELRECKLVLLSVKNENDWFRERLDELKKLIEEMKDLKESNGRIVASVKNKIEVIEKNHEEHIAKIIEEHEEMISVLKNKNAESLKTAKEEHEIKIEIMKKKQDRMLWKIALEEKLRTILVNRQNPLVASAHVFAQFLHITRAVDDTSESLRRICSSESPETFEVEIAVNLNVLKESEIIFRERVFNFQQFVKYEQNAHQEILNVCESYVEKFKELMRNDRLLELCLHLPTAIKNKETVKIEEFVKNAEKLAEEMENTRDEVKIKLEAILLEFQSAEILPNMFGRDLFNLGGDISSILLNKLNNPSACTGMNGGIPTSECKKKLEDVKTEFRSVKNSRDYAWERENKLERELREMKEVKGSNDRIIASMKNNIKINQKNHDEQIAKIIKEHEEKMSVLKENNAECLKTAKEKHKAKMEKLKKEQDRKIKELDEILKKMKQESETKQATIEEEIKILKSRQVNQLVIHQQEMNRLVKEHQTETEAIRKENEAMKNELEEEKNIVIWNKIYDPNNPLNSPMLSIIKSPWMPLTDASEALKGIELYCSNESPENFEGEIARDLHELNVLKANFKAHVFQFRQFVINEQNAHQEILNVCKSYLQDFEELMMNESLIELCLHLPTAIENKKTFDIKKFKKIAEKLSEEMKIARDDVQMELEDISTDSQLEILPADMNSKILPGTFDGNIKKKLLSIEKIRKNPEQLFNNLEELRKRCEALKEVKTELEYCKSYNEVFSIFEIIAVLKNLNTRYLKMEQEEHDVKMKKLKKKQDRKMKELDEILEKTREESEARQAKIKKENKILKSRRANELVIHQQEMNDLVEEHQKKMEKIDRQMEELKKEMEKEKKIYDALEQKQLSEFSTELTKALNRQITLDASNRVLEQFSSIRKTVQDASKTLRRIERCCSNESPENFEGEIASDLHELNELKSNFKNHVLHFKEIVKNEQNGHQEILNVCKSYLQKFEESMMNDSLLELCLHLPTAIENKKTFEIELFRKKAEKLSEEMEIARDEVQMELKAISSDGSWNLRFYLQI >CRE16111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:783821:785908:-1 gene:WBGene00061026 transcript:CRE16111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16111 MSFADMFYGDGIATNSVLQKSKEDLRRELRECTKELEEVKSQLRSVNSCWDFCSMRRIELEKELKEMKDVKESNDRIIASMKNKIELNQKNHDEQIAKIIEELEEKMSVLKDLNADLLQKAQEEHEIKMKIMKKEQDRKIKELDEILKKTKQESEAKQAKIEKENKILKSNQANESINYQQEMIRLIEEHQKETEAMRSELEEEKKSMKNKIEINQKNHDEQIAKIIEEHEEKMTVLKYKNAESMKTAREAHEVKMKIMKKEQDQKIKELDVILENTKQKSEAEQATIEKEIKILKSKQINESRIYQQEIGRLIAEHQKETEAIRKENEAMKSELKEEKKSELSTKLTKASNRQITLDASNRVFIQFLNITRTVQDASESLEFIELYCSHESPENFECAIAIYLDELNELKLKFKERVFHFRQSAINEQNVHQEIRNVCKSYLQKSEELMMSESLLELCLHLPTAVENKKIFEIEEFKKKAGKLSEEMKITRDVVQMKLEAILLAILQK >CRE16110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:781174:782155:-1 gene:WBGene00061027 transcript:CRE16110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16110 MPHSQSWPIFTENDQSLQPFDRYCIKRVLLSVDRYGDNSIKKERKLNREPKEIKEIKESNDRINASMKNNIEVNQRNHDEQIAKIIEEHDKELEQVKQFLHITEAVYDASESLRHIERYCSNESPENFEGEIAVYLDLLKESKKKFTERVYHFQEFVIYEQNAHQEILNVCKSYLEKFEKLMMKKSLLELCFGLPTAIENKEMVEIEEFKKKAEKLYPVFSLIYTNNIHQTCM >CRE16109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:778567:780250:-1 gene:WBGene00061028 transcript:CRE16109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16109 MFGRGFPGLGGGSSGLPGLVETISGCSGFGGGTSTSNIVEGGCTTIPTPFPIPPIFGGGDSGKQKIIDDLRKELRDCKKELEDVKTELRSVKSSRDSAWERENKLERELREMKEVKESNDRIIASIKSKIEINQKNHDEQIANRIEEHEEKMSVLKDKNAECLKTAKEDHEAKMEKLKKEQDRKIKELDEILKKTKQESEVKQATIEEEIKILKSRQANELTIHQREMNRLVEEHQKEREAIRKENEAIINELEEEKKNHRDLEQNLRSEQSTELTNALNHLITFEASNRVLKQFLNITKTVQDTSDALKRIQSYCSTESPESNETKISLNVQGLDELKEKFKMEMFQFQQFIIEEQSAKLEILNACKSCIQKFEESMRNQNLLDICLHLPAAIENKSVEKIKEFEKKAKELSKEMDIASDDVQKRIGMISTADQLEIMNGGSD >CRE15979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:777077:778465:1 gene:WBGene00061029 transcript:CRE15979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15979 MTAPENVAAKLEELQVNGEEEDDDDKVTPWEVTTTKASGIDYDKLIGNEEKNCVISTNFFFKISVRFGCRKLDEDIIARFERVTGHKACVMLRRGMFFAHRDFTSILDCKEKGEPFFLYTGRGASSGSLHLGHLVPFIFTKWLQEVFDVPLIVQMTDDEKFLWKDMTVDAAKKMSRENMKDIISVGFDLTKTFIFNNFDYMCPPFYENIVKIWKAVNGNQTRSIFGFTPEDCMGKAAFPAVEAAPCFASSFPHIFGEKGKKNDIPCLIPCAIDQDPYFRMCRDVAPKLKASKPSLIFSTFLPALQGAQTKMSSSEPNTCIFLDDTPKQIKNKINKYAFSGGQKTIEEHRAKGGNCDVDISFQFLRFFLEDDVELEKIREQYTKGELLSGELKARATVEVQKIIAEMAERRKNVTDETVDEFTKIRSFPYQY >CRE16108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:774378:775781:-1 gene:WBGene00061030 transcript:CRE16108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16108 MFRLQLLVSISALITVCAAGNVCKSGNIVNRLVNSRPYYWPATWTENRTAPHLEEDQSCSWTVTIPKGYYANFQMIDAAGNLIQTTHEEMEPYYFPPYKFTLAVSTKTVASFAFKSEWLPLPQVQGSVQVSAATRLINATTSTYCKSYGGNGGVSLLLFPDNRTHYYSLRSVLVFLGHDLDGNYVSNLYLLHQTHKQWISNADITIVNLEASGNGDLLLIQEAQNIKDVTYTELVPMPGFFETVTIDSRVKKSALIIGYQVNQTLVDVKMDNPSSTVSIYYGSPSPFTLDKTYK >CRE15978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:772552:774184:1 gene:WBGene00061031 transcript:CRE15978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-46 description:CRE-STR-46 protein [Source:UniProtKB/TrEMBL;Acc:E3MBW0] MPRFFEYSDAFTKLGVFTASFSNFFLIYLTIYHIKQIGGTYKYMVLIFALTGVVFSSWELIARPFTHNYNRAFILFSLNTWMENSEFFLQVSVSIYAGFYLFILAFFAEQFIFRYLSLVDPHKTNIFGGWGVIGWIGYPLICGGIYSVLLMWAGNPDEYSDEYLGETFAEIYELDISITPRFIFVPYSADNSLRWRNLSFMLGGGFLLSSQYFIIIICGARMHIAMRRELGNFSVPNRRLQKQFFRALIVQTLVPTCLFVLPALPILLGPLFDFEWNMQTGGIMALLSVYPPIDTFVFMVIVAEYRRVICRAFCKTKTVSMKSTEVFII >CRE15977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:768141:770973:1 gene:WBGene00061032 transcript:CRE15977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15977 MSANFCYFFDLVKQSFNKVYNFQFPLTSKHPFVSGFIFSYSILSAVSFSIHFSQTSRFSMSKLISNKNFHFSAFHTIHAQPGRCNILSHILLRLLSISLLKVWMQFSGSISVRDMLVFSKIFYFSDCNFSKISALIQLPYFTNATEKSANISNSSDLPFHDPQNNIVPLTPRPPPSKDEVIDSGDDEVVGGENAMSYFRKLSGSTHDFLSGRSNTGQAMQVLAAYSYKDHFSASISIAKKIGSIAFCRYIGASGTEVVEPVESRVYPFFVVYCSRRNNVTMLGVTNDKSEQITAANSALLIRRKFKEYQHNVSFCLAPIYGKEPKWLHFVELVEHYKLQGVNKFFIYIREIGDYDKKMIDSYVKSGEVEIVNIPGTVSDVIAQQLMGVADCLLRSRTYSKWSIFADIDERLIMTDDRMTIDGFMRSITDESIGSVAFPQRWIMKREHIPEKFESDQQIIEKMPTRAWHETTSAALKGHPLCQEQVSCWGKDIVHNEKAIRMLVHEVVEFYPGFRELFLDPSIGYIRHYRDVKMQSWEANNVANLRKFGPFSNTTYPNSIGTKLLKNVLNRLHRVYD >CRE15976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:760017:764446:1 gene:WBGene00061033 transcript:CRE15976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15976 MRILFLLSILLFATCQAGFFSSLVSRFTGGGSSSPSSSSSSSSSNQRKSSVSDEKARSYLQNFGYVPPSNSLQSRNGMAGDIQSAEQVFKTAIRKFQEFAGLAKTGLLDAATKAKMTLARCGVTDAPLALTSGSSQFKWSKTRLTYSIESWSSDLPKDDVRRAIAEAYGVWAKVTPLEFSEVPAGSTSDIKIRFGTRNHNDPWPFDGEGGVLAHATMPESGMFHFDDDENWTYKDARKIHSNSATDLLAVAIHEGGHTLGLEHSRDENAIMAPFYQKTTDSNGNYVYPNLKSDDISAIQAIYGAGSGRSSSGGSDFGGSSGGGSRTTARPTTTTRSWFGRFFGDDDDDVRSRTTTRRTTLWPTTQSPFSGDDWGSGSSGGRGGSSGGGRCPSSIDAYTPSSSFSYAFSGSQVYTISGTKVTKVSSIHDLFPSAPTPVSAALWNPISGSMLLFSSNRVYSYYFSNIRQIFQMDSGFPKTLPSDLGFSVSGALRWINGHQILMSSGDEFAVYDEFWNQVTLKNRISSYFPNLPRGVKGVESPAGSLITAFTSNQVFEYNSRTKTIGRQSGFSSYIAC >CRE15974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:749114:751202:1 gene:WBGene00061034 transcript:CRE15974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15974 MYKTIWKEKAQPRINSMSDVILESMEKFLEHYQPEKYSKELSAILIWLGLVMKRVAHFAEQESIHLPPLYSVTPTNETKLVIRAFSVGNNLFVMAHELLKTLKDRNKDVSGFEEEVLRMPRLSTLSYREVLQKIDRNVLKNLEFVKMKRIMLMFAQTPIPTYDGAYCIFASEVLYELLVDMIVAKKKFYITHLKKFSSKLTDISKMPTLSVENLKETLKLFNLDKCFGDISKYAERCIQSQKQENPGSTNMPIKKKKSKKAKKQVSSDDAPKESKSCSKCSRASEFTIKANQKLRLSNLENKQLKKELATAQLEIEMVKQKGLDKNEKIKMLEKLLDEKENVIKELAEKHQRDQEERIAVLKKLLEEKDDVIKQQEERLEEHTVRIRSLQIDQQETPDENPEKIPDALYKLQAINGVLHRQQPISKCTETTNRVIINTNKKEIRRIAETERTRFWEEPNAYSETVENRIAMIQYNQFETADEIPALPNFPVFSPEFLKVYEVTMKSKPPLICSQLQKTSELNPEELEDDECVICLDLIELEDETEMCGVCNSHKKCIQDWMVLNRTCPSCRAGIVDNREFPSLG >CRE16106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:746344:748038:-1 gene:WBGene00061035 transcript:CRE16106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16106 MASNANPSFQSNLEILIRKCIPKELIPPNWKCDKFPVKKKQNIFILNIKPLFTQGQQRLGMFKSVKDLKNHILSYFNFSKSMEYLGMSPNFQFDSLPQNGQTSDGKTGIYKIDLNKICPFLLDKEKDWTANLAYIILNECWKKLLDGVSPHEMIVCPHSPDFSRKFISTLENPVSYKDYEDFIWQWKNRWAENKNGMSEFILETIEKLTFVDCRCPRDNPTTLAKAAEYVEFVMDRVAYFAREGAINLPPLNTLDNVPKAIIRKFSLGNYNFVIANEYIKVCESHNINVGKVKKTIRGKPELTTINCTKIEEILKTMTVVTMNMKPLLMFAQTPIPYDGGHCILAADALYELLMDMIVAKRVFYTIGEESWIKINEFFEAMELYFDESEDVYLLDLQHVDGIKTMWEYYCHQLNLHRLNEELETRATRFVIRVLKKKLESLELCFKDIMKYAKLIYLKLATESGSPQSQLHRAVIYCQINCLARKVPKILMFIHNQKSCTRMNIVDCEHCCEHTDKMTAELAKMGTNEEKQEGEGSVSKKKHKNKK >CRE16104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:741514:743149:-1 gene:WBGene00061036 transcript:CRE16104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16104 MTSPTPPSPASKFDKEVRTYVPKELISPTWKCLGEDFSVQLQSLLDDEQSQLGMVESADRLEDDICCYFDLIRRKFEDPFKKLPYDYKNADEKPCIYKNDMYKMFNAIFSCPFPNPWVLDAVKMILNVCWIFFQKGVSDHEMIVCLHGLDLSKFEMPPYTLPETPSKKDLATMINKWKVLNRTDINEMVDFIVNYVNAYLVKYKEKKKSIEEYTVDIKQIIEYVGFVIGKVAKFASDNDIHMPPLHKQQKKKKAVIRVFQVGNKKFVMTEEWRKTCEQHNIDIGRFRKETSGMQELSTLTYDKVADKMKGVAEIVTIEKERLQTFGQTPIPYDGGYCMLSVDALYGLLLDMIVVKKVFQTNEENHLEKFFALMEFYFDVSRGVYFLSLENVEVIRTMWEYFYSHQMKKSNGKVIKKAKTAGFSVGELNETLKSLELCFEDISKYADLIYPKLTTAANGLSLYQLHMAVIYCQINCFARKVPKILEFIHNQNSCNRMNIVECQHCSDEAAVKKMGNMEISGKKKNKKRK >CRE16102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:736653:738303:-1 gene:WBGene00061037 transcript:CRE16102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16102 MASNSPPSAPIIFEKELRKYIPKELIPDTWKYNEEDPDSLQNLLKNPQLGMFESAKELLTDINLSANIPKFIEYFGMKDLDEFQEGIVDKCDVYNVFDACPLEGGQRHIAWMILELCWKHVKDISRKEGALVLRDSEPPSLLNVRPFNIKYRTFNGFLSRWRMDSDKILKGLGEQTSVLERFLGDFPEDDPKHHKKQFTICQDYAEAVMDCASKCGKPPTTWFIGEKRAPIRIFSFDGNTFVMAHELLKTLKENVGNAGEIEQEILGMPKLSTFTEKEVDKLSVELWNELEFREVHVVQLMFRQTPIPTYYEGYCTLAVDVLYELLVDMIVAKKVFHTFQPDIWPCIIKFFEGMEFYFDPTRRIYFLDSKDVDAIKKMWEYVYNTKLRKHYPNVKHFPRTKKTEFRFEELEKTLEYLNLKKSCEGIEKYARPVYDQFHVYRRCDSEAEERLFLSELHVAVTRCQITYVVEKVPSLLKFIKKQGALRRMNIVELRTEQEQEQEGEQEDEQEDEQEDEQEDEELEDEGDVSEES >CRE16100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:731126:733227:-1 gene:WBGene00061038 transcript:CRE16100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16100 MASKAPPLPSSPPPPYTPRRERYLETSIRKCIPKELIPETWKFMTYPSDKPEFTLNIESLFSQGQPQLGMFESAKELGDTVAQYMEFPKNKEHFKKSRTTRIERFPEFPKSLTGKWCMYTNDLYKVFDYHKYSTLRVDTNGLAEVVLEDMWDELRIEKHHTMFVCPHEPLPPTFDNAHRGILLADLMAKGSTVPLNAKIDWMWLAKTGDNDMTTAILESMGKHVANFPYVNTKEAQKIVSWVGYVLGEATVFIQKLGIHLPPATSLAPPDDKPTPVIRLFSSDSKSFVFAQDFQDILFEYNTLSPEYIKSISKLIGMKTMSTLSYEEVMEMVEKVGNPDLKKLEFAKMKNDLLMFTQTPIPTYHSRFCSLAADALYELLMDIIVAKKVFHTFQEKDWNKINEFFESIKSHFDIDRDIYFIDFEEVDNIKKKWEEFYIKHLKRDSKLIQLKKDKFDVNGLIRTLKFLKLDKCFGDIVEYAHPIFTEMENLKLNGKIWTSPHYLYVAIAQCQMNCLARKVPWILEFIEKQNSCNRMNIMNITTGQGEIDLDKLAAELEQMGTSDKKQGAKGNVANKKKNTNKKNNKK >CRE15973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:724822:726258:1 gene:WBGene00061039 transcript:CRE15973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15973 MSNETLVDFYIMRVTNRDVLFVETYIFTCIGIYIMFSLLLGCISRVSKCKESIPPETARSSFNRLYAIYEHLVFGIILLLGIYVLAWYFNCEWAIGLWSLVGFLVFLTKYLAETFIVSMSLISISVYFTHLEEDLKTNWTWSEKFSNKFLGVVMAFMCLKETSMILCGIFVLKFQIGGAEQLFLCYFGLHLSTQFLYWISIIISFVSWKNWRKMVHSEQMITRQTITLAYFKLYPTLTIIYKVCCGFDFKLCVIIFMMMDILFLSLTVRFVETKECVKMMNQRKLKEAELKKVAA >CRE15970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:719019:720123:1 gene:WBGene00061040 transcript:CRE15970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15970 MPNETVTSEMEESIEDLSDYASILLFSYGYILSPLAVYFLFCLPFYYTAFKKNRQAYSESSFRRLIIFVYRTIMYFYTAFYLAAVIAVIIRLVTRSFLSFIIILLVCPFVIFHSWFTASYQLIISIISIHRLINSRPSKQLRKQLSHHQVHILTYAIFGLIVLKDLETGRRAAVVIKTGSFYPIDFPGMYYTVSFQSQNYLFTIWRIQITYIVHQVFVFIGMACQLSIKEPASSHAENVIATHTKYIGAIKLALSIIYAVCIFTEFMEFMDTALFIGIDFFLVPVVIQMTEIKNTNQNVITTVPISLPVVDVQKIEISLS >CRE15969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:717155:718267:1 gene:WBGene00061041 transcript:CRE15969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15969 MSNITDIVKIEELVESMKGDIIRLLLSYTTVLGTPALLFLFCLPFYYTAFKKNQHAYSESPFRPLIMFAYRTIMYFYTALFVVIIIVVVIRGVTSVYLSLILFFFLVCPLVILLNWFTVSYQLTISVISIHTLINSRHSQQLRKQLSHPQVLILTYAIFGLIVLKDLEMGRRVAFVIKAGDLNVFDFPGMYYTITYIVHLVFVFIAMACQLSIKEPASSHAENVIATHTKYIGAIKLGLGIIYAVYIILEYPEFSVNPFVFLTTRNWKFQASTLFIGIDFFLVPVVIQMTEIKRATPNVITTVPISLPAIDVQKIEFDFNLN >CRE15967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:713839:714939:1 gene:WBGene00061042 transcript:CRE15967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15967 MSYYSNAELRQLQRLLAEQEAMARKILWTSGGSMGLMAICFLVCLPFYFAAFIRNLHAYGKSPFLPLITYTYRTIRYNYIGFFVSIIFTGIILAALEGAVRNVSFLIGFTFAIIWFTASYQLIISIISIHRFINSRQSEELRGTLSRKNVMILMMVILFYVIMKDIAMIYGIGFAVVEKKVGMVENVTLYYSVRISAIFATKIMFFFIQMVSITHQMFLFIAMAFQFSIKEPPTSHAEYVIATHTKYIGAIKLIVGTVCFACVLLKYEELVATSLFFGIDFFLVPLVIEITEIKANPNIIVPVPICIPTIEIQKVPIKY >CRE15966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:711901:713013:1 gene:WBGene00061043 transcript:CRE15966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15966 MAKRTTTTTTTFLPPFNYDDSEINESCEINFATQGFINGVDFYVYLLALCPLAICFLCCFPSYLAAYRRNAEATSTSPFLPLINHSYRTIAFNYTTCIILGVLSKLYLDTGSRISGGAIAINLFVVSLLVIYWYTTMYQLTISIISIHKFKNIQKPEKSLSKKNIVALIVVTFILVMVKDISWFAWMVNIHMEAKCEMVDTLFMYYLNLTVFFGIDIFLVAVVIEVTEIRADPNVIKPAKFQKTPEKS >CRE16097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:706541:707907:-1 gene:WBGene00061044 transcript:CRE16097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16097 MFMHNVHFISTPIYIYILITLFKNKNISSEFYVTFMWLPVTYLPYEVYRTTGWLSRWGVSGLFQFYILTQFVIVIGVSILEMFHFRFIAVVVHHTGGLLMKLPSYGLYVFRGLAVTQVITVAWSTFDGRTLVYQQNRKGALFQKDVNVPKEIGCYTVFIFTPEDPILITNLAIYGVLVFLGLIVALSTVYLINRFLNRAHNLSQETKRLQKMLIVSLFGQSAIHVIMIAFPASYKFIN >CRE15965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:705583:706164:1 gene:WBGene00061045 transcript:CRE15965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15965 MSNNQVEEIKRPMSKKNVIVLMAVTFIGVAVKELRWLVCVAKAFYEGECQMKSALYLIYFVVYMSQQVVLFVGMTLNFFTKKSASSHSEYVIATHTRCIGTVKLIMIVIASVCFLNGYVSEMIVPGFLAIDLFLAPIVIEITEIMTNSKIVKPIRVQMVPCNM >CRE15960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:680012:681490:1 gene:WBGene00061046 transcript:CRE15960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15960 MVAKKLATTPPDPYISSVYSTENITEMFSLTLIVSYVITLAPLGFTFLCCLPSYLKAFKKNLTAHGASPFLPLLSHSFRTIRLNYPMLLIFGIAAGIFIAKGLGQFTAALSLNMCSILTLAIVWYTSMYQLAVAIISIHRFINSRQPAEFRRSLSRKNVIILMVVVFLVVIARDLGCGIWFVIAVMMAEQYFMLNTIVMYYMIIYASHQILLFIGMLFQFSIKEPATSHSEQVIATHTKWMGAVKLVLGVICFISYIANFQITLTSTLFVSIDFFLVPVIIEITEIRANPNIVAPEIQNIPLKV >CRE15959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:676973:678532:1 gene:WBGene00061047 transcript:CRE15959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15959 MPYPSFIVDAFTTTRFAGNPAAVCLIPEALDEKEHRKIAAEFNLSETAFPVPISSVPPTPKDYKQSSTFSLRWFTPTTEVPLCGHATLATAHVLFNEIGNSNDELKFETKSGVLTVKKGAEGLLEMDFPKYDLNSIRFNELINPLADKFSEIDAPPFLFEVIKCLIPNKIVIESVIYAPKYGGVVVIIDPDTTKSEFEAFKIDAAKALVLHDGSFVRGLAISLRPSNPVAQGFIDSKNEPYDYACRYFGPWDGVIEDPATGSAQCVIAPFWEKITGKKELYGFQAFPGRGAQFRLHLKEDRVILKGSPVSVLRGEIVY >CRE15958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:673424:674034:1 gene:WBGene00061048 transcript:CRE15958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-48 description:CRE-SRX-48 protein [Source:UniProtKB/TrEMBL;Acc:E3MBS3] MFTFATTPICVKIVWYADFLKYNSIVVFIVIIDVITVSKVRSYKAHLAKTSSKAQSSRRQSTEMNFLKQTCLQAVVFVCELITYFIISPKVDPSQRWLIFSLTTFAWVCVHMLDGIITLTFNKEFTQIIFRGRNTVADYGVSRSNLEATSNAASATKY >CRE16089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:668552:668872:-1 gene:WBGene00061049 transcript:CRE16089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16089 MTPNETLSRCYFTFPMQFELPISWTINSLFVISKSQPHHQSATDVPIHYVIVEKMPSGPSLRNCKIYVPHRISPISDTVQIKFLAVFFVSAFGVMILVRCFCIKAR >CRE15956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:665270:668481:1 gene:WBGene00061050 transcript:CRE15956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ipla-5 MFSDVLKRINAHDIYKRHIDIFHAVTYDNVDGLVAAFVRNQPFDVKDKNGNTVLHLAAKLNRRLLVRAICVYATHLDLFKIKNNEGKEPIELTEDPNIKRDLQSLSTVRSTVDSHHMAYNKQLIEKKIMDNRDNNNNQKVILSLDGGGLRVVLQCSILMAIEREIGEPLRNRVHWIAGTSCGGIMASSMSVGIDLADALRIYIVIRRRIFGGNTQMFPKHSSIGIETVLQEVMGAKTPIAKCTAHRLVVTTAKVTLAPPQLLLFRSYAPRIDPKEFEQLGYFNPNKILLWKAIRCTSAAPVYFQSFNGMADGAIFCNNPCIMVMTEFAKLKKIENYRGKNNTDEIGCVISIGTGIEPSAPINGIDINLTHGITGITGNWKEVFANAKNLITVFLYQCTSSHNVHVGQSREWSHSMQVPFFRFSPHLAAPFELDNCKIEDITNAMFDNEVYIRSQINQQISDLCRLLKSMPRNTEPCQYRKITGYTGNSQKVVDSPNQIGK >CRE15953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:654675:661176:1 gene:WBGene00061051 transcript:CRE15953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15953 MPTLLDLPDLAMRHLMKKLNFDSICTLRKVNHGLRTFIDEEKRDFKFDKLHLLLENEMAVLTLSAGWESLVITYFKGDKKTCLVSKGGRDRPARTSYLKACCIDLEMLLKNQKSIFKSVTVLFRCTVFEDRIETKRGEKLLEKITKQFLTDIANVLQSRDVPIKTQHLEMHVMNHDQLMAVLPYLCPETLTNLNLKRSQQRSQDLELDEVLKTKQFKRLTAFKVSGFRTTVSVDNFSNLVFSDISLETVKVDDLLFLKEEFLKSKNVRDVSFSYKQLDDVNRFNELFGLPNVGGRHDDRIWKFDVPGENQNQKLSMVMANLLDLPDLAMSNVLGYLDLNAILTLRKVNRALRAFVDEEKSDFKINTLYISLKSDHVCLQVYIDEKDPIRVEYWGEGDTTSVSCRRKKIVNLDIMKTCANDLEIILKNQKSISKEIGVFFNFPENQRKSDNDEYEAELKQRTEPFLTDFETILGSRNHKIQTRLLRMDVIEQFQVMAVLPYLCPETLRVVHLKASSHVPGQTLEMDEIVKLEQWKRIQRFISQGFFITESYDHFAHLSKLDIYMDTMKTDELLLMKEKFFEKLIEKFLETASRKHTGRLIFLYLYFLRTPPVLYLFILQKFMASSTHKFVQIFYNEFVDEERLVEVFGPLMNGNERMTGRKEWEFDAADGQGKVMINVWGFHKTIHIMHKRPVSVDKFFITSFISYSFSLTLRKVNRALREFVDEKKPDYKIKTLHILLRPDKVRVSFYIDENDPIRVEYYGKVGDPTSVSCRRRKIVNIDVMKACTNDLEIILKNQKSISKEIGVFFYLQHYKKKSWNDEYDARLKQRTEPFLADFKRILGSRIHKIQTKLLRMDVIDQCQVMAVLSYLCPKTLRDMTLRVLRNVPGQTLEMDQIVKLEQWKRIQRFLSEGFRITVPLHHFAHLSSLNTYMETVSTDELFMLKEVSDYFFCGTVDFFLQRFVASSTPLCFNLKFEWFLNGQRLEEMFGRLLTREVKWEFDAADGQGKVKIIASGCESIKIEHVRSVSDE >CRE27525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1793279:1795367:-1 gene:WBGene00061052 transcript:CRE27525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27525 MINENHQQVIIGLIHSIVALLVFPIYIPIIYALYVNKELNENISYKLINFLNIGDFLQSVNHILTGVFIIFPIFTKRIDPIVRIIGYTANTLWTASFVIIAILALTRLGITFFRIKSNKWALWMKILLFFGSLYIFVIWMIGCINLNFELFGVAWAYDMTVVYTDILSPLELYFCFPILVLKYWFEITDITLALLNGSWILFPHLNAFLLIITNRTVRNQFLSMIGRESNRKISKFGGSTTLNSVLVNKKISTVVS >CRE15949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:644321:644888:1 gene:WBGene00061053 transcript:CRE15949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15949 MPPNIWNTNLDVYYYVKRSFNLFLTPVFPLILYCVHKKSPKNFGSLKYFLYFHVTWKKVCFLSFNCDAL >CRE16088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:640055:643818:-1 gene:WBGene00061054 transcript:CRE16088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16088 MNFHPFLFLALHIFWRCRACAPPKPIVAWSTTGTRFSTSTATTATTINSGTSSEHLTFSQGNPTSSEYPWVSARSIPVETLTTAESTSGFSVTADGNTLPTISPGDTTRSVLEVTPTSNSTVSDASVTSTGASSGTPSGQTSEPTASSSDVSGVGSTKNHENSMTTLISAVSEQPTISLQGNPTTSATPVTSGQNQSSTSSGSSLSTLYPRDKTTTSIASITPSEDSKTQSGQASTGSTSTSFSSSTDDNVNVITTVAKNPIATVTPVQTRTTLSTDPSTISVNQSSLPTRVSPRKTPCPASSESSCESRGNSVSITSFSESGESSTTILTSKPAGSFSTSSKNSTPDPPLTTVNYTIPLPTLLSSTPIPTTTCDCASPDKSIDTGLSFFNKLKTTQFSTPCTYQILCDQNRTGNYLSVFVPPGFSPNPDNMQMFRFYPVFHSNSIDYFYQFGMICMGTQWYATRIPGMVDMSPGANRPMVNGTSWTGKFRIHEMKCQAI >CRE15948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:633709:639921:1 gene:WBGene00061055 transcript:CRE15948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15948 MSVSSVSLSSDANVRYQRLAQEYTKLRAQAKVLREGVLEERGRGDKLAEELKSKEAVIRRLQAENESLTFRNDQLARRVENFQFEPPISSTSSQRKKAPPSQHQDSGGRIELLEHELRQKLAENERLVSKLDESERQHEIEMAEMSEKLAKEMRILQDDVKRMRMKTTKASRQEEIQEIQEQKEIQKNHEKEEEEKEMVIGSPEPPESHEEARIMVAEATRGSFSALTNVFTLLQQRCEIYPFDSKLERLPTHVEKLSSEYAQTARLFSNLVEILDSIISNSVFEPAEQLPEITSKCRLISQHCNQMLRDLVRQMTEEENRVTWCSAPLSKLNNQWMALILELFDVFERVTVSLGSSESELFLSSLDSLDQITSALLDCFQKRWIFEARLPTTTRRMRCVGAALEEALQMASQETSKLAARGRRVTMMEKERGVKEQKEREERAPEVLEPEPQNPFDDEDEDDFKDASETPEPPTEAPEPPEAPKIQKTSVAPSEAPPPFDPHLHTELRLLATRNEELQKERDKLFVDNSLVKRKLEIASAGTEKSTDIDEIWAIGMERRDEWIQRVQKAEKALRFYQIEFDLLLRHELASEEHLKRVLAELDSVTKHNNRLEDELESVRKGYESQLGDLSEHLATLVKEREKEKESNKSRGSLKSFFNKS >CRE15947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:628565:633424:1 gene:WBGene00061057 transcript:CRE15947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phf-10 MEDPVANEDAIISAPETAPETAETTPSDEPSSSEPAPAAAPISSRRDTYNRNVYIMLDVNHRKVEPQDIIEYEWPVKSGDRWFLQEQIGELLDIKSFSRKYPDFTRRKVNHEEREYLEWNYGVHKLLNETLLRDMNAMRASEIHDMMQSDFVDIYMEYKKVVAQREREAQLEKAKEMEAIKNDAGKLAELRAKALQSAADFNKDLQKMRRNERKHFWDIQTNIIQSRRNAWKVMKPEATRPGPYPVALIPGQFQNYYKKFTPEELNRLPLGTVMNTDHLYPPQRDASPPPLTIAEVDLSRQQREEAMRAREAAVSTHIMQIKQEIQEPAGYVPPPLDPNRQCDSCEKTGGDMICCSVCQIVYHPRCIEMPDRMAALVRTYEWSCVDCRVCSICNKPEKENEIVFCDKCDRGFHTFCVGLKSLPRGTWICDTYCSETNRNQSRRASTAIGARR >CRE15946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:621611:622333:1 gene:WBGene00061058 transcript:CRE15946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15946 MASPSPHRSSILKLFKAGVAPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRRGRGRKATVVTPDRIKAVKERIRRNAHRSIRKMAEGMKISRRLLGRIVKDKLKLTCYRVRKAVILSEATTKKRLERSKKLLQRTRNGDHLITVFSDEQLFTVQAKFNPQNHRVLAETYEEAFASGKTIHQTSHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYILQILEKIFLPWVQKYFNGRHWVF >CRE16087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:607283:610843:-1 gene:WBGene00061059 transcript:CRE16087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-5 MNSSAASTSSTISSTTTPPANVISSSISKNNEHQNNGTCIQIAEAIAAQGIDDITVDFYIRSIFTFLYGFLFVLGIFGNGGVLWAVARNKRLQSARNVFLLNLIFTDLILVFTAIPVTPWYAMTKDWAFGSVMCHLVPLSNSCSVFVTSWSLTAISLDKFLHINDPTKQPVSIRQALGITSLIWIVSTLINLPYLMSFEHVEGSFYVQPGETPYCGHFCDEANWQSENSRKLYGTTVMLLQFVVPMAVITYCYFKILQKVSKDMIIQNAQFCQSLTQKQRSNATSRKKKVNYILIAMVVTFIGCWLPLTLLNLVKDFKQEPEWLKRQPFFWAIVAHVIAMSLVVWNPLLFFWLTRKQKRSGLSKILNSTEIVSSFASRVSNSIRRSTFRRNNIDRVRKKQVVLDCEGSSYTTSSRPLLIRTDVQATLSNGSTSTTREML >CRE15945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:601149:604060:1 gene:WBGene00061060 transcript:CRE15945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15945 MLLLRIFPISELNFQFFFHFEKNKVVSSVLLLSWHIQNIESAPEDDRQLQKDILKSYNPKIRPVKVETTVTQVSVFLNIAHVEKVDEEEQTALVHGHLWASWIDEYLVWDRKKTNITKLTVPSSRIWQPALALYNSARGNTWHLYMNGLPATVYYTGKVWSSGTFSFFVTCQFDFTNWPFDQQSCPIVIADWVYGLAQVNLSDPNTVAEYAKPSIRLSYDPIEKKNKRHVGGWEVKDAWKKHCYWGPKGCKEDPPEGEPDWYWSLLEFGIILKRHLPYFQLTVMLPLVGKKIYLIILTSLLVLLGFWIETFSTNVIMIVFNVVLQATYGWNMIRQLPPGSGGTPKVVQLYTMNIFISSFQILLISISKFLEESLPEKFAFNFGIDITEIPKKMGIDALFAKKGLSFDPNTLFTDQDDPESLESVLEKGGGSPSTSIGIGNPLNDEEFDNFQNSTASSTTSETELLINLPTNSEPALAESVEHIGVEDAKKPVIKQPEPERKLSVQLHHIKRFLFFLNVLVYIIAFLLVLYY >CRE16085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:599313:600221:-1 gene:WBGene00061061 transcript:CRE16085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16085 MVTVWGHPDPTTSKLAAKYMNGINWKTCVDSCFKDATCLLAYGNSSEYCGMYTFGDVSKVENLETVALDKVSFRITSAPETCEPVPQMISVFERYCELGTWMLAGQWTGCNKIYLEAVDYTTAKKICVSMVGALMIIPASKDWFWIRTSTDIVIPRCFDSQCTIHFGIQMTDSNVIQKTRIEKTRIQFLDWTLPELMGDDSGYLWAENEPNPEK >CRE16083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:589547:592329:-1 gene:WBGene00061062 transcript:CRE16083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-204 description:CRE-NHR-204 protein [Source:UniProtKB/TrEMBL;Acc:E3MBQ2] MLEFDQIDLPSSSNTPSSTPSPALTEFTSSPGGKSKSLRKSGKPYQFVPSACQVCYGKATGHHYDVASCNGCKSFFRRVTIEKLQYKCSVGMKCYEDFKPGGKSIYSSKMKQLFVDQIPKCRACRYKRCVETGMNPLGIQSELRKMSESSSMEETGSGKEIVILPRIVEPPKSIEAQVADLIKHLVHLDLKTNAFRDCSYNPVDFPTLKQAIKEDVSIIGFGDRFGPMPGWPLSPETIEEARKPNPIPGTRKILPPNVKRWVMYDLLTIVEMAKTFDFFTKLSENDRYYLCRDTTLMVSNLTRAFFCVENKTDQLIRSDGQTTLAPPPKWIPKEYMDKLIFDIMKRAIKTLIRMKCTKIEFLLLRAILLCNAAAPDISNTARTILSTERAKYTVALLNYTMANHGPEGPGRFAEILNVIDVLERQQKDQRDVNVYICLYRPKHVKLPLLDDVMMIC >CRE16082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:581995:583561:-1 gene:WBGene00061064 transcript:CRE16082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16082 MESSVISCSSLSPSEFSNNSILSITSSVSESDRFPEKCQICFQRGHGYHFGAFSCRACAAFFRRCHFSAALGERKCRLMNGQCGPDRNGRWFCKKCRMDRCIQLGMTTTNIQYDRDAFKSSSNFLKNKALAKMVGDRIGVPITVESILGIHHLISFIPISGEVTNLTYVDTSQLVDKALTIMLTPNLVRKTKKTSNLEQLKIGLEEFQSGQKENLSEIVYLSQNDHKREFETNMCAAARWMSCSDQIRQYDDDMKLCLLQAVWFVWGRFERVCMTAKMRSKKLCGKKQFVISQEALIDFDRMDSDISCCSNHTFEEMKFFLVPRELFYDDVIWEIMEVQPDDIELTFIMCSLCFQLTGKRHGERVQEEMERLEDIFSNELHEYYTRIKKPMYLLRLKQLMRVKEKFLKLRNTRIDKYQIGGIFNLFNVSFSNPDFFSVTP >CRE15943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:577461:579189:1 gene:WBGene00061065 transcript:CRE15943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15943 MASVLKRKKSRPQSNLETAIRKFLPKELIPETWQCDARKRDDSIQDLLNQANGQLGMFKSANDLNTHVVTYIDFPKSYEYLKMHAYDIVDSSLSILDTMSKKWCMYECDLYKLLYYRELCPLERPAENSAEMILNRIWKRLRVGSHHEMILFTEKPKQPTSAHPDLRAFGTAGSKSEYEDFTDKWQEDKKKTIYGLADFILDEMDVFLVLPPSPDYQEAADIVSWVGYVMEEVAKFCKDKNIYIELNPNQKTRKPKQVIRLFSNEKGEFVMAHDFLEILKEYGVDSEQIEKFIPKTLRQEVDYLPTLSYGDVLRALETSDNEELKNLEFAMMKSPRLMFARSPIPTCKKGYCILAMDALYEVMMDMIVAKKVFQENNCIKIEEFFESIKTHFDINRGIHFIDSEKSEAIMEEWEKFYNQHLKRKVPYNAKSNAKTIQLKEDFNLKYLKKTLRFLKLNEYFKDIEEIAHPIFAKLKKKSPRDPSDLHAAVTQCQINCLARKVPWILKFIEKQNSRNRMHIEDFHKTEYVASNLVELEQMGTSEKKQEAKGNVSTKTNKKKK >CRE15942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:566408:568554:1 gene:WBGene00061066 transcript:CRE15942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15942 MRFIFQLFLSFLVPILVDSTVYSPNSPTICLAETNKGCQEQMKMKNQTLESVNDNFPPLKALMKNYTEQCQNVMKCASGLECFKGKSEKAIFQTSCDEVGIKRYGFDYNCMAPILKRVYQEEYICTKDFGYKMLNLTVAQSLFKSEKQCFLTIARNACDTTNYNFFLKNYDEIVEVYTSRPVPDNSFCASTSDKFHRLQCEKLDGGFMTKATSVPVLSVNLSNPAVQDVVEISEAMEKCMQESCWVFNEDEKNSSKVFTDTFQALPANLTNVFKLRPRLLEYKCLANMSFYDFYHEQMSCVRINEAPDCFMFTITKFCREEILTDFENLEVSMGPKNQYNSPEFAFSMFKDNKKKRRFVITMNDEKAF >CRE16079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:559410:561023:-1 gene:WBGene00061067 transcript:CRE16079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16079 MSIPSNLCKVCGDRAYSGRYGALACGGCNIFFRRAFLQKKYFSCSRDRKCVVYYTNRISCRSCRLQKCLHVGMSVDAFKIRGHLTSPLTENLLVTLTKLQMIQFAEHYQYFKDHNVDIAFRVANVRHRRRARTRDANISLQLSLKHATEWCNMLRPFKKLSTETKNHFLAEYFIAFMLIDQGYKTAKQTDRNIWLLHNESFVHLGTESSKSVLLFIINYIRFCFRNHFLHSIANPFHMLKVDKKECAALKTILILKPSCISPKIYYGQESAIACLYNECMNELMRHSLGRGGAIRFGEIVLLLSAIRCGVKDVYNSMREPGFFDFESLSENVRNVLLE >CRE16078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:556624:557788:-1 gene:WBGene00061068 transcript:CRE16078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16078 MSERFKYPINGICRFENIAQLIANDDFPKIPIGEMAGFEWYVSLVTNTVDGLIRIHPYCQSNHWDNIQNLSIRYFFSYLSRKQSIKCQQTSNVNKPVLLTSRDSLLGDYLGIESLMNEKFKFLHDGALCIEYGLSVLSLQHYDKIWRFNFYDKMFDCDQKQNMITVEDCSRDDSDDCVLLFAPKQLLQFHSPLFKDRNLSIREQSVRKHNRNIRELLQIAHGVKIEAPDDVLNTADKLGFRNVARYCERQMIQERYEDMLVFGYFNLAAEYNLNHYLAHLLRHVGPAGCLAAVLMTVDIEKLSSEYMKQCTKYFFENA >CRE16076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:551249:555007:-1 gene:WBGene00061069 transcript:CRE16076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16076 MCGIDGWDVVLVTTMQDGITYINPILSSPSHSEFEMRFFFSYLNHSKLKDDEEEEFCVRTSPYEGAFRKYMQVDELLDGENGWLDNGTLCIEYGFCILSIQDDDGIWKFNFHDRLFDSDRKQNMIRLEDNRWRFCLPLYAHKQLLKFHSPYFQYNYNCYKIGYGRFKKSIFELFQITHGVNIDDPINGICRFENFSEQVERNNYTSIPIERMRDTYSWKVSLGSEMKNGITYIYPYLRGRWFREVAIHCFFMFLNRDKSKNSTSKFRTYLKPMHRSCGRKIALDTLLDERNGWLSDGTLSIEYGFHVEAIQDMDGVWGYNFHDMVTLEEQDTITLYVYDRDESFKLYPPKQLVYFHSPYLKNRTFACCDLGVSEHTEVLQIAHGVNLRVRNLRLIIPIAKDLKFQNVIRFCERQLIQENLCYRMNYCNKFQIASKYNLNHYLAHLLKNVRDVKRLAVALKTVKLKKMSSEYMKQYPINGICRFENFSEQVENNEYTVIPIGRMCGIDGWKVSLDFWEGYESDGISPFLSCRPQPDFKEIMIRCYFSYLNTDKLENYEYECYVRMKADQTASGRNMRFDDLLDEENRWLDNGALCIEYGFRVESIQMTDGIRMFNFHEKLIDCDRKKNMIAWTLTDLISGGSTPLYAHKQLMKFHKSSLKARAVLEHRITRPDHVVMELLQITHGVNIDGLFLNKKKGQCFFWTVRYINLLILMAEKCMFRNVTNYCQRRLIEQEWQDDRVGDYFKLAADHNLNRYLVHLLKHVGPVGCLAAVLKTLDVEELSSEFMKQCTKYFFENA >CRE15941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:543715:544994:1 gene:WBGene00061070 transcript:CRE15941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15941 MTMPIILRISQYFGFIYTEISTLLLLWLIINKSSKNIGSYRYLMLSFSLFSFVYAIVEILTQPIIVLHEACLMVYADSFLKHHKTIAQAGLGLYGASYELCISLLATHFVYRFLAICRPNDLNKLSGCNLLKLYILPIFFSAMWFLINYIPCGPSDLKAEYMRKSVFEHYNEDTRDLGYMAILYYELSIITILVCGYKTYQKMQQVGSSMSAKTKELNNQLFKTLILQTLVPMFLMFTPVGLLLILPMFSMSLGTLANTPSQFAAFYPALDATIAIFMIREFREAVICRRRRQKIFFSTKSGMVYSVSTNC >CRE15940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:540346:541473:1 gene:WBGene00061071 transcript:CRE15940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15940 MSERSKYAINGICRIENFAQLTQDNMFPRSQLDPVYGIDEWYISLCTMTTGDRREFFPYRHIDLRHTFRNLFIRSYFAFIKKNGDRVMKRTASEFLDSEKDLMGDLVNIEEALEEENGWLDDGVLSFEYGFCIESIQNYDGIWEFYLYGKLVDCEEKQNMIAIEDGLYLSYAHKQVFCILRTISIIFSKFQLITFHSPLLAEKSQSNQKIAIGNGGAIFDCLQIAHGVQLRIDDDISWYSLIAADELQFSNVIKYYERRLIKYPLFSHKFSFKFDIAVQFNLNRLLAHLLKNMKETDKEFGELLMEVGVENMSLEFLKQCTKYFFDNA >CRE16071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:531814:535163:-1 gene:WBGene00061072 transcript:CRE16071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16071 MPLFLQVLQYSGFISTEVLSLILLWLIVTKSSSKFGSYKYIMMSYAAFSVFYGVIEILTQPVVNICRLFLQIIHVSGACMIIYVDSFLKYEKSISLVLVGLYCATFGSSVLLLATHFVYSYFAICRPKHFSHSRPQDLHRFQGANLLLVYTIPVTLNPMVFHNNFSNGTVRSEIGIHEHTNLSGQFVIDWLDCVASFICCGIMQSCIITMTVCGWKIYRKMKQAEGSMSEKTKELNSQLFRTLILQWGYTAPHLGHVFFYWRLILFIGSLRYAEHLSHSRPQDLHQFQGAKLLLVYIIPVTLSILWYFTCTILLPPSDLKSEYMRDSIEVNYFEDTSKQAYVSILYYHTNLSGQFVIDWLDCVASLIYCVIMQSCIITMTVCGWKIYGKMKQAEGLISEKTKELNSQLFRTLILQTLIPLCTMIAPVGFVIIIPMFSISIGKLANAPGLYAGFYPALDALIVIFVIRDYRNTVLCKKPEKKSSTCVRAGVRHSYTSGD >CRE16070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:521539:522827:-1 gene:WBGene00061074 transcript:CRE16070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16070 MPLFLQIFQYSGFISTEVSNLVLLWLIVTKSSSSLGSYKYIMMSYAVFSIVYGVVEILTQPTTHIHGACMMLYVNSFLKYDKPIALVLAGVFCASFALFVALLDTHFVYRYFAICRPQDMSQFHGVRLLRLYIIPITFFILSYLVVTILVAPTDRKDKYMKEANNETYGEDTTRLSYLGVLYFYKDESSGKTVISWPDFTYCAYACGVMQTCIITVAICGWKTWRKMENVEGSMSKKTKDMNSQLFRALVLQTLIPLCTMFAPVGALIILPIFSIAVGKLANAPSLYAGFYPALDALVIIFMIRDYRNTVLCRKAESSISISPAAQYPSNYVSRDLDF >CRE16069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:516721:520750:-1 gene:WBGene00061075 transcript:CRE16069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16069 MWVKTILLFLTVNLSSGLEFDPMKAMELLNELIAHPREVIEQLEKYKTSDLIAALGIHSFSNYSISEECHEELSFLGYGMIDVARHVKHHTTKAPSTMSDQERREYLIPMMDAAGKIGSGIARGHLLSTGAFTECKTVNIFSTSLNRTIQGDYYRILFDIKMQPNSFNGSCQLPQIGVDICLPYSCRNEDLTDYFRAAVGVSKELSPVCSVRNVNGRIPKVTTGTYVVLTALSLIVLVFIISGTIDYYYQNRRSKLDLKREELSSRVGWKVFMAFSFYRNIKEIFNMEQCNKKGQVTSLNCIRTISTVWVILGHCAAIFILVCSNPADLLDFTKTYMGTLMANAYFAVDTFFFISAFLLSFLWFKTLGKQRKAVLSAGGWIMFYVHRIARLSPVYYVTIIFFTFVYTRMMRDMPLFMSPAMQDDTCRDNYWLNLLYIDNLVDPGKICYVISWYLATDLQLYIMSPLILLSFAIGGSFIGLLVAMLVFSASTAFNAYQMLFWHFPPTQFDLGPKDPKYDPQARRYDQWNYYNPLIRCQIYIMGLVLGYFMRKTPKLNINPWLDRVMWIFSLSSMVFVVFIIQDYTSGHLWSPIQNVLYSCFSRVLWGIALSWIVISTYYQKGLINDFMSLPFWTPLARLSFCAYLIHIMVMGYFFGKNHSELYFSTLSYFFLDTVIPVTTISFVIAIFWSAMFEIPFAKIEGLIFGGAGRRQKSSLPEKKIETPTEDVDVLKIPIEFPDVKNVRF >CRE15935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:512243:514344:1 gene:WBGene00061076 transcript:CRE15935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15935 MKLAAFFPLFFNFLPCVTYKVLVFNPAFGASHSNYLGKISDILIDAGHEVTMLIPTFMEAKKELVGSKKVSKNKIIRIEQDSKCRKMEEESKFEEIMRKTVWTDGGDTASLFLSWIKNFAILSGWQCANIFQQTTILENLKNENFDLGITEALSICGFPLFDHIGVKAVINADSLLHLDIVKYAHGEPASTSFFPGVFSTDSDKMSFLGRLKNLIGLSFPWYFSWARFQSELDILVVVVFLLLALLAIIFCIFRFIFRKLCNLFFGGFGYILADRRMD >CRE15934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:507160:511967:1 gene:WBGene00061077 transcript:CRE15934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15934 MGGASSQVRHDTVSIAATQSPRPSPRRLLNATSKLKFSFKKKSSTRDQTRPFEELIKTWPIGDLNLLLAEFEADSKLLELQKSCDNTRKPTRPFTQDFEKGRHVAVDLNVLFKFTSIPSNRAFLSQRCKNLKICANYDENPKNFDIRDEKFLKFEQSDVEFFLKSFIYTEKWPEERGNVILEQLCAEFGCFKKLEDDVKHMYKQDTKNGDLVIEVVEGSQKPDSENFEIRCSSLIAASRSTIIQSLLTKKSSENTENPPYPRRLQFSDLIFPRAFAPLFVSFLYTDRLDWSLAPKSEDSISSLSQAKAITTSGKSPDVQLTRALQIIEIARFFGVQQMVHACEDIVVKNLSHDNVVQILQWGRSNNSSYISTHAARFIEREFSRISQSATLFELTPTEMTELTQSQFLQSTELELLGACCRWGEHALLKKLEEREPNLVADTCHSISRRGLKKSEKSGEELKEILKPLAENIRKDYALPPFHQDLTDAYNKGILEQSPLGQDLVVCASTSEINPDVHWLKPDDESCGPRYYRPYHTALLKHRNLKDPSWIGKSPEFATSSESPLIKFTNLYPDILDENVYIEARKRIMDRTKQANFTSLTRSFHQKMALEMITRQTLIELDIPEECLSCCLASENSEKSITPPDVLPYL >CRE16067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:504938:506338:-1 gene:WBGene00061078 transcript:CRE16067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-1 description:CRE-RAB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MBM5] MAAMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDITDQETFNNVKQWLQEIDRYACENVNKLLVGNKCDLTAKRAVETQAAQDYAGQLGIPFLETSAKSSTNVEQAFLTMASEIKSRMGPVQGAGGAPGVRITGSQPVQDKKSSGCC >CRE15933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:502243:504842:1 gene:WBGene00061079 transcript:CRE15933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15933 MSTTSYPNSLYDSPQQHQKKNKKKSGKNNSNKGSQTIQRKAPPPPMWLLEACVTPETANSPKWLTDFMPMATQLLLSNRPAYLIVRNSWNPVPFEHYPSQPATCLLTTSEDDKYVSTDAKVWTPQEIRATVEDCDFVSGNMWFYIPTGAPRSPDDLFENVIAPLLEWNRAPTGVGEIIASAEDALALLYWNAPGDVIHLGAEELKKIARRLGIVIENEDAMVIDCLEEDFSATATSPDDADEEGPRRIPLFDWFWVGIALIVLQLVYLASKFDFFRDWFSF >CRE16066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:465738:477030:-1 gene:WBGene00061080 transcript:CRE16066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madd-2 description:CRE-MADD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MBM3] MEEELKCTICARFFDDPIILTCGHSLCRMCALKAHQPSTSSGISSPRPSTPGILSQVFSSASSPISPQSAGSSSGASDTMSLCVSDGGDHESDKLSVVSETDSGVVGCGRTSRPSSIIGPPLSRLHSILTPSTSGVQLICNSCQKPSYYCDENSIVSAPTNLAMQNVIRRYLASHPNIKAGPSVPPVEETPSSSNSPQCQLCEGTGKGAANVFCEQCDIYYCTPCQVALHPARGPLAKHTLIPASDPGRKKSTNLSTNNATATARDLLRCVIHPGEGLTMYCLPCKVLVCSRCLQDLRHANHDVQSLPIACKGHKTELSTTLQQLSEKAKTATEEIGRLKGLHDVIKNNCNDFKSSVCIQIDQLIEQLQMRKEKLMQHVEEQADNKRRILKSQIVRCTGKLTKTSALIQFCIEALKEPDPTVYMQISNALLHRSTSLEFLWHKEMRTKPEIDSDFVLNLDTKHLQYTIQTLDFAQLKVPSAPMFETSECSAENNSVTVVWRPRNDGSAVDGFALEIDTGRDDGNFKEVYSGPDTICTIDGLHFNTVYAARVKSYNSAGESEYSESICLQTAQVAWFQLTKSPSQRDMILSNECATLNGSTLEYRTILGSIGFSKGVHYWEVTVDRHDGNADIVVGVAQPAINRNAMLGKDLHGWSMYVDHERSWYLHNETHHNRIAGGITRGSVIGVKLDCNRGVMEFTINDRKRVYQGDTVAFTNMPRGLYYPAFSVNANASITVHTGLSCPPSPND >CRE16065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:461398:462843:-1 gene:WBGene00061081 transcript:CRE16065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16065 MTPFFLEIPDVPMEIIMNNLDYIAIQSLRKTCWDLRNFINDKKPGICMKHIDISEMGDAVKLAISTRTLGLPENTFINLTYEKYEKNGCKISGGTSDGYKNKIVENLNFLDAALHDFKVALKTQKSIFERVTVAENTSFFEKFDEHMKSQKPFATESMEIHANSLEHARQIMQHSDPKYLKFIHIFAHEPININETVKLESLKNIQNFSHFSLTTIQLENLDVETIRAIKKNFLQFHEYDKYLFVLNGPIFNENLFIDAFGAVFTPPGETVKIRFFTVPGNKEKVLKVHNTHLYYRFQFIGECEVPEGYVVLD >CRE15931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:457754:461268:1 gene:WBGene00061082 transcript:CRE15931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15931 MPVIVGPTENGQELKQQEFDDLKMKDGVGGVKNCHTDTKSVRSTLISRKNGLSATSGLINFICGMIGPGCFSLAVSFKQAGLWGGLALVFIVGFLSLYSMHKIVSCSQFLAKSNGDQSLDYGEMAEAAMLNSYKWARRHAKLAKIVVNACLLAFQLGVITVSTVFAVEHLIEIWEFIADSPPPFSKSVMILIYFVPQMLLNFIGHMKLITILCLCGNVIIFAAIGLITKELMMHKWYPTWELNSLTGIEGISLAAGALFYSFEGQAMVLPLENSLKRPKDMTGLTGVLSTAMNLVTVLYAFLGFFGYVTFGPTVQGSLTLNLPNSVLSVSIKGLLVLKIFFGSAMQLYVIVQMLLPSLQSRISENRKLIHRLLPYALRLGLMLVTLCQALVVPNLMQIIPLVGITSGLLLSLILPSFLDCMVFLPVYKKQGEMFKYYRKMMVNLFLFVLGWLFLGSGLYSSIDDIINNDV >CRE15930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:454011:455570:1 gene:WBGene00061083 transcript:CRE15930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15930 MTEKKVISDVITIFNDGLNIGKLKFDKVATKLGIIAGWGSVIKDLAIIVLPGKKDPVMEKLHELGNKIDQLSNQMTKEFDALKAFIVEHNFYADVAQTAATLSKFMRDTVTNPCRDSYEIFREAARNTPPLTYAYKLISLLVQDSTNPLKMAMDKEEFGGKRSTYDKWRNTIDAVMTQFLVLETYINGMFWDQNMYGPNQLKEKIANLNKDMDQWREDYKESYWKKITPEFLKKFQEDNVKKNNEEKATMLQNELGQVLTDDAFYIMVYDHCCGYDKHSFYGGTDQYVKSFRVEGCCVVIYRSRCWNSSDSQSKKQIEIDVESCRNNTIGLRADHEKVPVWLMDNRIRDCHFVGLIKSNLMLSIKSANTPGYQWGPGWWITVNKIHVGYCLIGGFK >CRE16063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:446315:452052:-1 gene:WBGene00061084 transcript:CRE16063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16063 MVVCTGNKLLEECHQWVQLFLKDFGPDDIFFDAEFEYSDGSLKWDEYGTILHYNFIKNNDACPLSIYKDSWPFHADQGQIGDCWLMATLMSIAQRRNLLEKIIPPNDFSLKHGIFLVRLFFNGEWQIVVVDGHFPCSESGCILFARVFYTELWPCLIEKGVAKMLGGYHMLHGGPMISAFQYLTGASCLQINLNKDTNSEMLWRKLQEFQSLGYLVTASTISKFDNGKATQNGLLKHHAYSLLDTCVHNDHRLVLIGCPNYTSWNGKWSELPPYNEEITKQWTDWKKWMVKKRFSWMDIDDLCRWFVKLTIKSQYRSAEGDECELSPGFYFVIYTVVSMLYPIEYSWVFRRYSIFIRRSLTLCFSPTPMDHITYDSVTFKNKVASHQSLLKMVEKFAKPMTEIRKGLFAQEYWRDNFLTLMFQNHTDKPIDINVIVRTESKNVESYGMMTERLWNRYISKSKDPYVGYITIPPRSKCIFGNVWVIPGPIQLKNEVEESEISYMYWIRILDEEIESGRAKLMRYKKVHGAYEACHQWVQLFLKDFGPDDIFFDAEFEYSNGSLKWDKHGTIQHYNELIAYRSTLNIYSDPWPFHAWQGPLGDCWLIAPLMTIARKRDLLEQIIPQNDFSLKHGLFLVRLFFNGEWNIVVVDGHFPLHTSGGYEFARVVCSTELWPCLIEKAVAKMLGGYHNLMGGPLFSSFQYLTGASCLKINLNNETSLDMLWEKLHELLSLGYLISISTLHKFKNGGATQNGLGRCHSYSLLDTCVHEGHRLVLIGGTNILKWNGKWSELPPYNDEVTEKWSEKRKKIVNDRFSWMEIDDLYESFDRMIVCKYREGWHELRTGKGIFQLGQNGQPEKILRIHIKKQCKLIIEVVDQLDPKLWEYVYKVVGVINIHKATSDNQIGDLIVSSTVGLYWAAFRTQYRSVESDEFEIEPGVYFVIYNVMSSLCPLEYSWVIRSPTPLDHISYDCVTFEYDMASHQSLLTMVEQLGQQPMTEIREGLFAQEYARDNFLTLMLENHTDKPIDVCVIARTNIERVQSLGIFPEQHWKLITNPIDLYKTIPPRSKCIFGNIWTIPGPIELKNEVMESEISCKHWIRILEEEIENGRAMRYEKVPGVYKAIPIR >CRE16060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:440338:443621:-1 gene:WBGene00061085 transcript:CRE16060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16060 MFFDAEIEYSDGSLEWDEHGTMVHYNELKNNGKYPLTIYKDSWPIHAKQGNIGDCWLIAPLMTIARKRKLLEWIIPQNDFSLKHGIFLVRLFIKGEWTIVVVDGHFPCSKSDGKQFAMITYTELWSCLIEKAIAKMLGGYHMLHGGPMCAAFQWLTGLRELLKLLNFLYEYSGASILTINLNKETNLGMLWEKLQEFQSLGYLMATFTSPDKEIKYVSQNGLGTSHAYSILDTRVHEGHRLISIGCPNNLTWKGKWSELPPYNDDVTEKWSEWMKKAVKKRFAWMEINDFCRCFPRVKICKYRENWHELRTGRFQFGPSCPQEVLRLNIKTKCKIIIELMENCENYCCRYISGLVNIHKAINDNKIGEIVVASRLELFWCPLKSLYRAVESDPFELGPGAYFAVITVFQKPYPLEYSWTIRSATPTDSISYDSVTFEHDVVSHQSLLKMVEKFGKPGIQYRKGLFLQKYSRNNFLTMKAENQTEKPIDIIMFVKSEAPQYEAYGIVSEPFWNKYIILPKPYVIYTTIPPKSKCILGNVWKIPEPIELKNGVVESEMSCTYWIRILEEEIKSGRAKEMRYAKSSGIYKAVPIN >CRE16059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:433631:436718:-1 gene:WBGene00061086 transcript:CRE16059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16059 MKICEIKPPENDKLLEECNKWVQLFLKSLRQNDIFYDAGFNWSDGSLEWDKHGTMLNYRKIKNDSSGSLTLYKDTWPFHAEQGNVGDCGLISALMTIARRQKLLEWIIPPNDYSLKHGIFLVRLFYDDKWNMLAVDGHFPCDESGEVEFASLCYGRLWPSLIEKAVAKRRGGYHKLNGTCPTTAFQYLTGASYVNVSLNKDTDLDMLWKKSFGYLMVIGTDSKPKNKKISMKGLQQDHAYALLELRVHEGHRLVLVGCPSGSKWKGKRSNLPIYKDEVMKGWSEIEKNAVKKRFSWIEIEDLCKRFDELFVCKYHEDWYEIRTGRVQVDSSKPESSSPIDHISYDFVTFENSLAFHKSLLKMIESEKCGIQIRKGLIIHEYIRDDFLVLMAENKTEGPIFMSMIAKNDEELCETHGIMTDHLWKFSKKLNPPVPDIYHFIPAKSKCVIGTVWTTSYETKLEKQPKKPEVSCKYWIRILFEEMEKKYGLDMKIPLKELKKIHYEPTLYKPIPIE >CRE15929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:429935:433166:1 gene:WBGene00061087 transcript:CRE15929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15929 MTVCTRNQENKILEECHQWVRLFLEDFGPDDIFFDAEIEYSDGSLKWDEHGTMLYYNVLKKHGTRPISVYQDSWPIHAEQGRIGDCWLIAPLMTIARKRKLLEWIIPQNDFSLKHGIFLVRLFIKGEWTIVVVDGHFPYTKSGRSQFAILTSSELWSCLIEKAIAKMLGGYHMLHGGPMCSAFQWLTGASCLTINLNKETNLEMLWEKLKEFQSLGYLMVTSTLPYVETKYVSQNGLGTHHAYSVLETCVHEGHRLVLIGGTNGLKWKGKWSELPSYNDDVTEKWSEWMKKAVKKRFAWMEINDFCRCFPYIKVCKYRENWHELRTGKFQFGPSCPQEVLRLSINTKCTIIIELLENCVKYPSRYIVGLVNIHKATSDNKIGEIVISSSLELYWCPLKAQCRAVETDPFELKDGVYLVVISVFHNRYPLEYSWAIRSPTPTDHISCDTVTFENDVVSHLSLLKMVETFGKSGIQYRKGLFLQKYSGNNFLTMKAENQTEKPIDIIIFVKTEAPKYEAYGLVSEPFWNKYITLPKPLVIYTTIPPKSKCILGNVWKIPEPIELKSGVVESEMSCKYWIRILEEEIKSGRAKEMRYAKCSGIYKTVSIN >CRE16053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:414099:419443:-1 gene:WBGene00061088 transcript:CRE16053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16053 MLWTKLEEYQLVFFSVDQVIHNVRYYRSCGFLMTIATPKEYKDFSKKGLANNHAYSLLDTCIHEGHRLVLIGASNYIKWEGKWSDLPAFNEETTSTWRNFEKKFLEQRFSWMEINDLCERVERLIVCRYHEDWFELRTEEVQLNSTKPEKTLRVTLKRRCTLLIKLSVQDRLKKKSDASLVVGLINIHRVTKTNQIGKLILSYTVNLHFLDRSPENEFVETDSFDLDPGNYFIIFTFISNKLPFEYEFIIKSSSPIDHISYDFVTFENNLASHKSILEMIESEKCGIEIRKGLVLHEYSRDNFLLLMAENKTKDPIRISVIAKVSELCLFHGIDTDYLVKIVQKRNGPLHESAVYLTIPAKSKCVIGTLWTFSGEMKLEKQPKKPEVSCKYWIRIFEEEMKKLTEWEMELMTSKELEKYIYRNTIYKPIPIE >CRE04401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig571:1121:4210:1 gene:WBGene00061089 transcript:CRE04401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04401 MTVCTNQQNQEDKQLKECEEWVRLFLKDFGPDDIFFDAEFEYSDGSLKWDEHGTILNFIYIKKKSLDLFTNLEWHKNGALNYQKIGNVAFAPLTLYKNTWPFHVYQGNIGDCWLIAPLMTIARRQKLLEWIIPPNDYSLKHGIFLVRLTGFWCETNAVGIIFRLFFNGEWQIVVVDGHLPCDEQGYVEFASVYHDRLWPCLIEKAVAKMLGGYHKLDGGNPTSAFKYLTGHQFGHVVDKIGRISVSFFQRRSGYSQCPISCGFLMTIATPKEYKDNSKKGLANNHAYSLLDTCIHEGHRLVLIGAPNYIKWEGKWSDLPAFNEETTSTWRNFEKKFLEQRFSWMEINDLCERFERLIVCRYHEDWFELRTGEIQLDSTKPEKTLRVTLKRRCTFVIKLSDQNRRIRKSDAHKVAGLINIHRVTKNNQIGKLILSYAADLDWLDQDPENEFVETDSFDLDPGKYFVIFNFISKKLPFEYEFIIKSSSPIDHISYDFVTFENKLASHKSLLKMIESEKCGIEIRKGLVLHEYSRDNFLVLMAENKTKDPIRISVIAKCDLKPCLFQGIETDDLVKIVQELNAPSDLSAIYLTIPAKSKCVIGTLWTLPDGIKLEKQPKKPEISCKYWIRIFEEEIKTKTKREMELMKTKERKKYMYRNTIYKPIPIE >CRE16057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:426577:428450:-1 gene:WBGene00061090 transcript:CRE16057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16057 MLWTKLEEYQLVFFSVDQVIHNVRYYRSCGFLMTIATPKEYKDNSKKGLANNHAYSLLDTCIHEGHRLVLIGAPNYIKWEGKWSDLPAFNEETTSTWRNFEKKSVERRFSWMEIDDLCERFVRLSVCRYHEDWFELRTGEIQLDLAKIEKYEHQETLRVSLKRRCTFVIKLTDQNRRKRKPNTQLVAGLINIHEVTKNNQIGTLVLSHTVFLDWLLQDPEIGLVETDSFDLDPGNYFIIFNFISEKLTFAYEFTIKSSSPIDHISYDFVTFENNSASHKSLLKMIESEKCGIEIRKGLVLHEYSRDNFLLLMAENKTKDPICISVIAKVSELCLFHGIDADYLVKIVREHNGPAYHSAVYLTIPAKSKCVIGTAWTLPDGMKLEKQPKKPEISCKYWIRIFEEEMKKNKWMKKIPKELEKYIYRNTIYKPIPIE >CRE16055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:420687:423464:-1 gene:WBGene00061091 transcript:CRE16055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16055 MSTVSTTIKSQEDGLPKECEEWVQLFLKDFGPDEVFFDAEFDFSNGSLKWDEHGTVLNYKWLKRQAISIYNDTWSFHAEQGGIGDCWLIAPLMTIARRQKLLEWIIPPTEYSLKHGIFLVRLIGILKTESLNFIFRLFFNGEWQIVVVDGHLPLNEKGFAQFAYTFYDRLWPCLIEKAVAKMLGGYHKLNGGNPISAFKYLTGLVSKYSGRSQIIFKGSSCLRITLNKDTDLDMLWTKLKEYQSCGFLMTLSSPEKYEDFSKKGLANNHVYSLLDTCIHEGHRLVLIGATNDTKWKGKWSELPAFNEQTTRRWRNFEKQSVEERIAWMEVNDLWQRFEILLVYWLILDPENGLVETDSFDLDPGNYFIIFTFISEKLPFEYEFTIKSSSPIDHISYDFVTFENSSASHKSLLKMIESEKCGIEIRKGLVLHEYSRDNFLLLMAENKTKNPIFISVIAKCDLKLCSIHGIDSEDFVKLVQLNAPADQSAVYLTIPAKSKCVIRTVWTLPDRIKLEKQPKKPEISCKYWIRIFEEEMKKNKWMKKIPKELEKYIYRSTIYKPIPIN >CRE16054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:419513:420270:-1 gene:WBGene00061092 transcript:CRE16054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16054 MTVCNNKRFQEDKQLKECEEWVRLFLKDFGPDDIFFDAEFEYSDGSLKWDNHGTILNFIYIKKKSLDLFTNLEWHKNGALNYQKIGNVAFAPLTLYKNTWPFHVYQGNIGDCWLIAPLMTIARRQKLLEWIIPPNDYSLKHGIFLVRLTGFWCETNAIGIIFRLFFNGEWQIVVVDGHLPCDEQGYVEFASVYHDRLWPCLIEKAVAKMLGGYHKLDGGNPTSAFKYLTGTDYQC >CRE15928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:406097:409453:1 gene:WBGene00061093 transcript:CRE15928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15928 MTDNDSYRKKTKREEEYDLDYLPTEGLYTPEGHLFYHANVFPLTRDQYERTEAHGINLRSKKNFSLEETVRVFQNWRKYAEEFGLELDKAMKYIMTSKKEDRHVVWHRDTHNFWVRLCEGHPHRCGHSIKERAKNVLTDSFLKTLNWERLEDEIREKYLKYDTYDDVKLKEWKKFLDDEQRPMDVARVMGTTRTKAASILKKLKRSEKRDDPTMIHKFYESATSSGLNPDKIREHVVNDDEAELDNLRRTVKIKELSFHLHISDDRCIELLKIVLKMILDKFREAKKAGATDDVAWSEAMLEVSEKPELDRHQCYKAVEIFCKNTRNEDTKLTLRRSTQMKQLMKSHGITGFCCELPEFDHLNHRIFHLIMARFANVSFEKYIVLPYSMEEKLFTHLLRSFRLNVKLHLLLWSYKRLEVWNKLPVGKDQNRYEAVLEFELANPLVSKMTRKFLKFEKVAEWIMVRRKNYHFKTLGNQFFQEPRPLKRGGNADTKRREASFEAFILYTHVKYSGKFKFPAKLSHLFSAKSSNLIQSLLAETMDPKNAEYVTAKHAKRQVEEAAGKKIGMKIRNKNIIMKHGDSDDSEDETPI >CRE15927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:400051:405923:1 gene:WBGene00061094 transcript:CRE15927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15927 MDDFETKEEKKLRRKREKEEELDEDILPATGLYDTDGHLFYHASVAPMTKSQYKRAEEHGINLRSKKIFTLEESVQIFQNWRKFAEENNIQLNKALDYINVKRNDRVMTQHQEQNHFWVKMCTGLPHRAGQRIKARALNMLTDEFLESLDWNRLPEEIRLKFYKYEAYDELTLKKMQKLMERGYASTYAADILNVPASKAVNITAKLRNLAGREDPTMISKFWESATLFGVDVSRIRHHVILDDDYELDRLRQNVQITDLSFHLHLPEIRCRELLKTCLKMISDKFREAKTDGDTDDVAWEKALGEMSPKPLIEEHQIYKALEIFCQNAEKDDTSATLRQNSRLAKLMKTHGITDFQCDQPEFKYLHYRCCLELMSPLERDLFQHLRRGFRFQLKLHCLLWSYKRLEVWQKMGVANDKTLYETVLEAELANPLVSKMTRKFLKNEKVVEWIMVRSPRTRIFIFGILGHRFFQNPRPPKHRRNAATRRVEAAVEAFILFTSRGNSKNFKFPAKLRPLIENSTCSTIQSIILDSENSEFLSIKEIKNQVETAAGEKISVKIRNKAIVMRQEDSGDSDDDSGDSEDVTKLLEHSRAVRSQKLEQEVEDILEKADPAKIRKKFRNREEENVEEIVLDKEIRRQKKVLDRAKNQKTLTTEERRICSKEFVEEDSSSDDDSEDVARNSESTEEPDDAPEAPEAPEAPEDDVKDSEFRNVTKRKKQRKMDSEGVTSSENQEDSEPVKSEDVPEDSEAVAKRKKKKRKNLASEAVGDSEGVPEDSEDVVMDSEAVAKKKKKKRRHQDSEDVREESEVVDKKNNEKRRNLDSEDVERKKKKKNLENF >CRE15926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:397056:399805:1 gene:WBGene00061095 transcript:CRE15926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrb-1 MEDTQKPTQISIFNIIAVICSATFAIYSTQVVAAISRAVILKFLEIFHFLLIFQVKSLSKPAPNPKVIALKQKIAELKRELHGISPTGEFARYFKKDREMNKATEELTKLEAENSSETARNLKIDTVVRVLMQFSALALLRYVSGITAYCIPDTIFWPFNILVRFPAIFGNDTCPTEFAEVSGFALAFLMIHLFNLTWKTCRSCYSATTTTTSDDKKTN >CRE16052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:386055:396706:-1 gene:WBGene00061096 transcript:CRE16052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16052 MLELGATFIPFEPDRSAHDGNITRNFFDCSSQRICTMRNNGALGLASKSLQNDKITNVRTKDRGEPSTVKFSPDGRLCVMQRAPNTADIIFLEKTENSLCLELTVSTKSKEPLLAVEWITNNQLLLVNNHSAELLFINEDKKSSKLIKATNVNAAWAIYYAPSQLLLIANGQSCSNLQPIIVAHSQFTRMKNFEVDFGGSISKENFLEKDAAIATIYGKVYIMVFRYSNRSATMLDLYELPFDASTAPQFRHSLILGFNGGCGIHVIDNLVIVHHQSSQKSMIFDIKVSSPSKSHSPLVTTTIEPHPQHQPPPSLYTNFWFTFLPDVVIDSAGGVMYSIRLKNEKSQLEISEKHTMLEYLARRRHEKKLFIVSFLSCLRARALSLRQIRKIFAMLSGGGGAKTPKAENSAENGAGSALKAVMTCEKYSSLVVTQHEMQSAVLIPLREDASLPESYVANIMLQYLRSLFDASITPEAYLIEMVVETLAEAGEMSKLQQIVTYRVINDSKPLAFLLLSYEARCSTLFQSGVDILARNKASDEIVEVMLEKKQIVDAFRFIDVRNLNEALIPKVVEAANQHCSRQTRYAIREHLTEKKAKASLYKSIGDDLYTEAEIKEAANEQATCTLFEI >CRE15925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:383316:385812:1 gene:WBGene00061098 transcript:CRE15925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15925 MPPKIFLIYLLIPLIYSHSIPYSDQLSRHKLIYAAIAAYGTVPRYCMDRGFNGTAEIKRQITVSCDTVDSGDTCSGFTAVSHEDEAILVVFRGTTSTEQLVVEGAETVFGSQTPWPSGGFVSEYFSDAFFKIWSRGMKDDFNGLITKYPGYQVWVTGHSLGGAMASLAASYLRFNQLVSMEQLLLVTFGQPRTGNLTYAQSVDTLVDNAYRITHSHDPVPHVPGKGHHGGYWHHKSEVFYNQKMTGWQICEEDEGQRCSNANAVDLSFEVGLL >CRE15924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:380303:382548:1 gene:WBGene00061099 transcript:CRE15924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15924 MQAFVLLAALLPLASAFVLPESSLPYPENRDVYTIPYNDATARKQILFAAGAAYGTQPQKCLDKVFTGATVRRVVEARCDVNPADKCLGYTAVSPNDKAIIVVFRGTNNNVQLILEGLETVFEYHTPWAAGGVVSQYFNDGFLNIWNAGLKDDFNALAAKYPGYQVWVTGHSLGGAMASLAASYITYNKLYDASKLQLVTYGQPRVGDAAYAHAVDRDVTNKFRVTHAHDPVPHLPKENMQGFTHHKAEVFYKEKMTKYNICDDVDESEFCSNGQIIPDTSIKDHLNYFEINVSDLGYSNCANVKA >CRE15923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:372778:378920:1 gene:WBGene00061100 transcript:CRE15923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-16 description:CRE-PTR-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MBJ9] MASPSPHRSSILKLFKAGVAPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRRGRGRKATVVTPDRIKAVKERIRRNAHRSIRKMAEGMKISRRLLGRIVKDKLKLTCYRVRKADILSEATTKKRLERSKKLLQRTRNGDHLITVFSDEQLFTVQAKFNPQNHRVLAETYEEAFASGKTIHQTSHPASVMVIPLFNLIYRNASSRLHPNFRLTYPTMHLYNDEYYVGEHFAGVKIDPNTNVISRVKVVVLYFRTDRQTPEISSVINEWERSLFDYVEHFEHPTLNMTVNSDAMIAREVRTNGLTCVPFFSFSVAAVVIFIFATNSREHFVFSHNVVMAILGIAGPLMATGTTFGFLFLFGVPFNSITLVMPFLIIGVGCDDVFIIIHAMRKTDKTESLEDQIAETMEEAGPSITVTSATNCLSFAIGIATPTPAISLFCLYTCIAVAVDFVYQLTFFVAVLVYEEKRLEKMRKEEKREKVDLETPRPKQILSVQNSIRSCAGAHPPPANPNGIVSRYCRFLKDWRTRVTLLLILCGYWTASYYGCKTMEIKMDTTNLIMKDSPLNNIAWIYERFLWSEGQLVLVFVNNPPDLSNEQNQHEVLELVNRFETLPYSMGKNSTSIWLRSFLYQSSLYHNTKGFNGLLQEWLDDTEGGGARWNDMLRLKKAENGTILGVNKFMFATACAMGDDANWATRERLQKQWRGVAHEYAHFNVTVFQSYSFYIDQLDSIGGTTMSTVVWAAITMDLACLLMIPGINSILTSTIAMASINVGVFGLLSIWKVNLDPITMCTTLMSIGFSVDFTAHISYHYYRNPTSWTTDERLADALKSIGWPMIQAASSTVLCVFPLMFNTSYMIWVFVKTILLVTLLGILHGIIFLPALLLTSGDLNRLFGASDNVAPEKKTDKLGDISQTSSFSSTNSETALVKKDDSTELKTMPQERGSG >CRE15921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:360161:361587:1 gene:WBGene00061101 transcript:CRE15921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cal-6 description:CRE-CMD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MBJ6] MADQLTEEQIAEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLTMMARKMKDTDSEEEIREAFRVFDKDGNGFISAAELRHVMTNLGEKLTDEEVDEMIREADIDGDGQVNYEEFVTMMTTK >CRE15920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:347169:351565:1 gene:WBGene00061102 transcript:CRE15920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-22 description:CRE-CUTL-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MBJ5] MYIETIAFCLFIFNKFATSAGIVHMTQNSLNEAKFYELRRSPQRIKDSFEIRASGNFTPTVICDSDQIGLSLNENFADIRMFAADHSSHPACLRRFSLELEPQFVTRIDGPCGVRRVYKGVPSSSVTYSIRLIVSHNPSEPTEFDKIYDVTCSLHLKTMEVKAAYDIISPKTTTLTSSTVQTKVGPKCKYSLHHDRVGGPRTASAHVGEVIYHRWKCAAPHYQKDAPGYIFKVYSCVVHDEKNRTYSIIDDDGCSLDEEIIPTPEYDIENGVIYTPSKAFRFANSNHVHFKCMISVCSAVDPSCRNSVPPKCKKRKQHRRQLPEEMTIEQRLLRIHELMKVKRENLTVEVGTARRRNGMVMNNSWSENSSRVEVEAEPVVVNRDYEMEKLHHILHSYKMWTWIMCTLNVFLVVLCLLVTFRLLKNKYIMDIKDKTNTVFRRVPF >CRE15919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:342356:344074:1 gene:WBGene00061103 transcript:CRE15919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpr-8 MEVFDDFLQHTTGIYVHLAGNKQGHLSVGTLGWGMFEELIPKNSFWTAGIPKVSRSFMLSTLVKDPEIIGFNDLGPTFSPENSDLSPFFDARERWPECSSIPLINDISECKSSWAFAAAESMSDRLCINSGGMIDTILSAQELLSCCTGVLSCGEGCAGGNPLKAWQYWQKHGIPTGGSYESQFGCKPYSIAPCGKTIGNVTYPPCTNTTLPTPTCEKKCKPGYPVDLDKDRHYGVSVDQLPNRQIEIQSDVMLNGPVEATMEIYDDFLQYTTGIYVHLAGNKQGHLSVRILGWGMFEGVPYWLLANSWGKEWGENGTFRVLRGVNECGLEANCISGMPKLS >CRE16049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:339886:340755:-1 gene:WBGene00061104 transcript:CRE16049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16049 MSYSNLTNPSFSSCEGGYPIQAWKWWVKHGLVTGGSYESQFGCKPYSIAPCGQTVNGVTWPKCPEDTEPTPKCVEACTSNNTYPTGYLQDKHFGATAYAVGKKVEQIQTEILAHGPIEVAFTVYEDFYQYTTGVYVHTAGKSLGGHAVKILGWGVDNGTPYWLVANSWNVNWGEKGYFRIIRGLNECGIEHSAVAGLPDLDRHNA >CRE16047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:331457:333511:-1 gene:WBGene00061105 transcript:CRE16047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16047 MWKLITLLFLTLLSTVTPIDVLQQRLQTELLINQVNSAQQLWTAGHQDAPKERILKYLMKAEHVKPHREEDVVQVDVADVIPDHYDVRDDFSQCISVNNIRDQSHCGSCWAVAAAEAISDRTCIASNGVVNTLLSAEDILTCCIGEYYCGDGCEGGYPIQAWKYWVKNGLVTGGSYESQFGCKPYSIAPCGQTVNGVTWPKCPNSDADTPKCVDHCTSNSSYPIPYEKDKHYGATAYAVSRKVDQIQSEILKNGPVEVGFTVYADFYQYKSGVYVHVAGPELGGHAVKLLGWGVDNGTPYWLAANSWNTNWGENGYFRILRGVNECGIESQVVAGMPDLERHN >CRE15918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:314763:316402:1 gene:WBGene00061107 transcript:CRE15918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15918 MIKKYRLIEIDGHNPLDPPRRLLDEILNDKTLDVESKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQMYEAKTPVDEKYYDEGNTEMDNTQKSDSSYEYESDSDMSTTLSPAIPTPEQPSTDTPLSSPVATKPITRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQSVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVAMALKKIISGFKAPPIYVYSDFGKEFYNVHVKNYFDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVEVLPKIVDGINRSVNRSIKMAPVDVKNGDFPFRRRERRVRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEAIDGKFYYHEMTRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSAEFDSWVNESEILDIQQ >CRE16046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:299318:306028:-1 gene:WBGene00061109 transcript:CRE16046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16046 MYNHSYHSYHFNMFSGGRKVKWNHFGKKYALRFSDYEMEYADLYDVLLKKVHEVRADFEGDLAFIDQYGRQVIVSSDKDVREALQQTKNKLKLHTTLRDGHVIAAADMAEAARGRPARSQSVPPERSYNTYPQRSPSSMDSAPETYRHHPHQMTRAMSPPPMSVTTGTTHSPPLRQIGETVKTTYSHSGSYGGLYPGGYKGYPPYGGGYSNSILYGMPPHNGMLWRFLANPFPFGHAQSSRSFIGPNKYHHFGGYNHMYSSGFGGPVW >CRE16045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:294394:296575:-1 gene:WBGene00061110 transcript:CRE16045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16045 MLRQLLISSCLLFLSVASQGYNCSGKTVVNPPKDLSEPYYFPNDWNESMPPAKYNQSQDCNWRIMVPNGMYATAIFYRHTNRTGSFTFVYPNDNMASVHDDEFIPFISTFPYFELLMSVHEDPGAFSFKVTWSNYPNTCQRNITLDDSTPVPSIPDTCFTTYTAPISVALIGFNTKEDSDVQLRHSAVFEGDSYNGSYLGNLYDMRNRQIVSNSTQLTVYTFGLSEIYDYVLYMGVDAKAVGNGRIRGTHCRTDNLNCEIEVLARSVVTISEDADYLQVPRWYSDNGTLRIYEGKLSDDNLLTTVNESDYNYKFPMVVKNNVKFYIIDRNADAIISLNSGAPSSYYKVAPGRIVNIHSFYFRQLSTQQYTRETYTTTSKDVKVYFNLNVKSFDVIGPTYLDISVFRDDQVVFQQRYKETNRPPTTTLRVLGNKITVIYDTLGYNTTGFEINMFCTEDDQTTTTTSTTSTSTTTVLTTTTVPTTTQSTTPTTTPTTTTTRRRIITTKRKTTPTTTSTTMQTTTRRIRATTKLSATHKCSHIFLIVFVVMLW >CRE15916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:288512:291795:1 gene:WBGene00061111 transcript:CRE15916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15916 MHYHDSEQLIMLRQLLISSCLLFLSVASQGYNCSGKTVVNPPKDFSVPYYFPNDWNESMPPAKYNQSQKCKWHIIVPNGMYATAIFYLDANGTGGFMFAYSNDRGTWIYDDDFIPYISTFPYFELLMSVDNDPGAFSFKVTWSNYPNACQRNITLDDSTPVPSIPDTCFTTYTAPNSVALIGFSTKEDSDDRLRQSAVFEGDSYNGSYLGNLLDMRGGQIVSNSSQLTVYTFGLSEIYDYVLYMGIDAKAVGDGQTITGTRCPTGDGCEISVRTGYTVATVSEDADYLLIPRWYSDNGILRIYEGKLSDDNLLTTVNKSDYNYKFPMAVKNNVKFYTFQNGSVYITLINEPPLNYYNVAPGRIVNIHSFYYRQLSSQQYTNETYTTNSKEVKVYFNLDVKSFDVIGPTYLNITVFRDEQVVFQQRYMETNRPPTTTLRVLGNKITVIYDTLGYNTTGFEINMFCTEDDQTTTTTSTTSTSTTTVLTTTTVPTTTQSTTPSTKPTTTTTSSTTSTTTTTETTTTHVVTATKFSDIPNCSHIFMVVFLVMLW >CRE15915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:282904:285510:1 gene:WBGene00061112 transcript:CRE15915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15915 MVKKENNKIGLIGATSYIVGSIIGSGIFIAPKGIVEHAGSVGLSLIIWVFCALLNMITAINFIELGTSIPESGADLAYISYMGWTPIAFSLLWLSLLIESSSSAAVLYLTFGEYLVQALDPIICFSDGDADNASKLFGFGLLLFLTLMNMFSLNKFASRVQIISMCSKIFATLIIIGIGFFFIIFRGATSHYSSSQIMKGSDWKPGAIVLAIYQGNWAFGGFTTLNYGSEEIQIENFRKTLPRACLGGLVISAVIYVLVNVSYFAILTPQEVIDSDAVATTFIQRTVGNDAAFVVPAVVGFLLIGTLNGDIFGWSRYMVAGSRRKMMPTCFSLIHVDNDSPRVSVFFHTFTSIIFAFLGDVDQLVDYLNIVSILTTIFPLAVLVIIKWKKMPVAADPVKYSIFWPVLNIIIMIALLIIPIQQDPISFIIGFSMFLGGVGESDQCEEKSGIFSVVYFVVKFIVNHTKFLGIIDRKLTHLCQILTWTVVDLGPGEKTHL >CRE16044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:280308:281572:-1 gene:WBGene00061113 transcript:CRE16044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16044 MPTNYLYLVSLAQNVGKFAFLASSFFGIILIFLTLFGVRKIFGTYKYLMVIFTSLGVTLACLEAVFHPNLHFYNNGFVFFSLSYPHGFSKEALEMILPFYAFVYSVTISLLAIQFIYRYWALFSLSYLTLFQGWKSIIWVAYCFFFGALWWLGDVFLLKIDDTTEKYFHEEMLNRYSVTSKEIPIMTFLAYNPNDGLIRWSSVIYSIVISGIMGFQYGAMMYCGWNMYAKMEKKISNLSAALKRHHRQLFRTLVFQITTPTIFLFSPLILVVYLPYFQLELSFPAGATVCAFNFYPAMDSIIVMIIVTEYRVVARSKIPELLPINLMKISEMLNVLLRKSMGVFRGKDSGASQTSGQIQMATLRTVS >CRE16040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:271429:273994:-1 gene:WBGene00061114 transcript:CRE16040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16040 MQVQEEPGEFSFQVIWTNYPLNSCHTYIQLDSSSPWPIAPSDCFTTFSAPNRVMLVGFNTKQESDEVLLRQSAVFEGRSSDGVYLGNLWDLLVRQIVSNSNELTIYTFGLFEQINYVLYMGVDSKAIQDVQLFRGLNCGDNENTPCLLYLPSNAISAVATVSALGTDTVDYLLPFDKFPYDGTMKIYEGKMTDENLLATVNQTDYKYKFPMAVKNSLKIYYLDKGSVQIPILSYGYSEARYDIVFPGRFINIHSFNYRQLNEDQYTYEGFGTNSSAVKMYFNLNVKYFDASGPTTYLQVEVIQDGYWVFKERYNATYLPPNTLTLYGDTIVVTYQNNGYRTTGFEVDMVCTESTLKTTTPAPTVPNVYTRSAPDSDSTVTAVTSTPTTSTTSRPIPTTTKITAIPQMSHVVMVILIWLTL >CRE15914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:277529:278245:1 gene:WBGene00061115 transcript:CRE15914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15914 MAQCEIELEKSVPIRQKCRPVPLALHGKVKEMLTDMERRGVIRKCQSPWASPVVLVKKKDGSIRMCVDYRKLNGVIKLNAHPLPHIEMTLQALGENKWFTTLDLMAGYWQIPMEEGSKEKTAFAVLNEQYQFEVMPFGLATSPAIFQAAMEQVLGDLIGKSVFVYIDDILIASNSEQEHAKDLVKVLKRIRECGLKLKASKCKIAQKSVEYLGHIIDERGVRTDEKKAEKCWRSQGCP >CRE16039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:268347:271149:-1 gene:WBGene00061116 transcript:CRE16039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16039 MNTAILLLFLYGLVLADPQAIFLNQYNADMAASNVAVEAGGMLYLASNDDVASLKMITITNGGSSLTLNQLLNQNGLKLASNQLTIKSTIPSASSATLSGFLYATTAAQANDNTFSVTVVNGGQQLNRAGGQTTTVILNVEFKQNFPPFDAPKRTTYVTGINQFGSTSLNFHHGLPAADYQTLKSNQFFENPQYFDNDGGQEKILFKSVEPMQVNLPYWYITADGPYSMTLDGTYRNVIHNTTSVNTTGVFVLTDVWLPHYVNFVTDPTRKGNTFTLVTTELKREAQVVFTNDESAFPATYAPGNNLIHAFIGSNFKSERLVVNGTDIYPGTMYLQYYVWTGYLLPTTTVPTTVRTSSRPITSTTVATSTKSCNKLKVFLSLLVLVCVI >CRE15910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:254647:256518:1 gene:WBGene00061117 transcript:CRE15910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15910 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MBH1] MNIAGIWVCYGVPSFFLVIFFLFFLGKPEFKYSFYRVLQCDMTINILCYLNTWFSRLYHIETTMPFMIWVHDNAYFVFRVYRFLTNYYHSAQSLSVIIMAAHRFWSSKSTSGNRFWSVYYGHVYLGLAVISGILTLPNVYLGLYTPDYYDREAGVFVINKLTADKLNLAIYVLLIKSLIFFTIIFVLSISTLYLLQKRFPYQTSSLQVRTMMKNLTTIAFMNSFVFFVVLLWPIIISIFVTLSGEFQYNAVIFLSDVLSLSLPYILMAFDKNVQATMSKMMDSWGYRVQVLGSFTMQRRSNIS >CRE15908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:251456:252257:1 gene:WBGene00061118 transcript:CRE15908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15908 MGLRFCHGPPILLRASYFFMGLRFCHGPPIFLRASDSAMGLRFFYGPPILEQSHSHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWDQTHFNGRHWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKKTLLKEWDALSPDYRRATIDAYPRRLRAVIQKRGGRMEQD >CRE15904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:220534:222221:1 gene:WBGene00061119 transcript:CRE15904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15904 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MBG0] MIVAGIWVCYGVPSFFLVIFFLFFLGKPEFKYSFYRVLQCDMTINILCYLNTWISRLYHIETTIPFMIWVHDNAYFVFRVYRFFVNYYHSAQSLSVIIMAAHRFWSSKSTSSNRFWSVYYGHVYLGLAVTSVILTIPNVFLGLYAVDYYDRETGVFVINRLTADKVILGNFTLMTKSLIFFAIIFVLSISTLYLLQKRFPYQTSSLQVKTMMKNLTTIAFMNSFLFFVVLLWPIIISIFVTLSGEFQYNAIMFLSDVLSLSLPYILMAFDKNVQTTMSKMMDSWGPRVQHSGSFTMQRRSNFL >CRE15903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:218550:220168:1 gene:WBGene00061120 transcript:CRE15903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15903 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MBF9] MNIAGIWVCYGVPSFFLVIFFLFFLGKPEFKYSFYRVLQCDMTINILCYLNTWISRLYHIETTMPFMIWVHDNAYFVFRVYRFLTNYYHSAQSLSVIIMAAHRFWSSKSTSGNRFWSVYYGHVYLGLAVISGILTLPNVYLGLYTPDYYDREAGVFVINKLTADKLNLAIYVLLIKSLIFFTIIFVLSISTLYLLQKRFPYQTSSLQVRTMMKNLTTIAFMNSFVFFVVLLWPIIISIFVTLSGEFQYNAVMFVSDVLSLSLPYILMAFDKNVQTTIAKMMDSWGLGRQDRGKIPVQPRSNLS >CRE15902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:215467:218123:1 gene:WBGene00061121 transcript:CRE15902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15902 MSSSANDFNKVLRTFIPKELIPPTWKYDENKKSVENIESLMKFGQLEIVESAEQLNKDIGSYMCFPGATQHFDMDPVVSPCPYDYASLKNTLYIYKKDLYRFFGSKDICPLKQWQRYEVEWALQECLQRMDFGSHHEMIVKPDIKQIAKDGERVLKKLMEVLELSEDYEIVYEDLKKSMLDFLPVEIRDTISELFEEIIESYPTEKYSLELSQIVTWIGFILEAIEVYLRKESIHLPPLNSVSLTKPVIRLFSIDKNHFVMAHELLKTLKNHNIDVSEFEKEVLGMPKLSTFTFREVVEKVDKDVMKNLEFVKMEDFRLIFAQTPIPTYDGGYCTLAVDALRDILMDMILAKKVFQTIEEKDWIHIKQFFKSIESYFDQTRGVYFINLKDVKTIKDLWENIYNTHLKRSSPKQMKTLKNTGFPVKHLKETLQFFELENCFEGILEYAAPIYFKVAADKESSTSHLHQAVMQCQLNSLVRKVPMLLKFIHKQGACDRLSIVKCELCDGKTLAEEIEEPLGFEIGKEPRSSKDTNSVPEKLNAETQELSADTTEKPTQKKKKSKKSKQPILPEEAKPKESNACPKCERAGKFTREANEKLRLSKIEVKQLKKDLIRNQLENEEIKQKVMDKDERIRMLEKLLEEKDDVIKEQEEKLKEQSAVIEDLRGVEKKEDSQTVNDEETAKIEGVKSNLLTIKGVFHTESPVSKCTEIVNRLIMNTRNEETKQMAVIEMRRFTKEATEYMEGVEDRIAMIQCNQFNAAEEIPELPEFPVFSQEFLEAYKIILKSRPPVICQQLLSLSEKVSDELEDTECVICLNNMDLENETTKCGCCKRRYHNGCIQDWLKVKMTCPTCNSGLLDEEEFPVLV >CRE15901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:211273:213812:1 gene:WBGene00061122 transcript:CRE15901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15901 MSSFVNEFEKVLRDFIPKELIPAMWKFDEKKTRVRNIQSLMKGGQLEIFENAEQLEIQIGDYMCFPKNVEFFKMDPFERIAPTPLEYLSLKNKEYIYKTDLCLMFDYDDIFPENGWQRSAADWILNECWTKMQFGDHHEMIVKPDMEKIIEEINKALDQQDSVIKTLNTSQKATFYETFSEKLPVRTVMSDFILGCMEDIIEKYPVKKYSAELSRILAGVALVMGAIEDFVRKESIHLPPLNSVPLTKPVIRLFSIDKNHFVIAHELLKKTLKNCKIDVSEFEKEVNGMPKLSTFTFREVSQKVDKDVMKNLEFVKMETDSLLIFKQTPIPTYDGGYCTLAVDALRDILMDMILAKKVFQTIEEKDWIHIKQFFKSIEAYFDQTKAVYFIDLKDVETIKELWENIYNTHLKKFSLSPKLKKTSKTTGSASSHLHQEVMQCQINSLVRKIPMLLKFIHQQGACDRMSIVGCELCDGKTLTEEIEEPLGSETEEEPLSEEIKPESKELNAEAPEQSADTEEKPSQKKKKSKKAKQPTLPEESKPEPKESNACPKCERAGKFTREANEKLRLSKIEVKQLKKDLIRNQLENEEIKQKVMDKDERIRMLEKLLEEKDDVIKDQAAIIEDLRRVEEKEDSQTLIDEETAKIENIKCNLMAIKGILRTESPVTKCTEIVNRLIMNTKNKKTKQTAGMEMRRFTKKATEYMEGVEDRLAMIQCNQFDKAEEIPELPKFPVFSQEFLEAYKNIMNSRPPVICQQLLSLSEKVSDELEDTECVICLNNMDVEDETTKCGCCKRRYHNGCIQDWLKVKMTCPTCNSGLLDEEEFPVLAR >CRE16031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:206383:209462:-1 gene:WBGene00061123 transcript:CRE16031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16031 MLPNKDFETVLRDYIPKELIPPTWKFSANKTHEENIQSLMKGGQLDIFEHAEQLEIQIGDYMCFPKNVEYFKMDPLGKISPTPMEYLSLKNKEYIYKSDLCLMFDYDGIFPEGGWQRSAADWILNECWTKMQFGDHHEMIVKPDMWRTVEEIIKALDQQDSVFKKLNTLKKEAFYKTFSERMKSKTVMSDLILNCMEDIIEKYSIREYSAXXXXRHHHEQKLKPDICRTGGEIISSCPVTKLNILKKEAFYKTLLEGMKFKTVMSDLFLKCMEDFIEKYPVKKYSAELSRILAGVALVMGAVQDFVRNESIHLPPLNSVSLTKPVIRLFSIDKNHFVMAHELLKTLKNCKIDVPEFEKEVNGMPKLSTFTFREVSQKVDKDVMKNLEVVKMETNSLLMFKQTPIPTYDGGYCILAVDALRDILMEMILAKRVFHTIGDNCWIHIKQFFKSIESYFDQTKGVYFIDLKDVKTIKESWENIYNTHLKQSLANHYFRKSLSMDSFAAKDLKKCFKEISKYTEPVAINHLAVALCQINGTVRTFPMLLEFIHKQGACDRLSIVGCELCDGKTLVEEFAPITEEESLQKKTNPLSSEETKPEPEELNAETPELSADTTEKPTQKKKKSKKSKQPTPPEENKPKESNACPKCERAGKFTREANEKLRLSKIEVKQLKKDLIRNQLENEEIKQKVMDKDERIRMLERLLEEKDDVIKKQTAVIEGLRRVEEKEDNQTVIDEETAKIESVKTNLLGIKGILHTESPVTKCTEVVNRLIMKTKNKEIKQMAGMEMRRFTKEATEYMEGVDDRLAMIHCNQFDAAEEIPELPEFPVFSQGFLEAYKNILKSRPPVICQQLLSSSENESDELEDTECVICLNNMDLEDETTKCGCCKRRYHNGCIQDWLKVKMTCPTCNSGLLDEEEFPVLA >CRE16030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:202363:204871:-1 gene:WBGene00061124 transcript:CRE16030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16030 MSSSANDFNKVLRAFIPKELIPPTWKFDENKKSIENIESLMKFGQLGIVGSAEQLNKDIGSYMCFPGAPQHFDMDPLVAPCPYDYTSLKNTVYIYKRDLLFALKDICPLKNWPRYMAYWILNVCLQQMDFGSHHEMIMKPDIQQIARDGERVLKKLMEVLELSEDYEIVYEDLKKNMLDFLPVEIKDDVSEWMEEIIEEYPTEKYSVELSQIVTWIGFILEAIEVYLRKESIHLPPLNSVSLTKPVIRLFSIDKNHFVMAHELLKTLKNHNIDVSEFEKEVNGMPKLSTFNFREVVQKVDKDVMTNLEFVKMEEIHLIFAQTPIPTYDGGYCTLAVDALRDILMDMIVAKRVFQTIDEKDWIHIKKFFKLIEAYFDQTRGVYFIDLKDVKTIKESWENIYNTHLKQSGSKLKKTSKMTGSNSSHLHLAVLQCQLNSLVRKVPMLLEFIHKQGACDRLSIVGCELCNGKTLTEEIEEPQGSEIGEEPPQKKLSSKDTESESEKLNAADTPEKPSQKKKKSKKSKQPTPSEEVKPKESKSVQNANELESSREKPMRNLIRNQLENEEIKQKVMDKDERIRMLERLLEEKDDVIKEQTAMIEDLRRVEEKEDSHTVFDEDTTKIEDVKSNLLAIKGVLHTESPVTKCTEIVNRLIMKTKNKEIKQMAGMEMRRFTKEATEYMEGVDDRLAMIQCNQFDAAEEIPELPEFPVFSQGFLEAYKNILKSRPPIICQQLLSSSGNASDELEDTECVICLNNMDLEDETTKCGCCKRRYHNGCIQDWLKVKMTCPTCNSGLLDEQEFPVLV >CRE15900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:199782:202279:1 gene:WBGene00061125 transcript:CRE15900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15900 MSKTCRDNSGTGYQSTGEVFVDPEFPPNGTSLGTIEDRKYFEKSDAPWYAPHEFDKNWSVYNDPWPFEIAQGDMGDCWLIAVLMCIAQRKEILEHVLPPRDYNFDCGIVQVRLFIDGEWKVIKIDYHIPQIDGKERFVESNSKEFWAAFIEKAFAKLEGGYAELNGGHTVSWAFECLTGNPCRSIAIKKKYCPDGFWKFLIKNNSSGYLMAAGTHNVETDLEKKKYFENSVLETNHAYSILDVKEQDGNRLILIGNTNSIDYKHEFLPVYNKEVSCKYHLDDKKIESRVFWLKFEEFLLCFGELYVCKYTGILEKQLFSQTIKRTVKQDCQVLRLDTQQRQTFRIEVISEEYTLNDQAHLYLNIHRATANNKCGEVLMSVEDICSGRGGASCVLNPEISFFLSHNLIESITLDPGSYFLVFIPTEHSNNEHTLDWTVESSTTLENVSISFVNFPCTFLVESLQGVIMKYGEREEIEKEENDKEKIVVYIWKDYYYSLALVENNSNSDYVRIKSKLLVSDPDDNGDRYYWNVWCTVPPNTRSIVAHENAYYGSIDNIEEFKVSSWSQLPSDFLGFLSEWYKPYFFTPLSIEPTAES >CRE16029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:196280:199351:-1 gene:WBGene00061126 transcript:CRE16029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16029 MSFRVKFFPTKEEVFEKSDEECRQLAETLRSTGKVFVDPEFPPNAASLGIRTHKSIKKPDTPWHAPHQFDKDWCVFNDPCPFEIEQGSLGNCWLIAALMCIARRKDILEHVLPRRDYNVDCGIVQVRLFIDGEWQVIKIDYHIPQIDGDERFVQSYFKEFWAAFIEKAFAKVKGSYGELDGGDAGWALECLTGNRCSSIEVNDKSPDDLWKTLTEADYLMAVSINELEENSYKKTELENLVLETEHVYSILDAKQHHGYQYILIGSTWTNDYKHKILPVYSKEDSCKFYTDDDNIESRAFWMKFQDFIRYFDDLDVCKYRKIFHQRCFSQTIKRTVNQDCQVLRLDTQQRQNFRIKITSEEDSSDHVTYMYLNIHRATSNNKCGELFKTVEDKGSSIEFLIKSITFDPGSYFLVFIGTQHSDNEYALDWSFESSTTLENVSISFVNFPCTLLVESLQATVMKYGERKEIRNDKKQQIVVYIWTGFWSSIAIVENTSNSDYIRVQ >CRE16028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:192525:194801:-1 gene:WBGene00061127 transcript:CRE16028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16028 MPKPLFELMKLPTLALKSVVRFSEPNEVIETSMSSDTFLDELKKFKIPADYLTFIREDSRISLVLGFDDIAINIHCFRFPSETGKLKKLNGEYVFWRKHEGTRRRVTWNFQTADTSIESNFRMIDTVVTYFLTILRIKTFNLSCLMNTPKFSDLSMILNYSKHFGNVGYQRRDIRKTPMSPVELRRLLDGITAETWDLNIRCDGFEYEKPLMKCKRFQSSSIGWVTTDCVLSADIVSVKIDGRPRRFFDFNKIIQYWVDGKIPNLELVQYRQYDTNVDQGQVLRGINTIETIFVEPDFRRRLIDFLDHPVDIKRKTDGRRATALFGPGTIEFCVWKPEYILGLTERSFARYKRSIGEFEY >CRE16026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:182192:183754:-1 gene:WBGene00061128 transcript:CRE16026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16026 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MBE9] MLIHGIWLCYGIPSFLLVVFFIFFLRGKEFRYSFYRVIQCDMGINISCYLNTWISRLYHIPTTIPMMIWVNDNFYALFHFYIFFVNFFYNAQAMSVIVTATHRLWSSRPMSNMEFWRHNYGYVYIGVLILSGLHALSAKLMVLNKPDYYDHVIEKFVSDPMDPEMTVIHDRIFLVKSFGYFFILLSINISTISNLIKRFPYNSSTVQSKKMMSSLTTIAFINSFLFFLVLLVPLGAMVTMTEEFRYNATMLTSDVMSLFFPYMLICFDRNVQNKLMKCFGPKAVADRVRSSMT >CRE15898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:179252:181417:1 gene:WBGene00061129 transcript:CRE15898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15898 MSMPPEIQAIQFTGFVLSVTFHIVLLFLIWTKATKQFGSYKILMASFSIFSIFYAFVEIVTQPIMHISGTGLMLYTSFSIFSKEFGHLLAAFYCCSFGLCVLFLSAHFFYRYTAVCSPRFLRMLDGLKVFRLLIPVVFLGLVMFLDICWFGAPTDFKSEYLRESLKKEYNDDSFKVGQIAAVFYTHSSSGSLQIFWKECIGMLIVWIILFFSISSIIYFAVSTFRTVYHHTKLRKSKKNCEIHRQLFHTLIVQSLIPTFILFLPTGFLLTLPFFDVKEVGKIANAPGFGACFYPALDALTAIIMIKDFRHAFFCCCRPRIPRVRVSSIPESMFTHLHS >CRE15897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:173316:177127:1 gene:WBGene00061130 transcript:CRE15897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15897 MSGIRKIIILVFGIVFLLLESIYLIGVFTRNPVEVTEDVEVLPGDNITDFVPPFVPLLNDYAVVAGYNLLGCNIRKSMSQLNYNLLCYLNNTKLYVERNQSLSDLWYNKRTSCKKISFNTYEPLIRKGKRRMEDLTKFAFIRNPEERFVSFFVDKCLKAKFCGNCTDVRCAVKMIYDRLMPLAHNHSLFIKTNEKWWFEWHSAPQTWNCDFYKYISDFHLIPIGTSLEDRQIAMKKLQKVLRFAKVEDEYIEKIIRDTLESDTKHATHNSDLSQQVLEQVQTDPYVRHYLHRIYYFDYIAFGMKLPEFFNGQDFPKEYIPRS >CRE16025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:169365:171810:-1 gene:WBGene00061131 transcript:CRE16025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16025 MLLDTIFTVYYAFYFIFSLSAQLFVLFLIVFHSPSNLKDMKKLMMATSLSQILMCSSAFLTQVRIVPIESSIALLSFGPCSILGPQACLTTYNLLNTSGCLVVASLLHMMYYRRRLLSAVTRFSTGKFLFNCVIVYFCGAVVLIFSFLGPTNFSFIRALVVTYYPTINLDSYTLSGFSNVKHFFCSTATGIIAVHAYIPPFVAMAWRHKIVREINSQRMALSSRTQLQTRNFVQCLTWQTCLPLFFYVTPYTFYIVQQVSGYGFVATEYLIFPLNTFSAVLDPICMLYFIKPYQRAAKLIVSELIGLNAIPNSTVATINSQTSQM >CRE15895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:163622:165313:1 gene:WBGene00061132 transcript:CRE15895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15895 MKRDTKTSSTATTGGVLNIHTLYYRLITIKYHENLNFRVYIFGLWYLFPILITILSYIPPIDLDFVYNETLQIHPDYDFSPYLKFGGFANSHNICMTLVTVTLVVLATLAPLLGWYWRRETLKILDQNINSLSAQSVMQFRALIHGLGLQIMIPLICYVPVGFFYIYNKYSGTQLPISQYTLCFMMTLPALFDPILQIYFIVPYRRAVRQMMTCGRRRRRGIDDAESECLCS >CRE15894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:158715:160445:1 gene:WBGene00061133 transcript:CRE15894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15894 MDALQIFFRCYWPAFYFICLCLHLTMYILIYHRTSRILTTLRYFLYPATTANFVLATLAFATQVRNVNNKNSMALLCDGFCKFIGPTFCFHCYVLLLVRPKNGTIQSFDLQTMVTVTGLINLHTLCYRTMSLKYLDSYEADKATLRFSWHYLIPLVTLVILSYLPAQNHANVYMETLFLHPDYNFETYKNFGGFANSHHFCMTFNTFALALTTIYVPIIGSYWKYVALKILRLNISSMTSNPSRIMLETLIKGLSLQVLLPLCCYVPVTIVYVWNKYSGTQILISQYTLPFLGSLPCIFDPLLQIYFIMPYRTSVRNFFVCNPLTPISRQARGELTRLDETPRDSTRLDATLRDSTRLNETKIFQMTMWNPCHFYNIFENSSGWSRKQKLRL >CRE09375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1672529:1673814:-1 gene:WBGene00061134 transcript:CRE09375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09375 MEECRKYGFPYFKKSTVLVLCRFQNTLRLFTYLILQYNFHISVICGIINLFHLIILTRPAMRKSSVNLMMAAVAFFDICSLFQEFKQYYDIYMVSDSCLFPDSYASALLQRCSFALTNYSRRYSTWVCILIALIRTIVVRNPMSRFHENLTKPAAGYLVILGVFLASAPLGVLKLLEFQIEWSEIISYCDENVTIGFYHNSISDLFVANNLIILNTFYVTDAVISNVIFVKIYIDSKTSFQLIPCLLFPIVTFLLIREVRNVEQNRRRLFSSDKLADSKKATRFVLYFTLTFSIAQFPFGLTGSVLYLFEKTPGLSRILHYLYNLFSTMFTASTVTHFIVCMLMSSQYRDTVKSVVSCGYYSKEKIQSRVGTSVLPTIT >CRE16024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:153283:157717:-1 gene:WBGene00061135 transcript:CRE16024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16024 MEECWKYGFPYFEKSTAFVLCSFQNTLSLFFTFLLEYSGHISVICGIINLFHFFILTRPAMRTSSVNLMMAAVAFFDICSLLQEFKLLYDRLMISELCLLTESYTFILFQTCFFTLTNYSRRFSTWICLSIALIRTIVVRNPMSRFHENLTKPTAGYAVILGVFLASAPLGILKLLEFQIEWTETISFCNENITTRFYYSQKSDLFMANNRFILNTVYVTDAVVSSLISCLLFPIITFLLIREVRNIEQNRRKLISPNKLTDSKKTTRLVFYFTLTFSIAQFPFGLTSSVVYFFEDTPGISRILYYLYYLFSMLFTASTVTHFIVCMLMSSQYRDTVKSVVSCGYYSKDKMFFSLKNSVLNHKNLFHLLSTFPFLILQTFSPLSALTDMKLLFFLLISSCFIQNCFSIKVPIQNYEETEQFLKTRCDPKCIFEPLHMDSTTLPLFPTTCSSVCANLLIDQSSDVTVDQLSIAFKHLKVLYGSLHIIDTNFSNIKFLSGLETVECYSIRENEKSPPDSMNMEVLVIEDNQYLTEIGMTNFTSTSCALKIWNPAKFSRLNLPNLKNFYTIENSTTTEIKHRLYGSPDFCLTIGEITHFVNSQISHLSFKAVPCKLSETSGISSIDGEKICEIEKFSLQTFDTSCQRVSGHIVIGPGDEKFVWKMENVTWIYGTILVKLTNLTDIDCFGSLEYVIHGWAGATITIRYNANLLTARFPRLKRIVGFVEIMENNKNMIIDHSLCYGVNYTEKNQMFFPTDCGEGHFATVPF >CRE15892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:145482:148783:1 gene:WBGene00061136 transcript:CRE15892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15892 MVPKISDSEATTTTTPKRKISNFVFWNQTRIFILILSLTCLTLTQINSQIFTFTVICMDDLIVENRISNATEPHWIEGTTEKSILFSATAVGALVGLIPSVPLLNRLGVRLTLSICGLCSTLGTFFTPIAVSWSFYLVVLCRVLQGLGISVILTVLGVIPTSWSPKSEYSTYLAILSCAWQVSSRAHMPHQLFQSFQFSNVIFMPISGILCDSSLGWRSIYYAFGVLTGFFYFVFYMFYTDIPGIHKNVSEKELGQISEGKPVHAVREAVPYKKICTDRCVLAAWVSFLGGNLGFITLLLYGPTYLREVLQFDVRSTGYINALPYALCAVYKFSAGKISDRVTFASDKAIYTFWLFSSIIGLGIGYCIMAWTSDRIVAFVAFAFAIVTSGLIIMATVKCLAMRCQQHTHFAVSAISFLSYCVQFISPLGVGILCPDNTPEQWSRLFIIITVIMIVTNVPFPWLTTQHAADYTKRKDSREFSNVEKC >CRE15891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:143295:144274:1 gene:WBGene00061137 transcript:CRE15891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15891 MSNVVCMPISGFLCESSLGWRSIYYLFGIITTIFFLIFYFFYTDSPRDHRNVSNKELSLISLDKTSSSQKESVPYLAICKDPCVLVTWLSNVGGNLGFLTLVLYGPTYLREVLHFEVRGTGFASALPFLLSAAVKSIAGQLSDRCDFVSERVRFTICGIVSRLGLAIGYIGMATTSSTLVAQIAFTFSIAVSGLNIMGTVKCLQLRCKQHVHFAVSAIALMAYVIQFGAPILVGIICPDNTPEQWGWLFMIIGVIVFLTSAPFPWFTTAEPAEYTLSKEKQKELAKERELQESC >CRE16023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:138556:140908:-1 gene:WBGene00061138 transcript:CRE16023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mct-2 MSQQPTDGPLDPEKQELSIAVTAAEDFEEKVEEVGKVPPPDGGYGWVIVVASFLVNMCVDGVIYTFPATLIPHWTKMFGAETSASNTVSLLTGFYYLSGPLASSLVAVFDVRSVCMAGGILTASAFIACSTLSEAYQYYLLFGIVGGIGFGCMYLPSIVVLSSYFEKKRSFATGIAVCGSGIGTMLFSRLNIKVMGLLNDNASHFLFYMAGIILFGVVSALFFRKLKPSEQQVTKVAKMVREYEGKPDEPSQRLLEDVRADLEELNRPGHNPDAFYVNTLDKKIAEAAHAEHVIHASENHTVKHVTKKNKFTQFKDELCSVIDKDLLYSPSFLTFAFSGTLAVMSFLVPFVYISDLMPGDIYTVHERSLPLLFIGGFNIAFRIICGFISDHPKLSALQVSNFVIILAGISIAAMPFSTTLWHYILLCVPFSAGVACFAALRSVICVELVGVKKLGNAFGILMVFMGFGAVSGPAIAGQIKAASGNLNLAFYIMGGVFTFSAIMTLRLAQMKAWEESRKAKKARGTEMRVMANH >CRE16021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:126411:132407:-1 gene:WBGene00061139 transcript:CRE16021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16021 MLKNCEVHPTTSSEAYPFPDIRKTSNFVFWNRTRLYIMVLTLACLTFIQMNTLTFNFTVICMEDIVEDHHRLTNYTDTHWFERNTEKSLLFSGAAIGGLIGLIPSVPLISSLGLRNVQTISGLISAAGAILFPLAVSIGFSASLICRILQGVGSAIMFTAVGVVPGVWAPSREANTFMAILSCALQLSNIICMPVSGLLCESVLGWRSIYYLFGALTLVVYGLFWFTYTDDPKLHRNVSQKELGKISTGKIEKIKESVPYLAVCTDPTVIITWVSCFGGNMGFFVLSLYGPTYLREILKFDVRETGFLSALPFILSAIIKFAAGQVSDKLTFLSEKARFVFFAATSQIGFAAGLVVMAFTSSRLVAQIAFNFAIVSSGLNIMGVIKCIQLRCLQHVHFAIAVISFTAYVVQFLAPIIVSIICPDNTPEQWTILFLFITGIIIVCNAGFPFITKSEAADYTKPRNVKVENAL >CRE15889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:134788:136104:1 gene:WBGene00061142 transcript:CRE15889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15889 MSRSKPLAYQSSKAVLEYINFGKRKHFYAMCPAIRKMEEMLPYHLDLVMLWGMGQYHIITKFDSFGFSFWENEGNQMRMNNHSNRKHVERVTCLGPEQAAEKFVLYNLSRQGTRIKNVELMTGPEFISKCVPIAIKNLDVERTTAWFPTIIPIEYVEIDTTLREDTLKMAKHVTVRILGYIAERINTEIVSEWNCELILIDSWMRKEEIADYCSKVSKRSDRPIGSILMANHSLSVKFIFDILDSKLNARKTMLDGRKCVTIPIDESTELNVYRSIFDHHYKVVEVCERGTAIDQVV >CRE15888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:124124:125708:1 gene:WBGene00061143 transcript:CRE15888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15888 MCRRKPLAYQSAKAVLEYIGFGKRKHFYAICPAIRKMEEMLPYHLELVKLWSMAQDHIFMTINTLHFCFWENEGNNMIMRNGSTEKRVDQLTCLGPEQAAEKFLLYHLSREGTRIKNVELTTGPLFISKCIPIAIKNLDVKRNTAWLPTNIPIENVKVDTTLREDTLKLAKHVTVRIPGHIAERINTEIVSEWNCETILIDSSMYYKEIADYCNKVSKRKDRPIGSRLMARQMLSVKFVLDILHSEMNARKTMLNGRECATISIDESTELNTYGCNVDHYLVIVEVCARGSAIDQVF >CRE16018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:108336:109419:-1 gene:WBGene00061144 transcript:CRE16018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16018 MSRSKPLAYQSSKAVLEHISFGKRKHFYAMCPAIRKMEEILPYHLESVKLERMRRDDIMMVIDSFGFSFWKYEGNKMLINNLSTVKRVDQLTSLGPEQAAEKFLLYNLNRKGTRIKNVELMFGPELISKCVPIAIKNLDVKRTDAWFPTNISIENVKVDTIVREDTLKMAKHVTVRIPGSFTGRINTEIVSEWNCEFIKIESSISSEQIVDYCSKVSQRTDRPIGSRLMARHSSLVEILFDILHLKMNARKTVLNGRTCATISIDKSTELNVYGDSMFNPYVIVEVCARGSAIDQFV >CRE16015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:101776:103222:-1 gene:WBGene00061145 transcript:CRE16015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16015 MSHILQNSPHVFRTLILYEAIDQLPAFHAYKNFARKVGEDAMSYQDFEFWYMRFLRGEYDMDYDRSQDPKCRSLMNMPLEIIERITSDFTKNQRITFRKVCRSFRIIMDMKPPTPLKRIQVRFDADFSQLWLDDGTPRPARAIYLYGTPDEQAKREFDSYAICYMSKAYCWNQEDMQEGDCKVSRGYRGKILKNTNHWELAMNDLTTALKEQKAVLDGIMIENKSLDSFGELEPKLKALSGKVSVKKLKIVTNYSNEEAMILPYVSPEHIEEVEIEMRDRKFGQRSKGEKRKRIQKIVETELWKRAEIRTLHCHSKTAFPLDTLMDFTKFNLRLEHFNSSPNSLLRTVQTLLTSPVLEYVRLGSSSYPSYPMRAKVLDAIGATEIDNITHRVRIPNNQEFFEIKFDYNDKLSFFNITRINVQN >CRE16014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:99267:100358:-1 gene:WBGene00061146 transcript:CRE16014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16014 MSRSKPLAYQSSKAVLEYLSFGKRLVFGLISVVNFYFRKNLYAMCPAIRKMEENVPYHLELVNLKLVPLSMTRDVSIMTIDSFEFSFLEYEGNKMFIDNLSTEKRVDQLTSLKPEQAAEKFLLYHLSREGTRIKTVDLIDGPEFISKCIPIAIKKLNVNRTAAWFPTNIPIENVEVDTTVREDTSLFWLKIAKHVTVRIPGPFAGRIHTEIVSEWNCESIRIESSMWSEEIADYSNKVSQRTDRLIGSRLIARHSSPVQHLIDILHSKMNARRTILNGRTCATISIDESTELNVYGSTFFDLDVIVEVCARGSAIDQVL >CRE15882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:88855:95713:1 gene:WBGene00061147 transcript:CRE15882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15882 MMDEPQRGRGDHTHGPNPTRAERTNHLQPLDRVEVTRSDSCSCFPILQPSTFKPQKPQPFCPTTPYYTTLTFPHPPGVGRVLKSTPSTTPVFWQQKCANPSTNPRTSPHPIVVSSHRRDTLSENTTPKFNPFKRLNWRKPTGVSDRVLRSQSRKERGRTSSPLPGHYPPPLNFELDSNESTPEFTPDQTALFTEETRKELRDRREGFQVTPTPENGEGNLPRESPPNNTPLRTAIFIPEGFGNLGKGENPGERTLTPGQIPKLQSESSEFTPNQTLLFNAETRREMANRRISTWREQGEMTKILDKRIAKFNDGKSSELKEWLDQFSRALHRCHIAPEEAVELIPLYLSGPALLKYNRLDEDKMTSWDEAAKMLIEAHDCPAEKEVALQELTTISQGKKSLSAFGQQIRTLGNYAYDGLNSESKEQLMATHFLSGTSKKIRTRLRRLQTIPKTLSGMQSEAEKIQRLLQIEEEEEEEDNLIAAVQQLNLQQPQKNDRRENERGNTSGNPQWNQQRNIQANSGNNGFRRDFRGRNRRGGYPQQNNGTGNPNNQNNWNRNPENNWTQNSQRQWNPQNSGGNQNPNNQGNWRQNRNPNQGNSGQAQNSNQGRQLRWDQNTGRPYVNNIGHFLMGIATILTLIMAPTTEANPQICGFGEAGNVFIPPRPILCSFKQDIALKDHKVNVYTLRHEAIQMEAIKCFKHEVTGERFSFLKIYKSTEATVGKRSSVSQEECRRASISKKYNDLEMKEISPGIFRTEATQDIASNHTLWLGTSAFTTYEFTLEVGQIATIDGQHAISNLGDLESCNFSTGICQDESSTIVWQPVDTRKECQYEFLQSATALISQQQIAIEEMGIFSNIDGDLRRLQSAAEGCFVHQPYLTDDGYLVEFYEAPLTGWVPDMHVESSPERRRPRLWNRGPRETGSIGGHGGMEFQFEIGENYSTPILKKLFGTNNWTEIPELKNPISEPALLREISRYNISNTLLQNRARFYPADRNARNPLLLMTLKAIRIGQYGARQLKELNEMEHPLTRGEEQLKTLIERQDAHVFNKLLEREFGISNPVFTQGDDSFEPPKILPESLVIYQGLKPEEENIWRMPTTPLPKTTTTTTTTTTTTAIPTTTTTAKRMETTRPITTTRATPTTTTSPPIKPSPTTRAKSPETSPPTTIPTTKETPQTQTPIPKGNVNVVYEQPDILQHEPHKEKQDLLEKPKEQIFREVCQEQWRTTSMFETVLQIDPTAAIRQLLKRSDVSAKRIGETLLISKCQTVSPDRIHWDRKVNTTCFDLIPVTVKDKVWVFLPGSQDLVAEAMEIPCEERPPSVRWEHNRWVGLKNQEVQPQHLTRPRTPILELTKKDKEFIWTEECNQAFNQLKGAITRNPILVAPKLGKPFTIEVDSSGKGVGAVLLQAQDEEDKDRRVVAFASRVYTGAEKNYPAIELEALGLTYAVQQFRPYIDGAKTRIITDHAPLKSLLHRKDLVGRLAKYQIVLQEYDISIEYRPGKQNVVCDTLSRYHPNRQEKSQKTEICAVGDVVNIDFNRARAEQDTDKRIREMKDLSRKFRIQSETLFEKGPEEQWILRLPAGSKYGKELAKGIHSSIFESAHLGQEKTEQRVRSIAIWSGMRKDIRDVVDTCEICQKNKDPAKTRIKATLGKLPETSEPFERVHSDYVGPLPETSQGNKHIAVFVCAFSKFVIAEPVADQTAETLCDVFKNRVVARFGPPKLLVTDQGTNFMSQQFEKLLKSVNCAHKTSTAYHHEANGQVERANQTIENMIRQVENHEEWDKELQLLVHAYNNSINATTGLSPHRVIHGQHARTAMKNGLDNEEKDTKSPQSHSKNIEKTQAQLRKQCMEKIRRRTEKQRNHHDTNKPINDVIIKVGDKVWIRRGRCNKITAQFIGPFEVIEVEDPNVTVKIPAVTTRSKRERSRTVHKNNCKLVREEEKEDPTDPTPTGSA >CRE16013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:84717:86315:-1 gene:WBGene00061148 transcript:CRE16013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16013 MSSSDTYETKLDAASLTCLTFIQMNTLTFSFSVICMHDVMEDSRLNSTHWFSQTTEKSIIFSGAAIDGLIGLLPSVPLISYFGFKSVLVFHGIISSLGTFMFPMAVFSGFYISLLCRILQGFGSAIMFTAVGVVPGIWAPKSEANTFMAVLSCAYQLSNIVCMPVSGILCESVLGWRSIYYLFGSLTLMIYILFWFTYSDNPEDNRFISQEELSQITCGKIEKIKEPVPYISATGFLTSLPFVLAAVSKFSAGRLSDKLTFLSEKARFVFFAAISQMGLAGGMAVMAFTTNRLLAQVAFNFAIVCSGMNIMGVIKCAQLRCQQHVHFTLAVISFTGYADQFLAPILVRVICPDNTFQQWSILFYFVSVLVVLCNLGFPSIAKSEAADYTKPRTQKTDKF >CRE16011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:79035:83091:-1 gene:WBGene00061149 transcript:CRE16011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-52 MWLWLFAPFLIRNVIAANFDPTEFFKTRCEPKCVFEASNLNSTTIDKFPKNCATVCTDLAIDDKCDLTEEQLTATLQNMKHLVGSLIVISVKYTSVKFLAGLESVECGELDFLIVLNNKMLEVGMTSLNTINCSSIAIDNNKEMTKLNMPNLKYMYPSEVNKTEIKVRIDGLSKKFCVTTQEMYNLMGVPNINLDSLEGMHCEPPVPLTNGKVCNSSYTLIYPTEILDECTQYFGSLVINPANEKDVSKLKSVEAIFGPLFIEKTNLTSIDFLTNLKFISTLGYDTAAVQVINNPFLSNFSFPSLKKIKTNSHNGVNDGVIFINNSYTLSEDPSFCNFFWAFLGVDGWLYPEFDGKSCESIQVRYDQQPVKRSARSMGLEMMMIFVLSIFRWFGWAGAAPKNIEKYTKIYTDKGFHVACICPPLFHYKVPNGSSGDKMLPFIEKFEKSKGSAPVDPVIIHSFSMNGIRGIISLAKTTGNPKLMDNLEGIIFDRFACVSVCPDFLFCFSGPTRTFTHQNGKALMLSRPKVGYLSDEKRAKIHEKVNDARDFVISPISSIPSIRSKFSTYWYIHDKVQLPKRQLYFYSNGDSMVPIPPLEEFMETQKTRGCHVDSYNFGKSEHVGLCSKIGGP >CRE15881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:71960:73030:1 gene:WBGene00061150 transcript:CRE15881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15881 MSCLICQKPTTSFNYGILSCNACKIFFRRVILGKPVAKCSHTASVPLTCRFCRLKKCIESGMSFQSRDIQSDCATLTKWINNLKVLYAHRKLLILNCRFDGDPTIEELAALPGPLIFQSRPKHYKMGILEWGVLIALSTIDYFKKFNFLNLLELKDQVILIQQSFSDFDTLSGSFKAVELKKAEFLNPDGTDIFLKMDSGVCQVLENNIRCKLVGRMCELKITLEEFLLLTAVFLCNPALSDLSSSAKSILSTYQRVYTSVLLHYCMMTHQQNGTTRFTDLLSLFHSVKIAHQEIRTHYYFCIIQKPNLPFRNMFAHEF >CRE16006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:62363:65488:-1 gene:WBGene00061151 transcript:CRE16006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16006 MRAHTLLFLVSSFICPSFCSNQFYADLQTVLDSYLYGESHFESNSLFLYLDPECVFNQTVLTSETIKLFPKCEQVFAIVVINEKTDLTSSQIKKYFKKMGQLIGGFRIENSNLTDLAFLAIPKEYTFTVWCKAYGVFIRNNSNLQSGFKLPGIFISGSEDGSMNCRFEVENNPKLNAEMMCDSPYYNTDTDVKVTGNLKNCEYYSEYDTPPHTSTDHTTLTQGLQLTGVNDTSYLSTVTQITGIIDIQNTRLQNLSFLKSVRFFKFPDTTDVVFNLKNNPEMGRLGFPNISNIGTNRGPDTNFLFNFENLHLEFCLTPAEIVDFFFQHDISFLNIHAKLCDETGQFLLDTPLCRFESMSKLPNNCMLILGDLIIEEGDEMDVVKLDSVWYLFGCLIIRNTKLETMNYLANLFHVAYFGPNPVIQIFSNPNLKEVQIPLKNVITRYNRDVLFQDNLPGIFNKTGGVCGFTDVFNNYLLNRTHFNYIGGDCEPECVFNQTVLTSETIKLFPKCEDVYGIIVINEKTDLTSSQIKKYFKKMEMLLGGFRIENSNLTDLAFLTEPKVYSFTVRCKAYGVFIRNNSNLKSEFKLPAIYILGNENGTMNCRFEVENNTKLNADMMCDPPYHNTDVDVKVTGNLKNCGESFVEQNSL >CRE15880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:59256:61765:1 gene:WBGene00061152 transcript:CRE15880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15880 MLFLRDPSILDSQKVLTYERVISILQKDGKDYCSNIKGYCRRPETRHVNCDAALRGDKEYLSTVTGLNRIPVVPNSYLNMSCAQISDRVLPKYNMNPLKLGGIAFARNVFTDYEFIEKQIQMTWHPDNRYCFVVDKLADKDFIENMKQLVGCFDDQMVMMPVEIHMTSAGHNQNLAHSQCMQALLQYPNWGYLLLLQNYDIITKTVYELDRVFDLLDGANDMQIKKENLYFRVKGLKWDPISLKLFRNTSGIPDHVLQTPLITSSGGVEATLSREAVRWLIETVDLTVSIDQRNQSNYGGDEQFISTFHINHQLGMPGHFTTECMDYAPIPQITKQTVWGTPRSLRCRTMTHRHGTCLYGIEDLQPMAELPYLLWNKVYPQFDQAVVDCTAELIYNRTFLGQVDHELEEDYYSNLVTVKYHKDHKKPGFLLNCKPTQKLRSYEDYL >CRE16005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:56317:57727:-1 gene:WBGene00061153 transcript:CRE16005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16005 MEQFPKTCSTVCSELLINENCDLSEDQLTSLFSNMKKLIGSLTVISTKYTSGKFLSGMESIECVDGSEIMWILNNQMLELGLVKLTTINCKGITVTGNKRLEKLNMPNIKNMTHPTNSNKKVGVSISSDLPSFCITTQEMYNFMIIDTAEVDYIFAKYCSPVTGGTHLFGSVEIGPNDYLESMKSVETIFGSLVIRGTDLTDFGFLENLRYVAQLEHKPAILIENNSKLTNVTFPNLKRIRSHTADVLKFDLNNREISTNSSLCYELRKSLSLSDFAPTFDGFACEILEFMEKQVKDTKNDAGSLSLIPAVIFLFLKL >CRE15879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:50780:56143:1 gene:WBGene00061154 transcript:CRE15879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15879 MKTSLFASAILFLFFASCCQAAIDLTCPTANITNTDGAFPTGGISLFPANYTCIIKFLIPRGFAVKVDFQTNKATWSMDHIVVKDSALELFEMSNVDNLFYLPTGTNSINITTLTNTTTIYFTWKFMDVTKFQRNQISTGSVVSMNFTANNYYQFTSKNDTVLFHTGGNPDLNGNPDISLKKVYYYDGDDLSAMFVGNLYNGIFNTYNSKGKSITLVNFYGTPTNSYGIASDSSSLSKYQKYSLNIITNSTFFSTFVLQASAELGQETAMTVYAVDLQESFISYITFSNRNATGQQVRFRPLVPMDGYGDLLSYNPTSTNHIPPLPQQVLSNVYTVTCYQCNAFIGIRPEEDWTNVGPGNSGMIYSPSMWNPKSQQPLAPYFVNFTSNNLPVKFVFDIQSLKTISVADKLRIEVGSSSTSSVVTELTQSSNTDIQKSAIGNYMAISFSGANATSSFAISLRVEDNGAERGLISFVVLGVALLRLFQ >CRE15876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:44043:46065:1 gene:WBGene00061155 transcript:CRE15876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15876 MKTRAQIVLFLFFLQFVPHSCSKQFYADLQYVLDSYNYEPECVFNQTVLTSETIKLFPKCEIVYAIIVINKKTDLTASQIKKYFKKMGVLVGGFKIQNSNLTDLRFLTRPKEYEFSIACETYGVYIKNNRNLKSGFKLPAIFISGSEYGDQNCRFEVKNNPKLNAGMMCDFPYLISDTDVKVVGNLKNCGGLEVDNITTTTTDFDNITTTDFPTDNDNITENLLLNIAETTFTQGLQLTGVNDTSYLSNVTEIIGIIDIQNTRLQNLSFLKSVRFLEFPNTTDVVFNLKNNPEMTRLGFPNIGKIHTNRDPDSNILFNFENLHPEFCLTPAEFVDFFFRHDISFLNIHAKLCNETGKLLFDTPFCRFESMKKLPNNCNFILGDLIIEEGDEMDVVKLNSVWYLFGCLIIRNTKLETMNYLANLFRVAYFGPNPVIQIFSNPNLKEVQIPLMNVITRYNRDVLFQDNLPGIFNKTGGVCGFTDVFYVYLLNRTHFNYIGGDCGERVELNQTTSAGMSKNFAVPPESLVICCYF >CRE16004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:39372:43533:-1 gene:WBGene00061156 transcript:CRE16004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-54 MKEYGFLSFFFFLLTRTVADIDTFFNERNCDPECTFPSQNLTTKTMEQFPKNCSTVYSALLINEMCDLSEDQITSLFSNMKKLIGSLTVIGTNYTSGKFLAGLESIECGNDSEITFVDNNEMLELGLLNLSIINCKGFTVSGNKKLEKLNMPNVKNMTNPSDPMKKVDISISSDLPSFCISTHEMYNFMSIDTADNYFISGNYCEPILDNELCKEPTNGCTQLFGNIEIGTDFDLESIKSVETIFGNLVINGSDLTDLKCFENLKYVAELGMLKIGTSFSDKPAITIEGNKKLINFTFPKLRRIHSDASEIMSFKMNPFTFVNFTLCFEIRKSLDLRGLAPTFDGFSCEYHEIEANSIRAREMEMKVKNSASDPKCIYPEQNITKITMKLFPTECETVCADLRINQFCDLSEDQLASTFKNMKHLIGSLAVGNQEITSAKFLAGLESIDCLEKIQFLFNFEMKSLSMTNLSSVNCSEWEITRNYVSLERLDLPNLKTIAHPDGGQFRFFVIPDHPDFCVTTEEMLNWIKLDAVNLDEFYCPICEPKFTEQVCKKPAKGCTQIYGDMEIGPDSDPETMRFVEIIFGNLVIKGTELRDLSFLESLEYVVQRTNKPPLISIENNKNLVDVTFPKFRRVRSEDIVLLHFNHNNDILLTNSSQCYKVREAIGLTLRAPTFDNQTCEAIALNPKVLEETSTLAANRSEFPMVYPTTSAPPDAFETTVLPVTTEKNISGVKGSSVCFVILMSLIY >CRE14060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:875195:878504:-1 gene:WBGene00061158 transcript:CRE14060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-4.1 description:CRE-ATG-4.1 protein [Source:UniProtKB/TrEMBL;Acc:E3M917] MNSEVKQGVGMVETSLTSEPPFCDSFERISIDTFPISALGRIINKEDGLEAMKKYMTSRLWFTYRRDFSPIGGTGPSTDQGWGCMLRCAQMLLGEVLLRRHIGRHFEWDIEKTSEVYDKILQMFFDEKDALYSIHQIAQMGVTEGKKVSEWFGPNTAAQVIKKLTIFDDWSNIAVHVALDNILVKEDALTMATTYPSDNASYIFAVHNFLKYFTLNLTFPNFAENGQIEKPRPSSGCTTDWRPLLVMIPLRLGLTSINPCYLPAIQKFFELPQCVGIIGGKPNLAHYFVGIAGTKLFYLDPHHCRAKTTKRDAGVTTNTMISSITTTDAQLDIQNQIDDSDFHKLEDLEPLPSQTSDVYTKMDDSTYHCQMMQWMEYESIDPSLALALFCETRQDFDTLCEELQKTTLPSSVPPMFEFLEKRPRYLPRFEPYTGVSMKIEMKEFDDIGAANVKIDDDFEVLDVSVDEAAEGDTKLISQ >CRE14059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:872597:874678:-1 gene:WBGene00061159 transcript:CRE14059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14059 MSIETRKQFREQKWINLMLSSRRLQLRFILFATVFAIFCLYYSKEFRFSVSTSTFLKNSSNTTTEKLKKVPKFYKLLNWEKRESEKKLNNIEDLRRVNLNTLPNRTSNLSAVHLCSQNPSPCLPGLRDFEGEIRTAPRYRLSTCVVQKSMSTVMTSLFCYLRDEKKFIGNNREILKDWKIIRFCMFKNEFRNLGGLFKKFNILPSANNWTHIMMVRNPIERFISGFVDKCYRKPVVSNYCNGCKKNLTCFMETELARMREQVKKGTFLKTYEDRHFFPQSWRCDLHQYFSNFTFIPYSSAHNFSITSHLFPIFRNHSVPESSLQYIQSSLSSGRTAHSTVDSKATSFIEKRLKSSPYLMELLVKMFYHDFKLFNFTLPAI >CRE14058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:870039:872130:-1 gene:WBGene00061160 transcript:CRE14058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grd-15 description:CRE-GRD-15 protein [Source:UniProtKB/TrEMBL;Acc:E3M915] MTENYPFFKIIAIFFISTLVFVASVPITVPRDTVDNVEEKYSAAPNNTPISKVYIFGRPIYIREPFVIPQRNYTEQVDFSKLFSDPSKLRRNRASYVDEAVHNQRQQHQQYPEAVGGGGGEYPYVQKSKYPLRQCYTERSGYMCCNLKLEKVMHNTTQKMAEKKACNLQKMSAMLQAETEAMFGTEFEAISAVGDFASKIHFYSDYVCKMQRDGRTILVYATPSRHDYAAPYTQYTL >CRE13965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:867492:869898:1 gene:WBGene00061161 transcript:CRE13965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-15 description:CRE-CYN-15 protein [Source:UniProtKB/TrEMBL;Acc:E3M914] MQEEKTASEPVFKEPSPKKKRVEKPSTSELPKKPQLKHESEFLRSIPSSSQYEKSFMHRDTISHVIATKTDFIITASVDGHLKFWKKKHSEGVEFVKHFRCHLSEFSHICANVDGTLLATVCEADKSVKVFDIENFDMINMIKLDFPPRTANWVHQSNDPVAHLAIGAADSGKVIVVDGKASATPICVKDKLHSTPIRVIEYSQTLDIIISIDESGIIECWNGERGDFEFPGSKLSWEYKLETDLYDLVKAKTIPVCGAFDPSGLRLATFSEDRRIRIFNIKTGKLVQQIDETTQKYQQEAKENKNYGLQHMEWSRRLAAEKEMDKDKKNALKYSRMCFDESGNFLLYPTPVGVKVYNLVTQETPRIIGRDESIRFVAVSLCNALPDIRSKLQGAAITVETAAADNPTLNRKTDPDPLMVCCGLRKNRFYLFTNTEPFNVEDDDGNPSVSGRDVFNERPKKEDLLTALDTEGGDKVLNKEAIIHTSFGDITIRLFGDECPKTVENFCTHSRRGFYNGLTFHRVIKSFMIQTGDPSGKGTGGESIWGEDFEDEFHPRLRHDKPFKVSMANAGGGNTNGSQFFITVCPADWLDGKNTLFGEVTAGMSVVQRINQVPTFERSGRPRESIQIMSISLK >CRE14057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:862531:866630:-1 gene:WBGene00061162 transcript:CRE14057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nyn-2 MNSEDEQLLTLDGQFLQRVSPNTTPSSNTFGYEAIVHMQRDLESSNRQNLPNFPPETTNGPENGQENWELNEAIRISREEGKSRQSASNPRFGFHRNHRHFHSHQNNSRDDLEEEVPKEKWTQLEKDLSSLVDFLKTVESGKLEVEDPSQKYLNQVEMSETIKIYSKSDLGFRILGIVRSDEEQLSIDEANWNMLRFVYSRDPRVHESTISMEWAPMEKELFSNVDEFIRRQEKTAFRAGALSVAINFDDFPPNLTCRSKENETTLLRPVVVDGVAVLNATYSMNKTRSPFYGRAPEMPLYHDTLNYPVKAIFDVIMNFLIRGHKTVVYLPKYYKDYITPDGISKVDDVVAFRKLVELEYVQFLENGDRRRDWEWFHEVSKKADEIGAVFVSAVEYRRRTAEMKYEKPSERIITPCFFNAPERLMVLEPTIRYKEPGSTRYKTITEKEILQFCGEDEHSTLSEQLYLDKQIELICHLCQLYPMKALHRVCIQQLLHLVVTAKSDFEMPSMDLEAYAKFHYQFVGDDNSESNSSEIIISNNNQPNY >CRE14055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:854963:858748:-1 gene:WBGene00061163 transcript:CRE14055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpi-1 description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:E3M911] MIGYLSDLMSSLFVGDSKNGAMSLTQDAAFVELKRHVEANEKSVQLLEWFEKDPTRFEKFTRHFKTPDGDFLFDFSKNRITDDTFQLLMNLAKSRGVEESRDAMFSAEKINFTENRAVLHVALRNRANRPILVDGKDVMPDVNRVLAHMKEFCNEIISGSWTGYTGKKITDVVNIGIGGSDLGPLMVTESLKNYQVGPNVHFVSNVDGTHVAEVTKKLNAETTLFIIASKTFTTQETITNAETAKEWFLSKAGDASAVAKHFVALSTNVPKAVEFGIDEKNMFEFWDWVGGRYSLWSAIGLSIAVHIGFDNYEKLLDGAFAVDEHFVNSPLEQNIPVILAMIGVLYNNVYGAETHALLPYDQYMHRFAAYFQQGDMESNGKFVTRHGQRVDYSTGPIVWGEPGTNGQHAFYQLIHQGTRLIPADFIAPVKTLNPIRDGLHHQILLANFLAQSEALMKGKTAEVAEQELKSSGMSAESIAKILPHKVFEGNKPTTSIVLPVVTPFTLGSLIAFYEHKIFVQGIIWDICSFDQWGVELGKQLAKVIQPELASADKITTHDASTNGLIAFIKNNA >CRE14054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:854230:854888:-1 gene:WBGene00061164 transcript:CRE14054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-14 description:CRE-UBC-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M910] MAGYALKRLMTEYKELTTRPPEGIIAAPIDEDNFFEWECLITGPEETCFANGVFPARITFPQDYPLSPPKMRFTCGIFHPNIYADGRVCISILHAPGDDPTGYELSNERWSPVQSIEKILLSVVSMLAEPNDESPANVSAAKMWREDRAQFEKIADSLVRKTLCLPASEI >CRE13964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:852387:854229:1 gene:WBGene00061165 transcript:CRE13964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13964 MVLFQMSRSTTTRTEELVPRGTSGPKETQNYWGFANFPNQVFRRAVKNGFDFTLMVVGRSGLGKSTFINTLFLAEINNLNEKESTPTPLPSTVRVEEKLVKLTENSVSLNLTLVDTPGFGDAVNNSKCWEPIVNYVESKFFEHFCEETRIDRGDKPIDKCVHLCLYFIEPSGHGLKPIDIELMKHLHGRVNIVPVIAKADCLTRDELRRFKNQIVKDAEAADIKLYKFPELEDPSADKTTAEKLRKALPFAIIGSNTLKEKDGRRIRYREYPWGTVEVENMEHNDFLTLRDMIIRTNLIDMIDVTRNVHYENFRFRQMEGLPKNEKNRDPFTHLEDERRQKEEELAEKRETLEKVSFGLQTTSYKGFQVFNEKTAARQKRNVERMSSLDEIEQQNMQKLDAKRAEIIRLRHEIGELRNGTLTSSQTSLATYNENNSQNSTFNSTSKSSPPPTATSSTSGTMKKRMGGLGLFNRN >CRE14053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:848773:851748:-1 gene:WBGene00061166 transcript:CRE14053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14053 MPQNEHIELHRKRHGRRLDYEERQRKKLARAAHDRSQMAKTLRGHKAKLYHKKRYSEKVEMRKLLKQHEEKEQKNTMEQPDKGAVPAYLLDRQQQTTGTVLSNMIKQKRKQKAGKFNVPIPQVRAVSDAEAFKVVKTGKTNRKGWKRMVTKVTFVGESFTRKPAKFERFIRPMGLRFKKAHVTHPELQTTFHLPIVGVKKNPSSQMYTSLGVITKGTIIEVNVSELGMVTQGGKVVWGKFAQVTNNPENDGCINAVLLI >CRE14052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:838061:848319:-1 gene:WBGene00061167 transcript:CRE14052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-agef-1 description:CRE-AGEF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M907] MTTLQKKSIGNMFLRSGIEKILADKDIKKKENLQLKKACDNALEELKSAEETNGSPSSNNGEYLPDAGTLIEADRYFLPFELACNSKSPRIVITALDCLQKLIAYGHLTGRGPDTSNPERKLIDRIVEAICAPFLGQGTDENVLLQLIKAVLAVVLSKHCQVHGASLILAVRTCFNIYLTSKNHVNQATAKATLTQVISTVFSRMEMFGNFKDDETVVREVVEMLVSTTVANEATDETSEGGGTHRRNGSTMGESEAPLDDQFTFQNSFQKDAFLVFRALCILAQKEEGGASNEMSLRSKILALEMLLLVLQSSAPVLQSSQPCIIVIKRTLCMALTRNAVSSNIQVFEKSLAIFVELLDKFKAHLKASIEVFFNSVILPILDSNTCAFEQKWIVLNTIAKILANPQSVVDMFVNYDCDMTSPNLFKSIVEVVSKTTRTTINENAPPAQKEKERAMRLLGLSCLTDLLQCLVDWWQVCEVQKITSDIDDVESSENTQQEETTTSFEKFETLKQQKNLMEQGILLFSEKPKKGLKFLQDKGFVGTDAVEVAEFMMKEERLNKTQVGDFLGDSDEFNNSVMHAYIDFLDFSSIDILAALRLFLEKFRLPGEAQKIDRLMLKFASRYLDCNPRQGIFASADAAYVLAFSIIMLTTDLHNKTVKNKMTKQGYINMNRGINEGGNIPSELLEAIFEDISKNEIKMRAGATALLRSRVTPGQGALATDKERRAMAALEMEAMSETARALMESASDADAYFTPAQHQHHVKPMFKICWTPCLAAFSVGVQMSDDEEEWSLCLRGFRLGVRAACVLQANLERNAFIQALARFTLLTVKNSLGEMKVKNIEAIKLLLLIGDEDGEYLEENWADVMKCMSSLELVQLIGTGLNSAMSHDSDSSRQCKSRGFIIANNRIGLPNCSFYSKKFNHYRFPDVMKATGGIDEKTLHSLQDALGETSSQSVVVAIDRIINGSARLSAEAIVHFVRALCAVSREELSHPAAPRMFLLGKVVEVAFYNMNRIRLEWSRIWHVIGEHFNAAGCNSNEAVAYFSVDALRQLSIKFLEKGELPNFKFQKDFLRPFEVIMVKNSNTQTRDLVVRCCTHLVEAHSSRLKSGWQNLFSVWTIAAGDTSMEIVETSFLTASHVIEKRFKEDFTSILDSFQEALKCLQEFACNANLPDMNMEAIRLIRLCADYVSENSDKIDEAARRDDHLHKGLTADQHVWLRGWFPIFFELSCIINRCKLDVRTRSLTVMFEIMKTHGKDFRPEWWKDLFEIVFRIFDPSKMDDHRSDKREWMSTTCNHAMLSVVEVFTQFFNQLSVYALPMIYRQFGVFIRQQNEQLARCTISCLESLISQNGERFTESMWQQTIELIRELFAATLPKSLLTWEPPNSNGMVSEERTNGSDALFTEQIVFCVVQNELVEAVSRIVLGDARESTKSLQADGLFTQMSPQLLLSICDALAESHKLAKQFNDNNGQRTLLWKAGLRGSSKPNLINQETRSLSAMLAIILRLLYDLRAQEIAGQISIRVLEVVSLALNGYGEAESDTRRTAYGPVICELLRECIDLPTELLPVLGPEFPLKLCDLVVTAEGQTMRRHLANLLRRWMPHPVIDESD >CRE14051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:835770:837497:-1 gene:WBGene00061168 transcript:CRE14051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14051 MALLGFHVVICLIAVTLISKLVRRANFISLFITNGLYRFLAPSNQELKALLPPTKEKLNQRNRRKKREDEAVEGFSIPKSSAFQLNVYPVDVRDLVQFEMYTSLHWLCLCIPVCLLVYTLSEVYNYVMPDNKDFNVSIVFVLVVIMFVLQVLTALSSYLVSSIDERGFMLSIGAVYFLFSFIFAMNSHKIFDIEMLGAYDKLSTNIADFVESSGLFDNSTSNIRDYRPTNPLMMYISLSVFFSMLSAMLVFPNFRCAMMYLKALEIDGPIRRGLDHVAFLLPAFILTSYSKPLVHQLVHGPRKIVTIDQLDIIRIYLLIFWILSKFATRVSHLQAHLNLAYDKVAEMRAESGKVKNYTIQAMIYRYYRYLCCAAIQYFGPAILALLFALLLKTTGNLSWLGHPSPLESPELNTLALTGPIRFVFDASVCRAFFSFLLVVTILINFSLQLLGVVYHSYFVAGATPAPVAPTN >CRE14050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:833255:835422:-1 gene:WBGene00061169 transcript:CRE14050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14050 MSECLMFGMDCEARCMTTMTADEENICFLVGTNNIKNDKNQVNKLFMDPEASRLMSKSFRHPAGEVRAIAAHPTKSTILATCTADFSTLGGTHSITIWNIEEDKRTLETVSRLPTEPVMSSLEWEPNSMKCATMTSFIPEVHLLDMELEPRIVQTMKIPLRNEEDELLSIKWSPHYDGNMLGVTTGRHSICFDVRTQSEHLKVTDAHLHRTISMDFNPNLQHVIATGGDDGYVRLWDTRSISTPLMTLHPHAHWVWSVQFHPVHDQLILTGGSDASVVLSCAQSVSSEQQKEFRDDDEEEEEEEDLVEKLQDGQLERIDEHEDSVYACAWSSADPWTFASLSYDGRMIVSNVSRKHKYALMQL >CRE14049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:830545:832684:-1 gene:WBGene00061170 transcript:CRE14049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-28 description:CRE-VPS-28 protein [Source:UniProtKB/TrEMBL;Acc:E3M904] MSQNSNLMREVRLFENHSEREQMENLSELFAVLNALEHLEKMFSRDHVTADEYKTECFKLIDQYKVTMRLVHGATSIEEFAKKYRLHCPAAIERIREGRPITVKDDQGNVLKHIASIVEQFITFLDSLRLNTRAVDDLYPVLDDLYNAINSTSRVPIDASVTTKVKKWHDRLSSMLATDEISDDDARQMIFDIESAYQAFNKALNEQKH >CRE14048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:824583:827056:-1 gene:WBGene00061171 transcript:CRE14048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14048 MSASYGHHFFDFDNNNQRNIFRAFFHQLFNAISTMETETKVEVKVDDDKEPGSSEQKKVTNTSKLAESASPDKKHKLKTKTSNYTDTVSLCCACHQKTPHSQLFVCMKEGCGYALKQTMPIMSPALFYRRETVFCGACAFRGLHAPHRAFLRAAEPLAFYTASQEVGXLVXDXWSSKSSKKLCDTAPVDYHIMKATGSSELPKRKVIDLVKNEAEKLLTAYSKVSTELEREATVAITEKAEEARVRLAEHRLKMGIKPVEEEKSFSSVSSRSGRSSNATNQTVRQMPSKSDMQKALNDVDDEITGGRIYTSIKVESFDEPKKEIVEENANFIDESVPQTIIVMGRRFEMIRRYSSINDTIEQLADILDSRIKCVRHRQKVVDHMEKNYNIKWSRQLK >CRE13963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:819478:824470:1 gene:WBGene00061172 transcript:CRE13963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13963 MLTRAPRKREGDDGDGKPNLAQPPEKKSKLPERRFRKRRLQEVLNELCYRVQSNDRQLTNNLIRELDTEHVRVISGRDFERSCMKMLKAALTAKKTKKSVFKFQIDSVSTKMFACLVSMFSRGSLERRKNFIKSLHEICEGLAKATKEAAKINAIIVTSRQDTPARTGAPSTSTKTPEAPKPSEDGSESDSSVDELPLKKPENPFRVEKIAPSRSGLEEEMEEISMIDAFYQKPNLDCGVLGLYTMALREGIVETVDIPEKVRLIARRSLAHSSISTTPNRIAALELHVAMAISYTTAFPTSDDVDFQHFQARECEDTLNRLSGDRDFRVRKAVSEGLLELTSVAKLSKYTYPTAKMFIRDADSDIRITAIRLLIYYAKLYGMESWEDESMTKKTTISDDAFSAICDAMNDIEIAVRVEAAQKLGDFETVSEDLIYQTLDKKMMRSGANKQVVKVEQSLFALSKKAHTQKDRRWKFAKKAPKQAETRGGWSRGKELNAACPGEEEKKKEENEEKEAESIIPHGACGAFVSALEDEFMDVRKAAVYSLGRLACNRPGFAVSALEYLADMFNDEIAGVRLDAINALTPLIAHGQLNAEQLNVILKCLDDAMPDSRQAMRELLKRAQFTDVNCVEMCVKALLACMKRFPKDKEQVYGCVAEIGRNHAVQVQSIMRSLLDIHLIFHTREPSIEDQDYVGKLIMVLNAASCQPSLVHFMPEFVHRHYRFLRNAFPNIVRAIRVIDEEKQIGRVKKMDAVTNEKAEEVVLNTYQRLCDVASTAHLSDRHIQRDDIFRDSTAISLYNASVSGAARLIFCLGEVSSTVDSVTDTVLRGGELTNVKQLISQSIEDMQSIEHQFSGVSNQILSYLIYCRVYLSFLDMLVWMMQVMAPQSDVIKSGQEICKAARLAIEDHPVISTTLTSFISASESLFFPPVQIPLNQNSNSLEDNKRKIITPISLVNLLNQSMPILPPKFPEVSAIHLKYAKITAPNRDTAVEETLKFYAHLPHGMLLEFELYNMKQIELEAIRVKTTHPDGRCDVMKPRFDEFREESGHFCVSTQLKISCSGPWAEAAEIEVVIGILNGNAFVPLFASPSCFSPAHIRVRIHPHSR >CRE14047.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:816435:817714:-1 gene:WBGene00061173 transcript:CRE14047.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14047 MMLPRLALRAVHTTSRLSGGQEFYWGPEKAAGRELVGYGANGDNIYQDRLDYWYPAIRFRKEDSTIAPIRAKEQADWKNLSAEEKKLLYRYSFRQTLSEFEAPTGYWKVIGAVILSVLGLCTYYAVLLNVYVYPELPPTFQNEYKEAQVERALVLEKGQFLGAPTKYDYENQKWKK >CRE14047.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:816382:817313:-1 gene:WBGene00061173 transcript:CRE14047.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14047 MMLPRLALRAVHTTSRLSGGQEFYWGPEKAAGRELVGYGANGDNIYQDRLDYWYPAIRFRKEDSTIAPIRAKEQADWKNLSAEEKKLLYRYSFRQTLSEFEAPTGYWKVIGAVILSVLGLCTYYAVLLNVYVYPELPPTFQNEYKEAQVERALVLEKGQFLGAPTKYDYENQKWKK >CRE13962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:813093:816268:1 gene:WBGene00061174 transcript:CRE13962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13962 MLIYTTPNALILEERGERVHFGRKNGEILEKTDDSLAVLDEEVHLCGEVEFLIGKISFEEKVYLFFVVGSSVAAHYRGTSAASKHEIRKIERVIAINTKSESSTIKSQILPGSGTKLKQGTEKLMKFFTEKVSNKDSRPPLLEDVLKLFNDSKDFYFCRDRDVTISSQKFFTKRGIHQTSEESFFWNKKMLTNLGGAESVIAKFTCPIMQGFVATSQLEITDQINAFLTITIISRRSTRRAGARYLRRGIDESSNVANFVETELILNIFEHELSFVQCRGSIPVFWSQRGFKYRPPLIINRSFEETQEVFQEHFRRLKAHYDSPLIAVSLVDQRGRELPLAHRFLEHCVKSNDPDVTFFSFDLHQHCRGLNFQKLQTLITSMDDTLKTIGFCWVDKTGEVVQRQKGVVRTNCIDCLDRTNLVQGQISLSIVLQQAQRLGIFGPLCEPPEILVQKLQTMWADNGDVISTQYAGTAALKGDVTRNGERKLMGVMKDGYNSASRYYLTHTRDAQRQKAINIVTGQLEVEEGAEEEENEKEEEENISRMVTETIQFLVPTQQTVIAGWGLINACQSSDEIDTVVILTRSNIYIVTYEIDGEKMTDVQIVALEDVNTIQVGSSGNNRQCARIETLEGVFIWRPSTVRLFNNAALRLKSLEEANEYIASVAEQIQVGKNMLTGAEGAVMQVARISIPQMSVHRKSMAAMGALFGKIKRVGKSPATLSKSASSSSTSGPGAVLVSEPFPNPKPRDLLTETDGNESDASEKSEKEDHLMSKILKMSQFKSDTPPEPFASLLPSIAECQTKISLL >CRE14046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:811881:812613:-1 gene:WBGene00061175 transcript:CRE14046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-31 description:CRE-RPL-31 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Z9] MAPKTEKKSRSTINEVVTREYSIHIHRRIKGIGAKKRAPRAIDEIKKFAKIQMKTEDVRIDTKLNKFIWSKGIKNVPFRVRVRLSRRRNEDEDSTHKLYTLCTYVPCTNFKGLTNVNVDSEE >CRE14045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:810564:811636:-1 gene:WBGene00061176 transcript:CRE14045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14045 MSLQVLLNLLMIIGASLLLLVQCGGKKKGKTATSTKSENDEEESEDNDSTMEKKKKKSKKPKKEESQTESGKNVPKPPKNMAQIAATHDPNYQTLAGLNNDAVFGGGAGGAPAGPKAPAAGSNPGMAGTQDPNYQTLAGLNNDAVFGGGGGPKAPAVGGKAATNDPNYQTLAGLNNEEIFKAGGGGGAGPKAPAAPGAKAATNDPNYQTLAGLNNADIFKDKAGGGGGANGPKAPAQIGAKAATHDPNYQTLAGLNQDIFGADKKKF >CRE14044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:797175:807862:-1 gene:WBGene00061177 transcript:CRE14044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dkf-1 description:Protein kinase C [Source:UniProtKB/TrEMBL;Acc:E3M8Z7] MDTTGASDYGDHVVLRYGGTKEMVPLIRHEQMLDMLMERARQIVQGFGNLDTRNMYLFRHEYSSPTLLYPITSANQITPGCILEIILVDRTEAAVIPHVVEPESYMLPTFCDFCGELLTGILRQGVKCKNCNRNFHKRCSNAARNNCGAAPTTPGSSSRPPMLPPLPTTPTGFPVTALSTPSGLPHTLIEHSYRQFTVCKVCDHLLVGLMKQGLKCRDCGVNVHRKCAMELASNCVLAENAISRVNFADSEAEAASSSDNIPLFRLPGQVGVRATEKKNLEGWMIHFILSDPERRLKHYWMMQANAIHLYNEYSEGIGVNPNRVYRIIPLAEITSVVQNNGKSVLAKHPPHCFEIRTTTNTVFCVGEDYHAFSGGPPKKIPRSMSVRPTSNTTMWFQFIKESLQPPSRNEDNAEQALEFANLYQVLSDKTLGSGQFGTVYSAIQRHSGKEVAVKVISKERFSKKGSGAESMRAEVAILQQTCHPGIVCLEFMCETKDKIFVVMEKMNGDMLEMILSQELGRLNSRATKFLLVQILCALKYLHDQGIAHCDLKPENVLLSDMGSNFPQTKICDFGYARFIPESQFRKTVVGTPAYLPPEVLQRKGYNKSLDMWSVGVIIYVTLSGTFPFNEGEEVSISEQIQNASFMFPTEPWNEVEPQAVDLIQKLLKVEIEARMSIEKCLEHGWLKGEQLYRDLRDLEVRLNTPRYFKNNSHRSAFLLFQISNKPPRRCSLWSCSSDGDSLDVDSQLSMTIPYQQHYAADVSHSPLHLPQPIAMFDHKKVRGKASRPDTWHNEHFQQHSNELAYLRHDTIDISEQIQNASFMFPTEPWNEVEPQAVDLIQKLLKVEIEARMSIEKCLEHGWLKGEQLYRDLRDLEVRLNTPRYFKNNSHRSAFLLFQISNKPPRRCSLWSCSSDGDSLDVDSQLSAQKMLCGAVARSSALP >CRE13961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:787624:796716:1 gene:WBGene00061178 transcript:CRE13961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pole-1 description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3M8Z6] MTCLLRQSKSKFFQRESSNKIFKKMFSDSNYKERLALIKSNDSIDAKLGFVRYTGIQEKKGFLINIQPSELVDEQTKIIVSVVDYFFISDMDERFKISYPFRPYFYIATLDGFEFQVSSYLSKKYGAQTAVEHMDKEDLDLKDHLSGIKKTYIKLSFASTVELMKVRKDLMPLVRKNTDRIKKESAYADYLAKNLGGKGCGASGSVDLIDGDILNQIVDIREYDVPFHMRVSIDEKIFVGLWYDVKGVGPNRVPTIKRKDLAFFHAKPKVLAFDIETTKLPLKFPDRESDEIMMISYMVDGRGFLIINREIVSADINAFEYTPKAEYKGEFTVWNEKDETALIRKFFDHFLQVRPNIVVTYNGDFFDWPFVEARAKIRGFNMEREIGFSKDSADEYKSRNCIHMDAFRWVKRDSYLPVGSQNLKAVTKAKLRYDPVEVEPELMCKMAREQPQQLANYSVSDAVSTYYLYMKYVHQFIFALCTIIPLGADDVLRKGSGTLCEALLMVEAFHNNIVFPNKYTGPEETKFSKEGHRVESETYVGGHVEALEAGVFRADIPARFRLAVPALEQLKSEAKETLRKELAREFEVTLDQVIDFEEQCSEVEEAFNGLIEVPTRLENPRIYHLDVGAMYPNIILTNRLQPCAMVNEEICMGCSFNKPDAECKRTMAWEWRGELLPASRGEYQQIMQQLEAESFGKPPKHFHMLERSEREAIEMKRVKDYCRRVYGKTHLTKNEMRETTICQRENHFYVETVKAFRDRRYEYKDMLKKAKGRFDQAQASNDLATVATAKLEMVLYESLQLAHKCILNSFYGYVMRKGSRWYSMEMAGIVCHTGANIIKEATKLVDQIGKPLELDTDGIWCLIPASFPENVTFKLKNHKRNSVTVSYPGRLKTSRNKLIFFFPGAMLNALVYEGFTNHQYHTLEKDGSYLKSSENSIYFEVDGPYQCMVLPASKEEGKKLKKRYAVFNLDGSMAEMKGFELKRRGELNIIKHFQSHVFKTFLVGKTLEETYKAVAGDANHWLDILHTHGADITDDELFDLISENRSMSRKLEEYGSQKSTSISTAKRLAEFLGDDMVKDAGLACMFIISRHPIGSPVTDRAIPVAIFKAEPKVRSHYIRKWTKQSDFDEDTDIRDMLDWDYYIERFGSCIQKIITIPAAYQGVVNPVPRVPHPDWLQNKIRNKIDAHKQPRINQIFAACQKPTTTLENGKRRRTPSCDNDDSDDVVAIEGSDFQNEEDKENGAKRQKSAVSKNPKPKEAEAPLEKKTLIDHGFDEWMGFLKKKWRIQRKERKTLLKSRDSDTVESIVRGTREAESEREWHILSVEPTADTSFFNVWLSVQGQLQKVTMKIGRKILVDSRAPRGNRETVRRTLPHHKPPGFLYEFKTDEAQLTALMDKLYSETCSSTIDGIYESEVPTEFRAVLQLGSTVRPDHGVSLGHQLTLDCLRPMEKMSYLSNEQNIRTIFLYKFSQDSRHVYSLIDTSGSAAYFYIVNSGDVQLPNMDALYTSAYNKMMSTERGQLCKTPEKISFTVKRFSSSQECERQVGRALRAFREFSSKTTVCLSLSDTEPSRLARKIPNLGLFPNVRLHLTEPSSLLNQIDWQKVVARRVLQHYFNSFFFLTDYLEWAKYLRVPIGNLPADHALFGLDLLYARHLQKTGHALWATKASRPDLGGKELDDIRLSIDWNPLSVDDTVLLNREVFSDTACVELQLSAVAVTALVQRSRVLEAEGADDVVTFDSMNTIAQQSITGGTVNAIACYDEGAAVDASIKILKQMLTECVRHIAHQGNRHADEVVMTVSRWLNTRSALLFDSALTRSISVLESKLVLLLCAECERIGAKVIHATAQKLVLNTGKLTSEEAKGFVEMLIQSLSTNVVFAALHITPVKFFDAMLWMDAHNHTGIRISQNDEPESADIIDDSEPAESSDEPQYETTAIWKIAEEMPDESNIREEFLQMIGAYILEFLETNREMQFDSESAATFRADTISQKISHRLYRVVNKLVHSSAAESAHCATYLVDSLCRALSCDQTSQLAVEGVRENAKRLLHNVVVEVDMTPLRSTTLFVSNVFCNSCSQASNVFLSSTNEILTCHTCQSKLNSDVIDMMICDRLNQLLTAYQIQDHQCSKCKSVSSAPFVHLHANPLSLQVRHDSLSLYCECCSPFVPQITPSQLKHEAATVETVANVRNFALSSELATWILKML >CRE14043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:778386:787150:-1 gene:WBGene00061179 transcript:CRE14043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sur-2 description:CRE-SUR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Z5] MTEREKTAAVPAPEDVIEMDDSFSPQFVMEVNKIKSHIRKLVEDNPTRKFFAPLTSNLGDNTAILRIQFNNMMSKMEEKEKQSLVKELIKLVHHVAEKNSLDRVFVAASYERVVDTLLRFAHEKEVITTTLCVEGLIMTSDFRLCSRICQEKWKFIYECIPTIDYKGIRNILRYILESQLRRLPYTLSPEKVNELRIVENVILKIVDRDSNLMPPLITLSEIMRGMPKQAQMLPRLTEKLSNLSSHFRPIADLTHVCSRSFIYPIPSHPAYYPPTSFWEDFGLNVNNTFVQSHHMLPYRPEHKASCLYTLYMIVRQPNGKESLHPQNKSKTKQHWEMLVAVMMCEAMGEAEVLPENEPIPRYQWDNITNLVIYGMQHHLLSPQSFFKTVWRLLRQCKYTRARDEVMWIVFQVVSSLPNAIRLDDAIQEIVDLYNILFDGDVVWMGASDHPALFARFLAAAGTWMLLTKDFPDKMPPPNDTIKSHIRFIQDGADNFDSKNTAMLAVLANVYRGDTKVGKNVFPAIVQTIDAPSDPAHPLFELSYQRKAANSFSVFSAEFLDALTLRSKKQLLNSVCHFLRQFSAEKLPSPSVFEIIARICLSEDCEAAIKDLESLAQRSLVVSSTSDRGSVENQNIQSKDQCHFLFDFLAYRIPYHYRYSKYLTTFHSLCHYFSLHIVNNPQNHQIYRLLEQFFLRRMCWKSFHENIQCYTSLFGASSKDNSLMRFFLHPRTFTVPVDQYQFPLNPEMFKMSIYAFLRAIKITGQEVVTEGTMHPYHVAGYGWPEKSTSYFPKWALEIIKNTDATKLLPNYEEILTKTRETARLHPSLTPNQFVMRYGDDQDPTTFYCMMAVLFKFAYAAVDSKWDITSEFYEVLEKKSPKDIVVMGNYLIDYIIADVKTQDCNEQTFKNIAKAAAVLVFTHQIQRADRFLLSLIMHPGNDEDALICIQIANEFILTTEFQDRIRWFYQNVPRKDQHPDEYIKAIVKYHDAFPEFEACELVSKYDNSTNPHMPTYYGCLIERLLPILDQYVYVALEQQGYKMNPQVLQTVAMFYKYHAMPIHFMYSVLFTSHGLMSGPDAKSFVTTFAAQIEECHLTEAFEKFNHQKTSCEQLMMELLDRLSASLDFILTPPPFVAKNWKMAELPPGAQTLYLACIEIMASPHSPETLVTAMINVMQMKPHLRPLNLLNLIGLLITALPSTYSDALHEEFINVFKNGETKCLKFEEIVFDNYEENLLLHLPNRARSINMITQIYWTHCNLNLLNPFAQEQVPKLLEHVKTEKDLWYTLRLVMPILRRFWDNWDTAKQMRSLRERFGPLFIVKLIIEKLGSMAEEGVGFEHEQAFCDLFYNCKYVFAGDFLRDTAITEFAKLPESMRERLKFYVSQSEPAQQNTPEREKSPEKSKDEQKEQETTQPSAQHHPQDSQPSTSSMTQQQHMLQQQQLQQQQLQQQQQLQQQQQLQQQQQQQQVLHNNPLSQLMPPPQQPLQHHLHHPQHQMDHHQAPPTPAPPTPQQQHHQQMAMGMGMGGGHMTPQYPGAVFHHPQGLGSHPMQQYGMQHHLQHPHHPHHQQMQGQMHMNQMMHGMTPQQQYAYMQMQQQHYMQQQQQQHHHQQPPHM >CRE14042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:777503:778163:-1 gene:WBGene00061180 transcript:CRE14042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14042 MPSTGGKVISSDLYDCDWYWGDLDWKWAERLLLLCPVGYFLIRDSRSDTHLFTVSYHSQDKVYHSRLSLEDSRRNLGSRQPYVSRDYRNLVEIIERSLEQSLNGQQEMLHYRRGHEAEAARVNLTRPLTKRELLPSLQYLCRYTFRSAPQKATPIEAPPTILRYLNDSKWIIPDLNYCEKQLKLRFGGE >CRE14041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:773860:777305:-1 gene:WBGene00061181 transcript:CRE14041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtcu-1 MSSTIFALSSGTLPSAIAVFRVSGPQSLPVLRQLSRRKIWTPKMMEYTKLYDSERRIIDEAMAVYFPGPKTFTGEDTAEFFLHGSQAVATKFATCLSEIENVREAKRGEFTRRAFHNGKMSISGVRGLDRLIRSRTEKERRAAFGKMRGGVRAVEIRKKLVQILSKLFVIIDFGEHVELQLEEAQRDVSEILQEINRMIAAWNGAERAQRGLNIVLYGRPNSGKSSILNQLAHDDVAIVSSIPGTTRDSLVTSLQINGVLCRLTDTAGIRRLTDDVIEAEGMRRAQQRLECADIIIVVVDPESTSDDVAAILNDVEELKSGESKVIIVKNKTDLKLPYPEVLIDSMKKCHVVSTYATSSNGCEELRNKLGSLVEELCPEANFLLDAELLRKCSDELTCSMLCQDAAIMCSHIETALESIGELTEGIVNENVLDGIFSKFCIGK >CRE14040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:764854:771776:-1 gene:WBGene00061182 transcript:CRE14040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14040 MRRPTTTTSSSMSSSRILEHTTITSSKIIGGSRRTLFFNYYSSSLILLLLLLSSLIPSTDARTTVTEGGQPLTELLSSLDQPYPCGHVVEAEHFLSTAVALKFPRLIQLAHFFANHSKNFDPQLLDKSSFLPFPPFEVSFARRTHFYGLQLNCTGNNHRWLPRLVFVSHDPINANRKAYLTLQLDQFDVDMCSAVNCHTKCTWTVHGGLRVSAKSCCDNEDVVLCRTDAERGRHMLLAFNSICAIICIGLIPIVCYQRKRQHEARGWALMELFLIGASILYSVLFLDFVAPPEYGCCVAVWLRQIGFSIFYGSIVLKIYRNLQEYRVRKAQHVSVREQDMLKYLAAMLALTITGLMAWTVGSWGDTALWRTAWPQCLMQGWHVIWHGYELLFLLYAVRLCYKARNSDWLERWQFTVAVCLEAVITLMANLIRYSIRNSGRADTLFIVSFVHLQLTVSVNIVIIVAPKFYLSNGEPSRRSMTLGGHSGRAHPSLAKLRDNILNGTIDFAEVPIVDMNPEDIRAELKRVYTQLRMYKLKNLYQDNPHISKKRGGKKWSDKNTKAARRISIPSCSPQTKRIEEDEKSDLTVESSPHNIYLSNFKGVNVNNIEQHNSVRV >CRE13960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:764138:764839:1 gene:WBGene00061183 transcript:CRE13960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-26 description:CRE-RPS-26 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Z1] MTFKRRNHGRNKKNRGHVAFIRCTNCGRCCPKDKAIKKFVVRNIVEAAAVRDIGDASAYTAYALPKLYHKLHYCIACAIHSKVVRNRSREARRDRNPPPRFGQRTAAARPGAPGPRP >CRE13959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:760831:762596:1 gene:WBGene00061184 transcript:CRE13959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-12 description:CRE-DNJ-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Z0] MVKETGYYDVLGVKPDASDSELKKAYRKMALKFHPDKNPDGAEQFKQISQAYEVLSDEKKRKIYDQGGEEALQGGGGGGEGFHNPFDVFDMFFGGGGGRRGERRVKPTVHNLRVSLDALYKGCTKKLKISRTATCKQCNGRGGAEGAAKTCADCNGRGMKIRMIRMGPMVQQMQSHCESCNGEGETIDHKDRCKKCNGKKQVKEDEIIEVGITPGMRDGEKFVFEGKGDEVVGIDKPGDFVVVLDEIEHGTFVRKGDNLIVQHNIDLSEALCGFVRTITTLDGRHIFYRVLPGEVIAHADVKVIHNEGMPMKRAPSDKGDLLVQFDVKFPDKISPDAAKKLADLLPGKREEIIDEDAEVVELTELDPRASRRNFGEREEFEGHHGGGFGGHAHGPGGVQCQQS >CRE14039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:757575:758725:-1 gene:WBGene00061185 transcript:CRE14039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtx-1 description:CRE-MTX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Y9] MELHIWPSDFGLPTIDVSSLQFLACSKMCASPVRVVQSARPWRSPNGELPMVAQIDGNAKPVTDFEKFVDILKKCGQDVVIDADLTTIERAQLDAFSCYLHHNLYPAVLHTFWADELNYNTVTQYWYASHLHFPYNLYYLEKRKKKALRMLGGKNDTEILKDAFMALNTLSTKLGDNKFFCGNKPTSLDALVFGYLAPLLRVPLPNDRLQVQLSACPNLVRFVETVSSIYLPLSEEELKRQQSNRKMWQSRISKAKADKEAAKTTEETSEAMADEPPMRDAILFTIGALALSLVFAIHTGLIQVSVEEEIAE >CRE14038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:756055:757334:-1 gene:WBGene00061186 transcript:CRE14038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-psf-2 description:DNA replication complex GINS protein PSF2 [Source:UniProtKB/TrEMBL;Acc:E3M8Y8] MCSVCFFSNFLTVFNRMDAERCEFLAGNTPIEVIPAISDEKAIHLISGDIGPFEAGVPCRIPIWTAILMKRKHHCKLVAPKWMEVDELKKILAAETESQGLAQLPVEHFFEISHMLVRDATDDIYEVEAVKSLVQDIYDRRDAKLRTSAIAFLSQNQTCHAQLDNVQLIETSAARATLEACKQMGILVRNKHDSTPI >CRE14037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:754051:755701:-1 gene:WBGene00061187 transcript:CRE14037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtd-1 description:CRE-MTD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Y7] MAPSPLLLLFLVSLSWARYCVHNEKSWCQGHNIWGWCFHNKSSGVFNCDDDAFCVSQDQLKNKKSSGCFARDNSSVCCCNDADGCNLGFIGVPPKYAHGQQCVNSMEIPNEDIRLFRPCDDPYCYSLLTAEEDGGPTTVTRGCHSRKMVMHFMSKNEDDKFQNNTKWRETKRIAEMPSCSEILMDQGKMNGTRSLCIDFLFDQEPEDGEEVDEPIKMKGRLCCCDGTSKCNEQAMWADEGITLTELLEEIEKRKVPVDGSVTVSSLLSAVFTLVFAIFFAN >CRE13958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:747111:753679:1 gene:WBGene00061188 transcript:CRE13958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tep-1 MRLLILNLFFVVWYHGVLGQSTNSIIVSTTTAPVKPATYMLVAPAVVRPDQPYSVCMNLLKQATDEDMIVRIEVRTEQNETIAARVMSNLKPGIAQTVSLSEMPASSLTPGQGYKLYIRGETLNSEIIFENEKELKYDQKALSVFIQTDRAIYRPASLVRYRAIVVKSDLKPYVGNATIKIFDPSRNLISQTIDVPLDRGVYSGELQLADETLLGDWSIEVETSNGVQDKSSFSVDTYVLPKFEVNIKTSSFITINDDLSVFVDAKYTYGKGVAGKAKVSLELPWHRWHAMAPVPIDENGKTKEEELMVERTVKLNRQGEAAVVFSNDELKRHKLLHEWGGGSIRIVASVTEDITEIERNATHQISTFREEVKLDVEKQGDTFKPGLTYNVVVALKQMDDTPVKATLPKKVQVSTFYNYPYNHDTSSLQEEKETKIVEVDAHGTSVLTLQPPINCTSARIEAHYDIGGKDNFTATPIYSSLYVEAAVSPTKSFLQLLADNEGAVDVGKSLSFSLKATQPLSTITYQVMSRSNIVVSQQMAVNAEHATISFPATASMAPKSRLIVYAIIENSQEVLVDALDFKVDGIFQNQVSLSIDKQAVEPGQNVKFKVTSDKNSFVGLLVVDQSVLLLKTGNDITREKVETDLENYDSNNVGFGGGGRPWEAIDKRKKRSVWRPWWGIGGSDAQSIFSNAGLVVLTDALLYQEPQREFFPGKFMRRGKEMRRYHTPGGLAVMMMDRNPVMAEAAFAPAPMAGAAAAPPPTVRKFFPHTWIWSDLNSTSFPTPKNFSGELEMEVQAPDTITSWVASTFAINEENGLGISPTTSKLRVFRPFFIQLNLPYAVRRGEKFALLVLVFNYMEKEQDVTVTLKYDQDSGYDLLKKDGTIVKRDEVGQQNARVVSVAGGGTSKAVYFPIVPSSIGEIPVHISAIASQGGDAVEMNLRVEPQGYRVDRNIPFVIDMNNNSSDFSKSIDLIWPSDLVDGSQKARIDVIGDMMGPVLNNAHKLVQMPYGCGEQNMLNLVPNILVVKYLRATNRNESQLEAKALKYIEQGIQRELTYKRADNSFSAFGDSDKAGSTWLTAFVVRSFHHAKQYAFVDPNVISRAVAFLNSQQMESGAFAERGEIHHKDMQGGAQDGGVALTAFVLISILENGMENGKAVAYLEKHLDEISGNAYTMSVVAYALQLAKSKQAGKAFEMLKKHKIVEKSGDVKFASAQKKTEKPKESNAYLFQARPVDIETTSYAVLSYLAQNQTSEALSIIRWLVSQRNELGGFTSTQDTVMALQALSSYAAVTYSDKHASQLTIQNGKHSHSFEVNPRNAIVLQSYQLSSFNDGVTIQANGSGVVFAQLSYSYYRDSLNDDAPFFCSQEIKEMRAGNRLQLDLCCNYTRPGKSNMALAEIDALSGYRFDAEQVHTLTGIEDLQRVEMEKEDTKMNVYFNPLGGKPVCLSLYSDVSYQVADQKPANFRLVDYYDPEEQLKMTYSAKQTRSLQEKCGDDCWPPMSPSLPPSDESTVTGTSSADSATWLCALISAVGVLRLLA >CRE13956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:732842:738187:1 gene:WBGene00061189 transcript:CRE13956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klu-1 MNQEGTPAPVAVAAPPAPPSTGPRKPPALVLPTIACPLICEQGPSIPSSPTILQGPQDALAADLMQRIQMFSSQFDSARLSPNYATSDLGSSARSSFSTASSWMAYRDRSVDFDETGKELISPFDCDTACSVSPSFSLSPSSFHHFSFDVRSVSSLGHSTTNLNHLLSVGAAYELSRERSRSESDMQPTQTDADEARLLANSTISVPCYSKKIKYGGEIKRSSSTPPPTTNEREEMEQQRMLRPTSGLENKDDLEAANAIVSSWARDQIFAIQNASTMYNLLTVAGSSEDCSSLSAPGPLKVIPKSKDDMAFLIRDETNRAKQMQYPCTMCGQAFAVHDRLAKHIASRHRQRSCTLDDASKVHKCNMCSKSFSRSDMLTRHMRLHTGAKPYSCPTCNQVFSRSDHLSTHLRTHTGEKPYACPLCNYSASRRDMISRHMRTHSMSDDVSTPISQLSIRSASTSPLPPKIVGSTAVVEIGSGELSAFKPILSSSLSTHHLSVSSPFQSLSLSTPSSPSFAPPTILLNRQSSFDNSLSSNTNTS >CRE13955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:725784:726638:1 gene:WBGene00061190 transcript:CRE13955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13955 MFYQSVLVLVSCLLGIVLVAAFPIEIKNIEYLFPPQNTILSGNLPNGYETKIDRQVGDSEEEEKIRKDCIRKQNADAPESTPSEDTTSPPFVTSDDNGGSSFNDTTPLNGTIVDDPMEVKIYRPECDD >CRE13954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:720313:723576:1 gene:WBGene00061191 transcript:CRE13954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ces-2 description:CRE-CES-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Y2] MDIHRALTALFSNQAAVQPLLGSLGFPFSHHHTDSTGSNILSNALGSGGRGTIRGRRLKKVGFPIKLEDDIMCSSPVSSRSSTVSSSHFSSPQRSPSRKASCPIPEEKKDSAYFERRRKNNDAAKRSRDARRQKEEAIASKAQRLEQENMALRGQIQLLQQESTKLRFLLFSKPSPTNSETSCADSTVSHDSHDSHDSHDSQDTHDSHDSHDCENNHEESPSKNDTTIEI >CRE13953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:714121:716902:1 gene:WBGene00061192 transcript:CRE13953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13953 MVFVSKSAPVKLSTTPVHERIFEACRTHAAANKDAVCFIDAETTTKKKLYRDVEPTVNSLASALIKLGFKPGDVAAQALPNCPEFLIAMLAVMKCGGAMSNASAIFTDYELQLQFCDSNSTIVFTDEDRLARIRRATDKCPGVRKIICLRTFPVRTDFPENVLDYVELTQTPDQPINVTVSMDSIALLPYSSGTTGRPKGCQLTHRNVGAMLDVAKAHLEQEIAPAMFGKEKATWSKEHTLLLLPWYHAYGLNTMFETILLGMTGLVFKKFDTIVMLNRIKFYKVKLAWLVPPMLIFLAKDPMVPIFNTSPYLKVIMSAGATAGKQLCEEVKKRFPNAWLCQAYGMTEMVQFTTLPRFEDGNCFETVGNLGATYEMKIIDKEQKEITKTNTVGQLCFRGPTVMKGYLKREEADIIDKDGFLHTGDLGEIDDKGRIHVTGRIKELIKVNGMQVPPVEIEDVLLLHPKVKDCAVIGVPDEHKGEAPKAYVVKRDHTLTEAELTELIRQKLSSYKWIDSYVFIDLIPKLPSGKIQRKKLKKMAESSDSGETDTEASKSEKSVTKSIRK >CRE13952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:712697:713938:1 gene:WBGene00061193 transcript:CRE13952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13952 MVDKKHEEKLVEFDGLYPELHKNQEELEKKLKIVDDEDDYKKPEKPLKEETELVDWNLVMKASDFAARRHRHQKRKDNATPYINHPIGVMYILTNEAKVYDPVTLAGAVLHDVVEDTKTSLEEIQKEFGDEVLEVVKECTDDKALPKAERKKLQIENYGKHSHRTKLVHLADKLYNLRDLERKAPIGWDKKRVNEYFKWSREVISQMKGTNEALEYALDDVINRHLA >CRE14036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:704403:708918:-1 gene:WBGene00061194 transcript:CRE14036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14036 MEIDDCIRENIPWSNLSADIKVILGNSSKEYEKRVVEYSIQNQLRYKGNLVRHMKKSEEAYYDQLLRFSESHLMLYPYHLSDITVTEMRVSPFSYYANILTEMLNTEKSYDSLPNFTAADAVRLLGIGRNQYIELMNQTRSNRKFLRRSKTARELLPQKPAKMVIESWWMTNVGSILESDVKALSDEEKQVIDRLLDTNSAIPAGLLKYSVVTSLYDRGLVYFDVPVDDNDYIYVAPLDGFVMNRVLGDYFETLLYKIFVAIDDQTTVLEMSQILHINLQLVKNAISLFCRLGFARKRVTGAENLTIHTSWTSNSTVTTPMSPVSPMASMITNTSDEMNELTKTLLRGDDDEADEALSPVSEDTALRASSPNPSLNDSVMTFQSLTSSQTASDLSGNAGTVNRAAFIFDSTLTAFLMMGNLSASLKGHAVALFEVGKLADEQMKDFLEQLETVNQFAEGDAQRYSMHATALLDSLKSLRQEREADLVRGESLYTLDEKSRQRVLAKSYGILVAMAPLSIEACAIPVQSVPFIGPPNPETCSPWFRLSIYSACSSGPPSVFLPHGSRLTTLPRLLQQQIGTKNCRILVSSAKHEPHIITAQNALFALNDMLVYSSIFVQSVPPDSNDRDKMVHVPFPFNEEELKQDDAFCNHPAIQKLRKRLGLDQMAGYVILVKRNVEVTVPMAGGSDISGRTKAESNIMQDVSLNEDRDELLTRLMPGTCFDDFSLLDCVFGIPLFDSILNKTICQRILSHGLLEQQNRINIQNSNKCVVEMTNELIETANNGLTKSSQLFVDGGDQKNSQIVPPVRPIFFDSSQLISYGTI >CRE13951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:679182:703842:1 gene:WBGene00061195 transcript:CRE13951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frm-1 description:CRE-FRM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8X8] MGTDRDPGDQKQPTSQPRDPKMQLAKVLLPDGVTKEFEVNKNSEGEALFHQVTRDLSIEEREYFSLCFYCKEEGTRHWLYNDKQISKQIKGLPWEFSFEVKFYPTTPTTIVDDHARYYLFLQLRRDLLTGRLPATADTHALLGSFVAQIEFGDAPAQINDAYEKFIVESKLVPAAYATPERFTSIAELHRLLRGQTTGEVELKFLDHAKHLALYGIHIFKATDKDKKPVDIGVGAAGINIYQDDQKVHTFSWQNIIKIGYKRTYFSVKLKAGTIGKDEKTLYYKLPSYVAAKRAWKCAVEHHTFFRLIQPEDKPQKGLLKFGSQRFRYQGRTQFQTKIASQMFDKPSTVERAPSAMSQPITSAEDQKLQTLNLTDSELEQRQFERYRRALTPKSYTSSRQEDDALSTATFAKYSRPSTMLVVSTSGHHSLHGQQSPQQDLQYSPRDDSSNYSSAAYYLSERSSLRTPSSAYYPPSEYTSPTSPVYYEGQHHGEYHVQMRQTTTTTTSGEGSRSGARRNLFGRSSDVRSSQASRDSVRLVSFHEPVDPNEPIPDTRPVNELPQIPIERIVQVYHEGHYDRLSPRLQQRAELPYGFGNYTPRNGVSHVDNLQPDGEMDEHPIRFFVDLRHSGASRRDETKRLSHPGKEDTKQKIDMKDYEFSSTSSSTDPVPVHRTELGHEIKPANIQQYVHRYHPGDSTQKVEAPKYRLITHVHPLVVSTRDDARSGAQSSSNVTATISQREQVVSTKVRRESPDADRRVRLSASVDRSGNLTDTTEEMARSSTTTSEVTTTTRVITSDIAQSSEVQPKKKKQKKQKIPPSSAQSSSQETRSRFGGFFTKKTSTSKTSEYPETSGQFSGELDTTNRTHEMETAEYAHPQQIPAYSPKKVTTTIVTSSTTSEHHHPEGKKRMMAVDTPEVPKKYRLIARTRHEGDEDVVETSEAYALPSEAHSGPVEEIARQGELQESPLTVHPKVSHYEKAPEVVPLVEKKQKKVKSQKKVVTAVEPQEPEAKEVRLIARVISDSEPEPSVDTAQKPRKEATSSKFGFFSRSPTTIKTSEYPESSDTFAGELGTIDRSQEFESSPFERTQTPVASPVPAISEKNTKKVKSSKKAAPIVEESEKREVRLIARLINEPEPEPETSAEKVQEKKTKKDTSKSKLPSFGFFGSRSNKTAKTTEYPKISDTYTGDLDTTVRTEDNEFSYFEHPEYPEYKPKSEAYQPSEMTHLDESDVVEAPAAYGFPSTSYDGPLETTALERDLEHNTIKEHSDSYHEGFYTTLIPKKSDSPATAGKKSTTQEEEPETTKVRLIARVLPNDPIQSSEPQKSSKRGFFSKKNKTTEGHTGQSESMPRSEDMESSAFERDTSTRIISETDPVVSHEKKRYRLLARFRHEGKEDVVEKPEDYGFAAETYEGPLDDTYRHGELHHAPLSEYVDSPKKIDVPVVETEEPEKKDVRLIARVITERKEDEPIAVTTKERKPKKGKSKLGFFSTTSKTTRTTDYPETSETYSGELVTTPLSDDLEESTFVHPEYPEYIPKNLIVEAIPVKRHSEKKRYRLVARLRHEGEEDVVEKPEGYGFASTSYDGPLDETALQSEMEQSPLEARPKYSESWNYQKPETPIPTLEKKQKKAKPSKKEKIDEPEKKEIRLIAYVKSKPEDEEPVAKTVTEKKSKNESSKFGFFSRSTKTTKTTGYPETSDTFTGELDTTDRTNEMEGAYFEHREYPPYAPKKNVVEPPTPIVPVEPEKKRYRLIARFRHDGDEDVVEKPDAYTFAPEAYDGPLDETHRQAELEQSTLENRPAFSESWNYQKPSPVVEKKEKSPKKVKVEEPGEPETQEVRLIARIKSEPEEEETGVVKEKKPKKESSKFGFFSRSTKAIETVGYPETSGTFTGELDTTDRTNDMEGAYFDHREYPPYAPKKTVVEPPTLTVPAEPEKKRYRLIARFRHDGDEDVVEKPDVYSFAKEAYDGPLDETRRQSELEVSALGNRPAYSESWNYQKPSSPVADKKVKVEEPEEPETKEIRLIARIKSEPEEVETVVVKEKKPKKESSKFGFFSRSTKTNKTVGYPETSGTFTGELDTTDRTNEMEGAYFEHREYPPYAPKKVVVETPVVPEPEKKRYRLIARWRHEGDEDVVENSAETRTRLAAVQQQASVECVPDAVVLRYCSVQNEARLNDAFLMLQCCAPVAQRVNVACLMRGSAEKPDAYSFAPEAYDGPLDETIRKSELEQSILENRPAYSESWNYQKSSSPVVEKKEKSPKKVKAEEPEEPETKEVRLIARVKTGEKDDVAETSDAKAKKSRKEGSKSKLPIFNLFTRSTKTTKRTRYPETSDTFTGELDNTDRTNEMEGAYFEHREYPPYAPKKVVVETPVVPAEPEKKRYRLIARWRHEGDEDVVEKPDAYSFAPEVYDGPLDEIGRQSEIEQSSLEHRPKFFESWNYQKPSSPVVEKKEKSPKKVKAEEPEEPETKEVRLIARIKSETEVEPFTESSSVVKEKKQKKRDSKSKIPTFGFFHRTQEPSTSHFPTSELFTGDLETTGLAHDIERARFEHPEIPAYSPKKIVVEDPVAPGRKKRYHLIARWKHEGDEDIVEKPAGYAFAQDIYDGPLEETVKQSELDQTPLEARPAFFESWNYQRPETPVSTLDKKHKKAVKSPKKEKIPEPEEPETREVRLIARIQSEQEVEPIAHSPVKEKSPKKEGSPSKFGFFSRTTKSTKTTGYPETSESFTGELDITDRIHDAEGTTFEYPEYPAYSPKKTIVDAPEVARTEPEKKRYRLLARWRHEGDEDVIETPNAYAFSPEVYDGPLDETFKQSELDETPLEARPAFFESWNYKKPETPVSTLEKRQKKVKSPKKEKTSVPELPETKEVRLIARIKSEREEDPVAEEVTTNKEKKKGSSSKFGFFSRSTKVTKTDGYPETSETFTGELDTTDRTNEMEGAYFEHQEYPPYAPKKIVVETPTPIVPVEPEKKRYRLIARFRHDGDEDVVEKPDAYSFAPEAYDGPLDETRRQSELELSALENRPDYSESWNYQKPSLPVADKKVKVEEPEEPETKEIRLIARIKSEPEEEETVVVKEKKPKKESSKFGFFSRSTKTAKATDYPGTSDSFTGELDTTDRTNEMEGAYFEHREYPPYAPKEVVVETPVVPAEPEKKRYRLIARWKHEGDEDVVEKPDAYSFSPEAYEGPLDETHRQSELEQSALENRPAYSESWNYQKPSSPVVEKKKSPKKVKVDEPEEPETKEVRLIARIKSEPEEEEVVVVKEKKPKKESSKFGFFSRSTKTTKATDNPGTSDVFDGVLDATNRTDDMQETFFKFPEYPAYSPKKQRSETPEDRSVLVEKKKYRLIARYRHDGEEDVVEKPEFYGFSPEMYGGPLEETALTSDIEHAPIVEYTEVRSHGDLAVEDPVSLKQITPDPVDPETREVRLIARVITQSEDEPILDAAKEKKPKKEGSSSRFGFFSRSTKTTKTVEYPETSDSFTGDLDTTDRTNEMEGAYFEHREYPPYVPKKIVVEVPTPIAPAEPEKKRYRLIARFRHEGDEDVVDKPDLYSFTKEAYDGPLDETIRQSELEQSPLDARPAYSESWNYQKPPPPVAEKKKSPKKVKVEEPEEPEIKEVRLIARIKSEPEEEETVVVKEKKTKKESSKFGFFSRSTKTSKTTGYPETSDAYAGDLETTIISQEVEKSEFHHPEIPAYSPKQIIPEIKVVPSEPEKKRYRLIARFRHEGDEDVVDKPDPYAFSSTLYDGPVEEISRENEIEHSPIADHAEVRPYVEKDEMPKSPVEKKQKKIRSPKKEVLEETDEPEKREIRLIARIKSEPESEESVAGVKEEKPKKESSKFGFFSRSTKTTKTVGYPEASDSFTGELDTTDRTNEMEGAYFEHREYPPYAPKKIVVEAPTPIAPAEPEKKRYRLIARFRHDGDEDVVEKPDAYSFAPEAYEGPLDETHRQSELEQSALENRPAYSESWNYQKPSPVVEKKEKSPMKVKVEEPEEPETKEVRLIARIKSEPEEDEAVVVKEKKSKKESSKLGFFSRSTKTTKTTGYPETSDTFTGELDNTDRTNEMEGAYFEHREYPPYVPKKIVVEAPVVPAEPENKRYRLIARWRHEGDEDVVEKPDAYSFAPEVYDGPLDETIRQSELEQSPLEARPAYSESWNYQKPSSPVVEKKVKVEEPEEPEIKEVRLIARIKSEPEEEETVVVKEKKPKKESSKFGFFSRSTKTTKTSKATDYPGTSDSFTGELDTTDRTNEMEGAYFEHREYPPYAPKKIVIETPVVPAEAEKKRYRLIARWRHEGDEDVVEKPDAYSFAPEVYEGPLDETHRQSELEQSALENRPAYSESWNYQKPSSPVVEKKEKSPKKVKVEEPEEPETKEVRLIARIKSKPEEDEPILDAKKQKKSKNEGSSSKFGFFSRSTKTTKTAGYPETSDSFTGEVDTTDRTNEMEGSFFEHREYPPYVLKQVAVEAPKPIFPAEPEKKRYRLIARFRHDGDEDVVDKPDAYSFAPEAYDGPLDETHRQSELEQSTLENRPDYSESWNSQKPSSPIAEKKEKSQKKVKVDEPEEPETKEVRLIARIKSEPEEDETVVVKEKKPKKESSKFGFFSRSTKTTKTSKATDYPGTSDSFTGELDTTDRTNDMEGSHFEHPEYPPYAPKQIKEEPLETVEPEKEKKRYRLFARWRNDGDEDVGEKSNAYAFPQEVYEGPLDETIRQSEIDHAELVARPLQSDSWNVPKIDKKLKSPKKEKVEDPEDPETKEIRLITYVKSEPENEDAIEQVKEKKPSKLGFFTRSPRSSKSSEIPADPLYEGTLGTTIRSDDFEPVPFEHSEFTTSTPVKVEKITTDTVEEKKPTSRFSWFSRWRHEGEEDVVDKPNAYTLSTDVYDGPLEEIRPSGEVERAPITVVHDGESWGAEKRRQKEKKAKTPEPTTPEPSPEVKLVARVQPMAHEESPESAEKVSVERESPAKERSRAASFNIFHRRQSKLHGYPEITPIFEGHLHETGKAEDVEKTLLEKRTDYPEYSPRKIAPELPVEPPHQGRLHRLIARWRHEGEEDVVEKPDAYKFSSEVYDGPLDDIRPAGEIDHAPINEYSDVHDIGESWNVVIKKSPKTSKKKEITPEPESETENHEVRLVARVKSEDPEDVLSEESTPTKPFRLRFLSLAKKTPESSEYPETSPIYEGPLDLTSRSDEMERMPYLHGAVPPYSPKFTPVKKVEKVEVEKRKLRLISRFRHDGDEDVVEKPEAYNMSSEIYSGELEETGRNQELESVPIAEYADVKNAVAKKTKTTKTKPVRLVARVPPQETEERSDVQIEQRRKEANLLRFFNRSKGTARHSGFPETSPVYEGPLDVTGRAQPIEHVPLERREIPPYSPRKVENTSEDSAPEVPEKKRYRLFARFRHEGNEDVVEKPNAYAFSQDVYEGPLVETVKEGELETVPISEYAVVREYNFGATNSSEESASEQDDDQENLPPRSRFSFLRGQKKQVAKDTLDISSYPSTSYSGPLETTNLNSELEIMPFNIPLHSSLDSRVRKYRLIPRNRHSGEEDEVDHHKLHPVFYSFPITRYNGPLEMTELANEVKRMPLERFTQKYHSGSSSGFLWNLFNRSSKAYKDLDEPEEKQKKDVRLIARVLPMTKTPEKEEKPEKLTKEEQEVHDALAKSAEQMDTSRTLASTLNAINYIPSSLTYDAPRPARIEGFTQDGDCYVEVRHERRAEVQLEPAYVLRETSTSVRSHAYLSTATAYFSGASAAPSSSSAAITAAAGSQDPEAAATTSHSVVVPSFVGSVSEDETGLHHFSWTPLQMSPQPERMSFLARLGFKRDKSKKKDKKKSNKKGENETSGETSDSEHDEKREFAVVEYEPFKHVPSSPQPAQNAPSPPKKPEHRTHKSPGRRADEPMNEVTVVVTSSERPKELKNTKEVRHETREQQFRLTGDGYTSGFNPNDPESGQPYTTVSTWQETSDLPEQVEVYTDENGRQITRTVKSSQVKHTVQTQSFQNYIVDGDQVPVGVVDVERSREQLTPLGQKASSPSGAGAGALVDQNGGDTTTGIVETQTRTMTYEAQGGENAAPPGWAEQGLGEYVSSKSVTQGNRTIETITYKTEKDGVVETHVEHRVTIHSDGDIDHDAELSQAIMEATQMNPDMVVEKIEVRQETTQ >CRE13950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:669905:671838:1 gene:WBGene00061196 transcript:CRE13950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13950 MRKFWNSSGYTPSHSNEDAAVQTSTATKRMETLLVEENGLLRKVEDKGNHVYLMFLMFGIGALLPWNMFLNISHDYYTTFKLMDNGTGTPYSSNFQYSMTVAAQVPNLVFSFANIFLAAKYVRILCFFFQSNVSRGDLTARMRICLAIVQAMVAITMVFIYIDTSDFIATFYYVTLLSIVFLNAANGLFQNSLFGLASSFPFKYTNAILIGQNFCGTVVSLLALLTKVVANNIESRAVLYFGLASIAIITCFILLNVIKKSAYFKRFDVVEANAYSDFEGEITTWEDIRIVFSRSKMQFANIFFLFFVTLSLFPSICMYVLAVKTGEAYDFIISEMYFMDVGTFLNFNLFAFLGSLSANYVRLVSKLPVEYIQKSLIFQFGPKTIWIAVAVRVWFLFYFPSANYFPPQSERIYGPVFESTWFFILNVTLMAFSSGYLSSLIMMYAPRAHDEPRIQRMAGMIAAFFLIAGVVSGLVFAWGISLIVTDPLTIVPVIETIMANGTAATTVVPVIVSTIAPTTAIVP >CRE13949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:668501:669462:1 gene:WBGene00061197 transcript:CRE13949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13949 MAPPAKRKLNFDTPPGDVTLNFETDVNFPKEWKIDGVNIEERIQKLREELREQGVNPVQNAIVDPRSKFRTEYRRILTAHAIITDAIRLNDKKISWCKWNCFLILEKIEFSVKNATEMMANDIDALHNRREHVDKSVEDFKKELSRLDASVGVLKSQQIAVKSVLELREDEYMGGADDVDSNLPDEYQKFGKISKLCEVALKSYDDIKKRNEEMQKYLMTEKNRQRKQREAMMNLMEEKERQRVEELDEVFKDFTLEQLKELRDNMRIARSKN >CRE14035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:666386:668278:-1 gene:WBGene00061198 transcript:CRE14035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14035 MQGAQIAQNDGAKIPHKKIGKHGKHHKKSKSHTKKKSKKSGFLCCRGKKRKRSEKKKHKKSKSTTKKQPRSKVVKPLAPVPNEQLGEIVLVKNIDKIKPLSKEQAQSPDKTGPVAKSKEPDSEDKLKSKSKKDEKSEKKVEKTKEEKKEEKKEDDGNTEEKKQKWLGLAKRFVAEDAKIALKDFQQVASYIPPHVTKQNFVDNMEKNRFSDVICLDHSRVTLSDSSYIHANWVDIVPGHKRAILTQMPLTETAADFWQMIIEHRVKSVLLLLTEAEYESLGGDFVFPMNQDFLHFEERSIRVGEFKRVEIMSGWSLRVLSVCNGDYKSFLHVHHYTGWPHNSVPFSGSPQGVKQLWQIQSCFRKYSSSPPVYMSLSGCGRAGTYALFETAHASLHSDDPKLDLVKCLEIVRGGRLHSCQNLTQFSFVYSLLAEHILNNGFCKLAVPKKAGDEKEDVEPTVNTILRQLTITKSSR >CRE13948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:660151:666072:1 gene:WBGene00061199 transcript:CRE13948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-23 description:CRE-PTR-23 protein [Source:UniProtKB/TrEMBL;Acc:E3M8X4] MDGSIARPRRQSLLIGQRSLDVYNEVDQGGTKQPEGRCTSDASSNFTFRHYAAWTPADPRAFLTPVSQSKTGHLKDFVAPRIGRQSRPTKKTEYTVRFFQKNIIHSSTGQRRPLMETPVADTNIVPTLPPHCKAESRTLRTTLCNQQGQRNQGAAPLLLAGSPRFVRWIIGKFRNWGFLIAKHAWLAIIICIIISTLAMVKILLTKQANDITGYTPYGARAKDEYLEYQRFFSSSGLPIAAYLFIVAKDEGSMSRPDYLDETIQVLNFALNNITMYDSISGKNETFNQFCQSFCQINEPVRQFYNGYQILSDGDQNSRIKIQYPVSDMFGRQFSLQPNFFGVELFDQPDDAAKLLDSADGDPVLELNATRLVDPVSRITNVKSVKMITLQFRAEHKPGWTEGQVKKWEMDMVDIFEKRYNSKRLKIYAYSQSYVEEEMVRGGIIMIPYLVVGFAIMCLCSCVLVMIRALYMHQENVYKVILAIMACLTPLLACATALALMFTCGVRFASILCVIPFLVLSIGVDSSYLMIHEWQRVTKHMRETPRKKDSVGHRMSEVMAEVGPAILISCLTNMFADFVGSFTSSPEITLLCTGNMLSMWFAFIYQMTFYAGLMSIVGGYEFGSDEIDKNRMEINIAENRVNIARHHRPLTRQPSKFHEATQPIISDSLQKYTHLMTTPLVFVSICLAYLAYLAFSIYGITQLNINLTAQKLFALDSPLLELDDLRIKYQVPVYSMATVFVNTPGKLENPERLKRLNEFVREMESINGTWGEGWGELGTKYFIRDYDVFQQSFGSEDEDEDFMDEDKPVTVHSDDKMTYREDELKYFLKWPEYDFWQGFVKLRNATNSTDPEAEELDRFFFTTGYHGEKLTIWTQRGEMLRAWRKVVDKYPDFGASVYHEDGVYLDLIDNMPTDTWQSVLGTLVCMALVCFVFLNNLFTVAIASLSVLSICAGILGILSWWHVDLDPITMAAMIISIGFSVDIPAHVSYHYYQASIQEGPMSPPSSRLANCLSSVAFPALQAALSTILCVCSLLFVNLYMAEVFVKTMVLCVVLCNLHGLVFLPAILILLDSIRWACRPKGAAAQRPKQPKANSRQKQKNAKVQPEKSVVTDRPEI >CRE14034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:650112:652757:-1 gene:WBGene00061200 transcript:CRE14034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14034 MEPGPPKKRKVNNESYEQEVTSSVTSSSSSIQVVDLSVIPPSPQIPGTSKEAATPGQMDSKLRELLLSSPAHLFGHQPAAQPGGNNPQAAVTSWENWLCVLATNLSPAQWQGYWLAHCALFGEQAVPVHMLSFFQGQTSPSSSSSPSSTIQQGPHPNDAIQERYGTLFQILYIFGKQEKLNKNSMMMTTPDKVVLFLKRLFSYQLFNSLCLYFVYFYNHIH >CRE14032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:618210:623109:-1 gene:WBGene00061201 transcript:CRE14032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-1 description:CRE-KIN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8X1] MATRPRSSSVTPEDTKERRNAEQEEWRRRLSAAIRREDAGSVEAAEAEDEDDGCFVLHPLCRAGPLQMTVKSSTNTSPTTTSSASFQSAPSSPDSPDEIPTTSGGFPLASSFPFPIAPISAHNITSSITTGLVKKRRSSSSPEDICREKIPHLLLKTSSGVVVPLATRSQRAPALTLQNPPPSAAIRTAPPPGFSNHSVCSLDDSAEQIEELDDYYLRRPTTSASAPTSPIDHRLAVRWARGRSAAADTPVNYSAEFFWMKSHMSGHWTLKWFFQNTACLDDFDRIKTLGTGSFGRVMLVKHKQSGNYYAMKILDKQKVVKLKQVEHTLNEKRILQAIDFPFLVNMTFSFKDNSNLYMVLEFISGGEMFSHLRRIGRFSEPHSRFYAAQIVLAFEYLHSLDLIYRDLKPENLLIDSTGYLKITDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFFADQPIQIYEKIVSGKVKFPSHFSNELKDLLKNLLQVDLTKRYGNLKNGVADIKNHKWFGSTDWIAIYQKKITPPSFSKGESNGRLFEALYPRVNGPDDTRHFVEEVQEPTEFVIAPTPQLAELFIEAPFLPKCRGPGDASNFDDYEEEPLRISGTEKCSKEFAEF >CRE13947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:607490:609734:1 gene:WBGene00061205 transcript:CRE13947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aex-6 description:CRE-AEX-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M8X0] MGDYDYLIKFLALGDSGVGKTSFLHRYTDNTFTGQFISTVGIDFKEKKVVYKSSRGGFGGRGQRVLLQLWDTAGQERFRSLTTAFFRDAMGFILIFDITNEQSFLNIRDWLSQLKVHAYCEQPDIIICGNKADLENRRQVSTARAKQLADQLGLPYFETSASTAVNVEKSVDCLLDLVMQRIQQSVETSSLPLSECRGVSLDGDPNATNSYCANC >CRE13946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:600199:605848:1 gene:WBGene00061206 transcript:CRE13946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vars-2 description:CRE-VARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M8W9] MADANRPKTEKELKKEAEKAAKIAKFEEKQKKLAEQKAKAAASDKPAKEAKAKKDPSATAVPVDNTAPGLKKDVSSDIPSAYSPSYVESAWYSWWEKEGYFKPEYIDKLHPGANPESFVICIPPPNVTGTLHVGHALATTVEDTITRFNRMHGKRTLFNPGCDHAGIATQVVVEKRLKRERGLTRHDLGRDRFNQEVWHWKNEKGDVIYDQFRKLGASVDWDRAVFTMDPKMCRAVTEAFIRMHESGTIYRSNRLVNWSCALRSAISDIEVDKKELTGSTLIAVPGYEQKVEFGVLNSFAYKIEGSDEEIVVSTTRIETMLGDSGIAVHPDDQRYKHLVGKKCIHPFIASRELPIFADSFVEMEFGTGAVKITPAHDHNDYEVGIRQNLPFHNCITDDGLISNGCGQFSGMKRFDARNAVIEALKEKGLYRGKEDNPMVVPTCSRSKDVIEPILKPQWYVKCSHMAEKAVAAVANGDLQIIPEFHKATWNRWLEASRDWCISRQLWWGHRIPAYFVSFADGREQPLPEENYWVSARTEQEAREKAAKKFQVPEAEILLKWDEDVLDTWFSSGMWPFAVFGWPDNTKDMDLFFPSAVLETGHDILFFWVARMVFMAQELTGKLPFKEILLHAMIRDAHGRKMSKSLGNVIDPLDVIRGVTLEGLQSQLLSGNLDEKEIVVAKAGQARDYPDGIPECGVDALRFALLAYTSQGRDINLDVLRVQGYRFFCNKIWQAVRFSLNQFAEKPDQKPTFNIDLSKATPTDKWILSKLAKAVKETNETLKVYNFTQATTVTYNFWLYDFCDVYIEAIKPVLYGDNADLRQIAISVLHLCVDTGLRLISPLMPFISEELWQRLPRLPDSDYSSPSIIVAQYPLTERYEKYQDEKLEAAFEFAKEVVGKVRSLRADYDLTARTKISIQILSETNEDQEMLRDLAPLLATLTWSKSVTILSKSESDKIEKGSAHIACGSRCQVYINLTGIIDTEKEIEKLGANLQKNQISVKKISDIQSVADYEQKVPSGIRARDQEKKASLEKEIENITAAIAQLKALN >CRE13945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:596776:599113:1 gene:WBGene00061207 transcript:CRE13945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13945 MEEEGKMVREWRRLKKIDDLTYIGECDSEHPAARVFGGHVLAQAMSAAYYTAPEGFYVHAVHCYFIRGGEESIPITYNVKIIRDGRNFAIRYVEAVQHGKVIHLAEFSLQKLASVKDTFSLTPEFPAHVPGPDGLISNISGRHQKVAEGFDARELRGQVTERMAPSLEIRPADLNMFLHGTGGVNQKQYLWIRYKIPVDKSDYMLRHATIVYLSDLELVTTGALCFNDKMFKLQTSMDHSAWIHQYEFDINDWILYEQECVANSNHRSLIHGRLWSRDGKLIMSTSQEALIYKVQPSKI >CRE19889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:213918:218589:1 gene:WBGene00061208 transcript:CRE19889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19889 MTEEEISEMKEHILIEYEPIVYRNESRVSLPETRLRFKNKTNTPEIMQRTFDQFIRYCLGKAGGNLEKTRMSFGFFHEGFHKTQGFWINERTYQTFNGQVLFDELERITQSKEGVDIDDTFIIHMHVFNTFEGGARQKNTLFDEQLKIPTHVVGNGKCLPKSVAISMAFLASKENETKRLEWERMIRVKYRSLNEKLQLKAANAILEHAGLSTDQLVFNIDDLEKIAAVYPEYKFEVYSRPAYEKVYQIIKEFNIDGEKIVTIAFKKEDGVGHYDFIRPSLAYMKTSFCHKCKKKTSSTGHSQVCEAKCQKCGFYECDTTQIETIYCDKCNTNFSNQDCYNGHLECAYNSKKPMCDKRYTCRECFFRVCKDKMSQDEVHECEKRSRCMQCREMYDKTRYHNCCFQPPRKRFRESKMKSQNIYKILCYDVESIVVNSPNGPGNLMIRSIKKKIFKDYSQPQPIHKVNLICFKMICNKCVEERLECDCESGNFHYFEHVDPLEDFTKFLLYTKKLDGAYIIAHNGGRYDHNFVLSTMIKIFGIIPDYVSNGTSLIMADITKTMWRTQEHNNLKFRDSFRFIPMALSKMPKTFGITELKKGYYPYYFNHKDNYGKILDRLPAKHFYDPEHMKPEPLMEFEKWYEEHQNDVFDADQELLAYCQSDVEILAAGVAEYIKVTLFCLFLKKFWLQICKNLFNNWNPIMSACTIASYVHHILKFDHFGRGDIGIIPENGFPERNNSVFALKTLMWIEKETGIRIHHKLRGPEKMIRMTNGDCYFVDGFDETTNTVYEIHGCFYHGCPKCTNPTLQHPNHPGVENKAIYDGTIKREQRLKEANYNVISWWEHEINDMLKQNSEMRDFFNKCRHATHLLPREGMYGGRTQPYQMIVECEDDEELCYDDFNSLYPSVNIMFKYPRGQPIVIKTHFPPIVVGQPVNKKGLYLCSMLAPADIKTTVLPYKIPGFLTFPSCRTCIEKNQKAACNHNKVSDRYLTGIWTHAELNAAIERGERERERKTKEDISNLGYRLLQIHEIWWWPDSKWKTADYFVNYLKPMIQLKHESSDWPRDDMTDNEKDAYINQIARRDGVTLVKDNVRKADNMREMSKLFLNTCWGKFAENPVRTESKIFETLDHVSQSEYMSTQGYEVKWIEDWDCGRTLITRSSKTESVKTKPFTNVVIDTDSVIYKKKIGEPSPVEQLIGDGLGKLKSEIPAGYRMKKIVCMASKVYSYRLIHTETKEEKIVTKFKGVVLNSSTSRIINMGTMESSVRQFLDGQTNIILAPERTMRRSKVLGNVTTTPFVKQLKPVMDKVRVLPDGKTLPSGYYLNCPLIEDYPYC >CRE13941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:552274:557401:1 gene:WBGene00061209 transcript:CRE13941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13941 MSTLDAIVKNAEDIAIQEGEEIIEEVIEEEQVEWMGTTEVMEQEQGGYYQSEELLEHQIVDYDDPDAYQSFLPVRDGEAYFSLNLPDLLSKNHQITQENGAIVRLISCFNKKCQRQVSCNGYLYTVKDWVSDTNWTNWRCIHDHCFGEIRTTPDFNGIREVQEHSSACPNLDELQITIRIAVYDARLLAEFTDTPLENLYIAAVERMKTECPEAVLLFPTYEVLKATLEDHRHNKIYRKRFEMQKFKDKQRKMNMTPDEVLYAENSTGMMKFRRTKPFPMSMCNFCHEQLISTNLPSQDQLIAHLLYNHGRKLTIERYEFKDVNLFDHYLRELNSNSKHKMKRMGLADENMYYLCIHDDRLAKTGVGRASRLQQQICHCTAFIRIFDWRIVSKREGARITIDYCLYHEPSRHDDLDDIHFVRHHRNPYPTSLIPSKEFIDIYNPEMFIHDLEERRMRSQKLLGDVVTVVATSERGQKRPIEMKTYMPPGRVKASFGTRALAPPLAAQLAAGSTQPFVDGYTDMDSSPNRNRSKYRQPIRNYQKVEEPSGTEPSQPSVPGPSTSGGSNRERNVDDPSIPPPRIYISQKPYTRLTERQNFRDIYTFNAVCKVEDACLQLLNRLQSCQHARIGLAYREKIATLVRNASTDPGLTDGTTPEEINRSWVLQRPVRGRPRKRARIDEGDEDRERVERDIDEDDMDSDADDDRRHVGEYEEENIEENLKELEDESEVKEKKQKETADEHEEEEELKVPTPLSSPKPSTSTPSASSAPSKSSAALPLPFEMPRLTREETNESTTSSSTSSSAVPTSDITTRSGRARKLPARLLED >CRE14029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:550891:551985:-1 gene:WBGene00061210 transcript:CRE14029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14029 MTTKVEVPPSYDSEDVEQNAPASSPQNDSRYVSSRASPYNTNPIEMDCPHCQNHIVSHIERCAGILPWIIFAILAFLGLFLFIIPWCFCCVPFFLDQLLDVNHTCPACKKFLGRFSRV >CRE13940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:546753:550413:1 gene:WBGene00061211 transcript:CRE13940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13940 MAPNRSHPIPIHRSASHTPSMLVNAKKYLSDRPQLLDCPRCKNHGETEITFVNGFGTYLAFFFLLLAGILIFPLFALWVPFCVDTFKDAEHYCPSCRAWIGTYRRVGKST >CRE13939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:539045:545162:1 gene:WBGene00061212 transcript:CRE13939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndx-8 description:CRE-NDX-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M8V9] MRCEISRAEELKQKLDLTDLPSIPQKEQDAGVLILLHDDGTDELKVLLCVRSRELRRHPGEVCFPGGMMDDEDANDVRRTAIREAYEEVGVIESDDYIVLGNLPAFRARFGILIHPTVALLRRPPTFSLSINEVESVFWIPLSKFLDDTYHSTFPVEKFYMVHMFQFDSYPVTYGITALMCIVVAIGLLGRHPKFNLMSNLTVSDMMEKDLDSLEIIRHVYEFSSRKFENSKI >CRE14028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:529309:531536:-1 gene:WBGene00061213 transcript:CRE14028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14028 MMMTMANASEFGSEDHYDTPWEFLARPNSVRFSTADVRLSTTATGDAKVSPHGSPSMCSSSSFVNQLVQCGNSAVDRRKRDESKRRRPSDSEIYMEQNMNRVEAEKRLENRHLGDYLLRSRGEGSAALSLRATKGVVHIKIEWNGEKWVIGEGPLFRSISSAISFYRRHPLPIRGADHLVLKHQLKP >CRE13938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:527601:528634:1 gene:WBGene00061214 transcript:CRE13938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13938 MAVTLVSSNRSFKGRQFVYKHASTTTQCDMTFGVYIPDHETDEKRPALFYLSGLTCTHANFMEKSGFQQYASKYRFIVVHPDTSPRGVDVEGDSDSWDFGKGAGFYVNATVEKWAKNYRMYDYIVKELLEDVVPKVAPIDAARVGIFGHSMGGHGAITIGLRNPSKFKSISAFAPICNPITVPWGQKALAGYLGDDQSTWQQYDASEILKAYTGPCRIILIDQGAADNFLEQLKPETLKDTNHAGVIVRMQPEFDHSYYFIATFMEDHFEHHAKHFRSI >CRE14027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:524233:525781:-1 gene:WBGene00061215 transcript:CRE14027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14027 MASIEMHSFTRDMQPLMEEAANNFTEEKVNTAFGQVKVSIYGDRKDPKKVPMITFHDLGLDSESNFQNFFQFVSIAEFADKFCIYNVNAPGQEMDAQPLPENYQYPTMDGVAKTIENVADHFKLNQFIGFGVGVGANVLLRYAAQNQNRVIALILVNCCSGKSGWVEWGYEKWNASYLRKVGMTKFTVDYLMWHHFGRNYDRCSPDIVRQYRVFFQHLPNPNSLAAFIESYIQRQPLPISRDGTTGVQLKVPVLQLVGAGSAHIEDTVEVNTKLDPANADWIKISDSCGLVLDDRPDAVTESMMLFLQGLGYFPTLNVMKMTKKMQENGGGFAATTSSANEC >CRE14025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:519231:521696:-1 gene:WBGene00061216 transcript:CRE14025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14025 MVNRSVSAHLPTRGHTRTFADVVVGADYPRILSLRPGPQWQSNTTKFVASDGTPIRVDSEANSVPIRKDSEVKSAPIRDVSGRKCTTIREVSGVESATIRGESSILHSAIREELKSMCPPIRDESGVHVISSPGNGDGKLTSVSAASICEGSTTNAEVVNICKRFTVISDKSEHCSHWSTLPCVMSLSFADGPAWSCYSGADEEDLNEFIRSFEDKFALLGKDDKCKGNFLLAHLQGDARDTAQEVLDNNSDATFAQLVEALRARFLHPALSDRYKEMFRSRIMRADETVEDFYRGLTRLAKKIYNTTSSTIAKNEILEQFLYGIDKSMKIHVGLNKPKSPQAALDLARRVEALMPKPKPAEKEALKQAGQSSDYNGRIRNQDQHQQRSRSNDVRRESGDTFYCHYCNEAGHYAYQCPEKARKREARQQADSTQPRIGVAVCKKTNEELQQELKASNEQVEALKQRLNRLSALEYGSYYEDQCMTIKCPDQTNQTAEARLYETIETPSNSFCAKIPIKANDFSCVALVDTGATITVTSGIMCSCLGIPSPEPHQKEALVAFGNNKVEIVGSRMVTFSIGSYKIQHRVHFTAEPCTPRGQYDFILGTDILSRLPAIFDFRQAKLHIGKDVLTFNEEAKCQSGQCQVLDTNTTRTHQEVLESHSEHINVGSWAKEMESIRNQEIGPTKESKFSKVAKCQSTPKRKGTCYFCKKEGHWIRECRKKAKQLAATVKIQRTLRTSNRGEDPTASRSKGSCQKVETTTCNTYLAQEYKRLLKQVEGMQNKKLMVEQQPVKVDEDQEDPTPRSTFVNTDGSTSPTDSSL >CRE14023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:511331:516738:-1 gene:WBGene00061217 transcript:CRE14023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14023 MDNPDSTGEPSWLELFIDPAGISAALLDPEKKKRILKIEFANCSVFKKFSDLPRLLIQFTDKAFFAEREKDKGKLAIEELMLFERKSASMRLCAMATFAALNYDIDYILDNITRNELQTLRVLADSFYRIYTDKNLDASFGNWLFYRLVLSIDRRNRLTPPQPRATIASTLSNGQLMPTDPALLRHEKTQRTVQELKEHVLKARAFVTEMSEAAKDLIAPGPQCFLKPFVELAPKAMTAAFIGDRDVLIESPSVDFEAEKILIPAADVANKCLSELVTFLFTSGELPEARKHLHRIVRPKCTYPMVAIDEEVFRSYCQILNVQGTPYSVTPNTIRPFVYDLNTLNDESMRKSELYRYRGAQETTGQLQSVYRAENAALSVVDGQSECIRDAVKTTAELERFAKILKKRMDLLTDKRRRQIIRAHLQYLCGSIPDLRDILKTNGVDVSELRTLAVPSEHRPLERPPRLEQLANLLRGSDPFWSLMTIFDVNALKQALIELGPFWFPTKMHISEFLIESLEKRVNTPAYTLQRLLLAKLYQLSRMHNFQGFVESLSAYSVELGQDMNIDLTFESIHVKAMIGNERMPWEIEYFEATTQETSLIFNPEHIRTTDGSARMINQVGSKNWHCLSLMLNLGDHQVVFEKGGQIPIEIFKCVPIARMFGAFVKSYDELVTQKKCADGFWRTMTPKFVDSQPTRGLRRGHDAEMRIQAARKELVLLFHMFSLLREKRLVDFIIAYAVCLHNKLMLAQKSSHLKIHARLLSIYSPDTNSKLDITNLDDVRQLLQLMIERAYSISNTDPDTIRTYADFLYVEKDYQGAAQKYLEYFAANDPTFRLSTSSDVFDDTIINRLRICTAHSGFLTMSLLTCQWLQVGRKNAYQKAIQLLKNNETRDVGANCAEFVTDVTAVELLSQHYQANRMTKSLNTLYAGASSLSANQNVPGVLTKEEQKRRTCKLLTSLSSIYFGLHV >CRE13937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:506929:511139:1 gene:WBGene00061218 transcript:CRE13937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spr-5 description:CRE-SPR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M8V1] MSSEATSSDYVDDELRGEDFGPSIDDNALAAAARQARLPFDRPTEHELAFFPELWEHKTAVEVFLLIRNSTLATWQYNPQKECTASDVRNNIFPPFNSDLDLIQNVVHFLTRHGLINFGRYVRSTRITRFLVRDRRKVIIIGAGTAGISAAIQLISTGFDVTILEGRGRIGGRVHSFKTKSGQVMETGGDTLRKLEDSPMTTLLHQVSLEEHGVYDYTTIYVDGKPLNDDKIHIFLTHYESAKGALNWEAHQREHRDENGLFVSRQRAYENLMNLSERSTLIKYFNHCKSLEEVARAREKHYNQMKNLRNTALMAENRLKKLEEQGLLDNDPIMRRSLKRDVATSIQKFDEVSNAFEAADNHWKLLNEHPQAKQFMHPGSDFNTYNFMLGFEEYLIGAQLEKVQFSCDSAVNKEHGVAARLTEGVAELLLRITQRRNLDIHLNHKVVDIDYSGVDDVKVRVQKKDGEIEELTAAIVISTLPIGVLKKSIAGDARAPTFTPPLPAEKAKSIRNMGSGLINKCILEFDKAFWATGSRANNQSTQFVTVSPNIRTRGSLSIWSSTPGSKVLTTYMVGDSCKDSPDDVIIQRALQTLHKVFGNNCPRTPLSAHITRWHEDEFAFGSGSFMSLRTEKSDFDELMKPLKTSDGKNRVYFAGEHTSSSYAATIQGAWMSGARAAADIANDYVGFGFVDMSGTKNVKGEDEEGGDMHIDHDGPLPEGMLADGQQANGGVVNGEIPEAKKAKIDN >CRE13936.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:505448:506727:1 gene:WBGene00061219 transcript:CRE13936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13936 MCNFSFFSRLNTIFFHKTLFYLSRTHLGSNMADNWDDDDFEPEVSTLKRVEPAPEPVKPVDVPEKKAAAAAAPTTLKKAPAFAMESLGRELTAAEKEAIQKQNDLALARDLFGDDDGDEAKKYENITSKADFEYWGERVGQFLASRNKAANYGDMIGRLLGSITENLTPADIQKMITYLQQISTAKKTAEKIKAKATPAAAAPSAAGNKKAKATLKVTKGNDNMYDDYGADDFDDYDDY >CRE13936.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:505448:506781:1 gene:WBGene00061219 transcript:CRE13936.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13936 MCNFSFFSRLNTIFFHKTLFYLSRTHLGSNMADNWDDDDFEPEVSTLKRVEPAPEPVKPVDVPEKKAAAAAAPTTLKKAPAFAMESLGRELTAAEKEAIQKQNDLALARDLFGDDDGDEAKKYENITSKADFEYWGERVGQFLASRNKAANYGDMIGRLLGSITENLTPADIQKMITYLQQISTAKKTAEKIKAKATPAAAAPSAAGNKKAKATLKVTKGNDNMYDDYGADDFDDYDDY >CRE13935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:501864:504822:1 gene:WBGene00061220 transcript:CRE13935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13935 MPTQFIRNRSAFPHGTNNDMDDFQYQNGIFESIFFSKNVEKDSDFSSSSHQLPSTASGFSEPQNYQNYSPPPGKSGTTDPLAGALLGLSDVITKSLISHPCSVIRRQCQVHQHAGSLHLTPVTLIPVICNSVAKEGVQTFWKGALGSSVLWGLTNVTEIVLGDLLGLPRTFVMNGSTEKYWKHIVLKGVTSVVMTPFYISSFIETVRSESGIGGEDNKILDVLVKGVDRMRYFVSSGTGASRKFSIIHLAIPTAGFQVGHYMLQTALYNQFFRMAQRYVNRKSPSEKTTYHDFLPQMFAQTSSMVLTDLILYPFETILHRMYIQGTRSLIDNMDTGLSAVSMSVKYSGFFDCVKKVLETEGFWALYAGVGAVLLEYSLHQGLQQLVRACFDRGSELLRKATQGHPVVQSSQITPPISAKSSFNGPISSSFPSAGAAALSPMSTPRKHSTPPKDPTEFPTFGETVSQMGSPYHTQNRQNVFGSPPNRTRATHDSTQSLPPLQLNIRPSSGDPFSG >CRE14022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:500530:501225:-1 gene:WBGene00061221 transcript:CRE14022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14022 MPSTGAELLKVNDTCQSNRWWKTKQDKKHSTIKKVKTLKTSWDKKMEVKAKKEMVKAIQDNIRDRKVQERQEKKERKEEQEKRRLENERKSEIVQKITKIHKLKKTKKRQLRSIQMRDTTQVSK >CRE13933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:488598:489734:1 gene:WBGene00061223 transcript:CRE13933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-38 description:CRE-SPE-38 protein [Source:UniProtKB/TrEMBL;Acc:E3M8U6] MRNLVELGSPSSVYYTVFCCSHVIIAFFIFMILDLTLTLFSLFFELFVIFYRDVPDQIWAIFHGASLITMVSGFFAICVGQNIWKFVMEKLQDNPSAIIFFGCRVSLILAVTIARFIISINEFKSREEPTLQELLSLFQIIPVVIYTIGLFLVIRLFSFSTGRYRGYHDVDEDLRLEVRRKYEEFEASIDAAPKED >CRE13932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:486926:488452:1 gene:WBGene00061224 transcript:CRE13932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-impt-1 MTDSEQRIAEIESIAAIFPDLLEESTEKQLIFKFDRNISMTITLPDDYPSTSPPIFELNGPYLRREQKEILHNNLNDIYVENIGFPVIFNWISLIQDFIQDLPPDEIETSESDSPDDVANSVIPEEIDDMNIQHGEVFTDRKSAFQAHLAVVRSKKDVDRVMQILLSNSKICRATHNITAYRYTGEVNGKLIHYHDCADDGEHGASSKMLELMDRMKADNVMVVVTRWYGGIHLGPDRFRHINNLTRQILSDNNYGLKKS >CRE14021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:483290:486566:-1 gene:WBGene00061225 transcript:CRE14021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14021 MNNLSVTGTNGGLFAKPTIGRSEYGRVKVALAPGKGFMDWLRLTANKHLAKRITGGVDHDELMKHYTKEDCWVHLFGTVYDVTKYLDFHPGGIPELLRAGGRDATPLFNQYHAWVNYESFLKACVVGPFIGDLSKLPPPLSPTTSDDTNKLGVPSSLGSDYFDGGFGSRVETLEHGISIENDEWTGLSEPNVIVSLTPVSVKTSINTRGEENSEEHARLRVLIHHFWQSAMEFEFESTQRLTQQKYELIVKKNRVEIRFPALENLEDVLNREKCKIRRRPGSSYHSTKIIDLFRLNHDTLVFSLELPEYTTYRIPLGYHVGVKVRKGKGNLYRPYTPISNPTPHRIDLMIKIYPDGICTPSLEKLQIGDDLVISDPIGDKDFTGWTENSSQLILLSAGSGITPMIDLLERRIQKASNSEVYMLMFNKTEEDIQTVTPDGKTWKLGEIWKTFEGDEKIVLRNVLSAPKAEESEYQLHGRITPELLKTIIPTSSESRRAFICGPDGFIVAAKNALDSLNISSDHVHIFKG >CRE13931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:458389:482999:1 gene:WBGene00061226 transcript:CRE13931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13931 MSIHRRRQLPASPDDIATGNVIYTPMTPQIMRRCHPRLQEVRSFDPALVSQLRNESSHRELLTGDGYHLCVDMRNIPARSQSFKHRPKPMVEVRRMAVDDVGPHERRRSTPTVSRRPSLQKSRIMEPRTDVWPEAKNSGLEERFLKLPDSEDYTRVRQFKIDEKGAVVSRGDSFRRKRTPTYKSDKSPSPFPVSVSTDSARGSRSESEASEPPISDELNKMTLTDLTNASTSHKTYKIYVVGDTGTGKSSLISQLITSEYKNAFADEIQDYENTVSICIGGVECDLVFFESDMADPCWLTNEVHAFLVVYSIDSKSSWKQAMLALEMIRDRPGTRNLPMLVAGNKIDLERKRTVTKQEVRAAKAAMGFEHFEISVALDHDVDDLLVGLVAEIQEAFAPESVLQKPSPRHHPIDDFHSAIRRYSQRKKKAPLNDLEGGKCSVLSPTGLFAKFKNWRRGSSPRIETN >CRE14020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:453411:454302:-1 gene:WBGene00061227 transcript:CRE14020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14020 MTPRLLLFLSLIGVTVSIIIGGGGGGGYNDHSDHHSHSDSSDSSDSHEHRPHPHRPRPPRPQPGRPPRERTNCPANWLLFTRPEGNWCAQVGRGLVTLQTTNKLQAFVATLGLDQAEAQCQALGATLTGLQSSLERQRLAEAARLLAVQYDIAEGAMWVGGRAKPECTSVSACPDSRNSFYWTDGHTQNGTDGFGWEVGNPMLEYHYLDHSRGISGCATLVFARSGGVVYFWRQFVHGLLDDIWCNGQARMYACGKLAT >CRE14018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:449510:450334:-1 gene:WBGene00061228 transcript:CRE14018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14018 MNPSLLLFLSFIGITVSIIVEGGRGGGRGRYDYDSDSSDSHEHRPRPHRPRPPRPQPGRPPRERTNCPANWLLFKRHQGNWCVGTFVHPTMIGVGVSEEQCKSHGAKLTGFQSSEERMRIAEAGRQLVIQNNLVKPSVWVGARNKPECGSRSACPDSRNSFYWTDGHTQNGTDGFGWQAGNPMLEYGYKDVHRVYGISGCVVLSIGRNGVTAYEVWKGFLHGLLDDTWCHSATRLYACGKKAT >CRE14016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:440417:442032:-1 gene:WBGene00061229 transcript:CRE14016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14016 MNILLSVLFFSILSAHGYELKMMLIYGESVENTGSPSSELKISWEQCYSKCYGMGECMIAFYYSSTCKLYDSKTIKINKLNSAANKLLAIKVGILTQNLNFSFLKRYLENGTCPLTSWTSGTVSDLWIENEQLHNNTFIATSNSSITFSRSTFKCENGTRLFQRDVYYVCIGLQLFENPECNDQPSAINMCEQGGWLSITGPRGQEQYDYLYGQKQFYSSSERWKAQTIFWVDGVSGKLMDDTIGGYYKIYPCSGNPQNLCTYIGHVHCTIGISHDLCGRKENNGYCWRGAACRKGLTQIN >CRE14014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:436257:437601:-1 gene:WBGene00061230 transcript:CRE14014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14014 MNIFHFILSFTFLLIISIAGLQWKMMLIYGEPVDSSDSQVFQSSWEQCYSSCYGINDCWIAYYSSAGCQHFEFVDLEIRKLNSSENKLVGIKRFLGTEECPKRELSPLVVDTAGSVSDKWTINNTLYNVTIFSNGSTVTISRRVYECDTGSKLFQRDSVYVCIGLRFFSSPSCANHSEAVSLCQKDRWLTITGPRGLDEFNYLISLKETARYGTLNSLIWVDGNGVNMTDDTIGGQDQYPKCNGFGPSLCKYIGQGDCDKVTVARCYMKQAQEDCWRGAACRRELDIIL >CRE13930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:431468:432403:1 gene:WBGene00061231 transcript:CRE13930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13930 MNPSFLLFFSLIGITVSIIVGGGGGGRGHFDDDSRHYSGGRDSSDSSDSHEHHPHPHRPPRPHKPHPPRELTKCPDNWILFKRPQGNWCVNVFTNVQTWQSAEDICKLYGAVLTGLQTNEERLKLAEKARLITAPLIDHSYVWLGAKRKPECPRLGVCPKPDTFYWTDGATTGTEGFGWVSTQPDGQVYGAYGVQACAAMLVYSSGYNTGGTNQNIHGQLDDAYCQTQPKPVTLAACGKKAT >CRE13929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:429529:430191:1 gene:WBGene00061232 transcript:CRE13929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13929 MNPSFLLFFSLIGITVSIIVGGGGGGGYNDHSGHYSHSDSRDSSDSHEHRPHPHRPHPHRPNPPRELTKCPDSWMLFKRPQGNWCVGLYNALIDQLSAEQRCRALNATLTGLQTNEERMKLADAARALLLPTSVTDAAIWLGAKRKPECPRAGICLPKDTFNWTDGHTTGTDGFVYFPGEPNGKIDNAWG >CRE14012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:427696:428475:-1 gene:WBGene00061233 transcript:CRE14012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-92 description:CRE-CLEC-92 protein [Source:UniProtKB/TrEMBL;Acc:E3M8T2] MILPIFLFLSLISATVADEDYYGGGGPPGRPRPPPRPARGSRCPNGWMLFKRPQGNWCVGLYIGLVTQPVAETRCRGVGATLTGLQNDQERKRLASAGQQMALKHNFGDAAIWLGARRKGSCPRAGICQPRETFFWTDNHTTGNAGFGWSPGQPDGVSSYTLGVQACAHQFVFASGTTHPRWPGIPHGALDDQYCQEGHINPNRKLFACGKKAV >CRE14009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:423158:423966:-1 gene:WBGene00061234 transcript:CRE14009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14009 MDAFTYRVHEKSDIKRELVKRCQRWFEDRPWYEYVANWAFHKLMCVDPVKHIRENCIKHMEGSSCDWWIRLALEEFCNKYGEFYECNNLPTIPPPRSTTVSTSTTTTTASTTTTRKTTTESNVTNMIMFGTIGASIMFLLFSLVLYLAHRRRQIRKEKEEAANWGFDWMGSSEEDSKNSGSTGSGWGTGWTSTAKGTTGTTKTKKDKKTKKTGASTKTDTTGTWL >CRE13928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:421428:422503:1 gene:WBGene00061235 transcript:CRE13928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13928 MSYKTCQEAADFHYRRCLTDRFYEMNLTYAFLAEFCKNLVTTTPGPSTTIAPSTTTNPDDIKKFLSKTSNLITVGAICGIIFLFVIGVAIFCCIRKRRQRKKEEAEGWGIPGWSSSSTTSGSSTKSDVESSAWDFGLTDNTSNAKTAKEKTNMLTALGGGGTAEKSKKLKKSKKSEKKKKEKKPAPADPPVVTPTTTPATPATTPTAHTPTTPAPASAPKSGFAGFPALFGGAAKKSQMAAPKPVENEKHESTGNTATMRPLVWNKQAAENVYY >CRE14006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:415785:417250:-1 gene:WBGene00061236 transcript:CRE14006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14006 MFLFLVLCLIPIPASSDVTLKMIVMYGSTNNVSSMSQTRTSWENCTSLCYYDNNCLLAYQTSDICRMFQSGNITINKKSNNLVAFKLNSTDCPTNSSTAIVPISTEWTNGTYIFRKGITSSSNAWNFVYTISKCPVNSIMVTRKNTIVCVGIRIFSDLSPGNYTRAQALCKGNGGYSITGPSNPTEFTFFQSRSNLSLVQCNCQLFTDLSRTALSPLTQISNNENSLWVDGYCNATGVYRFDDDTHEGSGGYQFYTGEPNDGARAALMLYKSYQLGDCL >CRE13926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:414128:415166:1 gene:WBGene00061237 transcript:CRE13926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13926 MSRHNRGSPYYHNQPPVVRRHHQQQLPEERDEPIDVPNAIATMPVRITGYRPLEPASALQSIAASNCLMVVQCLEPIEMMTGIETPNRYIVHDMFMRRILYAHEESDYWDRSCDRNRRSFDLHMFDNRRQRIMSSSRYGGGSCTCSPDVLETWHRGNSIGLLSRDMFDYRFYLRVAGCPTEFCIVAPDSAQSNPACRTRHTLPFPIYVQGGAKVGEIVRLDPGYLEWVSDADTYMIHFPVDMPVIIKMLLLQATFLIDFTYFEDRGQQGNGAVVVY >CRE14004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:410754:412675:-1 gene:WBGene00061238 transcript:CRE14004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14004 MRLSSLLLFFLCFSLIQGHIRRRTFGNKKFIHFSSSSSFSSSSSSSSSSEDQDSGSSETSTPKPRPQCEEGWYTSYRPQGIWCMKIGIAHLTYHQSQSQCQTLGGVLSGIQNDAERQYMVNETVRQLIPTGISIAGLWLGASHNAGTRTFRWNDGNTQGVEGFFYGPGQPNNGNGDPRGPQNCLQLIVMTPAYWSAPNKWAPFTNTIDDYWCHMAHDPPTRLYVCGKRGPPDVTIG >CRE14003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:407126:407946:-1 gene:WBGene00061239 transcript:CRE14003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-100 description:CRE-CLEC-100 protein [Source:UniProtKB/TrEMBL;Acc:E3M8S0] MSHLKLLLTISLLFLISTSSARDFGDDSSCESSEEHGGGHGGRPRPPRPPQPTQRPDDAHRPRCAQGWFTSYRPQGIWCIRVGIGKLDYNQAAAQCATYGGVLSGIQNDWERWLISNEANRQTLAYNIQYSGIWLGAQRNPGSNTFSWTDGHTTGVQGMVFGPGQPDNKNRDNRGPQNCLQLIALTPGFWNNPGSQVFVSYQSGQIDDYWCDQVEDPAQRMYACGKLGPRE >CRE13925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:396433:405649:1 gene:WBGene00061240 transcript:CRE13925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13925 MSDRKEDFTSINYTGFGGDEYNLQTGIVEASDPSYNTFLDDNNPKVLTTMRNMFSERKSDDYELVYHNFSENHPIPTRNTQNLNQYYSESHLNQQIAQNQHVYRIIHHNPHPIRQIQHFVPNPQPGPPEVKEEDDYEEEDHDEDDFMEDNRIVHHCPECSKKYTSERRLKHHIEVHKNANAYKCPKCGYCYQSPDSLRRHWKTIEINNESVHPSDYPSSSRLLESSKDTIGNEYSDRFPRKMNLEESSGGAGPGPDTELIRARAAAAAATKSTPTSTSSPLQRNNAQRQLPSYSERFSDLRASFGLKEPLPGPASWFKKKEEKVSDRIPQPSPFSTKSGGSGLFGASGVPSGAPAKPVTFNKALEAVGSSNRPSGATPKPPGTTSGAPGASGASGVPNSTSGTPGTPVLPPRAPPGLPERKPQPYIPLPKKPTTTSQAPKRIPLPPGSTRYAPTNQPIGTGSLLPNPNIPSIPVYAMVAHIQKSNPNFDPHGAANRDFPKPTPFTKRKPLPSAKKPRIPVRIPIQSHPIRPMTEAAAITAEMDAYMDMDIPEAVGGAEEAELEEAELSPEPEMEPEPQPTSDDLYDIPFPSPPPPMPPVSRLPDGWQADPRVFDVAAVEQKMRREKEAAERQLDKKMRGKDRKLGIKTEKMTDEDVKPVLKGRSNKPYTLPQALSIQLDSDSFDDDMDFPPSILNSRKRALPLDSDVDYANYDNMDYLSSPEKFPEKSPEKSSVPPPSRPMGSVIKPIDGYTPTRKVFTFQKWDPANDKPLPLPLQRPQTTKSASKPQSSRLQTTKPDRQDLADYDDTDMDYPPPPPPLQTTRTAKPLTIPKKTVGEAASYTEMDETLPPRTRQPYFNFNSSQKKTTKGGRPVTVELPNDCKLIRYNWMVNDQVNVILVPMPKDSSEKELKALLPGLLNADEMQLYGEDEDSFEIPENDYNRATRAIYTDVTHDPQPILVNPKQYQRIVKRREARGKLEKIGRLRQGRQQYLHESRHIHALNRTRNEDGRFDGARESSDTSTSSTPPPSSRREANEQMGDRRGEDYADIRPGPSATKIYKSAPKQPANSLPEIRNNHTPSTIPPTPAQNPSFKTPMGVPKIDFRLTPSAHPTNSKSPIVILGCEDRSMIPPSPPPLPKVYPPVQNIRTVPRQKFEPAKPRMEKPQPRI >CRE14002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:393787:395646:-1 gene:WBGene00061241 transcript:CRE14002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsy-1 description:CRE-RSY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8R8] MDNWTEYHQAQPAENVDWAQLAQQWMAMQKPEEPPPPHPRGNHPHHQQDFAQNHQIPWHNQPPRHHYPPQNFQNQNFQNFQNQDWGDWSSSGDDDQWRQQAPPPFHGGPPPPRGPPPPQHHGFQNGFQQGFQQHGGPDTWRNHQGPQPLFPGPPMHQAPPPGPPPAKSLFPSAASSSAPPPPRPLFAAAAKNPPAPPPPTFFGAPAPRPPHLHFFQDTTRHQTAHEPSPPPPQAVPPPPPIAMVASGAYAMDKEARKKLPAWILEGLEKAEREKQKQLEKEEKLRRAEEEKARRRAEAGKSKFDSSSDEEEENQKGNGDVDSVAEESEDDTEERRELFVRF >CRE13924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:385776:391743:1 gene:WBGene00061242 transcript:CRE13924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13924 MRCRNIIIYHIIFFINPIIAILNTSVYTSVYKQSPVTHFLFWHFYFFSSFIFPAIFYPPPLEHNMPWFYANIYNTLIAGYFMLQIDYMPRIQQLSNIQFGFVGSLLSSWFMMFAYITVDLDGYFVISRCAYHVSTFTLLYSFLMTTSYASNVYIDLPSENRKKSKLFFGILHVILGGIIVYLSTRITVQGNLMNSPEDDGLNEFGLPRKPPMTPPGPAPISDDEEEDDGRERIGPKTPPEPAPQSSQESDGEISSDDGELHQSVPQTPAKQTPVVVAKPPPRPQYHHKPRPPPQQSKQRPEVAAKPRHDMSRELSFPHLAMKKSLEMLELNERNGLSITDDQLVDLVIDAIQIDKSLDKLIDKRSEMMREMTEIRDSEFQKIKRIHGRMPRHMQDVIQFDGQNVLISNEPPMMAPHRGGYGPPPPPQWAVPPPPPFTAGPPPPFVAPPTFSAPPPMAAAAPPPMFSMPPPPLAFQAPPPVIAQEYFTPPPSKKPAAINLSNMLTNALKAQICQVQTSASTTSTPTKQSVPSLMSINIPGPSGSH >CRE13921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:380737:382260:1 gene:WBGene00061243 transcript:CRE13921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13921 MIHPVNTSPLAKSKIDSERRIKLETRNILTKIVFYVINPLFLLLDGATPYTAVYKQQSPFLHYLFWHFSVFHLVKSQCFFYPTNAKEPNWPWCLTSFYHAFIIGAVVCTLENFMPLIQKLWMMQIGFIGALLSSWLLLFAYITMDLEGYFVISRCVYHVSTFCLLNFFVLTTSYASNVYIDLPSENRKKSKMIFGILHLILGGIIGYLSTRITFNITICLLLVILSYMFSIYLYNYLTVNSYLLCELRWYKFEFQESRGIICHVIRRRRHLSNPSEILNSSSSENGYFQYEDDIQLDQKYYNEYYRLR >CRE13923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:383478:385056:1 gene:WBGene00061244 transcript:CRE13923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13923 MIDSVESEDSDMKRNSFKRNYELEEKHLLWYLPFLVINPIYAILNRGTAYTSVYKQSPLIHFCFWHFYIFSTFVLPTTTFYPPPVKDNMPWFFANVYNVLSFYITADFLLSKKMPIIQQIRDVQFGCVGSLLSSWCMMFAYVTVDLDGYFVISRCAYHVSTFTILYSFLMTTSYASNVYIDLSSENRKKSKLFIGILHVILGGIIGYLSTRITFNITICLLLVILSFLFSIYLYNYLTVNSYLLCEHRWYRWEFQESRGIICHVIRRRRHLSNPSEILNSLSSENGYFQYEDDIQLDQKYYNEYLKH >CRE13919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:373851:376044:1 gene:WBGene00061245 transcript:CRE13919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13919 MRNSWEPLDRQTRDRLRCRNIIIYHIIFFINPIIAILNTSVYTSVYNQSPVTHFLFWHFYFFSSFIFPAIFYPPPLEHNMPWFYANIYNTLIAGYFMLQIDYMPRIQQLSNIQFGCVGSLLSSWFMMFAYITADLDGYFVISRCAYHVSTFTLLYSFIMTTSYASNVYIDLPSENRKKSKLFIGILHVILGGIIGYLSTRITFNITICLFLVILSFMFSINAYNYLTVNSYLLCEHRMWKWDFQESRGIICHVIRRRRHLSNPSEILNSTISLSSQKGYFQYDDDIQLDQKYYNEWFLRW >CRE13918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:369133:372678:1 gene:WBGene00061246 transcript:CRE13918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13918 MPNQVIRLDDLAYYKVRVRQEGEQHPTEKHVSECLFFIVSFIMIFSQFIFNAFFCLDLKISEFTRNEDSMVNWQEATLILYGSSFLSKFVAIPWISKQTMAPSLVMVAVTKSVLVLAVISENDICRRVAFAVCGAFENSLQTNLDANRLFSFLPKNYRAFCSEMMTIIFGYFVSVWLITVGKSELLWKMIYAAICILCFLLILIVSVVFGHYFLTMIDYVEPDDSDIKMNGFKRNYELEEKHLLWNLPFIVINPIYAILNRGTAYTSVYKQSPLTHFCFWHFYIFSTFVLPTTTFYPPPVKDNMPWFFANVYNVLSFYITADFLLSKKMPIIQQIRDVQFGCVGSLLSTWFMMFAYITADLDGYFVISRCAYHVSTFTLLYSFIMTTSYTSNVYIDLPSENRKKSKLFIGILHVILGGIIGYLSTRITFNITICLFLVILSYMFSINSYNYLTVNSYLLCEHRWYKWEFQESRGIICHVIRRRRHLSNPSEILNSSSSQKGYFQYDDDIQLDQKYYNEYLKH >CRE13917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:362377:365933:1 gene:WBGene00061247 transcript:CRE13917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-6 description:CRE-ZTF-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Q9] MGPAETTPERVSEDLMQAVTCLKRVMTGMKNCSDVHDEESPLDSTTVICTLEKITGALERCMENNKTLNDVLSGKMKCTTCGSTSVGFLSDCHDSATSTTTTASHRSSEEPPRHRKTSGDDDEDLDEVCSSIGSRSIRSVSSSVNQDDVDDAQNQTILAVEPRTEGSKTPEEEEEEHDLVMKTILSSTTSTSTSASSPYRKSSKQEENSKEEQEETPSSIDSNLLDQFLQNSLLGVTPDVLKGIMETTPEPPTTTTNSEENEEEKDQNALLSSFFQILFANQQNGDSPMLEDVSENNTDSSSQPSPPADPNNFDSLAMIETLLAESLNQSDQSAESKAAAAAAAARKRKSTPMKVPKSENGAGYVCPMEGCNKIFKEKGSVHRHFVTHIGMRFNCDKCKASYTQKHALMLHQKIHANPDAYQCRGCGTNYTTQNGLRLHRQRNPQCTEHNSSASMSDFNSSLSEVLAMTKASTTPNKQMVVAP >CRE13916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:340026:341871:1 gene:WBGene00061248 transcript:CRE13916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-5 description:CRE-SRI-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Q8] MGSDTWICPSGPPGYYLKILHLIGLVSVPINFLCLYLVWFHTPKDSKFRYCLAYVQIVAFLVEVDMSWVCPGYYLFPMMGGYNIAPTNQIFTGHQNTKFKLNKYLIYTVIATCHTFPFVTAFCLLNSGLSTDQMAVLIERNWPKCIHVLNNRGFVIYDPSGNVWSAAVGLAAMAYISVFSVFGAFLGVHTMYILQKVRFHLSRQTYSIHKTAMINLALQCLVPTFCVIIPFNIIFLVVLNDWWQWQEFSTNLLFVMAAHSMVSSAILILSNRRFKNLIAEKILIM >CRE14000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:337837:339579:-1 gene:WBGene00061249 transcript:CRE14000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsr-1 description:CRE-RSR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Q7] MDAGFFRGASSEQDGRFSDKEKKLLKTMKFETQLEQKIDLNRCNMDVIKPWITSRVNEILGMEDDVVVEYILSQLEVKDLNPKLLQINVTGFLNARRAREFVGELWNLLIEANASEDGIPASLVNKKMAEMKTNDREDKGDAREDNDWTNRYKTLSNGRYTGPAREKQVRDDRIPARALSPRKTPPRRNASPERVGGALSRSPGRRGGSPPRRRSPPRRDGRSPPPRRDGRSPPRRDRDSRRSPPRRRSPPPRDRDNRDRNDRNDRNDRNRPVRDEKEREEETRRREIERKKRHEEAELRRKAIASVAAAKRSKSKSKSPSPRSKSPPRRRRSPSGSKSPPIVRRRRSPSGSKSPPVRRRQSPPPARKRRSPSRSKSPVVRRRGGSPPKRRGGGSRSRSPPVRRRRSPSSSKSRSPPPRKFAEKNKSPSPPRRPRQKSESDDEVVEKTLQKSSPEPKKRRPNDTDSDDSIDSEEEERRRKKAKKEKKAAKKHKKVKISAKNEPKSDKINEK >CRE13998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:332542:336190:-1 gene:WBGene00061250 transcript:CRE13998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13998 MLPLIILISILVFVLLFLFAVRSYPIPLAPKSRILLLIAHPDDETMFFSPTIRALTHAGHRVFVLCVSNGDFDGLGKIRARELSRAASKLGISSSDVICLDYDEFRDGDTWDRNSLCQIVMRHVEVLSADTVISFDSYGVSGHQNHSSCFEALQTAYSNGGVPRDVQIFVLDSIPLWRKYIGMSDALFSFGRSPFFYMARFRDVAACWRAMWAHKSQLVWFRVLFIFFSRFVLEKCQK >CRE13996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:327107:328411:-1 gene:WBGene00061251 transcript:CRE13996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13996 MSTSSTSQGEMGSSIKSKYDDDDTPASFSLLIRTSSDSCSGDGPTQKISVMLRDDEGRATDKQALRYSHTHPTPFQPGHTDLFVMTNQPSLGPLRCFEIHYDGKKEVCALPVLGTPWKYHTINVLHHENGRVYNFHSDDKQSTENVSVLVCNDDGIQVIPQRMGDPFF >CRE13915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:324419:326069:1 gene:WBGene00061252 transcript:CRE13915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13915 MTKIIFLFFFFLFATVFAIFRPSDSDGSCEDESRHHGGHGHKHHHRDDSSDEGGYGGGGYQDPEPRCPSGWLKFNRPTGLWCVKVFSGVLTQPDAETACQAQGATLSGVQDENEITQITAQALPLLPSQSSFSIWIGATRTTACSPCTPLTSFQWTDGSTTGTSGFLWNLLQPDNNFGGTQSCVVLLASTSLVIRDQWTWNANRLDDESCVGERGGALRGVKAYVCGKRGE >CRE13995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:302210:309555:-1 gene:WBGene00061253 transcript:CRE13995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-amx-2 description:CRE-AMX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Q1] MFRWCLLILCVSAQSPPSQQPVSANISSSTIQQQIYDVIVVGAGLTGLTAARNIQQSRPGLSVLVLEARGQVGGRVRYSTMQTRNGVEFLDTGAQFISPTDVELLALIQQLNVRTTQQLTCGNNTVFQQTRRRKRQLSLQQQWSTTLFSDLINTPELINNLTNTSISTMSQQMDAADSDSVNRLMQTFFDAPGEQVSQVQLALTCSSQNATAVEILRRFGHGQSLLAQGGMNEVVRRLADGLLIEYSQRVVSVNDAAYPAVVQTSAGRRFTARQVIVAVPIPTLENIDLVPTPEAPFQQLIQNYGPTGHAYYFTMSFQRATWRLNGRSGKVIYTSTSGPLVWLTTFDTTFASSCDNSTSASSSLWGIAHFSYDVPFETRKKLYIQAIMYSLRFADFSPLDCVDVNFATDDLAKGTIPTLRLNIPIDSLKYLNDFHTLYQNVHIATADISSNSLGTMNGAVHSGTAVSNYVLQMLSAADAQSNGVLRDTPVESTTPYIYSTSSHYPPSMFAAAAVVVPEQQNQTRIQNSPVMMAPNSQNSSAATTQFQYETSSQYPTTVETPTTTTMKHFSFGNLDNTTTADEPQAVLYDSPPQQAQPTLNYSTTFAYSTSSVMPPVVPIETTTLRHFSNGNLNETSTAQSPPAVQRDDPSILINQQQNGYAYTTSTHYPIQLSSNRNSGINSRATPSPEVVQQLQQVSENASNSTSLQLASSLTQLVQTLLTTLRLQ >CRE13914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:242098:301221:1 gene:WBGene00061255 transcript:CRE13914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmr-1 description:CRE-HMR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8Q0] MKSRIKDNNVIWSLLLFLLSNGVVTKTLLKLPTRAPTGWLISDLRFQNLIGDRENATLQPSIYSTCFQVDDGHRITTNTSISQLRGELFELFLNIQEDNFHRLVTLHLYVEPPPNNLTYHFPAKFLATVYQSTIYTDQPPGTSLVFSVPISMENLGKNWKNSKNPVISPISRVPDVFSIVPRGKKSIDILLTRNLESEDVRRHVFYLGAFTEDSGDVASQTKVIIDVADSGDVNFLLESKKSRVTFGEKIPANSTVFDVKKRNVSEPLHFQLSQPSRFFQIDQFSGRVSTTVLPVGYGIYHIHVVARNSRKQHSDAWLEIVVRRKSKNTSTSDEIQKTSRSRRHLDDLIFRIKENSTRDEIENKKMRIPLFPGETIGEITVAKEWLKIDDDGKINILKPLNFEKMSSIIATVPINGLQSSRTQTIRIHVEDVDEPPSFVNSPLPMLAVVPLNPTIGRIVYQFVARDENGDGDSNVLYKEIDVIPAGSFSVDSKSGVVRTGWSKYERGETYRISVQAIDMTLTDNSTSQLSEVAILEILADERPPQFAKQEYEVTVSEDNLVDYSVVDVKAQSFRSIEDGRSKGPIIYSLEGDTPDETKWFRIDPSTGIVHLTRQLDYDDQSLPKQHQLKVTAREDNRESHVALTIRIDDVNDNSPMFTRPLYTAQVREDIPLNQTILKVTAIDKDSGDNSRITYSLDNQNFSINENGEISAKVRLDADQFNERHFVYRFNVTARDHGHTNQLESTAMVHIRTENTNDESAVFLPTSQYTAFVAEDAQGGTPVIQIQARDADRDEVTYSFLDKNERSTQTMNLFTIDQHTGLVKLRHGVSPVDLAETPNPINLTVIVQDDGSCCVYPSKTHTSYATLLIGIEDVNNNKPEFPDCARYSEIAKIQEGTYKSDPPTIVKVEATDDDSSANGDIVYSLYYTQSESRKAFVIDRHTGILTPSPHVVFDRETRPREDVTVKATDRGDRPLIGFCQFSVEVVDVNDNSPQFERPSYETSVSRFESIGTSVITVFAFDNDAAHNAEISYSLEVDSTAGEEHQNDIDFFELVNRRSGEITLIKSIPMKTQKFIFNVIADDNGLPDALQSTAQVVLNVLDKQQKAPKWQLSPDCKSVITVAENVEMNKVILRCRAVSSGDSKKKSDVIYKLTASAGGQGQSKAESKFRQFNKFENGNEWVEVAIMEGLDYEQVNNYTLTLTATDMTSHVASTKTFVVEVMDVNDVVPQFTVDLFTGTIDEEMTPNEYLERTQGKPIVTVKAIDTDSDGPQNEVHYRIVGEANGEETKHFRIDELTGEIFPNEKFDREKVDMYILTVEASDRSVSALPGANGPNKDNVKVQIVINDVNDNAPSFEESKYIGRVKESEGEGHDVITIKAHDLDKHSNLRYHLIGAGGGRIPFGVRTDSGTIFVKEPLDFESSDQYHLILIASDGRHNATTNVYIHIEDVNDNAPQFEQLKYATTVIEEDVDVPKVLFNVRATDADQDEKSSRIVYRLEGQGADEVFRIGKYSGTIELIRPLDRDPPTGVPSWNFVVQAIDDDGNGLVGYADVQVRVTVVNDDTVVFEFQVNVRDINDNSPIFPERLYGFIEENREPIHSEGVYFMDVQARDFDDPTTENANIEYGIVRNKLINGEPVFRIDQNTGKIFAMRSLDREISSEREFIIEVRANDRGVPSREGFANVTIKVTDMNDNAPFFEKTRYEGSVDETAPIGAAVMSFSAFDADEEAKDNVFTYQLAEESEYFYVTTDKDSKQSSVGVLRVKQLYAAFSREGDNDQILGRCIDHWTTRTPRNATVSHFESASPTDDTMQKRRCTWHSSIATTTHHTFTEPPSTVSEKTCHVAQSSDDTRRVTRMPATRQGRGYFPGLWVTLFMASLFVIHAAEAFTDLSLPFGLEPSVAKSRFSSLVGGVRARDIHVFVMKNISEDTPIGTVLETFKAHDPSNPMYNFSFRINRQSDPKRQFTIDQDGTLRVAHSLDREDIAVYNLIIEAYDNSNNIGRQMVAVYLQDVNDNGPEPYTVPRPCIFRENTPVNQLGTCEIRATDRDTAEFGPPFTMELSPNFKYSQYLNVVFNPNGDGGNGSMTITPLQEFDREAPVPGKILEIPLVLADRAGRRNEASVHVIIGDLNDNTMHDGHMTIHVNSYLGRLKQTVIGRVYVDDADDWDLGDKTFSWKDSRPGFELSDKGDITMAAEMAAGTYTMSANVHDNARDEDAVGHVTVIVSAVPQIAFDNQGSVQLLIAEETPLQLPDDFIRADSNGQSLMDIFKQEMAAYMGGDVTVDVFSVQVGIATLQTRDVPVLNVRFNARGSGYRDTAQLNGLIAAHRADLQRKLNVEIVGVGIDMCKFTQCDAGCQTLNSADYDGIVVSANSTVIVGVNATSRDDCTCPVWRAPPACQHSLCHNDGVCHNTNPGFFCECRNDGLKGSRCQGTTRSFGGNGFAWYKPMPACTSLNISFSFMTTQSDALLFYNGPLETQRNDTHIEYSDYIFIQLRGGRISLEVSMNGQSRSSLEVASTALNDGTWHDISVNQEGKRVELVVDNCRFLGAGADDSSCRAELYTPDDDERLNIVTPVQIGGLAPLSGQDYPQTIPRAGLNGCVRNLYVNGDQYDLATPAFEQNSEKGCRLWGATCDSNSVDSLNHCVHGDCFADVQGSGAMVAKCVCDPGWGGARCERKMEWIQFAQGAFIEYSPRIAFPEQVSDIELLFISGKVNGAPAELSFGTDSQQSYVSTNLESGQNGVTAAGKFDIGSGGKRARQELRVSEVLLKENASYWLQFTRNPTRASLSIDNAYTVSTQLDKGEPFSLQVNQITLGTQGQNKGFQFQGCIGTYRWSKQNLPLKRGGAMDENEESIVSISNMAGVQDGCDLRITCADLPTNYCGGSFACVDFWKGPFCTCNDGANAILGDDGQVVGCGETLAVSKLGISSPAIVLILVSLFLLALLVVMMVVYTKRSPGAFETVRPEEMNRDNLRPYAVEGGGEADNDQYSIAGLRKPVMPLDTGMGGGMGGVPPLYPPRGMGQQPKDDHELNSKIKDLESDQNAAPYDELRIYDDERDNISVVTLESIESAQ >CRE13994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:227258:230041:-1 gene:WBGene00061258 transcript:CRE13994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13994 MGQVSLILVISLLFGVVVESIDFGSSSSESCEDDSHGHGGGGGGHGGGHGGGNSGGGGANGGCPAGWRRFNRPNGGWCLKSFGGVLNQAAAEAQCKSYGGTLSGLQNLEEARYATRTALPLLGRASGSLWVGAKRTRACTGQVITASCTGLNSFGWTDGSATGTAGFVWSTKQPDNAHDKKQDCAILLATRQSSLTVKNVVWAANTLDDVGCVTSAADTNPRAVAGYLCGKAASR >CRE13913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:223929:226085:1 gene:WBGene00061259 transcript:CRE13913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-98 description:CRE-CLEC-98 protein [Source:UniProtKB/TrEMBL;Acc:E3M8P8] MRTLLLLLALIIGSVVTQREYRGGRGDNGNSNNNNRGCESGWQRFNRPTGGYCIKVYRGEHTQPQAEARCQSVGAKLTGVVSQEEISWITKSALSLISQSSGSIWLGAKRTNTCNSSPLSSKCTSMNSFYWSDRITIGTSGLIWNTNQPDNSHAQSQQCVVLLAARSTVIQDKWTWYSNRLDDVACGLPSGDNNGPRAIRAYACGKGA >CRE13993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:211324:218796:-1 gene:WBGene00061260 transcript:CRE13993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13993 MRPSTKPGKYRKNGFQKRKKCDDTIAEDDTEVPMKQKNSKEEKTKMSSAEHHVKKKSKEKERTSKEKRKSSGEVTEKEKESEGLKIAKAAKVFCKYLLDMKESSVSTYFDEHLASYKPPDQTTVEWEKNMTKNRSKEQKMYDKTRVQLKCQTPEDSEYINASSVKFDGSETEFIMTQYPMWDTVRDFWRMVSQRKASRVVTIFEPFCDEAIEEWNKVPSLMTSPATPLSGNEASSEDFKTQTRDQIVNNSVRCESTQLKSFFPLYSDHYMNLNGWLINTQRIEVDERDKTWVNTYTIEIVADGCSEAIYCRLFNCTTWPWKKTPSEEKKLLALVRAPWKDVHPATQPNDPVIVMCDLGLDRSATVVLTAILIELVLAGKPPDCDGLFKRMRDQRAGCFTMSMFYTYAIRAALSYLKIKFRQMADIPDDVKTMVNDALLKVPFVNTKTKV >CRE13911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:203488:210648:1 gene:WBGene00061261 transcript:CRE13911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhx-8 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:E3M8P5] MIKGYIRLIIKGYIACDQRKILANGATWQLRETILNATNTGIMRFLVKSAISICIFILIFKTADTDSRGDVVVVVGSTQKTEEVVAVNKTDVLGETIDANATSLEQHGAAIVGNVSEEKKRSLAIFFILFVIMLATLVVHMLIVSKLHWMPESLAIVALGALIGSILSYSKRDWSEIEALSPDVFFLVLLPPIIFENAYNLNKGYFFSNFVPILTFAILGTTISAMIIGAGLYILGAIGIIFEFTFFECFAFAAMISAVDPVATLAIFQAVKVESLLYMLVFGESMLNDAVSIVLAATALRHAKPSFNSLPASEIITSAFVTFTEMFFFSACLGVGIGLLSALLFKHVDLRKTPSLEFALLLIFSYIPYGFAEALDLSGIMAILFCGISMSQYTRHNVSPIAQITFRHTFRTISFVAETSTFAYIGMAFFTIKLNFAPWLIFWSVVLCLLGRACNVFPLAYLVNQCRKDVQISMKNQIIMWFSGMRGAVCFALVLYMDLDKEKKSILLTTVLFLILFTTIFLGGSALPFISFINRCYPNERQRKRRRTPRNKETSKNSSALMMSKTQEMSFFGSDDWGPKKSALDATSSAGRLMRSLFVRKFTAIERLENRDKLAALTKRALASDQMTDSDDVEFGGNRKDDVTSTRGRSGSRGTSSDVIVSGGGGGVSGEQHLLISSDSDSNEL >CRE13910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:196789:199386:1 gene:WBGene00061262 transcript:CRE13910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gsk-3 description:CRE-GSK-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M8P4] MNKQLLSCSLKSGKQVTMVVASVATDGVDQQVEISYYDQKVIGNGSFGVVFLAKLSTTNEMVAIKKVLQDKRFKNRELQIMRKLNHPNIVKLKYFFYSSGDKKDELYLNLILEYVPETVYRVARHYSKQRQQIPMIYVKLYMYQLLRSLAYIHGIGICHRDIKPQNLLIDPETGVLKLCDFGSAKYLVRNEPNVSYICSRYYRAPELIFGATNYTNSIDVWSAGTVMAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIQSMNPNYKEFKFPQIKAHPWSKVFRVHTPTDAIDLISKIIEYTPTSRPTPQAACQHAFFDELRNPESRLPSGRPLPTLEMDGTTGGVEVSTTSGDVAGPSA >CRE13992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:192104:193453:-1 gene:WBGene00061263 transcript:CRE13992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13992 MVQIISKKTVSFIGQKMATQIDEQLFSKYGFKVEQLMELAGLAAAQAIAAHYPKSNVAVLCGPGNNGGDGFVCARHLQQFGFNPSIVYPKESKNELMKSLVTQCETSSIPIQPTLPTNLHTFPLIVDALFGFSFQPPPREPFTQILKTVRASGIHVFSIDIPSGWDVEKGAPEDTADDVIHPHAVISLTLPKICMRNWTGPHFLGGRFVPRGLVEEMQLQMPEYPGFEQIVKVED >CRE13991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:191223:191966:-1 gene:WBGene00061264 transcript:CRE13991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13991 MSPFYLFLLFLSIPAVSVSAGDLIEVFGIARCPDTSRFVKNQLVPFYEKFKTNFSSDFRLDFHAVPTGGHDVKGHYVNKCLHGAVECALNKLQMCSKKHIAGDWLVVVGCIQGLKSYPAGLNCLPDTEEGKKIKECAESEEGEYLLNDENSYRYNVAPGSAWLPWIQVNGERNKYAEYNLKTVACGLKSMMDKEMCKDENK >CRE13990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:178767:183213:-1 gene:WBGene00061265 transcript:CRE13990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13990 MVYALASVEISYYDQKVIGNGSFGVVFLAKLSTTNEMVAIKKVLQDKRFKNRELQIMRKLNHPNIVKLKYFFYSSGDKKDELYLNLILEYVPETVYRVARHYSKQRQQIPMIYVKLYMYQLLRSLAYIHGIGICHRDIKPQNLLIDPETGVLKLCDFGSAKYLVRNEPNVSYICSRYYRAPELIFGATNYTNSIDVWSAGTVMAELLLGQPIFPGDSGVDQLVEIIKVLGTPTCNQLDETKCWKKKCGDEQAAALVLHKRIMECAACDFVENREVMIDHVRTLHSPVIGLGVPNVELVRHVANTTITELECEGFGKGGYRRTKVVRFIFAIYLKTADDTFVQVFSSERLTSQIVGSFAGIGPTFNPIFQHIFSMRQMGFGCVIWAKHFCKNDDTSAIFMTHPLVVDGSELYIKGSITGSKSPPDNQFYDRPPPAGIVHTLDSAT >CRE13989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:171555:172983:-1 gene:WBGene00061266 transcript:CRE13989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13989 MVRRYYKYVGMYTRNGTGPDLNGGEEGSFETGREQEEMEEDREDYSSMNGGDFIGRSIEAENFEAEVGDEDDFVCYGSEMKEILKSATTSVLCFLTSACLSRFTERDFQRFLACHPPPFRLEASSLWNFRKGQYSIRKFCNSCGVTASRDRCDRCDGKVLKFIRIDAFSQLVDLVDRNLPKIMKLRENLKSGRNSAHNLNAEYLKGRWKSETSKELNLTLLASVDGVTSHGNTKKKIWPITLKLADLPTSEMQKSINILLQGVIEGSENPSTVAWNQLLPTVFMDVEGRTGEAGGVRFNAKIVTFTADQPAKRSLFGMTAANSESSCLFGLCTGTLHKTRGAGGGTIPTSKGVLTQQDSRSRNNGFKDIPPFVVNRILPYDTIIDILHNFPEGLLEVIINGLE >CRE13987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:168024:170196:-1 gene:WBGene00061267 transcript:CRE13987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13987 MYKNSSGKSIFHKLADTGNSSIPHNPPKKCRTEDTIAPSSPIVNHVPIKKHVKSTTVSRDQKWLPAEFMKKTCVNQETGQALPREDCELSIHDKYFQFYSTPTTTPKKAIYVPIDEHVIDTLAVIMRAGFDLTNSDDVNTRLHSQITSTIYSFLEKRRMEFNQEHGKGSCQATAEEFNDHLLTSPNFRSNEGLQLVESNSLSSDEINPFYNDEENGLSTIHAYGDYTNM >CRE13908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:162984:163865:1 gene:WBGene00061268 transcript:CRE13908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13908 MKCCFIPSDDRIQCFCPLIESERLGKTSVNIGYRKVGRNGVDYALVTKYSPCRDLAIRAKVNSSSQVAVAATHSLSTKDGTNDGMMESA >CRE13983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:150811:158439:-1 gene:WBGene00061270 transcript:CRE13983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13983 MSPLPLLRLPFLPLQNIIQNWNIYEIYHFAKVSKRTKGVIRSAVRKSLDISLTNGERFSIYTLFKDNVIVPRRPETWVFELRDISERDNTEPDVYNEKNVYHILNLFSDNPLLLFLETLQLLFEVFDCSIHSVYWNSWKTEDMRQVIDWMNSFEKVSAIEKVQIVLNKDSLIKFSIFLETFQKKLVRFTIFSDMTISTGKQRVEPMTSNVLMVVPTQRPFLILKLPLLIFDAITKIWNPFELYNFSKISKKTKSLAKSISKRPFSLSLIDWAPFEVWLEFGTKDSDRWMFRPTSIQSEDKYLIENQIHRYFRFYSANPFEKSVEVLEQLMDIFNTPLYGLYLESKTGRNVVDYLLRYANELPQESYQTLHLSCSKLEDIHHLLETNKKKVDSLSVEIYNAEDPKLKQLSLSRQLYNELRISNLQMESSYLLTALDVIEVNLFNSELTNQYLNSFLKNLIAGKSNSRLKKAFIRTHDVINLREILDGIPFVKRDPRTTKRCIEIEYFLGKELSWIFGGYDIQLNDGRTATLQWHKFLRESENSTVPLRWIQKYEDVNEMEDNVDPEADENEYHEVEDGEETGRFTCSSYLKSLTISVW >CRE13981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:147459:148741:-1 gene:WBGene00061271 transcript:CRE13981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13981 MSPLPLLRLPFLPLQNIIQNWNIYEICEFAKVSKRTKNIAKVATRKVVNITLYSYESFVIDTFFTEKGIIVPWNHCPQGWRFELKDISERDNTESEAYNENNRTHTFTLTSDNPLLLFLETLQLLFEVFDCSIHSVYWNSWKTEDMRQVIDWMNSFEKVSAIEKVQIVLNKDSLIKFSIFLETFQKKLVRFIIFSDRRGETLIDEIPVKYSNLLEIERIDDHSRAKWVNLSNLLSMNCKTPNLGVSLLSNQDLNVFLRSWKEGRSNSILEALRVYVPEPEDWKTVLNGLGAVVRHPTQVTRRYIEKVWFYGGVDIQRVDGTIGTVMWTHYLGRDKHEKIPKNLLEAFEKTKQEWVGTDSDVVFEERGNEIQVSDEEKVIEQYIPTQNCFNFSFVVWK >CRE13980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:145374:146629:-1 gene:WBGene00061272 transcript:CRE13980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13980 MSPLPLLRLPFLPLQNIIQNWNIYEIYHFAKVSKRTKSVARAAVRKPLDISLTNIECFLIKTSFAGNSGIDHRGPETWLFELRDISKRDNTESDVYNENHVYHTLNLFSDNPLLLFLETLQLLFEVFDCSIHMVYWNSWNSEDMREVIDWMNGNDKLTRIETIQFNLNTNNQWALALFLETFQKKLGRLILYSEVSDIAPVNFSIEMERFSDFGSARWVNLPILMSMNTCKKLYLGVSLLSNQDLNVFLRSWKEGKSNSILETLRVFVPGQEDWKTVLNGLGAVVRHPTQVTRCYIEKVWFYGGVDIQRVDGTIGTVMWTHYLERDKHEKIPKNLFEAFEKTKQEWVGTDSDVVFEEKGNEIEVSDEEKVIKEYLPENCFDFTFVVWK >CRE13979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:141691:144590:-1 gene:WBGene00061273 transcript:CRE13979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13979 MSPLPLLRLPFLPLQHIIQTWNIYEICQFAKVSKRTKNLVKLAVKNTAYIILNNLENFQIQTTISGSGGMSLWDTWLFKMKDPSERDNTESEAYNENNRTHTFTLTSDNPLLLFIETLKILFEVFDCSIHSVYWNSWNSEDMRQVIDWMNGCDKLAKVENVRFMLNVNNQMTLALFLGTFQKKLGRLFLHGAVPPQGNTDLTPLNFSIEMERFSNFGSARWANLPILMSMNTCKSVDLGISLLTNQDINVFLRSWKEGRSNSILEDLNAAVPDQEDWKTILNGLGAVVRHPTQVTRCLKQYHWYYGGVDIQRVDGKIGTVMWTHYFGYNEKEEIPKNIIEAFEKTKQEWVGNDSDVVFEERGNEIEVSDEEKLLTNTIDDVYWHSKQRILIWRRVACGYGKQCHRLEGITRTLMSAMSPLPLLRLPFLPLQNIIQNWNIYEIYHFAKVSKRTKSIARSAVRKPVDISLTNGECFLIKTSFAGNSGIVPRGPETWLFELRDISERDKTESDVYNENHVYHTLNLFSDNPLLRFLETLQLLFEVFDCSIHSVYWSSWKSEDMRQVIDWMNGCDKLTKIKNVNFSLNANNQWTLAFFLGRFQKKIGRVIMHSDVSDIAPVRFSMPLEIERFDYFGSAKWVNLPILMSMNTCKRLYLGVSLLSNQDLNAFLRSWKEGRSNPILEDLRVFIPGQEDWRTVLNGLGAVVRHPTRVARCCIEIFWFYGGVDIQRVDGTIGTVMWTHYLGKKEHEKIPKNVIEAFEKTNEEWTGTDSDVVFEERGNVIQVSDEEKIIKEYLPTQCFDFTFVVWK >CRE13977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:137976:139261:-1 gene:WBGene00061274 transcript:CRE13977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13977 MSPLPLLRLPFLPLKNIIQNWNIYEICEFAKVSKRTRNIAKVATRKSVKITLYSGESFVIDTFFTEKGFIVPWNHRPETWRLELKDVSERDNTESEDLDEYDRHHTLNLFSDNPLLLFLETLEFLFNVFDCSIHSVYWNGWKSEDMRQVIDWMNGCDKLTTIRNVRFMLNVNNQMTLALFLGTYQKKLGRLILCSDSGGEIFRGMIPVKYSNLLEIERIDDNSCVKWVNLPNLTSMNCKTAFLGVSLLSNQDLNVFLKSWKEGRSNSILEALRVFIPGQEDWRTVLNGLGAVVRHPTQVARCYLEEFWFYGGVDIQRVDGTIGTVMWTHYQGRSDQEMIPKNIIEEFEKTKQEWVGTDSDVVFEERGDMIQVSNEEEIIEQHIPENCFNFSFVVWK >CRE13976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:122162:136938:-1 gene:WBGene00061275 transcript:CRE13976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-attf-6 MEDDAVEAQCTLESCANERNNLKLIIQQRRKTVQELENYSKIQAEAEVLRMKLVSTEATLQQFTAEKMDSINAKEIAEANLKQMQSDWEFLRKKVGLLQEQADESKKHEEQANHWREKYDEMCSLAEKLEANNVDIKSKYESAAKTMESVGKKTISLQNQVTQLKTTKTDLTLKAKKLDKYLKSAIQVIDSLSSTANLSKQSKSIKDLVNVFRRDDVRIFFNSEPWRNSLSSGTAPDAPADSEDTLEAEPPSEAPVAPTPAPDFDLMSSGPLEFFGDVKTSQNDDLLLGTGERLSDDDVEDPEDVDDEEDEEEVEVRKLESLVTKQILDKSNRRPDFGQKMRELKAKSHAPRLLKTARVTPSKWPEGLRKPVVVDNGGLLNPRIVHSRQIPTSDFDAIAQASELRKKALLERGTSGAPGASGASTTIHGKKGKSIREQQTEMMKKESVKERVARMKAEKATPLTFLAPPTRPVMSRTRHLSERDSDSDIEDITPVTPRSRPRSVSVAPTDYVAPPTPPKRREVEVIEILDDDVEENQIPPVEMEVEIPTAVVEVEVVGEEMEKVKEEVVTPTPRGRGRPRKISRSSTSSAAVSDRQSRRSRSIRPTNLNVSTSPQPSTSSAIPDTRRARSVRATTSRASSSRHTSPTPSTSSVVSERVRRRSRSVRPTNSRASSFVVTFFQRHPSPTPSVASSTRSSRYRARSVLRGDDAGSVSPLKKNQKTSAEDVDDEVEEETKIPLEIRRLQIDAPAKKRAAPAPISAGPPLRNRRVERPKAPVSTKDSKNSDDVKIQKTTAPEVSPRRKIYFGANIDERAPGGADFEAPEAPKKPEDVEKAPESSEPSESAKLRPQTPKSPKQIMVSQYGLDISDSEEEEDDELMRITEEATSQQAPPTPVEAPPPQAPPSPIDDLQIDYEPEPVEDPISEATPTETPPTSVEAPPPKAPITPIEDPLPEAPPTEAPPTPVEAPHTKAPPTSVKAPPTPAEAPKKFVRDFLPRTSADVAAQAPPTFAIPQRIPPMPTRSSSYPTTASKSKPVIRNDNFLDDILAGAKAKKTPSARKEAPPTMMTRRSSQTKAPPPTATPTSSESSESSLNLPKRRTAHIRVPAAPATPTDDLVGDILSGASGASRKPQKRQAPPTSTSEVVVKRQYTKKVPGVQMIEAIPVKRRRSISTRTTKKTPEVSATSSEASEAPTSSEMAPESSSSEAPVVKKSGPMKAIMIEAPQRPGGRAIKREIKPIGVKEAQAKATERGGKRVDKVRIEEKIIKTHLRQALDLKVSVNELKRPMEEKGIILGASIPLTPSDAVDVMMEFLRETSAADMWAVLHRQRIDGNLMPLMNTEEQNFLQVSVSLNDNDQMLLHLFIRRILYELSLRDCIDSKQCGRLVRLFCHAIHFAEYLPSPNNSEDVNDDDDEDEDSDETAQILQFQKSTWMRHLFLVLLLKNPIQLTKSLAYCLISNCSKYCQFVVDELEKEPTSSEIHVALRILMHRDSEQANVINWLLNSKFQTAYVTQLTPDEIQKACHVAHQKFLNDDDGSLKTSMFMARTGSSDVLSVAFKLLQTQMTMIEHQFLEPKEKDKELKHKSVFSMPSVATKMTAQDAEKFKKEMEWQKTVLLVMIDNPTSLHYTPIMDALKKISPQVVKFREIVLSSDIDQFVEPSGVDVLNEGVQHLLDLISDFTNFPLKPSILPISNDNTQPSTSSS >CRE13907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:103442:107704:1 gene:WBGene00061277 transcript:CRE13907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13907 MEENSTKPTEASEMTMFDIIITCLGAILYVSCLACTLYTVCNEKKEKEEETPWDILCRLDIGKPFKVMELPLVALRNVADFWNPNEQYQLSKVSKKSKTLIKSVIRKRPSHLILAPPLKVILVYPDIEKFNFNVPVYSNRVVVPGDHPRFSPGFPDNCFQMVDCLKDLFDSEFRRIHFFPNYLSWEDLYRIVNWINRDENLPIIPVVTMDTIKDNGMFFKILMENLEKNVQSFTIWGMERDQESMKIRHNFEIEDVIVETTESIDINAFTSLKFSRAYLYNVDIQSEEINEILMSWKMGRFGEKMESITVDNMELIDLRIMLIGLEAELRDPRTTKRKKRLPDGTPGWLYGGIDVKGVNEKTATIWLYSYQTANEDDPIPEGMIQKYERSQGNLNQIAWDDEDPVRDAIITITFE >CRE05504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:672208:673542:1 gene:WBGene00061278 transcript:CRE05504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05504 MNTIALFLFSDSAYGALLIKEPFQYSFYYSACNKDRTTPILGVPRNLTIQSFIDENERKHKRKQKKRKRNLITCFSTIVLDNFEHAVGLTGDESPQFSSSDCIPLGLNDVFELLDRIEFPSVLKNIFLVLFSDEKKWNLDGPDGNMHYWRDLRKDPQLFSRRNFGGVSLMVWGGFCNGMKMKLQFITTRETSVSYQSTLQKAIVPFFRNKRRTHVFQQDNASIHKSISTQNWLKAQKITDLEWPAVSPDLNPIENLWGLLVRRVYKHGRQFNTIQELKDAVETEWNTITAAELRALVASKPNRMFEVIQNNGGETSY >CRE13903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:88379:91795:1 gene:WBGene00061279 transcript:CRE13903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13903 MEEDSWKPWKDEKTAMTQFFIISLNAFMGALGLLITLYNYYNDKKTAEKLLIETANPKSDTQANDKPFKVMDLPLVAFRHVADFWDPNEQYQLSKLSKKSKEIIKSAIKKRPSQLILAVPSRIVLAYSDTESFYFGVPHYQYPLTNEYIPVDHPRFSPEFPDRCFQMVNDLKDLFDSQFKRFAFFPKSLSWEDLYRIVDWINLDESLPNIPAITMNKMKNNGMFLKILLENLEKNVQAFTIRKMERSLKSMKIHHNFEIEDLYVESTQSLDINAFTSLKFSRAYLRGVDIQSEEINEILMSWKMGRFGDKMELFYAEDLAVMDLRIILLGLEAELRDPRTTKRSTILADGTPGWLCGGIDVTGVNGKTATIWLYSYQSTNEDDPIPEECIQKYENAQRNWNRIAGDDGDPVRDAIFVDTHLTITFE >CRE13902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:83679:86884:1 gene:WBGene00061280 transcript:CRE13902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13902 MDDISTEPGYSWIMIIPIVHFLFCLYHLVFTRRIRSSVAVGHKRIRLDSRGILKRLNATKTPYSKSELLNKMYPNGFMPKKEIDVNKPFKLMHLPTLVFQQVADCWDLQEKYTLSQVSQKSKIVLSLANKKRLSKIDFHIPSTVYITYRHSEDPFRLELDCYSKIPKWFYYPEKAFELISNIEVLFDSHLESFHFDPKKIAWQELYKTLKWINSNWNLPFNPDISIQSYTYDGMFFKILMESLEKNVDHFTISGMEYGSQSIKFSHNFEIDWLNVNDTTSLDMDAFTSLKFSRAYLYNVDIANEEINEILMSWKMGRFGDKMESITVEKMTLIDLRAMLIGLEADLRDPRITKRSKRLSNGTTAWIHGGIYFNGPNGKTATINLYSYQSAKEDDRIPEECIQKYETIQRNWNRIVGNNGEERWQEVFVDLQLIVIFQ >CRE13973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:79215:82379:-1 gene:WBGene00061281 transcript:CRE13973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13973 description:Probable cytosolic iron-sulfur protein assembly protein CIAO1 homolog [Source:UniProtKB/TrEMBL;Acc:E3M8L6] MLRQIGEFYHQGEKDDTSRVWMTCWHHGGRILASCGDDKAVRVWSLVGEPDSKLHLECRTTIDDSHTRAVRSVAFSHDGKSLVSASFDASVVVYQQEDGEFAEANKLEGHESEVKCAVFSKSDEFLATCSRDKSVWFWQQDEDEDFSVSSILQPHTQDVKQVVWHPTEDLLVSCSYDSSIRFYRYDGEDWVTQQKIDGCHVGTVWSAAFDSEGHRLVTVGEDHVIQLFVRENIDSKSADQDTWKSVARYEVENTRWPLYSVTWNTTNDVIATGGGDSKIRLFKISTSSESPIIEHLGVVGRHEFDVNHVVWNPNPKFSNLLTSASDDGTIRLWELEI >CRE13901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:70366:78948:1 gene:WBGene00061283 transcript:CRE13901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13901 MLHNYDVANDFGAKMQTGAPQAPRNTLLSVDQQLQLMNTINNMVRASQFTSQLANTIFTLCAQLKTSGSMLEQSHKNELNKVFTSLRQACCRDNGQLGTPCRLKIMELVELRAMNWRTNLAHSQYYVNRPEGQHDPAPTVGIPPPSATSSQMTSSVTSPVPQSPQPPMHSFVPQNPMMFQDPMGGARDFQANPGGIFFIPAASTWMNPLMPMPPNPFLPHSMMPPDHQMFLRQRSLNNKKPNNLMNKTLQLRHEMIIRNSDSGKIMGVKGRRVAAVEQLTNTVISFQKVDAKSKERTLTITASTMEDIERAKDMIIDTIRRNMSPIRTDMSIPPPMPMSSDLHPSQQHSQQPTQGGSPEDEEDDDDEEDIKLEQTSDGKLTFHCDDPELLAAAQEALSAYLRVRARPSAEEREKKKERRKSMPLQQTAHHQQDVPVMLKPSKTFHGSTPNLADGLAATTTVVMATTVAPQQMVAAQHVHQPQIIGDPIRYNRDILMTARETQRQAMTPEMLKEITAVAPDILIA >CRE13900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:66362:67766:1 gene:WBGene00061284 transcript:CRE13900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13900 MKPLLLLLFLLGITVVSGIIQLDGDGGGGGGGGGGHHHSHSSSSSSEEHGHGHHGPRPPRPRPPRPPRPSNCPSDWITFNRPQGPWCVKVFSGTTTSNTAQSMCQAQGAVLTGLQDANERIQLANAARIVSNAIGGYNNMWLGATRRAQCPNQPSCAPLDTFEWTDGHTTGTDGFFFPSTEPNALYKAQFGIQSCLVLTISSADGQTGNWGYPHGSMDDDYCMDPWKMYACGKEPS >CRE13899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:63704:64795:1 gene:WBGene00061285 transcript:CRE13899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13899 MKPLLLLLFLLGITVVSGIIFGDGDGGGWDYGSGGGGGHHHSHSSSSSEEHGHGGHGHHGPRPPRPRPPRPPRPARCPSDWMTFDRTAGTWCVKVFSGTTTGYNAQSMCQAQGAVLTGVQDANERSQIANAARILNNVIGGLNNVWLGGKRRAQCPNKPSCAPLDTFEWTDGHTTGTDGFWWPGPQPDAYWDANWGIQTCLSMIVSAADGKTGILGYPHGSMDDEHCQATRNLYACGKEPS >CRE13898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:62072:63140:1 gene:WBGene00061286 transcript:CRE13898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13898 MKPLLILLFLLGITVVSGIIQLDGGGGGWDYGSGGGGGGGHHHSHSSSSSSEEHGHGGHGHHGPRPPRPRPPRPPRPSNCPSDWITFNRPQGPWCVKVFSGTTTGYNAQSMCQAQGATLTGVQDADERIQLADAARIVNNVIGGKNNMWIGGTRRAQCPNKPSCAPLDTFEWTDGHTTGTDGFWWPGPQPDAYWDANWGTETCLVMIVTAADGQTGVLGYPHGSMDDDFCQRTWPMYACGKEPS >CRE13897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:60168:61527:1 gene:WBGene00061287 transcript:CRE13897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13897 MKFLLLLLGVTVVSAYYGSGGYSSEYGGRGRGRGSRRPPRPQPPRPRPPRPSRCPSDWMTFNRPQGPWCVKVFPGAGTSYTAQSMCQAQGAVLTGVQDANERIQLANAARIVNNDNNGGGYLWIDGRRRAQCPNKPSCAAINTFEWTDGHTTGTDGFFWPGAEPNAFVHGPWGVQSCIVMHISVADGQAASWGYPHGSMDDEYCQQTWKMYACGKRPS >CRE13972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:56764:59311:-1 gene:WBGene00061288 transcript:CRE13972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13972 MVVSWTEQGRTTLCVEVFSGTGTAFYAQEQCKTRGATLTGVQDGNERSQIANAARIVNNANGGGSDVWIDGKRRAECPWKAACAPNDTFEWTDGHTTGTAGFWWPGIEPSGSWNDQWRFQSCLVIHVSAADGQMGNWGYPHGSMDDEHCQQTWRMYACGKKPS >CRE13896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:52570:55878:1 gene:WBGene00061289 transcript:CRE13896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13896 MKHFLLLFLLGITVVSGIIRPGGGGWDYGGGGGGGGHHHSHSSSEEHGYGGGHHGPRPPRPRPPRPPRPARCPSDWMTFDRTAGTWCVKVFFGQLTQWDAESQCQTHGATLTGLQDANERMQVADAGRIVNNQNGGGFGEIWLGATRKTGCNRRPDCAPNDTFQWTDDHTTGTAGFYYPPTEPNAVVWTNWGNQNCLELHVSVSSGARARYGYSHGDLDDQHCQQTEVRMYACGKSPE >CRE13971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:49026:51294:-1 gene:WBGene00061290 transcript:CRE13971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13971 MNPLFLLLLSLLGITVVSSIFINPNGVSGDYGGGGRSFSSSSSEEHGGRRHRHRHRRPPRPRPPRPQSSEEPPEPKRCPVDWMTFDRTDGPWCVKVFYGPATQAVAEQLCQAQQATLSGVQNANERMQVADAARVVVNQNGGGEMDVWLGAKRRAQCPNKPSCAPLNTFEWTDGSTTGTDGFWWPANEPNAIWFNNWGQQNCLVQLVSGNSAVARFGYPHGSMDDQHCQNNFRAYTCGKRA >CRE13970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:45313:47174:-1 gene:WBGene00061291 transcript:CRE13970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13970 MSSSLSQFFPLFSLPCLAMEEVLRHLTPIELLCISMINKSYLRRTVRRITRRNPLDLRVYFTPTKKLFCFFPNGLKPEAIDLSVPTPDYTDPSGGYRHFLNLVTDRFHIKSIFFHIDDSSDFRVMGMMKEAVLRGLQLGGLLIRARELPFSVAYFLSKCTREATLQLYSPQSRSLLVHNFSTSPLQWIRVREGAGVRLSTLMSMMHCRRVEVSRVFIEGDKLNRVIRRWMRQPDYRLEEMELRFDLHRLDTNWKIMEGIEEAVRMDDNCYSIQRSDGVKATVFFTEQLFLMKVYGN >CRE13966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:88:15285:-1 gene:WBGene00061294 transcript:CRE13966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pad-1 description:CRE-PAD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8K2] MSSASGGDVPAGREKDSKYRAYAKAIDQALKTFETPNEWADLISALGKLAKVFQSNAKFGAIPNRVTVAKRLSQCLHPALPAGVHLKALETYRIIFEILGPSKLPECLYLFAVGLFPLMDHCGIKVKSELFAIFENYLVPLGANLRPALPGFLGGVLLALEEGTEFHERSVILLDRVCEKVGPRAFYACLWQAILGSPPVRLPAMIYVNAKFDKLKSFDDQIHLVGDHVNHMVAALCAVADDTGSPLVQRYLLDFLVSAFPLDSTNLTDEDFVQLLRRCLFVVLRRDMSLNRRLYTWLINRSGETRGVSGLSLGGPDDGIELSFFKERVLGLVHGALEQYLSLDMIETPFANHQQSIWGDRKEAEQVQFAEVRVCRLLLYLQDRADIGRTILETVFSDFLKKSAEFHQNSAEKLKNRRKSKEKVKNLRKPGDREGLYLDLNSVCSTSNREDDVTSTTSSGIQNSTNSNSGAVVIPDEEDVIQTRRVDELSKTFNMLLNSLEPGFLWTFLGEWYQRIVENKEFGRQIHDFSQVVSICLEISNVDSDSAIRTQHLPRLLETVLNGLSNKTLLSSCDQNDLLQLYTVCQKLLEISTAHPQSPIEVDEIAEDSLSLSQEVTAIEHERSQTDACLTQCVSALSSIFEIYTTRRDPQLIPLIDASTALLNAFLEVPIYYLGFDIVDNPDDVTSSGHSEVQPWLKNMLKVIDGPGWLREMRSGNEICSDVSARASLLELLCKIYVKSVSVLQQHEEAAHRPHDDFYDEMTHVLLKPLLGKRDVQFIEQGKVFGTCGEAVWLGIASRKFCCEQQRLARLLVELHSRRPQEASSDVESIVVQALTSTDDMICTEAARTFHRVWVLTRNLEEGGGAYRKPFNRAVMILLGVLADESVSKTRTELKAAASAWFQDCSKHHDLPKIVQMLSTMLMNPVTARISIQYIRQETKMTQEECGAIPANVSAVTLMTIDGKQRLYHVTNQPALSTNSTSLDSASSESTWITEVRNRLLRASAGEESGDSGAAGGGASGIIRPPSPENTDVLPAFDDDTDSLDTLSMGNESPEDVVKDILAILVDEVCQEYEDEDLERERLRAVLMMNDVEPAGASFSLPNDDSESSEKPAPICPDSIVQRVKKGHRRQDSLQESIFNMTEKDLCAFDTSEIFRPSTESTRGGTNGTSSKETRDTILPGTVSSASSTSSTTGTSSLFEEMHTHMLLYGESGKVVDLARAETAFRILTALLAPRGATGNRMLLNCLVSSGTTSGATTSSESTAEHSLVELMQRHVRAILGQHFWSAPASDEEKHKHITLLELLITISLHFLRSYFLNSPISPVTESDLASLWKCKISALEFLCELFRELSAMLNEHESKQFVQFVQTILNRSKLQKCLLHLLLTAVDHNPENSGIRGTGPLSVSISKFNEGLLGESRRLSPLLAAYHRSLLTFTSHAIRLECDIKRGFTSFSDASSSHRYSIIQSVINQSFNSRTSSRLDNHASTVELRAFLLILLNALKKQPHRHEMWLQFVVQILPWVERSLATIVCRVVEQLCKNMENAMSVAYENPPTSDVVVDSPGEIRDEPDSYPANYLAMTLESLTTLVHFCVIDSVPMATTSTAPGAPGSTTTSSGAPGAPVGEGQTPTSSTSMVGHAMSVIPGSKVATELFSQLGKVFSMSGDSGGVISKMDSGRQHGNGWRQAQSDMLTSLPHSLATVCNVWTVVRRGQSPLVPIGTNSQLRRLVLQLLSPIAQHHKHAFLTSLALVWLTRSTAKPTVTLRKQDPDRATFDYSSAQLDITNLLLSLQVIPFEDLITSVNSTLREASFKANKVGITTIDKANFPTEEPLLELVHSCVSAVLQTQLRLCWSSLLSLFSDAPLSALSARAVFLLFVILSDFVKCVGGAYIVEDKAMYRNVQEVCSRLAEAVNAIVGWQLETTTWLKRTLVVKQDHHGAIRSVDQSPVVESATNLASGIDSTRNSTLSLIKPTGSSTASSITTNEGKPDKKSSSNLRASIKDTNNNRRDPAHSTQALFLLAERLTDLLDSVSKSDEKDKVLPTLQAVWANVVPYLKAKNARNARFFLASSQLLASMSSYSYMRPVWKKTTLDLLLDSGFFKMDHAALRQWLVVTDHLMTHDRTSFK >CRE21719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:134930:137192:1 gene:WBGene00061295 transcript:CRE21719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21719 MERKIVSFSELLSDEQRFFSVGMPNSTHRSEDVIGNDCCEKQCTYFFRRIDVLLARHHYMKCFDSMKNAGVRESRFLFINSIKRIYDDSCIVTSLNFNQYACPSLISRVFGCSIHVFLNAVSNSTIHNFKSRPWYKTTSESISDAMYTLSKCVEFNSLDSLMVPPNFQSRITDNSSLRIPITQLRKRMDIKIRARNNDTLMRCTPCCILPEQIKLLKTVEDRKEAEKIYQLHLRAISQQRAIIQCLNKQSRDDDADSIVLFADAMSNKHSKLPCLVNRPKCISDADRLSVTLTTVQVALNYNFINHLKSIPSIGDVTTNPTVFDFDQLGEFMNRPPGICSNGQITLTKDREGKIFISSGGSINSSLLFGADSDQVATHLFKREFYISQFTPTIRIPEGESIRKKIASLLAAGGSLFNQKNQDSYWKTLTEFGEKSIRLVFSLVNSKPDKVALPHDLPQQDPHVTVLEYLTKNGFKAGRLPRDPTSTDS >CRE21785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:140266:151483:-1 gene:WBGene00061296 transcript:CRE21785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21785 MVSILQSSYTKLASQLAKLDNSDSEALTLVLKHPALCSSSEIRIKNVMKLVDHIKDRGYPALIEKCKALLLHIEVLLKQLVSTQPLAVKRKSTNCLIKKPEVHSALKGLSSTSSSDGNNFQSNSDNDLIHHDIHHTQDKDEQHRSSTNTEKLQFPKSFEPNGPILTKNTKQEPIEQAKRNRIDSETSQPALTVDAMEAMLKNFGELMTAKFTHTINTAVQKVDIKVDKNAKAMQAMRTNLEIVQDQLEQQQYQTHYDHSLESIKSQSEHDTKQLSLNSPQVVKEHTETTKIPVGVESPTAPSPLIQSPSPTRWSPATHHGSFSTDISTILSTLKPFSGETDQYSLFITRFNSLVHNNPSIDIIMKQNMLISLLEGGAKDLITSDDLSEGTYEELRANLERVFNRKTDRRKQLIETYRNLPFHQTDYDQMEKDMMKHVCITNSLNKHQVSINDPFLIDSFVDKLPYNIMKSVITQIRNKTPSFLEVSTIVQTLISENRALDDAEQRKKNRTQITEVCTADINKISVRSHTPRHTGNQRKTPTTQQQSRWRSAPSSTYDPQGLLSPIGVASKSLMAKVWKEKLKWKDPLPAHMLPDWEKIKVAITENSYTIPRRITPAHGFTQASLIMFSDASKDHYATCAYLRFECPDNNTQVQLLFSKTRIRPINNENLTIPRMELLGVLTAAHAASTISKEVNITLSSLTFFCDNTAVLNWIIHKNPADKWVNNRVKTITSLEQEFTEKKLPPTFRYVPTDQNPADIASRGATLQQIKDSKLWNHGPDFLLQDQACWPKSLEQSPEDPKEFHCYTLKITPQQFPPHTGSPSAHPPHQYESIVPYDNTNSLVKLTTIVQKVMRWAHIVLRKRNERYPQHPYLWQSQTMKNFVLARMNKDEVQQRMIAHRYIIQDHYADAKNQLNIEIPKSSQIQKTEEGIYLYHNTYVNKKHPNMPKSLVYIIHKHRLARLIALDSHRSLLHQGPKDMATDIQQRYWIKRITALTRNIRKACVTCKRRHGNPYTYPFATSLPSVRTQSCRPFQHVGLDYFGPIGYKTEPGQTGKLWCMLTTCLVTRAVHLEVVPDNTTSSFLLAMRRLIGRRGSPKTIISDNAPAFTLGYTMINADISTMINSSQTLTSYLASTEIEVKQITPFAPWQGGVYERIVGIVKNMFYKTIGRLQLSFLEVETLIIECEGIINSRPITANPISISDSEAIRPVDFLSPQAELSFPNHTGFTPGTHIGITEKQTREYLKHLDNIRLQLWDQFYNSMYIGQHAPTYKTKAHCTITPQPNHVVLIQTPNLPRYRWPIARIIELIPSKDGKVRSVLVKCKNKLIERAQDESNEANEIIFTEEKPLEYIDLKGHKIPILMREDCDTMDSYWSDRNYGLQQMTEYLEDLLTMNFSSIELGKDGIWLMKWANSQKVIPSNTILREYEPIDDQDFLMIVGMCRSKNLRVLAFPKSESFVLEEPNFLKNQSFDDLYIGKCFWITIDNLLSIDAVKIDLQGTNLTSSDVNQFLVQWMNGGFPRTKHVTIEFKNNNELLINREIGKHVRKATNCELERFSWTIGFTNPEAVLVIQKENKEQRAVYGITKYSNEFIFDIL >CRE18966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1665446:1665994:-1 gene:WBGene00061297 transcript:CRE18966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18966 MAREQFEEVESNMRARGTNSHSKESKKFSPQMMTDLVEKLNQVSEKLDKLDNTIQHFRPMKHKLGAHPEAPPTKREAICVFCSGNHQATHCTDYASSDARWARTGVLSICKHCGRRGHQPESCYKRGRKCNHTETKKYFLKY >CRE21720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:159298:161286:1 gene:WBGene00061298 transcript:CRE21720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21720 MKFLSLILLFIETHILKPLVGTAVSKENMQKVQSKKIRCCLLDSRHVSTHIVRNALGTISASTQMRNHVYVTVMFRVILVFQATQCFNVKPQGKSWSREKDDIHWEVEILLAQAVQVGAVKVPGISGDTYVHIPAVTGSHRKMRLIGKGVKRLHSIDHGEQVRLKEQSLKYFNSFLLVCHEYSWMKSL >CRE21788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:163914:165831:-1 gene:WBGene00061299 transcript:CRE21788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21788 MLYKIFLGQSFLDPVLYNCTGTEIYADRHLVLGVLYFSMGFIAQILYLLVLKTFWFHEPFWEHACYRIMFFLENNCIGFCGFLSFHYTNIPGIPDMLSLIICAEFAGIWSILGLHPCYNMKFAVFFGCLVFGTWHMSCFYVLILTFNRSCELLAPKFGRLLFSGKPLSIILCLPIFYFIYFAFFTKPLIYDVTESTFLLNPLTKATMAFDPAVYTVYGFIFNNFFCMFFIGVNYFVVCAYLLYHSCSTSIRTVSKIYRQVTVQCMIVCTCHFIGCFLYIYMQYRQLPNVFHVIAQLAWIGNHGLPPLVYLIFNTSIRSKISFCHLQVNRMVASSHTDQKSGGIVKTQKRITTVM >CRE21790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:181296:181826:-1 gene:WBGene00061300 transcript:CRE21790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21790 MKMSNYSKSLHAEHLVVIAQLLLAKTSANCKTTCKNQNFRYNRYCHFTTVDTGDMGKNVIAIRDIPKGSFFCVYTGEIIALKAYEQWVQEYAEAEAYYLDLFKIGNAAKFVNHSCSSKMIALRWKIDGMDRRFRAIGYFAVEFIKARTPLTVNYQFDYDE >CRE21722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:194469:195019:1 gene:WBGene00061301 transcript:CRE21722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-14 description:CRE-SRV-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MEH0] MTSTFFIFGGAFLFINCLYLIIAYCYLLTILKKRNSRIGKTSGPIHISKSKQKIRKSEMRLFIMCTLIVAVQLTILLFFSLKQLKFFELSLDEFYLFYNALSDLFACINPYLLWIFSDSLRKYVLSKLGLRKHGSGITTGVPRLTMQSVVPVS >CRE21724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:216455:220135:1 gene:WBGene00061302 transcript:CRE21724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21724 MTVCVKIVSKRADLIGSDRRAGREARPGPGRAETEQDQTRPGPARARAXXXXEEFWLQIEPLISYCDYTEKIEKLGLVGLKNDDEIKYALMPTRSPTDNSTLSFVTLGIGKDITAEKAFRNESTERGYHVKFFGADPITEENEQLYSQIGEYFPFAIGGKTEIGSASVFKNVPGLRPLPGLAGPGRALTTMQKSGTSFYGKHVSK >CRE21725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:222022:226357:1 gene:WBGene00061303 transcript:CRE21725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21725 MVTDLYSLPAVQIIGDPLDETLEKVVETIQFFIFCFTLPFYIFVIYHLLDAQLRGVEDLSTPFFKLCVTTAIVDIWTLLNNYLGAMFPKWGWGTRIYLFLDGYYAHTYLYFAWTSGICQAMCISVLATNRLSAIIFPNRHHHIWSTQRLRIAYAIQFLPGMMAGMATLFDKTQLYRNSKNGVIPKFRNEALVTYFFLIAGAFLTVVCIYLIFAYCYLLFVLRRNTKMIKNSAFQKSRNQIKKKEMKLFIMSSITVAIQIAALCLYVSYATSILVISLDKFYLLYNAISDLYAGINPYLLWIFSDSLRKYILIRIGFRKKKKGPSSSVLTVVVH >CRE21728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:263498:266304:1 gene:WBGene00061304 transcript:CRE21728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-16 description:CRE-SRV-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MEH6] MASSTLPSSFWDLPLTNNSLTVDDKITFAVETIQFVLFCITMTIYLLIVWALIKAQQRRVEELSSPFFKLCLATAGVDIWTLLTNYLGAMFPKWGWFVPIYLFLGNGYGRVYLYFAWSTGICQAMSVSVLASNRLSVMLFPTSFHKMWMGYRLWVAIAIQYLTGLLIGLATFFNEVQLFRNGKNGIVPKFLNTTLTNRFFAIGGVFLLVNCIYLVGTYCYLFIVIRRRQKKMHTSTPMIIKSRTKERAKMREARLFTMSTIIVGVQLCILILFIFKGADILAFTSDQFYLVYNAVSDLYASINPYLLWVFSDSLRKYILQRLGFIKKNKNITSVTPITFVL >CRE21791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:268413:269073:-1 gene:WBGene00061305 transcript:CRE21791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21791 MGTICVHALPVQGKNDNTYTPHARSTFHLRTHTFEDTYEDGAICILSHIGSPAVHLWHVTDADTHHYTTEDMIETWNTSTSDYRFVRTHDTTGGSLLKFIGTRPTYDQSKFQHVPISKGSLILIHGLVVHKSEANTSDKSRHAYTVHVMERKNTKWSEDNWLQDTEDYKFPNLYKA >CRE21792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:271489:273295:-1 gene:WBGene00061307 transcript:CRE21792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21792 MSDLCQKFERDGFVVIENVFNDQEIEEMKGAIGKIVDDMNLEDHPKSVFSTYDEDKHAADSYFLNSSDKIRFFFEEGAVDKNGELTVPKDKALNKIGHGLHFLDPTFKKMTFNTKIQKIFEEIGYQEPEVVQSMYIFKQPKIGGAVTDHVDSTFLRVDPIDHLTGVWISIDEASVENGCLSFIPGSHKDTSTSDYRFVRTHDTTGGPLLKFIGTRPTYDQSKFQHVPISKGSLILIHGLVVHKSEANTSDKSRHAYTVHVMERKNTKWSEDNWLQETVDYKFPNLYKA >CRE21730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:285764:286505:1 gene:WBGene00061308 transcript:CRE21730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21730 MKFLRFPMLVIRDVLKNLDPIALINLSLASKKIGHLIPLAGTHRFRVELEHFLIISINEKQYNIQLPKNVSNRITVMITIDNAWPAREWLHIYWNKKWTELLVYILRVFKCPLTTVNSKSMPNGKLIEAIQIMSAEQCEIKQMYIHQDLQKKKSLRNIIENLNITKRLIITRLIEVTEWERSFEQSLEESQFNGGMQRVVGWIRFFSQEIDLIVIN >CRE21731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:301941:304165:1 gene:WBGene00061309 transcript:CRE21731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21731 MKWILLLLCCFGFVTTKPRQKFEIVPQQNVEGVDGLLSLESLNSHNLHHHIGQIDDSSTKGMEFLAKYGLIENTRTCGYCPTPMSLVRDTALKSDFYVWRCAGCKKDQSTKKLTVKAGSFFEGLHLSVQQVLYAAADWLENPSKPVLDASRDLKMDKNTIVKLQEWFRQMTKQWFYREGSRDPNMKLGGRNKIVEIDETLMYRAKYNRGKMLTRRQVWVFGMIERGTSKVIMFRVPKRDSATLLPIIHKYVLPGTTIVSDGWRAYGGIGRMQSGYHHLFVNHKTNFVDPTDRSVHTQTIEATWGVLKRKLKSRFGDPEHRLEGHMFNYMFRRFHDNSMLLNHLIYEMKYYRRSESHEDNYDLDLACSHDNDDNEDMDDDEGGSDPDDDDDENDMDDGEGGSDPDDDGDDMGDEEGGSSSDDDEMDDGEGGYDSDHDVMDDEDGEEQSFQGQSRSSRQEEEVEEDQDHHNLHDEIMSAARSVSDAIAPTSRRSSRIHSSRASRQHRRAPSSNDAFVSRRSLSSSRSSRGSSAQPVRGRGRGREHGHGRGFGNGLTKTPKNAVVVPTTRNPVTAVSPTHSPETESGRGRGRGRGRGRGRGRGRGCGRGRGRGRNNAPRSNRGKKADRGGGGSWA >CRE21794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:308390:309987:-1 gene:WBGene00061310 transcript:CRE21794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21794 MYPNYHQQSHNFSPIADTVQNGTSGSQYHQAWNNQIHNNGQYGGQDYSQYATNQYWSGYMNNFNPPAHNPPPSEIPFEQINPDNIKVTLQDAKLWNSFHELDNEMVTLPTGRVIFPCLSYIISGLPQPATYIFGLKLRRLNKNTLKYKSGKWKEIGKSVKADLESNEIFTGSEDGKIVFKNAKIHTIRKKDNAKRKENEKSTKKKRRAQLDLNEESSNSLLVTSHCRYIPVLSVYYVSSQQSKKLLKTFEIDETQFVALTSYKNDAVRKMKTNLNPFARPDYKKEIAKNSDESLVNSTLDSGISSMDNTMASSDMSPIPPKRSKSDVNFCHPDTSQNQYQFNGSIWNPSMNSGPPYFPASHESNENSFMSSTSSVNGTSAIIGPTEIPPLQEHSWQPTHHYAPASHWKVPGQPNQPWMDNSSSLDFEQNNNYQF >CRE21733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:337554:341128:1 gene:WBGene00061311 transcript:CRE21733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21733 MDPNLSPNCTATALADVEKSMQSSQIALQLLTLLLSTLSIAFTYLSTSRFRSSPLPKNLRILMGFHHIALLVYTIPFSLNQGYHLVTRIFYSDCALLVPVSRCVVLRIFQSAASVFFQFFYIAVLLNTFLNSFKFWSESQHSKWLGFLCWIIAGYATFPSVAVDHESKEFMLNCSSFEHKRNSVQKMIFLFFLSIDFASLLGLGFVYYYWKTKYSNPVLRLSISKKKRFEELQRVFRLILPNLLLNVGCYIYFAGLSIFMATEDRLDGNSFLRVNSVPFYTCVSPALWMYTLKRFKGKIEPEPMSSEVHFKILEQSWEKNDPNKNKKKEKVEPRRARKRSEEPTVSYVAETDVVTVIEQI >CRE21735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:366681:368023:1 gene:WBGene00061312 transcript:CRE21735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21735 MLHFSIGLITDEEEIPLKELEASPDDRMEMIIFNNLGQYNTDFLVYCGDTEIWSAKEYGGASFDLSPYDGRELMVYHRDSKKLKILLELKKAYKNATDKLAHFFVKSPMPHIQLCIEGVLITALVDTGAQLSIITRSLAEKCGILGRLDSRFQVDAQGIGGVSKAMGKILDVELEFSGYYLPVVLTVFEECSLGSELIIGVDILTAYNASVDFKKKAVRFNDEVEVEMLKMD >CRE21737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:379263:381585:1 gene:WBGene00061314 transcript:CRE21737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21737 MSEKTPTTPKCRSVVWKVFKKPAERNRPRLPCPLCPGTSIVYGGTTSGLKTHLETHHKDEMLKIEKAEVTPYNELTKFHDLQQTSNAVAVKPDQSQIYLARSFATGGIPFSFSKNPEFVRFLTSKPENFVIPSPKQIKSLIQVEAIDYLEKLTHEFKKVKKYCLMTDGYSKVQRGFHFYSVHVGFVDKNFTRNVKFVALRNVEKGDAVSVSKAINGVLEDVGLKLSDCTNITSDAGSPLVLLADLHQIDRFHFFQVKAYSSTGFRIHCACHLLNLIICEFSQVKGVANYYKVAQKLAAHLGRCKEDKNKLKMLAEALKIKSPLPLPLPTTRWGGMHILLRNYIDNYEAIKNVAILKKYLIKEANMNKMKELVVLLKPIHEGILKLEKDSSYVSEIIPTMVWIRHKISQITSGFSTILLKAVDKRLAQCIRNKRILCTMLVDHRFAYVDKWVEPMKWCDVEERIGLYETKTASFIDAKTDVSVVDESFENYVFKHSSSNNDNNGSMESEIVRYRAFLSTNRPSDECPLSFWKSQKNNFQKLSLIASELLCSPASSSVSERCFSKCSDFVRQTKRNRATTETLNDLLTVTELCKLKRTQEIVASDTDESDLSDDDDEWTKISIEETAAEEMRTTSNSEDSEDSFSIIDNDDDLVET >CRE21797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:382827:383779:-1 gene:WBGene00061315 transcript:CRE21797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21797 MQTPTFKIKTVHWMVGWLFMLHATTVIGRTSRSECVQEVNAARAAYAEMHHIANMNEIKYNKSLEKTIISGDSNATSCLHSSFSHQQNLDVFWNMEENETLNTQLVSVPAISMMACLKRHCSSTGKFVVSLVVDSSTPNYINGTPGSQCPFPRLSSGIGLCALPRYQRKGLIDSGFKLVKNVVKTASTTTKKVAKAVVKYVSNEAKELAEKAKEELKETGKAFLKDVVIPAIVIPISISVGTSVGGAAGTIVPGVGNAAGAAAGGILGGVIGVKISRRLGEKVDDLK >CRE21799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:394440:395219:-1 gene:WBGene00061316 transcript:CRE21799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21799 MATILRIGIVQLVCALLVSSQVFKPQPKVPGKGPTIPIDRIGATIQDRQKFVEMINYFRLKASEIFQIANMCEVSWDADLEKKAEQQTCDMRPGPNYMVSQVQEKKDLVKNWKETEDKGKDEFLLLAFTTFLEPEQTKIGCALLQTPCPGPISDVKVSCLIGPLNSPRDSAKGAPGSKCRNGKAASGLCNVSPSLSSASLTLLLVAVMYLL >CRE21800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:398416:399236:-1 gene:WBGene00061317 transcript:CRE21800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21800 MLLRLSILAALLFVLSVHSTAIVKRQSSDTQTSISAFNDARKKFAEEGQIANMNELSYDADLESKAKAMANCELKPGSDYAVVAATSDQALTAASSLPAFYPPQTKIGCAKMSKSCVENGITLEGVCLVGPYSQASESGIKTGAPGSQCPNGKTSSGLCRGSPASEVDEIITNESSLDKTHVGVNTENAEKELDKTEMTNEGGKKHLNLTYILIAFFSIFALF >CRE21740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:405316:406083:1 gene:WBGene00061318 transcript:CRE21740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21740 MKMQLRIVLLLALGVHSLHSAAIIAKRGLSSTEQKDILEDINQKRLNYAESEIIGNMVALTYDKALEKKADDMTNCDLKNGDYVIVTQYDIRHKNAKILHPLQSKIGCAKLPATCTGRDMASGEQFCLIGPHSSEHTKEDIKSGSLGTQCSNGPVDSGLCKAGGSGGSSGGGSDGDSGNEKTSNDVDSKSYSTLLFFIYAYILVLLC >CRE21741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:410639:411415:1 gene:WBGene00061319 transcript:CRE21741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21741 MKALAVGLLLLICAHGTSSAAIIAKRAVAFNQQEQFEAMTILNGARLVLAKEHNIANMHQLVYNHDFAQKASQMTCTSKDVKGPNYIGFGVPSDETWKNLAESKHPIAIAIMAILLTPSQTSIGCAKLVPPCEVAEGVVEGYCVIGPETYSGDQNAQKGVPGSACSDGEGEKGLCKSPKGADIKASGGSGRSSGGGSSGGSSGGSGGGSGEDSATEKTSNDVDSKSYSTLLFFISAYILVLLC >CRE21803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:416109:417319:-1 gene:WBGene00061320 transcript:CRE21803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21803 MNRRQRQGFAIGTLRNKLERGVRLSPGVIRDLVYFGGKFGFSRGVGLDLFELIRDNNDLIELDPEFLRKLERVLVRRSIVVYRTLPYEQFPSEYSYEEFDHIRHHTTSNFGEMVNIMQNQLGVASSWPLYVDTEGSYSQLVNGSRLALITVFDVDLRMVYLFRVHNMSYDQMQSIRREIVAIDRRIVTFGPEESIGCITSNIQRHPRLSLQAAVDRLRPISKTETMSNWCGPQLRDDQIQYAAMDAIVLHNLNIGTRLDWSFSPPRPRHRDISPIFFDPTPPTVTQIHKVADIRWEMMEAVDWTWDVNIVDILEATNKQLGLATGEKNWEIEVTKQLHILEDVIDEMDNQWKKSRERIVRTIEGLRDVLQQ >CRE21805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:419294:421122:-1 gene:WBGene00061321 transcript:CRE21805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21805 MAKLMDSRSGPSAPANICLFDTPPSQVAFNKGSWMTYTPSNAVDSKGPYTFNVYDSANFFQLNKTYISFKLKLKNETADEKTAPPSVKYANFIGATFFDQVKVSFNSVPLYDSDHYAYKSYIQTLLGENDETKEGFLTTAGWNDPTSTDGRSLSSKSFLDLYAPLLLEPFQTERLLIPHVNIQIDLYRNKDAFCLETTTGTTAELEVSDMKLHMRAIDVVSSATIALENRLRTTPAQYPFTQTVVKVIGVSEGRFELPFNTIFHDHVPRRIIVGLLSPELSISKDSLKFDHFDVSEIQLNAAGTMYPPQPIQCDFDNKDYAQTLARLYEELGCVSNKTCPKITYKMFRNGFTFFVFNIAPIDTSNSWEMIQTGSTQLLMRFKKKVPTGGVNVMILAQSDAMFTLDRFRNVTFVDVCLSSHLMEKFGRLDNLSAKRIQDLNQYLLSINILKMWNSCNGLPVDTNSELSLDATPRNHSFLNMVIQTGQGKKSQYSTIQKYFNEKYKIRINYPNSPLLRDRGGRMYPIETVWFRLFLY >CRE21807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:422645:423418:-1 gene:WBGene00061322 transcript:CRE21807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21807 MSVTLAYEDILEKTLRIPKRLYTDKGKLFYFQYHTISNFSGTEFMGKHFRNLCKELAIVHMNPKNTNVKACYAENAIMRIKNKLEKWFTVSQSYEWVELLPKIVEGLNTTYMDSIGTTPELVTWKNAEKVWKRLYGSPTTYSPKYKIGDTVRILLENSPFAKGTRAKWTEEVFKVIKILNYDIPVYILCDTLEREVDGIWYEEEMVLYNKPDNLLKIDKIIRKRTKKGIREVFVSFKGHSDSFNCWLPESDLISHNG >CRE16329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:177176:177805:-1 gene:WBGene00061323 transcript:CRE16329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16329 MVKLSWQSTILIVGPSGQGKSTLARKLVDQRNIIFDVDSKICFWYYDTFESVPDSLKNRQDVMLREGLPNLEELKKYKKDQVLVVIDDLMTKIDQNSGMERLVSVLAHHYDMTVVFLLHTIFYSKVIRNLRLQASYIILFKNNADKSSVRCLGSQLMPGACNTFLAIYNDATSEPYTYLLIDLHKNCPDEIRYRDNLLPGKTTHVFIPK >CRE07096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig534:2714:7582:-1 gene:WBGene00061324 transcript:CRE07096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07096 MEGNAGENQQADGGGDEIDQVGGASLKELGKVVEGGKRKFKGLVTNVTLELEIPKSITTDPEGPELFADDIISLINKHAPIRRTHRNTRVGVNFESDEILESVGLAFKDISKLKTGDIVRNMETMAQSGKSPLDLEIPRITARITYITPVTGSGTKRKFGTGHILELKFGKAAKRSKKSSKKTKKKKKKACEFLDDEAEEDSDEDEEAEDEEEEEEEEEEEEEGEEVEQEVEKKKRGNTNIMRNNVTHNCLVSALYQAHMWNQYKKSKSKEDGKRYRQSISMNRGPRDNSQVVFKEIQRMKDEAGITKTQDFDYYDIQKFQETVFAGTHQILVFEENSTIPFFCGEFVGQDNVLVLYLAKGHFSGVRSVCNLLKTTYYCCLCLTKYRDAASHYNCKLLHRLCGKPNCPKPEEGQELSIECGSCHLHFKTQLCYDNHLEKGSRGGKSRCEFTKFCKKCKTAYYTNKNAVPHQCGHKYCQRCQCLVEEYHFCSMPPCPKNESTLTRLRGYYDIESSSGKLGHQKPVLFRCVLCCPKCSKFIPKTVEAGLNEKCKNCSPEGRLKIIECVTPENRGVDVKAEMNKWMFSQRNKGFVLVAHNASGYDGQFILEELIASNKSTPELCLEGSKLIYLKHKGVRLLDSLKYLTMSLSAVGKAFEVDSIKGDFPVLFIKEENFDYVGPIPDDKYYALDNKSSSVRKELVEYLAKAREEGQTFNFFEELQKYCYNDVYILATAMTTFEREFEAMTNVCLFEESVTAASAAMKVFRRNHLHPDRPIGLDAKPGAAMTHSIVSQKHLAWIGHKEGVQVDISTTYGEKKVGKYRVDGFIDKCEAYPEGQIIEFNGCYYHAHDCSYSDESIIGDSTAKEIRERDQKRIAELEKEYPVKVVWECEAREELSKNLEMAEFYEGYEARGILQMERALVGGRTEVFKLYENDELVMIMFLDVNSLYPAVMKHEVFPIGRPTEIPVSEIPCPLDKPGYIPFCGFLSCKIVAPDDLEVPLIGDKSTGKLVFGLCKSKCNKGYFITFPSFSECAKEQNQSDCNHTDDERAFTGVYTTVELEHALERGYKITEVYHGTYFKYWVGNDDKGEGGLFSSYVNQMIQEKIYSSGWPADVKTDEEKEAFCKGYMEKEHIDLNDHSRFVKNVGRRASSKLMVNSLWGKLAQGVDREHTKVIIDPAVFYKLYYDKSVVIVNVRPVNDVIVVKYRKQKETLQSLKTSAVHIAAYVTAYARLRLYKFMEKVGAKNLIYTGKFSQIFVLSYEFSVSDTDSLIYGVPRGCEDPLKDEVGPYLGQLTNELKGEMTEFVALGPKTYCFKDQLKDGQIRTVCKAKGIVLNSVADKMVTFDKMKTMVDEVLEEVEDRTVMQIPQFTMRRDRDHKVYGRNIKKSFKYTFDKRRVLPDGTTLPFGYRHK >CRE20090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig509:2387:3046:1 gene:WBGene00061325 transcript:CRE20090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20090 MKTLAVGLLLLICAHGTSSAAIIAKRAVAFNQEEQFGTMTVLNGARLGLAKEHNIANMHQLVYNHDFAQKASKVTCQTKEIKGPNYIGFGVPSDETWKNLAESKHPIAIAIMAILLTPSQTSIGCAKMVPPCEVAEGVVEGVCIIGPETYSGDQNAQKGEPGSACSDGKTDNGLCKSPKGADIKASSHMTLVYLSIALSLLMWI >CRE20091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig509:5554:7277:-1 gene:WBGene00061326 transcript:CRE20091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20091 MSSLDETSTKILVLGDSCVGKTSLCHCIAAEPSRTFDSTIGATVIMAWHEYRAGTPEQRSELLELWDIGGMVVHRQAAQVFFEGAVGAILVHDLTNRRSEENLATWLTMLDGKPRAPKSSKDPAAVALKVDIESCNIPVLIVGTKADLVPHKGPVSYDRLHIDSLKNIIRGSANSITLARFFDSCLDRTRRTTLNSSFFAANASKMTPNTSIIGAPSTATASDVTNRTMSPFTTIPMGTS >CRE21808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:445440:452537:-1 gene:WBGene00061327 transcript:CRE21808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21808 MVELSLGVFFRSFNASKVILCACLLIFLALFTLKLDGRVTFSYAFVFAPLWACNLLVFVGAIVGICSFCSKPPSRNEIMMRVDFMAMLITATEHLFLCAFVSLVFVKLEFDYLFEPGYPLPWTIVFCPLFSLSILSIGIAVWSLRHDKPFEFEFFYAINIVQLVFIAFKLDKQVDWTWAVVFIPLWVVLSLAAVGVLYALVLSVVLIRSRHFIPAHRRQHVYSAVLHTFFVCPEMVIPALVSLVLLTGKLDSMSFAEKGTPSELSYTVSLCGNIAKRGRGLL >CRE21742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:452848:456054:1 gene:WBGene00061329 transcript:CRE21742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arf-6 description:CRE-ARF-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MEK7] MGKFLSKIFGKKELRILMLGLDAAGKTTILYKLKLGQSVTTIPTVGFNVETVTYKNIKFNVWDVGGQDKIRPLWRHYYTGTQALIFVMDAADRDRVDEARMELHRIINDREMKEAIILVFANKQDLADAMKPHEIQDKLGLTRIRDRNWYVQPSCASTGDGLHEGLTWLSQNCKP >CRE21743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:456613:462950:1 gene:WBGene00061330 transcript:CRE21743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21743 MSRVALNVDSYEGNDTPSVEIARFVVLYGKASTRKHKIWEGDGILVCYGDCAVLKSEDERDVICRSSAVKNLDQLDEDRTINIGSWSVQIQKRIPTTSSESMKTSSGIQNPPPSALRHLNTRDPMRIPETATMKRANNGMSEENLLAPRPPPKKRPSFVPPLIGSTTSSDGTTTISIEEKTPPFVLNEEDILQRKTTSAICVDSRFSRHLRDHQKDGIKFLFQRLKGETGGAILADDMGLGKSIQTMAATWALLRGSRPPASQIASSCLIVVPSSLVNNWKAEFDKWYRMMRFPAVIALTARDISTYQSTVKSMPYLIISYDLAQRHAEKLEMCRFDVLVCDEGHKLKNLDGRLRKTRSKSGFGT >CRE21744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:470209:492742:1 gene:WBGene00061333 transcript:CRE21744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rom-4 description:CRE-ROM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MEK9] MIEGLCEFLEFNVFRLDGKTQKCSYFLTEILNLQVQDRQKLVRHFNDNRDASNVFLLSTKAGGVGLNLIGASRLILYDSDWNPANDQQAMARIWRDGQVRPCHIYRLITTGTIEEKMLQRQIKKTGLGCVIDAIEVGESVSTFTDDELKDIFTFSGDATECNTHDLCGCGCDGTGMFETEMFDDDDDDGEEEDSEDVTEDVKNSESPEKKKKRNWDSDSDDEEEVDDEELEADVTIQKTPDDEEDEVDDDSQETKILEKIPEKPEEIAEKEPKKAAEVWKMRLRCARLHKNSENTPLSAEKPEKPPLNRDSSVIQQVSQFIGIANGDGEVNEEKAKWDKKRFRRIAHNYGLNENEVQKFVRNRSITHAPSIESSTFLLSQPGGPAPSGSAPSGPAPSGSAPSGSAPSGSAQQTPSSLEDVERGIVQYPGRVSIRSPISGPTPSLPTNYESTSFESGQRHRADSFSQTADLSQSQSRLSKSSQKQRESVPSAVINSVSNFIKKGSFINKKRLKLQSSFASKCSFDIDVVSPIAEMTPESVTPSSESLTTSPAGIIFKTGESIEMKTLGGGASAKILKKGSPEEIITSGIPEETSFEVEIEEMGDIDEAQGGEMDPSAMSRMTAKVRKKSSVRAPPLARFTEETHHLTESKSAPPPLLQRFKTTANPPERPCDLQEGTRPIIHPSASLPSRVSSMRKMSKKTSRQEDEVLEMLAEESDQKDLEDGRRITVSSVRPSRRRPAHLPIQRSISLVDEVFFDTPPLNLRPIPSELQGPAGMLPPEVDAYHPDIAQSPPTSAALPAAHSRLSPYPFETAKITVVPEVSGYPQAAYISYIDVGDSTINMPRATALGGPVGPVASRRPDRVFMEDASTSSAHRHQLIRQHTAIVDPSEDVGFREWRQRHERKNVETQRFAQKIADSKHQKRQRGIGVFGKFFNRSYKDNLAADLKKLLADGDDERPWFTYWITTIQAAVCILSIILYGFGPLGIFMTPLKEDVMDVTLSNRRVSYMEQDNLWFGPHYADLIRLGAVYSPCMRRELGLWQAIEEERQMENQTGCCIANDHTGCYQSSQLMCPRNVARWVRWDKPDPLAAKRNFLSQKSKSDTDLLRGISSLSNSSALKLWKQQRKSGAVCGQDPSYCDLPSSVAPHEWPDDITQWPICEKKHEGAGLPEHVTCEVTGRPCCIQLQGLCRIATKQYCDFVRGHYHENATLCSQVNCFSGVCGMIPFFGENPNQFYRLFTSLFVHAGLIHLALSIIFQWYFMRDLEFLIGSKRMGILYLGSGIAGNLASAIFVPYNPAVGPSSAQCGILAAVIVDCYHHRRFLKDFSTALRDQILVTVGVLIVGLIPWIDNWAHLFGSIFGLLIAIIIFPYLDFPDDDLDPLIPPTVATVPNTPLMPRGSMSTIINTAETPTMTAQGYSQLGNGYPSPIVPEPGNTTVQTVQWLWGFVRNKFRNKRTFYVLISFITLASLFILLSIVFFGNIQFECSWCIYFNCVPKIFPCHNQGQKLKKWLPI >CRE21809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:497842:498297:-1 gene:WBGene00061335 transcript:CRE21809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21809 MSLLVEFDEFKGLSHDQFMEELDKILLISINKLRDNMDLRRRVMLFPTFEKVIQYRKVELLTQEEQADETY >CRE21747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:503853:504851:1 gene:WBGene00061336 transcript:CRE21747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21747 MPSPFPLLRLPRLVLCEIFKSLSIGEKIKLSLCSKKISIQINNARLYSQNVSVVLGSFYQKIEVSSENSRNTFRIFIYFNTGISKESNIQQCKIEGVTVPVSSCSLKISTFWDDYREGVLSVIQHLLKMFKCKISTDSVYYNEVQLLPTISDLFDLKLEFETLTIHLKRTKYQQLFWNKISSNFGQVEDLRIVSFIKPDFIPVFNSWPQKISITSSYWFTLKPLLTCTSTTITLGGSFLGNKDLDVLLRKWKTGEFPNLKYLRIHSKNMTNDGSTILGMNLWDLAGEVIQTDDRSKNSTFKIGIRRIEMSVTPFE >CRE21812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:510379:511070:-1 gene:WBGene00061337 transcript:CRE21812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21812 MKLLLAVSTFALCAQVAVSIPEDVQQVVVDYMNRHRESFAVSLPAANMNAIVYNASLEKRTTTCAVAEHAAKSNRAILFPSLTLDKGRYLDHGDIDSSGAEAIMDLSINKSSFVHLQQYATLEDLSKFCTTTVLQLHPFTFRITKPLEGLKRGEPGSQCPNGKSEISEYMCKA >CRE21750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:536830:540034:1 gene:WBGene00061338 transcript:CRE21750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21750 description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MEM2] MILRLTFCLLAIAAATVCSKKVEQVHLSLSGRPDEMVVTWLTLDPLPNVTPYVAFGVTKNSLRLTAKGNTTGWADQGKKGKMRYTHRATMQNMVAGQLYYYQVGSSQEMSEIFHFRQPDQSQPLRAAIFGDLSIYKGQQSIDQLIAARKDNQFDLIIHIGDLAYDLHDQDGSTGDDYMNAIEPFAAYVPYMVFAGNHEVDSNFNHITNRFTMPRNGVYDNNLFWSFDYGFVHFIALNSEYYAEEMSKESQKQFKWLEQDLANNKKKWTIVMFHRPWYCSSKKKKGCHDDEDILSREGLTDKFPGLEELLNQHKVDLILYGHKHTYERMWPIFNKEPFKSSDPTHIKNAPAPVYILTGGAGCHSHEDPSDHIIQDFSVKALGEYGYTFLTVYNATHLSTDFVDTSETTGKFLDPFVLEKN >CRE21751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:549078:558719:1 gene:WBGene00061340 transcript:CRE21751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-25 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3MEM7] MFFLKISFFLFQTVLTFRIGHLEYENSNETKVLEICMKAAGTRREHTLISLPSCHNFNGLENAANLNYQYAVDLLIGAACDEETQTVSRLALRWHKLYLSSAPLSTKEKEGTTIALKPHSLAGTAEVLLAVCKSMKWKEIGLIYSEETKYTAHAIYDMLAEKEDEIKINVFLQTDGLMNTYSILHSARVLISFLTTVDLSRFVKTLKDKAFRPLEFSIVHVDCYKSETSDVYTYLDEHASEEANPISAARLRKLHRHVALLQNSHDDNGNMQAFATKHGLEVCLILIHNSLIFQPSYTLYKALVLCDGLQLLSNYKTPPGNLSIVEQQSFLWEHVTNTEIHGFSGPMFIGSDGVRLPYYEMFMWRDGKTQHVASVKPRESEFCGSTNDSKNCYEFTRTIPYGSYLDDLPPYNSDCGYDNNLCSDFHVFMIAAVVFSILLIPMAIAFYLQRKEHLIQQMPWRVPLDTINFDDSRNGKLVSVSRRVSNVSTARASYSSIFSANISEHAHVNKQKVSVKRYVQRRAITFTRQEMEMLNQLKYMSHVNINPFTGICFNQGSEVIVMWQFTTRYSLEDLIFVKEQKFGRNFQSTFIKHIMHGINYIHNSSIKVHGALYLSNCVVDSYWVVKLTDFGIKNILKERMHHKELAPSSSFDIDAIHYKYLQLAPEHLSYLLEKHDEPMGTVEGDIYQLAMLIYQILFYMRPFAERPEDIRELADLLSNQSTAPIHPKVPEGNSFTMRLLSIIQQCWLYKPVARPALIKITDAVNREFGQDVKGTLIDQMIEMIDEYSANLEQIVAERTRELEQDMATTENLLYQLLPKSIADSIRSGKSVVPEQHGSVTLLVVDVCQFTKFCEAFIPVHILETLQELYSSFDYIVQKNKAFKVENVGDAYLICSGIPEMSGLRHLREVCRISLKLQTFMKTFTVRHRPSHVLQIKMGITSGAVAAGILGSIAPRFCIFGDTVNMACRMASTGSPGKIQLNELTANTLMEKFPSFILEERGMIDVKGKGTCLTFWLTGEKDTMRRQSSRSSCISQIKFELEDASNKNFLKV >CRE21820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:572828:574046:-1 gene:WBGene00061342 transcript:CRE21820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21820 MKSSISFIGLVTLCLLSTVQSDNQNLPKTVIVDKQAILEIVNNDRRAYAKERGITNMHKLIWDENLAQLARNRDSSSDKKTMRAARIDGDEYTEEQAQKYRKHYNETTLQELLQDYNRYHMIGMETLIPGQKKIGCAPKHVESSQGLITNTLCFLGPEGNGDSFTVSRGSPGSKCSNGYNNEDGLCALPAENNKKTENTAEAARLIGTTEEPVDNGSNQYFSYGFLVFSVIFVVLRGM >CRE21753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:580509:585622:1 gene:WBGene00061343 transcript:CRE21753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-19 description:CRE-LGC-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MEN0] MIFLLIVIYTMFGSITSFYYNSELYVPKHKDFLDVQTNLTDQLFKDYNPTVSPVYTWIDVEQPIGYDREAPKRWNYTVFLYYLKLVEVKEPEEKVSVVLELMEYWFDARLSWNPSHFHNTTTFYTRQDNVWSPTLTAFGVNDIMDFRDQDNRMVCIDNTGFLWNYISVRVSANCQMDVSRFPFDTQICQIQFCLPIFYRVQVEVLSEIYEGIMDEKIFKTMGNSEWKLINLTNRVEVLKYNDNMGNMDLVRVTVIREFQLFLFQAIFEITIRRNPLYYIYMIVFPSFVINFVSIVGVFLNGADKMSRLNVGLTNIMTMTFILGVMADKIPRTGNIPLLGIYIIINLVIMLIAIAIVTAITELRRWATPMLKLKRTALRAQIETFLGPPLEYTCAIILELMTCANFMVMIGFWFDDGS >CRE21756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:597830:601142:1 gene:WBGene00061344 transcript:CRE21756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21756 MLIVYYFKIVCAIISVFLNCILTFLIMKKSPPKLGVYKYLMVYIALFEGFYSLWDLITEPIVHSYKAAFVVLRDFNGSLFDREISFILICVYCGLFGFSLAIFGVHFIYRYGAINKPFMDKFLPGNRVYLLFLIPLWYFSWWAMLCYIYFHFTDVTDDYMKETIETHFDIPINDASYICVYYQPTGSDGLPHPDPNIFFAIGCMWYMVGSSMFCVFYFGIRCYSQIRNSIAQTANASLAVKSLQSQLFNALVVQTVIPVVLMYIPIGILFFFPMVIWELPFTTSFVGYTIALYPAIDPLPNMIIIRFYRRAIIDFFLWIFGKKQAAQSFNSINLVEVAPMS >CRE21757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:617787:619740:1 gene:WBGene00061345 transcript:CRE21757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21757 MNKETIETHFEIPINDASYICVYYQPTGSDGQPHPDPNIFFAIGCMWYMVGSSMFCVFYFGIRCYSQIRNSIAQTANASLAVKSLQSQLFNALVVQTVIPVVLMYIPIGILFFFPMVIWELPFTTSFVGYTIALYPAIDPLPNMIIIRFYRRAIIDYLLTEIVSQTYDVLSGVVFVMQSKCLLLITDIIIMSMEQ >CRE21759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:623363:628595:1 gene:WBGene00061346 transcript:CRE21759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21759 MDSDDSFQEGQGHGNYAQALCKFLQILSSVIINFIVDEEPLANIQEVEMLNEDNEGDDDGDVSNIFEYICSEDSDVRAEDNDADQSNVFNEMHHDDENIPAPNREFCPILSRVNTIIIDNEEPLVNIQEVEMLNEDEDDGDVSNIFEYIFSGDSDVRAEDNDIGSDQSNVFNGMHHDDDENIPASNREFFPILSRVNTNIIDNEEPLPAAQVESGSIGHDDSRSDDSQNRDHYGAGAPVPPPAAVDFVPNMNFPTTEELAYLERYVEYEGAEPEALNHRLHSVADNGFVLINLDSLEDGVTLHQHIEKLFDIFIRKMIEKVGGTLEDTIYWVRLTHASDVQSKFYMSHTTYVKGTGHHLMNRLALHMQSNKSLQLDGGLHIGMFFFKKHNPSGAGKIVLSQQTLKRLGLNRQLVETETHCLPVSLVIGRKLAEYKNCKDKILRKKLENEYRQITRADKKKTGATAHNQMEAARQLLRDCGMDVNQGVHNQDDLEKLAKALPTYRICVCVKLGIQTVTLSTDFPIYNDGAPNIIALYMEQSHFEPFDITKKSLVADIYYCEHCQKIITDASQASRKNHNKLCAAKCRRCGVLNCALPTLEDLAAKYNKKCDTCRVTFNAKACYESHLVKSSSPVNPKSHCDLYRLCEICTRKVRSGDHKCGDKYCPVCCQYRQQGHDCAHSLPSAKHRQDCLKKQKEYRLFVVDIESKVTSSSSPPTRSATKGPAHVPNVICGQFMCEKCVGESGCHYCGPQHQFTYKDEATKGPAMKRFVEFMSNDIRFNNCIILAHNGGKYDHSYILAEVIAATGATPNILMNGNQIIQAEVVLNDKIKVIFKDTFNFLPMALSQMPAAFGFEELCKGTFPYMFNHEDHYGKKFSSLPNKAYYQPELMTPPNKAKFDEWYEKNKDKEFDFDKEILQYCEDDVNILVKAIGKYIEICSQIFNNWNPIVQTCTLAGFVMFVMKHEHFEKGVVGYIPENGFPNPGRSNSVLALKYLQWLNEKNPELEIQHSLNGGEYKITNGASSYYVDGYSKPKDTVYEVNGCMWHGCEMCFPERDAKCPCKPDSTFRDLFEATKDREKNITQKGHTVKAIWECELRAQIAKNPEMKTFFEDCRHTHNLRPRESMFGGRTQPFQSYVKADSQYTIEYLDYCSLYPWTNMMGAFYPKGQPTVLKKDFDAIIPGKALKYRGVVFCDMLAPPDTAFGVLPHRSNHKLLFPLCRTCATQSNGKKCTHTEEKQRYLTGCWVTEELNLAIEMGYQVKKIHEVWHWDDSKWFKGGFFRKYLEPLLKMKHEASGWPRPNMSDKEKEDHIKAIFENDGVLICADKVKKNPALRQMAKLFLNSAWGKFAQNPMKTEVKLFNVNDGDGIFQFLNSNLHEPKTMDRFGQQHILASREPLKEGLSAGKFTNVVYGSITTAIARIRLYKAMMLVGPENLIYCDADSVIFRQKIGEDPLKSLKGDGLGMLTNEVPAGKRITEVVTVAPKVYALKMEDEKGEVNYSIKAKGMTLNCETLKCVSFDNMKKMMMDHIAQQPVTPLNGVKLSMRQGIKRPFDPHHNQLNPKRMRPVTDKGEFNSGRTLAYGTLPAQTKVVPNYPFV >CRE21822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:628797:629618:-1 gene:WBGene00061347 transcript:CRE21822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21822 MSKYKTQNDSITFLLTVIDIYTRILFVRPLKAKGGAGVAAAFENIFNEMGMTPHIVFTDDGKEFYNTHVQTLFKKHNVSLVSPKNDTKCGVVERVNRTLKTRLAKYMTHVYKHRYIDALPKVVLGINNSVNRGIGKKPVEVKLGDFPIPIPDGKTFKIKFKIGDHVRLASKRGLFDKGYEQGWTTEVFVVSKVMPGRPVTFNVVDTNGEKVEGIFYTKELTKCTYRADGTYRIESVISRRTRRGIRECLVRWEGYTAASDSWVPESAILHLDL >CRE21760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:633282:635093:1 gene:WBGene00061348 transcript:CRE21760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21760 MNDFYVTLPSSAPNSQFKNTSSRYVTRLPEVLNLERDKYVVAATDIIYPYSFVNVVKPLNFWIHFKSKTPPAHITFPPAHYADLNQIIETLNGVKKTVRQKRNAIDAELVNVIDQAKRIKREAPKAIDNLGNIQSEPDPIQDGQTKHIDGLGNVSTIGTTTITKPTLGGKDAKPQKIDDLGNTQSIGTVKLPPVLEDGQPKLIDGLGNTHSIDTTIKQSPAVNVDQPKEIDDLGNTQAIGTKSSPIVNIENPKETKSTKPSATVTKQHPAVKDGQQIAEFGNTQHPEETKSTKPSATVTKQHPAVKDGQQIDEFGNTQSNNTPTNPLPARLGVNVTDAKTTGTTISKQPSIVNNEQSRQIDELGNVQPVDTIDKQPPATTVLQIIDGLGNIQNTLRNLNPTNGGLSDFQLLIEMQKENEENWKMYTELQLLMLESSPKAETIEAFKNLRAKIQTDALISARDFLEFVEQNGRVHVNFLQPDIAFVELDDRCAYFLGYTDTIVKESATAPNKVDFFGNVSTLYLYCDVVDPIIVGNTKSSLLSVIPCRGSYGEMIHHTVAYPRYLPLMNSTIDSIRVDLLSEFDEPIDFNWGSTIIVLHFKKLE >CRE21761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:636879:638213:1 gene:WBGene00061349 transcript:CRE21761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21761 MISRMDPKSHDVIVDSLDFSTMPGTQSGIINSRWTPVPLKNTFQAQGPFEFILTNNSRSYLNLKRTYLIFTFEITDSAGKPITMDLTPKNPFVFAPINNIAHSIVKNFSLHINSQLAFHNSSNYAYKSYFEQALMYGQEIKDSTLTAAGFYHDTSVDDITSPGFIKRCESIHNQGPIQVAANISIDLMNQPRVLLNGCNVKLTVYPNDSKFLIESYNRPTATELQFKIKDVYALVNEFDLADGLSNALEAAVIEHKTIQYPLISSQVRSFYIEPNRMDAPSNTLFTSKMPRRIFVGLVDADAYNGSYDKSPFNFKPHGISDIHVDYCGITLPGRPFSLDFTNNKFIEAYLQLQETLGHTRNNVACNSINTRMFKEGGFTIFGFELSPVAQDNSLFELVKQTNVSVRLNFKDKTPEGGLYCVVYAEFDQLFALDALRNPQIDTIV >CRE21826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:639555:641125:-1 gene:WBGene00061350 transcript:CRE21826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21826 MPSGHEKRIKRSFPVTQPHRQQTMNCYNFSADQQSVFDRIIAAVNDLDNPIRLFVSGTAGTGKSYLIKALIDELGYGRVSVCAPTGMAAKLVEGRTIHSLCKLAVVEEGEEKNPDDGAPAAKKSRRDTGMRLFIIDEISMCSAELLDNLEKDLSSKRKDRGPFGGFNIVAFGDLYQIPPVKAKWVFKSELWKTHFQYAELNSNHRQQADPEFAQMLQRFRVGELTDLDRAFLKSRVVPNQAGDTFVACTADAYNKTAKGQALILLRTNKVIQDVNNEILQQKFPGHIVPVNSLHYRFNPGQENENPEPPRITEKCKIALNSNVMITQNIRSSGLRNGEIGQAIEIHGKDAVNRVTILFEGGKAVNVYRMRKSGFLNKTRTLELHLPLTPAYALTYHKAQGQTLDEVFLNLTQRMEASLFFVGASRVRTKEGLRILAYSDKFDIVVDADVVAEYERLRALPQM >CRE21763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:657178:662042:1 gene:WBGene00061351 transcript:CRE21763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21763 MNMLVNTLFNSIRVLIACTDSIHGKETIETHFDIPINDASYICVYYQPTGSDGLPHPDPNIFFAIGCMWYMVGSSMFCVFYFGIRCYSQIRNSIAQTANASLAVKNLQSQLFNALVVQTVIPVVLMYIPIGILFFFPMVIWELPFTTSFVGYTIALYPAIDPLPNMIIIRFYRRAIIGEELEMILHSLKNVYRFLLMDLWKKACGSQFQLG >CRE21764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:678809:685123:1 gene:WBGene00061353 transcript:CRE21764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21764 MYNRMSKQKTEINESRAGVDQNSYNNEGRTNDNGWPEVDESEEEEVEMDECCDISIIEESGVLSGGDSNMTLDDEQTEENESVSGTQRMLRYGLKIVSEMREMLKVVSTPALLVVASSCISRESNQGREEFQRHLVAHDDSVAEEAEKLWNFNRNRFTTHYLCNNCGKFLNARMSGCDQCGSTVVVSFVRIGAFWQILELVETFLPEILEIRRRLKSGESMNHNLRSPFFSERWQDEEDDHLNLSVVVSIDGVHVSGNTNKLWPVSLILVDLPAGIMQRTTSIVMEGLLECKETPSTAVWNSLLPMLFVDIENGYGKVEGVSFSCRIMTCTGDQPAKRAFYGMKSHHSSLSCFYCLSAGTYYKLHGDSRREVRPEDLTVCDSREGRNGFGSVTSRLVERILPYDTPIDLLHGMGEGLFDKIKRELMPLDTNVARKSELFSVDQARLRHFIDNVRLHSSFKNVGYCRNGTDKTSFFRLVLCLAALECDAISPGARVVIVALSLLANKMYTDVRAEDLFDVQLCASACWFLSEASERYISMKGHEILFHLPEVNRIFRNTGPLSTHSFESYYQYALSGYSSSVTRYFSQNAVTKVLLHTSVRREIARRASNFPSAKLRKFISLTPDLIPQNTSWSGEITRLGPLDLALIQEPDTIFFGKIHLGIGNLTSKHSSIHTSDDMFFASWGNEQKCYRFVAALVRNELEGILAEPVEEVYPDEKFRSFQNLSEVVFGTDLYYTKETVNVLKSYEGMQCGRLSGRRVILPKESVVGVACCFSSTNYTIFVQANGALLHN >CRE04355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:7916:10384:-1 gene:WBGene00061354 transcript:CRE04355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04355 MIFPILRLPYLVLNKILDHLNVFELVSLSVCSNRCKWRCKQFRSTKEQITTFHLEFLSTKGTTFLNFYPSLEQQLVFELKKLEELQNLNNYLCIANNLYVTRDWSPRVFPTGRDRWFPFCSYLSKYHQTVYYSTDLAASTLTWVRFLSELFSAQPTSLSLTFNCFNTEEIDRIMDCHCSVTNFEINSDSNQEVDQKLAISILERQNATKELKISLKPTNETFQFDLNSFRNIPEFLEIGHSFWVKWEQVLDLRSNANYILRSNFLNIHFKDLVEKWKGGWTPKWNRIMIESNEILDIDSWITDPVINLGQEDLGNIRNLIRQNQIMHAYKFHFKERYPYGDIVKTGYHITRQDKSIATVTVENNKIGWFILQSAEPDDVFMVYSHLRTYQCNDPPGRPVVSYFPARGTPLS >CRE21828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:693058:696289:-1 gene:WBGene00061355 transcript:CRE21828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21828 MGIFNTVFQIACALTSICLNLVLTYLILVKSPKKLGVYKYLMCYISLFEVFYSIWDMMTEPIVHSYKAAFVVLRDYYGSVFNREVSFVLVCIYCGLFGFSMAIFGVHFIYRYGAVNKSFSERFLPGNWVYMLFVIPLWYGAWWAVLCYIYFYYTDITDAYMKETIEFHYGIPIKDSSYIIVYFQPTGPDGKPHPDPKIFFAIGCMWYMIISSMFSVFYFGIRCYWQIRKTISQSATVSVTTKNLQTQLFHALVVQTFIPLVLMYIPIGILFFFPMVIWELPFTTEFVGYTIALYPAIDPLPNMIIIKCYRHAIQDFFMRLIGRGKKGDVPHSVNTVDAASGSDRRSGGRFV >CRE21766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:714239:715431:1 gene:WBGene00061356 transcript:CRE21766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21766 MGAKQSQERKSYLEDYPDPLGRFTSIYNIENISSIVASRHQKSVTTAKWFVKMNIHFTHGIWMPVERGAPLFLVVYCGVLCPEVKNWRVKANVKFILHDLNDVQNSVEYHCGDVWFDNYTHEKPVIYQDTNISLDSLLNDSFGYVKDNMMRMATDIRVLEVEGFHQPTVVNYRVPPTDPFYKQLCKFSDEEIYINKAVMNTHWILKPGGRPIRDLGDLDIPRPSTGTLEEFLDCAYGYPIQMDTRAGFWDILRSAKLFNMRSVAQRAAQTIIHDLKYPKGLKQVINLGIEFDMRRVVHSWLNGKKSISKKDMEDLNIEKMSGETMKAIVKRVLELKKKERKSRRIDFTR >CRE21767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:716224:717858:1 gene:WBGene00061357 transcript:CRE21767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21767 MDARQSYLEHYPNPLGHFKSISNIENISSIVANRHQEKRIIDRGFVKMNIYFTHGIWMPDAMGDRLYLAVYCGVLCPEVKDWRIKANVKFVLHNLNEEQNSVEYDYGDVWFDNYNHEMPVIYQDTNISLDSLLDENFGYVKDNMMRVGTDIRVLEVEGFHQPTVVNYRVPPVDPFYKQLCKFSDAEIYINKTVMNTHWILRPYNRPIRDFLDLEIPKPSTGTLEEFLDCAYGYPIQMDTRAGIRDILRSAKFFKMRSVAQRAAQTIIHDLKYQKGLKQVINLGIEFSMRRVVHAWLNRMESISKKDLEDLNIEKMSGETMKAIVKRVFEINISKNFVKNEYPFLYPGQPDRAGREKVFFQQYDQNLEFIKIRTRLENYVSTERVAYKIG >CRE21829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:717954:719127:-1 gene:WBGene00061358 transcript:CRE21829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21829 MGAKQSQERKSYLEDYPNPLGHFKSIYNIENISSIVANRHQKSFTTARWFVKMNIHFTHGIWMPEGMEDRLVFVVYCGVLSTNSKYWRVKANVKFILHDLNDVQSSVEYHCGDVWFDNYTHEKPLIYQDTNISLDSLFDENVGFVKDNEMKMSTDIRVLEVEGFHQPTVINHRESPTNPLHQQILAFPDENLYVNKEMLNAHCILEAHHTQIRDLDEIKFNRPSPGQIEEFLDCIYGFPIPLISRGGLHFILRNAKSFKMRSVAQRAAQTIVNDKAVDVITKVEVSIAVDFDMRRVVHAWLNRKKSISKNDLEDLSIEKMSGEIMKAIVKRVLKFGGWEKEEK >CRE21768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:719678:720833:1 gene:WBGene00061359 transcript:CRE21768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21768 MDVNQHWSLISRSERKSYLEYYPSPLGHFKTVFIVQNIHPVLENMDYKIVTQYFNKMNIGFSHGVTMFDTRLLLFADCRVNDPNVGEWRIKANLKFTINKFNEKIDSIIYDCGDVWFDNNINFIQRTTFLTENDLLNQDFGFVRGTRFIMGTDIRILEVKGFHQPFVMNHRVPPVNPDNRFVFAYPNENFYCSKEILDILCEMNGNSKVSAIGQIEFPIASRGPLEEFLDCLYGAPIPVHSRGGLNDFLNIAQHFLVRAVTQRVATAVIHDAGVNEVNWEFLNLAVQRNMRRVVHRWLIGKQSINKIQIQRLGVHRMSGEVMKAIVKKVLELGWEK >CRE21771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:735217:736374:1 gene:WBGene00061360 transcript:CRE21771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21771 MDVNQHWSLISRSERNSYLEYYPSPLGHFKTVFIVQNIHPVLENDDYAVTSRFFNKMNIGFSHALAIVNTRLVLSAYCRVNDPNVGKWRIKANLKFIINDYNHRDDSIIYDCGDVWFDNNINFIQRTTVLTANDLLNQDFGFVRGTQMIIGTDIRILEVEGFHQPVVMNHRVPPVNPENRFVFAYPNENLYCSKEILDILCELNGDKKVSASGRIQFQIASRGPLEEFLDCLYGAPIPVHGRGGLKDFLNIADHFLVRAVTQRVATAVIHDAGVNEVNLEFLKLAVQRNMRRVVHRWLIGKQSINKEDVVELGVHRMSGEIMKAIVKKVLELGWKK >CRE21831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:738910:740820:-1 gene:WBGene00061361 transcript:CRE21831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21831 MSQEFKKPSRTSYLEKYPHPLGQFKSSMIIHIIREKIENRFQIPRHQSRWLQKTNISFAHGILLTPNAQTLKIIAFCKSNDPNSDEWDIKGKLRFTLHNWVDDSRSVTLEREDAFFQSEFGTAPVTMNTHVTFERLLERGFVKDDKIWIATDFRVQEVSRFHQPMVFNYRSMMKNWVAFQHLNDTVYCSKEIISAHTKGTPKTSFAYKKVYTGSFEQFLDIVHGSPLPVLNVKDLVDVLKDSESFGMRNVIKRLEPFVIYQSNLIEYLGPIAVEYNLRRVMHAWINSRSLFFEKADLETFEVEKISGEMMKAIVKKVFEADKKKSSF >CRE21832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:741361:743920:-1 gene:WBGene00061362 transcript:CRE21832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21832 MGANQSHRDAEEHEDSEDSEDSEDYQRSYLEDYPNPLGRFKSSFIINGIREKIENRHKDPTILVTRCRRGKIGLSYSISMPTNDTRLKFHVYCKAYNVSQDWTVKGEVLCIFYNWYNEEEDSVVLTDAVFEKKSKRLPHRVTSEMTYDELLNEDNGFVKNDSIWVEVDFEVEEVIGFYQPIVFNWRQEPETEKKGLELELRHFNESFYCSKETESMTFPSKYLKIGMGIRDDYCFPLDTFLDVVHGFPVYGNGRRFTQISYMAHTYRAPNVLKRMETQAMRRPKHHMRTNDAIKYNWRRVVHTWLASLEKIEKADFEKLDIEMMSGEIMKAIVKRIVYLSDHSH >CRE21833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:746507:749420:-1 gene:WBGene00061363 transcript:CRE21833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21833 MPCSQVLWRFPSFIPELMSSPRCLQHVYQSSCTFISLFLNTFLIYLISYKSPKKLGDYKYLMIYTAVFEQFYTVVDLLTEPTAYSYGYSFVVFRRYNATWTNAEESQVLIVAWCGLFGSSMAVFGVHFVYRFASVHPSSSVIYTKIQSIGRNVLVLFAIPVVYGVWWTFVCFVYCRYSHETYHYIRNVTKSLYNLNIEDISYISAVFYVDNPLDGSIHLNIGSWIALCQFSTMVGSSMFCVSYLGYRCYSELSKQLSMTSTQSNSLQKQLYFALVGQTVIPVALMYFPICVFVFGPVFMVEIGVVSTFLTHAVTLYPVLDPLPNMFIIKSYRNTIMSKLFISVSLNLDIPLFLRYSTILLPLTIFQQCGKI >CRE21835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:752716:757374:-1 gene:WBGene00061365 transcript:CRE21835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21835 MSDDYYENDENDWNEGCDFFNETAILKKRSEEEKGKVIDLDQYLESSEPLQETYDDDGDIDWLLPQEEEIVISSPTRFVSNDRVPESTARQEAQLGSYGSADWESQAIFTMQYPDGNSYTGDCYSAPIHDVRDVSLWEEEEILVSSPTRVVSNDRMSEPIAQQKEFEHYEESRKQMEYAVQQYSVGCQGSADWERQDNFTMQYPDENSHSGNCYPAPSYDVQCVQVQNQDYPVPPNPIAEDFYSENREPAPSHDYPDLPITPCPRQPLAPLKQPRFILVSPESRRRLQAVRKPSSPYTIPPRTTQKRARRILPPVTEFTVEVTIWRNENGKMQQDLPVRPPFSYRTLANLACLNSPSGSVSLQEAYSFVLHHFPYYRFIEQNSWKGTLRKIMCRGELFARVFKDDSSSEVLYEMKNKNVDGVGYTDYEMEWINQDRRDIDFFRRMQRGQLGLPRQLFYSVIGLGCPKLAGPENSALFYHLWSLGHRFDNITNLFKSHKTEFTGEEPVFDEERVFLNLTNNHRIHVDKKWGYGVNMDDGLNWSEKDSALFFKNIRRYFNEQNEMKRRNLPNWATPSLVRENIPRNRKNDLNTVNV >CRE21836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:758121:759350:-1 gene:WBGene00061366 transcript:CRE21836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21836 MGANQSHNDTDDSESSESSKSSNSYDSADDSYDSDCSTTEEISHLENYPDPLGRFKSIFVIKNISKIIENMDIKPTHHSKWFHKMNVSFSHGISLINPRTTLCFYVACRVKNTVKSFWKVKANVIIKIQSFTMERGTITINCGELCFSLRDSNMLALHGRTNLHLVDLLGEYHTWLNRKKSIKKKDVEDLDIEMMSGEIMKAIVKRVFEVGWKMDEEN >CRE21837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:763321:766100:-1 gene:WBGene00061367 transcript:CRE21837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21837 MEEAGKVELCITYQVYCAAWKPCYDIRASSAEEEDNTQNGSSVQLCYYGLVEQNTGDDWKDCDIVLSTCSASLGGSPPPLSTLSASLHSSRPTRRHHASSAARRKAPLSVPSEEDMGFGSFDYNDMVDAAAMHRWHNGQHSRSSEENSVSTQALENTVSTCFSIPRAVTILSNAVEHKLLISKNELSCAFSHETVPSRSTSAYLSALITNTSQLPLLPGAVAVYVNNCFVTKTHLRLVSPGEEFRCNMGVDPSVKVEYKTPTRTYDQVGFMSKSTLMTHEQLISVRSAKVRQSVKITVKEQIPKSHDDKIKVSIVSPEIKSSSSSKNSSPPDARLNKDHNLEWCIVLAPGQHRLLPVRYTIEHPASESLSYKLN >CRE21772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:771416:772296:1 gene:WBGene00061368 transcript:CRE21772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-138 description:CRE-COL-138 protein [Source:UniProtKB/TrEMBL;Acc:E3MER6] MEEDRQSVKKFAFFGIAASTVATLTVVMAVPMLCLYLQNIQSGLQDDLTFCKSRTDSLRGEYTRLAAYRDSAVATLRQKRSTNEKCCSCGTGTAGPVGAPGDDGAPGNDGKAGKPGVNGKDADAQQIPTADDFCFECEPSPVGPPGPPGSKGPDGEAGSPGEPGPAGRPGNKGAPGPAGQPGADGEPGELGAPGAPGVQRTVASPVGEPGEPGEQGPKGEAGQDGRPGQPGRQGPQGEAGQNGKDGEPGKDGEDGEPGKPGEDGPKGSCDHCPPPRTAPGY >CRE21838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:772551:779279:-1 gene:WBGene00061369 transcript:CRE21838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21838 MIVEQPGCAPHLFEASQLATKSVIVYSDRAEVKRLVTVDLPKGNQEIVIQNVSAVIERQSVRVDGRGVLIQEVQYQEVPMDMTHETDKIVEIERQKVEVENERYAIEDECCSIRKRIDVLDGVAAQISSGPASMPFSAQGSSQLPASGSQPHLTRRHTVTGQEPNPLSMSTTSMSNGFFFNHESLDNLAKFLSYYGDAVRDMKKELRKRQRETEQLSEKIDQLDRQLDQLRCLAEYDSIKSHQNRALKFIVVKGAIQ >CRE09706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:373620:374770:-1 gene:WBGene00061370 transcript:CRE09706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09706 MGSFPVALAPALLFTLRPTTKGFSKTTRLIHFAAFADDIKLYSHDSILLQSGIDIVSEWASANSLPLAHSKTTLLRLGAKNPGHHYHIDSIPIIESAVVRDLGLLTDSHLKFDSHIAKTSSLAILRCSQLLKSFRSRSLPLYKHLFNTYVLPVLEYCSAVYSPSPSSILSHKLEKPLRSFTRKVLQKCNIRYTSYLNRLEIIDLYSLRHRRLKSQLILLYKIICGATFFPEIHSYVRLSNSNRRPMTLICIRPQVNDFFSSTVPIWNSITSNCPEFLSPGKFISLLEQSINRL >CRE21775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:793306:796085:1 gene:WBGene00061371 transcript:CRE21775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21775 MSFGQSQALFYPLKIYAPIKVSLKRIAGPVCKYGNSIMKFLGIYTEIDSDEDIDEIDLKVYFRCDFNLYANLACCANCIRTHHPGHLISTIEELNPVIDVFKQSASRIATRFLVADIEKYGGNCKIRTLRMGRICEKLTHFVSNYFRSPDGVLKVPDVHLSRRSKLNKFFDPMPWENLDGVTRENVDQMISYLEKEIKLLNCQEDCNCTEIWDEMHSLGFVNQVERKFAEVIESLGNKVVKKCPFPEEEFQNMRDKARQIIPADYEPVIDQKVLGLVEFYKENCLELLESWREAEMSILWFCLKCASYTEQLEVCVFYDRKWKRGCEHLKSRVSYCSLRTGDEHCGFNAKALMDIPRHDSVLRLATMNLVFKILSAEVEEKIKCQRRKIQISKAIGLLKTKIRRYLLNLDPILSDIQSSIENLKIEWNECLANCSCIDVWNTENCSSRKKLREFLKYNPHKCPTIGMMDEEDQEDIGMSLLYF >CRE21839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:800742:802444:-1 gene:WBGene00061372 transcript:CRE21839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-17 description:CRE-FLP-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MES1] MLSKLVFITFLLFTSSFGASMEEIQSEKFCEKFPTLHMCRLKEELTGSLVELQYLLQDGINVGGQQQQVGAQEVQKRKSAFVRFGKRSAPEEEGMEMEKRKSAFVRFGRSLGMEPQLTEKRKSQYIRFGK >CRE21840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:812224:818352:-1 gene:WBGene00061373 transcript:CRE21840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21840 MSAPCLLLLTSILFFIICHVANAQNGVNDDVTTVAAILDSATNGAQAVDNSTVPPASTNNNNTNQGLKTPTIFGMRVELPADDPFGYDKHGVCSVAPEEEFKVVIYGNHLDKIHQIIWTFTNNCSEPAYVIDALNHFKTHFNHKATFHLTLKLLPEMVHAYKMCVKPKVALGAPPVGEIYPVSFVEWFSFLSNFFQIDDISTWLTTERPPKEYFLPLPLQIACIGFLLVLSALFSGLTLGLMSLTPQELELVIKSGAVKEQKCAKKILPIRKKGNLLLCSLLLGNVIVNSAISILMGELTTGIYALIGSTLGIVIFGEILPQSICVKKGLEVGAHTISITQLFIMLTFPIAWPVSKLLDCLLGDEYQAYDRKRLMELIKMSITDNGQVSNELKIAVGAMEIADKVVRNVMTKIEDVFMLPDTTILNAKTVMEIVKMGYTRIPVYQYGDKNNVTDMLFVKDLALLDPDDNFTVKTVCGYHKHPVKFVMNDTPLPNLLEAFKKGEGHLAMVKRLIDTDDGHDPSYELVGVVTLEDIVEEILQVSLAEINDEFDIVTDNVNKVKIKKDQNRDATKYFGDHEAPQTMISMQLQMVALQWLVSNERAFRQEFLDTNVLERLIRSSARRVDVSALMAMGDDAINVPRLAKVYQKDEPSDKYILILEGRIQVTIGASGMMFEAGPWHHFGGEIMAKMVEGAATLGRSMSIVGTSDLSARRPDLMFRPDYSAVVKEDCTYLEISVAAFINAYKASLMQRERPLNDLSDVSHNSSAHGSQISLAEKAGPITDPSAMLVPENVRKPSIVSVDTTPRILNLIGQHPAPVAEEVSLWNVRFCIEKSYLQEEMSLLDKA >CRE16971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:263851:271185:-1 gene:WBGene00061376 transcript:CRE16971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16971 MHRNNGKLYLTVALIEAVALIWFPISIFPVIDHFYETIKKFYYVFSWLLFCILPAWYTARNGYENTQDALFIAIVFIVFLLYVIVQVLHVLISLLAIQGVLIYFFQSIEKNLVAVQNKILNNIRYLYIAILGFDILGVFYESKCDHCSKGHVTRTLAAQNFCSKIQYISNLYPSTMLGSLWLEISSIVEVISVTLAIPNNLLLLFCIFNKSPKTFGNYRYLMAFFTYQSTWFSIITLFLNVNYFTHQTSISIFVRRNLLGLPDLGMMVLTCLVCSSNCMMFVALAVQFVYRYFAMTKNPNICLFNSWRMSLWYAAMISISICFGIIAFICGYLMEGARVDGFRESLFSTFELPENSTVYYSRMTYYTRDSSTEIQRETRKSTTENCFYDNWISIDFKDTTGGQTCDFYV >CRE13905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:97593:98012:1 gene:WBGene00061377 transcript:CRE13905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13905 MSLKVQFITTRETSVSYQSTLQKVIVPFFRNKRRTHVFQQDNASIHKSISTQNWLKAQKITDLEWPAVSPDLNPIENLWGLLVRRVFKHGRQFNTIQELKDAIETEWNAITAAELRALVASKPNRMFEVIQNNGGETSY >CRE12285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:140239:141185:-1 gene:WBGene00061378 transcript:CRE12285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12285 MNLEKEAPYFGMYGNNYLSPRYIVTSPTPSYLVQSGYPESDFNSHSPMSSSESQAPTYYQIQPPSPGEMVIVRTTTVTRNTTSIQPLHSTF >CRE12284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:98412:102326:-1 gene:WBGene00061380 transcript:CRE12284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12284 MNSSISILTPTTSTSTPGPNEDDSSRTTLKLVLAISYLVLFIIGTVGNGTVILMIINILTSMKRNMQAGKRKVMSNTNHVFIYVLGLSIVDLLVILHLPFLVVDLLKGQWLFGVAMCKVYWFGESVNKLLSSFLMTVLSWDRYMAVCSPVKSMKMRSNATALKVLFACTLFATALLLPVLIEAAVFKIDKMHMVPLIDGSEELAASDLAGTTMSKCMFDADAMFTLYTFVIGFAAPAFLIIIFYAQVIFALQKSSRNIRGARGISKPDGTSNRVKKVSFLVLFDESSILKHAIFQVTKRIVAVILFYFLCWTPQWTLNIMSQFNLIAVSWMTPALSAMFFVAHLLVCFNSAANPVLYAYINRELRTQHVMAMHRKRHSVCQDQRLTQNRLSMQSRPSDASATVFSKFGESEGTIFSRLSQFQHNIIASIFHRKRIPLVVDKNITIENTSSSTDEDKDDYL >CRE12275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:92771:93546:1 gene:WBGene00061381 transcript:CRE12275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12275 MIFHCLDLLPTRRWLTTLSKFGPGRAHDLHINTIPIPGSNTTIVGGCLDTYTWCSSTTRVPMALYIFAFVFFFGIAFPFVESPAAALYSEVLGPRKQGMMQGLFSFGGSVTPFVASIIITFLFQHTGYKYVIILQSSTIFIAFVLMLVFYKRMVPLKLKPNNGESAAYKNGVFYSM >CRE12283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:89064:92326:-1 gene:WBGene00061382 transcript:CRE12283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12283 MFLFSGLSIVDLLVILHLPFLVVDLLKGQWLFGVAMCKVYWFGESVHKLLSSFLMTVLSWDRYMAVCSPVKSMKMRSNATALKVLLACTLFATALLLPVLIEAAVFKIDKMHMVPLIDGSEELAASDLAGTTMSKCMFDADAMFTLYTFVIGFAAPAFLIIIFYAQVIFALQKSSRNIRGARGISKPDGASNRVKKVSFLVLFAESSILKHVIFQVTKRIVAVILFYFLCWTPQWTLNIMSQFNLIAVSWMTPALSAMFFVAHLLVCFNSAANPVLYAYINRELRTQHVMAMHRKRHSVCQDQRLTQNRLSMQSRPSDASATVFSKFGESEGTIFSRLSQFQHNIIASIFHRKRIPLVVDKNITIENTSSSTDEDKSNYL >CRE12274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:81126:84926:1 gene:WBGene00061383 transcript:CRE12274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12274 MGIFPSDSDISLPSKDSGISLDSIRSPLHERSTEWRAMWISIGMQFVVGVQISVYYMSMWPYLSGLDKTADMDFLGWVVAACNIGCTISNPLYGLWNQKTMSCKWPTITGFLIAAVGQVMYGAISEVQQHGKWYMLAARVVTGLGVGNLAALRAYGATASTPKDRLKAISYGTAGYVFGISFGPAISAFFTPLGETGFNIWILKIDMYTTVAYLMAFVCVLASVLMLIFFQEDYAGIIDKNQDGDEKYQNYVVVPKYDLVPALICIYLYMIVSMIATNIEVMSTPLTTVLYDWKDSQAILYNGIIESVTCIVSVLINFGIGKSRIGKIDKRLQILFGLGVFVAFHLVNYPWWFYSGPLNFLPPGSNTTIVGGCLDTYTWCSSTTRVPMALYIFAFVFFFGIAFPFVESPAAALYSEVLGPRKQGMMQGLFSFGGSVTPFVASIIITFLFQHTGYKYVIILQSSTIFIAFVLMLVFYKRMVPLKLKPKNGESAAYKNGVFYSM >CRE12279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:29396:32253:-1 gene:WBGene00061384 transcript:CRE12279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12279 MTRKSIVDWTRPGKVLSLEQKQFYQKNGYVLVRKCIGREELNKYEERFNAICERKVKPPASMLVMKDVSLAKKVTPDSKCALLDSRISLSLSGIDAITKLQDFCDEPVLFSYCEHPRVTDVVRDLIGSSPESRIQAMHTMLINKPPDTGKLTSRHPMHQDLIYFPWRPADLTICAWTAMEKINKKNGCLQVVPGTQTQPLKEHEYPDWEGGVNKAYYGIKDYDLSLPREYVEMDAGDTVFFHPNLFHGSGANRSDGFRKAISCHFANYDHTKYIDVKGTIQEETGKQIVEIIKKHPQRYSVKPGQEVTFELSWRLRSRPVHHDGKENL >CRE12270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:53192:56219:1 gene:WBGene00061385 transcript:CRE12270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12270 MSSLNDEPDENNHIFYDPVTLLRPISRATGISLDQLNFVTVLFVSFGLGYWYRLQFRDANRTTRAAVTTGIGLFFSYFCYGNAIIHLFINGFGSYILMIAIPPQHVHKAVFAFAMGYLLLIHAYRWMYQKTYCLDVTGSMMVAVGKITLLASAIHDGMGRDEKDLSAGQKRDAVKEIPSLLDFASYMFNFQTVIVGPMNHYHTWSNFLDLKHVPKDDKTGKPHDPTDTAMLKFEMAIGFSVVYTILSPYLPMSLTSDPVTNEYNLVVWWLITVAASTVHRLPYYFAWTISDAICNISGFGFDGLTEDTLEPKWSKTTNVKPLRVEFGQNYKEMVDNWNIWTVAWLRRVVYERVDGPYRTLAVYVTGAAWHGLAVGYYFSFLTSALFTLSAATFRRCMRHRFLDNAMHKLMYDIFGMVVSKFAIGYIHWPFFVMHFWPSLFVYRKLYMTPHLIALFIYIYLPQIFPPQSKSKMSSISVSSNSSSLHTEKSDTSQRSTRVENSTRTAIEK >CRE12282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:44529:52819:-1 gene:WBGene00061386 transcript:CRE12282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12282 MFRSTSRIPFGKLLTRKIHHQTNGLIIPSKTVKNALQKQQKRQILFKMGNETPARVVGYYVVFPVIPEETVENNCFMFNIAKSEDWPKLATAEPREMYEGTVRMLMDYGATVMEHMEHLATLPAEDRKFENVVDPLLTEEYEVNFAFQTLVLKMLTDWPDCNRKLFDADLHHIKIMCARDQMEKLTNKDFQEAIKQLYESKDGLSEWQSRLLEWYLLEIKASGLDKHDDKTRKVLGSWSKFVDEYRSKYITGVMSTNDQQTFVVNDQKIIKDAPPHVLQKLAVDEQNWETGPWRGRMTPHTIYPFMQYCGDRQLRATAWEKWTSKAGFDHDFYNNSINIEELRHNNEGLAKTLGYTSVAEHRLANKMAASPETVRGFINALTRRIRPVVIDRMESWSAWASRCEMITGELQAYDMPYVCRKEAEHHYDVNPLDLMNHFPFWPTFQNIIGVVGHIFNLEFKDITDKGLERAHADARIYAVGDKFSGQHYGRLYIDPYDRQNKRGGWNAMLARPESKERGLDKIVYFIGSAIAPTSNGPSLLHHQQLQQLLFHFGRSVQLLLSQSPYRDITIPWSPFYASDWDAADMFPTFLQMFVTKPNLLAAISSPHLKTNQPLTEEHANSVALTISRSSLWDTYRTLFWSDFDLSIYEMEDRKQKFWLDMYKQMYEEYFPFKRQKNDYQPCSFTPIFALQPHMSMYYRKLWAEMLALDIHETFDEEDNEVQTGERLKTTILNRGSGDVAKELFKRFQGRNPSVGAICDHYAPPLTIQEGMEASENERR >CRE12281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:39052:44228:-1 gene:WBGene00061387 transcript:CRE12281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12281 MSSGTTAATSSSAGNAETQVVDEIPEALNTILLMVVKNFFSNEHFLLVYHIMRAQCIREENLKARLQFDQKQLRQLLAQLKLEKLVKERIITQKNENNRTVSIIFYYINYRAVLNVVKYKIDHMRQKLESREQMDTNRAHYKCNACQSTYDTLDITRILDIETGRLVCWRCHGEVLADESVAPTKATRSAVARFNEQMNTLYSHICALNGIQLAPHLLEPDIAKYLEDDKAALQLQQQMDFSSGGGNRIQLGGVAHSYHNAATINYQNGDAVFVDLNAASNKGPVEEAKIIPEWLKDTAIGGEASHNDNVLDQATNEDDEATSSKSGPDIEWLKEVEYSSEDMKPVKIEEPPAKQIKLEEDVTSESFENSEDVKVKMELDEDSDDSDECMIHVGGRVLPLSQITPKMVEEEMNVAEQEEYCTIVQELFALFFSQQCSVY >CRE12272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:60345:61520:1 gene:WBGene00061388 transcript:CRE12272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12272 MNSLRFVITSTRCFASKSTVDWTRPGKVLSLEQKQFYQKNGYVLVRKCIGREELNKYEERFNAICERKVKPPASMLVMKDVSLAKKVTPDSIDAITKLQDFCDEPVLFSYCEHPRVTDVVRDLIGSSPESRIQAMHTMLINKPPDTGKLTSRHPMHQDLIYFPWRPADLTICAWTAMEKINKKNGCLQVVPGTQTQLLKEHDYPDWEGGVNKAYYGIKDYDLSLPREYVEMDAGDTVFFHPNLFHGSGANRSDGFRKAISCHFANYDHTKYIDVKDTIQEETGKQIVEIIKKHPQRYSVKPGQEVTFELSWRLRSRPVHHDGKENL >CRE12268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:26253:28027:1 gene:WBGene00061389 transcript:CRE12268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12268 MLTEGVVDYTKEGSILTAEQRQFYEKNGYLLIRNCVPQYELNRFRQRFQDICEKKVKAPDNMTVMKDISIAKSEFKDGEKAITKIQDFADDPVLFEYCKYPGVVDVVKDLIGNPKSTVMAMHTMLINKPPDNGKLTSRHPMHQDLQYFPFRPADFICCAWTAMEKITRANGCLVVVPGTHKGVLLPHEYPKWEGGVNKAYHGIQDYDPSNPRIHVEMEAGDTVFFHPILIHGSGANRTEGFRKAISCHYANDDICRYVNVEGTTQETLAEEIIEIAKKRLTKYGLDPNTVTLDFADIWRVRAREVNGHRSNL >CRE12277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:10662:17221:-1 gene:WBGene00061390 transcript:CRE12277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12277 MKQVVIQSVTANRHNYTKLAMVRFDTSGAQDNITYFDDIQPFSSALDNNPPNPNAAAGVSNPSNVLSIISSFLAASGRPLESSMVVLLLNRFPAKNNDLTSDEYDTITNNNVKIFPIISDTSYTNQYSMAARSSQAITSIAANSNSHFVIGKVGSPLVQTFKYLMSTAYLDSLAVTRSFVDNYGSVVQSNIAKLRVPHSETSSLVNFTITVSALNPQNTSINTNGLRLTFYQSPSSQQTINFEPGSLSNTNFYYATVQLKQSGTYQIQYESMFDLGTISMIRVWTESALYHYGSYASLEDPMGGNTLSKVDEYQGAALRMKLMNDCYTTHAAYVLFTDCSGAVSPKYDSSQTIDIDYIFADEGSFPHYPIVPFFCDSTPKNTNNCVAGTQSKYDIQFVSGEFTVIRSFQCRPGVGQINPKCKNVDSNGNYYCNRDKLPYMRGPTGQIPDCLGHGHVEYDFAFSEAYICVCDDGYSGDSCEKH >CRE12276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:7396:9905:-1 gene:WBGene00061391 transcript:CRE12276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifta-2 description:CRE-IFTA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NBA9] MESDSDLKKVAKILVLGPPKAGKTTLCRFLADFMEDNETLKKGEDSSERERKFEFEFTSNYVPTKAARIQEFETHEFFAESQQSGTRRLQDSEIHLWDVSGDRKYEDCWPAIKEDAEGVILVANPEEHSGKDLQLWFTEFVEKENIKLECVMVILNEQGSKKTNHEQISSFEILPKLRGVHHVAHHFGSEALQMKMEVNNFMASVLRVDQRRFQEAESHGLSYEDNRDEDDF >CRE28670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:165883:167183:1 gene:WBGene00061392 transcript:CRE28670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28670 MSKSHQKKSTDDSANKTAHNGREEEGGDHLSIKILIPSSAVCDIIGRSGETMRNLRKKNSCQIQISKDGDTYPGTTERICFVKGRLNHIVAVIESIQEKIRKKCPNQTGNDAFDLENTLRGDEIKIVMPNTSAGMVIGKSSNNLKLIKKRFGSKVEIYPKVGSVEAKTALERVVTVAHEESAALLKSIRRILKHVALDPHHSSQINKEDFKKAAKGIEKEKEKEKEEEKEKEKEQEKEKEKEKEQEEEEKRDSPIQCMVTGKTCFRDLQKHYQLTKKVVGCPASEPGHPTAAHVRRWIDMGEWQ >CRE15238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2427:4216:5305:-1 gene:WBGene00061393 transcript:CRE15238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15238 MKSLRSEVTKIMKQHLNHGKKIAGLETAVSVTASEVDAINATLSNTEEEVTVLKEDLASTKEDLEMLATRVRTDTVSEATFAHLQTRVGHFEMLMATQKRRNQQLESSGPTKISKGEGPAEGSGKSSKPEAPTCCLCDGQHPIKNCQLFPTSLSRLNEFKKSGRCLKCATLGCSGKAQCPNSIKTCSNCENRQAPPSSFHLSAVCLYDEIFVKRQRDKKERERRTRTMETPVNNQLQQSAQQQTQQQQVQQPAPQQVQPQQTVMQPGQQQQQMQPQQQQMPQVAPQQMMPTQMMYQQQQYPQANAQGYPTPQQHQYGYYTQF >CRE17712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2103:2861:3829:-1 gene:WBGene00061394 transcript:CRE17712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17712 MVARRETHRILSDRKLTHDSEKTKHHYSKSNSGNQMRDDTDSSKESEADTQESEVFAYNGKRERTYNNSHKQHSNNQYKKQSYNQNQSNSSSHQNGHSQPQRSNYKNEDINVNPFTQNQNQPQANHTNQSQQQAPVQQNKTEPKQGFNQFDMNEAPSQGAPTHQYPEKPTFIPDGSPDCPGHAEGRQLTIEEALRTRIENCKKPFLYNTGKGPNIEKIRYTFPKSYATDNTCPLCGPGHRLIQCPKSSSNVRKWFEENRVCTNCKGRHSLNYCKSDTSCYYCNGRHNTAACKLKEFFRDYRNFPEKAPKPTDIQFFLDQQQQ >CRE03354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:331405:337799:-1 gene:WBGene00061396 transcript:CRE03354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03354 MGILDHPSSSGSQPGHQSTTSSTGKFGIDWSKWFPPVTSRTFLSHYLPASGAVSHTLYTVHLFSPNIISSLFPTGDLAVSNTILFNANVGLGFYVYFRRHLHRVNPWERVEFSVLCSTLFNFGSLLAAVLIKALFPARSPTWLKSLTATVLSGYLLSRGAKYMGLLDTRTGRGASGVSPARSVLSRRHTAVIPSGDVTPPQAPPTSGPAHSTSELLHLDTTTTSPESARHLEYSPMTPSGTEHSEVMTSSELGGVEDSEGVTDSRRQNYRYLHSYALNNLNFPKKDAILRRTTMNQNPGKRIQKATTTTSGFRRPSSSEVAISFVTPEPSLKNQDYGIYTPPPSRQENQKAKSRRRRAPESRIPRIQKIRKNELDDVDRVIDLIQWHAPIAMIPLAVGCWVTGTVPLVGATWRLIFA >CRE03337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:316935:328293:1 gene:WBGene00061398 transcript:CRE03337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alp-1 description:CRE-ALP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MYN6] MKFLKGTIDRVKGAPFKKKARATAGRQDEPAYLRSETLRLLKEQEAPTGGSTTTLSSHPNPSGLPVCFICTRPILGVMARAAGKNLHGDCLSCATCGNSLRNVGHHFIEDKFYCDIHGTQRKAGGRPGMDPNIFVKSSPTAAQPVHLQDSPRAAYHPQINRPLSVSPAPSAGSKTVTTHYHTPKTQGILSPAQRGVPNAAFGADLSKTVSYGAGGVGPGGTHYDSNRLSPAPSQFSQTSRTQQAPPPVPSNPPPGHDTSRVPDSVRSAVSPRRSGRVPRGHQWPPPQAPPTNRYWQIDPAEALKKEKEKLNYGEDLAALIREVEQTKEKEPPKKITTSKKGAGRNNARRASNISKEQVKEAFEEHRKKAELKKEAELKIEPPKIQDGIHWTVIHSPRSPSPAKVTSEAVEEANGVVKPIPSYATPKPIAISKTSSPKPFRSGEAVEKPHYSAVGLTADRSTNLDRADRADRQQDRLTNLDRADRLDRSDRQQDNLDHLDRADRQDSLARQQNVSTNLDRVDRQDILDHQQDRSTSQDRRNRVDHKDGSTNLDRQQDRSTNLDRADRQDILDRPEDRVDRSTNLDHTDRDDRVDRQQDRSTNLDRQDRMNRQRDHFDRPDRLDRAPPTIAAPIPLPRSPQHSPQQQSSYIVRASDANITTSTNLQTTVTRQYTGLAPPHPDTIDQSTSPIPTIRLNRKVPTVAINNVATSPVDHNKLMDQVGRPSPRPWVPSPGVKIHEPNEPFRAETTLGSAHSQGVRKDPKHFKKVDFSKTSPTVIPEWKDSADIIDESDILTECSKEDDSFLKAATYDGDESEMIDNEDIDIEEEILEGLEGAESLKMIRVVGKGEGGEAEKQWRQRAMELLDDDAQTERDLMIVAALNERLQGLREMEDDDRVRAIECIARHQSELEQTQTQLSTLLESAIVYLQNLRPAEDPPLDTPDTPSIAGDRVMELRKKVLEDLEQLPILGSAAPPPPPERKVFPFQILSTGSTGAHPSRRGLHDVLDEFYSIRRKPSGVTDNVEDSRNFLAARLRQVMIEEDGKQGSSNYSKTSFERTTTENRPQGGLDRAAGDFRGTGHLTTTTTTTQQQNGGRIPYCEACKNQIRGAFVLATGKSWCPEHFVCANSSCRRRLLECGFVEEDGQKFCESCFEQHIAPRCAKCSKPIISDCLNALQKKWHPTCFTCAHCQKPFGNSAFYLEQGLPYCEQDWNALFTTKCVSCRYPIEAGDRWVEALGNAFHSNCFTCARCNHNLEGESFFAKNGQPFCRLHA >CRE03353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:314499:315968:-1 gene:WBGene00061399 transcript:CRE03353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03353 MIRLGLFLSLLSILTVSGEFHKMKLGGDCEKLRVELESSDTDSATKLSKLNAFLDTLGADTRQKFWDIVAAAKTDASTNLPSETAKSLAASVIADFEAGNLFRSHQELSKELKSKFESLDDADKTAVKNLAKVYGKQIKALVMPLLPSNCKATSEAAQEAGAQMETGGFDNGDYMPRKKRELLFIDNLTSAIRQARSRRGF >CRE03336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:302330:314383:1 gene:WBGene00061400 transcript:CRE03336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03336 MERVTVRMARSDRRTPWGFGVTEAPDGAVLIVNIIGGSLADRAGLRNGDVVDKLEDLDNLDINAVDRLLVTAHDKIELIVTRQSSGAATRIWRPEVTENTGPGHEHTPYRVNLQHSSDSRPPQGFNSTALPFETDQRVKHMQYNSPLGIYSDKSAAEQYVQQTQGLADNQG >CRE03352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:279838:284475:-1 gene:WBGene00061401 transcript:CRE03352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03352 MSQSIFPPINFLIQNSAFLSNFAAQRALSNGQPSGSSLAALEKLSKAFRRPEIQIEKIATSSCIDKNREKEKSEFFLQYFISASTHFLAVSQVVPSRNRYGRPYISGRPLLTCDRQKIVECYKKGMKKIHIAKQLGITHSCVSKVLRRYAETGEIVAKACRTASCSCPGSAEEHDVRYCKHLQDNTIRLFFSIENILRTDSSNSRVIYFSKCPETSEPMEITTIDL >CRE03335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:274219:278881:1 gene:WBGene00061402 transcript:CRE03335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03335 MCMLLSKSKSLATVPFLCNFYTRRFKRILPLYYLVILFSLIALYTVFLETAILQNQGAGFRALFFFSNRPETGEEDYFEKLLLAMDMFTHTWSLRFGGKLRYTYYGFLGALSLYYRHISPEDDAFNSMFARIWQFLVGMIVFLIYTNGRTPELMETVENGKYKKLVEETGFAKSDTETEKVNIPTNNSSLKYILLFPMACIVAYPIAFDPALLRPMFTVFTGVLMLLSVDDYYLSNRVLTYIGDISYALYLIHWPIYTYCKLMHPGNDYVLAAGLMSSILLAVLLFETYEKFYLSLLNISISLLIPALFVINYKLIKTDFTFDLMNPCNKYNFTSLDGVTKNMTYDDADCWNAYWDHSDLEANELKEPGCINRTPKQERWCDFEEKGGEFKIALFGNSLTMNHHKMFLQECRHRAYNVSMYSESACEPLVSWLAKKHCDRRLKDFVDFLKSSRPDYAFLFTRHISAGLPSPNSTNLETDYLYLQMRSQMRQLIPYVKKKLFVLDSFPRMNNVSYINVAKDLKRGRSVDEIHKELVNLNYYELARNRTESIVKECGSKCELIDYKPLLFNKTTNRFEFFDSNGFLYFTRINHMTPHAMELVRPIYTKICKELE >CRE03351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:270714:273440:-1 gene:WBGene00061403 transcript:CRE03351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03351 MSSKRPDLQGIRGLAILSVLGFHFFPNYFPNGYLGVDQFFVLSGFLMCMLLSKSENMATVPFLLNFYTRRFKRILPLYYLIILFSLIALYTVFLETAILQNQGAGFRALFFFSNRPETGEEDYFEKLLLAMDMFTHTWSLSVEIQFYCIVPIIFLIGSRFCERLRYMYYGLLGALSLYYRHISPEDDAFNSMFARIWQFLVGMIVFLIYTNGTTPELMETVENGIYERLVEESWFAKSDTETEEVNISTNNSSLKYILLFPMVCIVAFPIAFNPALLRPVFTVFTGALILYSVDDYYLSNRLLTYIGDISYALYLIHWPIYTYCKLMHPGNDYVFVAGLISSILFAVLLFETYEKFYLTLSNNSIFILISALFVINYKLIKRDFTFDLMNPCNIYNFTSLDGITKNMTYDDADCWNAYWDYTDLRAKELLEPGCINRTPKQERWCDFEEKGKEFKIALFGNSLTMNHHKMFLQECRHRAYNVSMYWEPGCEPLVSWVSKKYCDQRLNVFVDFLKSSQPDYAFLFTRHISAGLPSPNSTNLETDHFYLQMRSQMRQLLPYVKKKLFVLDSFPRMNNVSYINVAKDLKRGRSVDEIHKELVDFEFYELARNRTESIVKECGPKCELIDYKPLLFNKTTNRFEYFDSNGFLYFTRINHMTPHAMELVRPIYTKICNELT >CRE03333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:263293:265077:1 gene:WBGene00061404 transcript:CRE03333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03333 MVVLKIFKSPLCIVSVILFVFLIVINDYLLSENYSSSLRKMLRIGALERNVDDLFPIKFYHIAFVDYRTNTPRLRIFSISGCLGNSKYLNVDIHQKGMRTPARMKIYGRPMEGHCPSAYWPATPCFFSAHTFEANLSVTGGLTKVVIKLGLRKVELSVQEIHNPVQKGITMCLIPMYYYSQWQNIVLYIEAWRAQGATRFIVFYHSSTKDTRKVLDYYQDLGIIEMRPWPSFGSLPNDIAEKYPNIDNSAYIFAYFLALNLCIPEIKTTIGAVIDFDEIAVPRNGTTLDYATKEMTGTNVGALIFENNYVSMNPSIYTSEFSGVSSPTFYSKSGPQKVRHSMFERLISYLFFQYIFNASVIELCQVHHVESFIDKSKISKKSDGALLHLRYNVKSFQANTILKPFRFFPNNASHHIQNMHETVKSIFGKTPPPVSLKSLNTFNICEKRSENQGMCHGATCKSDMDAVHEWVYDRTEGVFLSGEY >CRE03332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:250940:253163:1 gene:WBGene00061405 transcript:CRE03332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03332 MLSVAIYLLGTMKLFRSGIWGDVERVFTIQNENHLGESIKRSSLAALEKLSKAFRRPEIQIEKIVTSSCIDKNKEREKMSQVVPSRNRYGRPYISGRPLLTCDRQKIVECYKKGMKKIHIAKQLGITHSCVSKVLRRYAETGEIVAKACRTASCSCPGSAEEHDVRYCKHLQDNTIRLFFSIENILRTDSSNSRVIYFSKCPETSEPMETTTIDL >CRE29150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:257781:268061:-1 gene:WBGene00061406 transcript:CRE29150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29150 MEKMEIEDAQKAGDEGEGRDDRNSLDGSLWAHVTELESTNVSLKEKMKRIRGFEKFVEKSEEVVQNLQKALGDTTLAARKQDLMLAPVEKYCAELRERYGEVRCDGWQEKVLKLMREKAVETVEQLRDECEKAGDENEEEKEELEKENEKLKENNKYFQRLLEEKNVEMADEMARSEAEREQLQKKLEEAEKKIEKLKNAMTKEKRVSEGLKSSITHLEGEKMELRKKENERELQAKSPLREKTRSLRREDGTTFGDGWSRLGEDERSVRSRRSSEEMWESESRASRYSVIEDLVKSMGEVMRSSARASALPTPKVYDGVGDFREFRRAFLLKYQSVTESDEELVAILESQFLKGPAKSIFSSLKNRHKRPIKDLFVEFETKLRKRQGDAKTEALNVFEGLRRSPNQRMWEYCIEIEKWSKKALGDIGEETMSQMRVTKLMKAIRHDALLHRVLITKRPEVKLEDQYEVFKDIVLQHENEEFRMSQQRGYFGGGKGRYFGKEKSESGKKSDDKGDKGVPGGRREMGERRPVDTRKCFNCGGVGHMSKQCTSKGLNEVDAQKKEDDGSVGKEVVEIAEILGKQKKIIIDSGAVVSVISTGLLGRLRKNWEEKVEMLEKPGLSLRDASKRKMAVVGQMKTVIRVRGIEAEVVFQIVENELDVFLLGTNAFASMGVEVNWKAEKAVAVTAQKLRVPPQSCAQIEVRVEADLGEDMLLESTEEWVPTSLCRKERENNGKMMVVVSNWRDQPLLIKKNRPIGVANRDWKKSDGEECGCKRGNAHFVDGYGDRSYDPKNLALKMLAKNEKITPEDVHLMVFDEEFQKKLGKSERLEALRRFAEICPTWAQKIMTGAVEEFEVEWKEAADSLKKEVLQKNQPKKSTIKERFILIGQRMNVKSRAVFEVRSATISTWKQKFDWDQVEKAVILVEWTRKEQELEGLMHLVEEIAKEVREVVVVPAKMECAFDEVGGVTAQWKKTRKTALNVEIVDPLKPVGTKKTPLILAEWRSGSLEKIVEYLELAVPSHPVVDRLKEEVTSEPRAKKPRGQ >CRE03349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:220574:224228:-1 gene:WBGene00061407 transcript:CRE03349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03349 MSLSTAIPTTTSSGASSGAPDENDPWLLNTLATAVPGTIGVVIIVFNLIGNFGNFNVIAATWRSKNLQSKHGWLLVILCSEHSLCLLFEFINVYFALSGVQITRRSCLLYLSPYIFISFLQSTTFIALAIDVLLSFTVVSRYQKWQTTGYCSVMAFSPCILPIAFLTRLWSQVSDEIIFLCNPPLSMDAKAVNIWGGLITSINITILLIYGYVYFYSHQTKKRTSDSKMAEKIMRSLTILVMVFCFSWVTCMGMVFLVDYFTENPIIIMLVRSYAVIFAAISYSQTFYVYYIRSEMYRKEFKKQMCCEKADAATGGSVIRIVSRSTNI >CRE03330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:218771:219655:1 gene:WBGene00061408 transcript:CRE03330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03330 MSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINANKTKVLRNKFASSHQINIRKNNTMTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRKTHAALERKLVGITLTEQREKDLHREDIRKMSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLRKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHNN >CRE03348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:214995:218163:-1 gene:WBGene00061409 transcript:CRE03348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03348 MSLFTAVSTTLSTLSPSGASTGASSGAPDEDYHWLLNTLATAAPGTIGVVIIMFNLIGNFGNFNVICATWRSKNLQSKHGWLLVILCSEHSLCLLFEFINVYFALSGVQITRRSCLLYLSPYIFINFLQSTTFIALAIDVLLSFTVASQYRNWQTTGYCSVMALSPCVLPIAFLTRLWSQVSDEIIFLCNPPLSMDAKAVNIWGGLITSINITILLIYGYVYFYSHQTKKRTSDSKMAEKIMRSLTILVMVFCFSWVTCMGMVFLVDYFTENPIIIMLVRSYAVSLHTSYNRALPKTRKIVCEIERLKEKEIVRWSAIVIFAAISYSQTFYVYYIRSEMYRKEFKKQMCCEKADAATGGSVIRIVSRSTNI >CRE03347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:189675:192508:-1 gene:WBGene00061410 transcript:CRE03347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03347 MLKTAELADGLLGTTLQWDDVEEIANECAKGVELKFGDKKSIKPLAEGVGLQSLLGIADVEWSTENEKSPYPNKFALKIGSPVALLQALEAQAAKLPPKVAADISDEFIKFLPPCHNSEIYFYNYIRTLSTSISILPDYYFGKEIELESDGNYTKGCIAIELVENVKTLSPLENFSDSQMLQVLDSLAKLQTQFLDMNEEERRKAPHRGLAGLYSTFKDWFLQLNNGLMAQFPDPEMQKLTETFARKLPEIMTADDLDLLPAQLEMQRVFVHGDLWSANIMWDAQDNLKKLIDFQMIHFGLAATDLARVFNTCLTPEARHANTDRYLEKYYKFLETRCKEAGKEVPFDLEQLTTTYQLAYPRVSAYLLPALTAVLEKVVSMPDSPIKLAFLGSFIAKVKGIYADIIEYHENRPEF >CRE03328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:185985:189401:1 gene:WBGene00061411 transcript:CRE03328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03328 MNNNLMMQRHYLKLRPFLIVDMTQFLETGYKCIHLSVTPVSHPNQVLPETVNQIFIFWLFILAFASIQAQHVRSNQKKFRIWKMAVILSDLPMRGNFNSSNSHYYPPVTDEFIPYFSNANAFANASTTRLRIRRNSNNDFSVTVDQILKISRVINGIALQQGLTKKSIQSETLITELLNLGSVIPALIHDLKPNEIAALIEEIQSFPDNLSQSLEIDRIEQRFLLYSSMINKIKGVEKNFGIPDSNQYLTDVKKLARTTENLGKIDDGVTCMKYILDRLVDLETTTDIAAELFLKLVEIPVMTNRVESLDKFKAFFDSKVIGGSIFETLTRVQSAVQEFRYAKPAVNLYDTKDDAIAGKVGENIKKLNDLAGKAKSALSTFHILNQLFIHRLHRSGNRELTLSAGFSNGFSNLQLISDDLRDQWVQSVVDGQAESLAKAMQQFKSFGEAIKSMDNSFALPPGEDQVLRRVYDRTAQLAEISEKFRGLDENTKQFKLNVLKSEMRPTNQDNFSNLMEKIWALRNQYSATLQVIDLASKLTGDHKEDLSNMLKIIANCEPETAVVQLKTLRESLEFKKILSLFRNAEKELNTLENVQQEVHKTQNQNSTVIGLAKSIGEEYGEVKTYMDGLGGFFDGVDQIRNLKGIDLLGEAVEAVKMFRASNESALSFLKIKEAIPSVQQKMMDVQATMDTFKDGLEVKVLAGLRDVLQDSQTIGSASRVYWSMEKVNTIVVLDEKAVKVIQEKMKGVDPEDQENLDQLLLIDNQLTTVYAQIDGVKKSVIPTLTSDLSSLYQVFKLATTAPGTPMDFLKIGRSVEKLTKDLTLTPAQLKSLLEVKKNLETLDTFGLDFAKHHKDIDGSTRALNQMDLFFADFKINVTPVTTTPMTPPRTSSTPPPGQLQSNASTGSLGENGETKVEGGNWFEKHPCWIAFIAFITLEFCVGTFVAICVWKRKKSVKNSNDVEKRINTKPHKENAKNEQAIQMRSR >CRE03346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:178823:182159:-1 gene:WBGene00061412 transcript:CRE03346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03346 MEKDTTLGIVCAVVSAITFGSTYVPLKWFHKGDGLYFQWVQSLGQLLVGVTVALTTTPAPIHPIAMLSGMFYSIGNSLTVFIMDGIGLAIGYLLWNTVTCVVGWAVTRFGLFANPQQHPRSDWLNILGVVTVCVGGAIYAPIKHIPSRVRPAPWSVEEDYKTDPLVVQEVSCTRRIICLFLTIFVGFLYGNFLTPINYIIANEPGSHQDVRAYILSYCLGSFVTSTVIFFFYAIFKKNTPLVNAELSMPSIVSGILYGIAVTTFFMANQHLDQVIAYPILSKAPGIIVSLWAIFLFKEIQGKKDIIQLYIGIGVTLLGIAFISLSKVEF >CRE03327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:174194:176421:1 gene:WBGene00061413 transcript:CRE03327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-118 description:CRE-COL-118 protein [Source:UniProtKB/TrEMBL;Acc:E3MYL8] MMKLVVSGFAALSGFAILALTIFVPKIYWEMNELQVEVIGVVESFKVETDSLWIDLMDVQIKHSAPSRPMENPLLRRDKRFAKLPDWCQCDSAPQCPPGPPGPQGAPGAPGIPGDNGPRGMDNPHSLGSVSCDSQISGCVQCPRGPPGPAGAVGDIGPRGPDGAPGAPGIPSSTHGKPGPPGPPGDAGAPGAPGQDGAPGHPGADGQRQRGQPGPPGAPGAIGKAGPNGVAGEKGRDGEAGSEGIPGVPGPRGAPGQPGSVGGPGGPGIPGRDGQYCPCPPRSFILAANSRRHRSHV >CRE03345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:153095:157686:-1 gene:WBGene00061414 transcript:CRE03345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03345 MESSDDEFDNENLLPPDSPEPSALSDANDEKEYEKKTLDDVTNLASALGAIEAESVPKRQLTMMEEIKRLSEENARMYQEKLVELIALHKIDLSPSTDATTQLTGALEHISAYTKEQSFRFLAMTEVWRQPSEDAEKQDAEKPDAVKPDAEKYPIEPPLVDTVAGHYAIYQITRLGISYLTATPVHESLKNIGKAQIDSDSIILSDNGSCYRIAMTDLLLGDTIAVKELGFEFGKKVVRKFCLFKRKILENQIFNIGRNLRPVILGSGEVGVAKNPPYTSGTTHIGEIFFPVNWMDPNRNLYLPIAQPVVLKSEKEKNLNNVKQFYLQTRNYKYSPQNLDYLVAMGSSAITAARIGVFDSNRYSIDASRITSDNGILKLHIPAPATSRTWVPGTRIAIEGVGQAVITFCPENNKFAVIFARPIGNFNYASLTGYRLVYQVAMETTTPIQQGFFETMEDGTNGKKIIQSLFGGLSIGVDGKDQERSGFICREEMKDVQFPECLQITPSDNLATYPITLDTSQSTYIQQIVTRALPITVGSFPILSGKTTTVVIAALESAKAHPGTQHLIYVPDDQGAQVLVDRLQSVLAGGGSKVRALRMINFSDWKNLTPMERTCLDYPVLLRKFLQDIIDGMYDLDEDTKESAKLYFGKETDEDTFWLNLYFSLVSPQIIIGKFESIFLNSTLLKLLDKVTTIQLDDADQIPQHDLIQACIQYPHATYGLMGDSMKDRPSHLSMSGFQESAAIGWLMELSVNWKLFPIVTSNNVYGGVNKKIVDVIGKVYYKDRQLVGRSHSSVTPAVKILNHHMPGDTQAKNARELELLVKLVKKLTGDRPPSEIGVLCFTHKQLPMLHQAFQDSGVHYGHYEAFQGVQTEILIIWGGFHSVKETGLTMNVSTFMLTRGKQTVYVLGSTQEMKNMKSDRNGVKWDALVKVVKANRGVVDAEVVFLLLILIGFFRIF >CRE03324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:138991:147113:1 gene:WBGene00061415 transcript:CRE03324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03324 MAPGFCGTNKPTTSNHSEGSIDNENSNPGRMSKNSSGYLSDRRVSLDSNNSSASGSTICPDEIHSKNAAIGSQDDTNKENKDTTHYSNPYHTSEKSKNSTEIQVAEGNKVEIEELDEEPTVPLKTTVYLQCCSFFQQQHLYFSTLKSLHLHHDFTNAPKNPDEARELYFRIGSAALQMLKFGKELDRNVVIVKSGKVNKEDKAILELTILQTAGKPEIPENWKSGTNIVICGKTTGCARIESVKEEQFEIQITAKLSPDSRQFEFPNGQENMIFEAEKPIPLHLHPGFFTAMQEDCNAKKVIRAFYNGSKIPSDFTLNIRGLPNMSRKRSVQLNADQLHYIDQILKWHPIIIGSGPFGSGKSMTMAMAAVLAAKKVPSRCHLLVTQTEIAAANLVKYLEEFNFQKDIPIVRYISESDSKRPEHPETDLDLPKFLATHLESLLRPGKLKKIYGNELYLQAQYYTRKTYPAGFIKPWSFEKWTSFYFRHFKPRIVVTTADSLWDIIHLLGIVQSIQFDDASQIPESTIISIISKFQHTTFGMMGDDNQLQAYRDPKLNDFLASHAIGSLMERAVENNLVPVIHMKKVYRCHPGITRILGDVFYGGGLVPSVTATERSFLTVDRTDIWSCADFPITLVNNPDNQDEITVAVRLIERLTRTIPEKDIGVIIFSTTTLLQNSLKNVKVGTVDSFQGAEKEIMILCVTTSHVTDDRKINVALSRARQGVVIIGNHDALKNSGCWMKILKEVEERGCVMDLTPPPNLQHDSSTVSRRPSHLLRGQIILWLSIPISRNYHCFNYIYSVCLD >CRE03322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:122040:124250:1 gene:WBGene00061416 transcript:CRE03322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03322 MSSDDEEYFAMLLREQAARNDAQKSSTSQAETKKEQTETTDSQLSNSIKSLLETVEEVRSDLIELENKHGDAATVLFSQIIEKLGSLWNTAFSLDKKYKNTEHWKLVQEVFQRVSSVKLVLHDLLKPGSSLYCPEITKGLHEIYELRMLLSQHSGTSDTSRSPESTRYLAVFQKAPEIFSSVIPIDSSRKPDEIRSIPFEYRGHSRQSYDSSRGLDEIFFEPQVARINLNQDLDDQVSNLKNEVNNLLVDCTAAEDTMKSCLTTISQNSRKLDEMENKVSSMNDKQKTATQEAIMSELSHQIRTARLKQNELKNQLASPGFDHREVFGSSIYSRRQELIVHQPTIHSLSVLHAVLEIHCDNAIAICKREYKLAKVRDQNQNEGELGASILHHFLRIEANVLKFQRITAMRWIGKGILEKKEQKTRIISDRVVQFHRGGLLTDLNKICTELKTLRDELNNKLSLRRMSFLYFSFENHNQELLLSGSAYSICDIEELLGIPDFVLDVLMDLEDNPNTLSDSLYRPRIDNFLEVFNRMYFESFK >CRE03344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:116945:121000:-1 gene:WBGene00061417 transcript:CRE03344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03344 MTLKRIIRKLRKQFQHSITQRNLKKTPIYDIILIIFTFLACSDIFYEYYWKQEGFLPQVSCRTFDAFFAEVHGQYQTDLLSNFMDYCALATYDPWDPKIVPYLWGEDPTKYCDRRWRPYTELVNGTWRVIKEKEGLECKARCYYSIDFNGPLNRTDWFSPGPVDCEFLEAACWKNGYEVYGFIHTQIIAKPVRKVKKVKNQHKPNVLVYLIDSMSVGMAQRSLPKTLKFLKTRFKTVEFPFMSQVGLNSKPNGMPLWFGKQIEPGKLKGGEEIKVDWNETEFCQSYLDGEQHLFKDFMEHGYKTLHSEDWSYQTVSSFPDCKGFKNQYTDHTFVPFNKIHEFDGLTVTKGHLKGRSLCREIYHAAIDQFEQFTEVYSDQPKLSWIWNIRVAHDEISGLDRSDAPLLDFFKKHEKEFDDYFIFLMSDHGFRRAHYHMYDTENGAMEKHNPYLSISVPKKYRNPEILKIMQKNAKELQTQYDTRATLLDIVKFQPSSLFSNRTLLEIPNEKGHSLIRHQPSTPRTCGRLPIPQQYCIWRTATKDMREDTALTNRFATQLIAHVYQKLDKFNLTSLCHEYEIDYVSHLDLYPTTNINTSTYRIAVKTKPPIFAHFETLVTEDNKTKKLEFEEVERLDTYGNTADCTNRIHSNRLCFCKDWQV >CRE03342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:98260:101047:-1 gene:WBGene00061418 transcript:CRE03342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03342 METIPLGLLVELLKSFHWALPNNISLESIIESELQFRQQLLLLQQNPQLSPMTVLPSPTVTPESVVTSSPVSAVDEVIDVVKVQPVELVEPEPEAEKEKEKTTKKRERRSPVSPSKTYSDCEKKHFLDVAYENNWGTTVAANKFTRIWGKGPTRRMFYWWREQFKRDNDRDHKTLSVLKDRKTELSLELTQFVQNQEQLKIKSQADRAKACLHQLEAVDSEIESFLGKKQKSMCSITGEKCVHGDGIQEENNAIKKFTNSFVCDFCKMPFQKESTCFLHQKLCESRFINPIQLTASDLAKTSERIVVQKLDEPSTVPPPQATVIQLTPPTYESYNTELTELAEQLIARLAACAAGQTTVISN >CRE03341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:92635:96386:-1 gene:WBGene00061419 transcript:CRE03341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03341 MRFNLLFILFVCHCITVSNCYDTLPDFPIVRPSGQWTRYYPTTPSMHRFTYNTRTRSNTPHSEKPVQTTLTTPFVTSPLYPDYDKPIHPSFQTVFAKLSHIARLANAIQLQSEIMEQDDVDSSINRLLNNNGTRSIQTLNVTQLKEFRTDFERDINSIETTYETKDAEMVIKTCEKIRFDTIDVSYDFPNRTSFYKSLDDFYVNFKPEVVTKAFRPVQFYSTCVGEIKKMSPSCSEKISYIIIITNNIQQIRVSVYLSPIITPSDQKKKRILIPRFFERCEKRRRRCNTYKGGVEKEVQSPLGDVNRLVLSYSKKLSKNVFLFQGPMNASETHDDTRIDLLALIFKDEVECVVMLGSTRLPGGWSLIDGLYFMENERGSMEIGPYTVETVNSEPLVVEGEPGENVTCRKLKITNRKKKVSRELQHFQYATWNDNDIPPGGWETAYRVMRMVTGSKKPIIVHCTKGIGRTMCFIGLEYTSQLLVAHENWSFEEVFKKLIEKRYCSFQNTRQIGWLHVGMVYFITRKYNLDMYMVREMEKVFKEMIINKTGVSKDGKF >CRE03320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:77325:88883:1 gene:WBGene00061420 transcript:CRE03320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exc-5 description:CRE-EXC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MYK6] MSSLVQLPAHVPSTFQMNFDEVRNIPNAPEVDCEGGILTKTEEYEEIDPSDGCTVRIFTYYIDLPNQEQLTKTVRQKLQFACTQKMTRTSLVGSEAQVVENCQRISGDIRGMRPIEFTNNSRQICLDQHNLQLDLTNEQDQQIDRVLNQVPDTFMNVLSKEKDMFIKMYPDLFKAMRSESSLIPSTVTEVIQNEDGSTTTRTRKSKSYSSHFSRQSTYVNGVKTMSKSKFRAFVEYQGPEGGFQVKLSDGEDLPSEDELEEDDTKSQSCISEIDSDSDITVPSSHKTDLEKRYQKAWYAAKELVDSEERYVEKLKLLGDTFRNRLIKEEVLTNDKITRLLANVSSLYQFHNTHFLPQLMNSIREWRVTKRIADVVRKQAPYLKMYSEYTNNYDRASKLFEELKKKKKFADLVKEIEKQPECEGLPLVHHLICPVQRVMRYQLLLQEYIKHLRPDDADYRDSEEALKLVLAAAAHANEMMKKLDRFGKVIEVQEQLGNSISLVSPGRELLKSGAIQKISSTTEKTEDRFIFLFNDLVILASERKMIGMAKYKLRAVFSASHMQVCEGDNLEREHSFYLRGSDGTGPKRCVELFTPTQKEKNEWVEAISANIDEAKANSSMFTTSSRSSISENDNNNSIESKHCADCDSEFGFLTRGAKCVKCHRRLCKKCFGRHRNESKKSRICDTCTKNMDLDGIPRSFSTQSTTNSRTNRLQVPARGPGVLHSSQIKFRGSLGKPTDRFCVIRDDFCMYTYLSEEDKTALAMLPLPGCEVKICGEKFTFSVRVGARRMYTMTAQDEENQMKWMAILDLAANAHLKNQRNSGSEQSESG >CRE03340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:42949:45752:-1 gene:WBGene00061421 transcript:CRE03340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grsp-2 description:CRE-GRSP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MYK5] MKLTLLLLAVVVAYVVAQGSPGRQGVSDNSDGLFGGSDAGSGLGGQGGWGGGAEAGAGGGASGGGRGGGGRGGGRGGGGGASGGASGGWGGDGGSSGGGGASGGWGGDSGASQGGWGGQGGNGGSQSGGGWGGSGSQGNGGGGGRGGGGQSGGGQGGWGGQQDGGSSQGGQGGWGGSQGGNGGSGNGGGRGGGSQGGWGGQQGGNSGGNGQGGWGGNQGGQQGGNGGGQGGWGGSGSQGGGRGGQGGPQGPGGGWGGPQGGRGGGGWGGRGGWGGWGERGDGWGRGNRWGWGRPSWGGWGRGW >CRE03319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:34684:35454:1 gene:WBGene00061422 transcript:CRE03319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03319 MPAIKSNAEYKKYVQQLEKERGELRKELAQEKGKNEELTTTISTMREFLKDLKLESRIELKDLKAVLAEVVQADHQKEAELAKLSTELQYANASLEATEQHFYLKTLNVSQQKTLRLETEIRILKKRCEEAERKYQMIQEEEASNKSTEEVHNLKLSLEALKIENEAYIEQICTLQIANSTLQHEKNVGEFEKEKIKKQLEKSEEEKAQMYSEGVSRGFDGISKLQTVLKNKEGMMKKFVK >CRE03339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:32127:32612:-1 gene:WBGene00061423 transcript:CRE03339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03339 MSSSSSSSAPSSPLELSFSDSSAPSTPMKTDCEESWEIQGLKMDLEKEKKVVQDLKEQLNSAELKNSIISQKMDIVECEKVEIYNDLVRNENEMKCQDMEIDQLEAGIRMAEKLLKEQKEQLEELRGIQEKEKPWNYKESWELHQSVKKNEKTCEKGFYGL >CRE03317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:10360:29431:1 gene:WBGene00061424 transcript:CRE03317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03317 MKSKNVWNASKARQELKLDRLKTKALDAKCRVLVEQNEELMARLCELEMSHSGAAADESKSNRRLAEQDERIRQISSALESERDVSQRLREAKESLEKELTVKRKHDDAMSSRILMDAEMTKMEAQNKVDEMSVEVEAARKKVTEVMAEVEQLRAANFELQMHIETAKRTMEELADCNEDLEKQIEQIQEDCEEKEEDYKQSTWDVENLTRQNEALRVELDGVRQGFHETRELAEEVKMLKTELHRQQELHRAQFEAACEKLDSDDDVIERKTSLEIQQEQYILAYEQQIETLKKELELKNGPVAAPEEFSGVLLGEQTPVQRKTTAVLEAPRFEEFQMTSEDSEALRAEIAELRLKNSNFTEKIERLEMETKLSGELNVELGRAMLELEEHNELLRREQEAEKADEQGITKELELQELMIQEMTEQIATDSEELETLRAEQARLLKNLAESEIVRKEELETKNSEIQGFLVKIEELEAKLAEKERQETQETQILTSEPSESAPEALEAPESSEHQTLLHSVSHDMNKLIELKDELEIAVAALKSEIWTLNGQLKASILDREGLEDKVTLLDDLIEKEKKRAISLDAELQEQIDLTERAMRRAAEAENESNQRMAECLEKETRREEIEQAYTRLNEFYNQLQEAYNMIYAQLEVAKNSSESTTTSEFQQTTTSSVDSPDDAIVNSIMTILLISRDPSISQTTQQKMQKIAEKLKQLVGEFDENQKALEEQRQITQALEQRLQTIETASGAQNATTTRQRVEELEGEIEWKEEECEALKRRIRDLEKALEAVAERTEDESEAAAVSRLSTELAILAARLTTRQADVDSLFRTNAELAHTNVRLQNECDEWEEAREKMIEEKRELEQHVKELEEQVAELMEQHEAHLQQTQLLQSTTPILSPPTPNPETAAKLEESEREVIRLVAIEKTLNSRILALEDQNLELEEKFQEIEEEMMTVKAENAEKCAPTAQKSDWDDWGEQTEQTEPNEELREAKSEVERLLEVEKALTMRIESLQIHNEQLEQKMKELEGKIPSTSQPPPKQDDDWGWGDDDDTQETTIQTVQISKIDNSELEMAKADVARLSQIEKMLNERIEGLEEQNSDLEERLQAMEEDLMDVKREKTEKNETWDDWGDSEGVADSEVTSEDVVTILKKTIEELEEKCRFQKEVILKAEAQLVETQDKPKTVIFSPKTEFFMPKTSIFDPEDPIFRPKTVVFSSKTAIFSPKLYDELEETYQQSQLEKPSEELLRELRTQLELTRQEKQELVMSLEQMKIQKLEIDGRFDELRENEKKLKEEDEKELLKLRDSHQQLTARITHLESENSRIQAEMNQKIASIELEKSKVEEELNETLDELEDVKKKESESVTTSSGGWNDDGWGNDEETQKVLEMRVETLQDELQKFKEREAELSDESSELKSRLESAENEISNLQNEIQKTSGIQEELRRVTEQNGMLKDSEGRLMDQSDDFANRMEKYREECGRMAQKLTEMEEELRKEKMEKSEQKVMEKANEIVGVLRQQLAAANTEIHRHRHHLSAKEETIAELNRHISEHTKTIEELQGKLKLVRRAPTAPRSSVSHPSRPSSQMSSTSSRMSGATTTTSSVFVPEPIQSMGSAFDVVVPQTGEPLRRRNK >CRE03338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:5156:6473:-1 gene:WBGene00061426 transcript:CRE03338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03338 MSTTSSTTTCPACHEKRVKSRFSWRAILWAIFCFPCGIYCCLRRKTYYCSQCECDVVKRTETRQIAPRGSQSLGYNYRLYESTKTGLPASFSAYRNPAMSTTSSTDSTVSTTLSTAKIVH >CRE16479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig345:24273:27174:1 gene:WBGene00061429 transcript:CRE16479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16479 MDVYQSKRTKLTRPKTRKPDLRICTFNCRSVATDNRLAELLEETNRIKYDIIGLSETKRSAEVHMTNRDGTRVILGKRNDSSVSGGVGFIINKSLMPKIKEIKIVSHRIGYLTLQVNKNQYTIIQGYAPTADYEEEDHSNFYENLEDVYKSCKSRYKMVIGDFNARIGERKGNEVFIGPHSMENRNDSEERLATFCEVNRVFHMNSQFIKPTHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHRMLRANVHINAKQAKFEQVKRRKPPRRVLDPTAALLATENLDSCEDQDIDKEYDTLVHVLKKAQDAAVTIPTNHSRNRLKDSTRLLLSKRRFTDRSDPNFKTLSKECRQAVKRDHENFAKDRLLNAANQRKSLRKVARDINEYQSYIPCLLSGETGEKLTSREKMEIEVRRFYSNLFATKQSKATTIIPQQTKALPPFLPEEIQHSLNSFQNGKAAGEDKLSADFLKSCHSSVHKLLANKFSRYLQEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPVLYKVFTKCILNRIRKSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTNSGKNATRADVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGFTLTEQREKNLHREDIRKMSQVKDPLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE24172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:3486:4947:1 gene:WBGene00061430 transcript:CRE24172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24172 MLKSLSILLLVLLSIATCRFLTEEDVCKSEEKRWDDCFDEWWKNKTTRNDFDFYQNLKNTMGCIGDYKCKGMKKLRKFQFDQMLFTKEQLSGGVMDCVEKAGRLSEFQQCLTPGARARYPVGVAYNEKVVECIGDLLERMECSVEDKKKIMSTAYSNRDFLEISMKDAENFDKEFDATKYL >CRE24174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:8183:10305:1 gene:WBGene00061431 transcript:CRE24174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24174 MSIVVNHQQNFPKLDKKKRLVQYFESQLNHLQFYIVKQDEKIYQALSTQNTLRAEIHAEKQKYQELEKERVKDKKQFDELISAMETSKDKKITYVQESPNVKLTETIEQLKERIGELEEQLEEEKNKNLPDMDPDDAIKAHLQYMVREGEARLAEKKYEIRFLEKQLESQQNQIHPPQNKLEERLNIARAELAFANEQIGMIIENNERAREQERKQEKEEYEAKLRELKDMLIARDEEIWELKNKGN >CRE24175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:14481:15559:1 gene:WBGene00061432 transcript:CRE24175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24175 MSYYYHPYIGYQKDCNTNYFGWQNLHVLHESIKTWNYLPGGPQYSSTIPQFPSQQIAGPRRMSVDEQITQGMEEFSKQVQDSQQPVQSVSSEIYKQKTFSNHFNMTAQTESPPKQRQKRTKFTRTQLSVLEARFEKNNYISTEEGNDLAAELKLNPMNVRNWFRNRRVSQKIKLDNKQKGKKNVVRELLASIYSQS >CRE24176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:30598:40899:1 gene:WBGene00061433 transcript:CRE24176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24176 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3N975] MDALSQQVKGCGVGEKKVEGKKKLGLIPLAPKLESNKNGATTTVDSNLRKLNISPNQPIYKYDVKIACFFLNADGKEIEVEFSKSPKQDAQHERDKMKCQKLYEMAVKKTPALQKSGAEFFYDRQASLYSTTKLTAKDNIKLEFGKEAFKTPNFVRGVFELKAVAESYQATSNGIRSTVHPAPALADKTLTEAISIFISGPAYSNKNVITVGSCVHYLLDSSRLNVKMINYDQGFRYSALGASKGVKSLQGTSKDPSLYMVTEMKSTLFHPDDMPVVDLMQSYPGFTPNFNVHSPAGAHIARAIIGLEVYCDYGKNANNMEEAMIVKIIAFDSSSRDVVFDCDGEDVSVQQYFKKKYGINLKYPDLPTAVAKGKKGGKMHLPVELLKTCPSQKVTNNQMVGNEQADMIKLSAAPPHQRKPTTDAVAKSVGIAADNVYGFIEVEPSQKVQAIILPKPKIAFSGNKLVAFGQNAKCPTDFTKAGHFYEAKELKNWEVCYVQNEEIQGLSDQLVAEMSNNGMKVAKPAISHIVKSDLETVFKKAKSAGRQLIFFVIRTKYHFHQAIKAFEQKYDMLTQEIHFETGEKFFRQAQTRQNIVNKTNMKLGGLNYLIGSNYLNNPRVLIVGFETSQTGGDIVTVGYAANMMDHYQKFAGGYVYAARGRDIYGPIIKETLVGIFNNFKQHKHRGAPEELIIYFNGITEGQYGMINEEYTQQVKSACSTMNEAFRPKITIIASSKQHNERLYKSEQGRLSNLEPGTVVDHTIVSPVYSEFYLASSVARQGTTKAAKFTLIYASAPGKTMERIETLTNDLCYDHQIVFHPVGLPVPLFIAGRNSQRGAMILAQNGPSMTNREVDLVKTNQNLGYTAKNLFGSRFNA >CRE24177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:45617:48276:1 gene:WBGene00061434 transcript:CRE24177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24177 MMQGRRIVRYGTERRFFDRTRNYTHRFLHFLDTLLDTLINRPIQSFRRLYKWYTYDFDIGYALQTIWGVWFIYLLLQLVNTYTPIFSLTVYAMFNSVDATIQAGEFIYWFLTNYWPAIILIALIGLATWRYHCHRHRETHDLLWSYVDDEDDAYVSLPVNEPTGWGDLDPDVDPTPPDFQAPTIYVKISGMVALIPGLAMHEIITRINQSIKEKLGPYIWHKVTVDTRIAMVFVKFRNFQDSTSCFKRIDGLVFDGQTVGVLYVTEERYNQRFPPTRFRIPIRA >CRE24181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:78700:81702:1 gene:WBGene00061435 transcript:CRE24181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24181 MSFPHGQQNPSTKSAEGTPASKEPADLNKTIYEYSAEEEMEEEIITPVVSSPTKNETPEKRMMGFNIQKSPSQKQQDYIQELRRTIVRQGKTISDLEFDKEHLEEELKRKNETIKNLEQAEKMDEELEEGDEGAELKKLKKKLEEKEREVLELKDILDSRENEIENLRNELAKKFKSTGEAPPLFSAFSKQIDEEVTTFNNTRSVFYNVKNELARTKEELKFANQQISMIIETNELEKAELVSRNEEEKKGMEERIEELNKTIQLMSQW >CRE24182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:89145:91368:1 gene:WBGene00061436 transcript:CRE24182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24182 MSSRDSSFNEDEFEKDNKTISSSSSSTTDSEIQRLREFIEELQNKIVCQDERIFILLTEKDNLTEENEMKDFTIKQLEEEKADNSSLVSTLLAQLEEEKAKQAGVMDENQQLKEAMERMSLNDVAPVAPVAPVAPAPAPAPAPAPVPAQASAWYPPAGAYIPGIGAPPKQNLEAPSLPWYRPPPVAIPGISQPPSQNLGAPSLPWYTYAPSIPQPPKQNLEIEIYKHQQQTIINLHTQLADYISQVTQLKKDIEERDQKKQERKARKAEKRVRDPTLFNPYEPIWKSQKSLADELKLADEQIGIIVEHNDYLRTVEANEHSQELCRLRQENEQLNDTICYLKQQLL >CRE24184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:102618:105709:1 gene:WBGene00061437 transcript:CRE24184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24184 MTASPDRNLKEEIFQALKKENYELTCEVMANSTALAVKNGQVRKLNSQVKELNETVALLNEREAKTQKLLHQFEKSEMGLQLEIINMRKEMDGKEANLMELSKEIKGLKEDEMDSIGKLVEEMKQQTIRDEKLVKQFKAKYGQPKNEFFKDSPLDAMGLHKEIMERMAVQKEQIENEAKNPATELKLSKEERAELDRVAKIAENEQLKNELRMVEKKLENSRDKMETMKMKYEIELKETNAHLTDMVSIKDKKIAELQAKMEQTVTTNQCRMNQLFSEIQRREEKIQDLSHELQEAQAILSGFNRNAPNAPQAEGFLKETKEEKLKNELRTVRDEMKMMKMNYEKRLKMGDETNAQLIDVIKTQRTKLAELELQEKTAALKDLKAKEIAPAAPQSDSDGEKKQLKNELQMVQDEMEMMKMKYEERLKMGDKTNTRLIDIIKTQRTKLAELELQEITTALKDLKTEEIPPEARQPVDSDSEEWEHVEEADTA >CRE24187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:111560:118019:1 gene:WBGene00061438 transcript:CRE24187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24187 MGQDASKEASGLDQLIEGLQTLRDRFQTDPTFQNTVKSSLAVRNFQFPIKGTEEEVTFLLNCVEDNQEKDKIIKELKEALDKERNSHLITILDWQKSSPSIRIDEKLRLSNDAHTGYMAQLLAENEQLRGAHNAVIEKERAMEIQKFQMSDQVALILEESDKSLDALKAEKQEMEHQLKLKNEAIEALSKKNTQLTEDNWQLDNARKAYDSQIKSNITQIDEITQNNRNLEERLKEVTSELKEVYYQLETHKSAEEIARIEKKNEEQAATIEILKGELNMANILKEETEKKLKIVQETKIPNRMQQGAPTGPHLPRLNLNPPRFNPIQYGVPNPLNAARPSTTTTDQDHDSLVTALLNHTPRNFANYQNGPWDNYYNSPRLVNNAPLPPVNVRAMESELQAVSSKLEATVKEMEQMKKAHKEDMEKLRKEMESGMLSARSEPSIHSFDQLDVQDRSYITPFHFHHCRPTSGVTYQDGEESYDPYYNRSSSPRAPKYRYEGWRMSAEKEEAFARAFSGLSASGQAPVPRGKAPAPNLQKKALRGKAPAPKAQAPQAPPPRRQTPPPRAPTLEDSVREYFEYADQRENQCAEIAAARATLAAPPVAPEESAPEATAPPAADSEASVAPEAAPKELAPESPAENLESSGSDDSWSTITSDSDYQDA >CRE24188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:118690:120996:1 gene:WBGene00061439 transcript:CRE24188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24188 MEKLSESCTAFEKFKDALKQGGDKKTKEMQQYTKTYSAHDDLELQLRSAISRLQLAEHYKKSQEITHKIKEDGFRETIAERDQTIEYLMNQLAQKGCKTCKNSEKSEKKLSFFARSKSTEAQIQSAKTDNYIKSLESEVQNLKKSVKENEEYYENSVSNYAKQIHETVKYMHELRLDYEAKDHMLWKTLEEQKKKIEVMEAEHQKALNVIGKQELQLEDLRKIRDAVEDAEKLQNSQKDSEYLSFDVALLTRQLEEARQDNRTAQKEIIELSAKLLNKENDLETAQKKIDELSDKLLVKEADVEDLQQEIENLLEDIQEHKAQEEFLNESIQRMEAEHAEAMQELQVIIDKQDDDLEKYRMEEEKEANAVERYFAGLKIDEEGEEEEGERRESVDSDGWKKLDESDGSDVEEEQEGEVEAN >CRE24190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:124834:135576:1 gene:WBGene00061440 transcript:CRE24190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24190 MSEEPPVEQVAPQPEEDEDHEFCVGFCKRNVDPIYHDILRHMCIAIGGSKHQITVFNDFANAHMRNEEDSLPMHRYYVKRIHDLQIELLATKKLTEREKKTKTNSIKKEYTAEMMAIDSKQEGIEKVKYARDAMIFTTGNLIQAISAPITFAGETMEPCYSDGTPWSAEPEILEPPKMPDYLVALRLQKEKDEVTTKDCLATLKIDYDTLLSNNQAMEKAHLLDKVELSKKDEIIADLQTEVSTFRRNAINGTRNETRDRQLGYSFLKDVIYHAHAAFEYQDRLFSEMKQIFDAGQYCKIDEINYYAETVKALRMKWIDKLPLAEKRELQEPIDAEYTHSQSNLALLKMIRSKFEVMSDCYDKYKEFVNTKTNCTLPTAGQLNQFDEILIASEKDKIESMSLEECRGEYLKMLRDIELVRGRNEVLAIAKHAAEEENRKLKIDMTGMDREIDQTKKRVDAAQKRMIIMENVHDGRQMQILQLEKKYEKEVASLNEKVLKLEKIVGDRTEELTELMDLVEKEKKLPPWTEKDEEWLKKIEKLEEEKPDMDLEGWCQKVHDLEALINENEPSTDSSPQRTITELRRELRKAKERIQLKTENYCILCDIYCNAVNVLCNDQIKDAKEFLMFKSTTLHQAPGIPEKKKLHQLFEEEFHEKVEKINDYRKSCLVKQQDWEERRKKMLAQAAKPVESDPGDLEELHEWSREFQELKELYQQSLKIRTAWWENDEICGTKEFKELFGSESFPKNKADLMNLVELLKRDKIAETLEKEFQRSKDMESKLAEAEKMAKAMRDQKEAMDAMAAQHRHTCSGDADKDARIAELKAKNASQSQKLKVSHKETADLAMKQRETEKQVLALQKNLALFKKAATGAEDGLADVERIAALEQKVEEMNKKLERAQRESAEKDRQMAIVETGYLSRNGIVRVQISAGRM >CRE24192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:139321:142339:1 gene:WBGene00061441 transcript:CRE24192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24192 MSGQFDASKQKLADTIQKVGFAVATPNWGGPMESFDFTSQNLYEIWSLLKESQMKDEEIRKLKSLNMKLAGNNRQLTHNALKALKTRDNKIRNLTDVLKSNDHYKKNLELKAELDALKSRSKYWSKKDYGSRVETRRAMSKQEHFLTSTPDEEFKGFQTREELDNDFKQWRKKEREAERTMEQLVDEMEVCEKDDNLEEEEDSDGWKKLDFSEEDEKEAEGIEK >CRE24193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:145773:148894:1 gene:WBGene00061442 transcript:CRE24193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24193 MLPVTGEPSQSPVEILIERLKEDASGIDGFRGAPEEFEYLIHCLEEKKMTDFMIKKLENKVLGLQEELDRERQLHEKTIAYLETTKPSCLQSMLAEKTRLRAALHDSFMENLKSENQKLQESLKKANASRIQKVEAPVREILGFKTILENFLAAQTPEQLDNSETQKNQEILDQQEELKKKIENLEAELKKKKADGLIRQFELECLKKKHLEVVEQLAAKVVQLELALEKSPVVNANQTRKELSREEKRALKIHLEVLERKHQEFLNSVGEELDKEAADTNKNLLVIIKKAKQQIYELKENADIARMESNKTLQKKDVEIQKLKKEINKLEAENSSEIFRHENLIDELHKTHSKELGACQERRLEEFTELTNQLKALYEENTQLKTEVHDQKITLRTLERENVEIEELFCKMQDERWRKENDYEAQLMEKNEEIAELEMLAETGECSWSTLGLFDEGLQQVD >CRE24200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:171164:172435:-1 gene:WBGene00061443 transcript:CRE24200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24200 MFTSLSAFRQNSFFRLPLSAFHQFFKIPPSACRFPPKKCLLRFPPSAKIVSSAFRFPLSTNFLKFRLPLAAFRPKNVYFAFRLLPSAISKTAFRSTRIDRSIRIWSFTIRVERNEESGGKRKSEFRSTLFKMQEPIISHYHLLILLTNQKLFTSDPHRLHLCQEVSRTFSEFRKDENHLRQVRFLANKSVEYYLTYTSTSDSSRPHLVVHSKNSLQNRSDPRFVEQLSKTIRKCDEMNSFEEWKSFITIFPVNSYFPISEMKATERLENHVFSRNSAFVEAQIPEETIRFCGENVVVFNALERYVPDVTDADPATFTMVSYLGSVIK >CRE24195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:165268:166449:1 gene:WBGene00061444 transcript:CRE24195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24195 MLCLQQLLHQHGFLPRRSCPSSLVYSTSNYKRILKDHQTVDVVFFDFRKAFDQVNHTLLLQKLKGFGVPLQYVSWFQSFLKDRTFSVMVNGSIDSIISPIPSGVPQGTVAGPLLFLIFINDLLLSLPSSIHFAAFADDIKLYSHDSILLQSGIDIVSEWASANSLPLAHTKTTLLRLGAKNPGHHYHLDSIPITESAVVRDLGLLTDSHLKFDSHIAKTSSLAILRCSQLLKSFRSRSLPLYKHLFNTYVLPVLEYCSAVYSPSPSSTLSHKLEKPLRSFTRKVLQRCNIRYTSYLNRLEILDLYSLRHRRLKSQLILLYKIICGATFFPEIHSYVRLSNSNRRPMTLICIRPQVNDFFSSTVPIWNSITSNCPEFLSPGKFISLLEQSINRL >CRE24201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:177150:179187:-1 gene:WBGene00061445 transcript:CRE24201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24201 MTFSIYHGPPILPWASDSATGLLFFYGPPILPWASDFFMGLRFWNRANFYIAIEINEDGVLTRSSGADSGVSVSGGNGTPTTTTSLDKRLVATPGCRRPMSMCERMLVERAREGFSNQRRPPISV >CRE28842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:104459:106712:1 gene:WBGene00061446 transcript:CRE28842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28842 METFPMRRLPLVKLDFASFIMEEILAIQPSTFPLRKLPFVALKHVIHVMEIDEILKTAVTSKYMECVVKSSCIRVEKAVLHFDDMRAAIFLKNPNLTVLCSAFISESYKGATVAKQDLKPWLSETSTVLETTLQLFLRIRNLFQFGSFDLYISLTKKDSKMQEIMEIPEFRNYERLSLVGRKFEKDELDYVMEFGREDQKLRIMKGQIPEDYYHPNLFKYTDVHYCDSRWIRLEHLLSIKDNDIIRLENSKLTQLEINTFLRHWVNSEHDMFRQMEIVIEKGDRIHFSVMFRGLVALLGQRFESDYVLIAVNSPETRKQPILSMNFEDNSIFMFTWAINERVVEVIGNDDEPYAPEFEILRMLERKKGLKMELKKDPKKLEIRKEIDEIEKELCRRGMTFLNGWPTFFKADIE >CRE28879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:96028:96800:-1 gene:WBGene00061447 transcript:CRE28879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28879 MEFEREDQNLNIIRGEIPEDYYHPNLFKFTDVHYCDARWIRLEHLLSIKNNFAITLGKNNLTLSDINKFLHHWMNSEYELFSWMKIDIVKGATVDLKVLFRDITVLRGYRFGRWQRLISVKSPMTRSHQIMSIVWTDSRIDMSTWFVYERPQQGDRDDEPYVPELEVLQLLKRNRVLNLKLKRVGEGSLEKQELTEKINETNNQLQLKGVEFNNGWPFLR >CRE28878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:94200:95622:-1 gene:WBGene00061448 transcript:CRE28878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28878 MDAILAIQPMVSTFPLRKLPFVALKHVIKIMDIDEIVKIAITSKYMEAIVKVCYIRIRQIRVNLYGERTYVSLDYPGVTLSCGTNPFNHPSIPKLIKEDLKPWFSETSTILEYTRQLFTRIYKLFRCGHINMLISSSTQNIKEVLEIPEFRNFAVLYLVGGHFKKEQLDEVMDFEREDQDLHIIRGEIPEDYYHPNLFKYTDVHYCDARWIRLEHLLSIKNNDVITLGKNNLTISDINKFLHHWVNSEYDLFNWMTIDIVEEEIMPVLFHGIDVLRGYRFGSERRLISVNSPKTRKRPILSIVRTQLRIYINTWSIHQRPYKEYLYDHSLMIFDKSYAPEYKVLQLLKRRSVLNSKLEQVKEGSQEKEELTGKIDKTNKKLQLKGVEFNNGWPILRSGVEVL >CRE28876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:84171:89834:-1 gene:WBGene00061449 transcript:CRE28876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-93 MKRSQPTDSRGISSFKEPKIVSEEDNLVWSNQITSTSSFLSQIVEQTSGILEKESSGGGFQLKEPKIEEGDTNGNGNMLKNKTTTDTFPKWTPRLTSYLDDDSLDFDCGIELGSSVLFDSLPTSKRAIPSIDNSGETRSKSKEAISEEKEREIDDYESNEEEDDDSNEEEEDYDEKPKKRALAPNYLRAKLSDAFQLNNHPTALEMQRLAEECAVRYRTVFDNFEERRKTKQIKCEDGDPCEKIKRFIAMESEQVPHITLSEEEKSLLEEAIETNLLSRKKLSVGYLHVIMEIVELPANYIRGQCDSMRRRMMGSGRAELSKRKIREEEAKANDANNSLSIDKLRQLEDAYLHKKYHSEDKEWTKTDIRTLAATTDLPEKTVENWINRRKRTTLGLEHSIVDFRRDQDARLNVASSRKLSSWKLEKLIEHFDRNSQPERQERIRIGKELGLDESQVRNFYNKRRISIRKQVEMDDARKEFLALPLEKRNQMERLAKERRKPATENMEVVVFIILVNRVETYHF >CRE28839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:68905:70838:1 gene:WBGene00061450 transcript:CRE28839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28839 MFSYLSIPFWGTEEKTEQLPEDAKTDVVTEKDLLERISLTVLSKGRNKRLRTAALVDDHFEVNFTDESGVIENPNKLKISKNEIIDWNKKESSWFFNPFASTNSENFKIFELVDQIQAKFPKILFSLTLHSSDLQDQDVMDALKNKTWKKVNIIGGELKTEDLDKIMEMDNLKKAFAFKNIIFPLGYKHVNALKFASIEYEDATWLQIDDLLAVQHKPSIILRSTNFKSEELSLLLKKWQSSEHDMFKFFKCCNNELDMEKVLDGLVTLNIDWKTKKNLSFTFIGAENMENRDLPYLKVAAFGHTIQLFPFADYRGKTEPRIMRNLMQKKKLEDELAGKDENVAQEITAKLQEVLNLLEEDQVYYINGEAQRKTSRIAAMAIYTAWFRTKKPD >CRE28874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:65416:67166:-1 gene:WBGene00061451 transcript:CRE28874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28874 MFSFLSIPFWGNEEKTEFPLPQLPENAITNVVTQMDLLEHISLSVLSKKMNECVKTSAATEDHFEVNFTDESAVIEIPDKLKISKSEIIDWNKKESSWFFNPFASTKTFISKTIELVDQIQATFPKITFSLTLHSSDLKDQDVMDALKNKTWKKVNIIGGELKTEDLDKIMEMDNSEKAFAFKNIIFPLGYKHVNALKFASIEYEDATWIQIDDLLAVQHKPSIILGSTNFKSEELNLLLKKWQSSEHDMFKFFKCCNNELDMEKVLDGSVTLNIDWKLKHLSYNFLGAENIGNRNLPCLQVSAFGHTIQLLPFADYEELEEPRIIKNLMQKKKLEDELAGKDENVAQEITAKLQEVLSSLEKDQVYFINGKAQRNSSKIAAVALINAYFHKRKVGEKK >CRE28873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:62560:63980:-1 gene:WBGene00061452 transcript:CRE28873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28873 MFTLWHIQLFNRKDATFPLQNLPHLAMNEVIKLMDPLEQISLALLSKKMTTHVRCSRPQIAYHCEVNFTDGNTVLKIPEVVKYSRKEILNWNNKTSIFSNFRPVNQKKLISNTMKLIEKVQMVFPKITFSLTMHSNDLNNNDILDALLNKTWKKVTVDGGELRAEDLDKIMEMDNLKKNFEFKNTTFPTTYRHKDAFKFASIEYDDALWVQMDDLLTLTNEKIIRLGRTVFESQDLNLLLKTWHRSPHDMFRILTLRNNLNEINVDETFKDLVTLTVEHRMVHSVLLGADNFDNHRDFPNLGVNIRGRNIEFTAFENVEEWNEPRILRNLIQKKTLEDELATLDEGSVRKDEIIAEIENLLEQLTMDKVYFEDGKAKTEMTILAATYMITLYYKTLRAQRGV >CRE28838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:60614:62296:1 gene:WBGene00061453 transcript:CRE28838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28838 MDLSMNTNSDKAITGIVVEQLSPTQYFVWCQESYPGTDVVLTTNPENPVLLGDWVSLKFSKTQADLYFPNPKKADAPPFTTSEYSVIPDIYRTDVTNIIRVTVLQNLKENQEKIDHSVFGKIYNKDKLKFDDGVYYLTIKRIKVENIWDSEWVLESVWEVPEEPSDVTVTGIVAAVDNKDKDLLYVWCEERLPGQDITIRMRSSSPSLPLGTWLKMKVPRAKINDLYLECLDYWEIPAFYPTELTGKNGTTLQLKLKLRIDNPEKKEIFHPIIGHIINDAIRFEQPGEYELTIIRARPDKNNQIQTVWYLRDAELITVGKAVTGSSPQPINLKKAMVIRILTGGQSEAVYVWLFEEHREGRLFLNSTAESHGLTVGKVFSAPFIKPNQKWMSSGPVSHVEDLYLTRVNRTGGIDIQVNAENLQNSDDTHGYPWVHHGHFGDIVSSRRKSSWFFDFLKFQLDNRHQLRNRETGQCRMWIRRAWVDREDNHQWVVLEGIE >CRE28872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:57737:59934:-1 gene:WBGene00061454 transcript:CRE28872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28872 MKPLALRNVQPCGYIQFFNRNRSHVSALLNLPLDVMNEVIKLMEPFEQIPFALLSKKMNTCVICSGSQIADHCEVNFTDGNAVLKIPEVVEYSRKEISNWNDKTSIFSNFWPVNQKKLISNTMKLIEKVQMVFPKRTFSLTLHSNDLKNNDILDALLNKTWKKVTVNGGELRAEDLDKVMEMDNLKKNFVFKNTSFPPNYRHKNAFKFASIEYDDALWVQIDDLLTLTDNQIVRLGQTSFKSQDLNLLLKTWQRSPHDMFEVLTLRNNELNLEETFKDLVTLTVDYRFYQNHHSVYLRVDNSNNHRDLPNLTANISGPIIQMSAYNYEELMDEPRIMKNLIRKKSLEDELATLNEGSARKDEINAEIENVMALLTPHEIYFEDGKAKTRGTKQSAVYMITLYFKRFRAQRRV >CRE28871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:54836:56593:-1 gene:WBGene00061455 transcript:CRE28871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28871 MDLAMNTNSDKTITGIVVGQLSPTQYFVWCQESYPGKDGVLTTNPENPVLLGDWVSLKFSKTQADLYFPNPKNADAPSFKTSEYSVIPDIYRTDVVNTIRVTVLQKLEKNQEEIDHPVFGKIYNNNKLEFADGMYYLTIRRIKVENNRDSEWVLEKVKEVPEESSEVVVTGIVAAVDNKDKDLLYVWCEERLPGQDITIRMRPGFPSLPLGTWVKMRVPRAKLNDYYLECLDYSEIPPFYPTELTGKNGTTLQLKLKLRIDNPEKKEIFHPIIGHIINDAIRFEQPGKYELTIIRRRPDKNNDIQSVWYLREAELVLVGKTSQRPQDAPHAVAVPTQPQNLKKAMVIRILTGGQSEAIYVWLFEEQREGRLFLNSTAESHGLTVGKVFSAPFIKPNQKWMSSGPVSQIEDLYLTRVNRTGGIDIQVHAENLQNADDAHSGYPWIHHGHFGDILDNRHQLRNKEASQCRMWIRRAWVDREDNHQWVVLEGIE >CRE28869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:40686:41102:-1 gene:WBGene00061456 transcript:CRE28869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28869 MQSKSPMDGQKGNEAANAQQQLKKKSPEELAQMAIVNRAFSAESADEPPPALGQKRSESTLEPLNNQLKSVPLVKAFNSAENQLDQKNQKKK >CRE28866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:18118:20171:-1 gene:WBGene00061457 transcript:CRE28866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28866 MQPRSPAQNTDAGHVNLFSQLNATCLSMEKQLKIEVFAVHENKKSRGLPIQQLPKSSLQLAASLMTLEDRLSLALASKKTEDLLMGLDFPKVGVHLIRFAKQDDGKVAEVHISVTANSILVVRLSVDNQEPDVILNMETTVKKVPKWCNEQLSIIDNLKIVYSKICKLLPCHRVAFSLGTMNTLDVKKIFSESSTEWKDWHYTSVNGNLNMEAVRVIMDNANSDRTLMFSPAVNFPVDFTHDKAFQLKTLDCFNAQWVRIEQLLSMRGVKEITLSRTNLTVSEINRFLRHCLESREQVCETARIPVAQGPIDLHALMNGLVFVADNNMAARMRGIHILLGCSETDGRSKYANVKIFNECITSEFFTGNAESPQPHVVEILELMKREKRIRREALRVVERGGDITAAFRLIQEINQVEAELNARRGQ >CRE28865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:15461:16568:-1 gene:WBGene00061458 transcript:CRE28865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28865 MKQILPAIRSQMLSIKASIILSDKNKAVFWTRIGRKRCNILNFNTVYLYQTRNGSNWKTFEFGDSQVACGISWRHYPSLCLENFCSKQNFKTVIQNIYMYLCALFHSPKSKIQTHRLYECQCSKALKRSDNFWFYKNNWNLEKYGLVSPDDSVHNSTNLLILHTGYQISQFLTCFRGSYAVFLNAETQDSCVKKFLERWITQSFDMMSSVIVYQKPGWKFDEKAVLEGLRIEKHPNSRKYPYGSILQRQHKFAADTFDCSSGVDIRRPADGRRATIKLTPDYCMFFVWRH >CRE28826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:3733:7834:1 gene:WBGene00061459 transcript:CRE28826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28826 MTCFTCTSLLGRLPMETSDPILRRMPRGARPWAVLVGGVMCMVTFGIVYTCGNLLPYLVSYFRWKMYPDMHTGQLIWLQTLLSGLPMGMVIGGLFERSFGGRAGAFMGAIIYTFGVGATFYSIQHSYAATLLTMGGIASVGSSIAYSSILPTAQRWFPDNVGLAGGIIIGGYGCGAFILAPLQTTFINPLDYRVNDQGFFTQEDLLERVPYVFIVMAIFFTVFQSIGLIFIGQPDSDIMVETENLIGTNSEIRVEQARVWPQLRTTTFLVLFISLTCNATWVQLTSGLYKAYGQQFIDSDFFLSLIGSISSVFNAGSRVFWGAVADSTSYQFSMSIVCSMGAVLAFSLPLVKMIDNDVLFLATVCLIFSCIGGTFSIFPYITHKCFSKANFSVMYGFLQCAVSVAGLIAGLISTHALNKIGFENLFIVCGFFMIVSLCLTFIVHKTDLARLMVSAEREHEEEDGEYQVFQ >CRE20471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:11025:13402:-1 gene:WBGene00061460 transcript:CRE20471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-39 description:CRE-SRE-39 protein [Source:UniProtKB/TrEMBL;Acc:E3N2R7] MNIFVGNTSKYFFPIFTINSSYYSDYFALLFIVIHIMLTLLCCLLTIQVCIIVKSIRVFHTNMSTIIIVILGQWFEIVVAKIILFPYQLGFITIGNPKMFQFWISNDPKEMPQAEKTADLTPLFISGVLFLHYAFTLTFSVFILTCERACATFFIKDYEKKPRAYICITLLLLTHLTTFSLSCLATCEILNFTTGVAISGIFIIGAVLIYFIILHINLGIQKRLDDHDKQQNYYSLAIRFQAKENARSLELAKKVVLFAAFAILCGMALLIATAMHWADEYISTIVTLAEAAFNLNPLFIVPVGMYSVPTWRDKFFKTTPILQRIQKIRSRKNIKVSDTIENEAAKESEIYFNQLSAAWK >CRE20472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:18508:19673:-1 gene:WBGene00061461 transcript:CRE20472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20472 MSLPFLKFPCLVRREILSHFDYCDIFRLSVCSARTRKSIENITRTPKKVRYICRDNELEIGIYHKLYCENFYSVISIHRVEPILFYGEEMKINIGGKSIQCGEWDDSFICHHYKFSYSLKYINPRQGKVLQALQAHINSLWPNLPLIQFEICFYRGFCPSLIDSKVKDIIFDFDDMNTYMLESILTSHSNIESVCISKELHGKLRARSKLMRVPSVRCNVGNQSSLTENLLENFKGNRLILDMASNCEQTVSHLIKDWMFDAKYPNLKALIVHLAYSARSFGDITKDLNGVKWDEYRMPTEEELDRSSIIFEPRHWNSCDWHMSYDIKQKDTGKMATVNCQYKAVIFFVWDCQNQ >CRE20450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:28325:29386:1 gene:WBGene00061462 transcript:CRE20450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20450 MVLVTHYLVINILCLSALTVERVFATYFINDYESEKRPFISCSIFLSCQIFAALVAFGVCTMIVSIYYWLALGIVVMISNFTMLVYIWYCNVRVHRILDKTLIIPSKYTLQTRFQAKQNMRSFHFTKYSFLIITTVMVLQYCLIMFMSTGYLRKYEIIINYTMESCDFTHPILLIPIMMVSVPVWRRRFFVHLKFVPGVEKFVLKNNSEVAQSQTPKLETEEYFNQFKMAWG >CRE20474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:39757:48879:-1 gene:WBGene00061463 transcript:CRE20474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20474 MSDNNTIRMSRVLCDFLVLTLIAIPLYIFHEFVPPYRRGYYCDDESIRYPWRKSTVSRQMLIVIGLLIPILLILATELFRTLAWEKKCEQEFKTYHVRNHSVHRLIVRLYCFIGYFFVGVCFNQLMVDIAKYTIGRQRPHFMDVCRPDIGYSECKNPDEYVTNWTCTTTDVKLIHEAQLSFYSGHSAFSFYAAWFTSLYLQARLFRPLFSRLLLPVIQFLLFGGAAYVSLTRVSDYKHHWSDVLVGAMMGTAIGIFVALFVAEVFKRREIPSCGPTNEFGLIRMDRPDGVITSQSNGNGSNTVVSTQHVIVSEVDPANQRLTLLPNSSSSSTIINQLPSSRWRPESFMDTASYYTARSEWDATSGRSSIFGGIGDRRFMDFQSIQSGQPSWLLESLEEEGH >CRE20475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:53688:57826:-1 gene:WBGene00061464 transcript:CRE20475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mcm-2 description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:E3N2S4] MSDRAGNNDDALPHDPMVDEEEVDGIEEMFNNEEEDPEDEEGENLFGDDMERDYRAQPELDQYSESGMDDASDIGSLNVDARRAAEREMAQRDQLLDDDALMYEDADIDSSDQRGRRGRGGRRDRRREDVDEAMEEEDIPVDILENIRGRTIRDHVSDEAVAKEIERRFKNFLRSYHEPGSKQTKYIQLIKAMAADNKESLEVSFTDLSDENGEQNISYFLPEAPNEMLAIMDRAATDVVMNMYPFYTRVCSEIKVRISQLPVEEDIRMLRQVHLNMLIRTSGVVTIASGILPQLAVVKYDCVACGYLLGPFVQTNDEEVRPTICPSCQGKGPFELNVENTVYHNYQRITMQESPNKVAAGRLPRSKDVILLGDLCDSCKPGDEVEVTGVYTNNFDGSLNYKQGFPVFNTLIHANHISNKDKMASDNLTDEDIKAIRDLSKDPNIATRVFASIAPSIYGHDDVKRAIALALFRGEAKNPGDKHRLRGDINVLLCGDPGTAKSQFLRYAAHIAPRSVLTTGQGASAVGLTAYVQRHPVTREWTLEAGAMVLADKGVCLIDEFDKMSDQDRTSIHEAMEQQSISISKAGIVTSLHARCTVIAASNPIGGRYNPTRTFAENVDLTEPILSRFDVLCVIRDSVDSVEDERLAKFVVGNHRQYHPDTVRQANNEEHHDEDKIDERTGVRLIPQDLLRKYIIYAREKCHPTLSNQHTEKFSSIFAMMRKESMATGSVAITVRHVESMIRLSEAHAKLHLRSYVNDDDCSAATRIMLESFVNTQKASIMRQMKKTFSRYLTENRSANELLLFILKQLVRQQMHYATARGGDSVMQSVSVPESEFIEKAQQLRIENVKPFYTSDVFSSNNFIYDPSKKQIVQEIF >CRE20476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:61999:67063:-1 gene:WBGene00061465 transcript:CRE20476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-31 description:CRE-LIN-31 protein [Source:UniProtKB/TrEMBL;Acc:E3N2S5] MPRPGKDSYDEQKPPYSYIWLTYMAIQDSDEKMLPLTEIYKYIMDRFPFYRKNTQRWQNSLRHNLSFNDCFIKIPRRADRPGKGSYWAVHPNASGMFENGSCLRRRKRFKARDQDYDDDDFHPAPSKISRKNPLPPMDPPITPPSLSSLFPTLPPSFPNFCLFPPGLDPSKSLLINPLSLLLMPHFLKQNNASSTPHSESSDVSGSSKTPTPEAGFNSSFSIDSILSS >CRE20451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:73786:75956:1 gene:WBGene00061466 transcript:CRE20451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dos-2 description:CRE-DOS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N2S6] MARLRIANFLFLATFTIFSGRIVGAQDPTLSATVLGGDLEPFDIPLEELEKDTKQVTTLSEQCKRFVNHYRYYCRSSNIATYNEEVRIICERYRTYCSDRVYPSINHIRRQTKFWEGAGVPKATIKAMSTCYSHCKETDPVCVNACECLHLQWMMNYECYPGTRAPAYNNCQRWAAKCRFVWKPRQDYTPADYRDMAPPPIVRGVFYGYDGLATHRTFDRPRDHGVSFFRGTNTVLVDWPEGKVAGGTTIEVPFAGVNVIPNQYNIGFPNMQRAMREFTKQNPDQLNPGTGRMSALSMMSRSRR >CRE20452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:84646:100225:1 gene:WBGene00061467 transcript:CRE20452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20452 MKPEPLREVGGRRLPHQIGSAKYDDDPYRGQRRRPWMCFRVGGTSLAFPAEAFIKFVGIVHVTMIEAGVLLDAQRDVLKTTVTAHDYTQLRNEISNGLEKIPEKYESGPLSNPIVILIVDQVDREELDAICVEFSDSCWFFRLERDEILEMMEDFEYDHLEKECEKLSAALRETEGLVESVAVWMPPDEKVSTFGKEKGVDDHDLEIDAHEMHLKEQKLKAASAKMRSHSSRNSSKMSSNTAPPGLPPPGLRAPSRSASSQVIPPPPGLGIQPPPGIPLERPPGLRTPLPSSSTTVSVFSDDVPSTSSASSRRREEPRDEEEEEEWNGDSILSHEDVMEALRFVPDPENPERGSPVKKHESDMSQYIKGDKLFNRFEHPFLSLTKNLDLLYVVNHAVSSRNKQIQGSSKNILNELFHRAFETKLKKKFLDRSFVTRKWSDDLISLFIELIMLYFNTARYQNGYMLTIDNFVGFSLKILMRMVDFQSSSWQDFYEKYKSCHTTELQRGGATEKELENIQKMVIHIEKWIVKARGDVQSSASSQVDSSQVDFDDHRRILAERRQEDSEFRRPYDDHSLHSSDFKSSSIDERSSESDHRFLKQRRTEEQQRRRQQERMEEEQRNEQEFYRQQRIRELQRAEEEEARRRLHPMGFQNGGGTVDEEDDDFPVLDISDDLEDGGPQPDPDLPTTNCDEKPKQPYKYTNCVEEDDYQPPYMKCERMEPPEDFRTFPSVPTLKDYVNPTEPYLRRIQELGIYRNAQHYLDVQFRLLREDLVSPMRDGLSIYTRNGTCKGKRLDGQPCSDISVFVIESVEGKQVTERDGAEMRIIFPARYDVEKLVENDREMKELGLVMLSCDRFETDFHLGHIQTSKLVYNGCLHFAVHEETAPFQPNKTYQMVQATSYLPMYKHVLENLKTISPFKPMPFERYIVHGKKDIYRPYFHRFEKNEEQIQEEKAKEKYFLELRSLALARRFMSGKAIPRGVDDDDDDYITINTKAPSKEEMDLEYCQLQEPIFRQLIGVDTKGSDHILIDKKWYRISRLLDEFHPKNMDESQRQAFCATFKHELSLIQGPPGTGKTHIGVQIVKTMLQNRSHWKMTEPILVVCYTNSGLDNFLERILMMIEDDEELSKDNGKPKMIRYGRKCESEFLKRRNIMRFDVHEQYRSTVSEAAQREQSKASGQRRKKADHLAVSSFTLHCSRTELLSYTVLHHVMHPNFQDEINNFAIEHIDTREQPLNADEALACWLLDRDFGRATKMQTKKAKKSKFQEIPEDSDEENDRQFLTVEGDEEENEKEMDLDDEQVLDRIFEKMNLSCSGKDIVTQLTPSAADEYYTKHPWIVAGDQRPHTVPLMGVKSKQTAGNCPVDENINKLVQEVKSMILSTPPLQQKELEDVKFIFSLARPKRWALYMHWCEEVRKMIVEILPQQISEYRAACKKMQEAMDRVDAEIMRMPMIIGATTTGCSRLRPILERVEPRILIVEEAAEVLEAHIVSAMISSVEHCVMIGDHKQLRPNPAVHELGTEYGMQISMFERLVERALPYSQLREQHRMNLMISNVIVKPGFYDNVLDAENVSEYPDVEGMATNLFLWSHAFREDTPDGISWMNKHELMMVVELVRHLLKQSYKPQDIVVLTTYAAQRNLFNREYPSMFGLPSQDQNLIQVHTVDSFQGRESKIAIVSLVRSHRGAPENTGIGFLAVANRICVALTRAQHGMYIIGNGAYLKNNSWLWNKLVAQLCHHNLVEYCIPLKCAAHGNISLVKDPTEFAEKSPEGGCLELCGIEKMCGHLCSRRCHPNVEYEHDQRCDYVCEKECPNPEFRHKCAKLCYEECGQCMRLVNLTLPCGHSVSTPCSRIRIAKCDQLCSQTLQCGHRCAARCGDPCIPSTECEEFVMLDLECGHSKPVKCGALMTGNFDGACNQRCENRMLTCNHQCAEYCGEPCTIECQEIVEVILPCRHQQKVVCSSYMPERLELIECETQVSKKLTPCGHTEPIQCGKEPTTDMCTRSCPKLIKDCGHLCGNRCGHCFLTQTHLCQQRCRETFECGHSCSAKCSDPCPPCKSFCSNNCEHQSCGGGEKDFGRECSMLCVMCISTCANKCIHRSCTMRCYEECNVKPCSEACTLKLKCGHACLGMCGEKCPTICGTCQRAEYLKCVNDKAAARVHRLIMIPKCHHIFPVELLDEHVKSLKEQNKKLTCISCNSSLIGILRYAKYQKKYFLDENMKKLKSRSGAIHQSTYDTRIRETISSIFEELQHVTGNMSNDQNSIIQKFRESAKELIKYAEEYRGKADQRWRLSYLCDIGQCFWAIARLVSMTSKQRIPRRKDIPPNLEGLFLRCFGDQFPFLKILEELKRVNDHFSEMFKTFMIGAVLPKLRHIVARMTLYQMMSALCYQLCLEKGDISDADARTLGTCCFDVTRSEEHRNFVAKLEIIETGLIKMAPKLTEGRKLSTWKMLKMPTL >CRE20479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:104876:106465:-1 gene:WBGene00061468 transcript:CRE20479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20479 MADGGYEVMGPAHGPLAPAPVGPPVPKGQKITVAPPSAPPLPPTIRNPKRSEKEADSTLMSSLDPKTSRTSVLSSTMGDTSRLESVDSGAVAVTQRPSSLDIACFSTVIFITILVISTAIPVILTGTGSADIEYFKTKLSQLETDDYG >CRE20480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:107761:109663:-1 gene:WBGene00061469 transcript:CRE20480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abu-14 description:CRE-PQN-95 protein [Source:UniProtKB/TrEMBL;Acc:E3N2T2] MAARRAASILLVAVLALSSTFVYADEETAKNETGLALSRAKRQCCSSSSNSCCGNNNNVQCIPICLQQCQNSCQSVQCVQQCQPACNQQCGGGNQVILLPQTSSCNQCQQQCISSCATPICAQSCNNQCSSSCGNSSPQIVVLQPQQNQCGSCQSSCQQTCPTCNCQSACAPACGGNNNNQQIIVVQQDNSCSSNCNNQCSSSCSTPICIQSCQSSCQQACQPTCQPQCMPSCSSSCTSNQAPIVIVAQQGDSCSNSCSNQCQSACPTPICVQQCNSQCQNQCSNSCSGNSCNQQQVIVLQQQDNCQNQCQSSCMNTCQSSATVLQCQPICQQTCQNTCQQAAQIVVPCQSTSSGCGCSSGYSQCGGSCCRRR >CRE20454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:115226:117824:1 gene:WBGene00061470 transcript:CRE20454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20454 MKTEDIDKELEQKRVDSLQAKFLHGDSEEDELKYQTILAYCEKFNTPFHDGEFAHTYENLGKYVLRNGKETIDLKLMQARNILRSHKMQFLVSKFNPNQTATWARPISYVVKEIKSQHRTTRICTLSKWELWNDTCSYRVYQNGLGNCGLIASLAAISIHKCIIEFLFRDFKINDYGVYQVKLCIDGEWKNIIIDDWIPLTNGSLRGVKSASRLGKNLIWAALIEKAIAKVFNGYKNIYAFCSAAALRMITGAPVTSLQFKDFREKTEILWEILLISSSKNYPIVCSCLSKGEILRKYGRTAKPLSHKYAHVFTVLSVILYKSHQLLKLRNPWGYNIWTGKWTDETNTKILDSKDTKNRAYGEISGSFWIGFDEFLKYFDSVEICRYRESWSQIRLNMPVGGLWDDSQKGIKIIVPEGCDICVSAIKPNCYKTQYHTWVSIHEINQDDEIGQIIFCEPIYESSEDIHLLPGGYMIYVSHFYSKKERNVVIHSSIPINASLCSWNPQVLVKVYQRIVAEKGEELLKQRDDVSIKKYSGDKFVIMMAENYTDERYLHVQTFCSKIKMFWWSRGDSNNRDYGDVIPPRSRQILIAMCRYECATQKGFPIAIDYYLSDEKLTKLGTSNRAAHIPSIRRTDYIHQTVLME >CRE20455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:117966:120590:1 gene:WBGene00061471 transcript:CRE20455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20455 MSYTGDFDKNSEQNRIDSIQAKFLHEDLEEDELKYQTALKHCQKSNTTFWDGEYEVLGDNVLKRGKKKFNLKLVQPNFQNWELWNTCPYRVYQNGLGNCGLIASLAAISIHEGLIESLFRDFKINDYGVYQVKLCVDGEWENFIIDDWMPSTKKNLKGVMSKSEFGQNLVGWLWAALIEKAIAKLYNGYANLEFFNSTTALRTTTGAPVKPFQINDFRGKTNQLWEMLMKSSIKNYPMVCSCWSQKELHLKYGWEAKGPSHKSRHVFTIMSVILYKSHRLLKVRNPWGRNIWTGKWTDDTNTKILDSKDTKNRAYGNVAGSFWIGFDEFLKYFYHFDICRYRPSWDELRVNMAIGGLEDGSQKVIEINVPKDCDICVSALKPSYQKLQYHTWISIHRVNSRNPCVPEEIMFCEPIYESSEDISLPPGDYMIYVSNFFESFKKDERNVVIHSSIPISAKLKSWSPEVLVDVYQRIVAEKGKETLEQRERDVSIKKYLGDNFVMVMADNYTDDKYLHVHTFCSKVKTSWLSRGDVYNHNYGDVIPPRSRQILITMCRNEYSMQKGFPMAIDYYLSDENVTVLGMLNRAAHIPSLRPTDYIHQIAKID >CRE20481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:120883:124301:-1 gene:WBGene00061472 transcript:CRE20481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20481 MSSKTFIEVDLKAEQARIDSIQAKFLEVGTEEDELKYQTIIQSCREFNTTFHDDEFPLTDESIGKQLNKNIQEQLKQVKTLDYLPPHMRQPMMEFVNNAIKIQWARAEYDSFWFDYNHFHVYQNSYGNCGLVASLSAISAHNSLIKFLFRDFEISPYGVYQVKLCLDGEWKIIVIDDFIPSLGQVFNTTGCYAGVDNDMIWASLIEKALAKLHGSYDIQDGFCDKLALTVLTGIPAINLIIKTFSNKRDELWEILKDASAKKFPMVCANKVTGEIDRKDRRPDILTKPHFRHAFTIMTCFEFGSNRVLKIRNPWGHNGEYTFNGKWTTPDGSWEIYKNDTENCGYWEMIGSFWIDFDELFTYFFDVSISRYRDCWSEIRLNMAIGGLYDDSQKMIKISVSEECDVCVSAVKPKYHKQRYHTWISIHRSDPSNPEKIDQVMLCEPIDRISEDVHLPPGDYFMIVSNFYESSKKEERVVAIHSSRAVTAQFCTWNPNVLVNVYQNVVAEKGEEIPNEKEEGASIKKYSSDTFVIVMAENCTDDKYLHVDTRCSKVEKSWLSRGDVFNHHYEDVISPKSRQILILMYRYKWIDQKGFPMKISYYLSNKKKKFWRLNTVEHFPSIAPTDYVHQTVLMN >CRE20456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:127694:128243:1 gene:WBGene00061473 transcript:CRE20456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20456 MFRSFIVLVIALVFLGTCTAYPGVRLPERRIRGDVDSVFFSPFRIIGKRAQVSGPAYDDLGEYLMNPDFP >CRE20482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:128629:128862:-1 gene:WBGene00061474 transcript:CRE20482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20482 MNSPCIHKSPAKSELIYPCKKSKNDKRSEGIRKAVGERQLTSAIRPLHRAVAFACCLSALSRAPLLMRPGPPTSSDE >CRE20483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:131293:131852:-1 gene:WBGene00061475 transcript:CRE20483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20483 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE20486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:137265:140294:-1 gene:WBGene00061476 transcript:CRE20486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20486 MVETLEALAELKVAELIFENKYKNFEFKLNEYQSNNIFEIIKEWNIKYKNVKQITQKLDITDMVFEEGEFNQEKFNIANAQRLRRVEISDLFAATQFLTQNEENETIADIVRLLRNIINDKYEPTLKTLRINGNGFKFQEDWIKTISCMAPSITSLSLPCCSISSFEFTKLCFNFATLTKLDISWSGVKKLNGIWFLHNLEVLDMISLEFEKSEDVMDLFELKKLRVLNITGEGLSNNLQCYLSCNKVLPELRFLDCSCNVIDSNNLEELVQTHTKLEMVVLFGTALERTPQLEIPDRKIELLTVENIQCCIKSTNYFLKASKVNMDFVRQIFSELYNYMNNSEISDTDFKECCMFYIYVINRWNWDVLRDFAFCNLQIIRSRPHLFDFNEKQRLVQVIVRSLPKYNESEPHHMSTHASAWYILDGQEFYRNSNDTINAICEEAANVVMSCKNLENYTPSFCVYLIYKSLHHIKPEPSSLLIGNHYLKMKLIDGVIIFQTTSMSYFQLFTIIKAVCILTYHDRDNCSSAESIFAAEIFIGSVVGLVDHFCEELMREIFVYLINHYISNIDMSVFKKIFKRDCAEVFLPILLDRQSKEQKNVIYYLLALMKESEARNSGNDDKYCIESEIKEIQTSIESFERIDETDHMEVFRWLCRTSESRDVVRWARWCLKTSGLELLEGEEKVGLGEKEEEDDDEKVSKSNENEQEEDEQPDSKRRRVE >CRE20457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:141615:146102:1 gene:WBGene00061477 transcript:CRE20457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20457 MSLFADDFTSLRDTSAYTLASYMLDGDTKNWGFNLEEDLSNLVYENLHRDNKEFTEEVADDVIRKLKLSKVRVDFDEYDAPRCRVLNAQKTLKELELRELRGARDFHDAIISEGTDNELAIVDIAKLLEAIIGKGSGETMRTLEIDGTETLFVQNEYISKIHSLIPKLENLILFSCDLPPREFRSLCTLFTSLKKLDLCDTKISSLDGISNLPNLELLNLAESIFNQRANMTDLFQLRNLKVLNIRAYDTERPVHNFKRYLSHVKSGRTLPELRMIDIGNNYVDLEDIILLIETHPKLEQISLIGTRLQTCSQLELPNRKVELLTLENAHHCFKSVMYCVNTGVNSPLILLKLFDKCDYFMERSRLPTDKDYRECLETMFPLTQYNIPIIRDNAFRCLQCIGWRPRVLSNEEKQRLIQILHGQLIEYSPATESDDEIVWECTWFIFQCNGFLETTQENMNSICQLAAENLTRTADIGLTTSKYCLNVVRKLLRKITPQRALAMATSLNLKSHLVDLLSGQNQDSIGMKTVYKLFKVINALTSIEREKRSDPLQISVDEKCIFALMQSVSDLFFEGKVLKPLSMLTDYVQLIDTSVYAVFFQNFSKFLLPFLLSRKTQKQRRVISLLEIMMCCVDENASRLTGETINEICKHIYEYDRKEDGLKVFEWIVKKCESKEAAEWARWVSARCGVEIEEEDEKEEEPAAKRVKSL >CRE20458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:146976:153979:1 gene:WBGene00061478 transcript:CRE20458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20458 MAVDTLQTIAAKSVAELIYFEKFRNVDLKLNPRVSNLVYEYTRTNKKLSFTETTTKEILKRLNITEMTIDTEHMLVDYFDIAIAQNLTKLNLKYLYQMSGVRDDDGKINVGTILEKSLNSQSQEILKEIRINGSYATLSNNWVDEVYKFIPSVTKLHLPSCTMNPSNFISLCQFKNVTDLDISYSAVNNLTGISNIKNLVLLNLSNLEFREKEDVMDLFNLEQLRVLNMSSFLYEDFFSQNLKLYLQCEKSLPQLRFLDCSYNDIDLKDLSVLVDTHPMLEVVCLLETPLEKCPQIEFPGRTIELLTTENAHCCLRSLNYCLQTIEYKGDIKKIFDECSEFLKNLNASDQDYKDCVKLMLFFIKKYKDNESYQEYALDCLQCACQKANLLDLNEKHDLIRTILDSLSDYPPYENDDDDDPISVVHYIAFQILSRKEICEASPQAIDAVCKGIADVFRFASCVRPGTTPCCCLMILKEVARKITSPDALDVAELKLHLLQIARKYDIYEDGVETFMVMVNIIYDLTYVNGERCAEELVLMSETAVIHSIIATLENLDDDSLKRDVLNILEGYVKHVNVSVFECLYHRFEEIMMPSLLAKNTDEQKAAISALGSMMCCEDQQATPFTEQTLNAILQSLNSFEAAGDTPPIRYIVQTSKNREAVNWAKWFLRRCGAVVEEEEDVVEMVPEEIVPEETREEEPEAKRRRLILFTFSSSPGDYKHIDFKLDENCSNILYDYMRANNNPITPKIANELIKKLSLSKMKISGLGGFTDAIFTVARAQNLESLELIDLKPLFTFGEGKSGRRPIINIARVLQALLNSKSQEKLRKLSINGKKVKLPSGWIRGIYGFAPSVDSLRLPNCILTQAEFSSLCYSFNSITKLDINASSLKSLTGISNLQNLELLSFVDLKIQTKEYMVDLFELEKLRILSIGGLVRSFRGDINNSNLLKCYLECGKTLPSLKFLDCSYNDISSADLLHLIESHPTLQMINLIGTPLQRTPQLTLSNRSIELLTVENMDCCLKSLKFYTQAKEIQARAVSRVFRDISNLDDLDISDDSHRDHFKIMISLLNGFKDCRDIQQESLISLCRCRRRPYLFNEEDKNLLINSILNALPEYKPNEPDEVVTRIQRAGWTALQGFLETSMENMEKICDRAAMVVKRSMNLNSHATRICLGVLSVLMSKITPNYAREVMEDGILKERIHFCFVNSERLDGDTVYSLIKTLHIMTSIQKYVYHDSRTIDLDAAVFTIFLSTLYNLSDERRMRTWFLIKFVKFISLISVRVYIVVLPKIFNGELISFLTRKNSFKQQILVAVLCKMMYRYIKKRHPSNFPEDFRHGTHLNAILNAINTFECSEEEAKDESKTLDVLKHIVSKSESPEAISWATWMLEKCNRKII >CRE20487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:154102:157741:-1 gene:WBGene00061479 transcript:CRE20487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20487 MVATLQDMAMRQLVDSLEKWSIDYYFNGDRSGKQKIILENSMGSGFKCHEKLSNTLFVNLIMRQFKFPPDMASEMWKVCNVTKVNWIYNTEPMIVYGFELKELRLMIPSRNKDAVLKIRELLNPKSADKLKRLVIEFEKRRALSTDEIREALEGCRELIEENTPMLPMLQELALIRCDLSNDVFEFIHKNCPTLKKLNISFTNLTDLTGISVLKNLESLALEGIIFEKKEDLMEMFELKKLKDLDLSMQSQRIDFGPSRAIYDNLKYFRQCMDLGKFLPELKYMDCSYNVVDEGIITRLLDVHPNLRKIGVLTEETPFNIPNRNVDFLMVNDLASTLEYIEFYNSHDVEAKESHALFVFFRMGIIYIDAYMSEMEIRRSVDGITMFMKKHSYNGRILYVAAFCLHLICRYNGNYLTTTHRQSIIEHVLFPKLWTIEHNHKLNIRYHCSETLVSKSMIDTPGIKADTYCRRAADLLMECDFLDLPVESCMHILCEFLEDVTEECYLKISGDNALKLRISEISTHHVNPLNIAVLIKLLNCCGKENKEISRNYVQAFANTMREYRDYGTVVMLGMLEETTLHLELNPLNEIFPWEIFAFLEELLASPDLEIRRATASLLVTLYHQYMFPRDMDDSVRSEKKKDLISKIVDSVEKNVKEAFRHIKRNSKHPQAVLCMNWILVHYV >CRE20459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:166080:169387:1 gene:WBGene00061480 transcript:CRE20459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20459 MEDQPLPVYAKKLQEICIDTLVDALKRGNNSCLKSALPKHLVNKVFNAITSNDVEITPDNINLLHNLFKKVTKVTWGPSFHKYNSCLLLSNLNPLELSLPRVREGLNELMETQKLVVNQLKEVVEVEVVEVEEHTNDSDSDSDSDESLWMDNMEADPILFDLVMWLNALLNKSTRDNLQKLTINGEELKFPDGWTESLSNILPSLTKLYIPSCRLERNEFSSICDNMKNLKELDMTYTNTSFTSLQGIRNLSNLKTLRIAALHICSQEDMAEIFELNQLETLDISQRINNFSGERGVRPPQVEQIEIFMQLIAQGRVLPALKYLEISRTRIEQDQVLTFLNNHPNLELMGLVEVDLHRDFVAPNPRVRLLTERTVADCIDALEFYLKRDDTHEMTIEALVDKMAVAVEENYESLEESVLRNIFQIVIRVMKTFPKNAQMLFYAGGCAWRLFRQVDLFYLSFCEKAEILFLFSDERHEMFSIQELHMYVKCLEFPGFEKYPVNYGHMEFVDSWRLFMLHGRPSVSIWRVRELDLLDYDVLCDRAVKFVQHAFKVRAQLTQTREPRRPAETALFECAMTVLASYLGKTSDDKYNQLFKDENWKRMLLTAGRAKKIRHPGIYLLWLEVGWIIEAVAENVGIFNHNYRTQSKTLRMLEGIVPYLRVKSLRKMFQKNLIEILGEILRKKEYNRHKEVASLLATVYFLCNPDLSAESKENLVAEVVRVVKSYRMENGEDAKRVFLHVISKGRSPEAQNFGNWVVSQIMQMGEESAPDAQRNVIVLD >CRE20460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:175670:179992:1 gene:WBGene00061481 transcript:CRE20460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20460 MSDAEMNRKFIEMMEFMQKQMAEQAKKHEETIAVLTKAVAEKSQIDGSLGTSSGLTVSQSQLMNDIGGRISVFQFDLETEKTFSKWYARYSTAFTEEGKGLDDKNKVALLVSKLSEEVYEQYSRRICPKLHHEVDFKDTVDILKEMFDIKKSLFSHRFACINIARDGDSPVEYTNKVNALCELAMLKDIDPDGWKVFFWLRGLDPAQDTKTRAYFLKYVEKKTELGEKVNINELCTEWQKIQSQTSAVSEMEKSDTAVRAVYAKKPSNQKQNSSKNTAKHESSQGERCWNCGKGGHKKPECSQPLTKCFKCQKSGHLSTFCKAKKSSSYKKTQNVAIVGGASSEDAEVNSVRQYVSVDVNSESVEFQLDTGSDITLIGREDWTRIGKPDLEKCTSKVKSASGNELKLLGRALVDFRLKGSVGSGYVYVREHGNLLGLDWIGKSEEMSYHMGMMVNELTRSNTDSIHAELKEKFPEVFREGLGRCVKEKAVLTVQENATPVFRPKRPVAYGATEVVEKELDRLENLGVLKKVNHSRWAAPLVVVKKAGGDLRVCADFKTGLNTALEDEDHPIPAPEDVFATLNGGKLFSTVDLKDAYLQIELSDDSKALCTVNTHRGLYEYQRLPFGAKTAPMVFQRIMDKMITGLKGVTAYLDDIIIVGSTEKEHTENLLELFKRISEYGFRVKLEKCKFLEEKIKFLGFIVDKDGRRPDEAKVAPIKGMKEPVNQKELKSFMGMITYYSAFIPHMKSLRGPLDKLLIKDVEWKWSKLEAEAFQKLKDILSSDLNLTHFLPNVPIVVAADACDYGIGAVISHRFPDGTEKPISHAARSLNSAEKNYSQIEKEGLGLIFAVKRFHKFLFGRKFLLRTDHKPLLSIFGSKKGIPVHSQNRLVRWSTILLAYDFDIEYIKTDDFGQADALSRMIQKMPNEHEDVVIAQVEVDVEETLRSAIRKLPVRVRDIQEETKKSKMLQNVMKYVSRGIWPKKMDEKLKKFYSVKVSFSVVQDCLMMSDRVVVPESLQRAVLKQLHEGHPGMVRMKQLARSFVYWPKIDEDVEKVVSACTICQVHGKTPKKVPLQPWKTPERVWQRVHIDYAGPENGQYYLVAVDAKSKWAEVMIVKSISAISTVRTLKDMFSQHGYPETLVSDNGTQFVSKEFATMCQDAGIEHVRSPAFHPQSNGQAERFVDTLKRGLKKLKGEGSVNNEILSQFLLHYRSTPSNALGGLTPAEVHLGRRLRTRLSLMMPQLNKTVDSEQRAMKEQFDKHHGVKARSYRKGDSVFVKVFEKNTWSWKPGKVNQRQGRVVYVIGLKDGRERVVHANQMKMRLEESTQEQSKEHEWATTMFDVFELPTVWSARKSTDEARRDNATSTPVMDSPQRVHQGQGTSASPAQQVQSRASTQQSSSQSTTTTAVQGQRLAPSPVQPRRTTRVRKNVVKYDPSDHS >CRE20461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:184801:187091:1 gene:WBGene00061482 transcript:CRE20461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20461 MTFGEDIKERIQKRGRYPLTQEKSWRRLSNDPKTMPYLYWISPTLSNDVFQMGVGNCALVAALSSVSSNRQLLRNMFPTSTVSVYGVYRVRLCVDGEWKMILVDDWFPHRNDREWITVATNNLLWAPLVEKAIAKVRGGYSKLIGFPSIDTYSLLTGAPTTRYYFEKRPSILSWNMVYDAGSNGFPMACSTNPFPEVKKRNLLGNHAFSVVEVGELGDAKYLRLRNSWGYNRVKFDEWSLCSPELADLLKMENVPTIAGSFYVEWKMFLKYFGQLTICRYRPHWSVLRVNMVIGGKWDDSQKNILIHVPRNCEICVKVINPNNRTKYRTWISIHRVDELDSNECDELLMCELIQESSRDISLIPGDYILMITELLGVKVERNVAIYTSIPISARICSWNPKFLIDVFQKARRDISIQKYTDNEDSFMVVMAENYTIDKYLHVHIHFSHTAFIQFSRNDVDKQFADVIPPRRRQILIVSYHSPVFDGKGFGMNVEYWVSKESKTKIGRSDRAEHVPSIRSDEYVHFTTVID >CRE20462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:193765:196425:1 gene:WBGene00061483 transcript:CRE20462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20462 description:CEH36B [Source:UniProtKB/TrEMBL;Acc:C3U562] MAANFYANFPALGYPGYPPFFTTTTTTSTISPTVLTTTTSPTSTKSSMAPNHGVDNRRNGRRERTSFNRHQLDQLEKVFVETQYPDVHKREALAKAINLPEGRVQVITVWFKNRRAKERNNKKLDGPHESISSRYSQQKENDIWKLFSCSSSNDSPHSDIKPDVKPFGLHTPPDFNAAKYEANSLVLSLQQQSQLQPKSELEDTKETTESKYDTTSSQSLIPQAQAAAWTYNAATAPYAYPYQTYFPSNFYYPPYNSDYTPNNATYCSGSPDVSMPTCAKY >CRE20463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:204069:208364:1 gene:WBGene00061484 transcript:CRE20463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-19 description:CRE-NHR-19 protein [Source:UniProtKB/TrEMBL;Acc:E3N2V3] MSTAFQTMPTFTSVISAVPSIAAMAPQLAQHVKQEKGVIGQCLICGEPSTGKHYGIVACLGCKTFFRRAVVQRQDTECKREKACDTTTMARKACRACRYRKCLESGMSKEALQPRRDLIGCRRIRSRPSCSNSTPTPPRPQVEDKTQYLTLLDNLTTIDDRLRKKKLEVVASRQAAIDLSKLTKQGCMSATDTSGPSTSRYVPGGTSPSCGDHKMMVMLGTDISVATQTELLMMLEWTRTLPVFANLPVQDRTVILKRFAVHCLILEHGYYTAQANIDDVWLITNGTCMPRNVEKLEEGSRISVSADRRWRQEKLYKQMTDCCIDEVATPLRNLKLSPQEIVVIKIIVLFNCGCSSDYSEITEASRRVVLTFRNKVISALFAYYESVGLENYAERFGNLVLMLSGVSSAASTMLEAYQGDASLQKSLPFDPLSQELLFNI >CRE20464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:210222:212505:1 gene:WBGene00061485 transcript:CRE20464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20464 MKLFQRASRKSSSRKPASSYSAANDAFILSICTSNVSFRFVKNEFFKKFVRILDPNYKLISPDAIRRKLIENVGDYKRKIKVELRDMIKCFISLDGWDGKNENTSIYAVYLYFLDSNFERKKILLGLRQLEKKGTANNIGDLTLEMLKEYDVDISKVIGGLTDAGSNIKSFLEKNDLFHVHCLAHSLALILKNASEMPSIARVLTKVNRLASHLSRSKSDRTTFRERSIALKLEGRIPLPFCVTRWGGCCLLAKSYLAHYQSISSLSNFQCYLLDASEKKTLENFVEYTNPYIESIAQAETDSSFASSLLVQYASLHHFISAQDQRNHLVHCLSIETLERYTKYLENDVCLFATYADPRYAYMTNVLNTLKWEAVEEMVELYCESFRAPSCQPATTPPPPKKMKLAESNFLRFVEAQTESVNSGSTKKEIVEYESLIQSARPPIQSCPLNFWLTHSTRFPKLSILASHFLSIPLSSAQVERLFSRCGELMSSSRRNRLKSITVNDMLLNAALGQMSLQEKKEDEDGSVSESDDDSEEESDSSADDFNGQTVPPSDSHSQTVPPFDSNGQAGSSTVPIIEPTN >CRE20493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:214798:216878:-1 gene:WBGene00061486 transcript:CRE20493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20493 description:Adenylate kinase isoenzyme 6 homolog [Source:UniProtKB/TrEMBL;Acc:E3N2V6] MGSYYYANNNQQFSMATPETRRKPNILVTGSPGTGKSTLGQQVAEKLGFEFIEISKEVRENNLQGEFDEQYNCHVLDEDKLLDHISERMDSDDGGIVVDYHGCDLFPERWFDVVVVLRCSTEKLYDRLKSRGYTDFKIKENVECEIFGSLLEEAKESYKEEIVHELQSETPDQMEENIEKICELAAAFKNSDNHME >CRE20494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:217048:220389:-1 gene:WBGene00061487 transcript:CRE20494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20494 MSRRKLRAVEYDRDYVNFDDDFEIRRLIATVEEVHLKNRGENWSAQMMDETDTSKWTAELETYFRDLGAPDGCSRAAAVDYVLNAAVAKIYEQKGGDTELSSKRLKDQAEKVLEAHRDSQNPMNRLDYSSPKFAENARALCSILGISEHHPDPKVLMKAACLYITENLSDDVIGEETDDVLKGKKLLDIKDFPIGMEVPKNGAAHFSARLLRLICLSQLRDVSKMINETLVEIQNLTMDMSKRADLKQVQYGH >CRE20495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:221180:224885:-1 gene:WBGene00061488 transcript:CRE20495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-parp-2 description:CRE-PME-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N2V8] MKCVCDEDVHLGLLKQLKFNEAYGKPIDCLSLSQLKTGYEILAALEKSIGGKKAARSTTRSRSAPSNTTAATNSASIFHDTNKYYSLIPHSFGFQVPPKIDSLAKVEAERELLDALKGSIEASMEMKDLKSTKSDKDIYQRLYERLPCQLSPVSEDIAEKIGDCLKMRGPTHFIKLSYLDAFELKNPEEAEPEPNPPPPKRGRKTTKKTTKRLLWHGTRVTNVFSILMNGLQMPEGDRYGLMFGNGVYFANVPTKSANYCCPEVSKRVFMLLCEVETANPLVLYGSEVDADEQVQQKKKLSVYAAGRHTPKETVDINGIPAFKCGLEEIEEETQLLYDEYVMFDQERFKIKYVVEVKVEKMTAAEMMA >CRE20465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:226862:233476:1 gene:WBGene00061491 transcript:CRE20465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20465 MQKYSKKVLIRAEKSAQQLTIDSIRAAVLDTTPSDVAYSVQIRISEWEEQGEVLQIVGEIRCQKPRDGTLIIGKGGKRISEIGRRVNEHLHSLFQRQLYARLIVTCNGKLITQKK >CRE20466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:235881:241694:1 gene:WBGene00061492 transcript:CRE20466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-58 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3N2W0] MLKTWILPLLALGYVSAGDVLFIPSTLYPVHAQTMAVLAKELVEREHKVTWLEIGPKQSDVILPSEVAREFWPAQFGDRVLQDIYQYRNHSSHSELWNPNHLNENEQTTGWLASIRLCDSVLARSKSKFDRLIEKQFSTVIVDDLYNPCGVLMAGLKKSVYIYWSMTGLRTESAWANQSPSPPSYLPVAGTGLTDELTFSERVYNVASYMKQLYIHQHIVQPRIDAVFQKYYPGVATTFDIERNASINFVNTPPIFDFSRPYMPRVNFIGAIQCRKAKELPKEFTDRISAYPDGFVVLSTGFTAQWNKSPESIRQAYLQTFKSLPNLLFIWQFDGTLQTSEIPPNLLTKSWLPLQDLLGHEKCRCHVSHGGLNSVIESVYHGVPVVGVPLTSRGYDNLLRVTARDSGVMVEKAEFSTRSLTRAIKEVIENEKYKKEMLIFQDMVIDVPYTELYHAAFWVEFIERHQEVPHARSGADHLNFLQYFLVDVIAFFFFVIFCTFSLIFYTIRTVYRTIRNVINGIRGVPRVVSKGKKNN >CRE20496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:241840:245486:-1 gene:WBGene00061493 transcript:CRE20496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20496 MTSKPFSVLTKELVFLKNKSSTQTIRIERLDPSVLGIRASHNLPECFTLTPSQVVTRLPYEYEVYYDSSKSDGLTIPETMYIKFTEKIFMAEGLIDGVTIRVRIVEKKTKLESLECKLCLLQFSEEKEDLIPRILTTCGHTICQSCVNQIKPPQPSHFGRNIDVQCPFDRKSTNLPPDGMLPKNFTVIEMLREHEEMEILEKLTATKLCEDPDVPCFENSKHESTCYCTSCKAEFCEGCFEITHASKIFSSHKAIPIDQKPVVLPNCEIHPDNLVYYLCKSETCQTETKLFCDECKLNEHESHEHDNLAERVVTNQDNLKEIIKNLKSTERKFQLKIEIAQTCGQSYRKDTKLYTDKVESIKRYFEAKKEEALKKLDNYFETEREKLEVEREEIEHGLGLVTEVRRDIEKMLKRKNNLYDVEEIMEKGNALCSLEAGSGTLIVPFSRISLPDDMSTESLPIITFSGSPSTDPANVERESVVREILARTIDRRAIKATRKYSQKK >CRE20498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:254794:257262:-1 gene:WBGene00061494 transcript:CRE20498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-20 description:CRE-DNJ-20 protein [Source:UniProtKB/TrEMBL;Acc:E3N2W3] MRILNVSLLVLATVLLDFVSFIECGRDFYKILGVAKNANANQIKKAYRKLAKELHPDRNHDDEMANEKFQDLSAAYEVLSDKEKRAMYDRHGEEGVAKMGGAGGGGHDPFSSFFGDFFGGGQGGHGEEGTPKGADVTIDLFVTLEEAYNGHFVEIKRKKAVYKQTSGTRQCNCRHEMRTEQMGQGRFQMFQVKVCDECPNVKLIQENKVLEVEVEVGADEGHQQIFHGEGEPHIEGDPGDLKFKIRIQKHPRYSCNRALLLFLISV >CRE20467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:257693:261779:1 gene:WBGene00061495 transcript:CRE20467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20467 MLLKLLVLLAPAIFAEDLTQRQLKPVKGVPHSRLHLYQISTTDTFRCLDGSQTILFSQLNDDYCDCKDGSDEPGTSACGNAFFYCSNVGHKGNFIPTNRVNDKLCDCCDGSDEYDSGVNCPNICDELGRAARIEREKVANVARKGFQKRQELAKEGQALRDSKLKDVEPLRQERGALEPDRARLEGEKNAAEEVEKKLQDEHRNQWEAIRNEKKKLRAADWFDELDLDKNGKIDREELRQNSFLDDDHDGFVNEDEVKAYLNVDEADLEHFQSLMYDRLKSARHQHREEARFKEEEEKERAKALENAPDDDDLLAEEDRHDGEESDSESVTSPESEDEDKMPEYPAEVQQASEKAREARRLFDEVNMKVQDLDSKIRDAENFANFDYGEDSSWAALKDKCFDRNVQQYTYQFCPFGQNTQKDTGAYSGTSLGSFKEWSGGEGNKKYSKMHFGDGQQCWNGPKRSTDVGRGLESQSSVVRRANWSKSPNPPNVNYHFTFRTPLACSDPGCGDRSRGTLIYSERKCLFYRFFVVIFCIRN >CRE20468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:270283:272916:1 gene:WBGene00061496 transcript:CRE20468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20468 MTEEATEAESVLSYEDEWWEMNCSIIPPEFNRLMLVGVVGAVIAFISVCFNTFLFVVLCRNPRHRHSHLIYLMFLSVADIFLSASYILLFPVNLYMDYFASEWLAAAWWSYMRIMITISHVFISTSAFLICAAAFERYITISKIACQFARHHRLIIIVACLCIAIVAKGPMYFEVEVVPNANCTGVTSLTAIPSEFSESEPYKTAYKFWFRNLLTVALPFIMCFYLNFAIMHRLRIQHLGAKLFRFATSEHRQNIRAATLMLVAVTCSYLASNLLNVVVYTWELVDKESLLSENIRPLYTLSSDLVSLLTVVASACRLPIYLVCNARIRCEVLDYVDNCVLTHLNIKPYTGLGSKRCRATTVRYCDTGSGYMIYDAPNKKGERRVRSVGTGLDRVVLSVAMGSIKASQSSAHLTVPLNNSVMLIQEE >CRE20499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:273130:276055:-1 gene:WBGene00061497 transcript:CRE20499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20499 MVVDSLQKITAKYVAECIYFETFRNVDLKLHPNVGNLVYEYIRTFEEFNSTETTTKEILKRLNITEMTTDKNDTLDDFFDIAIVQNLTKLNLNSFGHMACCHDKDERVQFGKVLGKTLNSQSQEMLKEIRINGEDAIFMKNWVKDVYKLIPSVTKLHLPYCPLSLSPNFTNLCQFKNVTDLDISYSAVNNLTGISNIKNLELLNLSNLEFREKEDVMDLFNLEQLRVLNISSFRNEVRLQNLKLYLQCEKSLPQLRFLDCSNNDINLKDLYVLVETHPMLDVVCLLETPLEKNPQIEFPGRIIELLTIENAHRCLISLNYCMQTIKYLGDVKKIFNECAEFLENLNASDQDYKDCVKLMLFFIKKYKDNETYQEYALDCLLCACQKANLLDVNEKHHLIRTILDSLSDYACYENDADDEPISVVHYIAFNILCRKEICEASPQAIDAVCKGIADVFRFASCVRPWTTPWCCLMLLKQLARKITSLNALDVAGLKIHLLQIFMKYDIEETGEETFFVLVHVINDLTYVNRESRAEDLILMCDKTIIQGIITTIANLDNDSQKRDVLNMLEEFVKFVDVLVFESFFHRFEEFLMPSVLAKNTDEQKAVVSVLGAMMCCEDQQATPLTEQTLNAILQSLNSFDISEDTDAFRYIVRTSDNREAVKWAKWVLKSCGVVVEEEEDVVKVVPEKMVPEEAREEEPEAKKRKV >CRE20469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:276891:278823:1 gene:WBGene00061498 transcript:CRE20469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20469 MVETLEALAELKVAELIFEDKYKNLEFKLNEYQSNNIYEIIKEWNIKYENVKQITQKLDITDMVFKEVSGFPVGFLRVLGVPTSVQVFIGCVSLLFMATSLVALFENRSNCILSNPFRITKQSTRFLYYLFNCTLIIGYLIPTFCNIPEQESAKLFLLQTIPCPTEEFFYTEVFVWTIDKFWNNYIWVASGSIVLIILFQIIFYAICCVYYLYFSTAVIISPKTRKYQRSFFLGTVAQVVVPFIFYVIPLATVISFFHFDYYNQAFNNSIALFLSFHGFASSSIITLVHHPYRTFLIKVVTFNRGPGKSTFYFPVIIDCF >CRE13327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:840201:840985:1 gene:WBGene00061500 transcript:CRE13327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13327 MQQFSLSYHSIYTRNWQVVGAIWVLCGLCTIIVHRNSSNIFLVDKYSPHWQFSNSESSRLASRFETPTAHLLSFLQLTLPGAVSVYYGQEYGLKNAMSKDGELKQMGVMQWYPTGKDHHGFSKESDAPIFFPETDDKLEMDNYNSQFDISDSPLKIYRKLAKLRQRDEALIVGIKMTSNKKSVTS >CRE13325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:829835:831819:1 gene:WBGene00061501 transcript:CRE13325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13325 MSHLNYETRLPLGQATIDHFMCLPAHPSKCQATYVWIDGTGEQLRAKTRTFDVKPKYVSEYPVWNYDGSSTGQAEGDNSDRYLRPVAVFPDPFSGGHNVLVMCDTLDNKMKPTVTNHRQACAAIMKQVADQHPWFGMEQEYLIVDRDEHPLGWPKHGYPAPQGKYYCGVGADRAFGREVVETHYRACLHAGINIFGANAEVTPGQWEYQIGTCEGIDMGDQLWISRYILHRVAEMFGVCISLDPKPKVTMGDWNGAGCHTNFSTSEMRKPNGLTAIFEAMKADLSKIGPARFFLRLEKTHLEAMKVYDPNGGQDNLRRLTGRHETSQADKFSWGVANRACSIRIPRQVADEAKGYLEDRRPPLQGYLEDRRPSSNCDPYLVTAMIVKSVLLD >CRE13324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:826717:828424:1 gene:WBGene00061502 transcript:CRE13324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13324 MATKDALIAEEGNNFGGSGSGDIATFDKNAEVVNFELEPKPIGLTKEQLEKYRNDPFWKPVRTLRFVLARMGFDVRRCHRDRRFVTKVCREAELLTATFFDSDGDGVGDFAGISQKIDFLRKIGVTTVYPTPAIKIQKDEYFNSYDVVDHLSVDDRFGTEEQFKELIDTIHNRAMYLVMDLPVSTVDLSHPWLEKRDESKFVIAKPTDPGFNETNFYPFHGANNLKYLGYPSSQNPVLNWKDSEVKAI >CRE13414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:823692:825414:-1 gene:WBGene00061503 transcript:CRE13414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13414 MSHLNYETRLPLGQATIDHFMGLPAHPSKCQATYVWIDGTGEQLRAKTRTFDVKPKYVSEYPVWNYDGSSTGQAEGDNSDRYLRPVAVFPDPFSGGHNVLVMCDTLDNEMKPTVTNHRQACAAIMKQVADQHPWFGMEQEYLIVDRDEHPLGWPKHGYPAPQGKYYCGVGADRAFGREVVETHYRACLHAGINIFGANAEVTPGQWEYQIGTCEGIDMGDQLWISRYILHRVAEMFGVCISLDPKPKVTMGDWNGAGCHTNFSTSEMRKPNGLTAIFEAMKGLEKTHLEAMKVYDPNGGQDNLRRLTGRHETSQADKFSWGVANRACSIRIPRQVADEAKVRFSEKEWSLGYLEDRRPSSNCDPYLVTAMIVKSVLLD >CRE13323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:819669:823351:1 gene:WBGene00061504 transcript:CRE13323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13323 MAFIFLSFIFAIFLSFLIHSIYNRRQKLKLRAKIGLDGPEPHWFLGNLKDIIDLTTRMGYNNAQEWHLQMRKKYGDRYAIFFGQQLSIFLCNEDDIKEVFIRNFSNFSDRGVVDIFRETKLNASLLQNTYADGWKHVRSAIAPIFSTGKMKAMHETMNTKIGTLLEILEEKSESGEKWDIYDDFQGLTLDVIGKCAFAIDSNCQRDRNDVFYVEARNFITNIDLRQNPLIGTSIIIPELMWLWKLLYRFTGLASAELPMVGGLHNVYKRRRGGEGSDSVDLLKLLLDREDDKTNGMTKKEVIENCFAFLLAGYETTSTALTYCAYLLSRYPEVQQRLYEEIEETKRTKHGLDYDSIHQMKYLDAVYKESLRYYPPVIHFISRTCLSDITINDQFYPKGCLVTVQANTVHRNKANWENPDKFDPDRFLESAESNQLKWIPFGIGPRYCVGMRFAEMEFKTTIVKLIEKFELSRIEGEPDLIPDCNGVIMRPKDPVRLSLKLRKQNKSTKIDFNVAPASKLIPTNKDLAKTEISVVTANVTDYKVREQHNFVESQLVLPPKQAVLLKL >CRE13322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:818256:818561:1 gene:WBGene00061505 transcript:CRE13322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13322 MFRLFIALALFSTVSAVTLAICQHYCSSVNGAASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGACMTGNGYRCCLKTSPAKTQEFKVSGCNKLYNNLV >CRE13413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:816260:816667:-1 gene:WBGene00061506 transcript:CRE13413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13413 MMFRLFIALALFSTVSTVTLAICQHYCSSVNGAASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGACMTGNGYRCCLKTSPAKTQEFKVSGCNKLYNNLV >CRE13321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:813457:813762:1 gene:WBGene00061507 transcript:CRE13321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13321 MFRLFIALALFSTVSAVTLAICQHYCSSVNGAASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGACMTGNGYRCCLKTSPAKTQEFKVSGCNKLYNNLV >CRE13411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:811502:811898:-1 gene:WBGene00061508 transcript:CRE13411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13411 MMFRLFIALALFSTVSAVTLAICQHYCSSVNGAASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGACMTGNGYRCCLKTSPAKTQEFKVSGCNKLYNNLV >CRE13409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:802189:808648:-1 gene:WBGene00061509 transcript:CRE13409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pssy-2 description:CRE-PSSY-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M867] MTDSDSDDSEFELPRVASGERKLSTYLDRMELEKKHFDMINERIVDDVTMDQFYKPHSITVLVLVIAFLLYKAAFGKTDNTTEKNFYEGFLGAGALFLLISALTFPNGPFIRPHPILWRVIFGLSVIYVIVLQFALFQNYEDLKKILTWLDPEGLGQKSLEEKEYASDCWDLSWEKIYSHVDFFAFSHFTGWIMKTLLLRHWVLCWFISIIWEFTELMFIDLLPNFAECWWDSLILDVLLCNGIGIYVGMKLCNFLQMRQFHWESIKNIKTRRGKMKRFALQFTPASWSGYDWFYSKSFSNAIRRTFLLTFLVSFWLLAELNTFFIKHIFAIDTKHPVVFWRIILIAVIAAPTIRQFYVFSTDPLTRRVGMQSWIFVVVCVLELAICMKCGGEVFAKTTLWKLGLWIASIMIGTIICIVASTWYAENFGSTARVTVKGRRRLCYLESSHENLGILADDVIKKREEYLTSPKRH >CRE13320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:798163:801807:1 gene:WBGene00061510 transcript:CRE13320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13320 MRNSIVVIFLSIASSYVLCQISTSTIETTSEDPIPTKETTTYDSLGNDNFVVTYSEELANSFTGDLIQLIIYFHILPVVTTIMFALTIHNCLKRKAEQRRLRMKTQMYDHFTQIIKNGFCKYCYPSKEFYEEGHTREKVVPGCPEIPNKNETTATVTPPNQSTDQSTSASALNHLTSPQTKPVPYGTVGVPTERTPMPMSAKMMKKLRKEKKEQDEKAFKFKDKGPIVFHTQNIVAADVSSLFLSLLIIIFKFQLMIEERKEKDIKIKKVKWDENPIGYHETGVGVDQVDIPTPSTKGDTYSSIMTASIKQLKPH >CRE13319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:787277:796102:1 gene:WBGene00061511 transcript:CRE13319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acs-5 description:CRE-ACS-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M865] MTNETALTLAAAVIGSTYFLYCKLLKTAKLSLPNGLSVDRQAVEVKGQPGVWKSALMENEQEDVLTRFYPEVATIHDVFLKGKEESKGGPCVGTRSSGTSDYEFLSYDEVHEKAKKLSMTLVHEFGLTPGNTTNIGIYARNSPQWLISALACIEQSMVVVPLYDTLGAEAATFIVSQAEISVVIVDSYKKAECLVQNRHNMPSLKSVIVIDSAELLNGPLLFVFSKLLISFQTTIDSIRIESFDSALSLGAQYEYTNNLPKPEDNYIICYTSGTTGTPKGVMLTHSNIVANISGFLKILFAFQPSMIDTTQVHISYLPLSHMMEQLTHWTLLGFGSKIGYFRGSIQGLTDDIKSLKPTVFPVVPRLLNRLYDAITSKVQQQGFMAKLVYNFAFARKLSQVKAGKGGRDTIWDRLVFRKIQEQIGGKVDLMVTGSAPISSTVLETCRVTLGATIVEGYGQTECTALATFTWMGDPSTGHCGAPAPCALVKLGDVPDLNYFAKDGKGEIRIKGPCVTKGYYKDPERTAELFDEDGFLQTGDIGEMLPNGCIRIIDRKKHIFKLAQGEYVAPEKIEQVYIRTPVAQQVYVDGDSLERWLIAVVVPEPDVMMEWNEKQGAGQRSLEEICRDEKAKEFVLSELHAIGKANKLNSIEQVKKVILSTDPFTVENGLLTPTLKAKRPQLRLKYKDGMAKVYKQFPNL >CRE13318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:778475:782816:1 gene:WBGene00061512 transcript:CRE13318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13318 MIVGSPTLPKTQYRAPILSHEDARFLQRQNIDMSAAINSGDYNVHNIHMIIGNDILSYITAQPNYCKYILPSGRALERTILGNIVHPIPKLALWNKAVDPPLSDEYQIAMNVANTLLSSCEPEDAMTKLTLQIAEMYSLVITLKKGVDLLSKYDTIITGQESAGFIEKVTAEMLKAEGPKYTIPHRGVVKEDSLTTKLRIVLDASSHARGELSLNDCLHAGTNMITPIFGILIRMRCPRFIIVADIEKAFHQVRLQPEFRNVTMFLWLKDITAPVSAENIEVYRFRRIPFGMSSSPFLLAAYITYNLDINPHELNEEIKDNLYVDNALFCTNDRSEIATKIKGTKFIFKRMDMNLREYIVNDPDTMESLPESEKAQATTIKLLGYKWNSTEDTLTVKIAVLDIDHPTKRDVASKLAETFDPLGLVSPIMVPFKRLMQRIWENGKSVNWKDRIPKELLPDWRALRNTFIDRELTVPRQLTSNYDYSEVHLLMFSDASQDMYAACCYACYIVKGETPVVTLLTSKNKIRPSKHESWTIPKLELLAIQLASNLACAIVAELRITINSIKLFTDSSCSIYWVLSENNPRQWVANRKTTINDNMKKMEECGIPTTIHHCPTKQNPADYATRGMSTREVQNSKMWFEGPSFLKADPSTWPCMIAGKVTCPAEFRELVYSEIIDPDTKKKRKPLMEKKVAKPAENVQRSAVEQKTDDTVMILNSQTTRPGSFIPYTATNSLQKLTKIVVLILKTFSIIKNKSWESPVMTQFLSSDCPLHQAKVARLLIITEHYKDCDYLDYSFPSDIEHFTDTYGVRRVHRRITSPVLPQEASEPILIHPRHPLAKLIARETHEINGHMPEVYTTSAIKTRYWIPKLGGILKNVIRGCVKCQKVNNFPFDYPYTKDLPLCRTTPSKPFSKVGLDYLGPIMYRGDDGQTNKKAYVLVYTCLITRGAVLRVVPDGTSQRYILTLKMIFREVGVPNVIFSDNASTFKLGGSMINKDIEDSVYSHSLVSFLASEVIDFKYITPLAPWQGGIYERIVKLVKHQLIKECGIRTYDFYDLQYIVSGAQAMVNNRPLIPHSRSPRDMIALRPMDFIAPGVMTEIPADSDKSDALPRSTEATVRAHINKMEAATDRLWETWSNGYLLHLRENMHTKKRSSLLRPAVGQLVIIVTKLLKRHKWPLGLIVHVERSKRDGQIRSAIVKCRGKLYSRAVSQLIPLELNPLNRPNIATEEETEDTQDSSPRELPAPAVLFDPNMKYAPELFPSKDLPNIAECENKNPDHKINESNIINLPLNLNTDHLENNVVSGDTDDDFDIIEKRLGTADTYQDPQRVLPAEAADDDFSELPAGRVRTYLSRKAKELPINYVHHAASQETAGTLPPGMLSTISLKTHLSTTERLNDEPHMVFPAKLGSSSPY >CRE13315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:765775:769532:1 gene:WBGene00061514 transcript:CRE13315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13315 MNSSDEKPQLYDPRPRSEIMSSNNYEVPQIVKPAVAVSPIRDKELTRGGRQVKCSIRGCNRRVELMEFPFFSDSDEDENNSLSTRGSQLTQWPTATKEAAAENIKASQQVTINKDRVEKLLHNIDISTARMAVALERSADQKEEQRGQGKSRRCPYCGSQLLGEPLYDHGIFECPFGKLPEPDRFRFLAINLVSYCQQCNSRSATHTGCRPVNCLVCRSPDHSLASGICLDPELRELTADQLRDRANHHRRDYLRHIRGLLASPNNPLQYRLPSDSPYISLYRREVPAGEERRGLQILRVENNEFPGTRLAEYRGAPGSLIREFITLRPPEFDNWNQLMIPIFDEDSARYLEEIARVVTRIREDPNSARTIELPAAPHANQNGVRQQRQNPVLPAEPRARPVNNRPVDVPNANQAIRREQPLVETPILRADPPGLRAPPTVPPYVPAGTTNQAKASAAKNRASTNYMRRPPPRPLTEDPGSQPCSSSQIAPATAESASSSAQAASSSTINIDNMFNPETYASMGPWSNEMERRSTVPLRTNFASVPAGIESQEAHSQDNTLIEASQIQPSNNMQMIQPMSHHSSNSAHNEGSESQSRGNSRESAVGRPSSQNSWRTASPQDQLEANLEREIQANAIFHCHEHLRVDGTPMRPAFQHRAFKTILDLAPVERTAAQIIRIQTLQFIITAQKDERMEVYHYQNPRLIKDYLDMLIRLGEALSTTDIMVVKLEKRHKTNIIQKRRAGTDIFPVPSFDLWTHTHVANAMEFILGTGEVGVVERIYNFNAREPRLWFELDHPIDIDLPAELQRAREQQILQTVRPTERYHPRTLAQLEATSRLPIPLDIGAIHIQIEWMTLYLSGTSLVDQNHVPEYKIMAYAEMLRAILELLIYLRQEFHFDPAVRFIDCGEELGVMAARGHNIVAPTLRLFAYRDVVQVKAYLQIVWSSLIEAHQDKPEECECITTPE >CRE13314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:758405:760663:1 gene:WBGene00061516 transcript:CRE13314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-13 MSPYKLGCNVLEITSSNIQRIGPVCKDIDTPVFRPETAITSSLNSIIFSNCSIGSLDSFSFTNYTLSVLSFNHSTITSIKPRAIEKSRIKSLGFGESKIEIFNGSSINKSEIKAVDFDGMEIEMIESDWIHNSTIEKLGIRNSKIENIEKNVFKDSVFDEIEMDGNRIMMMESEAFYGDSQISTLSITGNDLTTSTPSPSLLSSTSPSSHPTSFILANNTIDCSPSDCSTNSFLLNSPKHSPLLYQISNNRCRPPSQSPCVDPRSISIEEHGITCRISSLLADCACTASTSSLPSRFPIDSNISIVILGDCEHLTLDQKSAKFSQIYIFRTSKLVVMELPAALKVLKIFHSTVSMVRGETHDPQSLQSWEISNSKIDLISPSGLSHLKISTLFLHFSRLPHIPIHATRFSRIENLIIDSCFLESTQPLFEISSTLKMQHSVIFSSPRGLGTISSAQLQNNTLLECCRHPYFDYRNPIGLDTIDLDPRCDLHFYGSRCFSLDLAENNEGLETNHLPITSFVTFHSLTFYVYFAIFFWVF >CRE13407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:755639:756554:-1 gene:WBGene00061517 transcript:CRE13407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13407 MEPIFPLLRLPENVILKVIKNWSMVPLLEFSLISSKTKNIAASLGIEADDVCITISRVVDVTVYCRYFAMSLTFYNDSVDQDALIHLDSNQPISAYSVYERRTVRSSTPFSFNNWLDHIKTVFCYSKPPRLFFFPGNEQFEMKSLKNVIKSVNQLYVSGENTEFRGREFLEHFKNPNELTLGRNLFGEACEVQKYLIRNYRSIRFEDDVSLDDMLLVNSERVDFSCTISQKQFNQFLKHWIRGSNPRLQYMNLSIDTTDLVNGIVYLKGIRCIEMSEDIR >CRE13405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:751897:753241:-1 gene:WBGene00061518 transcript:CRE13405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13405 MEPIFPLFRLPENVILEVIKNFGMNKLFEFSLISTKTKNIVASSGITAHAVRITISRDVDVTVYYGPCIVRLSFYNDSVDALIHLDSNQPISAHFFYERRTVRPSTPFSFNNWLDQIKTVFCYNEPPTVVFWTGNERFEMESLKNVIKSVNQLYVCEDNIEFRSREILEHFKNANKLILDRNPFEEACEVQKFFIQNFISIGFHEDVSLDDMLLVNSKRVEFSRPISEKQFIRFLKHWIRGSNPRLQYMNLFIDTTNLDNGKVYLKGIRCIEMSEESKKEIRQKHGISDIEMVKIRREEGTTAVIATVDYGTFLYVRFYVLY >CRE13404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:727125:731768:-1 gene:WBGene00061521 transcript:CRE13404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pod-1 description:Coronin [Source:UniProtKB/TrEMBL;Acc:E3M854] MAWRFTASKFKNTTPKIPKKEDTIFDVPVGNLSCTNDGIHASADFLAFHIEGEGGKLGVLPIGAKGRRTRNDIWIIAAHGEQVSDFGFMTYADQLLATCSRDEPVKIWKLSRDSSPQLATEVDVGAGVITECLRAHSTADNILAVGSHNSAYITDISTKRVAIELSGITDKVQSMDWSEDGRLLAVSGDKGRQIVVYDPRASTEPIQTLEGHGGMGREARVLFAGNRLISTGFTTKRIQEVRAYDTGKWGAPIHTQEFVSTTGVLIPHYDPDTRLVFLSGKGTNKLFMLELQDRQPYLSHVFELTLPEQTLGATVGAKRRVHVMDGEVDTYYQLTKNSIVPTPCIVPRRSYRDFHTDLFPDTRGAEPGCNGNEWLSGTNAMPPKMSLGPSTTSSSPPPPEPMPPVQQAVAPPRPMSMSSASNVIPNGKSTNAPAVAAARKEEVRELDYGVVEKENGSSAAARSNGIVKSTTAHTPSAETNSTQGDSSPISSISPDPITVVSAVAHSAPRVTPASPVTGSVSTPNVVGPAFGEKPKETAPVNFRKPIGASNRVPLSQRVRPKSCVVGQITSKFRHVDGQQGTKSGQVFSNLRNVNTRLPPESNGVCCSNKYAAVPLAGLGVVGIYDVDAPGKLPDGVMDGIYNKSTITDLQWNPFDESQLAVGTDCGQINLWRFSESDGPRNEMEPEKTIKIGGEKITSLRWHPLAANLMAVALSNSTIELWDVDEGRLYSRFVNHTGGILSIAWSAGGQRIASVGKDAKLFVHEPATAEHRVYERNAVVESTRAARVLFACDDRIVIVVGMTKSSQRQVQMYDATTTDLRHIYTQVIDSATQPLVPHYDYDSNVLFLSGKGDRFVNMFEVIYDSPYLLPLAPFMSPVGSQGIAFHHKIKCNVMAVEFQVCWRLSDKNLEQIVFRVPRIKKDVFQDDLFPDALVTWEPLTTAAKWMTGQETPLPVFRSLKPDGISSSIPRPIAAAIRHSDMPTSSATPSSSSGVTPTTSSSSGAQHHHHSHHHHHPTSTSSSSSSHPTPIARNMQSCAVESAHTPDRQQVRERNHHDEWSTEEEEEAETGDSEYFTDENQSSQDHQFLVDLSDEGAESRVLKEEPKEEAEPRVRIPACLLTPKDNGEEDEDDEDYDVAASWSTKIDMDTRLEQDQMEGVDETEWNQ >CRE13403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:719031:726281:-1 gene:WBGene00061522 transcript:CRE13403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlh-1 description:CRE-MLH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M853] MGLIQRLPQDVVNRMAAGEVLARPCNAIKELVENSLDAGATEIMVNMQNGGLKLLQVSDNGKGIERDDFDLVCERFATSKLQKFEDLMHMKTYGFRGEALASLSHVSKVNIVSKREDGKCAYQANYLGEKQRKSAQDGKMLAPTKPAAGKNGTCITATDLFYNLPTRRNKMTTHGEESKMVHDTILRFAIHRPDVSFALHQNQSSDFRTKGDGNFRNVVCTLLGRDLADTVLPLSLESTRLKFKFTGNVSKPITSATSKNSQNRKTSRSFFSVFINGRSVRCDILKHPIDEVLQNRSLFCQFVALHLEIDETRIDVNVHPTKSSVIFLEKEEIIEEIREYFEKFVSDIFGFEPRGNVKDEEEAEFSFSQVPIINIQSIKSIEAIKKPSVSNEKEFKPSPTSWKTEKKRVDHMEVRTDSKERKIDEFVVRCPGQKRPRRDSEDVFRDDVEDVDERIQRNQEFNDEVSMVSVASTVDRRLNESQDLGEDEELECATTHREFQFESLETLRKQIVSNASLSLRELIRTSTFVGSIDPETVLIQFGTSLFQMNFSAVLREFFYQISIFSFGNYGSYRLDDEPPAVIEMLELIGELSKTDENYKDFGVFCDEGARIEAEILLGQHSELLHDYFAIKMEWIGEKSDERRKETLSPNRAADRAASEFKRKLRNWLASGSNTETPEQLFSALTEGRFPKAMSSYLCTIDYLTVKEGKSDIKGIQNLYDFRFDMKNSKMTARKFGKIGEGSVHDTKKFMAGTGEMKIEKSGGYHNSDQETFWKSSKCTKKCSEEDIEVQERAEEVNPFEETDVNVEEPVPTPIETAVFECPEEGCTALFTKYGNLERHLALDKHNFVPEKETLLGFAMNRYAENIEGLRQTPIPSSLKDALTKIPPGTLPFDNLQGWALPHKKTNKRYNRDVVQFVKEKFEEAAKKKLKFYPKLIATEIREQKKDGKLQFPPDTWLNYKQIQNLYNTFGRKSRDLSAKKKETVATSTQIPATLPSSIRTPANRETATAAAHDSKSSSNKMELRKRPTRETTDELIELVLQDLNSREFDDSEDVYDENSEENEDYNNLLIEIEKQKKEIFEN >CRE13312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:713582:716130:1 gene:WBGene00061523 transcript:CRE13312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13312 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M851] MADTNSANDALRNSASCDSGDSDASQYIKFCIQLAYILPFGYLYSSFLITIIRKKKDRELFGDSFFTLHLVDGIVSLLFLLLDISFYRLTSYIRPICEFFLPLLKDPAYYLTPYWSVYVYVQLAKMLSTLVMNINRYTSVSYPIQHKSIWMQHCFKSVLAIFLIPIIFTWPVAIARTSFLPLNGQSVIVYEHYFSWARTTYGRLLISTVTLVFIIFSSIVTSTKLRKMGKHMKHVEFSMTVATLFTSAGFIVLLIVQFFYLNTKLDTLTTWTWTRILIVGGQQAGNDFYMLSGPVVLLILDKNIRKSTFQWKRGKIDSQTNAKVSVQSAQLVTITN >CRE13309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:695265:696968:1 gene:WBGene00061524 transcript:CRE13309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13309 MSHLNYETRLPLGQATIDHFMGLPAHPSKCQATYVWIDGTGEQLRAKTRTFDVKPKYVSEYPVWNYDGSSTGQAEGDNSDRYLRPVAVFPDPFSGGHNVLVMCDTLDNEMKPTVTNHRQACAAIMKQVADQHPWFGMEQEYLIVDRDEHPLGWPKHGYPAPQGKYYCGVGADRAFGREVVETHYRACLHAGINIFGANAEVTPGQWEYQIGTCEGIDMGDQLWISRYILHRVAEMFGVCISLDPKPKVTMGDWNGAGCHTNFSTSEMRKPNGLTAIFEAMKGLEKTHLEAMKVYDPNGGQDNLRRLTGRHETSQADKFSWGVANRACSIRIPRQVADEAKGYLEDRRPSSNCDPYLVTAMIVKSVLLD >CRE13401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:702613:703671:-1 gene:WBGene00061525 transcript:CRE13401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13401 MSQRLLVYRLVELYRDQDDVNKEETLCFNLNTRGINQRGKAPYLEVDAQESFNYGLIFPTYDGTAAYCTLVINPIGIASHLESNCKIINDAVRAKDPVHRNNKPYQLMHLVDKLLNSYFSDYKNIIEQRLQKGGIVTKIREDFMISVRSQITFGTDKSLMRQTEQTAYDFRSEREAISNSTAAHKPTQHKETVSENVYNKLRRRRIEMVREDRMKSTDPRRLVIDVQVIYANLVPPPSLHQVN >CRE13400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:679614:681079:-1 gene:WBGene00061526 transcript:CRE13400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13400 MFDDPTLFTNTILRDLLAALGVHCVLIDSVWWDRSNMEDQPSLLGCDKGSLQPSSPFLKDHVKIVTEMDLLKNETMSDWNAPRLRDDQVWYAAMDAVCLYYLNVGMRVQWRNDQPHCTLLLHSSAVHRHCLPSTVPLHQHFPLSFTVSPFPILTYITYTLHSNKHLYH >CRE13307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:670104:675219:1 gene:WBGene00061527 transcript:CRE13307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13307 MSSKFPLLKLPTLVFNTVVANFNVFELVSLALCSKSSKSQCKQYRSQTNLKKQIENFHVEFSTVCEVRIKFYPSLTSELMFYVEKLENEEEMSSYSQIFDNMYIPNGWRPRMNDIAHDDWFPFKQPFISEFSQFLYYSNDTLSAVSSWIAFLSDLFTSQPSLLCINFDCFQKGEIDRIMNLPFPIARFVMKHNNDGIEIDEELALSILKRQNATKGLKLEFKPSERFHFNFDTLRKAPDHLEIDHSHWVSWQQVIEMSSIDVAILRSNFSNSDFKSLVEKWKNGWTPKWKRIMIEFCETLDVDSYISDPIIDVTESGCGRRDLITRNIAIYAYKFQHEFSYGIGSILKNGYHLTREDRSIATITVENNKIGWFIIQNDTDPKFIVYSNKRTFGLN >CRE13306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:666331:668957:1 gene:WBGene00061528 transcript:CRE13306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13306 MTSSSDDDEADVYRRRETTIPEIFVIYLQRWTKNLPTFTGQIVILFCVLPVSFSLAVFLVTAIFNFGIAFIAQTISSVIILLFLIPCIAVCIVSAIATAYILQLTQNIYLRLSSSPPTDHSSYTQNHRSISPPPEYHPKMEIEEKLKTC >CRE13305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:661835:665924:1 gene:WBGene00061529 transcript:CRE13305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13305 MLSAKGPQMRAFTQRIAAFSTQVHIDPAPIVFVGKGTTPRQNSLLGPNDSQYPFQGDVSFAHKPLSIRQQKENSKIERVMDHTEHSLINLLSNTNIEVDRTHLTQMREEAMNEIQANAADVEIELSAIEAPKLLKKEMKYLFPQMETQKMANVTVFNLTQKSEFDMKAWSEAMEEEREKLTASFIMSANAICSTLHRFGYWADFIDPSSGRPYMGDYTNHTLFETNDAYKQMGFKIEDLGCCKVLQHACWGSNAFVGTIFTDAPVDGSAVRDILKKVTQEIDE >CRE13399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:655611:658746:-1 gene:WBGene00061530 transcript:CRE13399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13399 MNRMEHNPYLIKNENVAPSRSSSIPSYYNRNSEMNQIREEIEAITSRKNFNRDSDSMQEVIDIMTRQINKWEQLEDDYGPDATRQRYIEAFQRKRDSWEKKKEQAERAFYERRDRMKREMEYDNGYSRNQIRRERRMDDDSDSDVMEDDRRQPLGNLDYSRKDNCVRGREAKPMALLNRDRSRSRSPLLLPRQAHPSTSLQLRRPNIPSTPPVRVRPGKSRVTKSKNRKWRPGQKALLEIRKYQKSTDMLIQKAPFARLVQEILRETTNESHDYRIRADALMALQEGAEAFMVEMFEGSVLISNHAKRVTLMPTDVQLYRRLCLRNLS >CRE13303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:644165:647956:1 gene:WBGene00061531 transcript:CRE13303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13303 MTDEGQVPATGSFSMELMRQFKDEFLEMIAPELLAMRKGEEKVPELSQKGLQKQAEINVQVINMLNNGAADLGKAVEEVVDLLKRRNQELLLLDKDPSALKNVEKLRAIAAVTSSEGSNAGDAKLMALAQIMSQGGDNRNQRSGARRQWFPAAGFGGRQSGVRNFSAYSQRGGHGGERAFGTKRQFNGSSTSGDFPKRSQIQCFSCGEIGHYSTQCGRTSFWEEKLESGGMIRDMEEEFEPQCFLFTDASAEGLGAVLKNGSGQTVMRMSELGGTGFQNESSALRELRAVQMAVERMASWKRGAVLIHTDSQAAVIILRKGSMRRTLQIVAERVWESLRSIGQAKFIWIPREQNKEADEASRDFDYDDWAVQNWAFEWAQKRWGEVKCDWFADEQNTKTELFFSRLPEPGTLGADVFEHVDKAGAIGLAWWVPPPALIPRLMRVARQKKLRGILATPLWIAHPSYQALVNERGEFIPEIRDSRIFKVNTKIISPEGEKLAFVKNMINSLGPGNLVKAIEFLARAALEGKAPSTARDYLKENAARRKWIQNNGLPLNETSTLIYLASREQNKEADEASRDFDYDDWAVQNWAFEWAQKRWGEVKCDWFADEQNTKTELFFSRLPEPGTLGADVFEHVDKAGAIGLAWWVPPPALIPRLMRVARQKKLRGILATPLWKAHPSYQALVNERGEFIPEIRDSRIFKVNTKIISPGRGSQRGERMASEFCRSPFMLAIIDFSF >CRE13396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:625523:632579:-1 gene:WBGene00061532 transcript:CRE13396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scav-2 description:CRE-SCAV-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M836] MPSSSNASNGTSSSAPIASKFSSKRMTCCQLAISAILIGLGSFLLFGSLITHTVVVPNVVKSSIEDNSRLINGSILWKKWTVPDYRIRFNLFVYSMKNPDEFMNGAIPEVSGSGPYVFDKKLENRVVSAENGTVKYQRFLSYFFNEQESCQTCILGNRIWVPNMIYQKFVEAASTEGMKAAATTLLSQTAFLEVEVEELLFEGYKDPFLDKVCEIPFMNFVCEAILDVPERIGLFFEANNTASKMYEIDDGTRDPTNLGKILKYDEEPLLDETWWSTEESLKIRGTDGSLFHPFLSKNEKLYVYVAELCRSIWLEFKEEVEYRGLKAYRYVVPPEVFDVTYPGNEGYCNPSDKQFFSSQNDSVGCLPKGLLEISKCQKSQPPITISLPNFLYAPSEVKESVKGLNGTDDIRDSIVVDIEPRVGAVLYARRVSQVNIEMWKGKNLTFPVNLKKMKSALIPVIILHETSEIDDDSLETIRSQLYETESIAYTSCNIMMIIGAIVLLLGFLFLAFSVSLVAEFRVPRPYYLQLGLFDSLLGRRPKRSDGLSPEPLPPYIPKGEPNGFHHNHAYM >CRE13302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:622422:623472:1 gene:WBGene00061533 transcript:CRE13302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13302 MANHLCRRLVRQQQGAVFNLHGDYPHCHPLYNEENKKVVGKFKGELNGLIMQELVALKSKQYVYKIAQETRENFIEYYNRKHQEEMGKPKLPRTQKPGDESKKSKGIKKNVVKNELTVDDFKSCLFDKTIVRKTQYCIRSVKHPIFTQCQNKVVLNNESGDHKRYILKDSHSTMAFGNCHIKSGVL >CRE13395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:619870:621267:-1 gene:WBGene00061534 transcript:CRE13395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13395 MRNVRERRERERERERERERERERERAKREREIERESVVNLKKMKSALIPVIILHETSEIDDDSLETIRSQLYETESIAYTSCNIMMIIGAIVLLLGFLFLAFSVSLVAEFRVSRPHYLQLGLFDSLLGRRPKRSDGLSPEPLPPYIPKGEPNGFHHNHAYM >CRE13301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:615236:616114:1 gene:WBGene00061535 transcript:CRE13301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13301 MSEESTPSPSVDEAGPSEPAPRLVPQPPRENFPHSVPRRPDPIDVDQLAAEIVDGATKQAVFIQQAVENAGVADRAPQPIIETPASSIIADRLAGDIVDDAARQAVAINRVMDNAGVADRPPQPLGESSAAPIVEAPASAIIANQLAEDIVGDAPRQAVVIQRALDNAGVADRAPQPIVEPSAAPTVVTTAASIMDARISKAVVAGAERQAAIINHILENAGVADRPPQPLDEPSTAPIVDVPAGPVENVRDEEEEEEPQETVKPLARAASPGGKRGHSPDEPTTKRNRRND >CRE13300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:612462:614217:1 gene:WBGene00061536 transcript:CRE13300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-3 description:CRE-TSP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M831] MSFCTFLARIFIFFLNLAQTLVGLTVIALTLWIRFDKSFESEIRTNILRDTDPEPLAGVKSDIRTGIIVAFWIIIGFSIANVIIGFVGVIGAVIRSKYLLAPYFLSMVVLFLLEIAVGITALVKRKSVRRTVKEYVFDSFNMNSQPDVSAFTFRYNCCGADNLPNVECFAGQPTCSSAVWDRLDFTMMIFGIVMLIIVVLQALTALITVPIIVERKREVSYQ >CRE13393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:589628:594216:-1 gene:WBGene00061537 transcript:CRE13393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13393 MKPRLILHFFAYTFLLPFISSLPCSYQNPRIEDVLLEVPIEHRHAHRHRRSSETEAPPEVEKFAPLRIQLHYDKSIQNLTAEVQNFVNTTLLPEAVGYWENALRVRPMKTPIRLRRKCISSFYYYKQGMRNVACDKGCREHTTCGEADIPTNHLLDCLACNNTDDCQTSGEMGEGVKDSDFILYVTAHNSKRCEGPETLSYAAHCQQEADFDRPIAGNVNLCPNALSVHNHDYEILTSTVKHEILHALGFSVGLYAFFRDKDGKPRTKRNRYGRPTSLNKQKGYYDWDSNTITTVLREDWWTGEGKVIHPIHMMVTPKVREEARRHFGCDKLEGAELENQGGEGTYLTHWEKRAYENEAMTGTHTQNPVYSRLTLAFLEDTGWYQPNYEVAEDLYWGKELGCDFAMKSCGEWIHQKRILGEDAYPYCSDIKHDGTKSMAITRCTSQRDSLALCNLIPFQKELPSQYRNFMSLPGVSPDGAKYYGGSVEMADYCPFLQEFEWKLLDKEQHKDSRCELEGNGKGGEDILEVYGENSKCFEFPKPWTERKCGRIRVLSHYMAGCYEYQCTNGTLFVGSYNATDMYPCYAENQKVHIKKVVDGWLREGSLICPKCSDYCNNCGPPIVIPDYIGDAELDEPCSAFSRFSIFGLLIFYLVFL >CRE13392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:582080:587466:-1 gene:WBGene00061538 transcript:CRE13392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13392 MLYRIRNHSDMPIVNDEMMSIVRENCNLHTALATKALKKELKDEKFLPYTTTVPVKKEILPPKVIQDTPQPPKIAQTVSDSRNVGPMHNYRGFPDYRYVPYKAPMKKRRVSQQTPKRGVKRKAEDDGPEEGRKRGKWDISSKSTKINYFQPKRENPTAPNPYQCTNGTLYVGSYNATDMYPCYAENQKVHIKKVVDGWLREGSLICPKCSDYCNNCGPSIVIPDYIGDSELDEPCSAFSRFSIFGLLLFYLVFLFRNSQIDVIAMEAFHYLTNIDYIYFHKTKIGRIERKAFSKMYEIDHLYFKESIHIGMIESEAFSGSQIDELIMDGVTIESAHDTFLLNIDSENTIMKNCSVYLVPRKEENVIEFDDEQKIIEK >CRE13313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:737635:751241:1 gene:WBGene00061539 transcript:CRE13313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13313 MTSQLLLLLLLFCVHLQSTISLTTCPRQCICHEHSIACSCETSEKSELIISSLGSTYITSLVVHTCDKVTVLNGSFSGVVLVERLSFIAIGRLYFEPHAFKDILQSPRQLVIDEFFRFRNSQIDVIAMEAFHYLTNIDYIYFHKTKIGRIERKAFSKMYQIDHLYFKESIHIGMIESEAFSGSQIDELIMDGVTIESSHDTFLLNIDSENTIMKNCSIYLVPRKEENVIEFDDEQKIIEK >CRE13299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:579773:581411:1 gene:WBGene00061540 transcript:CRE13299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13299 MEPIFPLLRLPENVIIEVIKNLAVDPLFDFSLISTKTKNIVASLGIEASDVRIIICRVVVVTVAGRYFSMDRTFYYDSVDRDALIHLDSNQLISAYSRGIYDRRTVRSSTPLFSFNNWLDHIKTVFCYNKPPNVVFSAGSRQFEMESLKNVIKSVNELVVNGYNTEFRNRELLEHFKNVNKLTLGRNLFEEACEVQKYFIQNFKSIRFCDDVSLDDMLLVNSERVDFSCTISQKQFNQFLKHWIRGSNPRLQNMNLSTNLHDGKVYLKGIRCIEMSEELKKEIRQKHGIFDIDMVKIRRKEGTTAVIATVDYGPLLFVRFYVLY >CRE13390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:568606:575882:-1 gene:WBGene00061541 transcript:CRE13390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-anoh-1 description:Anoctamin [Source:UniProtKB/TrEMBL;Acc:E3M825] MMRTDENIQRYDVPVREYRMLAATTEVDYPYFPFRISIDFVLVHNASESHSKGKYREFFEKAVQKEGLIIRHQMSGQTHFTLISTPFHRLSREAESSQMCFPLKDCQVKPGMPSCCIPLSKIFVTDDTVRFINAPFQRKHGALFVNYHDEKSFFTPAQRGYLTYQILTKIDISKDLKHERLGDSQDLPDQSTTSITSDEQLRRKGLTWLLMRDVYEEAFVLHAPSKEEPYFKAMQNGSVKTYNEVISEIELDPRKSLSNAWERWYKFQPLNKIRDYFGEQIAYYFAWQGTFLTLLWPAVIFGFIVFIYGFVDSISSSPLDWNHCKVVKFNGETENVACGMRNGLTLFFSMLTQWFMSSFDTKMNAFFAVFMSIWGSVFVQIWKRNNSVLSYQWNSDDFHAIEPDRPEFRGSKMKEDPITGEDIWISPALFRYLKMIGSFFFVSFSMLIVILSLMLVTLLKIWMVYNFQCTKEYTFHCWLSAAFLPSVLNTLSAMGLGAVYSNLVARFNAWENHRTESEHNNSLIVKIFAFQMVNTYTSLFYVAFVRPESHGLQPNGLFGLGKEFKDTCLDDTCSSLLALQLLTHTLIKPVPKFLKDVVIPQFSYFVKLFRLRMYTSRTEATRIEAEEDDQANVLVREWLKPSAGDFVLWEMNEKIIMFGTTMMFASLFPLAPLLALIIGFVDMRIDAHRLIWFNRKPIPMITNGIGIWLPILTFLQYCAVFTNAFIVAFTSGFCSTFLADNEYCTVQNRLIIVIVFQNLVFGLKYLLSSVIPSVPASIKVALRKKRYVVAHIVEKGDVPHKTRIKKRTRIAKLAWITSNQRVQRGKKKETPLKNKRLLAED >CRE13388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:562594:566671:-1 gene:WBGene00061542 transcript:CRE13388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13388 MTTPKYNLTELRNLQEDDELDLSASAIQDFPKAITQLTRLTKLDLSSNRIAFLPESFCTMDKLIRLDLGNNQLHHLPDGIGLMKSLQHLNLYNNKLEDLPLSFGNLKSLKWLDLKKNPINKPLLAIAGNCGTDAECKTAAKQVVNVYMGEKQKEFAHKKAQEAKLKEKVAKAQQEEKIRKHQEKKQKEAEMKQEKDAKQREQASNNKSQQHTPPHKEHQKPHKKETSHNKASDAKKTEKPRGFFRKLFGFIWTCFFYLTIILATSSTIAIGLDCKGLGTPIPGTAPLCKDLSLIGTGNKPSAAFVQNAKKAYGQVLTGYHNQLKPHVAPVQASISKQWKQFAKTDIGKKVESIAFQVHTWIVDKWVKVQRFVQQQWAKIQAWWKSTGQKQFGPALEGFMIGLKMVFQIVADIARNVAGLLVHFVARVKTFFLAWSDGGFNAAMNTLNH >CRE13297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:560427:560985:1 gene:WBGene00061543 transcript:CRE13297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13297 MGAKIVKLINEDSSLEDGSDFDRVRDLEEGEESSSWDSSSSSGVEEVYDSESCSSEYKPSGEDPYFVEKRFIAGSNQYFYNIVKRK >CRE13386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:554071:560067:-1 gene:WBGene00061544 transcript:CRE13386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tim-1 description:CRE-TIM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8J7] MNVLIQGAVQALGYCEDGKYHREPDCYETIRDLIRYLREDGEDYTARIECGRHNLVEHDLVPMVKCEDLSDDEFDIAIRLMVNLCQPAISTMRGKAPSDRDQWKMYWELEENLRRAKNAFTDAYFFTAIKKRIDNYFIETDFDDRDERLRLVVERIVLLIKYVFSINQDTSEGRRTRIEDSSHDRVILAFLESGIDKTLMHIANQPREKEFHITILDIFALILKEQCPENLSKPEDDPEVSTAEKKKTEEEFRKIIENHVVKETQKRKSFSRFGGSYTIKGLKGIASNSTQVVFKPIQNVEKHNFLDERKQKKRVARNRRPFEIDSNTHFASSEVRRMLRDMVIRLIETCFNRLMKSAKSTVFVQGHVIHKTSQINYFFMVKFILRFVRMSKQDPLFERISECTGVEAFHENNVQLTEYVENATTLKGVEAKSYGLKAQYALGAYNELVLLHRHIVEYGKDEGEKKRARRALEHVVNVEEYRELPISILKKFSTSVLSNNFLRELVLTTHHYMKLVERFVKTGALKKVTKKVKVRKNHQKKSSPEDIRSEFDKMTVDSLEALWEESKETLLQILKKEIPEMDDMNPINPQLEVSPEAHQKFAKLFIQRSLRSRGFPAAVGLYHASRRIWKDSHSFSGLEEDATVEDELDELYQILKMDLKKVARELKKAEEKVGSEFDRFDKMTPESIESLWEDPKVQELLLQILNKEVPELEDANPLNWQLEVSPETQQQFAMLSIQRALRSNDLPKAIGLYHSSRKLWPDENFFGAPEITVEEEVTELEGILKADLAEVVKEMKIAEDVANKKLDDVDGDMAREYGDEMNSEDEDEAPAWKTEEIDFQFDLYVSKYANADVLKWYVFLLNDFSKNGVDLNQALVKMLHRIAFDLKLPTKLYQVSLFQVLQKVNQHFTNLTKELRKTSPFYELYQFGFHLLKKFFAKFAEMGPELAIEALFWKGPKECFEIDNGYGSWIKSKEADIKVWTEELESEIRHLYDEYTTVESREDGIDVLDFIEHNLSRARSRKQIAKKLIEFGLDLLGAKWKNLEKAQMDSVLPVGDIQKVYDEWKSGGSEGDLVDILKEKLTESLGSEISRKKIIKQLAHMDILYEKPKKERPLPEWDSGLIEELTGLKEQFDEIEDAENLLGVDIVSYVMKRLSVKKPTRQVERYLASMGAKIVERPKNSSRKSEKPRKKMSEYEAFLNDSSDNEEPIREDSEPEDDAEEPKIKKKKRAVLESDDDDDEVVTTKSKSPVDSREPSIEKSPPKNTLMSRIMNKKRKLAQLESDSSDSEDESQGQEKEKKEDEKEKDSDDDSDGEDDAVIYKRRYVDALLTSGSVAGGRITRDTSEEPEEKEEEQEDPFSTKIKFKRRIVMSDNEDDD >CRE13295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:552372:553010:1 gene:WBGene00061545 transcript:CRE13295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13295 MAFFSLPIPFSEYSAPVDEHAAEKKKIFDLATLLREEVSRFLEQQVDVQEDTENPTRPRLPIFFVKGFNALKAKEAALDNYKCPYLNVLPYTIQMQLLTEFGPSEDYPKLDENGCFIETPKPVMEEIEQLEEDLIDFVTNHYICTDDMCLLPSSLYPTMKDIANKLLTTEDEEAEDRMFSLDANVEDEILERTGELLEDNCIIRMLKRCFNL >CRE13294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:550073:551959:1 gene:WBGene00061546 transcript:CRE13294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13294 MIIYQVRYNGDWRVFTGNEMGALITWWIWTNWRKANPSADASKVYILNSAVSSQIVKTIADAEGFKNETTLTGFKWMGNRAEELRAEGNQVILAWEESIGYMPGHTLDKDGVSAAAVFAEIAAFLHTQGKSLEDQLYALYNKYGFHLVRSTYWMVPAPEVTKELFSTLRADLKFPTKIGDADVASVRDLTIGYDNSKPDNKPVLPLSTSSEMVTFFLKTGSVTTLRASGTEPKIKYYIELITPPGKTQNDLESVISELDQLEKDVVATLLRPQQFGLIPRK >CRE13385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:549196:549834:-1 gene:WBGene00061547 transcript:CRE13385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13385 MAFFSLPIPFSEYSAPVDKHAAEKKKIFDLATLLREEVSRFLEQQVDVQEDRENPTRPRLPIFFVKGFNALKSKEAALNNYKCPYLNVLPYTIQMQLLTEFGPSEDYPKLDENGCFIETPKPVMEEIEQLEEDLIDFVTNHYICTGDMGHLPSSLYPTMKDIANKLLTTEDEEAEDRMFSLDANVEDEILERTGELLEDNCIIRMLKRCFNL >CRE13292.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:544304:547066:1 gene:WBGene00061548 transcript:CRE13292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-18 description:CRE-NPP-18 protein [Source:UniProtKB/TrEMBL;Acc:E3M8J1] MTGDNTEGPVEPYKTVGAHRDLIHCVSFDPHGRRMATCASDMTMAIWDRQPNGNWRRSAHWKCHGGAVWRVIWAHPEFGQIVATCSYDRTIVVWEEQIVRSEKDLKSKESQWIRRTIISDNRSDVTDICFSPRHLGLMMASCNVLGAVRIYEAPDVVDASRWNLIHELQAFHTRCGCVTWSLSRMHRPLIAVGSDEKKAGGKERVVIYENIDGLRKWHRIHSLVFDLPCPITDLKFSPISMVDSHQLAIASGDVNIFNIKVARSAILEEEGVDNPITLADYNVQRVALLGDHRKAWRIRYNLMGSVISSTSLDGTLRSWKSLFVNQWVKLSEMNVDDYVPSPEEVRALVASKTTERLPEQLDQNYF >CRE13292.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:544304:547064:1 gene:WBGene00061548 transcript:CRE13292.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-18 description:CRE-NPP-18 protein [Source:UniProtKB/TrEMBL;Acc:E3M8J1] MTGDNTEGPVEPYKTVGAHRDLIHCVSFDPHGRRMATCASDMTMAIWDRQPNGNWRRSAHWKCHGGAVWRVIWAHPEFGQIVATCSYDRTIVVWEEQIVRSEKDLKSKESQWIRRTIISDNRSDVTDICFSPRHLGLMMASCNVLGAVRIYEAPDVVDASRWNLIHELQAFHTRCGCVTWSLSRMHRPLIAVGSDEKKAGGKERVVIYENIDGLRKWHRIHSLVFDLPCPITDLKFSPISMVDSHQLAIASGDVNIFNIKVARSAILEEEGVDNPITLADYNVQRVALLGDHRKAWRIRYNLMGSVISSTSLDGTLRSWKSLFVNQWVKLSEMNVDDYVPSPEEVRALVASKTTERLPEQLDQNYF >CRE13384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:540079:544008:-1 gene:WBGene00061549 transcript:CRE13384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-25 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3M8J0] MSAYDITQYKTPSELAELVMNGSDSGSDSDVEVIAVINKKADNNVAPERRKSVPSEETSEKEIKREEEEEVIEELRRRTINVALEEEVKENRNSSQTNVKSPLKMASTTTSPRTPSKDNKSLRERSQRSRATPTNSAPSSKKRPAVSSSSAKSSPRDKPSTSSNQSSILRYLTPTTTPKQDGTPSKMPRTDSSTLTSPRRATSTRTPSSILTATVSPRNHNRDTVQANVKSPRPRTVSFGCSTSENATSSGPSTTAHGAAKRVRPLSESSPPNGPSTSSGIPSKRRSENINRRDSLNIPVLRARQDLNLTTSSSTPSSSTSTTNFNARRTRTEGVSRRSTDRAVPPPTTTQQSRQTDPRRGSNLKRKAPETSEIQPPKVIKTIVEHTEPVAPPTISNDPDELRLIAYYSDEEPEDPITVEDTGRRHEKLLRVPVALITHPDLEETRYPPSRRGNKNKTNKRRKKASEEETTTVETSKNLSYRVNRRVKVIRKFVPRIPKSCFEALRASELGSSERKRPFTGIPSRKFDTRDNKQYGNGQKMNWDVQAVYQFQCEDDAEYSFVLYEGWTSTSMDRQKNSELLVTSKEMLELAVIRDTFLAAFKKRAEQKAREFVAKSAEKGEIVSFETALERFYNKWAPPRETWRPFWLHEDVTYFHTLHHKEHILGPIWYMDLINASAEKPPEYGYTAVNILTYEAYMHCKNSSASLPFEQLAEKIIGKNYVFLPASEGSCENLLGCKCTARFELLYGAHNPNNVYTKTKEILLQKDGTIDLTGYYFDLSRVIVECSDECGCSWRCPRRRLQQGQTKPVVVCREHGKGYGLRAAQSFKKGELVCEYTGNMFMLDPDEIHPIYTIPFRTEKIEERNAKQKKQVEEPRREEKKEPPRDASYEAALNVTGREMVICAKNNGNIARFVNHACDPNTAFFEVDSRRYIEDPLIPRVAIYAIKDIELAEEINVAYWDPLLKYSKLSTLECDCGAEKCIKFLPTENTTID >CRE13291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:532297:537915:1 gene:WBGene00061550 transcript:CRE13291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-64 description:CRE-UNC-64 protein [Source:UniProtKB/TrEMBL;Acc:E3M8I9] MTKDRLAALKAAQSEDEQDDDMHMDTGNAQYMEEFFEQVEEIRGSVDIIANNVEEVKKKHSAILSNPVNDQKTKEELDELMAVIKRAANKVRGKLKLIENAIEHDENQAGAGNADLRIRKTQHSTLSRRFVEVMTDYNKTQTDYRERCKGRIQRQLDIAGKQVGDEDLEEMIESGNPGVFTQGIITDTQQAKQTLADIEARHNDIMKLESSIRELHDMFMDMAMLVESQGEMVDRIEYNVEHAKEFVDRAVADTKKAVQYQSKARRTKCYIFVLIVVVILVIVIAVVVWVVVSNAATSVVVAPVKPSSSSSSSSSSQRFRVRNNISPSELFLPWIIENTLRKVPPRCGFTTHHANFYIIRNCATTLAIPLSIIYSDSFLKSHVPASWKHAIIIPIPKKGSLTSPENYRPISLTDPFSRVCERILCNYIKINLFRNISRFQHGFLSKRSCSSSLVHSISEYRLLLSSHKSLDVVYFDFRKAFDQVDHQFLISKLDSFGIPSNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLCIAAFADDIKLYSHDPLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE13383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:520824:523642:-1 gene:WBGene00061551 transcript:CRE13383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpf-2 description:CRE-CPF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M8I8] MMSGGYKPSGQLNERSQRSVFVGNISYDVTEETIRAIFAKAGHVMSIKMVHDRETGKPKGYGFIEFPDINTADTAIRVLNGYELGGRVLRVDSAAGGMNMEEFGSTNTGPAPVEENPYGPECDAGKAPERISQTVASLAPEKMFELMKQLQEALKNNPAELNSVLVENPQLSYAVLQAAVVMRIVDPQTALGLLHRNKAATMTPFHQTGAPGGVGGVPPQMIPPQQSQQQMPLPIPPKPTFAHPGVGPSMGPPMGHPQGPPQYGRPPMLPTPQQQQQYMPPPQQQMPLQTRPPVQHQPQEDQEEHQNAQLLMQVMQLSEHDLQMLPPGDRDKIIELRQQLKRNVK >CRE13290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:504971:520664:1 gene:WBGene00061552 transcript:CRE13290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13290 MRDIEDFVFTNKQCLAVCIAKNENTKLSQIFQLLALDDKEEQFESQPLSHNVRCSLIGIANFLLNSHGKFAEELVPMLLRALSALPHMKWIDDGLLNKSDRIPIQEQFSFCFNTSLSDLAAHLPRYRDQIIAAQTDALACAVSAVYDLFDDEENLEHNYSHVVKLMCYVLGLTRSIGRFSTDYDTPLISLIFPLPMDETKKLKGNKAEDCLHRISSDEFTFDTPENSEAILLDKTRLIRMYNKLGASFLVHNFTGGLKLEMCAQDLEKLFDSVQRLMDSKFLETLDAISGDIFQNGGSVKRNPYKSMSEIVNLCCLTLLKDVLGPYEVSKDEEKSPVSEKFAKEISIFSKSALQRLDSELQGLQHSSEPTMTLNSEVSRQKLNIQSATVSLQLIAWAAVDDIDADTICTEIITRLFANQSQRTIISQLPLYLKAISSMATLAEKFPAVATTAVNQNLQRFLLEPAPMLTKLASDSTLERGKSAGDVIQNSKSSNNLNDATSKRKLVLDSLRNSAIKAICRALKSSIRMESDSVQQCLAGLSTKLFVCSNANNFVVSLVCENAIQTLGGIGVGLVDSTAVEVPEMVVQIYLQRFANPPSQLDVTMIRCLAEMWIAGAVKINFFGDQSEILQRSIYENVWKLFTQICIESSNRVYSQGNNDSNDQRYTHVSLAVDMAMSRMAIGVKNEDDKMTLLVRLLELFVQLGIEGRRVGEKVSKSTVKMSTSAGNLGVLMPKIASLLRRMQPISEPTPKLRSLFRDFWFYCTVLGFDVESSSLWPEEWYNAVCETATKTPVLIATENLRSELIDNAAIRSDSISPQELQEMRNTVLAELSHQAEAVPLINRFDFANCTYLLSVHRMESMRLIHANHVDAFSSFFKYIEDKTVRKDKSGLWTCMLAAAPRIFLSWCEKMMKRRDNDEPTEEALVWHAQFLLFKFNHHLREVRKVADACLSQLVKAFPYLLWNTEVLTTILRLLQELEDNLLKDPTCREPQFTIEGFEKGWTIQLQDSIEGRRAVVKDFSMRVKQILKEALMWAPASTHSNLLEYVSKFGSERDAAMQLAIDATSEGKGKSGSYLTSLYKRSNYLGQVKGMLATTLSGDIDQVTAENKLIDRLEKDFQRACKSGKDNEMEQAVLLLTALFVSLRCLNERILTLLVRTPLHNFTESTISLCTMAWNWLLSARPEAHSLFLHEQARAFADSCRFGLGLFEKENVDMSLSPLCRQVERPPPSANFRPHREWIEFIGERCDVASYSSREQLDVLEMMFSQTLSAQIGTGHLHGSTACNTSNLTGTTKRETINQVTRGIEAVGVRFRLLSCVLGMVQQDACLLRPTNVLIRQRVYSSAFHYFTMAPQGPTQAEEALREDIRLLAKFFDHLKSDKHYMIKETMAKTDKNIVMSSTMSHMQTAFEKSLLVTAGGSISTGTNGTPTTTLMLPPTTNYANTLTLSQKSMAAATASIRSGKSIEAKLSIEEDRRIKTWMKQRNMLMLLVANEIERLSAWLHPLALAQEDCTLESEQFLRSQILDIQGTGGSSSENKKKKIWREQAELAWSISPELAIQLPSRFRGSEDLIKCVEGLVRSQPELVAHIPEALPLFLGNGSTVFETADMSHVLTWARCSPVMALSLLTPRQYPTHPISMQYAVRVLRSYPADALLMYIPQIVQAVRYDTMGYISELMIWLAGHSQLLAHQLIWNMQTNMYTDEESKIKDSALFDPLNDIMQKIISQLEGAARRFHEAEFKLFHQLTAISGTIKPFPKGDARKKACLKALADVKIETITYLPSNPEAVLLDLDYSSGTPMQSAAKAPFLARFRVKRCGVRELERIGLQAQSEKEEKSTDADLEKLRKVQDSRVCWQAAIFKVGDDVRQDMLALQLMQLMKNVWAGLGLPVRVFPYRVVATSPGCGVIECVPNSKSRDQLGRQTDFGLYEYFKTQYGDESSETFQEARRNFVRSMAAYSVFSFLLQIKDRHNGNIMIDLDGHIIHIDFGFMFESSPGGNLGFEPDFKLSEEMVAIMGGKMEAAPFRQFASLCVHAYLAVRPYHKSFVSLVSLMLDTHLPCFRGKTINQLRARFAPDMNEKDAAKKKKAEIFRYMHSVITNCFLNIRSKMYDQLQYFQNEIPY >CRE13289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:502696:504591:1 gene:WBGene00061553 transcript:CRE13289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13289 MKPRRAPVIVKALDEGFQRPFVETDPYDDIQLEKVLKELSFIRIKLDQIVLPDINPFIQRLHNFREFFGFQLEYSFPCLDVVSKSLKTTVRIPEKEVDVMKIVFNHRRIVLLDMHQDQIEQWQKAQLTIHLLVNMSVNGRHTTRPLAKCHVRLSDLIFPPYIIHRDFDFVGEEFEGTAEIRIDLGSRVKSLMEKLNNLRGDRSLEDTFVVTEKSVGRRTRSRSSSRCRAHSSASSSGAPKAPSVGSRRPHSATSSVETPILTQRQQFFGENFNGNQSLNRQNPNSTQNAPPRNELLRPRINSNSSIGSDSVFVRPTAEHPRVLEDMEIPESSRPRERITRTVTESYGASTVGDTVEEVTRHGGKYYMEVTVHEAIGLPPVEDESGRITSPSSYVSILGRDGDLRSPVFRNSRDPRWNFVARFAISSERRNLVIKVNHRGVVGDSALGYVTIPLPTTNVRKSVYEMTDVTRMAVKYTSQVPMLTISLEKIRNIDDVEGYEDYENHHRTFSRRTTSSRSTQSSPTRPAPPVVRIESPPILESSEVIKERMQRCMADLESMMRGMNT >CRE13288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:499820:502176:1 gene:WBGene00061554 transcript:CRE13288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spin-4 MPNEIRNNSGSPVVADSGKKKWITCGILLLVNLLNYMDRYTIVGVMSRLQPFFNIDDKQKGLLQTVFIVFYMIFAPLFGYLGDRYNRKMLMLTGIVIWIMAVFASSFCTKDHFQYFMLCRGIVGIGEASYSTIAPTILSDLFVGGMRSRILMMFYFAIPVGSGLGFIGGSKIALWTESWQWGVRFSPIIGIACLLLMIFLLEEPVRGSCEGARQSGDNASFWEDVKYLLSVKSFCIVTVASTAGLFSIGAMSWWTPDFIKYSYGVTHKLAELPEDDKSSIDFIFGVITCCAGIAGVSIGSVVSRAWKDGTSIFRDYASEKADVYICALSMFIALPFLYCAIYFAGHSTNASLVLVFFAITSMCLNWAVNVDILMYVVVANRRATALAVQTMIGHMFGDAASPYLIGAISDGMKDDSESISSKFFALQTALYLPTFVLVLAGACYLIATFYVEEDRMEALFQMDCKFGNLISKPEKFFSAADNWMHQNATEDMDALIPIDGNDIEKLPSDDEDTEDITIRFDRNQRKSGGTDAPNGEENTAYLSDETDEWDVQKRDSDEEEVI >CRE13382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:497900:499192:-1 gene:WBGene00061555 transcript:CRE13382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsp-3 description:CRE-RSP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M8I4] MPRGGSEDQKVYVGNLPGDVREKEVEDIFHKYGRIKYIDIKSGRGPAFAFVEFEDHRDAEDAVRARDGYEFDGRRIRVEFTRGVGPRGPGGRPLNEEGGGYRGGGDFRGGRGGGRGGGGPQRRTGYRVIVEGLPPTGSWQDLKDHMREAGDVCYADVARDGTGVVEFTRYDDVKYAVRKLDDTKFRSHEGETAYIRVREDNSSGGGSGGGGGRDRSRSRSPRAERRASPKYSPRRSRSRSRSRSRSRSRSASRSPSRSPSPQ >CRE13287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:494284:497434:1 gene:WBGene00061556 transcript:CRE13287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elpc-2 description:CRE-ELPC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M8I3] MKIKEEFISASVNPRSHCLVACKSAPFVVYASSLQIALQTVPKVSFLVSFSLQDHKIVQDDSEVGVIKSTSERRHQKPITVLKRLKSSGKVDEFVSGDVNSRVNLWKLSGERVEYVAELLGCSGSIGTVCGVAEENRKIVAAAWVSYPNNGFEAWWTSPTGELLNSTKIELDQKAFALCLDAISLQNLVLLAVGTSKRFVELYGESEDRKSFSRLVSVAGHTDWIHSIAFNDDPYNLLVASAGQDTYVRLWSIEPESATHSEPPKIPAALLDEDTDTPDELTSSANLFTFNNSTLRCSSHAVMQGHDDWVHSTVWSENGRVLLTASSDKTCIIWREIDNLWRDDVRLGIVGGQAAGFFSAVFLNTDFFFPLWVTVQIFKFSDSETHSEDVVVSSSYFGGLHAWKSSDEQKTFWSSLPMTGGHVGEVRDVDWHESFLMSVGQDQTTRAFAKSEKQQVYLEIARPQVHGHDMQCLSFVNPSVFVSGAEEKVFRAFRAPKSFVKSLESITGIPAETSFGRSQLAEFGACVPALGLSNKPMVEGETVDGEHWEEDAFRAAPTILTAAPTEDTLQQNTLWPEEHKLYGHGYEVYAVTANPTGTVLATACKSSHTEHSVVMLWNTADWSKRTDIIGHQLTVTQIAWNPSGTILLTVSRDRTAKLYKEKTGDVNGFDYECVWTSGKEHTRILWACDWIDDQHFVTVARDQKVIVWSAEGAPKAQLKLDEPVTAVAASGDLVVAGLQTGELVVLRFTGENLEVIEKIGSNAIKIDAAVLRLRFSKCGRKLAVATSDAKLRVFDFTV >CRE13381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:489677:492560:-1 gene:WBGene00061557 transcript:CRE13381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13381 MPFKLLHFPYLVQEKIYKEMTYSELFLMSVLSTRAKKSIQRVRFNTDKLRYIFAANGKSLQVSRNHNCDRITSMKEFPHLKKRDTCLVKFGDLEVRTKFRLYESEGVTCNQMKVKYLDKEHQRAFQKYINCLFGYSKSNELHLNLDGDQMSVIDNISDTIVDGDTVEMSDLEEYFEKNPNQKSVYIQAKMNGELLKNSKLFGIENILIDNSNEFSASILRNFTGRHLVFLGGAIFKTTDIIEFVRKWINNEAYQKLETVWIKMETEKSDIDMFGVQENLPVIEYNPLTRPPKYIYNPKIIDYGHGEINVGGYTYFDVVRKGDGKKASFKIIPMQFIFLVWNS >CRE13380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:487791:488765:-1 gene:WBGene00061558 transcript:CRE13380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-7.2 description:CRE-TAF-7.2 protein [Source:UniProtKB/TrEMBL;Acc:E3M8I1] MSITPGPRPLMKRGPKPQFFDDTPVPTTDDPPEFESHVVLRVPEDCVSRIEKIINTDGKLEEFSINLNADARNTTIRIGNQLLNGKILDLPTVTEVHKTLDNKSLYKVADVSQILVCTHDSINPAASSTSAPSDSTEDAQKLAKKAAKQWQYPHGLTPPMKCARKKRFRKTKKKKLMDAPEVEKELKRLLRADLEADSVKWEIVEGNKGDAGVGDEGVTQTQRHVTYPSSSEEDSDALADDDDKDDL >CRE13379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:474212:479168:-1 gene:WBGene00061559 transcript:CRE13379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tpst-1 description:CRE-TPST-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8I0] MRKNREFLLVLFLVVFILFYFITSRTSDDPYYSNRRNKFSGGGGEEYDRPAPHQLAVQSDDGYNRTSPFIFIGGVPRSGTTLMRAMLDAHPDVRCGEETRVIPRILNLRSQWKKSEKEWNRLQQAGVTGEVINNAISSFIMEIIVGHGDRAPRLCNKDPFTMKSAIYLKELFPNAKYLLMIRDGRATVNSIISRKVTITGFDLNDFRQCMTKWNAAIQIMVDQCESVAPNCLKVYYEQLVLHPETQMRRITEFLDLPWDEKVLHHEQLIGKDISLSNVERSSDQVVKPVNLDALSKWVGTIPEDVVRDMDSVAPMLRRLGYDPNANPPNYGKPDELVAKKTEDVHKNGAEWYKKAVQVVNDPARVDKPIVDSDAYGKS >CRE13286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:471863:472997:1 gene:WBGene00061560 transcript:CRE13286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13286 MAVPPNTKPIPILKLPFIPLNYIIQHFYPLTLLDLSLLSKKCRYIIKSTNLVKYDMGLSFQVDEYLIRFQRKDTLKFFFSINILRERNKYLQTEMRPYSNNGNEVSIEFAKFWVNYVCDLFRTKFNLLFLDSNASIDQMSAVGEWTKSLISECWFCHVAGDDANSESISRFFEITNFPIRFLVFDLRHEYEIAPINCGVLNAQEVLIYSKTSKNPVNWFTVEQMMRTNCSKMILGACTFDETDLNHFIKGWINGSNSKMEVFITVVKTIDFGLVFDGIEVDVRDPMLVRPFYSSMLTHPLEFLIIGGFDIRRNDGTVASIQPGAEFAGPRVMNYFTMVVWPQGSS >CRE13285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:467833:470169:1 gene:WBGene00061561 transcript:CRE13285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13285 MIMESLSSQAEQTKKVEVKNVDPDEEKMKRLLKKLKSAKKEPKAPQLPIDEKSKLLMDRVVKKPYPLKYVTKSKEELLMDEKSSFFKPSTISSNSKRHQQAVGETDSYDTYPKLADVVKMKGQNIYISDGVTPFWAEYMSPLPKDKVGIEEPVSVDQDHLEAYNEHRITLKTCNDKNPVIMNSFQPMSELRKRDELHFHDHLIFSNTVRSMVNVMSPLSSALTADAVSGGGGKKEVKTSTSSAKIRMAPRSPLQFVYLRSDPIRTARDVRLKVSKSKTTATTTVGED >CRE13284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:459918:462742:1 gene:WBGene00061562 transcript:CRE13284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13284 MFSRTPILPEAEIVYPFRHRIEWLDPQLPRGDADSMAERIPEKHQLSSGRSIESLRTFVMADQNKESEKLVKKCGLIASGIEIQYEAGFNRIVVKYGEDDFTFNLIGSVKKEDFGKL >CRE13283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:454980:457311:1 gene:WBGene00061563 transcript:CRE13283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13283 MEPEKEEHLEKKPEEKFNLMSLPFVAFRKVMYQAEFFTILNLSLVSKRSHAQVRSCALQVTDVELNLKKSFSNELNVMFKGEKYSWGVIETVNANNAGVFVNPRNDMRFKPICSRILGILHKKSVDTFRFDRSITLEDINEYWDMIEEAKNIYIASDMLTRPEMDMVLEKFQKVNSLFVDPALPLFYQGFTNRKFEILYLEGPNAITLPDFMKIDTDILLMQVSRFENWELNIFLKKWMELPKTPGKLDPRVIRIFNSSGDISMLQDIKTYPWDPRHRSEYYVIYLDNGVMAIDCSKGFDLVRGDGRIATVLIRETSFDFLVWEHQFHDVPRNAILC >CRE13282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:452229:454268:1 gene:WBGene00061564 transcript:CRE13282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13282 MTPEDIEKDMKNIHQKRFLRELNSLKISADYSSLDPSHVHVFLKSIDPQLCLYTYSMLKNGITLDILTASPNADDLMDTCGIKSKVHRLQLTTTLKKLWPEPHKVIPKIRLDFFISYRQDTGAELASLIKMHLELRGYRVRMDIDKNGEQDISESLLRDVQAARNFLLVISPDNPYSKDIPCHTQLHKELRTAIQNEKNIIAVYHKDYDETRDTICLLPYDKQYLRVNWVHDYQDACVQRIVKYMYK >CRE13281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:445375:449970:1 gene:WBGene00061565 transcript:CRE13281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-brc-1 description:CRE-BRC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8H3] MSASIALQITDTIARLQKELRCGICCSTFKDPILSTCHHIFCRTCINTCFERKRKVECPICRTVLDKRSCRDSYQITMAVQNYLKLSTAFKQDIENINTFRTLPPEKAFMESQMPLDVTIIPENDGKRCAPDFAIPLLPVRRKRTSRPVPSAEPSTSGDPAPEPPEIPVFTAPISSSKAKKAAPFKEQQTKIDAEIMTDPCRILPSELQNVDIQEHINAVCQNGEDEIDALFYLIPSMREFLQKNATLLMNKLDIPITSPERRKSDRRVSFASSQNLEAHRYPTQSVPLEDAPEPPKPTLTATMADDDDEVVEDSEGEAQEDTQEMNQENLADLSNVTQSTTLDADRTDKAIQSEADRLDEELARPAKYIVCSRIHNDEDEVELLSDFYHKFLSNSCRFSEDVNEHTTHLVMMNSEGRNIPQKSIAYLQAIARKCVVVGRDWFVECLKTGCLCEESDYTITSCSSSITADIVPKSGAEIGWLRARSDAGGKLFAGFRFMILRRFTMSPYFDYKHLIELVQLCGGEILSNYENQSPVNLYMIFSKHSKSIAESRNMENLYKCQVVTTEWVLDCISEYSILPTDAYKAIDSIEDD >CRE13377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:441258:443749:-1 gene:WBGene00061566 transcript:CRE13377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13377 description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:E3M8H2] MSDVRVKFNLANDGDGQDEDIFLPPAPLLAGAHCSGLQSYHDLYRSSINDSDEFWRTVSSELHFEQGTSKGLEYNFDAKAGNVFCKFMDGAKTNISYNCLERNIKKGLGDKIAYIFEGNEPTDVTTWTYRELLAQVVQFSAVLRSHGVKRGDVVALYLPMIPELAVAMLACARIGAMHSVVFAGFSAESLAARVVDARCRVLVTADGVFRGAKPIGLKSIADAAVALAAQEDVKVEAVVMVEHLKRVTKPDGVELPKADYTEITFVYETEMQKCAGVDSPVEWMDSESPLFLLYTSGSTGKPKGIQHTTAGYMTYAYATTKYTFDAQDDDVYWCTADCGWITGHSYLLYGPLMNGLKGIWYEGVPTYPTPSRMWDVTDKYGVTKLYTSPTAARALMALGNKWLESTSRQTLKVIGTVGEPINPAAWMWLYKKVGLSNVSIVDTYWQTETGGHMITCLPGATPMKPGAAAMPFFGASPVLLDGEGRVIEGPGEGSLCFDRAWPGMMRGIYGDEQRFVKTYFAPFNGYYFTGDGARRDEDGYLWITGRVDDLMNVSGHLLSTAEIESALVAHEKVAEAAVVAAPHDIKGSFPYAFVTLNVGEKINDKLVAELKKMVREKIGALAVPDIIQEAPGLPKTRSGKVTRRILRKIAEGSESGIGDTTTLVDESVIKQLISGRSARA >CRE13376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:439576:441102:-1 gene:WBGene00061567 transcript:CRE13376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13376 MKFVLKHVFVNVPKLLNGEIIQIDSEQEEHFNVTWGMSLKKAGKTVCLCLSMTNPNDNDDYAIQTVIDLKTIASNGKMCTKTKEHVFKYWESDDVGIELMSCGKMTKNYVIDGKLTIEARVKILEMSGIKKRKLRNFDETTKGYSDIILVVGEQKFYVSKLYLASHSKYFDSLLLGKFQESKKSEVVLRDIQPEDFQNFLEVLHGEDAISDETIKGILFLADMYDAPTAHRRCEQFLINESKMSLKEKLEISARYKMKRVKNKCLSKIKTIEDIRAVLPGNIEDMDHSLLATVLQKSLSYIDKLSKC >CRE13375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:437143:438803:-1 gene:WBGene00061568 transcript:CRE13375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-3 description:CRE-RPL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M8H0] MSHRKFSAPRHGHMGFTPKKRSRTYRGRIKAFPKDDKSKPIHLTAFLGYKAGMTHIVRDVDKPGSKVNKKEVVEAVSIIETPPMVIAGVTGYIDTPQGPRALTTIWAEHLSEEARRRFYSNWAKSKKKAFTKYAKKWQDEDGKKLIEADFAKLKKYCSSIRVIAHTQMKILRRRQKKAHLVEIQVNGGTIEQKVDWAREHLEKQIQVDTVFSQDEMIDTIGVTKGHGFKGVTSRWHTKKLPRKTHKGLRKVACIGAWHPSRVAFTVARAGQKGFHHRTIINNKIYKIGKSALTEEGKNNGSTEFDLTQKTINPMGGFPRYGIVNQDYIMLRGAILGPKKRLITLRKSLITQTKRVAHEKINLKWIDTSSKTGHGRFQTTAEKRAFMGKLKRDFLAEAEAKA >CRE13280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:401991:436421:1 gene:WBGene00061569 transcript:CRE13280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tir-1 description:CRE-TIR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8G9] MMGEEILTERNQLSRQDASCFRSPRSPLGRTDDAFFEIEDDDEFSSPSSPPSPSSVDPPSITVPLPPKSAPPFPTQPAPTINGDIYPASGTGTPTILSNGTPLAPGRMSPAHSVSNLINESRLQQSLSTPCNGSEEEMHGQQRKDSEYRRFKSEGSTAGANLPAAEKTHMDELSPVDQRSTSGTTRFALMQQDSVVNPSVITKLSNTEQVAMMHTLKTKLSKYQSFLDRAFELIAENTDEKIIEGCTISIKVMKKAWTTPKVSSDLANALCDYLRDRDYFDKLIKMFTSTTSTSCDQVKLSCGKVLEECMSSANRDFVVNKNYVKKIMTVAMKLTKTPDQQRLSLSLMESLFQHSNAVSLSLIESDVIEHIILTFKRATGCPDILRHAALGLANLALYSCFEGKKKIIQKKVPDWLFFLASQSDDVTRYYACLAVCTIASVKEFEPAVIKSGTLKLVEPFLQIHDPATFAVEHHKYAQGRPKEWLEKLLPMLQSSRREARSVAAFHFTLEAVIKKEQNKLDVFQEIGAIQALKEVASSPDEVAAKNASEALTVIGEEVPYKLAQQVPGWTCADVQYWVKKIGFEEYVEKFAKQMVDGDLLLQLTENDLKHDVGMVSGLHRKRFLRELQTLKVAADYSSVDESNLDNFLMGLSPELSVYTYQMLTNGVNRSLLSSLTDEMMQNACGITNPIHRLKLTQAFETAKHPDDVEVAMLSKQIDVFISYRRSTGNQLASLIKVLLQLRGYRVFIDVDKLYAGKFDSSLLKNIQAAKHFILVLTPNSLDRLLNDDNCEDWVHKELKCAFEHQKNIIPIFDQAFEFPSKEDQIPNDIRMITKYNGVKWVHDYQDACMQKVVRFISGELNRTTPTTKEMPALSRKTTQQQRWQTTNVIRTGTSSRSINSRMEPPTPTFFSVTPNGSQERAQSTRRKIQSSVSTVSDHVRNNN >CRE13279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:377213:382166:1 gene:WBGene00061571 transcript:CRE13279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mmcm-1 description:CRE-MMCM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8G8] MSPFRSHRYNICFLVISSSVLSSFFPQLLLFFRSSAHLGKMYHHLLKPTLVRCSTRPSSSGGAYSRFPIDEKWAAMAKKAMKGREADTLMWNTPEGIPIKPLYLRNDRDCDAHRNVELPGQFPFTRGPYPTMYTQRPWTIRQYAGFSTVEESNKFYKENIKAGQQGLSVAFDLATHRGYDSDNPRVFGDVGMAGVAVDSVEDMRQLFDGINLEKMSVSMTMNGAVIPVLAMYVVAAEEAGVNRKLLAGTIQNDILKEFMVRNTYIYPPEPSMRIIGDIFAYTSREMPKFNSISISGYHMQEAGADAVLEMAFTIADGIQYCETGINAGLTIDAFAPRLSFFWGISMNFYMEIAKMRAARRLWANLIKERFHPKSDKSMMLRTHSQTSGWSLTEQHGTLLTTALYRNRRKLCAKLRDSEKKRHFSSISVERGCKNCAELTQHHNPTNFQDPYNNIIRTTIEAMASVFGGTQSLHTNSFDEALGLPTKFSARIARNTQIIIQEESGICKVADPWGGSYMMESLTDEIYEKAMTVIKEIDELGGMAKAVASGMTKLKIEEAAAKKQARIDAGKDIIVGVNKYRLDEEQRVDVLKIDNARVREEQCAKLNHIRETRDAAKAKKALDDITEGAKGDGNLMALAVEAARARCTVGEISDAMEKVFNRHAAVNRLVSGAYKSEFGETSEMSQVVLDRVKSFADRDGRQPRIMVAKMGQDGHDRGAKVIATGFADLGFDVDVGPLFQTPQEAAQQAVDADVHVIGASSLAAGHLTLIPQLIGELKKLGRPDILVVAGGVIPPQDYKELYDAGVALVFGPGTRLPACANQILDKLEKALPEAPGKAAAR >CRE13278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:370866:375117:1 gene:WBGene00061572 transcript:CRE13278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13278 MQNNNTNQNANTNPFQFPHPNFPMQMFMPGTGPSTSATPFQFLQNAVYPNGMGAFWNPAVIQNFAMLNQKAMNMMLPQPGLWNPTIPVAPPTNAPKESETTVSPSQANTRSPSPKLVQPEPIEVVKETKRESTPPVDVVSVSPEGKSSADRERSRESSNSRFDYDEGNDDVDNMFGISFSEKELSPSSDGSRVERCLSPIAFTPPDSPQHHLSFDEILGIGSTVKPQEIPKAKTPSPPKPSSSKTPTNFLKMDEEEFIKNYVQPKKVPIYKQKAALLLLEDSKKKNDSNYDVFDFDMADEEDRFVEGKPAEKSEKVEKEMVAKSVYIPGVGFEVKNDKDGNVRKAMARPKDQTAFISDHLEFSLADKIRAERNLHTSCSFLKIMELFDTDCAKNMRKVTAEEPLLPKFTIKIDQLKTVNKKRTIKSKKRKSTESISDDEEVRYSKKAGEVVYSILRTSDTRPSALNFPVGPGVSEEERRRLDMFGPADGILPKDAYLVLQSDILKWDCPIWKVDNQTLLRKFTPLRAKNTGKLVYTSTTTYSGWYEQIKHQYFRVSVRVMKSSRYGVVLEPEIPLNEVFCASAMEWFKNPRCFLTEEPITKNTDSDFLKEPRQKALHTLLNICLVQALTRKYVKSLRDKNDWSYTHAAAEIEKNNQRCEDLIQKRIRVDLKLKIWIGSYTRLVISKTSYHCLTTCQICNLEKSQNILSFFDKTEYDLNLTVDSSTDNSEKENQDPLVVNVISCEKCSMSIDFLHKMHHLQFHILRVCEDKLELIGTTEVDLTAKELIKMAKSDEAWVFGVIQMYCDIWDTVIEQFSNIC >CRE13374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:364533:369612:-1 gene:WBGene00061573 transcript:CRE13374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13374 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M8G6] MTTTLSALPYYHGSIDIRERREMLPDLGDFLIRNVAQEVDKSDGTGQVYCILTTAVTPEQASDNSKMLPKEYSLRLECTTRLKSYAINLNGGIYSVQGSATDAFPSLEALCESYIQTKQPLPAGGMLLKGVIRRPWQLFLCDVELPEPEILLGSGEFGKVVKGKFLKPGVGPIDVAIKMATDLNAEITSEIFTEARAMRALIHPNIIRLEGVVVEKIPVMLVIEFLEGSSLLDALLKKRVPNEMRFPICMAVLYAVLHMHINSYIHRDIAARNVMVSHDCRTVKLIDFGLAKHGTQFISDTWALAICFWEIFHDGAEIFCNVVPSFDSKPDTTPVEKEPEREPSKTSQSKNTKASMTRKRVKMVGKSAAPATPVAATPVSKPKNKNDPPLLVITQNVEFLPKEFIPMFNLMTEKKGRKRIELAAMAYTVETVIMPTLPPAVQAELKVHVDKRVPFDKNFKVDLLNTDSVITLPTTAPAANPSTPIAGSARTPASARTPATAKSKTVTRRRNKGMKSDHAQSSGSVPEKKTDKNKTARRKK >CRE13277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:357762:363771:1 gene:WBGene00061574 transcript:CRE13277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pat-3 description:Integrin beta [Source:UniProtKB/TrEMBL;Acc:E3M8G5] MYTLCHFFPVIPTPTIRHSMPPSTSLLLFAALLIPLVLAAGDWKTGEVTGKVVEKSEFPCYSLSRDNYTCSACIQFHESCAWCGAPMFDEKKPYARCDSRSKLMEHGCPDSYIEDPTTKLDITEDSKLSDQGEVESEEEAVQIKPQQMYVEIRPKSRVRFNVTYRQAVDYPVDLYYLMDLSYSMKDDKQKLSELGDLLAERMRTVTKNFRLGFGSFIDKKLMPFIDPRIEKQLSPCPTPCAEPYGFKHQMSLTTNTAKFKAEVDKAEISGNLDAPEGGFDAVVQALACNKTIGWRERARKMIVFSTDAGFHFAGDGRLAGVVEPNDGSCHLDREGYYTETLNQDYPSIALLHQMIKDRKANVIFAVTKNNQDLYTQLSNALPDVSSSVGVLANDSRNIVDLIEKEYLKISEKIIMVDNANASEGLKLTYRSMCLDGTTLKDTNVCEGIRVGDEVQFEVTLENTHCIDKRDFVLRIGPSGLDETLIVNVKVLCDCDCERQDRIVTNAAECHGGDMVCGVCRCKGGNVGKYCECNRPGMSTAALNEKCKRTNESAICEGRGVCNCGRCECNPRANPEEQISGEFCECDNFNCPRHDRKICAEHGECNCGKCICAPGWTGRACECPISTDSCLSANGKICNGKGECICGRCRCFDSPDGNRYSGAKCEICPTCPTKCVEYKNCVMCQQWQTGPHNETECDKCEFKAIPVDELPTLNETTPCQFVDPADDCTFYYLYYYDEATDNATVWVRRHKDCPPPVPVLAIVLGVIAGIVILGILLLLLWKLLTVLHDRAEFAKFDNDRLMAKWDTNENPIYKQATTTFNNPAYANKAN >CRE13373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:350708:352782:-1 gene:WBGene00061575 transcript:CRE13373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccdc-47 description:CRE-CCDC-47 protein [Source:UniProtKB/TrEMBL;Acc:E3M8G4] MKITWIFVLLAILCCGFATAATDDNEFAEFEDEFIENSVTDTPPTQQEDRRPVPPIVEQRDDFDDDDFGVVDEEDHEEVEKVKETDPDEPTPVQSLKFTDVPAHFRSNWASYQVEGLVVLVILIYLVNYIIGKTTNSSIAHVVFEMCRPTLEEQFSVVGDDGITELDKHETQLRRDTDSTFSAWCSGRINVNSMFLQMKMLKRQDLVSRVMDIFDPSYDKMTIKIQLEGGNDVDPLIFAVGEKKIASKNFKEMFDLNSYVSERKQAAQQLNLPATWQLYADQNEVLFSILEPGVVSLLKKHEEAIEFIHISDQYTGPKPAEGESYTRLPDPQRFMFVSLNLKSLGQDEESATEILNLVFYLIDKVKKMKLSKDAKQKAEKRRKDFEEAFLKQTHQFRQEAAQARREEKTRERKQKLMDENDPDRQKRLEAKEIKREAKAKQPKMKQLKVK >CRE13372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:348496:350260:-1 gene:WBGene00061576 transcript:CRE13372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13372 description:Galactose-1-phosphate uridylyltransferase [Source:UniProtKB/TrEMBL;Acc:E3M8G3] MFSFNKVNKLFSLEIGNLLDVSFELNDNYPHSCEFCLNSQTFSEKMSKVNNFRRYNPLIDEWIIVAVNRINRPWQGAKTTVSKNSEDTTSSDSRAPPEKNQLAPGGVRSSGAVNEEYQSTFVFENDFPSFTEFEKCAGEEENDDLFKQQEVRGVCKVICYHPDSKLTLATMDLKEVRTVIDIWNQQYVELCPKYDWVQIFENRGAVVGCSNMHPHGQLWASNYLPSIPLKKHQSQKKYFEKHGGKVMLMDYLDKEIAKNERIIMRNEHWTWLVPYWAFWPYETMLLPNRHVERFTDLEETEKQSLSSILRDLLIKYDNIFECSFPYMMGWSGAPTGSFLQQDCSFWQLHLSFFPPLLRSATVPKFLAGYEVFAEKQRDTSPEIAAKTLREMNGVHYSKRN >CRE13276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:347094:348419:1 gene:WBGene00061577 transcript:CRE13276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13276 MTEEGFYSFSSQNSRELTEDDHEKLAKQTNISDFKEKKLEIEARKNWDKFYNRNKNNFFKDRNWSAEDLKIICPDIDFEKEISYLEAGCGVGNMLFPLVAEIPKLKLFAFDFSDNAVRLLEERAKELKLPVTTSVVDLSIPSVSSPFDEQVDLATLIFVLSAIHPDKMQIAAENMRNLVKIGGSVVVRDYGINDHAMIRFGREARISDRFYVRQDGTRAYYFDLDELTGFFENSGFRCVRKEYLHRMTINHQKNLKAPRIFVQARFIREN >CRE13371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:343479:345514:-1 gene:WBGene00061578 transcript:CRE13371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nit-1 description:CRE-NIT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8G1] MPKIAIVQAGTVLYDKVATLEKVKKYVVEASENGAELVLFPEAFIGGYPKWNNFGITMGTRTPEGRKEFKRFDFSLSFLQFLFFRYFENAIEEHGEESKSIESLASQKNIHIVIGVVEREASTLYCSVFFYSPDGYLGKHRKLLPTALERCVWGQGDGSTMPVFNTSVGKIGSAICWENYMPLYRMTLYNKEVQIYLAPTVDDRDVWLSTMRTIALEGRCFVVSACQYLKSSAYPSDHLLRKEHGDDTVLIRGGSCAVDPLGTVLVEPDFTQETIRYTEFDLSDIALGKMDLDVVGHYSRPDVFQLTVNENQMSTVTHKKE >CRE13275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:339188:343169:1 gene:WBGene00061579 transcript:CRE13275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13275 MVASSVKLDPIFEDAPLLPPLPEDKNPIGHRFESEPTRANALAAAKRLLDDSRGQSWEQLARKYTLGLAAAPCVERLTEEELDESLEVMPEYHNYRFNRSRSVDLSSISREKYLSRWSRENTPVDNEKRYASHVRRSYTPVRDIASVEHVNDGNLHRSRTPLAAVTAPYHTNIHYRSEIEPFRKYDTFGLRTWSYPIYKYVYGREGDYRRPYSFDRNYGLTPVYTPPQLAAESRPITTRRGYSGYSYLASETHFDIASRPKSLSSYMYNTKYLGTTSAPWYWSYYGNSNLRHFNSYRPHNYTSSTASWSRYY >CRE13274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:324537:332535:1 gene:WBGene00061581 transcript:CRE13274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13274 MNARMSKLRRSISRSVFQLNSSLSRQNSLNGLVDLPTMSNTTLVDKFATPTNFRQLRDVIRNNNELLAEVVAAFEEKAALDFHYSKTLKKISIRLHKLTQSVESGIDKGWTNVAEQFDIHATIHSNLGSALTEDIIQPLRSIHTSQQKTIRAADNFVEREIRKLKDKKEETQKMKRSLYVLSKELEKTENAAEREKMAPEKYALRRKKYKEQMIRSEDDYVWQTVDLEKQRRMTENVLRKGVESMESVERQRLAHCQTALGRYKRKIENLGPNLRQMFERHSNNLELAVDSVPEDYIQTIQPSTSAVNHITLIDLHAENFGEVMSSTRRRQNLERVSGVLDSELKRMYNNQTADVMVSNSKQIVRRDFLRSFEFLSTEFQSVLEFIEYLYYKINDSINIVDGGQNRGFHRLARFQHKIKDKSGLAMTILTIPLTGENNSLPPPSLSLEASTAYPSPHGSNDYEEYEKISDDGFYSTATTNTSSSGGARTTTTFNESTSNSPTICRVLYDFEPKHSDEIQIREGQCVLVEDRIGEDWLIGHVISQPDNSSIDPRSGRFPTTYVSLRQ >CRE13369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:315289:320091:-1 gene:WBGene00061583 transcript:CRE13369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13369 MHYTMEKLKITEYESKRNYYMCNFHTGGKEGHCTFVGTLSSVNEHFSVHTFLNFFNFYFFSGVYLYHCWACESYFSERCYLIGHLGKKRCDIRNSYLIENRYEDEEFHRKARNVFIRAISKEEYSEAAERTNSLKNNDFLPSYFARDPPYKTAKRAHYPDFVRRSQLRSRTTEMAQAAQRHHKKEPAEHRSIHQDLQPMDTSPIEPDFPEDGLQPPDYHPANRNVSTGFVSSELTLRLKPIQRNVHRSQSVTLNHAVIEEVSLNVHLDERPVEQSASINNVTISQALQDSLSNSNEMGPEFGRLREIVRMIVREEVNQTTTNQHKTLVKDRPPPNATSVQPVPVVTPAPVQSVQSNPTSVQQYPAEPLVSAQIIAQIPLPAPKPVPQQPAQSHLQQNSSEHPAPPRNVAQPPVPAPKPVPQQPAQNHQNSHPTQPPLIYSQDPIPASQQPATGHLPPFHPLRIMERIQQNRFAPPTPVAAHLPHPPAPPSTNSFVPVQQYSAESHVPPQNVAEAPVSAPKPVPHQPSQNHQNPRSTDPPIYSRNPINVSPQSAPYAPVVTPLSNAPGTFSIRVTVPVPTIDMISAPPPTNSFVPVQAVSVVTPAPAQSVQPNQTSVQQCSTETPVPPQNVAQAPVPAPRPVPQQPAQNFQNLHSTAPPIYSQNPIDVSQQPSTVHPQRIMERAQQHRFVPHVPVISAPPRTNSFVPVQPVSVVTPVPAQSDQPNQTSVQQPSAEPPVPPQNVAQIPLPAPKPVPQQPSQNHQNPHSTGPPIYSEYPVPVSQQPSTVHPQRIMERAQQHRLVPPTPDVASLPHAPGTFSVRATVRAPTIDMISAPPPTNSFVPVQPVSVVTPAPAQSVQPNPISVQQHSTEPPVPPQNVAQPPVPALKPVPQPHAHHQNSHSTQPPLIYSQDPIPVSQQPLLLISLIRQVLFSVRVTVAVPTIDFISAPPPTNSLVSCSSPQPATTFDAPIATYPPPPPPAESIEEIPLPQSPQRFSPRPFSPIPVRPFSPSLHHVPLDLWNQVPAPTSPSSDNSTPQPLSPSPIQSPPRSPEDDDNDSVNEEIAESYQRASRKRNRSYGSNDDDSRDPTWSPSQERRQRSRSRSERTSDRVRSKSRRRYEDDPLYIPREGEPRRPRRKFYRTRSNSRHGERLVEQVVREPIPEAMEQRDVSPEPEQVDGLLGSRENPVVLSDDEESIRDSSPETDMVREHDEYQLGSREMSIDSRSDESSPEPEIVENRVEDQLGSREMSLESNVSLEPEQDPVRSSREFTLDSVLSVCPGTPQASPKPSWLGQFSRPSLPPSPSSQRSERPAQISENSYRARNLEIRSGPTALAYYSNSYRQGSLQTSPQDYLQMDRAQRNNQQ >CRE13368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:305036:310603:-1 gene:WBGene00061584 transcript:CRE13368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13368 MASWMDTKNYNNNLAEENAGSFHDLKKWFVCTYKKQHGRRECGFYGTLPAINEHFAEHTECYEFRCRKCDNLFVGQMEFSKHKGKEKCLAQEADLIIVFNDYGLKKTDAFLRSLHIREANEEEKGRIGFNKDSQFYDWTKGPKNRYGMSWKQFRIYQETVTYYGHFSNGVPFFPAPPESSNCHFTFPILPPPPPPSAVPSTESDHSSRDQSTGIDPQYLIDEWHVYPEPPSETSETRPISPAAAKDSVESTPGYGTRNGSPLGSEHQSSPQHNDDVNVLEKRTLSIPQFGTSEIALEQKRKRPAPGSLRELLQNVADSGVSSSTEPRPQSPMDPPHGTVRRLSIERFQSGPRLHFSPALLLSTAALSSSLNSSGLSEHRSSQEILFQCTIPFGTTDTVCEFIGNTSEMEFHRTKHEHSVFECMQCWEKFCLKKDIKNHQEKQWLKCYRGKWTAYMVTSVVSIDDYPAVKGMYLQEYEGPRTVWKGPIDERDNKQWQKKNTEMDSDISSYNRRFGATHSTPRYNEPSTTSQTLENIYTGSKRKVLLPTLPSLPQVSQAVPTVVAPEALQPPPPSSDDSMEVSPEQPDFEMSPEYDPGTYDPIPVSPTYGSPMDIVVSPAPTHKETRDEYPPIASSQLLTPSRSSSPESIKGDSVPSSSILKKADVWNKSKRQADMPPAKKRRQELEKTDILGSIMKSITRTQSTDQPKIMKKTYEQRDPKGLPRPICPSEKMLKRSISNSTAFASMNLSDKREAQSTSCASRRQNIERAPESHMFEDRSLTKQLIPPTSRNDEIPSTPSASEIPVPPTKNVAFRQESAQQPTKADEIQTTSNSSQSPVPPKKLKKKRLPPLDMSRRAYRTGKPVPYYESDSDSDEDKYITLSD >CRE13272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:296069:301552:1 gene:WBGene00061585 transcript:CRE13272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pxd-1 description:CRE-PXD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8F4] MRSTSISLLVVFLVWRITEAQNIEVSRERVPEQIRYSEPSTAGPNTEEEFVPLSPVRKVRAAPIPKPVSQDDRWTAEDEEEIDPATATVPSDVEVKQDSVDHHYYQAETYVGDLEKLKKYWVNVEQFMKKPKTIGNTSHPLLSQSYRRAVGARLQFKFPFYGHKMANLTIATGGFIYIGDHTHNWLAATQYIAPLMANFHTYLNNSNIVYADDGESFVVEWRNVQLKEDKEKNAFTFQTILHKNGDIVFIYKDVPYDISNISDANHPVKLGISDAYMFKHNLHQSAAPKRVIYEYHRIELATDKIVSNTVVILKALPTCISFDTCDSCTNATLPHFNCLWCHAKKSHGGPFCTDESGLHRRRQQWFEGNCYQRSKALYCDADDENETYDDEDYVKAQLFPDDKSNGESNVDVEKMKTSDKGTKSAMLDEWKKKVKDEKEAKGGVATLTMIVLVLLCFVAWLAYAYYYPHTTSGQLLIKYRPSRWHVPNSHVRYSASVHM >CRE13367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:287648:291885:-1 gene:WBGene00061586 transcript:CRE13367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13367 MKRSTASAPSLSPKHESDVKKVKLEDVKPPTTSNPPNAPTGREIIENYLKGDVTSAVLYRKICNALETFDQWESEAPKLQLLEQFLGIADAMEARTDVLVKRLLNLRWDKIPSSVIEKFRTFLCELAIRHLCFTEDVYNSVIERLVPQISVNDETRIVTFVLTEEKQNEHFEMAHYIISSVLRCFPLSAKTLLKCAKKAMPHVTRPAVTVSGYMRNLILMQKYIPSSISKDLWETMFDRLARDDAHNWRCEQNEEENKSPRLFALNDDILIEEIVESTNNSEDVTPQLLEQRKGEQMLQYLDAVCTDVIAFIRDSEGIDRQESEEKGEKIDEKWLTSFKFSGDKVLAADKLFDTFLEVLESTMLNATHVQYISFVWLYFGSLKAEYEQKVLEHLWQVTIRMPRAPADARKSQGAASYLAAFLARAKYVKKNTAFTWLEEIYTWLRHYVDQFGSGSSQILPGLQRHGTFYAVSQAFFLVFAFRYKEFVKDQEMLETIRRWGVGRVVHSPLEPLRFVSKPVARCFSAITRSLQLVYCNHVIPIEEVQRPFDDMFPFDGFHLKVSSQFMTPLMRKFSPLAEDLSTLTKALCWNAVAGATAEKSEKSAETVSSSEGLDFLDEDDIVMSTGFRERTFSCGQSSLINYSATPGLKTFNV >CRE13366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:272568:275317:-1 gene:WBGene00061587 transcript:CRE13366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-11 description:CRE-GLB-11 protein [Source:UniProtKB/TrEMBL;Acc:E3M8F2] MGNTKSTESIPKKSTRRKSATTPSTSSSSRPSVSSQGNRKPLLSISQRQIIKGCVDNSKDDLGERIFRRVLEKRDDFKQFIDNLSKAQRYENSNYLKQFLLGIVESLTDIDEINRISEEYGCNHVQFRLYGFKPDFFAATADAVATECTFLDQAAHLTSETATAWYLLTTHVFSAVRDGYYAELRRQRKCSNAFRARVSVDVVSTGTDDGTSLSSATRRSNSPQEEIAEKFEQKSNQTDDNDNEPSGNFLSPPQIY >CRE13365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:270411:271991:-1 gene:WBGene00061588 transcript:CRE13365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13365 MSTCPVPLTKSSVIPVSSDLPIPTEKMDRSIDRRQCGQCHQSIGSEALVAMNRLWHPDHFTCSSCKRPIKQTFQAADNHAYCVQCFAQKYNPKCAGCMETLVDTCLLALDRHWHPRCFTCSSCNRPLPNGEFYLVDDKPYDLDCHWAKRLEKREHMEKGER >CRE13364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:246354:256692:-1 gene:WBGene00061589 transcript:CRE13364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msi-1 description:CRE-MSI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M8F0] MTTTSTGVSLREQSPQDVDGHEEARLNADSDDGSHGSQDPGKMFIGGLSWQTTAENLRDYFGRFGEVNECMVMRDPATKRARGFGFITFVDPSSVDKVLNAREHELDGKKIDPKVAFPKRTQAKLVTKTKKVFIGGLSATSTLEDLKQYFETYGKVEDAMLMYDKATQRHRGFGFVTFDSDEVADKVCEIHFHEINGKMVECKKAQPKEVMLPVQLNKSRAAAARNLYGMPPETLLAYAQYLPRFGGNLMYPGFGNGFGFVTFDSDEVADKVCEIHFHEINGKMVECKKAQPKEYSTTCFGRLFGSLNPRWLNQPSPTPIRHRQSLQSEQRSYNT >CRE13271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:238546:244694:1 gene:WBGene00061590 transcript:CRE13271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13271 MTQVVFTLELMKPWYSIQLPCEPNCEICSWKDVVTAAPIGSMTGFVEEYKRGQQNKGCWLTNYVCGGPEKPLEKQSMRSRRSASRSGGSAKSCRTQASRKKSKEVNVCLEATPCGGSTIQT >CRE13270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:229188:230996:1 gene:WBGene00061591 transcript:CRE13270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13270 MTSLKKSNPTEVHISTSRDLVQRTLVLRNTTGKDFILKLISSNEAILFPTNVFRFPPSSHRVIQFRVNSSKISQWDRTKLTIKGFVLPIYAKNLKQFIDQKTVAGTSCQEAFSLSVMFTEQFSAPQTIINLPGSATCIESSDHPVDVEELDTLTAINIEKDVVTAAPIGSMTGFVEEYKRGQQNKGCWLTNYVCGGPEKPLEKQSMRSRRSASRSGGSAKSCRTQASRKKSKEVNVCLEATPCGGSTIQT >CRE13269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:222423:226466:1 gene:WBGene00061592 transcript:CRE13269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13269 MEKKKTLMTKISTTTSGSISGSKSKEEEVELGKWTPSTSNILEQIEHVPESTIEEEVRTSTLMGFSAFLIGIKSVTLIVYLVLLIVSIILLDNSFFFTILAFGCAELVLIIITIYHGIKPTLKVVFAMLGFELTLSLVKLVFAIVLMAKDGGEDCSVDHPCSIMNISVKERFGLFFFILFTAFADGLTALLTIANSPQMHEFEMGDEYLF >CRE13268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:219005:221209:1 gene:WBGene00061593 transcript:CRE13268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13268 MRRKKIVYNYFSLLLLSPLSFSCFQFFLSLFLFTQFQIISYSNVPRFAEMMKVLENSSKHNTPKKQKTFQHYLPAGDRCYSCIHCRANLAAHSELISKSFQGSQGKAYLFNAVVNIGCGPAEERVLLTGLHAVADIYCEICKTTLGWKYEHAFESSQKYKEGKFIIELAHMVKDNGWDEQHDS >CRE13267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:200152:201510:1 gene:WBGene00061594 transcript:CRE13267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13267 MCITKKATKKTTEHKDSSEDAKKKAAQKKPTKKFKMPMFAKKKKSAEPKVEEQKIEKPEEPEEPPKSVKEEEQEVDKREEDAKGLDQAKVEVKPKRPSVFRPNCANLAGLSGATKKKGNKYKFGQIDREELSVTLNKKSPKKLKHFQINNDKTEFLENAQLTKLMSHADMKALMNGQNAPGENLDDPNCQDTVEEVDSEMQDIAFSPLLAPIPKEEDKQLHALIHPTDQKA >CRE13362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:179637:181274:-1 gene:WBGene00061595 transcript:CRE13362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-10 description:CRE-NLP-10 protein [Source:UniProtKB/TrEMBL;Acc:E3M8E3] MWYIALLLVVVATSVNAQKADDESIVFLVRVPMEEIEDNSSVESYYHPRDIFNKRAIPFNGGMYGKRSTMPFSGGMYGKRSGPIFAERRAAIPFSGGMYGKRSLSSATQSYANNENQIKRGAMPFSGGMYGR >CRE13266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:177597:178949:1 gene:WBGene00061596 transcript:CRE13266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13266 MPSCFFPIVYFIVLSKLTYRFGFFLSNHRFSGKMSDEPAAKRSRIGDEPAAERAKNADEFPNLRSDVVGAVKEKLIELDAVQHQLDVMSEKAAEEVLRVEKAFNKKRMPIYEKRKNLTTKIDNFWQTAFLNHHLLSTAIPEEQEDLLGALRDLEVQEFEDLRSGFRIVMTFDQNEYFENTVITKSYHLQSETPSTQITEIKWRENKQPPPKPEDDSAITFLEWLNYAAPPDSDEIAEVIKDDLFVNPLQYYMMPDMQEVDVDEMESFLNEDRGVDENGQPILGFSRPVDLSTIMGTDDSDELGEEAVEEMDDEEKVDDDEVEDGEDEEEGEIEGESVDDEDDDGEEGALEEDEDEEEAEGEGEGKAEGEGEGEADDEVEVEGDGEGEGEEGEGGDEENDAEKVAESRGPSEEL >CRE13265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:175642:177194:1 gene:WBGene00061597 transcript:CRE13265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13265 MYCFPFYLNYLFFPLRLRNLYSIFILFPGFQTLTMIEKSVPQSSAVNLNELMAQTASLLAVREQQRKEIADNKLALSTLPPSNHLTPYMDPRRRGERRMQKPESYKTVICQAWLESKTCTFAENCRFAHGEEELRPSFIEPRQNNKYKTKLCDKYTTTGLCPYGKRCLFIHPDNGPNAYIRSDKLYEVSQRHALADLRDQMEHHIMTGGQSTVPDLSKVTQSLDMLPRPSTPDEPAAKMPLGPTPVSTRGPKYELPPKNVPEEEAGNLPPSCWRPFDPSSFFSLDNLNMASRPVSPFESMLIEAAATAGVLPFTMIGKQSTPGGVSGYSSAGSSAGTPYQDPDTSPESLLAKSVINPLLIPQREELYSPMLGFDKLAEEMAKQFELW >CRE13361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:155550:165663:-1 gene:WBGene00061598 transcript:CRE13361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hum-5 description:CRE-HUM-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M8E0] MSYGGHDPKGYGVEDLVLLSTIDLRNVVQNLQLRFQKGRIYTYIGEVLVAVNPYRQLGIYEKNTVDQYKGREIYERAPHVFAIADAAYRSMKRFGRDSCIVISGESGAGKTETSKIIMKYLAAITNVRQQGEIERVKNVLLRSNCILEAFGCAKTNRNDNSSRFGKYMHINFDYDGDPVGGNISNYLLEKSRVVRQQEGERNFHVFYQLVNGGDDGLLRQFGVAKDAKQYYFLNQGKSQKVRKSVCLKILSQVFRSRLSMTPEISQKFRLHSDRFTLLISKMLRMWSVIAALIHLGNVRFIDANNSSGAVHISEKAALQNAARCLNVTPDELSKSLSSQVVAAHGDIVKKQHDVNAAYYTRDALAKALYERLFSWVVSKINEAISVQNNSRYSKSHVIGVLDIYGFEIFGTNSFEQLCINYCNEKLQQLFIELVLKQEQEEYEREGIKWVKIDYFNNKIICDLVEVPRTGILSILDEACASIGNVTDKVFLGELDKKLKGHQHYTSRNLKQSDKSMGFEEFRITHYAGDVTYSVMGFMDKNKDTLFQDLKRLLYHSKNRLIKSLFPDGSKSMAEVNRRPPTAGFLFKNSMSELVKQLAQKEPHYIRCIKPNEEKSSNIFDLERVEHQVRYLGLLENVRVRRAGFAHRMPYDRFVNRYKLICQQTWPNPRRGQQLRDSCMQILESAGMAQDCVQGKTKIFIRSPQTVFRMEELRTEQLPNVVTFLQKMVRGVQARERYRRMVAVRRIIGAYRRYKLKSYIWQLINAFRCVKIIFSQVLGSFSRDVRRMKDLGKSIRWPTPPLVLAQFVSRLRVMHQRWRAATILARIPPHLRASLTQKIAAFEVFNNKKENWGYPRMWRGDYLSQQEELDLPTSVSTYQDGIQALRQSHPFGKVLFSTYIQKYNKFNKSSLRVLIVTDRFVAKLETKKFKLLKEPIPLQSISRISVSAESNGLFVIHVGDNDIVGCAKNTKNEERVGEMIGTLLAHYDKITMRRPPIIIQSAVVCILGGKTKTIRVFDAENNNIPPVFKKNGNDVDLICHQLTAVA >CRE13264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:147478:151553:1 gene:WBGene00061599 transcript:CRE13264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tftc-5 MDKKMEDFLNFVQPKKEEPKQFILIKYPGIVQDVDKALQTMGGLQLISQSHLNNHSLELSHTPNNPYTSRIMAERKTQDNVSSGTLHLVMKVRRKKSNPKKMKTKFLGLINTVYSFDVMCDFQYLPLKKRIGTDGFDDLIPRLIPTDMSSALSWWDQTQSLPTPLFLPPYQFSRYLTPSTKILGRETDHTEKTRRAVRSGYGQNLRVERKALSVTVMANDEFPLEPSTEAVEEAHFRCKHDEPHRLLKELFNERPMWTRIGLLYRTRIDDSLLRSILQKYAFYIQSGPWGRLWCRFGYDPRKDKEGGLYQTLMVSFRQHGSIPERQRLKVSSDRAQTINQNGDANGKIQSLLFAARSPTLRKRTARNVKPIFSEPVSYTYEQGKLPRVRQMWYCVMDVQLPKAVEELVGMVETQAMPTEEEVREKGWLPPNLLDRIRDLIKEDVAKTSKELEGSMSMNGEEDFI >CRE13263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:142088:144343:1 gene:WBGene00061600 transcript:CRE13263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snpc-3.4 MDRKFNSDEQWYISPLIELKNVKEDLLDGREAGEVTIDREVEEKEGKSEKDLEMEMWQTSMACEEGVTEEEAAAAIEEVSNLDMFLVRPVDVSTRRLEDMKGDEQFLEKSPLFTHKSVIKRDEFLKTSRQRKSQYVTSLKYDKYLMKGGPNTNVYSPQCSLTEKCEDDIVMIVDAMTPYNRILLSNELRSSRLLKPQMKFLVRGDSLLSDLRQRLSCQSDTIVPLENGSELEPQNFDNSTAERFPSSFIFIHDTFYVDSSAENAKDISFPIRRFMEQKEIFDPVDAKHMEGVKIIDLKLRLGQPYIFQHSGNCEHLLIFHDLRLLHETDPRGIEKYPFVLFEKGNERKCEMCRKGHVERKTRLGRPRTPNYLKF >CRE13359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:144428:145790:-1 gene:WBGene00061601 transcript:CRE13359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13359 MPNPPPKEDTWAFQPIGAPFPPSPVKCMGEQNMYVALWYKHGKPIHGRSWNNGGVVECSFPYKEAELTTKAQLEGQIQVLQYLGDHNNQGFWYEWIKYKDRIEKLDDKHQLVRCGDSFPIFWKRAEGNLLGYVDNKTEDAWFSFNGKVIKQTGPQLNDMYIITRNCVGGPPHCPCAACGAAPPPPKPVPRVERDEWMDIREGDPWPTRTLVKALDKTLDTLPGVNPDQYVALWYMQGEPVMGRVWNEGGKIAANFSWFNNEYCKNVGSIQLLVHLPDSVRGFDYGWIPFPEAAKFGDKVWHPVHVNNHKGDISVGVVNVAGGKQILAKVDVRNEKYGYGYQGKEHSATAKNCADNTIVLCRKAKAGYKLDG >CRE13358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:137850:139632:-1 gene:WBGene00061602 transcript:CRE13358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbb-2 description:CRE-TBB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M8D5] MREIVHVQAGQCGNQIGSKFWEVISDEHGIQPDGTFKGETDLQLERIDVYYNEANNGKYVPRAVLVDLEPGTMDSVRSGPFGQLFRPDNFVFGQSGAGNNWAKGHYTEGAELVDNVLDVIRKEAEGCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMSSFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETYCIDNEALYDICYRTLKLTNPTYGDLNHLVSLTMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLSAKGTQAYRALTVAELTQQMFDAKNMMAACDPRHGRYLTVAAMFRGRMSMREVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMAATFVGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLISEYQQYQEATAEDDAEGYADGEAGETYEEQ >CRE13262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:131981:137568:1 gene:WBGene00061604 transcript:CRE13262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rcq-5 description:CRE-RCQ-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M8D4] MTSNKRNLETITIDDSDEEDQKEPIAKKPTTSNYSAWAEIFNKKKAEASVVSAAEKAKKDAEKEKQRQKLKDAINERKRREALLENSKKAKQESPKVIKTEKPVKKEEKFEKSDSPGPSYSQPTDTQDSQDSSDFVANPLAVGTGERLIRGQDIIERRDKVFLELFLHKKYRSRLQMQAINCILKRKCDVYVSLPTGAGKSLCYQLPSIVHGGVTVVVSPLIALMKDQISSLRRKGIPCETLNSTLTTVERSRIWRELGKEKPTIRMLYITAEGCATEGIKKLLGDLTKRDVLRYIVVDEAHCVTQWGHDFRPDYLTLGSLRDVCPGVPWVALTATANAKAQDDIAFQLKLRNPESFKAGTYRDNLFYDVCMRDHLPSAPENHMASFITKCLTVDIKTSTPSLTKNEKSGRAQNKKTFVGSAIVYCRSRNECEQVAKMLVIAGIPAMAYHAGLGKKDRNDVQEQWMSNEIPVVAATVAFGMGIDKPDVRAVIHWSPSQNLAGYYQEAGRAGRDGKRSYCRIYYSKQDKNALNFLVSGELAKLREKAKKNNAEGEKAAMQIKSIQTGLQKMLDYCESAKCRHVSIATFFDDTDCRPCKTNCDYCRDPTKTTRNAEAFVNSEESTGRSMFRRPGTSSGESGFDAIYGGGKRGGETEDELLSSSTSSKDAMDRMEREEARRVRGVIDSEFAKRRRQAPSVPAAARGAGASRRVEPAMDVSVIKPEQTVIKNVSLESRENWVRYLLRALESNWIVAGPPAGTTIKQCAEQLEYGMYSISKNETTYKNKCGHKLAEIKKLTMKNAPFHYTNTTIEQNGFVKAADLT >CRE13357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:115825:123626:-1 gene:WBGene00061605 transcript:CRE13357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-79 description:CRE-UNC-79 protein [Source:UniProtKB/TrEMBL;Acc:E3M8D3] MAFLLKYYTFSAKIRTLSEFQIRLSTNQQPPSNAEIITTLRYFQQTLIGFLKDFPATQTNYCERFSSDAARWNLYPNLNYSALYYAIVNLLDVFPLITSQPQAIGEAILDTIKALMIFLERDSLEQLPLLLASQLGVFPRELDKQIVHLLADCVFPFAINDETYVKLSVPGVLMLVLQQTHDPSLHTWIVESAMNCSQRVYQDLLQVIAKGTCESRVAAANLLFHYWPFPNPQILHRKTIQYRVHAWRTIQCQSTGCTDKSSSVKRCYDPVICADVADTSPPIFLCRKCAEQVTGERKVVTQHIAQPMPASNATCQRVECQSQSRLAVTICCSHECTRGHNHVPMRLCMDCSTLVHEEGTIKHLQHQGSGVVWSTDDQWPTVESIVKLLRETTMFEGNEGEGKKPKWLRQLDGGTSMGKEIDKMADERRMLSRFGVWLMAALCPPNETADPRAIGYIMQNVFEWFATTALLPSDSMGASLEQLKTDFVSDWINLGMRVHNPVFISSLCGECEEHDGRPVIERIKEGLGRLLALMPYDVISLETWSRVMPRWLEAIVNDCTEDNQPELKVLLCKIFEPDLCPLPFETSEVYGFMTGRIGGDDYDEMFNALQWLHQLSRLDITIPLHMILEHFNRCLQKLRTIEIPPLSENDLEEEEMSVHVVLVDTLVLQMKLNDAERSMTPVLTDKLFECMQLLVSIPIRAVPHSCHDPELDGFADCQQCQQTAFVQQMVMNITQKVCPKREVAIITTVDEDAIYDEQTSDTPTGPGSTMLSPLTESGSKGTSPGTSAPSRPFPDNLQTQTMCVAQACEQEEEVEECEFVGILPCEEMEVAMAEAVTHDNVLETGQVVTSTTVHPSIKGQIVQTPQTPVQKQPPSDFWVTSVGRFRFSFEQLPAQLKMIHSLLSCLDVAVEPDVEFFVMSSIKYLCLHCEALSNARREHRGFLIWTQENQMVPKLWERLRSDYIQVGELATHLLLHAMTLPCGEEMFWKMVHRDFTSPQWNVRFDAVGKAYVLAQMIKTAPVKANKVVQTCLASVFYHFIASLHDPNPSVAQRAIIALRAMPSHTLKLMCMCFESQFDHCIVDRPLIIHAITMMSILLPDQTTLTFDFFIQRFETLVLESQLSSQTEENIFVQGNSSIGSCDQTTKFQT >CRE13356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:110379:113976:-1 gene:WBGene00061606 transcript:CRE13356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13356 MCLLFNRVVDMENPERHTVYLVVSLFVTFLSNKNSTPTDEKANAKKQSLVFRHFNTLLGYSSTEKCFTIPPARLRKAAVCNAFISGLPEILDMNLHTGNQLLPTVVQLLIHLPSPQKLASDQNVTNYSLALLTQHTRHLWLHSLILILYKYRFDQLPVSENIVRLIGIVVKTLQNQVHECSDSDQSQEIDTWDEIEEDDGGRAELIRPESLTVTTIKEATPAAEGMIHIGAVRVMQPTIVEPEGLAPEPIISRKRSTINQEVKRKKSAIEVVKKSCTLRCGHCNEAIEMFDEETVSLCLIALETFLHREPSMAAPILFKILYTVTRLIDTPMYPWHSTEMFVPANSRSVAKQMLRVSLHHLSTSAICLQLFDTKIPRPDAFWSVIALSLADFPELSPVHFIQILMEDLEESWPGSVRLIMKNLAFYIVEISTDMYNKQWENLLANMETFFKRYHTAISADNATPPTRAEIENVIVVMSHVLKVQAASTSKSPVALVEAFSKWLSESLHGAEVSLESLLGVCTACNRALIRERDKQCVTRAVVTELMQAIKFKAKLHEANYVTIANMVLQDAGEDIEVPLLDDQFNTAASEAIRPFLFEVLDFIADLHVIAKLKKETNSDALGGDLKVKLAEAIAVEMSRSNARDCRTVIRFIPWLMSPPSVTQAAPGAFADSVTNVRVLSWLLLGALHANHSCLPVPIECSQHMADYIHFVLAGFADQSKQSVVHMSALFHAFHLCQLWTVYCERAATYSSTTAFAHLVDFWARVTPAILQLLSHSKVVSLADMVNLHFLNTIQALQQVNSALLCQLYSMWAPILTAYHSQIPNQLRMKLDSCQNQPSLEAPLVTEWLKKVRYKISQVELQTSAASPYYTV >CRE13261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:107781:109061:1 gene:WBGene00061607 transcript:CRE13261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13261 MSQEEVATNTFVNAELTGFLTQRADCRAALRVVQRLLETVPQEDRMTTQEEIQDLECTEDIVYDMEEFYTNREKRYTRYLDHLEYWGAMVVIFILGSFVTCGNLSKQGEEKMTLARTILSGPIMVIGYMVVVAYWGGILSANSEKDKEERQPVEDDTESSEETEWEDTMKMSELTNRQLEMVDTFANVIEPTRANISKTTCKILSIFTWFNVFVAAFSIFGIAIIFNTGLLYKSDNPLDAVDALFFMGLTCISVTLGTLLFEAYMIAAKANSGFFNGLDDDSELIQAIQKKLLEFVG >CRE13355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:93254:96234:-1 gene:WBGene00061608 transcript:CRE13355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-19 description:CRE-KIN-19 protein [Source:UniProtKB/TrEMBL;Acc:E3M8C8] MAGVMSVKDFIVATKYKLIRKIGSGSFGDIYVSINVTNGEEVAIKLESNRARHPQLLYESKVYRILQGGVGIPHIRWYGTEREYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQMIGRIEYVHVKNFIHRDIKPDNFLMGIGRHCNKLFLIDFGLAKKYRDSRTRTHIPYREDKNLTGTARYASINAHLGIEQSRRDDMESLGYVLMYFNRGTLPWQGLKAATKKQKYEKISEKKMTTSVEHLCKGFPAEFPMYLSYTRGLRFDESPDYMYLRQLFRILFRTLNHQYDYTFDWTMLKQKAQQSQTNAIAGTATTQNATGVPSSGVPPGVAPSGNTPQ >CRE13354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:92242:92914:-1 gene:WBGene00061609 transcript:CRE13354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13354 MSSSQGNNTISSVFRLINYFFRDEGLHRDFACLLYSMLQNKLTQERIFEIIKDAVCIEQEFLTEALPVDMIGMNCRLMSQYIEFVADHLLAELGCDKLYNVKNPFDFMENISIDGKTNFFEKRVSEYQRPGVMSTETERQFDLEADF >CRE13253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:68424:69701:1 gene:WBGene00061610 transcript:CRE13253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13253 MDTQEVSAIQRRRSSKASRILSFQINTSNSQFPNLEILPKMSTKKEIAEKRIKQLHLTPGTIFMNRWSIEGMIGSGGYGQIFLAMDTKKNEVRAVKIEPKMRMEMITKRMIMEMDVMLKMQGKQHVPLVYSSGYNNEFNFIVMQLLSENTGDIRKRSPAGRLSKETVGRIVYQTVNALKDIHEMGYVHRDVKPANICFGCHQQNRHILYLLDFGLLRRFKTDAGIRKPSRPNAGFKGTERYVSVRVHEKLEQTPWDDLFSVMYSAYELVVGEVPWRHLEDVEEIHAVKKLMNELNNNGEMFKDSASVLIDFHKMLVELDPNVDPPYEKLMNCAKVMYQPKELNDLYDWDEGFKLTLSDEKD >CRE13258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:89014:90173:1 gene:WBGene00061611 transcript:CRE13258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13258 MNLSICSKRSKMISCAFTMPELEISLMLCEDSKNIITVKQFDQRVNVPWFCPIPRRGTLHKLNVISTDIKENFGKVNETSELDWEFYHDSSVNLSAVLCNRLLECYGWSSWKGVIFQKNIEQSDFDKFPVLLPSAGTISFDKREVTRAELDTLFMEHGNIQLFSIDCRYPERYDNYGMWCARTVAFLHAPPNIEDLLKMDCEKLVSVHSFYKTSDVNRFLKHWLQSTNGNQKYLKREIRVSKVENDSNMLEDLPVTPWNPKQRGQFFHFRNPLFNAAVDCSKGFDLLRDDGVLATVMPGDNTFCFNIWNERFPDIPKEDFRPNSVFY >CRE13257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:86470:87217:1 gene:WBGene00061612 transcript:CRE13257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13257 MPKLEIQSIDFSKNKENENEVSESRKNVVMVKQFEEENITIHVFNIIATGIKEDIGMIIQSSEFEWEYHHDPSVNLTGILCNNISKFYNIKNPLKSFSARRELNNLDFDHSPNILSKPEKVYIPMESVSTEELDMIFSKCQNVQFLLTRVEIPDEYDNYGIWNAKQMYLRYAPPKIEGLLNMNYERLICQRSKLKTSDLNLFLKKWLQSDEKEDNKYKTHEILSK >CRE13352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:84789:85878:-1 gene:WBGene00061613 transcript:CRE13352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13352 MPKLEIQSIDFSKNKENEEEVSESRKTVVMVKQFEGENITIHVFNIIATDIKEDIGKIIQSSEFEWEYHHDPSVNLTGIFCNNISKFYNIKSPLKSFSAKRKLNNLDFDHSPNILSRPEKVCILMESVSTEELDMVFSKCQDMQHLLTRAEIPDEYDNYGIWNAKEMWLRYAPPTIEGLLNMNYERLICRRSKLKTSDLNLFLKKWLQSTEKEDNKYKIHEIKLSRIENDSNIFEDLPVIPWNPRQRGQFFFHRNPFQNFGIDCSRDFDLLRDDGVLATVSYIRIPHLYDQFYFYVWRERFHVIPNDALFDPAIIF >CRE13256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:83684:84167:1 gene:WBGene00061614 transcript:CRE13256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13256 MAKIPSLAAKISTDNFGKWFPDNPAPKILKYLIKNRSKQPELTAAHQYTRGYGHPMLVDILAKMYSHFYSVQVDPMNEVLVTVGAYLSLYYAFLGWINKGDEVLIIEPAYDCYYPQVKFAGGVPVPVVKNLAEGATSASHFNIDF >CRE13351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:81204:82330:-1 gene:WBGene00061615 transcript:CRE13351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13351 MTETRGFPLFSLPYVALKDVVLSLDIFSRLNLSSCSKKSKSIAGSYKMSTLEIESINFCENSEHIVKISEIYSSPEPKITHTLNIIPSNIEANYGKIIEISGFEWKLHHNSPMNLIAKFSVFYKVPQSKSVGFNRDVEKSDINDETIVLFNTRKLGIQKEKLTTEELNLIFSKLHDIQVFSIWSEIPEDYDNYEIWKAKEIRLRDPPRTSEDLLRMDCEKLICNGPKFKTSDLNLFLKKWMQLTLKKEKTYLKREIAVFRVETDSNLYEDLPITPWNPNQRGQYFQGHCQYFHNFSIDCSQGFDLFRDDGVLATVIFDHNAFKFYIWHERFPVVTDTRPCY >CRE13350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:76858:78016:-1 gene:WBGene00061616 transcript:CRE13350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13350 MSEHRRFPLFSLPQLVLKEVVLSFDVISRLNASLCSKKSKSIAASCKMPHLEVSMCLYESSKNTMKVTQVNRYPELETSHILNVIPTDSKEDIRKVTQTSEFAWEFYHDPSVNLTAIICNRLLELYGLSSLKSVIFQKDVEQSDFDKFPVLLPNAERISIQKKEITQKDLDILFMNHDNVQSFCLRHPYPQGYKTWKARNLWLKGGLPKIESLLEMDYEKLVCRQSFYKTSEINRFLKHWLQSTNCGNHRYFKREIRLFRVENDSNMLEDLPVTPWNPKQRGQFFHFRNPYFNAAIDCSKGFDLLRDDGVLATVMPSDDAFTFNIWNERFPDIPKKDFYSESCIFPNLVHY >CRE13254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:74924:75983:1 gene:WBGene00061617 transcript:CRE13254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13254 MNLSICSKRSKRISSAYTMPELNISIELYKDTSRALHLKSIIYVNEVRKGGISHWLHVIPTYIKKNFGKIFQISEFEWKFYHDPSVNLTEILATRLSGFYGWNSLRFVIFGKDIEQSDFEKFPVLLSCVEGIIIRKKRVKREDLDTLFMNRRNIQSFNIEGRYPVGYDNYGVWCARVMVLLHAPQNIEDLLRIDCEKIGCAVTGYKNSDVNRFLKHWLQSTNGENHKYLKREIRLCNVENDSNMLEDLPVTPWNPKQRGQFYYCQNSHFNPAIDCSKGFDLLREDGVLATVMPGEGEFIFNIWNERFPDIPKDDFPSNSVFY >CRE13349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:73083:74492:-1 gene:WBGene00061618 transcript:CRE13349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13349 MTKTKIFPLFSLPYLALKDVIISLDVLSRMNLSICSKRSKRISSALTIPELQLSLILCEDSKSIIEVIATDQREDVDVPLMEHVWNMIPTDIKEDFGKVIEISKLEWEFYHDPSVNLTGILCNRLLEFYGWSSWTEVVFQKDIEQSDFDKFPVLLPSAGEISFDKGEVTREELDTLFMKHGNIQLINLDCRYPEGYGMWCARTVGFFRAPQNIEDLLKMDCEKVGCAVTGYKNSDVNRFLKHWLQSTNCGNHKYLKREIRLCDVENDSNMLEDLPVTPWNPKQRGQFFHFRNPHFDAAIDCSKGFDLLRDDGVLATVISDEDEFTFNIWNERFPDIPKEDFPPNSVFY >CRE13348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:70401:71538:-1 gene:WBGene00061619 transcript:CRE13348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13348 MSEHRGFSLFSLPQSVLKEVVLSFDVISRLNVSLCSKKSKSIAGSCKMPHLKVSMWLYESSKITLKVTQVNQYPELETSHILNVIPTDNKEDIRKEIQTSEFAWEFYHDPSVNLTEIICNRILEFYGLSSLKSVVFQKDVEQSDFDKFPVLLPDAGTISIQKKEITQKDLDTLFKNHDNVQSFFLRHPYPQGYKTWKARNLWLNGGLPKIESLLEMDYEKLVCRRSFYKTSEINRFLKHWLQSTNGGNHKYLKQEIRLCNVKNDSNMLEDLPVTPWNPKQRGQFVHFRNPYFDAAIDCSKGFDLLRDDGVLATVMPSDDAFTFNIWNERFPDIPKEDFPPNSVFY >CRE13252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:66696:68163:1 gene:WBGene00061620 transcript:CRE13252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnr-2 description:CRE-RNR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M8B4] MTLGEIQNIEKENAAVEPKHSSKKLKLEQDIEKLSIVEKLKDTEKNEETEGNALDANEPLLQDLDNRFVIFPLRHHEIWQFYKKAVASFWTVEEVDLGKDMNDWEKMNGDEQYFISRVLAFFAASDGIVNENLCERFSNEVKVSEARFFYGFQIAIENIHSEMYSKLIETYIRDEKERLTLFNAIFEFEFIKKKADWAMKWISDKKASFAERLIAFAAVEGIFFSGSFASIFWLKKRGLMPGLTHSNELISRDEGLHRDFACLLYSMLQNKLTQERIFEIIRDAVCIEQEFLTEALPVDMIGMNCRLMSQYIEFVADHLLAELGCDKLYNVKNPFDFMENISIDGKTNFFEKRVSEYQRPGVMSTETERQFDLEADF >CRE13347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:64422:65143:-1 gene:WBGene00061621 transcript:CRE13347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13347 MSSSDRRFSVTLSEDDLRPHMRKPSLLRTLETSEPPKLESLKEKSEIEELRKKMEKREEVKREVKRRVEEEEREKERKKRDEEIRKETQELLAIRDVTSYGNNATNPLPLGLPKLGRRVNNFQYFRWDSDEEIPVIPLERAQSSLRTATYSPFRAPSSSSACELCTLALRSLDKSGAPTKSAACAHVDAFVASSHHH >CRE13251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:62647:64100:1 gene:WBGene00061622 transcript:CRE13251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13251 MTEGGDDQLVSIRKQLENLNDATDDINSYEMKLETVKKQFCETQLMFNKEISGIPKKLAKQISKSRPYFDLKSREPEIRKCVQQAAALFERQKTSVEMAREQVQILHCSLNNNQELDAEKQYVEVIEQQLDLVKEAEEECLKAEKMHAARVRDLLQIEMALSKLLQEHGSSIKKARPYYERKEVLTRTMNSQLELMSILEHEVQERKDSYSDSMRVLEQISEQIHQERASQSSLAQSSDAESDSS >CRE13346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:3871:24950:-1 gene:WBGene00061624 transcript:CRE13346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-103 description:CRE-UNC-103 protein [Source:UniProtKB/TrEMBL;Acc:E3M8B1] MNSSVPMIDLSSGDDEEVDYAMMRSYLPMPGPSDGEMEVPSLLFGNGNLCRSTLSINQPSMAPSPFSEITVSSQRPLVARSGSNSSEHTALMKEALKKKPVADIAHVSTVLSLGADVLPEYKLQQTRVHHCTIVHYSPFKAVWDWIILLLVIYTAIFTPYVAAFLLRELQDTTKKSRFTEPLEIVDLIVDIMFIVDIIINFRTTYVNENEEVCEGQVVSHPGKIATHYFKGWFIIDMVAAVPFDLLLVSTNSDETTTLIGLLKTARLLRLVRVARKLDRYSEYGAAVLLLLMATFALIAHWLACIWYAIGSAELSHKEYTWLHQLSKQLTQPYTSTNGTVPTGGPTLKSRYVTSLYFTLSTITSIGFGNVSATTDSEKIFTIIMMILGSLMYASVFGNVSAIIQRLYSGTARYHTEMSRLREFIRFHQIPNPLRQRLEEYFQHAWAYTNGIDMNLVLKGFPDCLQADICLHLNRNLLSGCAAFAGSTPGCLRALSMRFRTTHSPPGDTLVHRGDILTGLYFIARGSVEILNDDNNVMGVLGKDDIFGENPLLYDEVGKSSCNVRALTNCDLHKILRDDLLDVLDMYPEFAETFCKNLTITYNLRDDAQSLRKKFDRHKLLRMSSSLNKDRHTTPPDGESRNPTAVRRSAESVSRCDSNPIDRRQSAGSRSSSRCSPPHAALTATRSEATPLLRRPIQHEEDDALFDDIRAFARGNTVTVSPTVAGNSVSPTTAIVNNDGTQSQQLSDRSDEYEDRRSYMFGRRLENIESQIERMQNKFNSDMETLIKLVKDQAMARNNGSSNEGDQQYPRHRPNNYISSAIRLPNGGGGGVVEDMRVSRLSSHEPPTPTQESDTIL >CRE13250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:1179:3126:1 gene:WBGene00061625 transcript:CRE13250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13250 MEIQRELKTPCFSLLHLPFVAMKHVLQCMDSTDLLRTAFVSKRMGRYTKLATARIKLIEIEFTNNRSTINLLDFGCLVESYKDKDIMREKKNENDRNYSLMPWINMRNGSILENTAKISNLIRNTFECSNIDLVIAEDVLPKKTEEILEMFQQYRELTYKPRSITTTALNKIMDSANLQHFLNIAAEIPKDFNHKNKFKFDNAQYQDATWIKLEDILNMENVRGVQLVRNNFTQSQVNTLLKRWLASDIDMFYWFILELNDGIEITEVLDELLTFKFRRDAMTIDFTLAKTTSSFRERQILVICRFERYMVLTGWRTDKVITECGEDIYERDDIYDNAYNILKLLKRKQEIDTELEKNDLELATRRRLVEDVKKLVAELEEMHVIFENEQAFVI >CRE20276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:331:624:-1 gene:WBGene00061626 transcript:CRE20276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20276 MFVSFFFFFFLLPSQFFHPSRHRTFRFLVNHSYCLFSVISTFLYSHCLIDNMGNVATRKRPGCHHDVRNEENEEEDGPARKKLRIGETRTENEYQKV >CRE20277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:2059:3375:-1 gene:WBGene00061627 transcript:CRE20277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rfc-4 description:CRE-RFC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MCH9] MAEQIQVDNKKSKVLTWTEKYRPKTLDDIAHQDEVVTMLKGALQGKDLPHLLFYGPPGTGKTSTALAFCRQLFPRNIFQDRVLDLNASDERGISVVRQKVSRFIVLLSNHSLQIQSFSKTTLSTNCKEDVLKLKIIILDEVDAMTREAQAAMRRVIEDFSKTTRFILICNYVSRLIPPVVSRCAKFRFKSLPSEVQVQRLRTICDAEETPMSNDELMQVMEYSEGDLRRAVCTLQSLAPILRSGDENARNCYLRGSSDSALISNLCKSVRVSNVPQIISLTKSITKSCTGVAFMRHCFQQMLQENIINDENIAVMGKLVAVSFCPEARVNFTIQTCEKRILDGCDLENNLLNFLLTLRETIQ >CRE20182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:3809:5517:1 gene:WBGene00061628 transcript:CRE20182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eef-1A.1 description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:E3MCI0] MLSSVFTFCCLFCFFFHKIFSVGKHFAHSVAAMGKEKVHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAQEMGKGSFKYAWVLDKLKAERERGITIDIALWKFETAKYYITIIDAPGHRDFIKNMITGTSQADCAVLVVACGTGEFEAGISKNGQTREHALLAQTLGVKQLIVACNKMDSTEPPFSESRFTEITNEVSGFIKKIGYNPKAVAFVPISGFNGDNMLEASPNMPWFKGWAVERKEGNASGKTLLEALDAIIPPQRPTDRPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFAPQNVTTEVKSVEMHHESLPEAVPGDNVGFNVKNVSVKDIRRGSVCSDSKQDPAKEARTFHAQVIIMNHPGQIAAGYTPVLDCHTAHIACKFNELKEKVDRRTGKKVEDFPKFLKSGDAGIVELIPTKPLCVESFTDYAPLGRFAVRDMRQTVAVGVIKSVEKSDGSTGKVTKSAQKAAPKKK >CRE20183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:8346:12793:1 gene:WBGene00061629 transcript:CRE20183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-panl-2 MATAGTGQANEWRLAAPHFSINTNVESSVNCIKFDPHEELFWTGSAAGKVASFLPTNHFSRYSAFVVSKVSGVNSLEPTENALFALTDTSLRVTSKQGIPIGKYTSQSMTRMMAMCRMPGTSTFVMGGFQEKLIHYDFVKEKEIRTTDLKEGEHSIIIRYNGTNTFTADSKGNVIVKSPKNFETLQVIDCHQDRILDFDVQGSKLISCGVTMNRQQNMDRFVKVYDLRMHKALTPCTSQLLPQFVRFIPSYCERICIMAQSMNLIDPGNNWGSHPASLRMFDLASNGNHVEFGVETSIVTAFDYSSSKNFAAIGSHVGVICAYADRDQPIVNDSSKETIFAAPPAQPPISFAIDDTTQTFGSIPFPFSQGPLVSDWPSELTQIVHRRRKPPSDLTNVKSIHYATQIRNPRINSKLKQHNIVPYFLEHIESVIEVHDVQKIVEQSEHRMVKTRVSKLYKKRPPPVNHVPSRRGNSSDETPETYTWNTIRHITMQSTHAMNLVANTVVQVVYSLSPLRSIVMRHICTNDTCITCELHFLFNAFSSKIGQSDGIITTNLALALTRNGVSLKTGGVLHAINEVIKTILDDVAEKDINASVSTKFNRHLCCIRCRGLQTVEAYNDHLLSLNYGSLHQTSLCQLIEKSLHLGPETGEKDCEDCTQMSRMECKRKVRELSPVLLISTNTSSEDYVDFWRRQLSTHEVRPDAQTNSFGSVPESPSEKKRCRYGEDCRNKKTCKFAHGHIDWSSEQSKLLEHVDSSQWSHYIPSRIAAQVCEGIVRISDISDIPGYDEPTAIIYELDAMIHVIGNGEHEVKWTHPVTLLRESPVASTAWTLINEQLVSRLHDHEARYVDGRWKLPALLVYRQKGDEILFSEKTFSDDLFFSDDNLAMNGTESLAIKSMKDLPKAGELVGLDAEFIKIKTDMLEFDGRSVQVRAVGRASCVDATGQKVIFDDYVRLTDDMKVVDYLTRYSGIVESDLLPASSDKYLTTHKRLLLRMHVLIQRGVIFVGHALHNDFTVINVHVPEPQIIDTVVLLRLEAQRMLSLQFLVKEMLGEIIQTAEHDSVVDAQYALKMYRKYLELRDQGILSSEMRRIYTILPSCNSPSQTSSPLIVSTLRKTPDDPADAAPQTI >CRE20279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:13127:13987:-1 gene:WBGene00061630 transcript:CRE20279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20279 MDTPSVDIQNIRAKYNNSQEPYLLEENLPTTDPFSLFDTWFRDVASQSDLTFEEINAVSLSTVGKDLRPSSRMVLLKAYTPTGFSFFTNYTSRKGHQLEENPNAAMLFYWPKVNRQVRVEGVVEKLPDEMAVAYWNSRPLASRIGSKSSLQSEVVPNRQFLESKKAELTDLAEREGSHAITKPTAWGGFHLIPRYFEFWQGQSDRLHDRIVFERDMDIWLLKRLSP >CRE20184.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:15907:17718:1 gene:WBGene00061631 transcript:CRE20184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-6.1 description:CRE-RPN-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MCI4] MSGGTIMDTMTSLPHQNDQNVIRHLTNLVKSPVSGDDDIKKKEDSIMELGNILAQNKQTEELRKMIEQTRPFLVSLGKAKAAKLVRDLVDLCLKIDDQDGDIKVGLVKECIQWATEQNRTFLRQTLTARLVRLYNDLQRYTQALPLAADLIRELKKVDDKDVLVEVELEESKAYYNLANIGRARASLTGARTTANAIYVNPRMQAALDLQSGILHAADEKDFKTAFSYFYEAFEGYDSVDEKSAALTALKYMLLCKVMLDVPDEVNSLLSAKLALKYSGSDLEAMKAIAAAAQKRSLKDFQVAFGSFPQELQMDPVVRKHFHSLSERMLEKDLCRIIEPYSFVQIDHVAQQIGIDRSKVEKKLSQMILDQKLSGSLDQGEGMLIVFDIVTPDEAYQTALDTIHAMGEVVDALYSNASKIN >CRE20184.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:14354:17718:1 gene:WBGene00061631 transcript:CRE20184.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-6.1 description:CRE-RPN-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MCI4] MSGGTIMDTMTSLPHQNDQNVIRHLTNLVKSPVSGDDDIKKKEDSIMELGNILAQNKQTEELRKMIEQTRPFLVSLGKAKAAKLVRDLVDLCLKIDDQDGDIKVGLVKECIQWATEQNRTFLRQTLTARLVRLYNDLQRYTQALPLAADLIRELKKVDDKDVLVEVELEESKAYYNLANIGRARASLTGARTTANAIYVNPRMQAALDLQSGILHAADEKDFKTAFSYFYEAFEGYDSVDEKSAALTALKYMLLCKVMLDVPDEVNSLLSAKLALKYSGSDLEAMKAIAAAAQKRSLKDFQVAFGSFPQELQMDPVVRKHFHSLSERMLEKDLCRIIEPYSFVQIDHVAQQIGIDRSKVEKKLSQMILDQKLSGSLDQGEGMLIVFDIVTPDEAYQTALDTIHAMGEVVDALYSNASKIN >CRE20281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:22006:30871:-1 gene:WBGene00061632 transcript:CRE20281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-711 description:CRE-LET-711 protein [Source:UniProtKB/TrEMBL;Acc:E3MCI6] MIRNRENMERPVSKRGVISLLSKMPLGYVLNSCSCAYIDNLLAHSSVHRAKPHSFMIQRLVVICGRSSPAVANHAANIILSAFNFCQIDAPAKKFLDEEAALSLFHTILSYATVTQEARSVMEIRGAQRLTAALCDLIAAYTKIMDDMEASKTLTHLCKKLATVYDPLVVLPFISKLAKSRRLRHYLQPLFLGHCEYTSDTWGVSPESAEIYNHISRGNFSTQTLIEIVQTFLEKEVKEVIISSSTDPIKLVQYLISCSNQNNAEIVQALAFMLYSNTKLIPNGTGGNIDMDVQSADTITTARLGDAKFTQPVKDALLNSGRDALRRRLEIYGPTLLSSVELFVEELKQAPIQRRLITNSSIANAIIFMNQCNYDLEVGIDKGRFETPPYYTGGANFIIGLTKYIEEKQIATENDDEAYDWYPDINWIEVIKELDTEEILICRRTLILFSEIFPIMFQNDPQGFPVSFFYTPWKNWERQLHLYDHMIEHSDVWNLSMYPHTKVLTPELNLKTIPDDTPAAVQLWNCQEFSNLLLTIGNLQPQMLPTIRQFFSFGVMQSGDVSTLALILSQTQWTPIRQELVRHFLPQFILKSPNVTPILNLAWNDPSLSKHMRQHVIWCLTAMYTSDNSQLAKILDVAHDIKPTGLSELLNQPAKNLPFMVDLACLASKRDYLNLEKWIEDKEKTHGEAMTVAVLQYIHKKYQQSQMVAAVVPKPKTATPGVPSDPLHVLIPFVIKKARKPHRQQFPLLFQVMKENSGRSSSVSSGGHQNVQQASGSQPSQQYVSGAGLPSSSAVSQQQPPQQASMQQQISQQAIVSSSAPSQHQIHSQQQPVPGPIQRPAQYPPQSMFPPQPQAQQHPHMMAQPPPSASQNAQPGMNLLMNMGQFSSGNNRDLLKVVQPAPPPPSSMSPSSQMMRSLIPPLAQRQNSNPGWHAAPTTPLQRPSGPPTPQQQIDFRSQIQDFVPQGQHQLQRSGSVSGRSGGSGGQKTGVSSSGFSVGAPIAGSAAAVAAATAQQTSMDDFSSMTFAEDIQEEANSYFEKIYSVNNAMSVDTLIDLLKKFKAGNDRRERFVLACVVKNLFEEYRFFHEYPERELRTTAAVYGGIIREDIITNVQFATAVRKVIESLSADSNTMLWTFGIVALQHCRSKLCAYPKVCSMIVNSDNFSKFPQLLKDYVIAGVKGELPPEGGRHTPVGTHSPSASSTPTPATVPTNWGAVARASSTDPKNQVPANRTGNVLSYTNVDTLVMATNKDGAEIAQPAEAIVDKISFLFNNLSTTNLVQKKDEVLEMIIEHGEGFTRWLSQYIVMKRVSIEQNFQPLYNQFVTAIDNPYLDQCIKRETFRNIRILLRTDKKTTVASNYSDRQLLKNLGSWLGAITIARNKPILLNDLDLKSLLLEAYYKGQAELLFVVPFISKILTACSKTTLFTPTCAWIRSILKVLAELHNEPDLKINLKFEIEVLCKELNVDLSQLPLDGILKDTEKLVRVPQQLCEVKVLGRPEAASPVQSQIRLSNSAEQLAGMAPAIPDQAKPATPQPTEAELQAGAGGSGSQGAEAQVVPNVTHFAYHDINVLTYDGLIPHVKIVSHLPLFQLHPHAKHLVRPAMIHAIKELIGPVTERALKIAMTVTESLVRKDFALDPEEQNLRAASFHMVNNIFSFVSKIT >CRE20185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:31972:34541:1 gene:WBGene00061633 transcript:CRE20185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abu-13 description:CRE-PQN-46 protein [Source:UniProtKB/TrEMBL;Acc:E3MCI7] MWLLLALLPSVVLTQNTFQNTIFDLPAQLGGEPVGVAAQAQGAPLFVQTVDQKPPAPVAAQLPNAVPAAPQPQAVTPAPFTFPTLPTVAPFTLPSHPTLAPFTLPTHAPFTFPTHPPLVFPPPSTPAPFVPPSPYAFVPQQGYQQQNNFQPYNGYPQQQQGNQYYNNNGYYNQQQQYNGYNNNNNQQQQYNGYNNNNNYNQQPQQVVQQPQQPQQPQQPQQSQQPQVPQVPHQAVQQVQPQQQLPQQPQHQNGGPQQVQSFESNSQIVQPVRAVQANAQVVQPVIRPAANAVATAIVDTDSSAKKATEFNKANGIEQPKPAHAEKASLDAEVDANVDRHLWFAADYDVKQCRKSLNGIGVRFQKKFPSYLQKGGKDRELAELVEQRLLECERKSSASHWDKVDTLLQKISLTKSEEGECRAGLIQERISCVNLLKYTCQFVDSSYHFKLVPARITIQEARQAESGAEKCRQVIRIVKQRLESGAVTL >CRE20282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:34768:37300:-1 gene:WBGene00061634 transcript:CRE20282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-coq-2 description:CRE-COQ-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCI8] MKLRFYSIKMIIKSIGLNGKAQYASWVVRLSTNSHISCATQIPSTKRFISTSPTQCTSLIPRASSLVAASPPNLKPYLQLMRVDKPIGTWLLYWPCTWSIAMATPAGQLPSLYMLTLFGTGAFLMRSAGCVINDLWDKDFDKKVERTKLRPLACGSLTEKQAVGLLAGLLSSSLAILLQLNWFSVAVGASSMALVVGYPLAKRYTYWPQFILGATLNWSVLIAWAEMGHIKDFGIFLPLYTATILHTVIYDTIYSHQDKADDIMIGVKSTALRLGEDTKKWLSAFGVGTVASLTACGMASDQTWPYYVALAATTAQLGWQVGTVDIDNGSDCWDKFKSNSWMGIILFSGIVASTLLKENEDSKELKNKLKVAEDEMDDVTVN >CRE20283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:39854:41485:-1 gene:WBGene00061635 transcript:CRE20283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-byn-1 description:CRE-BYN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCJ0] MVKKNLKGRGDKLSANAPLDNQLEAGNVAKQREELREKQKRKREESETDEFVPNSLSSKILNDARKQLLEEAIEENGDADSSTARVPKRQRGSWLGGDEQSEGDEEDNEDEQEEDNGFEDQIIELDPQDEADLARFLKKDAAQMSTLYDIIQAKIESKQNDAELALSQIDPNEFNMRDMDSEVVEMYEQIGQYMSKYRSGKVPKAFKIIPKMINWEQILFLTKPETWTAAAMYQATRLFASNMNPKMCQRFYTLVLLPRLRDDIDEFKKLNYHLYQALCKAIYKPSAFFKGLILPLLESGTCTLREAVIFSSVLTKVPIPIFHSAAAMLKIAEMEYTGANSVFLRALIDKKYALPYQAVDGVVNHFIRLKTDERDMPVLWHQCLLALCQRYKNDLSAEQKAAIHELIRVSWC >CRE20186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:37905:39757:1 gene:WBGene00061636 transcript:CRE20186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20186 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MCI9] MGYLRTNLFSSIHLVSKLRNFRTVRVSMNKQNVNHHDQKKKKLPNQGDAKETDVISSLKKSSSTIFSQIRQAYNKKGPQEQQCRKTKGMETSEQQWFHGLLPREEVQLLLQKNGDFLVRMSEPGAGQTRHLILSVMQNEPSADAMHYVIRNSDGKFSITGKENFDSLIDLINHHKQNRLHDETPTSVLINPIGRQSWELNHADVTMTKTLGEGAFGEVKLGTLKRESETLDCAVKTAKLEKMTKEQIKEITSEARIMRGLNHKNVVRCYGVAAVDEPLLVVMELVPGGGLDGYLQKNSVSWPEKLDIITQVAGGIAYIHSKNIMHRDIAARNVLYGKGVAKVSDFGMSRIGTEYQMNPNKKVPIRWLSPETLITFVYTQQTDIFAFSILCWEVIENGTQPYPEFKVVEVHQKVAKDDYRMPIGDKVPSQLADVIKKCWTRNANLRPSMSQVVLMMTAITGKKETTTPSKSGGISAERAKIFGGVGVSPASDPNREKTIVKTGRRNVKKRPTCQPSGGNSGAMLSSPSSSKEKKKKGGKTKH >CRE20284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:42003:43596:-1 gene:WBGene00061637 transcript:CRE20284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inf-1 description:CRE-INF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCJ1] MTDAKNDVNGSSVVDADGLIEGNYDQVVESFDDMELKEELLRGIYGFGFEKPSAIQKRAIVPCTTGKDVIAQAQSGTGKTATFSVSILQRIDHEDPHVQALVMAPTRELAQQIQKVMSALGEYLNVNILPCIGGTSVRDDQRKLENGIHVVVGTPGRVGDMINRNALDTSRIKMFVLDEADEMLSRGFKDQIYDVFRSMPQDVQVVLLSATMPSEVLDVTDRFMRNPIRILVKKDELTLEGIRQFYINVQKDEWKFDCLCDLYNVVNVTQAVIFCNTRRKVDQLTEQMTNKQFTVSCLHGDMDQAERDTIMREFRSGSSRVLITTDILARGIDVQQVSLVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTENDARQLKEIETYYTTQIEEMPESIADLI >CRE20187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:44887:48092:1 gene:WBGene00061638 transcript:CRE20187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flap-1 description:CRE-FLAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCJ2] MSSYPSSGRRRPITRTEAEERALDKISREAEARMKLKRETREQARQGRYQLLEKKVEEDAEAFRHDTASTSNTNGVSSSTNQTQEQLHDKVIELQDRVQQVMFLYSQLDNEKSTLLYEVDLLKDELEEKDASLNLSSRECRDLTSEVKALKRTIDALHATQQQLKSEISQRDQLIQENGLCLVEEDPEEEGSESSNNASGEIRSGPYLFKRETIRLVDRVVPGAASLDEKVQKLIDTNKKMRKDYEELEQTIYTQRHARNARDSANVMPNQGVDDVNKDAAKHLAEMKLKMQDLERENTNQQGNVIRMEGQMKRYKSNADAAEKELDELKTQMRQTKKELRDKENALDEQKETNKHLQSRLEKMRMQRTGRPL >CRE20188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:55533:56665:1 gene:WBGene00061640 transcript:CRE20188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdf-1 description:CRE-PDF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCJ3] MNRFTVSMIALLAVFCAVSTATPLLYRTPQMYDDVQFVKRSNAELINGLIGMDLGKLSAVGKRSNAELINGLLSMNLNKLSGAGRR >CRE20285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:57968:59972:-1 gene:WBGene00061641 transcript:CRE20285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-6 description:CRE-TWK-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MCJ4] MNETPDVVEGDAVSKCLKIFPIDKKITIEMKRKAEKCIRNESVIDDFGKAFYFSWTLYSTVGYGNLYPHSSIGRFMTAFYSLLIIPVYIAFKFEFGTFLVHFWITAVKHTGNYFKILFNRVMNRVFVRVPIDQENLKSLHHRDRFIFFSSIVLCLICLISSSILFSICENISFSSSIYFGIISMSLIGLGDIVPTNLIWFIAYCFFFLITDILSNHFFYFCQARIRFFFHYFARRLLLKDRRNLKSESTVSIKNVPVINSQCMPSLKSMASDKSKEKPVVHTPPTNSGPSGGELEKKKAPKANEPQMAARDANDNETINDAKSDWGELPA >CRE20286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:60406:62986:-1 gene:WBGene00061642 transcript:CRE20286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20286 MTQRRRGLSFFDAQASNVKKIAVMASTVAFEFNKATLKLRRSLHVSPRNSPEVQRKSTAGGDTEIESPGSTQSTSRKSSKRNRQLCAELSSFALYPLFGALSPSHFSSPNLLFQNEKKQPKEVVEFLQLSDTDMYQIMCFLNPRSLLNLSQTCGRLRQLCLSHEENTEKRDVTSHEIFISFNQIRRKTEVRLLKRERTCTDPQFTGNTIRELLAPFSRALTRITFETTVFVTDWLDEILELHQQNRLIPLSLVFTGGALTKGNQLTGADLRSITETEFVDFVAKLQPHLQEVQLSTSRYFLKVLFKMFIYLYTRMFKMNTDPPHLLAMITMLSSFGIVYERPPLRFYYEEISDAITFWKSDSLSRSCDVYMRRPHDVSIDTWINLSGSIDESRIDPYTDEILVSKITIKHSFLVHIDLVFHFH >CRE20287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:63800:65636:-1 gene:WBGene00061643 transcript:CRE20287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20287 MSFLRSVGDYFNEIENLNYGQNWKNGEKMSKLLSLEDEHSKHSFLQVNDYGEKNRRCRVAEDEALDEIVCLHLHVLYNVHEAKDLITAQSTQIRIIQVFNKEILQKRRDENWFLPIFYRLCTDLRWLSKEAQSCASGDDEGDSNADSYFESAAKAITECYRTCVSDVHAEEGRTKKVAMLNMTNQLFQIYFQINKLNLLKPLIRAIDNCGPLYSKFLMADKVAYNYFLGRKALFDGELSLAEKSLMYAFRNCPAECMSNKKKILIYLIPVKMFLGHMPTASLLHEYRLDEFQEVVAAVKDGDVGRVDAALTKNEPFFIKCGIFLVLEKLRTITSRTLFKKVSQIIGTTQIPLEAFLTGLRLVGVTDVNMDELECIIANLIAEKKIKGYLAHQQQKLVISKTNAFPTLSSVTSS >CRE20289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:68284:72309:-1 gene:WBGene00061644 transcript:CRE20289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-brd-1 description:CRE-BRD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCJ8] MFENTKRALEEFQTKIECNKCRTTKKDLQYLGTSCKHAFCWDCISDFSQKIPGRRSSVARHQCPTCAFPLDTTKIIGAHMLNTCYESLSDLRNLLDKATTSQMTQVDIACTQNIFDKDAHQVSGNQGAIDRFLETQTHMPDELGQLNDEESTIVPKVENRENSHSPELDIFHDYSADVKSARSSVKRSSTSTEHERKPKRSSVLKTVKNEPAEPNLFASQIPQRTHENDLLTPFLEVWWFLDDPNTQIGLQRRSTAPYSSGVGKYAQSFGSSKKDENDPFTTTIVLPKRQASLEQLSIKTPKVEPVEEPEEQPSISKRSRKVSVKMENIERRSQSPMSFGEKSMSLKPEQRRSSFGTRRGELVIINSIQNNRIPQLQAAVDAGTCVNEKDNKGKTPLYLAVEYNSLEAAKILVEAGAVINASCEGTSSQRKLKTKLLPGGSTLETTLHEAVRRSNLPMIEYLLSKGASMKIRNSVGKTAEDLAKNDPKAKKIMEKFKTEQRALQPGKGKLIHFSLKLNFSVILPPKSRIYFVQLIDEKMLSDSEKRKLPGKINVRFPLFFRFILKLSFQLIGSDMNTQTHVVVAVDPKTRVLNINKDHIGEVLRAIVKPGMIVSHDWLKACITDSSKVDDDYSYLVRKVRWMESQIFENTIEIWKKTITKMQPGLFAGCKFYIPKPKYNFLDRPTLIEIVRSGGGQASARDTLLNEKDPAPYHNSRLKPNFVIYSLTHDIGEKFRDCAKYNLVSEQWFIEAILSCSISTPPH >CRE20189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:72578:77525:1 gene:WBGene00061645 transcript:CRE20189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20189 MRPPSDLLDIISKLAELEPTIRKGAVRELVAKQEELSNEEINNYVIERLISGCSSARAAVRLGYTTALGTILTSEAGKLWDLNRVLSVANKKMDLAEKTVASGHAIGHYLILVAYCQSKKLKETEVTSVIEHSKKIRDVAPSLAFSQISLICSLSEKVKDSIFQKSVAPAVEMYLEGLSSQFTPENIYLALRLRTSHSATVVKYAKFVKKDGSCQFSDDQYPKLLAALKRCDYATLQVFLPLLIKVSVESSTFEKTYQKVLEPWALSSNETKVFDRILSIVTQYFEFGGEVKSIVVVFTKEILNRMETATRGKGQFRLMSKKVEDFASFIKSKFADLRDEEAYTTLKALEKCGNVDKACGTGLTTGLLSNLGKKQISVWIKENLTKQEEVRKIVTAFSNWDESSRVTVLTALLAQKATETSQAVVTSIIDSLFYVKTRAGEFASIQISDKNEQVLRQLVVAVQGEEDVKKAEKTIGKAKLRKKSLLILWYVTRLWSKIAADSADSEAYDSNSGEILMIAKNESDGNNSLVFVDLLLSILSREQKFHRTPTSFAFVHAIPTLESKDLSHIVEVSTLYRFVLRTLLVFQTAMMSEAELAGNGDEDMEDEEGMPFDESDIPNRNENDEEDSDSDSDEEEEEDDDEENEAVDQELLDKLNAALGDAAPRESSSDVEMDDFDDEEMQKMDERLAAAFKAVAPKAAKDKKRSAKNVEALKMKIADLLLIAISSKELSEQNKIQLVVPLIKWAKVDAKTHDKVAQKALELVNIVVKMKFTDISEKDALQLLKNVLEEAQTTTNLLIIDAVARCVTFVIKTSSRDGKSMSAGVRTEFQKLFESYLKNVEGKVPSNFVIQPIADLPLLFVDQLGMLLDAGFDEQNRIFKRTEILGATSMIFSKQVLQDITIKAAIVKKIGKLAASYFQSVVDSDKSELKPRLFGTVLQLVLKVTACVQNDEKHVDALRETLEPTICKMSEGEVFIQLKKINPTCHHIIGKSIPGAFTSIKKSLNIEN >CRE20190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:80014:83699:1 gene:WBGene00061648 transcript:CRE20190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20190 MELQRKRSFNSSTVSHDFRKEYKGSFLEKKGRKEGKKNGLKSIAEWIMTKASSTKKTNSSGTSATSTNSASHKSVVGAKIVTVQEKSSYFDCVPNEILFQILENCKSSESSTTLSARRVSRRFNACMDHISEVKVNVNFVESESNIGHHTCFKQFVFQNVPLSDELIDFLRRLFQFADISKLIQLSLSQVDFSSCNSLSLHRFLAPLIKSLEIFEITQCNGMRADSVTDEHLAQLNASTIRRICIDGVKFASGATRNLDIGDGALRRFAKLAAFPTMILDRCAVTTNTVCDYTKEWFEQCNESEKQLRSQVCTVKRCPKVKGSQFESECRRRGLRCKNRRGSGSLTLYNVQEENTKREFTIALAALEDKELAEKLKITPPDDPISCNLLTVPSL >CRE20290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:83974:86766:-1 gene:WBGene00061649 transcript:CRE20290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20290 MFTLSFENAHAYLFYELLKYRFNKNGSFHETDSFDEPKGAPISMEPVFSTAAGVRIDVKQESIDKSKKLLNNDIKSLSSKNAFSSPLIRRKTNGTNAFVSPFRRDETTSDVRKRPPSNSTEGFDAPPLKKSMVTTVDPTASSKTKKSKKHKKDKKHKKDRKNRKERIEIHADVMRVSREYERDQMRLILQETDSSPKILAVCPYQCGKDIKFGDKILIDAKIKKKDNSETVTEVYFKKLLSNKYNGARRHITRHSIAERPYCLTPRFIYELSDEQIKKATVRVNILDLNLEIYDKCTACDNLISTLTSSSSKRKDCKNCKDDRAKTKTSIYSRMRVMDPTGQIFVNIETKAMEKVLEHFGYEGLDEWIAFKDPQERKNYVFRPLMIEVERKGDDWECTDVAEVDWKQYRDYLVEKKDKIIRKIEKSHK >CRE20191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:87817:89119:1 gene:WBGene00061650 transcript:CRE20191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20191 MAPLPRVKCYFDVVCPNSWITVQALTSHSSLFERVDFEPVCDFKIGILHNAQIWNQRRQVHNSRLWKSKKEVPESVESEETLSELGILQKIDERGKKLIGCERVEPPMDWRNTYKSAVSRGSVIPQLFLTSIRERYPDLYQTAIHHLGNRLWNQRLPVHYGCHMSTVSRELGIPFKNAEDIVARLSSPENRSLLHKNCKEAVDFKLTEAPGLILTTDDGDTIKVDTINDIFDDSIMISKLSSISPTTRIEQKMSANRL >CRE20292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:92769:94238:-1 gene:WBGene00061651 transcript:CRE20292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20292 MLENEDKEGNTQTDFNFPCDFLDDFDEEKQPNLTRKTTKKDINLLINFIGGFEKWSFLDEDCRIEVIKLLDYKSRVNISVCSKLDYEIVKKVPLKVDKIEIKDNEKNHYSLSSEEFANYPIEQSIIRSLPCCKYLRIGADSMDHFRWWLEKVPENLIDVSLSPVNIDPHSFILSPEILSLPQIMNALQFSFRGISAFTDDQLVHLKAKIISFDCVNITDDGINQFIKNWVSGKSVFDFKQLLLWSSQVRDLSRITRGLEMRPWDDDFRKEAFVFKHFNDVDSVMISIVVVEVDCYFKFRDKSRQSLTLCISDDCTSIYATGKRMTWGGNTYTNYSIPSLL >CRE20293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:95658:103579:-1 gene:WBGene00061652 transcript:CRE20293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nca-2 description:CRE-NCA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCK6] MFCLSACRAAALSTTMLTRRKSSIDGTKSERSRRRGESIGGAFTDMINIEPTSIINKSTELLHERFLRDMVRAACLLSMISLCLHTPETIKMWPPLNYIILVNDVIVTLIFIGEAAVTINQNGLFDNQNSYLRDRWYQFEFFLLINHILSCIIHIYELCSIWFPSLNFVYYPWLGALRSARPFIFLRFIRSIVRFKLPKNRIKSIMKRSSQQIQNVTIFFMFFVFSYAIMGVQLFGRLNYHCVVNGTDPNNVTIADLAIPDTMCSQKGAGGYECPGNMVCMRLQLKPQEEGFYGQFSDFASSLFTVYLAASQEGWVYVLYDCLDSLPSFLAFFYFVTLIFFLAWLVKNVFIAVITETFAEIRVQFNEMWQTREATSDHVYTQKLEKDEDGWKLVEVDKYNRAHSNNSLYLHTIVTSTAFQTVMQLMILANAIFHATFVFYHDESDQIRKVWYYYVEVGFTILFNTEVIIKIYAFGWKAYIARGQHKFDCLLCIGSSLNAIWILYETNIFTYFQVFRIARLIKASPMLEDFVYKIFGPGKKLGGLVIFTGILLIVTSAISLQLFCYVPKLNKFTNFAVAFMSMFQIITQEGWTDVVIEILRACNEQAVPFVAIYFVAYHLLVTLFVLSLFVAVILDNLEMDEELKKVKQLKAREQDTIKTTLPMRLRIFNRFPTAPTMVTMKKVSSEFPLPKIRDSFTRQFADEFVETSDDTIQEIGLKVRSMLSGKGPSKETRKTTTIRHVGQLSNKTILTSMLTESNRNRALFSESNQHLANFTRSNTSSKHGKSGILSANSRSRTRGLASLKGKNMVEGFKENGDLRPEDTARKVEKHGEIDFKALQMKRAHAEITRNRIEEEMRENHPMFDRPLFLVGRESSLRRMCQMIAHSRHSYDQNDGQHRKHSNKYKQFHDFLAIITYLDWAMVLVTTLSCCSMLWESPWPTTGENLIFNNFYLQIAEYIFVLVMSFELVVKCIANGLFFTPKALVTDVGDILTIFIYIVSCFILSFPLIVITFQTSLIFVIWMPNHIEINSWAQLLMICRASRPLRVYALIPHIRRVVVELCRGFREILLVTILLVVLMFIFASFGVQLVGGKLASCNDPMITTRENCTGLYDVKLFVTRMEVYGKHDNAMHPSIVVPRVWTNPRNFNFDHIGNAMLALFETLSYKGWNVVRDILYLRHGAWAVLFIHIYVFIGCMIGLTLFVGVVVANYTENRGTALLTVDQRRWHDLKARLKMAQPLHVPPKPPESAKLRCYLYDLTTSRWFKQLFAALVVLNSFTLVIPWNVSEEQDRKTFLLSLTVISAICNILFTLECLLKMIAFTLSGFWQSRRNRIDFIITILGINWIVFHFLFQLPAYFAGGIKEWKRLTYTYGYLVVILRFFTIAGRKSTLKMLMLTVVMSMVRSSFIIAAMFLLVLFYANAGVVLFGMVKYGQAVGKHVNFRNGREALVVLFRSVTGEDWNDIMHDCMRAPPFCNWHPGLSYWQTDCGNYVGAIVYFCSFYLIITYIVLNLLVAIIMENFSLFYSSEEDALLSYADIRNFQLVWNMVDTEQKRSIPVRRVKFLLRLLKGRLEVTGEDDGLLFKHMCHEMERLHNGDDVSFHDVLNMLSYRSVDIRKNLQLEELLQREELEYIIEEEVAKHTIRTWLENCLKNIKARQNNTLGKMSSIGSTFAFPQSQDLLTKGVVLTEASPDEESLQGDKGSGKKKASRGNSITEIVTEAQKKSVKRGAEKIKERRGTLRQMQMGTYDELEEVEEDEDTDAEIRRSSFEYSGVDMIQMSHEKQLEDVKTWWTLCD >CRE20194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:110177:111487:1 gene:WBGene00061653 transcript:CRE20194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-22 description:CRE-VPS-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MCK8] MATRRRIGVAAIQKKQETANKFAAKGDQMAGEQLVQFSQQLDQLSAGLEMFAQKHRDEIKKNSQFRRYFQEMCSSVGVDPLASSKGFWAKALGFGDFYYELGIQIVEICLSTTHINGGIMTVEEIRNRLMRTRSRTRKDTISTDDILRAVDKLKVLGNGFELVPLGGGRFLVQSVPGELSMDHSRVLQLAEDAAYVTKELIIDKLRWDEPRATSALEHLVKEGLAWTDEQASDTTQYWFPSLFLQQYCHSSSSTSVSESLHSMSFNNYQ >CRE20195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:111580:112670:1 gene:WBGene00061654 transcript:CRE20195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20195 MASFKVKPEHSGPPELYYNETEAAKYASNSHITAIQHEMAERALELLALPEDKTCFLLDIGCGTGMSSEVILDAGHMFVGVDVSRPMLEIARQDEDLETGDFVHQDMGLGMPFRPGSFDGAISISAIQWLCHANSSNENPRKRLLFFFQSLYGCLGRGSRAVFQFYPENDEQCDLIMGQAHKAGFNGGLVVDFPEAAKRKKVYLVLMTGGVVQLPQALTEDGGEGRTQIDNAGRRFVWNSRKQEKVVKGSKAWIEAKRQRQIKQGRDVRHESKYSGRKRKTKF >CRE20294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:113245:115016:-1 gene:WBGene00061655 transcript:CRE20294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flh-2 description:CRE-FLH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCL0] MVGTMTSTNQEVVTTATAVAPPIFPPAGSNNNNNNNELAALANLDGVQKQIVAGLLRQQPTIVQAAVSGSISPKIEQDIDFATFIQVRTTVPSKLNDNSFMFQTIQAYQGNQNGSLAGLNTISFHMDSSAPGNTTLITEETRRSASSSPSSPPAAVPNSGVSTGVSTSTTIHEPEYKPRNIREKVYADGYIMSFDKKSCCGTKFFWRCERKNDCNARMHSDIATREIVRKLHPHNHEKPSPEELAFYEQDFSSLDPNYCHPVKSINRSYMQRKLSRASHIVSQTQQNSQLPEPMEIDTNQTIQQLQHNNNLMLFASAVAAAASAGVSPPTSVGQKRISSSVLPIQIKSPRLIKKEEEETFPQTITTEELRTTYEITRKLMKMMKPKTEIGVRWKGDEDALLLFLSNDNGAEENVFLPVVVMNRNEKSLVAALEGFTGKRCEGSIALSYSQRVNVLVHEALICNWTHGKLFLVNSDSMALWRLTPVDAFGDPLNQG >CRE20296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:122778:127094:-1 gene:WBGene00061656 transcript:CRE20296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-2 description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3MCL2] MIYDKKMMFEEDDDMENVPMEGDYNDETDEISAEAWQEACWVVISAYFDEKGLVRQQLDSFDEFVQMNVQRIVEDSPPVELQSENQHLGNDMENPAKFSLKFNQIYLSKPTHWEKDGAPMPMMPNEARLRNLTYASPLYVDITKVVTRDDSVNEKVYEKVFVGKVPVMLRSSYCMLSNMTDRDLTELNECPLDPGGYFVINGSEKVLIAQEKMATNTVYVFSMKDGKYAFKTECRSCLENSSRPTSTMWVNMLARGGGGGKKTAMGQRIIGILPYIKQEIPIMIVFRALGFVSDRDILGHIIYDFDDPEMMEMVKPSLDEAFVIQEQNVALNFIGARGAKPGVTREQRIKYAREILQKELLPHVGVSEHCETKKAFFIGYMVHRLLLAALGRRELDDRDHIGNKRLDLAGPLLAFLFRALFRNLLKEKMITSCIQMRMTAQKYINKNDDFALDVCVKTSTITRGLAYSLATGNWGDQKKAHQSRAGVSQVLNRLTYTATLSHLRRANSPIGREGKLAKPRQLHNTQWGMVCPAETPEGQAVGLVKNLALMAYISVGSLPEPILEFLEEWSMENLEEVSPSAIADATKIFVNGAWVGIHREPDQLMTTLKKLRRQMDIIVSEVSMVRDIRDREIRIYTDAGRVCRPLLIVEDQKLALRKRHIDQLKDIDEANKYTWSDLVGGGVVELIDSMEEETSMIAMMPEDLRSGVYCDTHTHCEIHPAMILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGVYTTNFHVRMDTLAHVLYYPQKPLVTTRSMEYLRFNELPAGINAIVAILSYSGYNQEDSVIMNNSAIDRGLFRSVFYRSYRDNEANLDNANEELIEKPTREKCSGMRHSLYDKLDEDGIISPGMRVSGDDVIIGKTVALPDIDDDLDATGKKYPKRDASTFLRSSETGIVDQVMLSLNNDGNKFVKIRMRSVRLPQIGDKFASRHGQKGTMGIMYRQEDMPFTAEGLTPDIIINPHAVPSRMTIGHLIECLQGKLSANKGEIGDATPFNDTVNVQKISGLLCEYGYHLRGNEVMYNGHTGKKLTTQIFFGPTYYQRLKHMVDDKIHSRARGPIQMMNRQPMEGRARDGGLRFGEMERDCQISHGATQFLRERLFEVSDPYHVYVCNNCGLIVVANLRTNSFECKACRNKTQVSAVRIPYACKLLFQELMSMSIAPRLMVKPQQPKRAKHQTEA >CRE20297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:127707:128989:-1 gene:WBGene00061657 transcript:CRE20297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fsn-1 description:CRE-FSN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCL3] MAENEDETIVPDDQCNLAASTPMKSSDLDNVESPKERKTSVAKCDGEGNPPPGSPGGSPPQLTPCSIPPRRRRSPRRPEVSASRLPLKVLNKIFQYLSLKGKNFFNEHFLPYFIDLRSAMLTCHSWNNALAMEDSDIWQQLLSLKLPEAAVVDPFLFAELGSARKKLRAWYYAWNTDDISRNNYVRTNGFTVHRQPVAQSTDGVRGKRGVSQGVHAFDITWDGPLGTVAVVGFATKHAALQCAGYIALLGSDDQSWGWNLVDNVLMHNGTQLGVYPKMNNPPKYEVGEKIRLVVDCEKHVAYFERNSEFLGIAFSHIPPLRLYPAVCAVYGNTEVTMVYVGSPQMG >CRE20298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:129298:131048:-1 gene:WBGene00061658 transcript:CRE20298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-18C MLRSLISSATTGPTQEWAGRILLANSGYISQQRGRRRTLTPVPWLPPIKEHIPKNSFDLSQKTKNLLDDVIKKETLAASALARNDSQETNVAIIDIPHSSRRVGLVVRKIGMLPQWTNDGNRILCTVLEVDENHVVSVTSPDSWYKASAVGKRKAFNRHGPMWRVTVGAGNDDPTKYTLAYRRQFARAGVPTKEKLGCFLVTEDALPHAGQPLDARHFAVGQHVTATGKTIDWGFQGGMHRWGMRGQPTRRTTKSHRRIGSVGSVGDARIWPGKRMPGHMGYEWRTVSGLEIVRINNDKQVIYVKGSVPGDIGETLLLKDCLQDEKRLKSGPVPTWAPTLETIQEESELSPEEIVVPKNLLFNETFSSKLFRFTSPSIVFTDADAKRATGRDKTKAKIAKVKK >CRE20196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:135312:136837:1 gene:WBGene00061659 transcript:CRE20196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20196 MRLSGVLRETISQVQKLIKDDLNILKVDKYIVNVKAGMGGAGLARYDGVGGNGGDVYFVAKPNLAFSDIKKRLKSKMNIRADNGEAATKISLIGQHAKHQYFDVPIGIEVVNRENNSLISRCSKPFRRYLIARGGQGGYAKLNYKCVLFIYCSINFLFRGTKGDIFDVELHLKLRPNIGLLGFPNAGKSTLLKALVPEKSVKIADYAFTTVNPQVAFYKNETNNDGFNLEDPPYTLSVADLPGIIEGASKNRGRGYQFLKHLEYADIIVMVIDSQGFQLKNELDCPFRNALESVSLLNKEVELYDQRLARKPIVCVLNKVDALNEEKKKQINALALSLQSQKWIDNVSEELRPNTPMRFEHVVQLSAKSGKIKEFRKVLNIMKHHLHELKDVKEDPMESQNKRKVYI >CRE20198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:144244:145255:1 gene:WBGene00061660 transcript:CRE20198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mmab-1 description:CRE-MMAB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCL8] MIRNCLRLISEPTNILINGPSSGFIQNRSFSLTTMNLGRGFKQGRGTGDSGQSSLYNNERRWKDDDSFNALGATDELSSFLGVCGASAQNDGEMNDVVETLTRLQCCLQDVGAHLATPPKNSSERKQKKTAFDVSMVEWINAEIDRYGDELPGIRQFILSGGGVTSANLQYARAVCRRAERCVVPLIREENVDPMALKFLNRMSDLLFVLGRTACMRNKNEELTYLRPDSFTNMKWDRKSLHDKKK >CRE20199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:145455:147396:1 gene:WBGene00061661 transcript:CRE20199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ula-1 description:CRE-ULA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCL9] MPPSASFDPSYRYDRQVRLWGEEGQASIGTTSACVLGSDSLATETLKSLVLAGVHSFFVVDDARVEHADLGQNFFLQSDDIGRSRAEATLEKLTELNPSVSGRASCQSPTALAQEDVEKLATFSVVVSANQSESIDTKFAEVLYNIRVPFVCIKSYGLIGTMRICIKEHTIANSHEENPRPDLRLDAPFKTLSEIINETDLNEMTVEQLRHTPYILLHFKALDVFRKHRNNENAFPETTNDRKEIQQILQSFRRSTEHSGTKDSENFDEAKAAVMRAFQKTTIGASVQSILSAAESSDSTQPFWLICEALRRFVKFNNGLLPLRGTLPDMTSDSNRYTRLASVFHEKALLDAQEVLRLTREVEKERGVGDVISDDVCYRFCKNADRIRVQHGALLDYTRETKECVRKVKEMSIEEQSREEKVDSATWLLLMRAVGRFRKEKGRFPGTNGVPVSIDAQDLKKRVEVLIRESVQVSSRFTDSPISLIFQEEEEIKPILHNVTDSAIAEMCRFGAAELHVISSYVGGIASQEIIKLATNQYVPIDNTFVFDGHSQESSTFKL >CRE20200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:147972:148721:1 gene:WBGene00061662 transcript:CRE20200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-8 description:CRE-RPB-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MCM0] MAGIIFDDMFKVKSVDPDGKKFDRVSRYFCDAESFKMELIIDINSQIYPLKQNDKFRLVLATTLREDGLADEGEYDPKAEYPRIKQYEYVMYGKVYRLEDDDTGTDGGKLAAYASFGGLLMRLKGEAINLHGFEVDMNLYLLMKKTDF >CRE20201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:148830:152147:1 gene:WBGene00061663 transcript:CRE20201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rars-1 description:CRE-RARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCM1] MSANKELQQGYSAYSTSLDQTSLLNRLIVAMEKGELTDELLEHMPELNEAKKLNDKLKYRMSILEKSIADQTLQNKKNGVKTVIPSSGPNAAPVEKKGKKDGKTGGAPPKQAKKIDYVTVEDYGSSILGRLQSLFKRAISEAFPGLDAPLLLAETPNPQFGDYQCTSAMPIAAKLKINGINKKPADVGKEINAKLPKNIDFIEKIIVMPAGFINIFLKTDYIRSQVSLLASKGISLPKVAKKRVLVDFSSPNIAKEMHVGHLRSTIIGDSICRLFESVGFDVLRVNHIGDWGTQFGMLIAHLYDRFPDFLNKLPDISDLQAFYKESKKRFDEDESFKKRAYECVVKLQSHDGDIVKAWNTICDVSKKYNQIVYDYLDIKIKDVGESFYQDKMVDLVKWIKQNKPDMLREEDGRQIMFPSGCDVPLTVVKSDGGFTYDTSDLAALKYRMLEEKVDWNIYVVDAGQSLHLETVYAAGRDFGWYDESKQRVEHVGFGLVLGDDKKKFKTRSGETVRLLDLLSEGVKRATEKLKEKKREAVMTEEELTTARDAVAFGCVKYADLSHTRTQDYVFSFDRMLDDRGNTAVYLLYAYARIRSIVRTSGVNDTQLAEYVSNTPVLPLNHPAELKLAKHLLKLSDCILLVLDSLMLHQVCDYVYQLATLFHDFYNECYVIEKKGDEPPVVHFHRLALCEVTANVISTCFKILGIREVPKM >CRE20300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:152408:154131:-1 gene:WBGene00061664 transcript:CRE20300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cee-1 description:CRE-CEE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCM2] MDSSILLRLEEFEKNKKYYDALQFYRTKVTRSFRLKSANEVALALVKHALDFFFREKQYQCAIDIATQYAECLSKNDVELEASTFELLAESVAKFANFAEIENVAGNIQQEQLLSTARCRCVDLAIQWYFSLLSSYEHFCDFRTKQKSTNQYEKKYGSAAFHALLAKKLVAVDHFDLAKNHFLLSDDSKSFALFLHNDFEKAHNKEAESDIIIVETVLQVICLDRFPFAVALFNEYVKPNKYPFAKPLLNFQHILFDVIETENQQQLSELTTSYQTELKRSYALIGYLTKIGKLYFGLRDSQCMNGGLGGLFSGLLGSQKEDETATSHITARTPAREKPASTAQPTSFNPFGAFPPSTANNAIPKKIQKVEMEEDLD >CRE20202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:159264:161792:1 gene:WBGene00061665 transcript:CRE20202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20202 MNIFQDLLTSTWTFLQSYGWITVFITILAYVIYNKYIYDFLNTREENRKLIEQKKFDCQVQDKEQERIRLARKRQQEEHDRKEKEARIKREVKEKEDAEKRLRELEEQDTTCNVLGHASSAHSPIISGLNSTDRKNQHAIDIVESLPGTCDVTVFGYSTCPNFRKVCQLISTYRLDVSHFQVFEFDKQKDWPMEKVLELIKSRFGEKLAPYVSIVFVGGEFIGGLEEARTFDRNHGFSQFK >CRE20203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:161963:163476:1 gene:WBGene00061666 transcript:CRE20203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20203 MTDNINDFDAQATAEDGVNLGFTKELIDEIRDKKESNPGMFVSAWQDDNEGLEEKDLENPIEKWLTAAEDGNLELIKTILKEYPTRLDCHDADGYTALHRASYNNNLEIVEHLLACGANKESRTNEGWTPLLSASNWANFDIVGRLLSNGADVNAVSNGNLSALHLAVNSSEDPDNVYTTVKYLLQAPGIDPGVVAGNGDTPLMMARRTSERLYTSIRDFIERP >CRE20301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:163560:165004:-1 gene:WBGene00061667 transcript:CRE20301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rom-1 description:CRE-ROM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCM5] MFSSEGKFRKTYRHQFNQLRTGDETEIPMSTLASRIETRKVPLSSGQINAIKEAPDELVDVDGFQRIVTSKAAQKSTIKRLMYDVADPVMSRSQKIEVHSYIDSYSWCPPPIFMFLITMIQVGIFLFYWESDGRKSIWTDCAGCFQHHNHTVPGIFIFAPKLRGEAWRFTSYMFLHAGLNHLLGNVIIQLLVGIPLEVAHKIWRIGPIYLLAVTAGSLLQYAIDPNSLLVGASAGVYALIFAHVANVILNWHEMPFRWIRVLILAVFITFDFGGALYRRFYADQCDSVSHLAHIAGAVTGIFFGYVVLYNVVEHRIETIIKYVCLALYSSLFVITIVFVIVREPYSKSLWNDENCK >CRE20204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:166403:167660:1 gene:WBGene00061668 transcript:CRE20204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20204 MDGEQALEAKNSAGLNIPCQQCDRTFSSERSLSCHIKVHNDKILKCCFCEQTFNRTDTLFMHTLDHISKGTLPCCGEGCQIVVESMADGETHAIEKHGSNGVAVIKCKNCPESLPSFRKMLFHHTFKHGELKEFADEMTAKQKEFLRTKKNQNRKDKKTTTGGFGDLKIKMEVEESGGFDSTVVSTEDNKDYVDEDEFKSNSEENGILKQLQIAITGSTESNTEMIGNLSDVIAELFPLTIGDQYQCLHCMMGFTDAILWMTHLGYHDVENPFKCSGCGRMFENRQTFVLHLTYYAHGADVPAQN >CRE20205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:167852:168457:1 gene:WBGene00061669 transcript:CRE20205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kbp-2 description:CRE-KBP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCM7] MSERRNQLSQMLDTTLQNFTKVLTESKNFAKLARHSKMSVDQVEMNSVMKRMIQATQIKVQEKTSKLIEENGICERFDELEVLTKESEELNQKLGTEAGYNYMKPKRDVALYLSDSTDKILHDADREIERLVKELEKEENDLAHRKQVLKELSTIIESQQENIISSVKN >CRE20302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:168601:169299:-1 gene:WBGene00061670 transcript:CRE20302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20302 MISVLCLVHIFFGAILAITAVIQCKSNSKKAITSVESPVRLIFPVPTTKSSQSPVVPVAPAAGSSIKQSDNASPEIVKKEEGKSEVKEPEPKKTESVVEKKEKEKMEDTFEAIQPKQQDAGRKKELGDKKKAENKGDYKTWNKVIENSEFNKTLSEKDEKDGKKKTGKKDDEKKEDDDKKEGDEKKSEKKEIEKKTEKDGDEKKSEKK >CRE20303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:169649:171434:-1 gene:WBGene00061671 transcript:CRE20303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-340 description:CRE-TAG-340 protein [Source:UniProtKB/TrEMBL;Acc:E3MCM9] MSGRQPLISNEYPRTSLSKDRKRFIVVAFFDSSITILLWLLCTVTRDDDWDKIFFDEINIFNPKFMKISLFDVVLLAILRMLILGFVYGICLVKQWYTVAFTTLASSAYILMKVLFYFNHSSSAVPPILLIIASFTLCWSEFYLMPFQILPRERRCKSDTINKILFRVSDARRDIDGVENPDFSTDEETRSNYRHRRGRRQQNSGNQSEVPTAVPSRVSSGVFIASDYDEFRSAAEFSSDEEARTRLLVPPDTRRLFEITLRECLDQVEELIRDSRLGGWKTLRSNTPTVLQGPDNYFLVRAEFNKFPALVLFNIAWKDMLKWNTQVNYIHHILQKYLKRSFLFNFYSFFQVIEGKMIAHLDNATDLYYSVSAPAMRGYISSRDFLDLRKIKLDSTTDIYTGYFVSVESNLCPTSGNPKIVRGHNFPSMIRTSKGEGGITCFEWLMKTDLKGGLPKRLVNSGMINYFSEHVKRMNEFAESHYHCPPS >CRE20206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:173623:177745:1 gene:WBGene00061672 transcript:CRE20206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhl-2 description:CRE-NHL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCN0] MKKAADRPNSAVNGRHDSPATTTNNTQNSGTPSLNGQDHLRSTSSSPLFGTEPLPEDDHASCPYCQHDFRKPRVLDCLHSMCEDCIIAQLDGHLQAKSAAIEEKKRAASTMLDCELETPKIKERPTPPGVIRCPICAQESHVGNDVRYVHAMLLDYVRLAELQEVEVEKMVAYHSKPCTACKSEQEAIAFCDQCSSDLCENCTTAHTVMNLFEGHIVTTFADLRAHGIHPELRQVMCTTHNQPMRYLCAACETAACKQCLEVDHLNHKIIDINDLVINAIKEDVTNTVDRVEKKYNNSVADMNSLPDKSQQLIEQYEIAKYRVEKHYDELRSALEVRSRKNIENHQKVLNELEEARVRQEVNIDDMYRKSQVNEARVHDAINFTRRLLAKGNGLELVVSRKKVIQQFGNLSHAIPSNGHQVELEFLTPSKKQVDHFVHQLTGTVIGRVIQPTVKDVTNAVDNTEKAERGGSQAGVRASSITSSAPQDANKNINLVEWGRPVYQQNPQLGAIGGERKKNTQNGTNGTRTSGENAFGGWPPSSNPPDSTTPPPTNGQQTLLTGGQSQSAANIVTQQQLTNLPNAAAIAAAAAAAGMRPDLVAMANHMELNALTNGSSGGTQASIPESMFPMWPARPGIPAVPTPTTTGLSSNASAAYLQQKIAAAQLQALSQRLNPQMLSMTNLRNSQTIQELMAMNALTSLNMNPQLSTAAAAAIAASAAANSASSTTVADGSQSQNASSQQRVSDLKVHSVFGTSQQGSTIRELHCPSGFCLSDTDDILIADTNNHRVVVCGPPHPWKIGRPGTDDGQLCFPRKVIALRGDVVRYVVLDKGGDGKTRAQIFEARGEFVKRLNMVSLVPRGGIEVSAAAATPTGQLLLVDTAGFVYSIDVDAPRVTFWFDASTQLGEASDVAMFDNLIYITDFKHHCVQVYTSEGKFIRKMGEPSQTPYPIGIDVSKAGEVLVADTHGNHLHVVVFSPEGQHIHSFTHNEFRLSRCVGLRIAKSGHIVTLCKHNHTLFVFKPLILPNGIPVPTPVPHSASLINKFQ >CRE20207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:179683:181151:1 gene:WBGene00061673 transcript:CRE20207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20207 MASNTPEDPQSSSPPLRQPRLISQLARIEVEERERPRKMRLMFGEHQVVFLRSDGLRSRMARKNKKNTEESSASEDPEAGSSNLSEIIDGVATGRIGTESYDSVNSLSTSSSSPTSVDPISTTNFETSAIGTEGGTSTESFEPRTKTGNRSYHPPRQFHGISTMVTHKRRWTYQTACNINAAEQNNDNQITYVSNPFLPLPRGNRRNGMCTATRMNYAAQRIDNRKYRAQAQAHHHIMSSGNDDSVSCEKCKMKYYFESMKAQKLITYEDSTNDEFLPVVRFNCPVCKELTKASF >CRE20208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:181981:184149:1 gene:WBGene00061674 transcript:CRE20208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20208 MNRFTGMSSKPITPVNEQNITFRKKISMVSTSLQGSDDQPVASSPRNCYYRQNVAPLRRHMYDEADGGAISTSEQTPIEQKATEIRRMNNKKEASGKKEETPAPPTILIEGPSSEASSKSNQKKTKPSTSSLASVFRSRASSPMEAVRKVFGRSSSKQPKELPAPPSSLISVSSAPTGTETNLTEELEKLRNQLAHAHNQIAEQTKKQNVVQMVSCSQQTDLINSATVTTMTDVYEETKKEESPDKENVHPDIICLEDDENNSSRIQSLLKELSLLKVIRNEQLSSHNKKLACFQKENNELKEDFRRTRKDFILILKEAVIRKDEAERELDIISKSICNEDDWDSLMSQQTHALRRNVLLMWVQKKLALYSDQLTVSNFSSDWADCRAFCALLYDIFPEAMSDVYVSPIVGDCVTRCRRTFQRLEIPFDERALGISTTPSSGVDDDSTSGMTITGLIDWRYIMNTVLVLYKKDVHGK >CRE20209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:184328:186785:1 gene:WBGene00061675 transcript:CRE20209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20209 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MCN3] MYNTGFSTEVSPFAQRSDRHVTLKKSLISTFGIQTPTDVLSATIEKEIYYHGLMPREDVRLILDKNGDFLIRLSEPKAGEFRSYILSVMFNNKLDDFNSVKHFVINNAQKKYFINNNVSFNSIQQMLSHYQKSNTEIQDGCKLVIPIRRQFWELDHEMIHIQKKLGEGAFGEVSAGIMKFKRGGKAVSVAVKQAKLEKLGKDQIKDFMMEARTMRKLGHQNVVKFYGVAVLQEPLYLVMELVVFRNNTFSTTLIVKASNGALDSYLKKNEDLPVEKRTEMLLQIAWGLEYIHGKPMLHRDIAARNCLYGDGQVKISDFGLTRNGTFYQVKPNTKAPIRWLAVETIKTMICSQKTDVWAYGILCWEVFNNGAEPYPGMTPADVAQQVSNGYRMPPYPLAPPDVQTLMINCVAENPNDRPTMPEVAITLQRVTGVPRPNFAMIAKKQAEELLLMNTATVKTNTQRRKGTKKTAVPKGM >CRE20304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:186806:188134:-1 gene:WBGene00061676 transcript:CRE20304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20304 MDTSTLLINLSHHIIDMWPLKSIFLLSSLALLSSATEEVSRTEQTSTLFSVEGELALPASRNCAKWSAGARVHLNHGQYIGFVRQDCTFRVDFVPSGTYIVQIENTDFVFEPIRVDITSKGKMRARKLTILQPNNVNTLPYPLRLSARGPARYFRKREEWRITDMLFSPMVLMLVVPLVVMLILPKMTANDPELKREMENMQMPKVDMPDVGEMMANFFGGPAPAKKKAVTAGSGQRRK >CRE20305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:188214:189298:-1 gene:WBGene00061677 transcript:CRE20305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arx-6 description:CRE-ARX-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MCN5] MSATLQPYLEAVRHTLQAALCLEQFSSQVVERHNKPEVEVQTSKELLMTPVVVARNKQERVLIEPSVNSVRISIAIKQSDEIEKILCHKFTRFMCQRADNFFVLRRKPLPGYDISFLITASHTEAMFKHKLVDFLLHFMQEIDKEISEMKLSLNARARVSAEEFLKRFN >CRE20210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:189609:190156:1 gene:WBGene00061678 transcript:CRE20210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20210 MTSGIQYQIIPLADREHNNKVASLLETKYKTAIQKRVTLNERFGVLEKGYALQATDPNVTKKGADVFFVVHDKNLISKKEFIENYVAKNPNTRAQEVEVEEDKENAPSKKSAQNTTSAAKKEITTEEYLLDITKSILKPI >CRE20211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:190394:192883:1 gene:WBGene00061679 transcript:CRE20211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hdl-2 description:CRE-TAG-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MCN7] MGAEVPTLIVCLSISLCFNIGFITFLFIKRRSRNSPQYRKVENPGVESFPKNSSSFGTNPQRSPEKEKDIKDPPLKPIVNAKRSSEQAIRSDQGAPIVKEQQQRSDRQRLQEPIGREEFVKCMNSVVQFINEYFDESHKQPVIPEHDINSTRIHVKVPEKPEEFSEILKDLKEIVIPNVTKDKNKSCLITYCIQICHTHHPRYHAKFAGKSLADIVGSTVSAALGHDVNSSPIIDSIERIICKWLSSAMAIPQMKSWLNELREPIGAVFYTPRDVFISIIRHSIEKAEKTDENSPKSDKEQKPKFSDYVVYCSDDSQIALEEACSTMKVRLRKVLTFNKDSSAMTSANLLKQMEKDKARGLIPLVIVANYGSANVAANDEIWDLVKISRKKKIWLHLDATYAGCEWLDSNCRNNIHVLISELHSVHIACSSLFPYSGRISVVWSCERLDIEGGESRCWKLIINSSNISAKTHYGEHPIRLWILIRLYGIRSIREAVKRKIILGNAFSERLTHHPEFFEMSHQNDHGVAVFQYRNKNVKDQKRDVNRMTSMFHNYLILSSTLKFSLLSYHGKVMIKAVVNYGRCNLSIMEESVSTLLNSVEEFEEALKKKKKLSETPRGEFCEFIGGSPNETTDITQSEDDPKKKNK >CRE20306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:194776:195803:-1 gene:WBGene00061680 transcript:CRE20306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20306 MASQLWRIVDSDQLILYIWPLMTVLCLTTTWVMCKGSKKAPITSAEPPPPPPPAPEPLHKKDELVHENQKSKIGKVAEGAPKQKGEEKNEKDEKNGKEKEKEKEKEKKSEKKDSKKSKKEDEKEKKPSEEKKEESKEVKKEEPKKIDPKGKDAFKKPEDENAVAPKKDPNYQELFSIDFQTLCGLNNDLFGPDKNPKKQFKAPTKVEKADVKDPQYETLNGLGEDLFKEEKKDDDGKKKEFKPPEKVAKADAKDPQYETLNEVDKGIFNNNNNEEEKKSKKTNVEKKEERKEENKEEKEEEKKEENKEEKVKSEEKKE >CRE20212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:196133:197512:1 gene:WBGene00061681 transcript:CRE20212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20212 MSISSENVEQEYVHSIYSRLATYQQKDHKPSSPRIWPRVRQFVDQQSIGSVILDVGCGEAKYTSQKCHVIGFDTCSEVLSSSKKENIELCLADAVNIPIRDASVDAILNVSVIHHLATTSRRRQVLQECSRCLRVGGKMLIYAWAFEQPNGKFAAQDILVPWNMHETAIGGRLPKVKFHLNTTKEQRIIAASIPVNISDKSIAQRWFSGVLSKVTSLTDQLPYFSKRCPSSSGYKSNQSTPTGSDSPLMAQKLPSAAPQFLPTTNSLISGIKRWSPMLGRRLASLLVPVEEQFSEELAQTIMRESITEAMATLREVTFYRFYHVFKEGELSDLVDSVDSLKVVSTSFEHGNWCVIAEKISTNLIRP >CRE20307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:197667:198380:-1 gene:WBGene00061682 transcript:CRE20307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20307 MLPDLSPHLHTKECNMLIEFLHRCHSEKPIGKMLGKCSYWDEAVWQCTKKERIWRRDNNPAYKRRIVELRNLPEKYWTPALHKLKEEGLIIGGNEQSQGCKI >CRE20308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:198479:201336:-1 gene:WBGene00061683 transcript:CRE20308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-coq-8 description:CRE-COQ-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MCP1] MAGKKPPASTLKWCQQEIGPICEGIGMVVRSQLGYDGRRIEQQLRRSALQTVVMAGQEVDPLKEPNKTNDSILSTALPTTNEALQRARIVAAGIETFAKLMSQGTYPGSAGFTISQTGKREFVKQTSPNQSNMVANLMSTAEKLSKGAIFLAPFPLPTLTKLGAWAIANPKVELPVPSQIRTAVPAIGQVESLIQSMLGVATANQFSGTNVVKPRKLKLEGVTDQIAEAVSAEADPLATIDSIPIDKTLTKEEAEFLIKAAKSVQDDNAETAIYGEKKLKPEVYRPELPKNFEVNLEMGNVHTLTRSNESSVPATRIGRLATFGQLAFGLLGGATAEVTRRTFGMGKTLQAEGIPKNPFLSEANADRIVATLCRVRGAALKLGQMLSIQDSSTVPPALLQIFERVRQSADFMPIKQVHRQMRNAFGDEWRDKFETFDDKPFACASIGQVHKATLKDGRAVAVKVQYPGVAEGIDSDIDNLVSVLSVGGIFPKGMFLDAFVAVARKELKQECDYEREARAMRKFRELIADWQDVYVPEVIDELSSSRVLTSELVYGKPVDACVEEPQVVRDYIAGKFIELCLKEIFVWRFMQTDPNWSNFFLGKHPKTGEPRLVLLDFGASRAYGKKFVDIYMNIIKAAYDGDKKMIIEHSREIGFLTGYETTVMEDAHVESVMIMGETLASNHPYNFANQDVTKRIQKLIPVMLEHRLTSPPEEIYSLHRKLSGCYLLAAKLKATVSCGGLFHEIHDNYVYGEDGRDINID >CRE20309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:201351:202868:-1 gene:WBGene00061684 transcript:CRE20309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20309 MLITRNFVRRLATSSRLLETTKKRTVEDMTQEMLQMPVSRVPPTIQRFTSSLKMKFKSDGLDQSVKSILDRASAQLYYNCADNYDFQKLCETFGLGDYMSSWYKLTLMHTWMVLMRLHSEFDGKAYMRLQRGLLSTMWLDIDHRLSIVSKELNQVMTGQNDMKHMHGLHLQTFFEYDEGFLHDDRVLAGAVWRCLYMNRSVDPIHLLKVVTYMRSTVAWLETQDTNQILVEGISEWKQLRSAPIS >CRE20213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:203501:204614:1 gene:WBGene00061685 transcript:CRE20213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20213 MLLPRLDEVRRALTAFHIFNFILALAFPVIRSSFLCNYVFATDANQQCEIDSREREILMFLLIILAWKGRKATNWMHYVNNIFLFSKIAGIFLFIRADILAGTLYILACLIVTVLFPEPAYTGPEQVTYFQGEQLFEELTRNRNTVWVIQFFTTWSQECRHTTPVFAELSQKFTLPNMKFGKLDIGRWSKEGERFRVNAHAMSRQLPTICVFKDAKEISRRPLVNESRRAVPFVFSEENCVLAFDLVNLFNEQKQKKEAKTRKHD >CRE20310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:204776:205921:-1 gene:WBGene00061686 transcript:CRE20310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20310 MFHREYVREKMPLIGMGTWQVLHYLIFIYFSFFKFQIRKEEILHKVIDEGLRKGYRFIDTAQVYGNEAAIGRILGYLLPQHNLKRCRKYQYFNKDSALILREDIWITSKLAPANAGAAAARKSIEDSLKHLQVDYIDLLLIHWPGSSLKSENPKNKILREESWKIMGEMMKEGKLKSIGVSNFEISHLEELKKVSNVVPAVNQVEYHPHFHQDDLVKYCNDNNIHFQAYSSLGSPTYREKLSKEPVIIKLAEKYGVSVPVLLLGFAYCQGISILPRTTNSEHVVCNYEVTKLSISENDISLMLALKVEHKTCWDPRVVV >CRE20311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:206205:208448:-1 gene:WBGene00061687 transcript:CRE20311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20311 MSNRRSSNQKGGDFQPLETDSGVPQDLNNVSTTSTEPANRCTRYAPPSLFVTKPGGPFHLHVQKFIEGSRHMVKKLRISLQELAVAKREGHHTASTATTETSPGEILTAQFSGQSLEDFQSVDDEEARKEFELEVKKALSQSSSVRDDYERLLKERIQEMRRILYLYHTDFDTSNLKVEDAERHWSTEKLTKDEQKSRFTKWDATMAEVLAELTETEWLSLKRGGFTGVSGNEFDYCLIQSKLIELEMEKKLTGNRENRCVDNYSLIEILERKDNDVGRFFPKNYTLPKGKLYCRLLLNIANLITFNDVNKSWCCAKMDQNELENAVFKGVPFNSDGLPFKFPPKTVSLQPLNL >CRE20312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:208624:211482:-1 gene:WBGene00061688 transcript:CRE20312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20312 MHYEDVRFLSSVSVISTFAFFFHLSEALSVHGTLFRPLAIFMTLSLYIWFTVFGQWISRARRATFNEQNLLLTYQPRAFTHGHLFVPDPPTPCRFSQTPVESCTDSDFRNARDAHYKDEFHRAMNMSTEKKMIPQEKVETMRIEFDQSSSPPSSPSNSGKSPNF >CRE20314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:214313:215810:-1 gene:WBGene00061689 transcript:CRE20314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-4 description:CRE-CED-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MCP9] MQWFISMQLPFRKYYYDIFSVFSLFQMLCEIECRALNAAHTMLIQDFEPKDALTYLEGQKIFTEDHSDLIGTMPTRLERIANFLRAYRRQASELGPLIDFFTYNNQSHLAHFLEEYIYFAINEPHQLRPVVIAPKFSRQMLDRKLLLGNVPKQMSCYSREDHVERVIDKLDELCDLDSFFLFLHGRSGSGKSVIASQALSKSDQLIGINYDSVVWLKDSGTTPKSTFDLFTDLLLMLKRARVVSDTDDSHSITDFINRVLSRSEDDLLNFPSVEHVTSVVLKRMIVNALIDRPNTLFVLDDVVQEDTIRWAQELRLRCLITTRDVEISNAASPTCEFIEVTSLENFECYDMLEAYGMPMPADEREEDILHKTIDLTSGSPAALMMIFKSCEPKTFEK >CRE20214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:213100:214282:1 gene:WBGene00061690 transcript:CRE20214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gipc-2 MEHQNGPCRRSRSRSRSRGAFNRRSNYSNTPCIVPINSVALAPIEENSGHSTSIMPFVNPLMVAAKELKFACQLAHGSPVAIIERWSDVSELYQSIADCFNIAKDDIIFLTVNDFKVSSHKKLIDTVLFLQPDMKNMFTGTLNFKDMLYAHIRGQATELRVLKDSNNFGVTITDNGLGNAFIKMINPGSVFDRMRPATQVGQLIEEINGERVLGRRHYQVARILKNIHRGDECVIRLIAPKTADPGIMKTPKKSSGELAKGTIRFKSEGGFAVEDIQDQMIQAEMCGKLNELFDQYLGVQDDQLAMRIWETAATCESLWQLGEAIKKSELSMFEFPDGLVFDMWGIIGDLKREQRNKKPSSIKNSNIARPSAMVLFN >CRE20315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:215937:217244:-1 gene:WBGene00061691 transcript:CRE20315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20315 MNEETPGTSNSEDVQFDFKFIHDARHQSIEYLREELARLKRDNHPLLHSVRRKILNDRTIQADRTQRHRERAAQRAKQDRDSKETEYRFENTHRISELMEEATKRAEDMEKSMTHEYGLVDITKAIVAPLTFDSTKKTLRGRGGGLLIEPASYFNSNQNSRIPEFKLNLAFDNVRIRADLDAILNRPEARRKVYSIVAIQKPKLIIDNKSFKHGEDVYAWNTTFGNVLARLEITNDKLVHLKGSNNWDSRQITATLEDLEEGRVVINKQKGKDRTH >CRE20215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:219054:221579:1 gene:WBGene00061692 transcript:CRE20215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20215 MSPQKHSQCHQLDADAREIIQCINDGHRVFVLLRGVTGSGKTTLAQRLVEAANTSYQTSTIISEDTVGSKQLTKTVRQSFEDDVYLVVVDAENVERNVVKKLADLAVKSYFECFVTEPDTEWRHDASECKIRSSRGEGVESIERKIEQIKNSPIDWECIIPGANVTIGNSLSEDTPPTPPTSSMGSSNCLLNVSTTSTRQLFSMVPEKKKKRSVKTVSTYVTNECIVLELAGLIETSGLYGYSLKLEEEVEEKQNKDVKIVNKKTETEEVIFASGGRTIFRPEMTLLTGTEEEIQFSSLRSIFPETDLFVLRHFLQIFGYSEAVAFFKATTEDTVLPILPTDDRILLEELDAMESFTISNMTIEEEIEARRSEREALRFVEDIGKAPFLYSSKNYSVLISDTENDEQIARILHNEFNGEDYQLQNTDDYDIARLIQNFSTTDIDYIRQLYEIWANNYENTYQCLIENGAERTEPLMKGKQTFSQTLSSASPIRRDPPKKSQKEEKNHFLKAASQKTHHLLQDVQLEALKSRQQIEKNRFSQNSYCPTTSSRYSKTVMMSRVERLKEGVSAQVKDIDRKIKEAHNNPWNLDLHYMSVDGAVELVLEAIEAVRYHVKYSNKMGRRITVVTGSGNNSRCGARIKPKVILMLDSQNISYEMLNDGCIQVKV >CRE20217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:224337:225148:1 gene:WBGene00061693 transcript:CRE20217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-156 description:CRE-CLEC-156 protein [Source:UniProtKB/TrEMBL;Acc:E3MCQ3] MRNLVIFLLFGTLFAIGESIIIRDDSSDSHESSYYSGGGHRPKPPRPTKPSSKCESGWTRVQRLSGGWCVKVFAGLTNQPQAESICAQNGARLSAVESSQERETIAELGRVLMTTSSTWKFGSLRTGIKRNTLNSPFYVTDGNAKDLNAVKWSHAEPNQGSYNGGGNNCGMMWLWVPGGRQVQQRVHGEFFSMVCHITWNDRFRGFVCGKSAV >CRE20316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:225230:225981:-1 gene:WBGene00061694 transcript:CRE20316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acbp-7 description:CRE-ACBP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MCQ4] METSLEDFKQIRARFVQRKVLKYEFELFTKFEGHTRNIWGFHQQAIIGDINVPKLNYMEIEEGERSWMWRWIHGNEKWHAWNKCRGLTKEEASKKFIEEVQKLKSEIHQLLIEWKIEISNDPKGSELNNNDALFMD >CRE20220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:234851:242774:1 gene:WBGene00061695 transcript:CRE20220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20220 MAPTPRIQTLAQRAGRLKTCITRVIDGSKQVLEYVDQWEADRKAACQAAEHESRGHPIPDLAVTTDALNTLISMETQLEGLPQILQDKAAKLVEEAEENNEEWEELENLCKLAVEEREDQRKHLLIAVRAKIEMFRELHDASEETVPPPPLSPQQVLHIMAESIDAPNQEPIVTSPMKYPLYHELHMVNSTGMNGNNSELSTEASQLPDRIHDLNLNGTLENQTRAADTPILNSNALISPELGGLPAGNIVYQPNNMRQKGRQNINENSNYLAAAGVNQSNMNSQSGPVRRDISNNLHNNIGQPRFEYSEENQQNNCHERNFNGNEHSEYYQQQQRYNRMRYSEYPDHLINQSQYHYQQNQNRQVQGNWNNQQIPGKRCEVCEGDHEITLCNQNNEVVARVCIKIGICPKCRTGGHPVTGCPLLYLEKEQARMNTEKNHEESRNRNQFNDQESNQDNRNSTHQHRANNQPRYAERKNEILERELARHVATIKPFTGVVSEYASFRNIMTDYLDSETVSLAVRRDTLMQKISGEAAVHKSILNDPGKAIEATMKNLDRTYNRKGSTSVRNQFEKVVVSDESIDTFIKSLALSRSLHDKVLEEEPHGFEYHSTKALLGRMPDAVRAMCNKMLRNETLTTEKIYEKAEEHLENQIEDAEITGRSASQSLKKWKTELHVTQSETDHKEEADKDSEDESTAELLAFGAKREQSKFHGSKTLNNQQGRSNQTVNNEVTAPKSNQKQSTQQPAQSQNQPNIGTQAPYLSNMLPQSQFPQTHQTNNQLGSYQHQFAQYPYQMNSNHSQFQGHVPTPMSSSPWNPQPSHINYYLHPTGQHQNFTNQQHNGQTGNLNQSQDPRNQLGYHPVPQHNNSPASFGQSQQRSSSKPWFKENGSPPSVGHSTGIQLSIQEEAMKPLTDCRDPDRYNLGPGPNIALIRYTFPRDYDEESYCQVCGKGHKLVRCLLSSSNVRKWIDEKNACSNCASRTHSVTACTSQVSCFYCCGKHHTGPKRASESLKLIKETPKMVDQSSADENKSEYPLQTVQNTSINLLVSPSEINSKDNILIKNQANTKTSVTDSKPHIIPLASSSVNNTTDTIKALHKNTTPDKSDAQQKNILLVSPSENILFDTTRLYDDAPIVNKEARIHQITHTLQTESFEPTELNVNEEDISLPLTTLQLDNNDQILTLVDTGASITLIADQAAKDLGLKVAQEIKLTVNGYKGKSRSSSNIYEIIIKGGTLEYKTFVAGVPDLPEIRYKTPVFSEEDHKELELYGLTNRDVIPCEEFERKRIGMILGNDILPHFIRGSQRICLPSGRYIELSPFAKMTFPRARHCPVMDTPTKDNKAEVQKERKEHSINTLMAQKYGRAGEDDLTDLILQLWKSENCGVESATLREEEYLDQRRLLIWFEDTLEITEDGQIAVALPWNGKEIRMGTNKNLAYKRLMCLIEKLRNNSNLLREYNKIIKEQLKAGIIERVTPEMQKQGRSYYAPQNAVFKANSANTKVRIVGDSSSHQKGQLSLNDCLFEGPNMLRTAPGLHLRHRIDQYPIVGDIARAFHQVRLQEKDRNVTKWLWVEDIEKPPTGDNLVEYRFTRIPFGMKCSPFLLAATIRHYLLMAASILSIEIDKNLYVDNIMVSTNYAEEVLPKIKGIQEEFKVMSMPVREFGTNYHPALLEIPEADRAESHISKFLGYSWNTTDDTITVLIPEPSVNRPTKRDIASFFAKTFDPMGYSAPLHVKIKKFVQKIWQNGLEWKAPLTDKLNKEWEIIKQQYKDTALVIPRKLRQKYHPDEKPEIVVFCDASQHTYACAVYIVYRHPDGSVESNLIGAKSKVRPSSGAGWTIPRLELLAMEIGMRYTESLIHELPEKDKPVSLDIFSDSMIALYWILTEEQKKQWVNNRVTTVHEVDKAIKESGMEVSYHHVTTDKNPADLATRGIDSTSLQNCTFWLNGPSFLSEPRKNWETKLEGEIQCPIEDKDEVTLELRNTSKPKNTSIRQKKRAEAMANLVLVETSVNATVSTSKKPKQTTEIYTSFVPFEYTNSLSSLTRITNMVLKFISTVSKNKTLQSEPLKEYTECNKITDTVERETRQRKLARLTVFTEHYKEAESRDWKFKETLNPFQSKDGLWRTKKHYQSPNIPLETSEPILVHREHKLAVMLMDEIHTENVHLPANYLVTALRSKYWIQTDGRLARSTISRCVACRKVKSFPFLYPYNTSLKENRTVPSTPFAKVGLDFFGPLQYKNRNETELEKGYVLIYTCLTTRCTHLEICADSSTTSYLNALKAIFAQRGVPKYIYSDNAQTFQLGERVLREDIKSYEPESRLINFLAREDINFRHITPMAPWQGGVYERIVGIAKKQFRKEIGKQIFSFPELHSIMKRVEGAINSRPLIRNPVHINDVPVLRPIDFLLPAVLLEVPNDTDNLKGDILYDPTVSTTEKETREHLKKMDRVMEKLWKIWSTSYLLLLRENAKRNNRFSKVSPRVGQVVLIQEEMLPRHTWPVGRITKLIGTPPLVQSVEVLYKGSIKERAVNQLIPLEIDEEQETIQTPEHATTSRIPHKVNQNESRTDNSDHKITSTRLQPPRRAKEGVHYSLDSDSE >CRE20221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:245045:245375:1 gene:WBGene00061696 transcript:CRE20221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20221 MADKSAYMSAGGYSSGYMGSNASSSGYAREDYASGGSGGSNNNNQGGSGGNTNPGAQVFKARTDQSCYLGP >CRE20318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:246262:246730:-1 gene:WBGene00061697 transcript:CRE20318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20318 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MCR0] MTEKKQFIATEPSDKIRFTAESQDEQKTVLKITNQSEMKQAFKVKCTRNDLFRIKPSTGILDYNQTITVILIYRRVIGGQGKPPVEKQQFGVYHIPAPENCTCEGAWAEHYGPPQGEHKLKVVFNE >CRE20222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:247095:250250:1 gene:WBGene00061698 transcript:CRE20222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20222 MRNSIFLLLFFCSFILHLNGQVSVNSPFEFPEELEEEEEEKSLEKDILVIPNRFPKRLNWFCNPRNEMMRDRLCMGEIDAFALACADDSPPIQLVPFCLGYKHQCSKANYPSEDWCEKEYNHYKRFCTHCEKNPCISFTHDIDCYCEPYDNIWRRYGYETARWCQKYELTCDEKTRRDKGNELIQLMQDRIKVHYRCLHLYNLPQVICDPFSTRFDWYRCMKFLFDCELISDWDDDDYDDAGVITGGDTSSQKKPSLPSISKPKTSLVEPSISDQAETLLKGGLSSQQLKENAAIREKEKQLESVLKKP >CRE20223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:254368:255760:1 gene:WBGene00061699 transcript:CRE20223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20223 MSSIRPMELEKTPYTHEEPLTCGGKVKRWCNKYLLEGQHLDDEQANKVANLPENPTFGDLVFIKYRKFVAMLIPFLLIHCVWWSTAIRHNLFQYYHDYWHMPVTMALGSFVGGMTSEGSGAVAFPVMTLALHIKPEIARDFSLMIQSIGMTSALVCVLFMKVKFEHRAVIIGCLGAVPGFIVGVHYIDPLFSGPQKKMLFVSIWTAFAFALGILNAQKKRSTFREIPEFCAWKGWVLFFTGIIGGIFDAFAGSGIDICIFSVITLLFRVTEKTATPTTVVLKGTIAVFGFYYRAVMMGDIDIMAWRYFAVSIPVSAATGPIGSFLGSHLHRQVVAGFVYVLEAIALVGFLFTRPAWQLIAVGGCIILGGFVFFSALSKAGSILMNRIEARQQKEAQKAGITSGSY >CRE20225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:259924:260830:1 gene:WBGene00061700 transcript:CRE20225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arl-6 description:CRE-ARL-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MCR4] MGFFSSLSSLFGMGKKNVSIVVVGLDNSGKTTILNHLKTPDTRSQQIVPTVGHVVTHFSTQNISFQAFDMAGQMKYRSAWESFFSSASGVIFVLDSSDRIRMELLKDELWMVMDHKDVASRGIPVVILANKMDIPGAMTAADITTALGLNLHRSGTWSIHSTCGLTGDGLDKAMQQLSTEVLKCLEARKS >CRE20227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:264337:267325:1 gene:WBGene00061701 transcript:CRE20227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pal-1 description:CRE-PAL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCR6] MSVDAKSEFSENDSSTTSSPTTVANTSWSNYPMMPFINPQPLKDKMLQQSFDPQLYGRWPQMGDTGFYGHPDIYSSFGLPQLASNSQIPSTESVDVKPPLSNGSSSSDSGMYPSPNDITPFPSTSSGVTSSASSSDLSAAAAAAANYQMNAATCYQQSVWPFMDYQHPFTWKMPLSSGGKERRASSVSKILPTGPGTNNVRVRTADKYRMVYSDYQRLELEKEFHTSPFITSDRKSQLSTMLSLTERQIKIWFQNRRAKDRRDKQKIRL >CRE20319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:267648:268704:-1 gene:WBGene00061702 transcript:CRE20319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20319 MPDKKVLWMLNQLEGFEKPKIKLEQYATSSELAVSMMEMINDLVGLDGVKLVDVGCGCGMLMTTAATLYEPESVLGIDLDEDALKVCARNIEFADVQERCEVLQADVLDSETDLPRGTFDVAIINPPFGTKNNTGVDMKFVQVGLELIRTGGSVFSLHKSSTRDFILKTANKWENVKADCCAQMRWCLPATYKFHKQKAVDIDVDLIQFKKSGPTENKETTSSQ >CRE20320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:269280:274110:-1 gene:WBGene00061703 transcript:CRE20320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-325 description:CRE-TAG-325 protein [Source:UniProtKB/TrEMBL;Acc:E3MCR8] MTTAVQPSSEVSGFGGGPAGSRASDLIQFQEIMSESNESSSSSSHTNLTANTSNVLPRGSNEQSPVDDPSHVYTNIREIEELNSRPVPPTPRNDAQPRRDLLNGWYEYETDVGRTFFYNKETGKSQWIPPRFIRTPAQVQEFLRATRTNLDTTFSFQGTSTLSSEEQKENKEDKSNEDDRKSQSVEDEEVFDEICDVEEEKEMFFEPPSRPLPIPSSTDDEEDINIEQKSAEQHVENGDDGFSDSDFEEEYQAPTTARKISSASGAMIHHPYVQMSTFQSTFPRSKDEDNDKHAPYMVPPDANESTKASNPIRVRRPSPSSLRSVSFQNKCTVLKNVPMPQVLPTTSTSYDLPQYHNTPDRPSFVEDSVEFTQSLRCEERRGSSEGREPVRTIRCGDMERSEKEEQLEKSIKPKKKEWIMNYMYLTTAHLILYKDQKSAEKHGKHYDAPQGVWDLRGATVTWYQDDRDFLKKKQRKYIQLELCNTKKYLLRCSNDTEVVEWYKSLVEVVEKLPAPGSSNQAMIDVTNSIARNPSYIGSTRPLSHALIPISRSMRRRDDPMSQSAIESMSTSATVDESRPSKETILEKLRRFFRTRPTVESLKEKGIYKPEPVFGSTLSAICQHENSLVPKFIRVITEVIESKGLETDGIYRVSGNLSAVQKIRCQADQDNYKALVAEEDIHVLTGALKLFFRELSDPLFPISLHKEYTSAMQMPNATNRFKKFEELLGRLPNENRETLKMLLRHLNRVASHSSQNRMQQHNLAIVFGPTLFHNGDGAVNSAAKNKKAAKKTKPSKKEESQQTPIQSNSHLAFSMIMQSQIVQYLLESANKFDILKAPVNIGR >CRE20321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:275544:284679:-1 gene:WBGene00061704 transcript:CRE20321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mel-28 description:CRE-MEL-28 protein [Source:UniProtKB/TrEMBL;Acc:E3MCR9] MENENSSIFQPYEKYECWRGEKQTILKTSIGRQLPYIVNFKKNQCQIFNIEWERVTHSYTFPEGCNLIDVDYFPTEDGLLGILLGVEDPRQSWGAEHFVVALVAKENVPKLEITHSAEIPTKITVVKTLFSSADMADKSNREVLNLYHRLMTWKHVVAIGCKDTECHLARLTAVEDTSSLLIPIHNDRKNLINLMGAFTDGTTLQYTQNDGSYRDYPIEGVYITALALMPRSRTLLVGLSMGGILAASLNPSNQMNFLELRHERIIREIAPLEPEDDPDKFEYFIAAVDASPRHPIMIQLWRGSFKTLEEVETTEKYDRPYFAVCLEHKILFGEKWISVNPIVTEREHWMTTKKRGTDESMANVSHSFGSTSNRNSVLLSYERQKMKTTEDDPNGLPEYIVEAAVFDIDAWYYKRVPGRVSTDGTVLKQCAFLSTIKSNIRSEDVNDIGILTSHANDVSRFSSSISDADQLFYPSALSYERIYVAKSSKIEWMKIQNIQDTILNKCASKLSYYLQSPESISNVIIAAGLVRKNILSGSPNSSAADINHSQLSSEQKVILNLIVYYGKVKELCEIVNCSEISDTLKNEIAEWSLHEAIDYKRTISDKMVSIFQGRSYALSPLAQDAVTQGIKLFRVVYEYIKTCSKALKSSRLHNLACSVKCVRNHTKLTYQFITFNIIPVDQERQQKMKEMHSKRQLEAIRNSSSLPIQSIVRNMNRIAPNAQFWNDIPHHEWYPPTPVDLLESLLNVNISERIKRELVIQYIIDWIRASPNEINLTDKQIGLEIIKIMTNQMLDVDLEKIYYVLDQEKKALIDDKDGERIRALGEKVFSLQNEQISYEKLWGGGVPVDITINAYDMKRFEERMKLQLEQGECRLSVLDPESEMLYQVYLFENEKFHAMSSEAIRSNELLSMFMPGMVQNKGGTPQKSSKEREIQSSVKTMFNMQTVKEVKEKIGVKEPSVFAKVADENRRRKRSNQLDDYDDDSFSSVSSVSYVPPVSNSCKFREKQSSYFQTAKRIQQWKKAVESSPIPELPVANNSSINSLISSDDPNQHDEINMLIATPARYYKRPNDVDLEQDLLSPSADRAPPLPAQNSILKTAKAVQSANRGRIRFHESVPRGADESVEDTDKAPKGLKLNFAILEDDEEEETMTTRRSKTIEMQDEMDVEENEITQEEMENDEIEDVEEEEENEEECIESEKTFENQDDFEVLEDSSAPGKNIEEDTFEPEENDVTAAINETFESNKTEEHQEEEDIEERIEIEERMEELKEREIEEEVEGEEGKEAVQEEETLDVVQETEKKDEEEDKKQEEEKDVEEKEKEIEKEEDIAEDIIQSSAEVQSEDESEPIEVAGEMEPSENIVSVKQSQAIVELAEENQQETVSGEQEKTEEVQEDEQEMPTKTEDQSEMKEIEISKISEVPVETEEVVEIGETEKGGKDVAGTSYEVREVINSVPTETSIEEERPPSRNTRSRSIQKTPAPVTKTLEAEQYSSTPSRRTRSASRQRDVVVEEPEPSRTSRSSTRQMALKNRTPSELLDALFPRDELEKKRAEKTQSKKTRTSRSESANRRSASRTRSVEVPDTLVEQNTPKRGRPRKTSETVSSPEKRKRGSSVPTETETPTKRARGRPRLTPLKAIPEDEPSTSNSRNEGTSETSAAVPGRVSKPKPLEDVCEEDEDVPVQELYRVLLLKMNIETQFFFTSKKFQTQNDTLGYTYHGKYHLAGVYDMSQILREHPKVRRNVDGKFKIIATEENQDLVALIAKQKDNKGKKRGVSGKGGRRAVTSIGHHHRSGLILRSSPKFSNGMRPTSSTGKANIAKQFSNAPNFGRPLTSVASRPTTSKLGGAPGMFYNRQSSFGTTPNKIPAPAKAPTLPLQRPLVRPKTASSVSSNSASLVSSRSTVTVAPPSISAQPPNHIPSIKEFSDNAKIMMLKLYPESIHLSEMTERYSQEYGNPVEPLQLFSKSWMMLVKSTFKEWLQIKDGEVSLKEDWFARFGSALKKSLSINASGHTKTSEVPSKSATSVSSSSIPTTLPINQGFRYQIPASQPKSPVELATQQMRGLTMGARHALRTPDKPPGLAPKNSSKLMVTVFPGFQSVQKNSKSTTSNGVIKIGSSENSAYTYRAVSRSSPDAMNVDCLRAGFQHATENSKLPRESFDLAAYQKVLSVDRSYDAKQLRNLKMVEKTNTLKDTVAEALNENPQLKKTAMKPLRTSPSGTRANASYPSGRNSTGNREPNNRIIGRALADIHKQNDEQKPLMKNKSVREETSSFESKSKYDEKSLYVSSSATPTSNKKTEVNSSKDSDSDDGWSISDQSDKTVTNSPPPVSTRKPVLPPMSKGASSKPQLRSRPVSMCSSISSLDSYERALHATLPDDEAW >CRE20228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:286174:287790:1 gene:WBGene00061705 transcript:CRE20228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20228 MMLAPQLFRDIIRPCPGCDTPYDIRLHAPHVLPCSHTFCLMCLSKHEQRKKRNCLICKAKYSKFAPNLALMEVSERIDERRAFLEADTRQCDECDNRVVKSTLRRCETCEKQLITRTEYKLECIVCLECCVNSHNGHTFSRINTAPSSSIESSPMVQQRARHPSTSSAVSVHFRHPSTVSGRLSTKGLINTIKSWSIRSSSNELSFVLSPPSKLAFSEEDIVMQCQSPFYEEEEQQKQQHELIRNRMSSSRYICYDTKNPDDVSVDSVFVESPQSLPKTLAPKLSSTLTGRSSPIQNKNDSGVVMSTPSPSSRDAPSTLTSSHHFSRSATSLRIPSPSTTSKIQTIQNFFGTSRVSRNNRIRMGVTDLVNTPCRPMPHPRYTSTPNDLNNGQYSERSTYPATPIIRPKPQYF >CRE20230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:289839:291291:1 gene:WBGene00061706 transcript:CRE20230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20230 MLHFLFFLSRIEYSFGQLPGPNDYPGKIGGRCGLFQFDCDPTARTPLCIPLVAVRDCTPDCPNMSDEWCGHGTILCDAGVGQKRCGKCVRPQDMANLCLDRKWQHLCAYQGTYKCAKTMNCVFAKWLMDGKDDCGDGSDEDVCRHGLVSCSGSVPSPTTILEPVTSTEKPKETKKKPIYKKDRCELGEFRCLNGECLDISRVLDGQEDCADASDESRFNEVSSMLNPVFIFRSDYCEMHDGVCNTAARCSFQRDVGAFGCGCPKGFARNPTGICEIEENRMKH >CRE20322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:291454:301412:-1 gene:WBGene00061707 transcript:CRE20322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20322 MREGGEVLVVLLIHLSLVFGLHPFSGHQRYRRQILDEPNQQIDLNITVPYIFSARLYTYGSNRGDISIHGRQEIYKLENPIHFMGNVYDTIYISRDGSVGFTEKRQKPSALPVEEPTIAVFWQPATLGNVWYRETSDVNIMNLAHNEVNIQYRYGSQFRVRSVVLITWEGVKDPLVPESEGNTFQLALIIGDSMTFAHFIYSKLNYNTNAIAGFSTGESSYSLPDSATHDAILLSEKSDIGIPGEWLFRVDGDHIYLCGAGFKGLECIESCAPSQWFNDCSKSCHCDGGDSCDQENGRCPNGKCSPGWAGEPICDEDLDECEMGIDNCPNEQPDCLNTPGSFLCLCFEYDESRQKCKNSKPAPPSAPIPVDVIPMHPTFTRKPAQAKVKASPRTRFKSTTAAATTQMVTTHSSTSSLTTIREKPMATPLLTTEVVTKPTTTTTVVTTPSACSRCDQNAKCSNGICTCSKGFTGDGFRCYDVDECQIPGAVCGDHSICSNTIGSFECSCHGGYRFEDDKCQDVDECRETPKICGDPNKGTRCINKDGSFECLCKDGYEGDPSSECKDVNECKNTDACGPNSHCTNTEGGYECECLPGFERIAEGAHCTDRDECAVEPCHPAATCSNTRGSYKCECIDGFVGDGKTCHETILYPISNDSTVIPRSWDSSTAIPLSKPITVFGKMFSKIYLSTNGIISFEEPLQGLIDHADTLKKPAIFALHAQFDYIKDGLVAYTYINGEFTENIMNCNHSRLSDTDEVTLPLLMRSSIGIQTSMGIENFNTKRLHIFTFDRVRQSGSENFNSFQIVLAESDKDATILSLIYEKVQARGPMTGISTPTGFLMLPNNRLTSGSNVGQPGKWMYRVDMMTLQACPPGRIGEPLCDRECAAGHYGIKCESTCHCDGSVACDVITGMCPGALCRAGWEGLSCDQDIDECGMNLVTCAVGSECVNTRGGYRCDCKKGFVPVGKECKQIDRCLSRFSVPCSRNAECVELESSDPKCVCRKGYHGDGFRCTIRENVKSAGGSHLVSDLTHHLMEVASDSSNTTDSSTSSSSENPFVMKNWIPDRVGETTPIPQRPKFVTVQTPPLFYTAPPIKPKFADKDMDLLDGDKPRAEESGSPPSVLIIVIVAISVIWIVLTILVFGVMYMNKRKQRNMERQQYAAMRGWKGGQFHSRASRMITTSNPNVIYGQTPRITPYESY >CRE20231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:302589:303866:1 gene:WBGene00061708 transcript:CRE20231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20231 MQSSDEGDDPMPRDDEELKTKKDKYKVLALLGKGGYGAVYSVLRLSDSEKFAIKCENANTCRKALYMDCNVLKGAAQIKSRHFCTVVDQAAVKDRFNFIVMKLIGKNLWDLRMDTPECRFSLGTALKTAAQCLVSIQQLHKFGYLHRDIKPGNFAAGRKESNEHHTIFMLDFGLCREFVKRGEGKLRTQRANAPFRGTTRYAPLNSMLELDTGRKDDIESWLYMVVEWTSGGLPWRKLKASDRDKVLQFKKELRNREDLQEDMFYSCPKKEFFRILKVSYHFSTSFHSDYFQYTDSLDFYATPDYGFVYYCIKHAADANKIKDTDPLDWDPNTPYFGPIEVPGDGAVIELEVENRMSAEGSINKTRKEKGKKNEEKENEKYRTRKRENKKNDEDEKMKNKKDLEAALKKS >CRE20323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:304337:307384:-1 gene:WBGene00061709 transcript:CRE20323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20323 MRKQNRPNQTARKQMQNLTVELFARDKNARRQLIDEALSVSSTIPVNDISPSKTPEQPAISPTPEPIQELVQVLLAPEPGNHIPRKPRSPRKSAPAKPKTVPKISQPNPVQQTEKSEQQDKPEVVQKSENGTTVKPQDSLTPVSNPDSSKVVVDELQQAEKKKQHVESAEKIEPKAMPEVSVSETLSVTDPVSPAVPSAQSPAQSPVEDEEPFVPPPPLQRVPYEAQSSHLANIRAVTESMNIAAFPSQSQLSQQHHQQHQQQQQHQQQYQQQHPQQQQSQLKIQEPMSFAACVRKQPSIESMSISPSPYSKFDGKTSPFNTGGNMGGFSNQGGFGGFSREPNKNPNPFANRKRFNYDYDSPSNNSHSHSNNRFFPDRSDQVRDLSDGFSALSSKPNGFMNRGMSRESSSSGLLPNWYKGRSFSTASSHYPYSQRSTSTGQFSPFTKSSHSTTLNIPSHGHVEDIDGFLASSTPSNPFFSKRSDLNMKKERWARMKAKKRMLRRPIEDEFYEDPDIEEEILCGNGVMLNQIVQQSSSGNYEDADLLRKYRRDVAFVKERVLNSIDGNIDGIRDLRFLLASVQTYGNIDGECMMAELGMNEFSLFSGIIEKFHAIIGPWQTENESQRRRASRHALETHRIPLQHSIATISKRKLVEEILGRVEPSIACHQGVKVGLYSDSCNEKTRIELNIKNNFKDPGMICDKNDRRFILVLQSELDLMVESMKHLAKTVGFHYDGFPVHHNCFVIVEAFVEAISDIMNEKIDLETMRWFSLLGQKVDAEESASPWETGTNFHCARHSEPKSNFCAAVTVGRTCIIIYHVLGSFFRRYHLKKIPTALQIPSTSAPIQ >CRE20324.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:308066:310377:-1 gene:WBGene00061710 transcript:CRE20324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20324 MLSNNFGQVGQPYPRPYFPLQELRDDEFVQNSPLLRLNDNVLDAIVEYVPLKDRLLQLRSVCHRLSDSVKRSVKSVEFLRDELDYCDDAKISFFLAVYGKNVEHMNYDLYRSCSLREYTQWSWRQSVISSVTRCAQLKHLDILICCRHRLRDGDLHVIFKQCQQLEVLRMDASYINGHCFAKSPNTLRKIELECCRSFTKQGVLGMFGRLPKLHTLHVSLLPCIDEQVIKRIGDMKCLRNLSIVADPEQKMNQFRLAEIRRLPKLSTLCLDGVNNVTDKFLGDLCEAATSPASDSIEHLSFSFCKNIGPNGIAKLKNLPKLKSLNLDGVSKRDISTGLEAIGNVGKLERLMVSEETFVSPRTIVDFVTKCETLRTLDISANHRLKDKGFAEQVYSARVFSFGKPMVILTDFKSMWRNLPPFSYQSRVEIVNVNDRYPEEFVESISTNTPQQLPRGHLIPDLRRGNRYKMLDFSLDTSGEQMIDPANFDSQDQENQPPSEIGPLSVLSEQEQAELARVLMEIQQPFNAADWINFNECAFARSTVSNFEDSITVGSAGAPGPPREKGSDKILKHSRPSRPKRQAQKERAQQRRSGATNNQKVPVPTGPSFTEDDFPPLG >CRE20324.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:308066:310317:-1 gene:WBGene00061710 transcript:CRE20324.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20324 MLSNNFGQVGQPYPRPYFPLQELRDDEFVQNSPLLRLNDNVLDAIVEYVPLKDRLLQLRSVCHRLSDSVKRSVKSVEFLRDELDYCDDAKISFFLAVYGKNVEHMNYDLYRSCSLREYTQWSWRQSVISSVTRCAQLKHLDILICCRHRLRDGDLHVIFKQCQQLEVLRMDASYINGHCFAKSPNTLRKIELECCRSFTKQGVLGMFGRLPKLHTLHVSLLPCIDEQVIKRIGDMKCLRNLSIVADPEQKMNQFRLAEIRRLPKLSTLCLDGVNNVTDKFLGDLCEAATSPASDSIEHLSFSFCKNIGPNGIAKLKNLPKLKSLNLDGVSKRDISTGLEAIGNVGKLERLMVSEETFVSPRTIVDFVTKCETLRTLDISANHRLKDKGFAEQVYSARVFSFGKPMVILTDFKSMWRNLPPFSYQSRVEIVNVNDRYPEEFVESISTNTPQQLPRGHLIPDLRRGNRYKMLDFSLDTSGEQMIDPANFDSQDQENQPPSEIGPLSVLSEQEQAELARVLMEIQQPFNAADWINFNECAFARSTVSNFEDSITVGSAGAPGPPREKGSDKILKHSRPSRPKRQAQKERAQQRRSGATNNQKVPVPTGPSFTEDDFPPLG >CRE20325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:310815:312777:-1 gene:WBGene00061711 transcript:CRE20325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbg-3 description:CRE-RBG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MCS7] MNFWQESLRLARYDLPHADRPSGGLEIALKTEIMFFVQLVLRCLPYETRDWEISLSRSRNHYRILKETHLIDPHDTKFSQDPELNNPLTSIEQNPWNTFFEDNDLRDIIGKDVSRTFPEIEFFQNLNIRQTMADILLVYAKEHPFANYRQGMHEILAPLIFVINLDNEAFQHAKENDELKMLTVEEEDILNCLFCKEYLEQDSYNLFCAVMLEVSRWYEEPTHSETPKQHITKEPYMRVQDSVPSSRLMEDLVDIGNLLQETDPTLAKHLSSLDIPPQLYGMFVQSFFFHFKQMFLFRRWLRLLFGREIPLHDLLFLWDVLLIDRPISPLAKCIFVSLLVQIRHLLLTSDYGGCLQYLMRYPPIADIDSFVKLARHYRNPKKNAKPMMKSNNFSHITIAGSSHPNRTQRPQRPLVVSERKKDDLESPPTILALAPVLQKMKNTIRDRSNTVSVPSSPRRSAEILTTPKKNDNWAKEVQMMEEQVSTLQTRLNEQDMMCCQISKALEICAEDVRNAKSNDQCESLAQHLLELSKTLVSIKTVPVVPSPPRNGKNNVDFKQRVCPSKFGIYLLSAKSTKWST >CRE20232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:313456:314480:1 gene:WBGene00061712 transcript:CRE20232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-0 description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:E3MCS8] MSSGAAHSALTEEDVMKLLATQAHLGSTNLNFQMQQYVYKRRFDGPNIINVKKTWEKLLLAARAIAAVENPADVVVVSARPYAQRALLKFAAHTGATAIFGRFSPGCLTNQIQKTFKEPRLLVISDPRIDHQAVTEASYVGVPVISFVNTESPLKLIDIGVPCNNKGERSIGLMWWMLAREILILRGKISRQTGFVLDGKEIMPDLYFYRDPTETEKEETGAHAEVTETQEFQQATDIDFTAQGGKVEDWAAETATWTAEGKTDEWASAAPAQSSW >CRE20326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:316553:317488:-1 gene:WBGene00061713 transcript:CRE20326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-89 description:CRE-COL-89 protein [Source:UniProtKB/TrEMBL;Acc:E3MCS9] MEKFIVGVAATASTLACLVMVITIPQLYNTILEVHDEVLDGVSVFRMETDAAWTDMMDIQITVTPPSKPRVNPFNSIFRQKRQDFSGLPAWCQCEPAKPVCPPGPPGPPGESGQPGNAGPPGPPGEDNTSTYAPITCPPKDPGCVKCPAGPAGPPGPEGPAGTAGPDGQPGSPGDAGKDGPAGPPGPDGDAGPNGDAGPNGEPGAPGKDGEKGKGEPGPAGPAGPPGPGGPPGESGSAGSDGAPGPQGPPGQDGTPGNAGPDGQPGTPGGPGLPGNDAAYCPCPPRSAVFVNRFAH >CRE20233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:321072:321916:1 gene:WBGene00061714 transcript:CRE20233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ras-2 description:CRE-RAS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCT0] MSNGGKRPPEDDSKLPYYKLVVIGDGGVGKSSLTIQFFQKQFVDYYDPTIEDQYIQHCEIDGNWVIMDVLDTAGQEEFSAMREQYIRGGRGFLLVFSVTERKSFEEAHKLYNQVLRVKDRSEYPVLLVANKVDLINQRVVSEEEGRELAAQLKLMYIETSAKEPPVNVDAAFHELVRIVRSFPSDEGEHEASMASVPRAKKRKDKGKCSIS >CRE20234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:322281:323592:1 gene:WBGene00061715 transcript:CRE20234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20234 MAPSKSREGKKEQPKTNRRKASATSKMTAPANPLSDGHAPTDLAEQLAKPKNRNQMYDMVKGHAASTVPEFLKYLPENVRLPIPLRDNRRFKIGPDEEFFPGQYIRMEGAEYILVQAPTKKNYPLIWRAVQQDRVKMVVCLCHDDQMSNSDDSKCFTYFPTEPEQTMEVEHKKGKYTLVCKSREGLSMGATKYEVEITDSEAVVEKNSDDPNALNEKTRKVLVFHMNTWTGQKPESGNVLEQAQNVALFFREVKKHELNILRKSMENYVSPVLIQSFDAINRSAIGWVALMLLRDVEKRECFDVPNLMKLIMKSRMGSISTYYQFCFCMAVCLHIGKDVKWCENDCNNALTELTAKFGDRKLNELGNVAV >CRE20327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:323811:325014:-1 gene:WBGene00061716 transcript:CRE20327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20327 MSVHFVAHRLFYFPIQNDLVLPFSLYSFSFLSLFLFLSLSSSLGIFSIYLISISNCIETILSDRRFKSKKNGQTSSWIHWIWAFRFVLIHFLLQNYDYSGKFLVEKLRELPDEFEVMRIWNRSVGEPGVQGLETLNAENLNDIDLVVEVAHPKIIADYGEMILEHCDLFAGSPTCFANQELLEKLRNLSLMHARRLLVPSGALWGANDIQKMADIGSLKGLTVTMIKHPTSFKLGSPLFEINEQAKLKEIEETVLYEGFFQVLCFIIDCVYSGSVRGLCPLAPNNVNTMAGGALAAHNLGFDKVKAKLISDPKMTDWHVVEVRVEGDDGFEVITRRNNPAKPGAVTGQLTYYSFLSSIKESKYKPSGIQLC >CRE20328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:325319:327275:-1 gene:WBGene00061717 transcript:CRE20328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20328 MSDEKKSSARKNNSVFQRVKKTLRQRSRESNQSKRKSTSKASERSKKDFPRFLKGKIGNRKKSSDRTKIVNTYFNFQKDCNTSRSSHSREAESRSRVELIPRSIDLEEDVDHLVGTPDLLYEIKKEETKEFDEKKDVEVKDKKEEKKIEKKEEKKEEKKEEKKEEKKEEKKEEKKEDKKDGSKEDFKTKTRKKSDEKVDVSKIEKPKTRSWLGMEPAMRFFHKHNDIQKIREEYSFLDSLTYNKTTDAFVANKQRNRHGCPKIYDENRVKLNRPGHEDVNYINASYINLKSNLQSKQFQFSHKLVVAQLPQFENESFVEDFWQMIYQEQITLIYLLVPEKALKNTPTSLFKEEHGAYQYVGKMFINNRRAEVSGDPKEYTIEVLLEGNSDSVICQLNHHATWEHLQQAPKTRPIIKMIHQFLTEKQIQNANVCVVSVFGCGRACSFIGALYAISQLNHGIEPDVCFKFNLKSSENPSFQICEIMKDIKQHRPSATESFTQYAGIYAIVLDYIARKRGSKRDPINKEINDFIDILTDISPAVSPTKEKSLH >CRE20329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:327569:329296:-1 gene:WBGene00061718 transcript:CRE20329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20329 MSNNDAVSGALFQHLFRVFSLFADQNEKGTFKPAQAEFLIDELLRELRRQTTPKVHNLPDNVTFNEFLNLLQVIFPDREDLQIATDRVFERYVNHVIGKGFVLFRKLTQKRRGCLPIRKRVSDAWQFGWLQVMPGIAKIRKQNTDIDDIVQFDEDTVIEVKSREYGESNSKLLIQTPVIDGDSSIWSVICSSSTTFQFSHLDPIMAQLFVKDMRTARDYPTREELARFDCKRSLKVRPNKEGKIRIELEKERSRLESELEEEKKNRKDEEIVRGLTTRLLKQEMEKSEQMQQVIYELRSKLVNGEEGESLGDGNDDDIEMIEDEISEDVGENEEISITLPSREEKIQQYAYHLYATQAEEHVTSDDEEIWKQNYNLIVSTQSV >CRE20330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:330236:336598:-1 gene:WBGene00061719 transcript:CRE20330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acy-1 description:CRE-ACY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCT5] MDDDVGERTPALGGSCGPSVRAHSSSPRRVPLFERASARWWNPQFRSATLEAQYWKCSFSQLRDRFRSGLIYIAVVIAAWTLYLALFDRTFIQHWIVSLCLIAIIFAMFAFTACAAQYQRFYMPTSFLCTFLICLVTLLIFSAETQAAFMTPVASLATSFQVVLLIYTVIPLPLYLCILIGIIYSILFEILNKNKIGLEEAGYIKLVLHAGVHLLGVHLFILTQVRQRKTFLKVGQSMLARKDLELETQFKDHMIQSVMPKKVADELLKDASELRRPSASNDSNCRTSNATQFDQPIAKMVPEYRKFRPFTMNLMTNVSILFADIAGFTKMSSNKSADELVNLLNDLFGRFDTLCRLRGLEKISTLGSLDIKCISHNFSDFTGDCYYCVAGCPEPCDDHACRTVEMGLDMIVAIRQFDIDRGQEVNMRVGIHTGKVMCGMVGTKRFKFDVFSNDVTLANEMESSGVAGRVHVSEATAKLLKGLYEIEEGPDYDGPLRMQVQGTERRVKPESMKTYFIKGRINEGVEEEVMQVQEVESLHSQKSSKKSTLKQKWAEKLKMNHTNSYPMRAAAREGGGSLRIKLAERNRSTQLLPKESNSICVMEDNRKSASLQALATNNFNGSNTDTNNTYSERGVTGSVSKKSVAGSESNSIKGSRSSGLQLSLQDGNSDLNSVGGLDTAISHHHNAASLTRFDTDNNFDQRLAMVIGQGEGGFDKGFWNHHDSLNKWTLRFNEKDVEQEYRAHFVDSAERYTSSKKGHVERHQDLMEQGGDKDGITGSAVNKYRYSGVFIDIIVATLIFVIAGVVAMMSVRPFPLSLFAYFPFAAAILVLTIILIGLPLLARKKSIQCANQWMPRHLIGLLLIFLPVGVAICIMPFCTLGDCANVILNYRLAFSYVTILAIFAHCNFSQLAAWPKTTAAVLIGLLHIGGVFYCEFNLKHLIEEQDTCNVTEIVIPPIRRGLSSTIALNSTSARTLSQDFGSPLFIWELFLDVVLSIVLVAFLNYQFETAFRMSFFGDVQARRDTERMQIVRDQADWLLNNVIPAHAVESLKTDTKYSENHETVGVLFASITNWNDMYEENFEGGREFLRVLNEVIGDFDELLDRPDFTHIEKIKTIGPAYMAASGLNPERKKNMLHPKEHLYQMVEFALAIQHVLSVFNEDLLNFDFVCKLGLNIGPVTAGVIGTTKLYYDIWGDTVNIASRMYSTGVLNRIQVSQHTREYLLDRYEFEFRDHIEVKGIDGGMDTYLLVIYTVIELKEHNIFRLEEKEKVFHRQLRQTKKTNSNRNKSL >CRE20331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:337167:340972:-1 gene:WBGene00061720 transcript:CRE20331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20331 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3MCT6] MLPLRKTTDQYGVLPPPAVPPPNATITSDSKTANEACIARLQQLQLQPFPKIYPTTKPPGNRGSSVDIQTNVFGIEIQKRSDIYQYSVSIKTDLTSSKEVVFTKKGKEDFVVRERHGKCCSILMHAFDRYSEFFCTNENTLIYDGQSMMYSTFDLFQESSDGETKTKLLPINGNDTDHEDLKSLPYIKLEVHATKNPPVKFSQEDIGRRSSDSRIDSIHGAYHHILELALNQSCIRDFTRCMVFENGKIFFVNPLEEGFSRDDFVDVGDGKQMLPGIKKTVQFIEGPYGRGQSNPSVVIDGMKVAFHKEQPLNEKIREIISKNVTDCISDFERERCVAVIKGLDCYTTHAKRVRHLTIEGIHHEGARKSRFQLKDGGASTVAEYFRDHYKIELRYPNANLVVCKERGNLNFYPMELVFISPNQRVKISQQTSAQSQKTTKESAVLPDVRQRIIMTGKIAAKISSDSKYLNEFGLSVCDEPLMVTGRILPPVKLEGRTSANMLPIKDNKWRLGQYARPAQAPKVWAMYAVGLPSSRFTPALLSKFGDEFSAMCRSKGIEMPALGDIDLVLAQDIEKKLSIAADAGCTFVYIITDDAITNLHQKYKAMESLHSMVIQDMKMSKAHSVVSQGKKLTLENIVNKTNMKLGGNNYIFTDSKKYLDDILVIGVGISQPPPGNKFISEGKGLLNPMVIGFAHNGKQKQEFSGDFVLSPAGQDTLVVVEEVLKQSISGYQKWHDGQCPKRIIMYRSGVSEGSQGNVIAYELPLIRSTIDSFSKKIQFVYIAVSKDHSYRFFKSNLNTLTKSNTAISKGQQSSVTGSRSAMPAAGAPKAWDLNIAPGIIVDSVITNPACSQFFLNSHITLQGSAKTPLYTVLSDDTHASMASLEELTYNLCHLHQIVGLPTSLPTPLYVANEYAKRGRNLWNEAYSRNPVPRGTGSESELLQELSNAINYKAFGSFLDRRVNA >CRE20235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:342735:347921:1 gene:WBGene00061722 transcript:CRE20235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emb-5 description:CRE-EMB-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MCT7] MDFIDNQAEESDASTDRSDDEEPQSKKLKLSKDKSKKKKKVVASSDEDEDDEDDEEEGRKEMQGFIADEDDEEEDARSEKSDRSRRSEVNDELDDEDLDLIDENLDRQGARKKNRVRLGDSSDEDEPARTANRDDDDLQSERGSDDGDRRHHRGGRGGGGYGSESDRSEDDFIEDDGDAPKRHRKRHRGDEHIPEGAEEDARDVFGVEDFNFDEFYDDDEADDGLEDEEEEIIEDDGEGGEIRIRRKKDTSKKTTLLESIEPSELERGFLSAVDKKIMIEDAPERFQLRRTPVTEADEDELEREAQWIMKFAFEETSVTNQSSFDMNDKLECMMNMDNSNQEERKRAVIDAIKAVLRFIRVRSNSFEVPFIGFYRKESIDNLLTLNNLWTVYDFDEKWCHLSEKKTKLYDLMRRMREYQELSDDLTAKRRPISEMDLIEINFTETLEQLTDIHANFQLLYGALLEDMTKWEKARRTGEEEGHEYRAKFKSSIRNDKYQMCVENGIGELAGRFGLTAKQFAENLDWRKHDIEQDTAFPLDAAEEYICPAFMDRETVLNGAKFMLAKEISRQPLVRNRIRQEFRNNAHFWVKPTKKGRETIDESHPLFDKRYIKNKPIRNLTEEEFLYYHKAKEDGLIDMVFMYESDEDQEANNYLVNKFLSDSIFRKDEYTENVEQWNAVRDECVSMAINEMLVPYMRDEVYNTILEEAKTAVAKKCRKEFASRISRSGFQPENDNHDDDDDDVDDHGARRIMAVCYSTVREEASFGVMVDENGSIVDYLRMVHFTKRTMAQGNTGALKRESMDLFKKFVQRRRPHAIGLNIEDMDCTRLKRDLEEAVQELYSQNMIIRQIPVFLMDNEAAKVYMRSNISVAENPDHPPTLRQAVSLARILLDPIPEYAHLWNSDEDIFCLSLHPLQREIDQEQLALVLNHELVNKVNEEGVDINKCAEFPHYTNMLQFTCGLGPRKATALLKSIKANDNLIESRSKLVVGCKLGPKVFMNCAGFIRIDTHKVSDKTEAYVEVLDGSRVHPETYEWARKMAVDALEVDDSADPTAALQEIMESPDRLRDLDLDAFADELNRQGFGEKKSTLYDISSELSARYKDLREPFLEPRGEDLYNLLARCGKEIKEGSKVLGTVQSVQYRKVDQEAIGSMIPESIDDRFKCPCCKTFIADSPAGIQEHLVNGAHNGGCPGTAVGIRVRFDNGMTGFCPNKNISSSHIDNPLTRVKINQPYYFKVLKLDKERFSLFLSCKSTDLKDDDPGQRDDFWDQQTYEDDLTDMKNETKKKESNTRVKRVIAHPNFHNVSYESATKMLDGMDWTDCIIRPSANKDSGLSVTWKICDRVYHNFFVKESAKDQVFSIGRQLSVGGEDFEDLDELIARFVQPLIQVSHDITTHKYFFTRGTCEDSEAVEAFVHEKKRELGRSPYVFSASYRQPCQFCISYMFDNTNRIRHEYFKIVPGGVRFRHQNFDSLERMLVWFKRHFHEPPAELRRTLPQQPQYRVAPPQSAPYYPSHQFVPYH >CRE20332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:351142:352413:-1 gene:WBGene00061723 transcript:CRE20332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20332 MPFKTRINLAATSKGEREFVKSMKVECKLFVIEDLSRRSSNLLRYPDLHSKVSGKSGECDSKLQKSLIIVIDSKCVQFSHLFTFIGSSYDDVESIHKTYNSFEPYSFDVYMQKTTIKYIWPFSLLSVARYGLNNWMNMKSRDRALCDQLLDKDLRILILHDSFISQEKMHDYMEKWTRGGISDKFCWWAISTHSNFEVEEIIYQLPVQFIEEKCGPKFSFSKESYKKPKVPQTVKIVSYIVFSYVDPRIRATLFIRDNMVLFANAGAAPSIDKFGLITYTTRSGIHETQF >CRE20333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:353941:354931:-1 gene:WBGene00061724 transcript:CRE20333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-189 description:CRE-TAG-189 protein [Source:UniProtKB/TrEMBL;Acc:E3MCU0] MALGEDDILSVPFKYFVFCIGGLPSSALLICVLLSLFLHFDQSTSTHCEVANWLPSISAAVSTYTPEKYIWRILIGLHIGPRLVVAVAFRNFLLSSPLRPYTGSKQFRFLCNLACCLNLLENFFLLALTSISSSEDHALHAKCFGGFAISSIIYMILSTWLFSESGRRRATNMGERSYEYKILGASIFVVCFFLGGYLYWRHNTYCEPGIYTLFALVEYSAVLSNIFFHCTLYYDFHGKNIALTSSFGSSHYNLLPTQIEKDT >CRE20334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:355032:356070:-1 gene:WBGene00061725 transcript:CRE20334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-16 MINLRAVCKSSVSWSLSTRGMKKYPFPVTYENVRFPPNGQLKLPAMPAEPSYDLEKGEKKYKSTKRMIEARGVEEVHTELIHEQYGLAAVSGGFIDSDDFKFLQDRINKNLIDNQFAVWRVDEPWLPRTKKAQGTRLGGGKGSIQKYVTPVRANRIILEVGGYITEIEAKAFLLYLCERFSFPVEFVSETMLAERRRKTEEIRMMNKNVFSWDKVIKYNMQNCNSWLSNYDIAWKGKYR >CRE20237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:356444:359439:1 gene:WBGene00061726 transcript:CRE20237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clp-2 description:CRE-CLP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCU2] MDEKATKSAFELSQKAVHYDQGKKYEEAIFCYTEAGNQLLKLVQEKRCLPIFRKNVMECINRAEFLKENIDNLQRQYPPSNDDISHNVEFLMIKASVLQNYGNKLEESRSLYENVVEQCLGVSRNSNLSQETLKKLRQTAESALKCIEELVTLKNKVTEVELLLPEVPVDDLSKLVFNDHKTPSPSTSQPFNSPTHQVVPPSPSNSQKFTKEELDVLSATSNINNKLYVPFHSYDKVGEFKGQNGKFTDPDGKIGLTQKQRLKLKGWKRVSELFENPTIISSIDCHTIRQTVISDCSFISSLSIAALYEKRFKKQLVTSIIHPQDANGKPIYNPAGKYMIKFHINGVWRKVVIDDYFPVDENNRMMCSQTENKGELWVSLLEKAYMKVMGGYDFPGSNSNIDLNALTGWIPERIELNESSKANPDAIFRKLFDRFHRGDCLITLATGKMSEEMQKRSGLVETHAYAVIDIRSVENNRLLKVKNPWTHSRWKGNFSDKDKLNWTAKMKNALSFDPEVAAGKDDGIFWIDYESVRHFFDVIYVNWKLELFPFTSVYHATWKQEMGPIRDVYTVGDNPQYTLTVNVGQKTTAAAVWILLTRHITAIDDFAVNKEFITLIVYETGQKIYIPTNPRPISDGVRINSPLYLCQLLNTKPGVTTYTLVVAQYEKTNTINYSLRVFSTTDVKLEPIMLPYSISKTIRGNWDGSEKHPIMKLVLHSKSDDIALFMELKAPKQFCIALEMKQYLSDRTVFLETKSSGAYRPGYTVLTLEKVPAGTYYIKASTYTAGDKGPFILRIDSSCKFELETIKI >CRE20238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:359657:360636:1 gene:WBGene00061727 transcript:CRE20238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sel-13 description:CRE-SEL-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MCU3] MSLNPMIAKQKPNGNIQCLVCNTEIKPKIWTAHVNGKKHRESIDKLRSSAQKRGKESSARSADEPPNKKQKEVSEQGPLTIPSDFFDEEDSTPFRKDESKASIGGHNANLIEGVPAGFFDDKRLDGNVRETRERNAELDAEYERWKEEIGEEQVDQDAKAEEMEAAVQRELELERIDEQMAALKKLNDMEIQKEKRLNQAKERRMKREEQEEESDDDGEDIDIDSLDWRAKNIFS >CRE20335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:360902:361949:-1 gene:WBGene00061728 transcript:CRE20335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-18 description:CRE-DNJ-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MCU4] MFRLTRCGQRRSLFGSVPCSSQQDHYKVLGLAQSASQKDIKSAYYKLSKQHHPDTNPTDKEEAAKKFHQVEIPHVAMAYEILGSEDKRKAYDMTRIKSSPMPGDSSSFSNRYRRRTASNSKQYTDIDIDYKDFEHFQRSTRRRPQYHSHFDMPNEFYAEFGGFKKRVFKSEYEEAQEKHGTMYKDGRAAQREMEELRRQVEREQAAQQSRYPIPTFEQMMREKRAKEANEARQYMVGMFVTAVVAGFLTVLLSR >CRE20336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:364298:366601:-1 gene:WBGene00061729 transcript:CRE20336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20336 MVNTRPPKIDELLKIDPYLHDFQDEISRRYGVFLDYQHRIDECGGMEAFTTSYKEFGLNVQPDNSVKGLEWAPAAEKLALIGDFNNWDQNANVYKKEEHGKWSLTVPASSDGSCAIPHNSVIKIAVSRNGQTHFKLSPWATYVTCPNPKETVIYHQNFWNPSEKYQLKEKRPARPASLRIYEAHVGISSYEGKINTYRVFADDVLPRIKNQGYNAIQLMAVMEHVYYASFGYQVSNFFAVSSRCGTPEDLKYLVDKAHSLGIFMLLDVVHSHASKNVEDGLNQWDGSNGGYFHDNARGFHNLWDSRLFDYTQIETLRLLLSNVRWWVEEYGFDGFRFDGVTSMIYHSHGMNDSFSGGYPMYFGLNADTDSLVYLMLANDFLHKKYPFMVTIAEEVSGMPGICRPVEEGGQGFDYRLAMALPDMWIKILKHTSDEDWKINDIVFNLENRRYAEKHVAYAESHDQALVGDKTIAFWLMDKEMYDFMSTDSPLTPIIERGLSLHKLIRLITLGLGGEAWLNFIGNEFGHPEWLDFPRVGNGESFHYARRQFNLVDAEYLRYKFLNNWDREMMQLEERTGFLHKGYAYTSWKHDGDKVVVFERGGLVFVINLHPNKSFADYSIGVNNPGSYHIALNSDDAQFGGHSRVNNDTKFHTFDDGYAGRRHRLQVYIPCRSAIVLEKDEE >CRE20239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:368999:370142:1 gene:WBGene00061730 transcript:CRE20239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20239 MVAQNPASISAGGRKQPQKQEKVQKKPQKKIWVVKLQRIPFGFFEKELLGYFRQFGNVLRIRVARSRKTGNHKGWAYVGFDNKSVAEIAAESMNGYLMFEQRLGCKVMKPALIPKSMLKGPLLVMRPSYLGLAKKDSIARNNASGKNDSANTKRRSNNLNKTLAKLQNMGINYDFPVAGTVNKPPKKVVDNDVQVIESTKSILPKQITPKAVTHSTPKAATLKTATAKAETPKQNTPKAVTPKVATPKQTSPKTPTPVAPKKVVIKTPQTEKVKKVEKISFSPKTRAAKAAALGTPQAKSTLSKTIMKSVAASARPAAVEKKAAAKSRGKKKSL >CRE20338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:370266:372659:-1 gene:WBGene00061731 transcript:CRE20338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppat-1 description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MCU8] MCGIFGCVAVGNYENLNILAANGLASLQHRGTESSGLVGSDGVTRDHVEIIKGHGLVRDVITEDNISRMDGQSIIIGHNRYSTAGKKKSGINCVQPFVVYTAMGTVAIAHNGELVDTKQKRKEVLHEGVGLSTDTDSELIAQMIAKAIALNVKCKYGQDIGDITRELAVTMSALNMSYSLLVMTFDRLYAIRDPFGNRPLCVGTVLSSEGKPEAFIAASESCAFPANSKLDFEVRPGEIVELSSNGIKSVWQVRKQNFQVMSFEIQMKPNTPLAMCIFEYVYFARNDSEIEGQQVQTVREECGRTMALEDDLEADIVGNVPDSSLSAAIGYSSQSGIAYEPVLHRNSYVGRSFIQPNDEMRQNAINMKFGVLKKKIQNQRIVLVDDSIVRGNTMRTLVKMLRDAGAKEVNMNLFIGFQSKKESNDQPISPLGIALLASLANIPFPLTCD >CRE20240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:374168:375190:1 gene:WBGene00061732 transcript:CRE20240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-243 description:CRE-TAG-243 protein [Source:UniProtKB/TrEMBL;Acc:E3MCU9] MPIGSASDTIRKFNAVAQANEETLKKNPYSESYKIQQFDSRNYGRPPPGSKTEARGIKAGVHVCREILFLCETIDSNAEGEEPHKWVKFGKLFNIYSFYSDKVRDYLILVGMLIRARKYGLVHFEGEMLYQRQDDEKLITMLMSLQEIRESLTASGDPANCIQIRRNSAPIAFVPPETKEKPKLRPIRSDSVTSSKSKFESSAPPSTLEEDSHSVQGKPKKPWTPKDSSKAPVFCTY >CRE20339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:377614:378887:-1 gene:WBGene00061733 transcript:CRE20339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-11 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MCV0] MPDTIFRANISNEYDPIVFNCSSDYEKSTEIVKYILQIVYLIPGGLLNILIIRTILFKHWEIYGKNSFFMIYSTDCIISFVMISIDIVVRMFIYFTPLCPVLAPYFFEPLIVFKVIMIIINHSKASKSIIQIFLVLNRMSCVLYPLKYSLMWKKPVKLVIVSIFVIPFTTDWNLIISRVYMQPTFGGFYMEYIKKVSWIDYKSINYQAGQSRFQLFFISIALIFTVICTSITFYSLITLPKRIKNVEKSLSFATAYISMSFIVLAVFQILFAFFSSIFTTSAVFGYALLSYDILNVGFVFTCFQPETIAKSFSSPIILICVSRKLRCHVFGLQSKKPRVARVFSMTVSQIPKNSELQEERYRKSVVLERVNY >CRE20341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:381004:382330:-1 gene:WBGene00061734 transcript:CRE20341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-10 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MCV2] MSSTRFTLPVNFSYDDPLPFQCNENLNLGVSLAMYGLQVSYLILGAALNFLIILTIFKSKSGSYRHNSFYILYAADAIMGIYINIAEVLFGRLFIYITPLCPIVSPYFFTPSVITKFYYAAVHYSLGFKTFSQIFMSFNRMTCVIFPLKHLKLWNKILYPVLVILVILPLGVIWNILISRVYINPNGAGFSVNYKDTVQWATISLLHLIHCSICLVLVIFCFIVTIIGLATLQQRIKSAERSLTVVTLIMSVQTMIFAFIQIYFAFFASYTPSIRGILLITVSFVFDSMNVFGPIALIVMSRQLRKDVFNLRSDDTQPVSRSVSTTSHHRPLSRAVSTVVAPVTG >CRE20241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:389166:390697:1 gene:WBGene00061735 transcript:CRE20241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20241 MYVVINTDPGDTLNELMAFMTQKTITFSVTDCLAKLHDRPDKWKEVGTQTEEDDRFYSPPSKKSKLSNGDNTDCVSPCSSATPSESVDLGIVIKTNGNGNNNWDEEESKVLRGLIKTENDRSSGSLREEPEEDTSVEDVMNSELIQTQLMQALFSKSQNGVINGFSGLEDEESLDGKSGLLSDFQEQFRMDGSPASAIRYKPAYASTKRGVCHVCNREVSLITTHRRRHAITHLGFKTLKCALCYKFFSRQDLATGHFKKDHPTAEFTPFVDTMSNEDEQKLVVMMGMCFPEEMGPRKRKEGDSKKDRGDE >CRE20343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:391318:393502:-1 gene:WBGene00061736 transcript:CRE20343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdka-1 description:CRE-CDKA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCV5] MGANLTSPLPHHHRTQTTSVCNHLFSPGDGGPTFAPQRDSNTSSRNSSSAKESVLMQGWNWSKRNIQPVMSRRSLPKSGSSSEATSSKSSDSLVSFTRNVSTSTSSQYGKLSISLDRNQNYKTVPRPADTTTIIPNYYSLREEFRRGLQINTRQDLVNNNLTANELSVISSPKHVPRPRSLRDDSVNDDISPGDQQENVISEKRGTKKTIIQASTSELLRGLGIFISKNCDVSDFDPAHLVTWLRSVDRSLLLQGWQDIAFINPANLVFIFLLVRDVLPGKLNGVQNEKSILKIIDERHLIHTLEELHAWILSCLYVSYSYMGNEISYPLKPFLIGNDRNTFWNRCVAMVTSHSRKMLLLNSSSTFFTEVFTDLKHCSTSSE >CRE20344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:396725:398728:-1 gene:WBGene00061737 transcript:CRE20344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-29 description:CRE-PQN-29 protein [Source:UniProtKB/TrEMBL;Acc:E3MCV6] MNIRYFTFLIASVLCESNFTESATIKNDTTPLVDLSKVVARDQGAIVVAAFPAISTIRSKNKKKFGLGAFEKTTSNTGATFSRRGSSTGNPYSSGYQGLPESQSSIPGDRMGGMTRSGKRTFGSYGSVDTAKGQSSANRAGTGSGWRGSSRNNDRENDDWNNGSNNFNSNSNKNNRMNKYGNRNDGDEYNNQDNWNSGSNSNGGYSGSNGSGSGGAGGWGNGDNDDGWNNYNSNNNGNRNNKNSNNNHGNSWGTNDNDNENSKNNGNSWQGSGGNGNNENWGGQGGDGDSNYGNEGSWKPSGSGNNYGRNRGNGGDEWGSNNNNDGDNLSNNWNGGSSGGSGNGDNDNWGSNGNNGNSNSGGSWNNGGNGGGGNDNWGSNNEDNDNGGSGGNYGSNKWNGNQDNDNEKFGGYNKNNGYGSNNNNDGWGPSNNGGNYGNSNWNNGGGGGWGSSNNNGNDGNNNGNWESNNNGGGGNNGGNDNWNGNDNNGNYGNNGNNNGNNGNEDEDYGDWYSMKMKDPIANGASAAETVTSRDPEVERKIAELTNMDTYRKM >CRE20242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:401612:403605:1 gene:WBGene00061738 transcript:CRE20242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppm-2 MGQTLSEPVTKKESASCANENYLVGSSCMQGWRVDMEDAHTHLLSLPDDPKCAFFAVYDGHGGSKVSQYSGINLHKKVVARKEFSEGNLKEAIERGFLDLDQQMRVDEETKDDVSGTTAVVVLIKEGDVYCGNAGDSRAVSSVVGEARALSYDHKPSHETEARRIIAAGGWVEFNRVNGNLALSRALGDFAFKTCESKPAEEQIVTAYPDVITDKLTSDHEFIVLACDGIWDVMTNQEVVDFVREKLAEKRDPQSICEELLTRCLAPDCQMGGLGCDNMTVVIVGLLHGQSPDNLFAKCARPAVFTGVNSEDGGHIQQDISRVINNFDGEQRVLTQEDDDDNEPAPANFQV >CRE20243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:404684:407547:1 gene:WBGene00061739 transcript:CRE20243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20243 MTKFHLKLHAKAVESRKISQIQRDFEKKSKKKLDISVEYCYHLVTEEPEFIESNWQKLETLLSHSPFEKSVWNESKLDSKHGKVIEIGPRTAVKTAACTNILNVFDSAGIQNIERVERGIRYLVPENVDENTFFEIAADKMTEVIYPSDVKLDDESYSIEKVFLIDVLASKQNLIEANKQLGLALDQSDLDFYYDFFVNKVKKNPTDVELFDLAQSDSEHSRHWFFRGEIWIDEKKREKSLMTSILDTLKHSNDNSLIAFCDNSSSIRGFEKVWRLRPSDPSIASPMVSISSPSHLIYSAETHNFPTAVCPFQGATTGTGGRIRDIHATGKGAYEIAGTVGYSFGNLNIPGLELPWEDESFEYPASISEPIAVEASNGASDYGNKFGEPVICGFARSFGQRLGNGERCEYLKPIMFSGGIGAIDEDEVRKEPCSPYQKVVKIGGPVYRIGVGGGAASSVSVQGNRENQLDFAAVQRGDAEMGGKLHRVVRSCAERNEGNPLMAIHDQGAGGNGEGTYRNVIKELVEGCGVTVDSNSFQLGDESISLRELWTAEKVFFQENDAALVDPSLLEALQTIAKREKCHISVVGDVVAEQRVKLIGKSGEIAVDLDTRQLGEREKKIFNLKHVPRRSTWKSLETSNSSQQKVFNHFFSCFKTSLFRYLTCKVDRSVTGLVAQQQCVGPLHTPLADVAVATLSHFDTVGLLKNFEPLCPVENNRTNYERSCHFIRTRGRSELSGN >CRE20346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:408233:410668:-1 gene:WBGene00061740 transcript:CRE20346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-5 MRIHVLMLFTSIFPSIRTDICSHCDCDFLSHTVVCNRPSLLVRTVSMLPNIQQLHLNTLNLPQPPHFLFHPNLRVLRMSRCGMHEIPASTFLPLPGLEVIDLSNNHLETLPPTVLRSLKFLRVLILTNNRISNLDQLAWILSPGVVLEQLDLSGNPIAIATSMTVFPPVRQLFLSDTRMESVNETTIMFKKLPGKCEKDVCRHIPIHNLNVSIITTVDFSTNRDLEIDSGALTVFSNATYVDLSNTRLPIGFEEWLERKSRVKSLNISHCQLPLHEDTWTACGQFLHSLDISGIGAKRLRFSRFCPIRTVFARDNLLSSVYIDAVSIESLHLERNMFSDFPIPPLGVELTELHTLSLSHNLMTSLPPHALQSYPNLQHFDISNNQLSEIDPQAFPSIGLGLISLDLSSNQLSSLPHPILPSLLLLDLSSNTISHLDPHFFTGLPMLQQLRIASNPTLFSRCPNRESSCWSDHLDELTSLVDLDISNSGLEFSLHLRHLRTLKSLLLRGNEIRVIDAKSLPENLRTLDLGENRVQFTSNFSKLEHLRDLRVDQNPLRCDCSLYDIVPHLLNQTQISDPLLYYCFSGSWQYPLLPYLASVKPCVDTTRNFYSILITTFVIAFAIVAALIGGFLVYRKYAERANFVYKRISLVESPVRL >CRE20347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:414434:415858:-1 gene:WBGene00061741 transcript:CRE20347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lips-3 description:CRE-LIPS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MCW2] MKCLLLLVTLSTFHAPSNAQKSGPPGPMSSHFVDWLIAHGYESDAFDRPDVGPNGSFGGKRRSGDPVEKEPVIFIHGSGDAALFTQQPLATGFSRSIQYFLEQNYTEAELYATTWGDTWGSGSMLDTYSTIHTCGNLIYLRRFLEAVIGYTGAKKVDIIAHSVGVPLMRKVVKGGTLIGTDGNCTLGPPLGAKVDTFLGIAGPNFGLCVCQLAQTVPAWCNALDGLYPGYTCQDQLWCGYTSGSCKQENYSNLLQKTNDDPNREGDHVYAMWSDVDEVLLNRGMVWGKPTARIPGMNGRWISDRNGHVAMKDLTELRQFEAIVHHSI >CRE20245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:418749:425851:1 gene:WBGene00061743 transcript:CRE20245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sel-2 description:CRE-SEL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCW3] MEISETSHNESGPPTQDNGTVQIPAEDEVNDEESNMETVDLGGMDDSSAEISTRNVFKNIDDESSELPSTPTEADSNGNPEDIVVPSSVLSSAPSQDVSPNAAIESLPPLPEGKELELEDDVTSSLPRLLSKTTLIHSNEEGADETIQRLVVALHSNSPNTDRTQIVDNLFNLLVGGHFDQESKFVIEEASNVDHMLTLLSHCDCDLQNEIWSLFLAVMKKSNRNLEACTRVGLISKVLDLLPEAPPLLADLLVQIIAALVAYSINVKQTKHLLRALKSTRDQWPPNSLKLLHVLKEMPQHDSADVFFSFPGKDQSGIILPPIKTMPYQQGWTFATWLRMEPLNSVTFEKEQPVLYSFRTSKGIGYSCHFTGNCLVVNVEKTKGKEQSRCVRAELGARKWHHIAIAHCYSRWGRSDIKCFIDGQLAETIELSWVVTSATNWDRCSIGVSADGTANSAFCGQMGAMYLFAEALSLQQANSLFCLGPAYQSTFKHDSETSLPEGYKKHLFDGHLHSSLVFAYCPKNCHGQLCLYTPPKTAANTYFVQIPHAVMKEVEQIYTSLQLILNLQGVEVITTHSIHKSLQSVGGIQILLPLFAQIDLPSSNDNSIDGEVCQTLLSLISLLLSSSQSSQQQLFHSKGFLIISSCLQKASPYHLSMKVLEQLIHIAKFLLRCPAGGPLLKHLFDYILFNPKLWIRARPDVQVHLYQYLASDFLANNNFSQMLRRVPTVIEMCHTLKHFYWLALPQTASDYTVEERPENFATADIVAIRSAILTFINRIIIASAGPEEEERVRDQEVHTLLNLLATVREDDNLYDVLALVTRLLAEHPAIMIPAIDKNKALGIIFNLLAAPNELIRIPALKILGFFLSRSTLKRKTESMGNQNLFSLIGERLLSHKRVLSLPTYNVLLEILVEQMTPTFTYAAHQPAQPEWKFENPQLLKVIAHVISQCEESESIVQIKKCFLLDIINLCKDSKENRRTILQMSVWQDWLIGLAYVFHTTESQNEVSELVWEAFSILLHHALRHEYGGWRVWVDTLAIAHSKVSFERFKKKLADAKTKAERTETGGEESKMEPTPVYRAPEFAWSEVHIHLLADLLSGIEGTVGEWKTADGGISDQCNASESQVFVGNVIHVISQLADSLIMACGGLLPLLASATAPNNDMEIVDPCQQQLPISVAASFLMRFARLVDTFVLASGVSFSELEQEKNMPAGGVLRQSLRISATVTVRHILASRIQQPDTPRYETNSTKKNQCIMEFVREALENPSPEGLDNVERLVQDSDITRIKGVVYRDMVEENRQAQFLALSVIYLVSVLMVSRYRDILEPPSSPSPFFDTTTQKQDNQDNESLESTPENGANGKLENDGDNSSIKNGTVENENVEEETEENREEGQGDDGRIAAIKVASSGMKKDGNEYNEEELSKINQANGRRPSTLMPVQQTAERRAYLTTKLQTALETCAPLLREMMSDFRGYLQKTLLGTHGQEIMNDTKVLETLRNRNASVIELVMLLCSQEWQTSLQKHAGLAFIELVNEGRLMAHATRDHVLRVANEADFILNRLRAEDVGKHAQFEAESRDQLLARHEEYGRCDLLIVSGRLRDSLNAARLLEKMSAILTDSDDSKPGSQFWKLDVWEDDSRRRKRFVPNPYGSRHDEANLPEGEKNEEPEISEQEKIRKILKGLFSQRQTTSGSLELVDESDIDKWAQEVDPTPSSRKFFHRETFLLVRQC >CRE20348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:427256:429065:-1 gene:WBGene00061744 transcript:CRE20348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mab-21 description:CRE-MAB-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MCW4] MLGHNQNVVYQVNTYYNEKVQHRKARVTKTVHRIGKVVQDILKEVEAQEPRFINTLSETSTGRLDGVVVHSPSEYEAVLYLNQMGVFNFVDDGTIQGCAVLKLSDGRKRSMSLWVEFITASGYLSARKIRHRFQNIVAQVLQTPQFSEYCKLLQDNTDVRVRIDDKYTVQITCAFRCNGIWPRSASHWPIAGLPWPNAALANQTKAEGFDLTSRETAITHQNNPNKQASTMEADAWAMKMHGAENMLLTGGRRKTLSILKCLRDAHMDFPGTPVTNYILKTLVLYECEKHCSEYEWEDTNIGDRLIGVLLQLVSCLQCRRCAHYFLPSLDLLRSKPVHSIEHSAQLAWHLVRKLMIDPNALQTL >CRE20247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:434692:435814:1 gene:WBGene00061745 transcript:CRE20247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asb-1 description:CRE-ASB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCW6] MSLSRLSSPQLYSRAFIVARGAATGHATATSDNSISFFEKISYRFKGIPLPTETEAPKSMFDACNKEWSAPELLPAVPKEFKEHPDRDLANYPYPSRPMYPPKSRLLMMPDSWFTPFQKVTGTSGPYLFFGGLFAFLVNKELWVFEEQGHMTVGWIIFYLLVSRTAGYKIDAGLYKDYQERVGFFKGLIQEDLKEAVDFRKTSAKQTDSLTALKDNMPTSLKESMQLQLEAAYRKNIQTISSEIKRRIDYLKETEETKARFERDQLLRLINESVEKQVSQKDFQEKFLQNAIQQLKGIAV >CRE20248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:436004:436684:1 gene:WBGene00061746 transcript:CRE20248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apc-11 description:CRE-APC-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MCW7] MEQRDSEEPGSRSSEDTDDPQNMSNESDSSEPQEVSSREREPHPGVMLESNTRLNITVKKLHVCGEWKWLQGGEDTCGICRMEFESACNICKFPGDDCPLVVGTCRHAFHRHCIEKWIAAPSNQPRAQCPLCRQDWQVAD >CRE20349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:438345:438929:-1 gene:WBGene00061747 transcript:CRE20349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20349 description:Enhancer of rudimentary homolog [Source:UniProtKB/TrEMBL;Acc:E3MCW8] MSSSTSSHTVLLIQASPRLDSRTWADYESLTDALDAICKMFEEFLSKKSAGPVTYDVSQVYEFLDKLSDISIMIFNRETGQYIGRTRAWIKQQVYEMMRGRCQHPEGGEKVIVGY >CRE20350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:439326:441975:-1 gene:WBGene00061748 transcript:CRE20350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20350 MQFDDELPVDENQSPEENEKQKKHMACVLSDRAYAMEFELRQKVLKNTESYEDVDGDKMVQIQYLTDAEVDEISDRDAEELQFKLKTCEQQLETMKSKVDLSCIDAYVVKVNQFNEQVIKFAMVHEDHRKHNEKLQAIKKDRLEEFHSAFEFIGKHLVAVYKMLTDGGDAKLEYIDKDDPFKEGISFMVRPAKKAWKQIQFLSGGEKTLSSLALIFALHMFRPTPFYVMDEIDAALDYRNVSIIAQYVRQKTENAQFIIISLRNNMFELANRLVGIYKVDGCTQNVAIDPQKVCEMAKGISDSLGQITCTLPEEITQQFSETMSRQSKEITAQEKQYPNFPSSSEISKAEKIVSVEGRVRKQLNHTASDTTSGSRPQSKAATQANATERQTFNRPESRANQIKIPNPRLVERAASQTSRTPRKERNTEADETTPPAKRSNSTTSKSPAKPVAGRSTKKKKSMSDDDEDSN >CRE20351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:442109:446466:-1 gene:WBGene00061749 transcript:CRE20351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smc-4 description:CRE-SMC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MCX0] MPPKKSTGPPPQSDESGSDFEEEPARKPQKKPSKSSKPSKFSKQEKEKELDPEEALKRAADTIFDGSEGEDDDSDLFALEIPPRPDFLVRPNKKDRLMILNVEVNNFKSYYGKASIGPFHKSFTSIIGPNGSGKSNLIDSLLFVFGFRASKIRSAKVANLIHKSAGREPDSCTVTIHFQRIVDVPGHYEVVKDSLFDISRTAYRNSSSSYAINGRPASKNEVEARLRLVDIDIEHNRFLILQGEVEQIAMMKPVKTTKSETGMVEYLEDIIGSNRLERFVKKFQRRVNRLNCDLSQQRIARDHARNSKIAMEGQVRTAIEFLNKENEAKTIEMKLNQRRKKKYKDRAAPKQAELDKQKEEMKEIAAKLDENKSESKSAEDEEKKLSKDRLKIEKEIDQLTKEIDDLSAEETRRRETIKRYETDISKTEAEKDKEQKKRATFVSVPEKAEKKLAKWKEEVEQLIETEDTANAAADNNLEEFEKRAEKLKEEQKKAQEAWSKSNTAFISARSEATVARNEYEDMNKMANSGSKKLDQLKERLKTTEEKFIKEKEEIEKLRPEVDSWNEKLRNLKTSLPTVREEAKTTNLALNQCQERLDVLRQQNSSGSGGSHLVKMLMKEKQEGRIPSFIGRLGDLGLIDKKYEGAICTNYGAILNNLITGTSDDSKKIMNFLYKNKLPRTTIQSLDRVPQVRAEDMAPVPKDRYPGPRLFDLIECEPEVRHIYYRTVRNAVVAESTTEALKMNKMSSCKNVNIVTLQGAMVQSSGSITGGGQTLKGFILTDKNKKPKEVTAEDRRAEKELTVEVAQLQTKSDELREQEHKLDAQLIEARRKVHELEQRLSIVTATVDSEGENIKSLRKAVENQEKEASKVKVDAKELEAKKKLAEEFEKKQDELSEEAEITRKRQAEVQSKLDGIFKELVQCHRDEAKDASTKRAKLEKDMAKETANVTNSGRNIAKCDENIVRMEKEIEKKKQMCIDLEEKAIDGEGLEGKKSALEEVEKKKTDVETQYKDIMKKQSELSDAETKLEEELRKCNEVIMELKEKMNADTMKVKEIQKKVGHVGLVYILSNDI >CRE20249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:446864:448256:1 gene:WBGene00061750 transcript:CRE20249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20249 MTTPAVKLILVYVTAPSRDVAINMARITVAESLVACANVIPGVTSVCTGYQWQGKIEEDQEHVVVMKTVDSKAEELSQRVRSLHPAVTPCFVTLPIEKATADFAEWIIKSTHSHSI >CRE20352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:448277:450384:-1 gene:WBGene00061751 transcript:CRE20352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20352 MQGNYMNVPPGQRPLMKRKPAQVQSVVSCSASYENQRVCIPAEVVELQKTEKITEIQRSNKAWSLHSIIENIHFLDYKCTLETDEKFVFTPVTQQHVPLTTQISYSARNQDDTPGIVSLSQNLSNLIASSTTAADANTVIHGTQNRTPVNLISYAARMFKKPMWTWLGDEAREEKRSRVVEFFKSAQDANSDISNVSIRKEAKRLARALWESSIRNFPQVLYLLRLLRARRPNGFELLNFESEIESLIPEKWRVVDPNISFDQLITESSKLILLNMLMCSNQSDHQIVEVSILIDYIGFYEISDKEVSIIYNYLYSTAEGKTSKKIHKYLCQFHKGWENRYNEAAGMKEIERICDENKMFSLEDGAYKAKGHSYKIVLPMYFMPCLHQLRQQL >CRE20353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:451380:453531:-1 gene:WBGene00061752 transcript:CRE20353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20353 MTNMKEYEEIIENWRNEYISNRNSKKGWEILENLAKLQMESDQGMETDDNTETADFDDFSTSKLISLIIGDIPAKDLLVDHQVVLLEFIPTSHPNVLRHVVRTIREKEGSIAFLKSSSLAVGIAIARRVTDNVAGEEIRRVLAHLVENSYICDDLKSQLNDLNGRNCSASRSRIYSVNSMQGRIIYLKVLLQIATERARISSDSSCIHWLYEEILKSVLDASDVLAQLDALDTFVDIALNGKQNAETLFKLNIVKQIYDLMEHSKESPDSGEIYLYGTRFLCYMARSYPQVLKSFPDFVRRLLLEIRMFDQLSVTGRLGAFDHFASLCFSMEAKQTLEEMFKDSKELDNTLGSAGAACTMGTMEMKCRTLQAVTLVFENANDKLAGSWYERLGGKALTHISVATIRKPFPELKSCVYDFWQQLFEYPTVVQQFIMFQGFADWALDEKSENGPEHELRKRQIIHRIIKLSENNATAEVINADPVFLDRLKKYLQPAAAPAPRVEEMAL >CRE20354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:453732:456577:-1 gene:WBGene00061753 transcript:CRE20354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wdr-48 MSSVSNASQTGSKKKLSFIVRDEQEPSHRSAVSAMQYDAQHGRLFTGGSDTIIRTWSVPQHKDAFSARGGVRSPGKNSPVQYQGSLEQHTDWVNDMILCGNGKILISASNDTTVKAWSIERDNKHGYLDCFRSHKDYVSCLAYAPNVEKVVSASFDRNIFVYDINANFKTVNNLLGCKDSIYSLATTPNLSLILGSGTEKLIRIFDPRTNEKPMKMRGHTDNVRALVVNDDGTRALSAGSDATIRLWDIGSQRCISTCIAHQEGVWTLQVDSSFSTVYSAGKDRWVLKTPVNELPKSQLLFQEEAPVKKLLLSEKENPSSIWVGTWKSHIKRWSLRSSAQLSIGGDDEGPTSSSHYSTSTPAPSSPPVSVSLRVRDQKPQQTTPELVIPGAASINKHKTLNDKRHVLTRDTDENVALYDVLAAKKVKDYGKRSFDEVFDENSKTIFIPSWFVVDSKSGMLQITLDELDVFSSWLSTKDAGFDDNDRETKVNYGGMMLRSLFERWPPCKAACMEAGEMDEVQKATSHFFSLPEHTPFIVCEGNGRPLFRLLVGDAGKEFEANELSQVVPPWVIDAIERSQLPKFNKMPFYLLPHPSTNPKQPKKDRLSATEMLQVKKVMEHVYDKVLSNSDASSIPISQIHTKIEMYCNEQKLEPEMDLRTVKHFFWKQSGELLLYYKPIKN >CRE20250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:457136:464569:1 gene:WBGene00061754 transcript:CRE20250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sel-5 description:CRE-SEL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MCX5] MPLGLFSSGRAQVLSDEKPAGSKKKDPKQLSENKCKGVSLKLDHTRVTIEKQIAEGGFAIVYIASDRKNNKFALKRQFTKENQKQLDACCREHSFLKQCVGHKNIVEFVDSYVNCLGNGIWECMLNCRNSYFSGNVLQLMNERISQNQYLTNEEILSIFSDLCEAVSFIHNRPQPIIHRDLKVENMLISSHKPPNYVLCDFGSATTQVLSIDKFGVEYVKSEVERNTTMCYRSPEMVDLYSGQELGLKGDIWALGVLLYRLCYFCVPFEESPLAIQSVNYQFPNAPNIPDETKVLIYMILDIDVNRRPSIYQTASLAFEAYGKPLPTEIQNKKLTDTAPRLKSCIQLMNDGTKPRNKREASPRTAEQPPITYLNSSVSRNAHNVTSAANVVPSVIGSGETSVAPRLRPKATNTVPNVPSISPVPPLGLPHLKFPSKLESDETNEGQVQKGASSELHRKSFSGDNKLEAEQQESSGPLSCPLMKPSDLGFTDLDKPALPRDRAQTDGKRRMPHEADIIFHQQHRRNVSDTSQISRSAFKPYSSQQTTSKATSQVVRSVEDMSNHSSNNGVGEWNPFLAAPFSNSSICRKDFAETGFMMDDSHFGMVFDEIRRREVPTELESDTSSIDSRDPFGAAPFDQLTVSTSSSAQPVSLPPAAEEDDERQLISDTDEEDREEIVAGIIEVKKETQTEEDSEIDEQRMNDRRRYSYENIDGVGDDASSDSRGKTDRDDSEEEDDDSRRGGDTSHDEDSQNTVGSEDGEGGSRPLLEDDGLEDDDDHELTSPFSSSSANFPPLFIGTSSPHTQNIITNPFLRDELTPKMISPLPMSTALGRNPSADDEWDLGDRWTDRRDTVFERPNVQQQNVFAPATLPRQVTPLVCRLKPDLPTAAPVSIIPSMSNTSFPEAIRVSEDSLPREPVIGTLISVGAPTEPPSPKKIEKILNLETSEVSTKETLITTVKKEKLEKLLKKEKKKEKKEGKKDKLKLEEYREKGSSEPETDGSEAEIWTADGSTTLSKKKKKSAFGLRSSHPSIVVNELQFASPVPPVAKKLSKEKKSSLTGKNASFVNTSFQPEDHDDPSEM >CRE20355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:465192:467069:-1 gene:WBGene00061757 transcript:CRE20355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-idhg-1 MSTNVLGQTLRSSKNVVQKAFVATAPSSDMLRFRSPVLQTNTTKLARYGGRHNVAVLPGDGIGPEMIDHVERILTAVQAPIDFEEVNLTSKEDASEDLAEAITAIKRNGVALKVFFLLKLFLNRKFRETSKRNSITRVLSLVTWSFVVSSISMPMFFTVLQFRLFRADIQYGIDMVIIRENTEGEYSGNEHEAVNAPHPRVVESLKVVTREKSEQITRFAFQFAKKYGRKKVTAVHKANIQKLGDGLFLRVATDIAKNEYPEIEFNAMIVDNASMQLVSRPQQFDVMLMPNLYGNIISNIACGLVGGPGLVSGMNIGDDYAVFETGTRNTGTTLAGKDLANPTAFIRAAVDMLRFLGLQMHADIISDSLFRTLVDKRIHTADIGGSAKSSELVQSVIEFIEKELEDRNYRV >CRE20251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:467731:469476:1 gene:WBGene00061758 transcript:CRE20251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20251 MIRQYFCFQSVRSASTLLSSLDGLSNRTSGDKLLTYGEKFSKLYGSSAPETRKSIFVDLVTNYGVNKEALNHAISLYSKNDQMYPEVRTAATAIYVNLIKSVGNLPGGVSQVCEMRANILALLKRETDKTITSYLRHVEIATREVLTSWFCLGNLKLERLTWSSPGDVLQKVAEYEAVHPVRGLSDFRKRLGPLRRCFYFSHEALPRNPLVMVHVALVSEIADSVQEITKRGEPIGREEDQTTAIYYSITSTQPGLSGIDLGNMLIKKVATQLQKDVPSIKTHSTLSPIPGFRPWLIRNLKGNAEYPSVMNEKVVKWMSEVSNEMMNESEATETLLKVISNEKTKKEQLSAIQHILMYACAHYLCRAKRNGMALNSVANFHIRNGAELYRLNWNGDTSHRGINNSFGIMVNYRYDLEKVHENCAAYTERKEMAINENVMNFFKDDDN >CRE20356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:469724:472239:-1 gene:WBGene00061759 transcript:CRE20356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pan-1 description:CRE-PAN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MCX8] MRSLLNFVLLLLIRIATSEEKTSCIEIEKGFTDGQKTHEKQICICADGGIFSSSGFSIECESAPISTISSNLSTLNGTSVGRLTIRDAVVNTLPLDVFDNVRAKHLKFEGCGQLTLQPKSFIALGDSLEILALRDNEIKRLEKGLFAGLTNVKTLDLAMNKIEEIDFGVFEDLERVEDILLNGNVIPELKAGLFNGLKNMKKLSIQSCGLEKIEKGAFKGLENLEQLILSHNNIQNIDWTIFSNLKNLRVLDLGSNFISNVELKGFPKLEKLVLNNNTIDSMKAIKLKELPSLVIALFDRNKIQSINDMDMFGLTRSDRIETMSLAWNNLSQISSKAFQHTPNLITLLLQHNHIEDLSTSSFPSFSIPHSHLFPFSDTSTSIRIPFLTILKKLATLQLSANNLSIIRADELPKSLINLALDHNVITKIEARALEGMAIKKLYLHSNRLSYLYRGTFDSFAPSSVEAIDVSSNTWQCICNDPREWLPRWISEAEESDVSEGPIGCLAIPRCGIEMDPNKAEKEEVVRSGWITVAATILTVITIIIMVIIAMLYFKDYNYQFTLRGRRSDSDLHKLIENDPLNIQSDSILVVPAMPKRNTGPKKTVRFESFQ >CRE20357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:475634:481787:-1 gene:WBGene00061760 transcript:CRE20357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zbp-1 MDYFNAGGGGSTGNGNTDPYAVIPQQFGNGGQQPQQQTVYSDYQHEGVAEYDAYQQQQQQQPQYRAAQTSQQSPSVYFQNQMNAAPGSYFPIHSASEHDFLGPQQPPTGAAASSGSTAAPYQARSGSNKEFMIQHQQRRGNQSGQQQNQQSQQNSQQQQNQTGRQQNQQQMQQQTNQSSHYMHQQLQAAAQQQQAQQMHQRLHGVPINPQQFMVPPPSMMQPQQMHQQQQVHQMQQMQLQQQQQMVQHQSQQVNNILVQSEQIKTFKGYHPHQQNQPHAGGHQHHNQNQNQHNLNHPGQHSIPQNAMLPRVVIRDWPIRCVVEGKYQSVIIGLHGSTIREIAQFTRCRVEFINLSKKERSVLGHNERILTIHGHADQASKAISRVLEVIQSEALKDDVNVGADIVLRLRAHNQLCGRLIGKAGSSIKEIMQKTGTNITVTKFIEPPGGLSSVRPEEMLLILERTIMVRGPSIEAVVQAESLISAKLKKCYESDAQNRASPVMPIMMPQMPQQGVSPSSAVQGPHIIPTPVGLVQVQHFPRSQHHLQGNANNSFLQPGVIQIAPGISNLRQVRMWVPDSMIGALIGAKGKNIKMIIRETGAAVKIEGPEEKAQREAEEEEESKKRSVETESDERDGAASGDQPQEFLEDNGTVTSSDAIEGKPKPVSERMVTISGDDFQLLKAQSYVFSKIAETSAALPATSDNMERGHLLKIRTEVSVPTRIIGRIIGKGGQNVRELQRITGAVVKIPEEERNGGEVHKHEDGIEEEVTMIRTFGNMYSTHNVQFRLAHLVGEYYRSGEHRQRQSDRKTARPQSAAQEKEGTGLDKMDLLGKVPPLSNNSNRASPKSGSSTKSKSP >CRE20358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:484592:486366:-1 gene:WBGene00061761 transcript:CRE20358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20358 MSWFFQSKIAKSHFYVWYLGSKEADGVRGSAVVLPVMRQLLKESFKKTPSKATVQISSKGLKLIQSVPAMSRSGKVKMQLVKFQIAANCITYSITGKPPFDDVVGVVMLVLNPEMQSPMHVHCYRCDSAETAQIMLANLQLLLSRPDVQRSINDLEHRLFLSGLLVPRNNNNNSESSFNPNRPQRSCSQSRSVSRSTAGFDERSSPLEKGKISRTDDFDIPPRRQAPTISRRVVDELKNRIIGESRLMNLGRSRESSLTRYESEKRASLSELPIETDSSRYRMFGDTMLRDTKNKSRSLDDLAADPLVSTNLRGGVAPPSPPRRFPRSEYVADPFGHNSYERTNTLEGFWKQKMRRSSSIKLDF >CRE20359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:487703:488783:-1 gene:WBGene00061762 transcript:CRE20359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cisd-3.1 MRLSQITKVCVSFVKNSQKTGFGPNRLHRKGTHFVGTEPPALKYHLLQDKKPSEEYGLQGTNHELPGTGKVHSKLPTKVHMKKDKVYAWCSCGYSGSQPLCDGSHNSIRIPDLKLKPVRFIPDKDMTVWLCNCKQTKNRPFCDGSHKLVADEDKKAGLFD >CRE20252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:489313:490737:1 gene:WBGene00061763 transcript:CRE20252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-34 MSSNLIRFVGNHDIASEGKFLFEILSQLRNFGVGRLVTKNEWARKWPNNPSYMKILRAEPGMDRWLFEGKIYAEWVFRGKNLGVYEFSKDLNRSDWQLVHKHQEKSYTSCSTPMQELVLPDSFPLPPLQVHLSQKSARKNGMDEKSVSRRAPLLLSIDPEFQHLKPFIKQEAPEANSSSIYEEIDKNTLLDLYGNELPVKVEAWNVGPAVFQPRFNATTMRVKEQPTK >CRE20253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:491542:493594:1 gene:WBGene00061764 transcript:CRE20253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-62 description:CRE-UGT-62 protein [Source:UniProtKB/TrEMBL;Acc:E3MCY3] MKVFSLLLLLLGAVSSYKYVVFVPNMANSQTQFCARVAEVLANGGHDVTMLFINHLSDFKTDVKIPKGVKCEFYFYRIPIRSSRGYFYWFLSAYQLDAFVEGITKQQIEKEQAAMIFKDTGLRDMPSMMAMFGRFGKMLQDGCRSMIRNKEFMKWLENEKFDVAYAYIYSTCPIGLIHTAKIPSWVWLNSGPLMDYVAQTVGVPLIPSYVPPVMMESHDEMGFFFRTKSLIGHVLMGLLHRKMSSNAETEIFREELKDPNFPHTMDIGAKCPLIIVNSNELYDLPRPTLAKVVNIGGLGVGFDSAKPLTGDFKKISETGKGLIVFSFGSVAAAHEMPLEWKNSILDAFSSLPDYQFVMRYVADDLNDRLPKNVHLFKWLPQKDLLLHNKTKAFITHGGYNSMQEAISAGVPLVTIALFGDQPKNAQVARKHGFAVNIQKGTLSKETIVEALKEVIENDSYKQKVSRLSAMVRAQPMKPAERLLRWSEFLAEFKQLDNLEPAGQKLNFLQYHSLDVIVFLFALISLVFYIFYRILKALIRCCCCRKSLEKKKSE >CRE20254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:493875:495674:1 gene:WBGene00061765 transcript:CRE20254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20254 MCPCLLVDKLKNAFGKKKKGKSKESNRSDDSATSPVEPIEKKKEKSKKSKKSKRSENSKGKSKKARSNMKSPDVPSSDPNSLSSRASKPDLVINHPGVELLGQVIHNKPEPKNYIRDILKVERPDLMGSEGILDDGEIKTLDDYLLKGGEMRDGVSLTLGEIVDCDSSARVGKGEDVTIVLLYANGRFGSVYLVHRQADSEQQRAGMSNAMALKTSRRLAATVRMQHEVKTLLGLFNKNSAASKAKIPLPTHITPIFFHGTSCGTPYFIMPMMDANLEKIKQDIGQRFPWVDAFYIGQEALIGIKQCHEHSIIHRDIKPTNLLLSIQNNKNWWLCDFGDSCSTGEVKILSPPDALTLPYLSRTAHQSLQKPTKATISMDIESWLYLFIDLFIVLPWKNKVEEAETLEAKHAFWTSTTQFIEKNTSKVPPQLLPIIQIVGNSSIDKPYAQLTTLLREGFNQNNKNPPWKPFWIEKRKKTAPPPKTPANDKKENDKKSSTVSASAGTTQTSENPSKNEKTSGKPNEAKSKTKSVSKSVSKG >CRE20360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:496139:511472:-1 gene:WBGene00061766 transcript:CRE20360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdh-4 description:CRE-CDH-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MCY5] MKKHRVFYLFLLIFCKSVNLVTTSSSSTEQIFEFTAPLYNLSVEENSIGSKYARSENSTKIGVPLPEKDANCKFRVAEIVGEKSSLFKAHSRQVGDFVFLRIRYKGDNPLNRELKDFYDILVKATCKRRDLSNLETTARIHLRVIDRNDASPVFLIDEQGYEAEIDDDTEPFSTVLRVEASDADIGINSAIYFSLVNRSHDFIVEPVTGWIRTLRHVKPGKYSLKVKSEDRASRLYYFDENEVQPSWTADVLITVRETKLKQTKITVDRRKISPNELKTRQLAAIITLKDAPKDALVGLKGNEKEHLFEIEMEEKTNLVIQEVRWMLYAKNGTKVPKNTNVTFTVGEDYVRKSGFSISKKPVIPVNETITIQIDHLSEHSIEFNSKEKLTIRTDEMAPIGRVLSRFNVIVENPDDVSLIRFSIFNSNPSFNTTLPFSIGSKTGILRVSSKLVLTERVYNFKVAANLNGIEGKTDETDVSIEVLDSNDHSPVWSAKWMRQVPIPIGKVGEVLLKVDVTDQDEGDNGRVVYKINSELPLEINANTGEIKLIEFPKKGSNWIATVWAVDLGLPLSRMSALNLMFYKNGTKIPPKPKPIIIQESENKHSPIFSSFPEVVEVTEDAPFGTIVAKLQASDEDSGYNGLIRYVIHEVPESYLEILSVDEQSGEITVASDLTLLMKEKKEIVEIQVKVSAIDAGNPVKSTTKTMKLRIKDVNNHSPQFDEASRDTKNEFVGGQKREKNSNLFQPSYYVTISENEKPGKDIFKVTANDFDGGNNGKIKFSLGNNGAESSFISIDSKTGIIKLLKSLDREYQDVHNFAIIASDGGFPTRVSVTNLTLTVDDINDNAPRCVSQRSKARIPEDLPHGAFVSCLAAFDEDIGQNSKLKFSLNSEKVPFRIDHHSGCLFIHAPESPLDYQKTPNFNLSIEVADHGDPMLSTTCHLYVELVDVAYNHLAIEFDDVAKEASVYENSEIGTEVIMIEAREMGDEQKLKAENLEYRIIGGDGWSYFSIDRKGTVRTTRILDRESKSAYWITVEARDSKTDLLKDSRRKAVLHVFIRILDRNDHRPVAKMPMYIASVAENSPANVVIVKVEATDADDVDNDAAAPLTFKIERGDPQSFFRIDLTSGYITTSGIRRLDREKQSEHELWVSICDGGEPQLCSNVIVIVNVLDENDNAPTFTQAIHHYNVRSKFVGKLCRIFAVDSDEGENARLSYNITEGDPRFSIDENGNIITSEAIHGDESYALTVQATDHGTPSQQFAATRVVLTANGAGQKAKKTKNSPPVIAGKKSDYVIPISDADQVGLTVGKLEASDADGDDLWWSISSGDSDSVFDVRQDTGQLLLAKKVELLKRGELRLNISVTDGQDWDHTTVIIQVSRQISQRPKFSASHYQTEVSERVAVGTQIYTLKASGETLGTKPLVYNLFSVDDISMEDKIRVEPSSGNVIVMEPLDYEAARRIRAVVQVQQANMKSFANFAVNINDENDNSPYFVDHTAWAFVDENDSVDDVLATVTAFDKDQGNNGIISYSIVSGNEESLFKIDSQSGEVRLARPLDPELQHVVSILRIRASDSAANSLKDEMNLHIQSSNMAPENAKFDRKVYQTTLHDSTRPGTPILVLSVLHHGSVSYKLEPNCSFFEVHTLSGAVHLAIWLTKNNNLKSIECTAIVENSEGQQDTAKIVAKIIRTNQHSPVFREQIYRGTIRENMEPGSSVLSARQLPLVVSAIDEDSGSNGLVGYRMLSAKDDEMFTIDQYSGAIRTKKSFDFEQVKEFSFYVQAFDMGQPPRRSLMPSLVIVSVIDENDESPLFPSPSIDVAMLLPTATGVFVGGQTAKDVDSIGTLRYFIKEKSSPFSVCSKTGNVYVKDAKTLSDEKKPFNVEIFVTDGKQSASYVMKVSHASENSKFKFTRQEYHVSVVENTTVTPGTVILSVATVGDKLSHYSIVNPHESFFIHHGTGVISSTGVVVDREVSPVIRLVVEAKTHEKNPTIARTIVVIDVQNTNDELPTFIGTPYDVSIGTTEIGNAILEPKVIDRDEGDQVVISSDDMPEFFKLVGGKVVLAKKLPPIEDKDLEFNFKLIASDNSSVHRVEELVKIKVVDRARPVFSQSIYTAVISKESTKTTTVLVKVMAKSSLQAKSKGLIGYRIVDEKNYFKIDFVTGEIRLKSLKALEVAEYVFEVEAKEVIRPKMTARVQVKIIVKSQFSSMQPPVFEEEKYSVSTPESASIGQRILTVKAKSPIENDIVEYKLSGGNDSGFKAFDIHPETGDVTISKSLNYENIQKYELKVTATSSVKQVSSEAELIVLIEDVNDEIPVFIKSEVDAQVSDSAISGQFITIMSATDMDTTSSLDDSNQKLLYKIVDGDETLFNISPQTGEVSLARQIEQEDLINEETKKVLNVSVTDGMFTAYARLMVEILRSGAMQPAPRFEQSHYVANALENTIVNKSALLTVAVKGGIPPLRYSLGPAASSNSSSNKDSWPVAIDKKTGRIHVSRVLNYHNDKRYQIPLVVEDATRRRAFSTLTLSVIDINDKPPFFVLPFYSTSIPESAKEGDTILMVSATDEDENDTVRLKITIEYSLLDGSESQFFSVHPRQGTITVAKKLEHKAGVTLSLTIKATDSANPPHHATTTVEINIASESVKVPRFSNSHYLFFVPEDADIGNVIGRVQQMETEIDEVRFTIVSGADEPDTQLPFSVERSTGKIIVRSGLDRERRKQWKMTIRADAAGGVHAITTVTVDVGDINDNAPAFHGDYEKLTISEDAAVGTSVTIFSAMDRDDSPSGRIHFSLVQKDPHFEMNENSGWLTVSSQLDRETTDKYQLIARATDEGGFNTDLPFTIIVSDVNDSPPQFERDVFNVDLQLPSTTPILHFDIKDNDLSPNNQSLLFISQGNEEGIYWIDSENNLLLKRPEMIEHKKNYSLKITAFDGVFETSSLVNVHLKTIEDSSVQCPEANKTVIIPENSKKGTVVLGESSLLGPNTTFKLIGDGIENVFVMNYRNGIVKVKDSGQVDYEKNQEFEFYRSMIQGNDELCKERITVLIENINDNPPKIIEKQLRVSIEENLPTSEDSRQYLTRVVAEDADFDIIKFRLLNEFKGIFGIDEESGVVTAVKTLDSESVGLFNLTVVASDGKFEDQATIIVTVIDQNDNAPTFEKSTYSMKVMESESIGYELARLQAFGGDTNETIVYSLKSSKHNQFVRLDLTTGVLTLAKPLDFETLSVLKLTVIAKDSGIPPLESEAQVEISVMDENDNPPKFEKDKYIGKVKENTKIGEKVLSVKAIDADSEHFGAVSYELEMISEGTAEVRNSDSTPTHTLTIPFTINAQGDILINQSIDYEKVKKYNLKVIAKDGGRPPLLSETLLEIEIEDENDHPPMFDDCNMTAVVQEGEPIGHRLLKFLISDLDGPSNGAPFTVDIQGDGAKAFKVNDKLELLTAKKLEHRKKDKYLLTVIAKDVKGKSTDCPLTVYIRQASRHAPTMKPMRIQVNTLQNELPEGVIGRLKAYDEDEEDQNGLLRFGIVEGSIQSPRAQIQESRPIHAFRVDPTTGEIWSDHSISQGLHTFNVTVTDGKFTTVSYVEVQVNSIDNDVIDHAVSIRVRSMTVDEFMRNHIKEFRRIISHHLNLDDENSIQLVSVQSVPSIETSRNRRSRRSNSDDVEVLMTAQRGHGRGYLKPDHVYSRLKNDFQTLNDQSQRMRYQLITEMCTTGVCIRGECREVIELIEDNWTKVSTDEFSFVSPFHSRSAQCLCPDGFGGKRCEVETNQCSKSPCENWQLCIPSVFNSTFECVCPLGMEGEKCSIPSCKNDGKCLEEAELSVGGDGYFEMSLSNEIESRMELEIELKTTTHNGVIMWSRGIHDYHLLRLVNGSVEYHWDAGTGTGIVASKTQIVDGQWHRITISRRQRRTRMTIDDDGLQEAFSPVGSTVVNLYRFSQKLVLGAKVDKGDVTEGVSACFRAISVDGIKLSKTRQGMKLFGVQPGCSALTSSPCNDLPCQHGGTCASHGKSQFVCQCPSRYSGNTCEIDLEPCASSPCPTGIQCIPFYNDYLCKCPNGFTGKHCEARGFEDHETSSCSKNVCGSSGQCISIPRHNLESSDFICNCTGGVLQSTPCTEKSEIFTNILEYLLKAEIVAIILGVLFLLLICCLAFITWKCCKKSNDPKYGAHCDVPHMRNTRVLVPVVPPPLPPRGFRNETSNFIGLSSSATTSTRPMVEVKPYSSDIRYSRSPSACGSSKGTRKDPLPSDKFRRTDEVSNRIRGSDRRDPRGDVLTSLRTSPDDWMGIDDRMDSVLKYSRAAAGAVVVGDTELMPVVNDDDYMTMKPRKDKTFDREEDHKPPAIPAHATPLESVLKFSSSSSGEEAPRNALYDDPISLNSQSFDDIDEEVNIHIS >CRE20255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:518371:528762:1 gene:WBGene00061767 transcript:CRE20255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cct-5 description:CRE-CCT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MCY6] MAQASAQLLFDESGQPFIVMREQENQKRITGVEAVKSHILAARAVANTLRTSLGPRGLDKMLVSPDGDVTITNDGATIMEKMDVQHHVAKLMVELSKSQDHEIGDGTTGVVVLAGALLEEAEKLIDRGIHPIKIADGFDLACKKALETLDSISDKFPVENRERLVETAQTSLGSKIVNRSIRQFAEIAVDAVLSVADVETKDVNFEMIKMEGKVGGRLEDTILVKGIVIDKTMSHPQMPKELKNAKSTLFMFNLQVAILTCPFEPPKPKTKHKLDITSTEDFKALREYERETFETMIRQVKESGATLAICQWGFDDEANHLLQANDLPAVRWVGGPEIELLAIATNARIVPRFSELTKEKLGTAGLVREITFGAAKDRMLSIEQCPNNKAVTIFVRGGNKMVSWSYSSIFRIFKFQIIDEAKRALHDALCVIRNLVRDSRIVYGGGSAELAAAIQVAKEADRIDGIEQYAFRAFADALESIPMALAENSGLAPIEALSDLKAKQIETKNSFLGIDAVFAGTNDMKEQKVIETLLSKREQISLATQVVRMILKIDDVRVPDDEKMGSY >CRE20256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:532427:534499:1 gene:WBGene00061768 transcript:CRE20256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atf-7 description:CRE-ATF-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MCY7] MSCVAAAPLAAPPVATTMMASPSDSGELDVAAAVASAAAALISPMALPATMTNGRDMNKTSQILNDYLNMVVGKRVQLMGDSSSSFGLDTPNPKLMFTPLDLPTTAELMQRCLAVNPFEAKFREANQKISSGSMQPNTSGANQSLEALEANGGAPFGGSNAGSVSDLLLKIPQTSLQHSPGIFSNIQLLTAAGDSEGTTRENLKTADISKLLSVAGDFSAQAPRTADVLNAVLDMHSDRLHTINYLNNKPDFSALLRSPSSSAPNSASVLTNAMTIPSTSGASGTSLLCPPKTVSSYHSPMGASQPPSTQKSPADGGSWDHGEKQIKKEMPYFGDEAMMLMERSNMSSSGSDQDQGPSNTGSTASTSTGNPVGRPQNGTPGRGRGRGRSTTADMQPDERRNTILERNKAAAVRYRKRKKEEHDDMMGRVQAMEAEKNQLLAIQTQNQVLRRELERVTALLTERESRCVCLKGIPMGDDQHGTSNRGGNSNGMYPSSDMLNGLNQINGMHMKLPKLG >CRE20257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:542583:543120:1 gene:WBGene00061770 transcript:CRE20257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-17 description:CRE-INS-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MCY8] MFSTRGVLLLLSLMAAVAAFGLFSRPAPITRDTIRPPRAKHGSLKLCPPGHLSQPNTIFISKITGGASFLDAFNLICPMRRRRRSVSENYNDGGGSLLGRTMNMCCETGCEFTDIFAICNPFG >CRE20361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:543225:544090:-1 gene:WBGene00061771 transcript:CRE20361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-1 description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:E3MCY9] MAVGKNNNKMGKKGGKKKAVDPFSRKEWYDIKAPNMFNTRQVGKTLINRTQGTKIASEGLKGRVFEVSLGDLNNSEADFRKFKLIAEDVQGKNVLTNFHAMSMTHDKLCSIVKKWHTLIEANTAVKTTDGYTLRVFVIAFTKKSANQVKKTSYTKTSKIRKIRSEMISCIEKEVCYIFLLCVHQYCFQVTGCDLKEVVSKLIPDSIGKDIEKTCSKLYPLQEVYIRKVKIIKRPKIDLGRLHDLHGESMTVGADGEKVDRPDDYEPPVQQEV >CRE20258.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:544877:548361:1 gene:WBGene00061772 transcript:CRE20258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifet-1 description:CRE-PQN-45 protein [Source:UniProtKB/TrEMBL;Acc:E3MCZ0] MTSHLDDEGLHPMVSTLLGGGIFPSPPPRTYDRERMMELRSTKASMTRPENLSEDYNGEDGKFSPLKWLEHRWEIEGIKNRPMSKKIDSLCAGADENTGLSPQRRAFSSGCKAPTDDKGRDGIRQSYKNPVTLQITGEYERLGGHGKNWRNGSTGGADKFASRGTDFKTSFQKGGQVDRGGVRGTEWKKDATRGTKFGSRREDRMNSVSGSEKLPEWADGPTTMDDMIELRGFDEPKKGKNKKNPKEKKEKEGKPEAPECGGSRPSSAGLKVAEPFDDPAIAYSSSGGGALPATDHELAALLGCLDLQKASRKTDGDEMAFNHKSEDSAAGTSRLSRFFGKKSKSPEIDAMLASVGVGNNENVANPMLAKLFGHSGGDNNASSSGLGDMKGGMRLEDLEKGMDTKESSKVSPLQDPSQQAQLLHHLQKVAKQQAESGQHLQHHRQPTPPNGGPIHPHQMHHPMVHPGMPIVADPALLASFAQNPLVLNAYVENQLQEATNAAIRANNGQQLPAQLRKFQGMVRYFSFCYFSDEQLRIASMRNKAFLQSQTITFVGLQQQHQTLQHQHQQHQHLQQQKGRTPAMIPASVQRQLQKSTSNADQKKEKTSSQSPPESAQDTSDPQNHVDAANQLKKLHMQQNYANMVQAMNSGVGWQRGNGAVNGQQQQLPPNVQMLMAQHQQAQMHHLKIMLSRAQQQQMLMAKLQHMQQQQQQAQVFLNSKVLNGYLGFQMASLQERQGSNHSHLQQQAMPSELSQVGPIQTPLEKLLASVGVQGSQFTGSGDRIPTSARPMSLEDLEKQLTAAGQK >CRE20258.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:544877:548166:1 gene:WBGene00061772 transcript:CRE20258.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifet-1 description:CRE-PQN-45 protein [Source:UniProtKB/TrEMBL;Acc:E3MCZ0] MTSHLDDEGLHPMVSTLLGGGIFPSPPPRTYDRERMMELRSTKASMTRPENLSEDYNGEDGKFSPLKWLEHRWEIEGIKNRPMSKKIDSLCAGADENTGLSPQRRAFSSGCKAPTDDKGRDGIRQSYKNPVTLQITGEYERLGGHGKNWRNGSTGGADKFASRGTDFKTSFQKGGQVDRGGVRGTEWKKDATRGTKFGSRREDRMNSVSGSEKLPEWADGPTTMDDMIELRGFDEPKKGKNKKNPKEKKEKEGKPEAPECGGSRPSSAGLKVAEPFDDPAIAYSSSGGGALPATDHELAALLGCLDLQKASRKTDGDEMAFNHKSEDSAAGTSRLSRFFGKKSKSPEIDAMLASVGVGNNENVANPMLAKLFGHSGGDNNASSSGLGDMKGGMRLEDLEKGMDTKESSKVSPLQDPSQQAQLLHHLQKVAKQQAESGQHLQHHRQPTPPNGGPIHPHQMHHPMVHPGMPIVADPALLASFAQNPLVLNAYVENQLQEATNAAIRANNGQQLPAQLRKFQGMVRYFSFCYFSDEQLRIASMRNKAFLQSQTITFVGLQQQHQTLQHQHQQHQHLQQQKGRTPAMIPASVQRQLQKSTSNADQKKEKTSSQSPPESAQDTSDPQNHVDAANQLKKLHMQQNYANMVQAMNSGVGWQRGNGAVNGQQQQLPPNVQMLMAQHQQAQMHHLKIMLSRAQQQQMLMAKLQHMQQQQQQAQVFLNSKVLNGYLGFQMASLQERQGSNHSHLQQQAMPSELSQVGPIQTPLEKLLASVGVQGSQFTGSGDRIPTSARPMSLEDLEKQLTAAGQK >CRE20259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:550424:554097:1 gene:WBGene00061773 transcript:CRE20259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndg-4 description:CRE-NDG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MCZ1] MRSFLLLLTLCQLAFSCTLCHFRTPPRPRPLDQYKLPKIGAKQFDLEKMPKLCNSAKQLPVEPECQAQFEKIFCSADKLTDTFRGCPTNVNKSLSEQEQCSQCAYQKANNGWVLRCKLENTGPKNNTNITGFDSLGKPAAGITEGNYYWLGDYELCAALATEGSFNGQYCRIEMEVPDAGVESGCPQTDPLSIILGVCFPSACSVSDLAKVSALYLPYNLKVECESESRWSLVTIFLFVLFGIWIIPQAVATVQPSLNDSILSCFSIPENAKRTLSTRRETPYLHVVHGLEFLLFFSIVCGMVYNFMLPYIENVAFSFEGVTSLSMHIVNNYSFQIDGLLALSAFYTTYMLFGNIPTIRAALDAVCQRIARFYKNFRFWPAYIVCVLFMYVIFPGVSSGPMWIHTDTVSRCESGWWKNIIFINNWFNVTDTCVDIGYVISMEAQYFLLLVILIFLSSKFPMLTQIFAYLMLFSSIVFSFLRAYKLSLPPAPILSLEPEPLEKTYALFDQLMLSLFARSSNYCLGFVFGIGCATASEDLRKMGLRYFNHKLLAVACIVCAALTLFGAFPYSAWAWSDNNTLWNALYAAFHRPLWAFSLLSFVYLCHHGAFSELQNLFSINKILLFSEWLNALLEWRVFTPLSKVTWIALVVAEPIILYFFSSLNKPAYATHWSTMYTAISAALLAYFIAMFLDVFVTRPVRFLIYPEQRHRYAQAHTQEQQAN >CRE20260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:565673:570172:1 gene:WBGene00061774 transcript:CRE20260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-43 description:CRE-CEH-43 protein [Source:UniProtKB/TrEMBL;Acc:E3MCZ2] MDPTKAFDYNGSDYHYPPGAAGGAANGNGASVSPYFAYSHFPGSSSTNGASSVGIYGTPQQSSAYMYNQGPGSSPEEGFPEHTTTKIVEGCEAKYNTKGKKMRKPRTIYNTQQLAQLQKKFDKTQYLALPDRAALAAELGLTQTQVKIWFQNRRSKQKKQKTPGTSDRASDEDDDTEESKPESSPMSDSMMNQVSTAPQRTLGSIKTELKEEYGTDNSSPPQNHPTPNGSMMPDWPSLPHLPSVSSQHLPPVSTLPPMPGVAQLNGYEIKYDADKQPQPHLLNQYDMSYNYFYAPSYPTYQ >CRE20362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:572563:573761:-1 gene:WBGene00061775 transcript:CRE20362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nex-3 description:Annexin [Source:UniProtKB/TrEMBL;Acc:E3MCZ3] MATAKIHFKPYFDEVLAAEALEKALRNKEKLKVLEILVSVNNTQRQMIRTPYKTRYGKDLEEEIKKVFSGDFEDFLVALLQTPTKLDVTELNRAVKGLGTNEKNLIEILTTRTNEEIEATKNTYFMTYGKSLEDAISADTSGDFRRLLIIILQAKREETGFANTFNVGNHAAQILKSFDKKSGIEKFDAFKIFATSNGAHIQKVIEEVERQSGKDFQKIADKELSGDFKNLILSLIETSSNKPRFLANSIHLATKGIGTRDKDLIRILVARSENDLVLIEHEFQVLFGKPLTQLIKDECKAEYRDGLTMLVKGNSRP >CRE20261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:575466:579052:1 gene:WBGene00061776 transcript:CRE20261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20261 MDFPFEYVERACSYPSFNNHSVKKSAFDESIKKIGKLKPNDQAQSEEEEIYGEAHDTVVNVKEMQLLNKIKELEKEAKKEEDVEKKKKDWVAYFSSSECSSSRSENIDSFDDTSETDVVENLFANEQEKVAMNLRKFKIMRKCCCTDSECKTNTEITISSSSSSTSTSSEEDGKSSPMTDGFESSEIESALKSMDPSSKETDSFSDEEMNIDDEIEHLENLLKDIVKREERGGRSQVEESDDSGEELDNMFDEQKSKAKTSSKSAENPNVEQATAVPMSISSTDSSTTCISSEISITDSIEISSSDPDMEDPEYDVGKFNWQSDDLYESSGTDSEIENLLELLRESMASVESVFSSGALVGDDSQLQREKMQNFKQPLPDSLETKKKKYAMLEEQDDYEKLVDVLTPTSTIRMKQLYFLQISKQLWLVQQLDSGRICSVKLVNRKNPEPPNLQINIDVIECIDDYLLQTLDFQRERRVLYELLPELSQPSHIARLLDIGFNNDYKFLVYEDLGMNLLTVFEQFGPALCPATIFLITYFTFNSIKELHSLDFVHCDIRPSSFSVIHYPFNIKICDYSKCVKKKPLLKTTDSMRPESFSPRIFHRKDGGFDQFVDFESWIYTMLYLCTSQGLPWFSDSQNMLERKETFFNDPTDFVYNGCADAIPMAATLISDSKVTYEDFLDQMNFIFSVDVMQFSDNTQPRLWSIKEFEEIRKSKRPESDDDNAIELIESHWNPLDESDSADGRDTDENEKGKNEKEKEEKERKSKNEKKKMSVKEEKTAISSAELSSEQWSESSSGLEKKKMPTKPTILKIVPPPRSPPKKADYNMEASSATEQFSMPSAMPRGKPLTPVKVEKKKPPPPVRRINVPAVEAPKAPKGKKNKRQLLTRQFMFEMNKDLNSAQSSISYDSSASSFSSWTSSTGSSEDLDIGEKAFKWSQKSGDESNVEFFEDLNPAEREAYRQYENMVAIRYQDNLAPSSSSEVDPLSDIDSEEKQVIIDELKKRDVALGWDMISNKDTSQEEIMPEDHPNEIVKRKKKDRKE >CRE20363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:579199:583221:-1 gene:WBGene00061777 transcript:CRE20363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cku-80 description:ATP-dependent DNA helicase II subunit 2 [Source:UniProtKB/TrEMBL;Acc:E3MCZ5] MPPKKKSSPGATVILIDAGSNMSEKSSDNGKTDFENALSTADWIVSRKLFSEDPELFSIMSYNIGSDYTSESGKIFKGVAVHSEEFAPAKFDHLKFITTELQQNTENTEPNFFQGVLAAVHLLKEHVIENPNPSGITLIVLTNGMNENIRQENIDLLVDAITDCQADLMIIGIKENPEYPASRLAELVETLEGRTYTFQNVASMLSNYQARQKSERKFNKMWDIAPGIRLPVTFALKSEKSSALLKFKNADSEGNEMVRLEQMHVETQNPVDTGHDVKSEDISTADSASKFLPKNAKNYKAVENIKTMHGYNFGKSVIMMDPEYLKEKYNDHNFNEGQTGGVLKLIQFTKKANILDSYLADASAKTVLPSLNSPTSGATKATVALIEAMLSLRVAAICRYTFHAKSHVQIVALLPHRDEDTGVVYLRSVKLPFSDDMRTLKFPKFSFDEDEVDSNKPTVAQLSVVDDLIDCMQLEETVISSLVEGGMSDPKLQMQCHFLKSLVLHPNDTMENRSIRTNEILDIIMAPKRKVETESSGMFQKLNREFNLQPIQKTKRERVTVEPEDIQTMISEWADKKLKMSSQDDESEEGPSQKKKKFNTSGTKKISRREEVRMEIVETDGASHVCSKMLEMISNTCKFQPNGSITGFFQLLINELNLIRSVFVETSRCDEFNELLKKLKEEEDFEPFAAVLAEEKSCNPISSTEVSTSDISYSDAAEFWEE >CRE20262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:583721:584582:1 gene:WBGene00061778 transcript:CRE20262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20262 MADTIDQDPDFELVDGAERKSSTSDSFEAVDDKKTDSQSVSDNEEDEAEKTNENREAEEEKKLPFVDQSIKDDAERVPEKDGEDLVEDALNAPIRPDQEQFYTAEASNRAITLLIAFSAMMFTVPLLVMATLYYYVFIDHFHLPPKDAMLYAGIGAAFVVILIAAAFCYIAWKEEKDAEDKLKAEKKKE >CRE20264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:585545:586847:1 gene:WBGene00061779 transcript:CRE20264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdcd-2 description:CRE-PDCD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MCZ8] MSRCTWGKIGGKPSWLNPKVLPKSSDLLCSVCEKPMCFLMQVCANGGESDPPHAFHRTLFLFVCRNPGCSRPNDASNLKAFRCQLPRANDFYSFDGPMNPDFGDVPDPRALTDGPGLCKICGCAAAKKCAKCQVARYCSQAHQVIDWPQHKLECAEVAVNGSISEEPKNPRNAFVFKEFEVEIGQEYMPANLFDGISDDEEDDDNEEDGNDEDETEEEKKARIRDFEKFLKENKEKNADMTKEDLDAATAEQPKDIDFDKFNRLVNLNPDQIVRYKRYGLPLRATGRSELPEVVEPCDLCGSPRRFEMQLMPHLLSLIDVDAIGQSIDWASVYVFTCSASCEIADYGYAKELVVKQDFI >CRE20265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:587032:587446:1 gene:WBGene00061780 transcript:CRE20265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20265 MENSPENIKHDMPVGSEEDVEDELASPNLYEESMAEITLNETRGEGPGGHDSDPFDRSGEYDEDVNLEAPPPITPDIPEISTEVLVSLRNRVANSEASSIDIRNPFDDSDQNKKTELSPSS >CRE20266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:589161:591276:1 gene:WBGene00061781 transcript:CRE20266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20266 MIHSSDVPFFISAPQIISSLGFMMGVCDPKDTKGLVKSLTVKENKRENKNNSKDKKDERKHDYLVDHTLKFVHKWSAELRTLLTPGDYYRERRVYAHPKYVNPRNENTFQLFRWFRVEEKIFDGPEEHSVDLDFTFSVQTEISPLQKIFILWSWEQSNIDRMKEKRMVEEISTKVQSKKKTNENKKVIPNQLLPTIPSNSPSLQKKKEICEMDTKTPILAWISHAVVEIPDEFFDGEKVYTYGNGEYLKVHDSPSQSIRIYKANFVCQFHASTFFKDFNTIIIPTQNLQLVMLILYDYEDSKLCPLIEMRNFASSYFNSKGPCLVRKQSKAPPTVIKSIYIPNWRKIEFPANRIAHHLVATMNLHSIFVPGGFGDLAIADAIPSATGDPKQVSHLQHYGLLSLRNSPKREIVKNQEVRSFLRRNTRKKTGLKIEEKRKEEEVKKAIPYLDLKSPATEVVHYPICVILWDKNRNLPAYMGKVTGNEEEEEMEETDEPETTDKK >CRE20365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:591496:592002:-1 gene:WBGene00061782 transcript:CRE20365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20365 MSRVVSRVTTYFKQHSTAEWKNYFLSTHFWGPVANWGLPLAALGDLKKNPDMISGPMTSALLIYSSVFMRFAWHVQPRNLLLFACHLANFSAQGAQLGRFVNHHYLHYVEDPVHHRLMVKKEILEHVHDAEIAKAH >CRE20366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:592635:595351:-1 gene:WBGene00061783 transcript:CRE20366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20366 MNRKKLSAYGQEFEDDEEDSTVSKKPTQIHEEIATDEKGKRRFHGAFTGGFSAGYWNTVGSKQGWVPQEFSSSRDARGDKIKQKAEDFMDAEDLGEYGISSRTIKQTSAFGDGGSSGQKRKMAWERDSESVSTITQMFEDVVKPVSSSIGVRMLRSMGWREGRGIGLANVKQKQHRGGMSSEAQFDREQAAKVAPMYEFANENAIVKQLTPLSGSHGIGYQGLRQTTVLDESYGRTALALKSDKKSSKGIKGQAFGVGAFEEEDESVYSNYDLSQFDFSLDLAGTSEESNLKTQKIDTAFELQPKRLNPRKFYAAPRVPQNFRGEHKPIPMDVSRLPQLMKDDVKQMNAIQRAKFLGEDRVGALEIGEMGSKKPAERRSRWDIRANEVERRESQRGGEADEERDRRLRNRIEFPDEPMRQARFKEFLHYIRRGLPYPQPTDLTVWEWEWEKKEFESKLTTEERGMLPEVLSRAQPLAKTAIAAPIHEMMASKFVKEAGGDLKVGTKDEDKLAAVKMEMFGERTRQCFEWYPDSILAKRFNVPHPFPGSEMVGVPALQKTNWKRKETLEDIGGISTSIGLPNTANEVEMRERLLKSRARRTADENKQKNSDDEESYEDADRTADLEEDENDKEEEKAPKSFFDLIFGEGGVGSDASDKSDDEREEENERQKALKKREEELIRKKQEKEKREEEEREKIVREREKLESNDRSETRRDKDEDEIQIIEEISTTTSSYGPALPPRPSLSKDAVIQFLEKNLSKKDDSKKKHKKQKDKKHSKKSKKSKKSKKEKKRKRHSSTSDDGSSDDSDEWQEKKL >CRE20367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:595574:596388:-1 gene:WBGene00061784 transcript:CRE20367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prdx-3 description:CRE-PRDX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MD04] MLGSAVRALSRTVPSTVAVATRQFGVSRSLLSLRPLGPKNAVPAFKGTAVVDGDFKVISDQDYKGKWLVMFFYPLDFTFVCPTEIIAYGDRANEFRTLGAEVVACSCDSHFSHLAWVNTPRKDGGLGDMDIPLLADFNKNIAESFGVLDKESGLSYRGLFLIDPSGTVRHTTCNDLPVGRSVDETLRVLKAFQFSDKHGEVIISVRAAVCPADWHEDSPTIKPGVSSSKEYFNKVNK >CRE20368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:596518:597444:-1 gene:WBGene00061785 transcript:CRE20368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnp-4 description:RNA-binding protein 8A [Source:UniProtKB/TrEMBL;Acc:E3MD05] MGDNDVEMEDVVANAEKSKGRGLAQARNREKIIYDVVDEESTATSGGPQRSVEGWIVFVTNIHEEATEDDVHDKFSEYGKIKNIHLNLDRRTGFLKGYALVEYETQKEANEAIEQSNETDLLGQNVKVDWCFIKGKKSSGRR >CRE20369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:597445:598949:-1 gene:WBGene00061786 transcript:CRE20369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snfc-5 description:CRE-SNFC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MD06] MSSSTKTLSQTYGPRPQSFSLDETGEKYYIGSEIGAYLRLHRGTLYKKYPLLWRKVATSDDKDKLKQIAMSNAFLHTNIMLLKAHEVDELLDGSEEKYRAAGAAPSTPRTEGVSRTVTKTPAAAWGGQQVTSGSHHLESVPCSCPIAHSRGRMKHRELVYSAEDLEMSKKVMENAEEGEDLVPIRLDMELEGIKLRDTFCFNRNEKMVTPEMIAEIMCEDLDLPVAVFQPAITAAINQQLEASTEAPPLDPNTCDQRAVLKLNINVGNQSLVDQFEWDMSDPQNSPEEFARNICKELGLGGEFMSGIAYSIRGQLQWNQRTYAFSESPLATVDCPFRTATEVESWGPFLETLTDAEIEKKMRDQDRNTRRMRRLVGGGFNY >CRE20267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:599365:600785:1 gene:WBGene00061787 transcript:CRE20267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20267 MNFTLICNLLLFGACYKLFRNWEIPVNLWYSECIVDILGYDFIYRFDPFRVVGGFPTLIDSFFENIFEKTTEASKSSNFLLEDLQYPVLSSQFRRFCWAFSSENTDNVLRKLNWECPILESSNQCITVVKASGCLESSQLSYNFWEIPDSSIECFHVSKGSIDCLKPFLRGNICENVVTSIGRNGNNSTFIEVDDIFINTQVIQKYAEESEVGVSKTSKNTSEVNEYQNSSFCKNYSIHETVLVGGENGTSNAFIYIFAILPFGINSTNLLKTTFPIVLSSISFRTYRYLTPNSTFCGSSTVSIYHTRGFVYSAVVTSSPSESSDSDSQKHTILYFDLPQTPPRSKFELQRGRFHCPSETACITEILYPFIFLDSIELPYQIGILVVSALLGIVILSKLNNE >CRE20370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:600967:601769:-1 gene:WBGene00061788 transcript:CRE20370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20370 MIVLLVAFLFPLLVQSQQVNVTIFTESQCPYCTKLLREQIWPFYVNRPGIMNLQIVPFGKGDCIYDYNRNFHCTCMHGATECDLNRLQNCAISYFPRRHLGLVTCLQGLGTLREGFSKCLSRLSPNTQRKLIECATTQTGELLNYYSMVNTHRAGVRIWPTMYVNGVFFDRSYPVEHKLCEHTAWC >CRE20371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:608226:609662:-1 gene:WBGene00061789 transcript:CRE20371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ckb-3 description:CRE-CKB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MD10] MKALEKIFTENSLISDIVINTVIELGHDYLRGEWKNVKKFEVKVTKILGGQSNHMFHVTTSTSATQFLLRIHRHGQNQFFTDAVNFAIFSERGLGPKLFGFFEGGRMEEFIPSKTLNADDVLKPEISYSIGSVFPKYHSIDVPVSKNPKCFQIMRESLREYSQLGGGVYTISSTNVTYSEHPIEVSYEDLNREIDLMERWSIEIFEETVVFCHNDLTCSNILQLNSSKEIMFIDWEYATYNYRGYDIAMHLSETAIVRMISPAGIKINEEFTDNPPNLRTFCEAYVDSANRMKNRNPSNRDLEIDNLMRECEFFWPTTHLFWACLLMKLGQLECNKEINLDSQARDRLAVYFHLKPRTEKIYGQNMKK >CRE20372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:610058:611500:-1 gene:WBGene00061790 transcript:CRE20372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ckb-2 description:CRE-CKB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MD11] MTAIEVSPSFGHIFVNSRIFQKFFTENELDSEAVLHKVIDFGKEFLGGKWKNMDKSEVTITRITGGQSNHMFHITTSTAATPFLLRIHRQPQSQVFIDTVNFAIFFERGLGPKLYGFFEGGRMEEFLPSKTLDLDDVLKPEISQKIGALFPSYHAIKVPVSKNRRCIQLMRDWLQGYKSLGGGDYEIVPTTVTYSDHPKCVSIQDLTGEIDIFEKWATELYEETVVFSHNDLAAPNILELNSTKELVLIDWEFGTYNWRGFDLAMHLAETAIDFRVPFPPGIKIIEESTENPPNIRIFCEAYLDADNKLKNHTPVDRSSEIESLIQECLFFWPLTHLFWALSAMKHALLKFENGVDLDVQARDRLAVYFHLRSRSQKTYEELSKK >CRE20373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:612528:613864:-1 gene:WBGene00061791 transcript:CRE20373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20373 MGTDFIGGEWKNVEKSQVNVNRIIEGQSNYIFHVTSSTSSTPFLLRVHRQKDSHVFTDTVIFSVFSERGIGPKLYGFFEGGRIEEYLPSKTLDSESVLKPEISIKIGSTFPKYHSMSVPLPKNRRCFQVMREILNDYQSLGGADFDLLPTHVSYSEHPESISVKELHKEIDLFERWTTELFEDTVVFCHNDLTCANILELDSNNEIMFIDWEFASYNCRGFDLAMHLSETAIARGLKSKGAQISEELTDNPLNLFKFCKAYIDGDNKLKNRIPSNRSTEILKLIQECQFFWPLTHLFWACFVMKIGLFNYIPGVDINIRARDRFAVYFHLKPRSQQIYEELSTKQTQKVV >CRE20374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:614192:614936:-1 gene:WBGene00061792 transcript:CRE20374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ckb-1 description:CRE-CKB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MD13] MRDLKVLFRNLGGKDYEILPTLVTYSEHPKTVSMVDLHKEIDLMEKMSNEIFDDTIVFCHNDLACSNVLELNSNKEIVLIDWEFGTYNCRGFDLAMHLSETAIDFRDPTPPGIKISEKLTDDPPNIRGFCEAYVDADNKLKNRIPSDRSSQISKLIQECLFFWPITHLFWACFVMKLGLLKYNCGVDMDVQARDRFAIYYHLKSRTVKIYEELRKK >CRE20269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:615213:617918:1 gene:WBGene00061793 transcript:CRE20269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20269 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3MD14] MVQDEIEFNIVYGYDRKKKRKRRTDSTESSSEHPDGVEKFDNEEDVAISPTGNPTVDPTKIETEELEQEIGSRNVSRPSTYRQLSLLLTCVTLLKNNLVFIECFFNHGGIFFLIPYFIILFTLGVPMAIFELALAQFSSIPMNGMFVRMAPMLGGIPYLILILRIVYTVYMAFEPRFLLYFYKSMTTVITGNNLWIRCQDYKGVRCYDPTMSCKINEIRLNGKCIKDLHIQQLSEQESYGLLTYFGFRESRLNSLIPSQFFKEINSLDMMDNILAALIFLLIAGFITYKGHRFFASVAGFFVFLPILGMIPAVVLVYYDVGSRKKHFFKEIVKNNDISKIFEMSAWLNAARVSVKTVYIADGTLMALGSMADFGHNFVKDAFLLAASGAAYRLMLCFGILPILYVGNDILYPFAKKVYTQGELVQFHAIELFFSALPSYSIPGISSNVLFFSFGLLFGTINLAFVAYQVITFEILINSLHHLFPRLLYLKRRAVKVSIIMATVTFLLFLYMCGLPYKTNSVGYQTELELDDYVVGLVSTTVFVQLVAVAIVYGHKRLLVNVLTMLKSHPKTYKLVEKSRFFLYIMWTILLPISCLLSIMSVIVKKYQIYHWPPLVYAAISSFPLIYLTRKVRKLTRISDKLISFSQLIIFWIQKEDVGSLSQTHRWKPANEGHTREIEHDERASGVLN >CRE20376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:618950:624999:-1 gene:WBGene00061794 transcript:CRE20376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mnp-1 description:CRE-MNP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MD16] MVPPPASPSKKDKSSCLCFALIAIIIGIILCAGLMTWIFVLRENGDGPDVDWQNATEIPTTTTSKASSTTTELESTTTTSAEFKNDATSSTTTTQSATPETTTVSTTTTTEEVTTTTASEIVMPVDVASILKDKTKEFEIVEKCAQILVLHVSCTPSSSQLTSDPSKFQPIHYTLNITIRDIRKPVLEGHMQLFASTKDQIQAISLHSVKIHNLENRDRVHVINCNTGETICVSRVHQVDEVIHLELAQSISSGVDLRIDIDGFISADSGPNVYKQIPTAKWRVPQMVGSIFEPTSARHVFPSFDLHNQKATFNLCLNHGPSMTAISNSLINPNISTSGISCFEKTVPLTAQQISFVAFERTNPLFYNTTTLDGAYLPEINMIFNLNAKNFQQYEWIHSEVSKVMSLMSKWSGFSYPLPRLEIVVAPVMAGHSALGVITLPAQAIAYQKHTSTHETLIKEVIGQWMEGVVTTEHTCFEKALIAYLEWKINEELQIVKKTRKMEVSRIRPRNLNETADQLRVIRQIKPQSTSLCSPRFVEVFYTLDETYGQDTVVGMIRVIFDQFAFSTATISDWASAAETSTGGRPEAGALIHEWYRPSSKISRPVLRAVVSSNSVDFHQLTEDNWTVPLEISGSAGTQLAVISEKKQTVPFVSTDYVVVDASRKSHAFVVYDADTYLRLIRCFGDSRCPSKEIGGVFADLGAALLANILPKPDNQDVAKWKSVFKFMAQQNLVEGTAACCVEHAIREMRKCSYWDIQDVCTKIDFNIVLAAVA >CRE20377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:625474:627938:-1 gene:WBGene00061795 transcript:CRE20377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20377 MSCYWVAEVVPLAVTSFIPMIALPFLGIVSIKQVAPKYFADTNIVFFNSLMLSLAVEECQLHKRIALKMLTYVGTRPHWLMAGFMIITSFISLWISDTACCALMAPIAYALLEEIMIPKMRKAKNDELVEDKAFDDGTEEKRQLDTSKLSVRDRGICKCMMLLVAHASLIGGTGTINSTGPNLIFRDNIEKNFPNEDTGISYLSWMAFAIPPMLFYMFSSWFIVQLQFLGPRHLMGMFRKPTESEKQEEEVARRAVWKSYDQLGPMTWAEKSTLVIFLLAVLSWISSDPKVIPGWSDLFKKGYVTDSCSGLVAVFLLFIWPKNKPDLRLFRTDKNRASVRQEALIDWDCVRRRFPWSIILLLGAGFAISDAVKMSGLSTLIACSLNSTISSLPLFGMQIILSIVVVVMTEFSTNSATASIFIPISFTMAESVHAHPLYFSIPTAIGPSFSFMLPMATPANAIVYETKTIRMIDMVSCGILLNIFCIVITVINMNTWAYWLFNMGTYPDYALRHATNLTGNSTQCF >CRE20378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:628637:630759:-1 gene:WBGene00061796 transcript:CRE20378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hse-5 description:CRE-HSE-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MD18] MKCLRWRSNRHRFYMFFACASLFLLCKHYSSPNEKIDEEDEYLNAVDEHEKEKKVECEPPGAVESKCTVDNGKAMRCWKDEEEVYFPTSYLKKRFDMTGKLGKDGSTFELYTSYAKMRTPESTYEPLGPFGHFATYSVETRDRVRCISAKTNVPMSTQWDPTPYYYPIQIAQYGLQHYSRIKIGLFTNSSLKIQYFSLDSNSNKTEDKREVLVGVKSNEWKGAAGMHETTERLFFNDEEKGKVVNISAGCLLIFIIKIIDDSFSDALANAGAYVYLDKSPDLHVVSFDWKPYDGNASFTVLAKMKQDDLLVLINYVFSEGNGKCVWQEEERSYDDDTIVQKPKKDGQVSYSYSYTGSSQYGEWSNVTRDILVDVSRALSSGDNRKKDDNVILHPGDIRLVSLGFRGQLTVRQQINQRVEQHSHAFRSAADWMIQNQDKKGGWAVPVERSIADRKLVLEPGWHSAMAQGHGISVLTRAYKHFNDTKYLLSAMKALELFKTNSSEGGVRAEFFGNVWYEEYPTTPGSFVLNGFLYSLIGLYDLSKLEVPVAEIDENIRMKIKEAQQLYSAGIRSLKQLLPLYDTGSGTIYDLRHVALGTAPNLARWDYHAVHVYLLKWISGIENDEFLSKTADRWIGYAYGKRAKHN >CRE20379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:630995:632341:-1 gene:WBGene00061797 transcript:CRE20379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20379 MDSEALSSDSHVAAVISTRRRSNSVSDDYSVQRTNDDATQCKFFAIQKGYWKDEFISRFANSSANVAEARRFPEISMGYWARTAAIEKYVREFLTEFNGKAQVISFGCGFDTLFWRLVSSGSNLVKYVEVDFSSVTSKKIRHILKPVGSGSIDLKKSFESEAVVSHHSDLHAGNYHLVGADLRQSNELDQKLETCQLDYDIPTIFIAECVLVYMSANASSSLLKQIVSKFRQPAFVNYEQFRTSDAFTKVMEQNLGERGIQLHGLEMCESSEKQEERFRNAGFKTIKVMDMNQIFNNFLDQNEVGRIRTIEMLDEMELLEQLLTHYCVVFARI >CRE20270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:632562:633326:1 gene:WBGene00061798 transcript:CRE20270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20270 MSDGYQGGNQWLPRGENKWRPYGRGRGGGGGWRGNDNRGGYRGGYNQQVNDGGWGNYGGNQNQRRGGEGGGYQGNRGNRGNYYGGNQNNFNNGNRGRGRGGQGFQRDNRGRGGKGGHSNHGPIDSSKCLIPAMMGNPWRKLEQEYEEEYGLTITEKMEPANTATKPTEST >CRE20380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:634770:640613:-1 gene:WBGene00061799 transcript:CRE20380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdk-12 description:CRE-CDTL-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MD21] MEISPGSSSHERDKKGSHGHREHRERTRSHSGSPSRFYGKEKRGGSRQGNRGRDRESRDSVSPQYKQQRGWSSPNNFRKGGGGGRDRSRNDSSRKKSKERRRRDKRSRSRSRHRSPRRSGSSKKSKKRNSSGGSSSSDLMDTSLIGEIKKKHGADIGSSKNKKKRSTRRKRNRNSSSSSTSSGEVPEPIILSSNGMNLNALPPPTTFNPAFPPMFSQPPPPPPPGTFMTPPPRPPPPPFGMPPPMIESHFCATASVSISSIPPPPPQILDGASSSRRDALPLPPESRRIATRPVIITRRGVTTNRPSDSDSWYKTNLTHYEMLDQIGEGTYGQVYKAVNKITGTFHFILYPRNSKFTGEQVALKRVRLENEKEGFPITAIREIKILRQLHHKNIVRLIDIVIDDISMDELKRTRANFYLVFEYVDHDLIGLLESKELVDFNKDQICSLFKQLLEGLAYIHNTGFLHRDIKCSNILVNNKGELKIADLGLARLWQKESRLYTNRVITLWYRPPELLLGDERYGPAIDVWSAGCMLGELFTRKPLFNGSNEVVQMELISKVCGSPNPDSWPELTELQGWVTFKQRRSFPRKIREEFEHIMPREAVDLLDKMLTLNPERRISAKDALLHPWIRNLEHTNVQQLQLPQHQDCHEMWSKKQKRSARLGRQAEGSSGSGHSMRATSHPRAAPPTVATATSNASSSKTNGTSNNHQHASSVPPVPAVHQVQLPHPSASSTHNNHHPVHQQYQPVFFK >CRE20271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:644788:647249:1 gene:WBGene00061800 transcript:CRE20271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-6 description:Polypeptide N-acetylgalactosaminyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MD22] MIASLLRSRRRSKRVVAYAVFLFGFVAIWGSFSLALVFLGDVYFGDEPILAQKASKQVTKSNYHVVVGHYNGNLPEDKKRNLTSEELNANLYSPREEWGEGGSGVTHLTPEQQKLADSTFAVNQFNLFVSDGISVRRSLPEIRKPSCRNITYPEDLPTTSVIIVYHNEAYSTLLRTVWSVIDRSPKHLLREILLVDDFSDRDFLRYPKLDESLKPLPTDIKIIRSNQRVGLIRARMMGAQEAQGDVLTFLDSHCECTKGWLEPLLTRIKLNRKAVPCPVIDIINDNTFQYQKGIEMFRGGFNWNLQFRWYGMPTEMAKQHLLDPTGPIESPTMAGGLFSIDRNYFEELGEYDPGMDIWGGENLEMSFRIWQCGGRVEILPCSHVGHVFRKSSPHDFPGKSSGKVLNANLLRVAEVWMDEWKYYFYKIAPVAFRMRESIDVSERVELRKKLNCKSFKWYLQNIFKDHFLPTPLDRFGRVSVICLMTWSPCLHVQMTSSTNSSVCLAWTLRSSGIKTPSTVDCLDIFHKTQVHFLSSFQMSNSNYCTSFRPGDTGPKNHRLLGSPCTMGFDLWQLWLYTGDHRIRTDEHLCLSVVQLLHTSSDWKIQLKECAGFDTEYWDFKPKVFCLISIRSLLFFELQIGRFKNRKTGLCLTSPDIFDPSKDEFNPPIIQKCRNSDERQKWTITEMSWLPEHR >CRE20382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:649239:650630:-1 gene:WBGene00061802 transcript:CRE20382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-131 description:CRE-TAG-131 protein [Source:UniProtKB/TrEMBL;Acc:E3MD24] MERNRRRKDDAGVMTKTLAGIAALTFLVSFICSSNGITFNHVVSTIEVILEESDYYKLTKEWMASSIDATWQEVSIPSRKAEHIQAINPEVDVAAGGKHVFTSEQLHFFDGTRDSKPIYLAILGRVYNVDGKKEYYGPGKSYHHFAGRDATRAFTTGDFTENGLVASTHGLSHDELLSIRDWVSFYEKEYPLVGVVADLYYDSDGQPTAELTDVLARVEKANEYRKAQAVEIEVFPPCNSEYNQNGGRVWCSTKSGGVERQWAGVPRKLIEPTTKKFRCACVKNFGPGVSGAEEVKAANNRGDLDHPDLELFPDCSPTSNSCKISS >CRE20383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:650788:653196:-1 gene:WBGene00061803 transcript:CRE20383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dcn-1 description:Defective in cullin neddylation protein [Source:UniProtKB/TrEMBL;Acc:E3MD25] MSTRLKADQKSKVGKRKQDSEEMSDVEFGVKKSRVPQNYDNSVVGGNHLSCASSSSQGLAQSTNLSKMSPKNYETILRQFVQWTQAAEPVAVNFLAKANWNIEYAMTLYFDNPNLFSGSAAQPSVDVSKNERFFNQYIHTEDGLGDKRIGPNGVQKLLGELGYSPTDRRVLILAWKCNAQTQCEFSLKEWLDGMTTLHADSVQTLRQRIDSLDAELHSDKSKVSKFFKANYFRELYLFAFSYGKAAASRSLDLETSILYWDVLFGNNRSTLMSQWIDFLREQERQAVTRLALDVGQANAAKIKHVWITRDTWNLFWDFIVLSRADLSDYDDEGAWPVLIDQFVDHCRSSLNYPTPQPAGQPMEQRSYY >CRE20272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:653685:659339:1 gene:WBGene00061804 transcript:CRE20272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-evl-14 description:CRE-EVL-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MD26] MSSDVDYPQGCLPIENSTNVSQQIERLRKLAACLYDCKENKDEEVKSPTRFARLFQHLSQSCFLDNSNPDFRLHLSLCLAHILRVFLPEVPTPTAIELKNVYIHIFRTLRGLGEITTDSPKFKHFFNLVEAIKVILHPISEMQDFDEKESIPVVRTLFREILGLTCGKGWNKNVKDNKKEGSSDEEPIEENKEDEDEEDKNIVEKVREALVTIGRKALGELDYVPAEVLDVIFYHIASPQRTNFPEARDLAESIIYSCMQIDAEPTTEEKTNPLTASIRNAMTTAAKEGKLPDEYEMTGSDSRSKFFDILRVLHFISTKLVSGATEELNFWLQSDNAQYRLEAVKIVGYCTRDRHCQFGMDSSDATWTAFLNAAKDQESSVRKEFVTQSKSVLVSNHSHLRGQIINCLLRLSKDPQDEVRLNVVQAVAEVSKSKLEAISDKLLKLCAERMKDKKPNVRNESIKRMMDLYHHVMTSKPQKFYSKDSLINHQDTDDTTLPYTESDKECVRFIPNAVFNVYRLTQRTPAYLDSRLIIERYVQKNFIPYEIDPKERMKIMADMYRNLDDIGCLMFGDIVNRSSQLRRAIMGILSGLGKYHSDSPSEFSAQMKDRIRRIIQIFPDASNLEKAMMTFINTLSENSNVFDLVKKMMGDCYISKENADDTLTVRRSVDAKLKSKLQQTSFRQFLDRVIPLSFDSPAAKELIHLVSETVCAKIDLKNWAQGCFERDLGLLKLFSEYFAHVFADKDIVEEIRSKILTTDEPRAVEVALHALSKIFQNSNFKTRMEDESIRREKWFLSISKNLKELVTRSDPELRRSCKLATRLLAGLLGKDKAVEFFDGEFDTLLYRLDLETPGCANSFQVLAEIFRTDIPHYFSRIMEILESDKIGPLIMTSPQHDEEDPIEFNDLIHFEKQPSPKYTSAKVYAAKFAAKVLSSCSLVIDPVDKQDMERVGQKFIDLSSEIIDKNGDLGGRQCDLEKARLRSTAAACLLKLATIITWRTKMHTGLYKNMSYMITDEAYCVRLYYALHIKKGLGRRLPIEFAACYGLINLGLVEEEGENKLEGFKTICMNQAHQSFVERNDEKANILNLQGAQRTMFCAETVVAYVVWLLANYGKLEKLEGNADKNDSEDTLALKASNVNLLAELQESLWLVIDMLKIAKCNMQKVWKYFEKLKTCGDKSMRSDGRVSTVQLREHNKKIWAICDLGISMMLYRAKLQMEDQESNDTGFNLQFFYVCNVKDKADPSNVYAPDVLINSEKQRNGRLPKPGHAYQVTDITSEFSPPPQSNETNVSNSSKNASKRGAANTSTTKSKKVGGKKNRRSGASKASDDDEDDSVIKLGFSMKKFQSPPASKKTRSKRGVYDPPEEEDDEMEIIPLPKRRGAPPVSFVPSSSSSNGNTSRNGTSSPNKSNSRKAKGRQNSKRETEIESSSDEEETEKANGVSLDNLVISPILNESSGRARRSARTIAATATITASTPLVPPKAKKVKRKRSDFVPEEGDMDYEDPPITSSPSPKKRTSARIVPSTPTTKKEASATAAKMSDSSKFSKKSPAKTNGTSPKKNKYGLPMEEEEEEQTASTSRKSVRTRARLSKK >CRE20273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:663556:667255:1 gene:WBGene00061805 transcript:CRE20273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glr-1 description:CRE-GLR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MD27] MVSSSRKSWRNMLTVLFSLLNITRVEPYPSHIIIKSFGNNEEVSRVALKAMEYTSDHINSRDDVPFKLAFDHRVVEEGAAVSWNMVNAVCDELKEGAMTLLSSVDGKGIEGIRGVSDALEMPLISLTAPTNDEQQQFGNLFEVSVRPPISELLADFIVHKGWSEVLVMIDPLHASLHLPSLWRHLRTRTNTSVKASMFDLPADETQFETYLMQFNMMRNNETNRILIDCASPKRLKRLLINIRSAQFNQANYHYVLANYDFLPYDQEMFQNGNINISGFNIINKDGREYWSLKKHLKTSSSLGGGDDVSVEAAVGHDAMLVTWHGFAKCLQANDSLFHGTFRHRRFFNRGFPGIYCDPLSDRSHPNRPFSSFEHGKTIGVAFRNVRIMQIGAKEGTLTGNIEFDRFGNRKNFDVSIVDLVSNTKATFNSKEILAWRQGVGFFSNRTVAQHSRKSQSDHKDNQVIVLTNLVAPFVMIKRECVEMANLTECQGNNKFEGFCIDLLKLLADKIEGFNYIIKLGTKAGSKQPDGSWDGMIGELLNGNAHAVVASLTINQERERVVDFSKPFMTTGISIMIKKPDKQEFSVFSFMQPLSTEIWMYIIFAYIGVSVVIFLVSRFSPYEWRVEETSRGGFTISNDFSVYNCLWFTLAAFMQQGTDILPRSISGRIASSAWWFFTMIIVSSYTANLAAFLTLEKMQAPIESVEDLAKQTKIKYGIQGGGSTASFFKYSSVQIYQRMWRYMESQVPPVFVASYAEGIERVRSHKGRYAFLLEATANEYENTRKPCDTMKVGANLNSIGYGIATPFGSDWKDHINLAILALQERGELKKLENKWWYDRGQCDAGITVDGSSASLNLSKVAGIFYILMGGMVISMLAALGEFLYRSRIEARKSNSNSMVANFAKNLKSALSSQLRLSIEGGAVAQPGSQSHNAIRRQQVAAFLPANEKEAFNNVDRPPSTLYNTAV >CRE20384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:668054:669840:-1 gene:WBGene00061806 transcript:CRE20384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-doxa-1 MLWFGGNPSPSDYPNAAIPNFNMHAFVIFSVFLIPFIAYLLILPGVRRKRVITTVTYVLMLVVGASLIASLILPCWASGSQMIYTQFRGHSNERILAKIGVEIGLQKVNVTLKFERLLSSNDVLPGSDMTELYYNEGFDISGTFLSVSSMAEALHHGLENGLPYPMLSVLEYFSLNQDAFDWGRHYRVAGHYTHAAVWFAFACWCLSVVLLFLLPHNAYKSILATGISCLIACLVYLLLSPCELRIAFTGENFERVDLTATFSFCFYLIFSMGILCVLCGLGLGICEHWRIYTLSTFLDASLDEHVGPKWKKLPTGGPGLQGVQIGTFGTNTTNSSRDKSDLNSDKTTGSSGFQSRTSTCQSSASSASLRSQTSIETVHDEAELERTHVHFLQDPCSSSST >CRE20385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:683991:685680:-1 gene:WBGene00061807 transcript:CRE20385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acdh-6 description:CRE-ACDH-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MD29] MTISVILLKNKPIVDHQLSTSILSKMALLARKAPALLPAPTTSTMKHMIYDETHFAMQNSLSKMITEKINPNVAQWEKSGRYPAHYIFKMMGQLGVFAVNKPEEFGGTGRDFSMSIAVAEQIGSIDCGSIPMSVMVQTEMSTPALAQFGSDFLRNRFLRPSLNGDLVSSIAVSEPHAGSDVSAIRTHARRFGTDLIINGSKMWITNGDQADWACVLVNTSNEKNLHKNKSLVCIPLDSPGVHRSSPLDKLGMRCSDTVQLFFEDVRVPASFIIGEEGRGFSYQMKQFNDERLVTVAVGLLPLQNCINKTIEYARERSIFGKTLLDQQYVQFRLAELEAELEATRSLLYRTVMARCQDEDVSMLTAMSKLKIGRLARKVTDSCLQIWGGAGYLNDNGISRAFRDFRIFSIGAGCDEVMMQIIHKTQSKRQQKTI >CRE20386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:688126:689616:-1 gene:WBGene00061808 transcript:CRE20386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20386 MKKLTREAQEPLIPHIRPSTRNKIRKYIYGGVFFLSIFILYRALNNNESGKKGIGRNGDFMEYDPRKNGPKLEDPVVNMIKLDIYMEAQCPDTSRFVFDVFNFKRHHFCSRFFRQQLKKAWDILGNLNRIELNVIPFGKARCTEKGNDFECQCQHGPTECEINQLMNCVIDRFGFPHRYLPAVLCMQGKYSLDEAMTCVTQNFPAEYNRMRECAGGQRGRRLLALSGQKTASITPAIDFIPWIVINGARNSDALYDLTQNACEAMQPMPSACKEYLRSLQ >CRE20387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:691148:692336:-1 gene:WBGene00061809 transcript:CRE20387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20387 MSVLAGVNTKVLKNGDAAPSPPAAGTFRIYNMRFCPWAQRALIYASVKHIPSEVINIHLKEKPDWYFSKHYKGQVPALEHDEGKKHVIESAHIPEYLDDLFPETRILPSDPYEKVKQKLLLERLGAVAPAFYGAVQAASNPAVREEKYAELVKAFEQAENLLTSDFYSGNSKPGFVDYLIFPNIQRSFWLSHILKDFPLSSTSFPGPNYPKLTKWYKTLESIPEVAAASQPIEAGVGFFKDYMTGTPNYDYGL >CRE20274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:698139:699564:1 gene:WBGene00061810 transcript:CRE20274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20274 MIPPPPNTSSLTCTPEEESENYKAVENMFATLYFFLPFSALGALIGNVIYLIVVTVGIRKGKLPLKRYALTINRTCADIFTIIVGTYFYMKQKMDRCDSHICLPVETDVSRYVLQVVFVLNYWCVSLSYSGIAVLTNYAVRAPLQYKVNLTSMKVAKYILIGWLALLFCFLLCVVLVHRGELDYNSNSVIHLFLDDFDSDEYIGEWLVDLCNHIKSHPGTRSLIVTILPPIFFYLISVVSYVFIIHLLFSRRKVSSYHRHWGSMLRLGIHLILFAGTCALTGTAYLGSFSIGDFCEQHQTNEPMCLEAVVSYMWNTAAALIGWICRMVIDATVDTVDIACFFIIYSKVQFHLFSDFS >CRE20388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:700519:704529:-1 gene:WBGene00061811 transcript:CRE20388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trp-1 description:CRE-TRP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MD33] MLSAQGRRFSRCAPSPRPRNRNLQNMPPPAAVHIRTNDMISPEERRFLEAAELGNKPTLQEFLDYHDGERRLNVNCLDSMGRTALEIAVDNENMEVVELLLQQPDIRIGNALLCAIREGVYRLVEVLVNHPNITKEMLGEGWTQALDPSEAASAEYSSDISPVILAAQLNQFEILQMLIRKDAKIDPPHNHMCICETCDRERLYDSLQYSLKRINTYRALASPAYMSLTSSDPINEAFKLSWDLQKLAFEEHEFKETYLQLSEQCKQYSCDLLSQCRSSEEVIAILNKDGNNKEENIDVWESKLSLSRLKLAIKYEQKAFVSHPHCQQLLTSIWYEGIPYRQQSGTWASFFLYAFLLIFWPIFCLLYILMPRSRLGKLVRSPFMKFFYYSISFATFLGLLTWATFEDYRYEKGERGGVTRASDRGPPATWVESLVFTWVIGMLWSEIKQLWEEGFKRYMRQWWNWLDFLMICLYLCTISIRYQFTQSFLILKIENFRLSAYYIFTYREDPYRYTVRTHWSSEEPMLVAEALFAVGNVFSFARIIYLFQTNPYLGPLQISLGCMLVDVAKFCFIFVLIISSFSIGLAQLYWYYDPNTDVCLPGATCKQSSNVFSSIADSYLTLLWSLFSITKPEDTDVIENHKITQWVGQGMFIMYHCTSIIVLLNMLIAMMSHSFQIINDHADLEWKFHRTKLWMAHFDEGSSLPPPFNIIITPKSLINFANFLLNSVRWLLGKYTYQKNRNRATIRRPGYSRKRNEMEKSGGQDDETAKVPLTYADIIQRLVARFIHQTKKEMKMDGVNEDDLHEIKQDISSLRYELRDDRRREIVRSSSHIDAVKRDIMRTMSTNSRARVYGGSMRLPKTRPSVAEESEEDEKSDETSSTDEEADETRSRKSSVLYTPPVNSTLPGIISEEAPVRKNQKRRASEADSKLPDRPLSETFQSNFTPKLLPVFAQPPHSALRKTDTSMSFPINGTILETSVVKPPRGVLRASQENLTTVELMETLRKEMNEKFDRLINGMVEVKSPASHSHVEFNVEK >CRE20390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:712849:713892:-1 gene:WBGene00061812 transcript:CRE20390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20390 MKFPVRCSTSTTYSPTSTYGYSNQYYNPSSTSTSTVYRNPSTSYGNPQQQQGNQNFVAYDTTNQNSPYYYQRVPSTAQYNSVLGVNAGFPQNSNQVLRDQSNQGMYNTQTTQGYGNQGYQNQMMYNNPQNTQTSTFMYASSTQQQPQQYNNNQQQQYGNNQQQQYNTNQQMGSTGYNNQLMYNPSSQQQYGSSTPSPQYSADSISCCSQVSSTCCYQTQQGGYSAQQPSNNAQYSPSVYQYGRK >CRE20392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:715319:716401:-1 gene:WBGene00061813 transcript:CRE20392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20392 MMLRVLLFTSLVALSIAQLTSSCTSCNSNSNYGENHFKFLRVITSLPHTYQQSINKINTDNSHHQRRHKRQEFNSHQVPGFLPNTYRPDAFTSDARRQETLRLNQAFFDSLTQSPSASFSSSDPILTPQMDSLPLHVPSNNQQEPQYHHTFKNRPIPVVFADPEDPKHREPTIFVEVHGDDSNTTTKSSPTLEFVQFSLEPNADGTIYQSTQGNEPSFFESEATRAIIEALTRDDPRYIQNDFRLEFVDPEEDSEDVQLKNMEKIDAIKH >CRE20275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:721742:722351:1 gene:WBGene00061814 transcript:CRE20275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20275 MEVFMESKMLLKTLHFIFSLYCATFIGFVFCARRKAQKRDSLAEKNNLKKDKTGKTTETDEDVKFDIKVKPAEQKMDEFDDDEENPLAKIPTKPRYPKQQKLTTTTGLSTSRTQSTGGSKMEGEASFFAPHEKTTGASCYVCFN >CRE20393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:722959:742413:-1 gene:WBGene00061815 transcript:CRE20393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pac-1 description:CRE-PAC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MD39] MEEHHRRLHVKAERASVIGGDIVDGAFGSWALRAARSEHQLKQQSNEDLAQNARISALLGEMRPRMSAMPPNGHQQYHPVQQKRKANTTNIVDVQSQVPPARQAIYGSWQERHRPSRLYGSQLVSTSSTLPKYDQLYCNTASPVHGSGSTNTIATTGIRREPPEYGKVGRSVSTWQVTNSEHRPTTQAGWMKSSRPLARGALSPAAYFRELENRNGSGANSPIVGNLAVVAAPITQRVLLSGGTSVNDEINPEISSNMENHELPDKGTPVTNILSRNTPRQASFMAAMQRHPLKESEVTIINRFCILLYLGTSIESNGGGGSKEESSDVGPDLKTKQPESEESLDSSTLEISETPIRRTRNRNASLRSRQSSRSLGGIDFEKLRLGTARIGDITTENEDADEDGSSSSLSRKNSRDDGLTSSPTTAPAPVFTTSSTSSVSTSGEPTTSSAAPTSGAVTTTSRQTSSNSSVDSNHNEAMKMIRRARPKSYVLATSASMNDDVLSSSIIANEQSSSISHDTTTSGRELPSSLMGSTNSMEMRSGRATTSSVTTTTTSSGQQTSRSLNAPHPPATHRLQRFIALFNSSKTSDGSGEPKKSRMKRSRTSLPASRFNLPGTILQRDGVARQTWVKHQEIALGKSGKRNHWEDRWAVLCRRSLYLCVESPAYTSEKTIELSSHTRVDICNSIVDIAYDWLSSTFSKQRHVVRIVTQNRSEHLIELNTESEMLSWISVLQSSSEDGLSAMGGVDENEVEGTTGSGNNNKISSNSAMLHNSQSIASLASSSCSTATTSEFLNSQHPPLQQANQQQKHQQTVNELSAGVASYLPTSKSFGGLSTTASSTTESAKNRLIMHRYIAKNSQLQSPTSNKKMDVDPSSVASGSTSGSINHHSSQAGPSRESENGEAPTATATTPKSGRKWKKSKAAKQGSGGGSSGSSSGSQQQGATGTPLPVLGVRLADCPTGSCEDHVPMLVQICVCVVESYGMDTVGIYRIPGNTAAVNALKESLSNRGFDSVDLSKVESLDPRWRDVNVVSSLLKMFLRKLPEPLLTDKLYPFFIDANRISTHHNRLHKLRNLLRKLPRPHYDTLRFLIIHLSEITKHSDVNKMECRNLALMFGPSIVRPSDDNMATMVTHMSDQCKIIETLIHYNIWMFDETSTTENAVPEQHPSDGQNPLEPGGYGVGVPTGVSAASFNDMHNLIRKANEDQAAAMMNEGGKGQKIKNMLRRNSRRDKSKGKLKIESTAPAAVNPRGWTQPTPSNTSAASVESAFCGNYQERDIDAEIESRQTVSPQMTSGSADGASSTRLDQSPSLESSLGSLPDTSRTEPILGSSGYRNDDEEGKEAARRKRQEEMYSARRIFIAGAAGAAAAATTSADAEKAAIDALANHSQHLHLASSPAFEVLSEETREKIRRMQKKQNWHDTKELRSTGELLKTYSPTKDLTDALSCTSDYSTTSSAPLSTNPPLAVACADQPNSSSDYASSDPSPCARNPSTSPASRPSNLAISPGQLHATTSTTSQQQQPMSRSQKIRLRTKLGSRDPSRRHTLSDVDTLKEGRLDKLARWFGIRKSSPDVSRDEVSDEQKNNTETSSQPPPLSSAVPPVIVRTSPNELTPVSGDEQL >CRE20394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:747956:748366:-1 gene:WBGene00061816 transcript:CRE20394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20394 MSLLNEEVVRMSSDEYWQDIKDEYLQKLANTDPAEIYPSNNPGPETPDGKVNFECHCVGHLVGSPCGFEFREAITCQKTSDESQMEQQGACGKELLSFMECVTRTQCFNTNGDVDNKAKS >CRE24527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:848502:851843:-1 gene:WBGene00061817 transcript:CRE24527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24527 MGKFSKIKKVQQEETAQEKMEWEAAGAKESSDDSSDESDDEQVPQKQTEETRKRAELWTNRERVLVLCSRGADVRTRYLMKDIKDLLPHAKGDSKLDQQKSLKALNEIAEMKNCTKVMYFESRKRKDTYLWMSNVEKGPSIKFLVHNVHTMKELKMSGNCLKASRPVLSFDDAFEQKPHLKLIKEVLMQVIIQEKLAENRLKWVKNDPKFLKTAYNPRNSQTTVILESWTLDLTQDPLCNLPGRFSSTTLGTPHHHPRSQPFVDHVFNFSVGEGDKIWFRNFQIVDESLQLQEVGPRFVLEMVRLFAGSFEGAVLYDNPNYVSPNVIRREHRKGQHAYIEKQLALKASNIKQAKVTEILAEKTVDLVGKIIRVPYLDKRVRKMINDAKRLFRRRAAAAAAP >CRE24526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:845594:848033:-1 gene:WBGene00061819 transcript:CRE24526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24526 MGKFSKIKKVQQEETAKGKMEWEAAGAKDSSDDSSDESDDQQVPQKQTEETRKRAELWTNRERVLVLCSRGADVRTRYLMKDIKDLLPHAKGDSKLDQQKSLKALNEIAEMKNCTKVMYFESRKRKDTYLWMSNVEKGPSIKFLVHNVHTMKELKMSGNCLKASRPVLSFDDAFEQKPHLKLIKEVLMQTLGTPHHHPRSQPFVDHVFNFSVGEGDKIWFRNFQIVDESLQLQEVGPRFVLEMVRLFAGSFEGAVLYDNPNYVSPNVIRREHRKGQHAYIEKQLALKASNIKQAKVTEILAEKTVDLVGKEFDTQDNAIADSTEAAAQIAAQIEKRRVRKKKSQSAKYTGSD >CRE24447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:843608:845247:1 gene:WBGene00061821 transcript:CRE24447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24447 MTENITAILEELLSIREENQENFRKLTEEMEEMKKKQNIQVEMMEKIIGKLEKMADMERQKFVKTGAVDLTIMEKWNEFPPEIKMECISRMDFETRFIFIHHINFRCQIKKKTFRLNLRHTARAERSLVDSRLYPIDNVYMCKGGIEVEDETDYFLHETDKCARNKHTGSCGSKLLTYILKYGIIERLQVFVPKEKVNKWVEYLKNSQIDTILVKRIIFHTTSTQLTEFFLNKVDKKMLESIEVLCRNDAIGVLETEAFLKSPTVTNVKSLLTLRQFNNVLVFDLIKRWIENDVPIGQKIFCFTKYPRVFRHFFTNFGDRAVKSEENYIRIRTDNESKHILIRILPTERFAPSLSCQVIPAEQKTIEKEDDYYKRYDLSRYDESDYDYDDDDYDFLDELGFLDDDSEEDSDVEDEQDDDFDDEEVDNEEDEEVDYVQ >CRE24521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:831624:835149:-1 gene:WBGene00061822 transcript:CRE24521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24521 MGKFSKIKKVQQEKSAQEKMEWEAAGAKDSSDDLSDESDPMDKRSRMLKSKPSSEPTNNNFVDSYYPNRPSAFESFSLYNIAINFRIRGQNSKSFLNDDPSDNTDIVLPLHESQQDILSPLFRHQVKSFKVDRFNAAVDVDTMQNAINEMNEQQQTFFNLVVRAVADRESGRSATHYYCSGTASTGKTYAIDCLADFIEHTYGDLNNQMSLPSVLLAAPTGLAAISIKGQTIHSLFGIKVQTSSYSPYEPLSDEQRDCRRTLFQHVKLIIIDEISMVGSIMLQKINLRLKEILGSSEEFGGVCVATFGDLLQLPPVKSPAVFAGLTRPAARKIFNGCGMGANLWHSFRFYELTINMRQNNDPAYAEILEHTILNVRASSSTPPTAIELPNIAGGLTSNLVLTENARVMLKRNIDQTKGLVNGLTGVLEDVVVEAGSVTSLSVRFDRIPTEVISITRVPVVYSGRRGSRHCRLQFPIELAYAVSIHKSQGLTLDSVILSTEWVSIRLMLNYFYFSRSIFAPSQLYVASSRVKRLSGLHLIDLNPARAYSDISAVDEYERLRTKPACLFEMLDFM >CRE24446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:825558:830928:1 gene:WBGene00061823 transcript:CRE24446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24446 MRQVVVLLLAACVLSQVFAAPAEEKRIRRNMIRGRPRGGMKKTPPMSEVSHKINFDTVVSGTFTQTLDHFDSSVGKTFKQRYWHNNQWYKDGGPAFLMLGGEGPESSYWVSYPGLEMTNLAAKQGAWVFDIEHRFYGETKPTSDMSVSNLKYLSSAQAIEDAAAFIKAMTAQYPQLANARWVTFGGSYSGALAAWTRAKHPELVYAAVGSSGPVQAEVDFKEYLEVVQNSISRNSTDCATSVTAGFNLVASLLKTTDGRKQLKTAFHLCQDIQLDDKSLKYFWETVYSPYMEVVQYSGDAAGSFATQLTISHAICRYHNNKATTTIQKMKQVNDYFNLVNEYFGCNDIDYNGFISFMKDTSFGEAQSDRAWVWQTCTEFGYYQSTASATAGPWFGGVANLPAQYYIDECTAIYGAAYNSQEVQTSVDYTNQYYGGRDKLNTARILLPNGDIDPWHALGKLTSANSDIVPVVINGTAHCADMYGASSLDSVYLTNARQKISDVLDGWLKAK >CRE24520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:798984:801038:-1 gene:WBGene00061824 transcript:CRE24520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-26 description:CRE-CEH-26 protein [Source:UniProtKB/TrEMBL;Acc:E3MG26] MSSGVPPVLAAAQPAANGFNFSPVGFPSFGYLFPPIVNSNGGGSSSSNRFKVKRHRQRVDAGEPRNTYQGTNNTAISSNTSTSSATSSTSSTSSKKLSESEASTTTTTMTTSFERENSLKLNEEAEEIEAMNMENENTAQEEQDEIDADYEEINGGVGEEEDVEEEERESPESNSSTSSASKRKSFQPQKIGEELGIDIGEGKFGWGN >CRE23850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2396:18:2198:-1 gene:WBGene00061825 transcript:CRE23850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pros-1 MSKQEPAIPVPQAPPSFHPIFPPNPLFHMPPPHHPMNGMNGGGIFPPNFNAFNAFSALRRNFQDTDEPKRKRTKLDIKKEDIVSSRASPLSASASPPLGRFFPAPTMVGHHYGGMNFGDREDSPTNSDELSDCGYEGNGSSSMLTPMHLRKAKLMFFYTRYPNSNLLKSYFPDIRFNKNNTAQLVKWFSNFREFYYIQMEKFARQAMSEGITDRSEIFVSKDSELFKVLNTHYNRNNHIKVISESTKP >CRE24518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:771761:776165:-1 gene:WBGene00061826 transcript:CRE24518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpf-6 description:CRE-DPF-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MG24] MLSLTLIITHVFIVTTTFAVDIIPREILFQDPKYSSVSLSPDGKQVGYVAPDENGIRNVYTRCSSCSYSRQVTFETEHPILDYIWTAIPDVIIFLQDNHGDENTKIYKKNISATAIAADKTARVVISDKPMVKGVIMVNNLLSDTILVGMNDENPALHNIYAFNCRTDELTLVLRNRRFPQFFFDNDMNIRLASEEGPDGEMIYYRTKNSGPVKTTDPADWVEYLRIQHDDKAITLPLTFDKSDNFMYWIMGENSDLGNLVVFPFEDPAQKEILYTAQKAQIGNVLIHPVDKTLLAVTEVYHKPEIFVANDTFMDDLQYLVNLKPTGSIRIISMSVDMSTWLVTYQSADEPNDIYLYRRWNKKAELFMSTRPELKKYTLNKQVGFDFPARDDMTIQAYLSLPPQAPLLKSTQVPDGDRVYANLGMIPAVPQKMVVLVHGGPKARDHYGFSPMNAWLTNRGYAVLQVNFRGSTGFGKRLTNAGNGEWGRKMHFDILDAVEFAVSKGIANRSEVAVMGGSYGGYETLVALTFTPQTFACGVDIVGPSNLISLVQAIPPYWLGFYKDLIKMVGADIVTEEGRQSLQSRSPLFFADRVVKPIMIIQGANDPRVKQSESDQFVSALEKKNIPVTYLLYPDEGHGVRKPQNSMEQHGHIETFLQQCLGGESQPFQPGQYNSTAIIKKVGIEGPAIARLSAQHPSPRGPVAPSIFYRPPIRAQRVMYPPNQNVMNRIFPVQG >CRE24445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:769884:771046:1 gene:WBGene00061827 transcript:CRE24445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24445 MTFLLEMYYTFTCTMGKFNEKFNEKVYGNVLLHCRTGLGPAATIFGVKLGIEKCMQGEVENFDEIMTALRKVRCGAITNEKEYAYLMYSIAAGVMRKIGVEFWNDFESLKYYCQNVIDGSYDGRDSRFNKLTCQDYQNEHAEMKKTIKKKREEFCKLNELEKSLDTAKSLSEYEEKGSVPTDGRTPSTASEVKKPAEDQEKKEIPLKSKKSTKNNKSEKKNVKDQPQGKNENATKDGKSRKKSDKKVDAKKL >CRE24443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:761023:765961:1 gene:WBGene00061828 transcript:CRE24443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24443 MKYKAVVKLLIVFIWFFNKQVLCDGFPGEPAELSNFTLRLRRDSSGDRLTDYIDNMKRLARISNGISLESGLLDGSAPSDSVISELLRCNSLSSNTLANMDFTGVTNVLAEFEKSSTSLVSTKEVLDIENRLVLLENIRKSEEMNDFPSLPGKIEYRALLDEVFKLESKKNNITNFKQPVNRLKEDFVDFETWSTSISAEKAADARSTLGSIIVHMKQVETASNSFDAVRDVWNNATSLRNHSRFLKVRLWQSSFFSTLVLHTEAEFRGNLTVSVSYEEKGLTTNLNYEESFRSKLNSAKDAFLNIQKLIDSLDTQITQLLSYTNGFPAGNNDLKSLKDDTMNDWILKRVSDESNVLESLKVAFTSFDGLINGLTAVEKSLEPLKEQPSQTSVRFILKEQSVIVDSVLDSKKIESAIELFKQCESTRQKSGADIAQELGGLSHLVDEINEKIEKLGSLDYTDHLKDLSSLKAIVNTAANQGETDVQFVERISKTMVANQKFQEVKKALNKFQMDISVLETLAVDIPPLVTTVIQNFKKVDVYLGQVNNTLFTSFYDCVKKVEEGGGAGVKRAIENLAVIRKIGKSNELKEIESVVGVIQESQGGLKNVLNLAEDFKKLDSLEVLALKESMTDSQQVSSKLGMAVQGLSAIKALQDFRETLNPLFTNIPVIESEAKASGLNQEHLQNILKLKNLNESLSATFSSVDEFLKTLSAFEGFRKKRNTRTFKENQKILEAAAQIPDVLDDVKSMKEAIGELNQILKQKKFNNEEKTLEALESMELKFSKFGFQSASSSLEALDTFFVDLKGKIMVTLAPALPPTSPDPSFVSDPVPQVELTTSNMVPTESTPLYKQGLFIVICAVVFIGASIVSAIIFCYCRNKDKNLPTPSSSSTNMTTTSSTVHEILPKQNGKPLPLPNQLSKADSNSSDESGADQKNEAPPKKKGSSKQETEKAKDDVAKKNKTSTSSASVDSKVSTMETKKTPRNNKIVQIDQVLMKKPSGVDLNLVDQKKKSKNKLIAYKTQFNFSVPLVLGWVSKTVDYINGRLFANKRRCFPKQYIKEKLEILNKIWKTDFDVIRLGANKMDRM >CRE24517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:757231:758284:-1 gene:WBGene00061829 transcript:CRE24517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24517 MSSSFPLFNLPSEVVLHVIKSMDCFEYITFSFLSERAKSVVKSMNLKALGSTATVAESITLKMSVGHTYVVLEFTKGNQQFPDKFTMRYLLEDPFTEYIVEEHSFKEWMEHCKSIFNYAGFLDITLAKGFQLFDLNEISTIIGSIRNVTISPGVGDTEVEVILKHFPTKPLWFKFGIFEMGAFEALLHPHRILIQNIDNLCIEEVDPQVSLDDLLMANSKDLTTTINMTTKEVNRFIKHWQRGSNPRMECLKIGLLNNEVINKEVILKGVNYAEAPINQVRHFKTISWGQMKVNGGVDFYRNDGTMATIEIDVYEDDTWLTMYVWYPHCVGNAE >CRE24441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:751780:754697:1 gene:WBGene00061830 transcript:CRE24441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24441 MTLPLINLPRNVIRLVTQMMTSNELYTLSSLFTKTKEVVKPLYPKNTHVQRILNDELVSMQMISQMGYTLEKLWIRLDPGQITFTNSLAMVTSRFSLFKLPDKALRHVIQHMDYDELIFLSLLSKRATETVESLNVKSTLVSVVITSGIAVYISLGTKDNMKLEFFNDDNNVQLPNGMWLMVNSYSEVAEFWRIKGLCIKKWLNHIKTIFHFSEIDYFNFGEDSITFDINVIRDIFNNYSGLRSPFLPDCYHDPYSIISSAARMSTPFPLINLPSNVICLVTQMMTSNELFSLWFLSKKTKKAVKPLYSRNTYVQRISNNDDLILFKRLPRQVYIEEDLNGLPETLTFTSPPPMVTSRFSLFKLPDKVIRHVIQFMDYDEIILLSFLSKRAKSIAESLDIRSSFVGIDISSRLIIRISVNSKFDVKLVFSNSSTWGLPKGTWLLVEEYEEADRDENEDADEDEDEDEDEDEGLRFQKVVNSLIINGFTVRTWLDHFKSIFHFSEVNYIDFGEDSFTFDMNDFHDVFNFQSRLELGNGCGPESYVKDILTTFPTAKLSLESNLFESGKPPNEVMIQNYDYLSIWSMLPSTITLEDLLTLNSVYIDVSGLTITEKDVNKFIKHWTRGSNPRMEQMYICLKGDRKIDKDLVLKKLKYVEHPADQKRYFKENDEQTFIVVPVKGGFDISRFDGTKATILFEESFKSLHILVWHPHCIADKDTDRFQAHRAPFYN >CRE24440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:746824:749363:1 gene:WBGene00061831 transcript:CRE24440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24440 MTLPLINLPSNVIRLVTQMLTSNELYNIWSLSKKTKKVVKPLYPRNTHVQRILNEDLVSIKWISQMRYDFEQLRVLLKPDLITFTNSLAMVTSPFSLFNLPDKAIRHVIQFMNYEELIFLSLLSKRATDIVESLNVKSTLVSVVITSGIAVYISLGTKDCMKLEFFNDDKSRHLPNGMWLRVNHYNELTVSWRIEGLCIKKWLDHIKTIFHFSEIDYFNFGEDSITFDINVIRDIFNSYSGLRPSFFPDSYSAPYSIISPAVGMFTPFPLINLPSNAICLVTQMMTSNELFFLWYLSKKTKKAVKPLYPKNTYVQRILNNDLVLFKMLPKKQYFDEHFIYGQPEPLTFTSPPAMVTSRFPLFNLPNKAIRHVIQSMDFDEIIIFSFLSKRSKSLAKSLEIKSTFVSINIASVVTINISIGTKFNVKLEFSKSSTFASPKGTWLLVSKFEKGEEEERDEDDEDEEEVRIVTKKIIVNSWLINGLSVKKWLDHLKSIFHFSEVDYIHFGEGSGTFDMNDFRGVFNRHSQLRLGNDCGPEAHVMEILKTFKTTKLLLESDLFESGKPPYEVMIQNYGYLSIWSMLPSTITLEDLLMLNSVYINVSGLTITEKDVNRFIKHWMRGSNPRMEQMYICFKDDRRIDKDLVLKKLNYVEFPATQKRYFKDNDVILTVEGGFDISRSDGTKATITFKESFESLHIYVWHPHCIADSDRSDES >CRE24439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:742140:745445:1 gene:WBGene00061832 transcript:CRE24439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24439 MTLPLINLPSNVIRLVTQMMTSTELYNLWSINKKTKEVVKPLYPKNTHVQRILNDELILMQMISQMGYTLETLWIRLDPERITFTPPPAMVTSRFSLFKLPDKAIRQVIQLMDYDELIFLSLLSKRATGIVESLNVKSTLVSVIITSGIAVYISLGTEDYMKLEFFNDDKSHLPNGMWLMVNSYSDAVESWRIEGLCIKKWLDHIKTIFHFSEIDYFNFGEDSITFDIDVIRDIFDSYSGLRAPFLPDCYRAPYSIISSAAEMTTPFPLINLPSVAIRLVTQMMTSNELFSLWSLSKKTKKVVKPLYSRNTHVQRISNNDDLILFKMLPRQVYIEEDLNGLPETLTFTSPPPMVTSRISLFNLPDKAIRHVIQFMDYDEIILLSFLSERSKSIAESLEIKSTFVSIDIRSKVTISISIDEKFDVKLEFSNSSTFLSPKGTWLLVTEYEKGEKDAADEDDDEEDEEEEVILRPKKVVNSWILNGFSIKKWLDLTKTIFHFSEVNWLDFGEGSVIFDMNDFRDVFNIQSRLGLGNNCGPEAYVMEILKTFPTAKLSLESDLFEIGKPPYEVLIQNYDYLSIWCRHQSTITLDDLLTLNSVYIEVSELSITEKDVNGFIKHWMRGSNPRMEQMYISFNGERRVDKDLVLRKLNYIEFPSNQKRYFKENEEQTFIVVPVKGGFDISRFDGTKATILFEESFESLHIIVWHPHCIADKDTDRFRAHRAPFSN >CRE24437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:736542:738668:1 gene:WBGene00061833 transcript:CRE24437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24437 MAPGPPAPPPRTARQRIGTIQSYVTSFDKQIDKVIDGARLWQRDQKVIRDQEASEGGQQHDVDRVHAPRIAESLQRMLQQVGELPVLLGNKVSDAKSKAFESGSDPEEVESLGLALVSSYTPILNEKKAILADLLSILTAYTVSYELNVSVPVSPEEILEEMERSFEESSLQEEEKELSPPLSSVSPTPSTSPPTHRITSPPTHPNHTPNTETSTHEEYSSAHPQPHHIPETPILSATGGNLHDQGNTRASEKISDTYFNSNFRNPKVQQLFDNTRNYSTHLPHHSQRQPNNTEYSKLPEHSAYRGNSQDARKTQNPDNNPTRSKIHNNEYYSNQQQIPHNYQRFSNESNQQLDSEVNKNIEAAISQQRPATCELCEGRHHLSTCTVDKDTLRRYCVNTNRCMECTSLLHTYHNCPLRQLKNEEAERQLREKELERSLLKNSNSAENHAPTATSTPKPERAQSMHESFLPEPKKKASHYKPNENQRQRKDSDEESVQEERNRVPRYMSSAQSLQLLDHFRRCTPFSGDKSYYPKFRTLFNKIVTLGDIDLDIARDILLEKVTGQAAVHRSLVTDATKAIRATFQSLDKVYMDHVSVTSLARDLENVRVPSDSSANFTHHLCVARQLYDRINEADPEFFTYHHTLALLAKMPYPVRQRCAVKRENRTITPEYVFEKADSFLSEMLADEELTGRCPEKDGLNSHGLGSAD >CRE24516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:730792:732536:-1 gene:WBGene00061834 transcript:CRE24516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24516 MTNRIPLLRLPDNAIERVLRHIGELETVAFSLLSNRTKQFVESMNLECSIIAIYIEDDFKVRVVPTVRSLNFEFKDYQVSIDNPSPQNIETKVSMDDYEFTYRRPGYRFEEWMSHILEIFQARSILDFKKKLPDLKSFRKCLDSYRVMSISEDLNTIDIREILKLFSVQKELVLGSNPFSNKIECNPFLHEVYIQNYDMLYLVEWTKIEVDDLLTMNAKFLQIECPIITERDMNRFIKHWMAGSNPRLEHLDISFHDGRVWENRVWDMNALLRGIEHQVIPDGYVRRLPDYITASGEYEYIRGGYDFHRKDGTRSYSSA >CRE24514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:716490:725946:-1 gene:WBGene00061835 transcript:CRE24514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apc-2 description:CRE-APC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MG09] MSLFDRMTTTKEAALKYRTFCVAKKKEVWEALIHHANYAKHIEKVYDMTTVLQRVQQECLVFALENGIGNPDVHDAAELIFPLAMQKVVIKVLKPYFLSHWANFRLAGFNPIRKIDHGIDLNESLYTDEKKLQNQQYVADFNAFVNELKTGILNANRHLNATVSLIIRELAASMVIHEKRAFDDELRCSMLQEYMESLRIWAESMTMEEAYHLVADTIYKDVFQLLSRNAYEMVAVNFPEKFREIITMKYCLLKTNNYGRDDLTQRLIRSVETNLIIASVDTKTILQAYASCVEALREMDNSCVVMHKVCGVIREYLKRRPDTVQQIISYITSNKKNELERDMSKTVRSAMMDEDELRGVNDDFLPENMETMGWERWLPNPCDATVGDGAPGRQGVDVFNMLVSVYGSKELFVKEYRNLLAERLSSSVDKDPFFEKRYLDLLKLRFQYSELQHCEVMLRDVMHSQEVDELVEERRANHIVPISACVTSSHYWPKLETEPTERLLNELMPESLTEAMENYERMYLEAKRNRKLVFYKSVGCMEVSIELDGVQVDRTIPIVYAQTLFLFLQKEVWTTAEVMAHLKVSVVLTKKRLEWLVKQGFISMNPLVSSDTWSLTRTPNAILPNRPGTPEIADDEDVEPEDNSDMVDALEQYWGYTRNYIANHAPNGEVKAERMHRVYRMFGSPTSAGPNLDHVTAFLQRKVALGLLTCVNGSYRVVEDKKKKPTETGGTA >CRE24435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:713060:715789:1 gene:WBGene00061836 transcript:CRE24435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24435 MSVSTAESHIEAINNFFDDTEKPPEIPQPEPQKSNSGSSWVNDRSFVIQEVETVDKSKKSENFKKSIKSTKKPEKSEKSKDSGKEKEKKRRRTESPEKDSDPSFFEILESQKLSRRENPSNWVKIHGIWVEKSYLEAKNELESEESSEPKSKYDEVESLFAANNPNIYNSMEFEHTYKFDWTLYSQDAFQYVVFTKICQNHSDGLFVEMKKEDRTCTNCGSSNLTTTVRLTIPLDLSEIPIPSFENTQIHVSFLAEKLLEQSGLESLELLEKSVDFSKTKCRKMFDLYRKMMAERRTIGEYKEWFDKCKQFVKNSVHSKSLHLHHGHVVRRKIENSGVESKKRCFIFAHIFDLKE >CRE24513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:708306:712796:-1 gene:WBGene00061837 transcript:CRE24513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grp-1 description:CRE-GRP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MG08] MSSRYSERNGLSETEKMTLPKVRKRKAQLVDEIEALKNEVREVDEELDQVYYTHPKSKDYQKIVVNGRKKFNQDPWKALDWLASRNIVAKDPNALALWMKAGEGLSKSAIGEILGDNRPFALETLDKFTREHRLHEVPIVPALRQYLFSFRLPGESQKINRILEKFAEIYTEQNPSYGTADQAHTVAYSCIMVNTLLHNPNVKDKPSLEKYITMNEQLLSSGAITVEQLTEVYESVSLTQFKIPDEVTPTGKGSVNDILLHAEREGWLFKQSSNPLFSGALSWKKRWFVLSENCLYYFDQMTDKEPKGIITLANVGIRKVETPARPYMFEIYSLSDGQIKACKTEQDGRLVEGRHSIYRICAVNDEDMRSWINAISRMMAPQQNLLTRPKSTH >CRE24512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:697972:706536:-1 gene:WBGene00061838 transcript:CRE24512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vms-1 MVYTLKNLEFMQGVEPFRAEEQGAELKENEEEENQMISAETDAGMSLMLEWKMRLSEDSDMCTTCNCPVDFGDRSVILEHYQSLFHRTNTLRKAKNQPVYTEEDFEGTEKDEHDLSTTHAIIGLESDDEEFDALLLPANRSFFVKDERVFSVPRNILHIDESEVSSTTFLRPFDCAIFLWNGGHFAAAMFENDRMTVQKSFHRYVARAKQGGVQSQHDSGGKGAAKSAGAQLRRYNEQKMKEEIQQIMSSWKPRLQKTPLLFIRCAAYHRNVFFDADAGIESRDTRIRTIPFETKRPNIEEIEETWRRLQHVSEHGTSSEFKEEMREVREKRKRLARKVAGKKRKDGGMQMICEWSEDEEENGENQGKREKRMHHIKVRTIRKSEDTPVQWPQLDDEWRQKTYNLIRQDDVDTLKQHIQNLNEDVIPDAIDYLKTAKIPPNRSTFLHVSAASGSKKCLKYLLDDIRCDSGAKDGAGLPPYSSCPNSEIKSIFIDYRVENESVGNWGRTHIPEPKKKVELTEEQEKEQAERKKEKKMRQKEKEKTKKEEARKEAEEAAEREKYVKMSEREKRAMAVDRRLAGLPPVMRCHQCGTLLPPTPFQYSHFNFCTTSCVAEHRKANP >CRE24511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:695885:697575:-1 gene:WBGene00061839 transcript:CRE24511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-idi-1 description:CRE-IDI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MG03] MKPSLRALSQLAPRRVELANYDAQQVEYMREKCISVDENDRIIGAISKRAAHCSEQLILHRAFSVFSFTADNKLLMQKRSAEKITFPNLWTNTCCSHPLHTRQEMDGVIGAKRAAIRKLEHELGITGIATSQFQMSGRYIYQAEMENAPWGEHELDYALILRGVGRDRCQINENEVAEIREVGLDELKLWMKREPESFTPWLKLFSLTTTFEKWWSKNADKTTEDNNIYKLH >CRE24434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:694201:695770:1 gene:WBGene00061840 transcript:CRE24434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24434 MEKGNAALPLLSLPDTVITSIIGQMEPVSQVWLSLLSTRARSAVRSVGMTTSSLNVLLERAFQFELVAPEESNQEPIVIGLHHTEEDEVSRVTMESADWGEAATATVTNFKMSIRDWIQHMTFILNHGGIDELKLTGESEDFGVERLRKSVKDLAIKTMTLTATCTGKHAKEVIPKIVTPHINVDSALVMESDTMAMIMMNNFTSIIVGGPSMPGMTITRDLIAESQVGSLDARCDNFDSKAINRYLKQWRAGNILNLHHLSITIGNGVQHDADAVLWKLPHQMMPMESITRFPHSAFAEEIVINGGCIIKKSDIRDREQAIIIFTAIEEGTRFEMFVI >CRE24433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:692496:693504:1 gene:WBGene00061841 transcript:CRE24433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbxb-106 description:CRE-FBXB-106 protein [Source:UniProtKB/TrEMBL;Acc:E3MG07] MTVGDSPFPLLRLPSLLFRHVARYMENIDRLALSYVSNRTKSLVISIDLKCTEVMIQIEEAIIVRIDFPSYDNLICTFEDCPENGESKVHRLRDTREGFTFHKPEYRLEDSLSHIFEIYHQPNIVTVSIGRLLPDMEEFRRCIKSFSGLYISRDLTDIETWNILKTFKPEKRLFLDHIPFGNDKGMFHEFLIQNLEAIELRWNNIPLDCLLITNSEFIKIITPKINEKVLNRFIKHWINGSNPRLKHLELWCPKSLSEINLSVVLKGIRHQEIPETYRRSFKGLECTIHVDGGYDIRRKDGTVATVWSYDQENFDFFVWI >CRE24509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:660521:683378:-1 gene:WBGene00061842 transcript:CRE24509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dcr-1 description:CRE-DCR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MG01] MVRMRPDLQCFNPRDYQVELLDKASKKNTIVQLGTGSGKTFIAVLLLKEYGVQMFAPYGQGGKRAFFIVEKVNLVEQQAKHIEVHTSFKVGQIHGMTSTELWKSPESCDEFMRQNHVVVITAQCLLDLINHAYVKLQDTCVLIFDECHHALGSKHPYRLIMIKYKELKKAGHPVPRVLGLTASLIKEKVAPEKLTEQLNKLESVLDSVIETASDLVTLSKYGAKPFEALVLCRDFETENLPLPHYETIMALLLDTEKFVNHTTVFHPDLDLDPRRSIRDSLKTTKAVLRQLGPWAAWKIAAMWEKELSKLTKSQILPDKALLFLNLAKTSMTTVKRLLEPEMRKVKSLADLEKFVPQRFVRLFESLEMFEPEFQMKRMNREVPEKLSAIVFVDQRYIAYALYVMIRNVRQWETKFKFVQSDYVVGASGQNLANSDNQGLHKRQTEALRRFHKNEINVLIATSVLEEGVDVKQCNLVIKFDRPLDMRSYVQSKGRARKMGSRYVVMVDHKDVPSCDSDLKDFQQIEKILLSRHRTVNNPTEDDSIDFNLDDVDHLMPPYVVESTGAELKLSNAIALVNRYCSKLPSDIFTRLVPHSRIIPVEDRGVTKYCAELLLPINSPIKHAIILKDPMPNKKAAQMAVALEACRQLHLKGELDDNLLPKGRESIAKLLEHIDDEPDEYAPGMALKVGSSKRKQLYDKKIARALNESRVEPEKECYIYALELERFREPDSILNPKGRVFQDPIEYDYCFGFLSTKDIPKIPPFPIFLRQGNMTVRLTSAPKKTSVTERQLEEIQHFHNYIFTQVLQMCKNGLEFDVSATAPLNTLIVPLNKCKDDEGTYSINMKYVTEVVANMENMPRVPTDDVRRKYKFDAENYKDAIVMPWYRNVEQPAFYYVAEILTQFNPSSQFPDTNFETFNEYFIKKYNLEIYDQNQALLDVDFTSNRLNLLLPRLQPHQRRQRRDSTSSVTSVTDRASESKSSESVTSSSGAHSTQRQILVPELMDIHPISATLWNVIAALPSIFYRLNQLLLSDELREIILQKAFSREDTKLKASLEWSPLTYPNAYEEKQSIIVKKIQQLRELNQKALEATQEKEKKEQILDEGKDTFAIGVWDPQDAAAIGVDISARDVMGTDGEDMDTVGLTQGLHDGNISDEEDDLPIVMHDYTARLTAANPIFGIPPQQPWEQEIEIVPSGWGDLEGVNPSPMPFQIFGGTNEVNIQGLMADVSRVFDPMAPIPGAPRPPPPTSISTSSGAPPTSTTSSNSATSQTDVPKKLTKEEEKLKKIQEELLAKTKERLEALETSDEREMPRRVEETVDLEEYGDDLIEDEEEEEYPQHRLKTMDEEIEELNSGAQNKQNIDDITVKTDVTDRQTCQVLEVAARDLPSRPFSFEKESQTMHGRLLKERENETVSHIDEDVGMGVSPCLLLTALTTSNAADGMSLERFETIGDSFLKFATTDYLYHTLQDQHEGKLSFARSKEVSNCNLYRLGKKLGIPQLIVANKFDAHDSWLPPCYVPTCDFKAPNNSDAEEKDKEIERILNGQTIEEKPEDKTGWDIGGDTAKSTADGIETINFPKQSRLLNEDISPLPYNLLTQQNISDKSIADAMEALIGVHLLTLGPNPTLKVMSWMGLKVIQKDAKTEVAHPLLRFIDTPANPDASLKALNNLWQQYQFANLEERIGYRFKERAYLVQAFTHASYINNRVTGCYQRLEFLGDAVLDYMITRYLFEDVRQYSPGVLTDLRSALVNNTIFASLAVKFEFQKHFIAMCPGLHHMIEKFVKLCADRNFDTNFNAEMYMVTTEEEIDEGHEEDVEVPKALGDVFESVAGAIYLDSGRNLDTTWQVLFHMMRSTIDSCCANPPRSPIRELMELEASKARFSKMERILESGKVRVTVDVGNNMRFTGMGRNYRIAKATAAKRALKYLHQMEEQRRAALAATSV >CRE24431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:652107:653987:1 gene:WBGene00061843 transcript:CRE24431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24431 MPVQNCVTEILYAKVFTNQHLLENILSYLSDDFSKNLDVRLVNKSINNTFLRLIRRNHRTIKIEYAYNVNDIFTRPKDYIYINYRKINNQDVLPYFIFLNIAIGVKVQKITTRKLWMLEDKFKRKLHDLIHSQLIGSNGTHIQTVIGLEEACDGCEKCSNIAQKCLEYGPLRFSTLKTMTYLKNYKKLYVTDKLFEDIAEYCISKSKNKEECFKELDNTILSTISCDKLAIWINETRVIQNDGADPMRDHMHMPREVIDIILRKWNVKSLKLSMLHITNELMCSFEWLQYDYFTRVRLNDPYSKTKQSDLKFNHVEVSLSYSEYCVRDLGNRQLIVSQPKGYDNFIPNIRRIFPTDRITMDLSHWFAVPRKDIEKRMSTILQVVTMEKPQNLSLDIKFFVQSGIVKKLNEETNKEELLPIASGYVLQEKRLHCFKKSSPFNAEHGPEVFLDNKWIGRRFQVEHAENQFSFDLDVFIKEKELEEEFNEQLLQIYPNSFVETFL >CRE24507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:645638:649910:-1 gene:WBGene00061844 transcript:CRE24507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24507 MSLTDPSPDKPPTDGSVSPLSSCSVSIDATSGDTTEPRSKVNVPYKPDRILPAVPRPVWRHREEAGDMDRHFEPHPNYELYPRRSDDNNRYVDPISWEPSFPLDPCTNDPQKLSRDVVLSGMQDFQRLSLLEWKYVFPSFLTKTLLSTGGFGARQENMKTIGSLPSSGPFAIYIVWDLWNDHLTLIPHHRELVASNRPDLFMVALTSNSKDLLNPGPVQMKNYLKGDAICVRTLNRRRYAWDGDFLVSVEEILNPESHKFWAVGEFYLIQRKFKKNCLVTSVGREGFIADGFNTLCDAPDDFSDQPGPVYHAKIFMPYLQPESFLPGTDEKEQKNQISKTKHYCPGYHVYPSIIELSGIGAELALELQYAKNPFQLMDRVPPRILFTSGHFGLSGKLAMENYDKDLGFYPTKVTRVVRKRDAPVVAFTLKNGYGAPRDSQWVKLTKFLMNFKGAVFEMEVESCTNKKNKLYLTGRSVSKNRKDLDVIKEIRGKTVVIYQEIQKNLHHMKNFPTLEEFEGFQWDTSFGKCLKALLGGQRILGASRNLDSEGGASELREMLTDEQGEYADAMTHGDSPGVAVDSCFRGGKTFTVAVTANLLAMESRSQLSIHLACSNTNIAVKALTDTHLTLPYTARAVRLINFNRFRQAARNNHTPIDYPLLWPNVLRRHVMAMDAKVYSEEEEDEYDIDDVTQSAFYYLKKDLKRDDFKSWKFQDMLKQKGEPQMSRLDTFVAIYKPQIIFATHSAVTQSICNQKFERSKANIATIQFDEAHRLPMFSLISLGLRIPDAHFGFIGDSRSLPACSEPSLPQNLWKYSIGRVLEDTWRKLPSFKFTKCYDTQMGLVEHCNQHFYGNTLCSMGRVESKEFSNFVGNSSPIQILNNPELLKKEQQSGNSLYCSQEAQLAVQLVKKIQRQCPSLEIGILTFYRAQCSQVSKIPGIENVFIGTVDCSQDYNFDVTIILTTRPDSFHESKFLEDPKRLNVAFSRAKDACFVIVNEEAARRSEIWKRILEGVPKDAVCDARSYIGFGEEEGYGDLPRKRQYAGPRPEFR >CRE24430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:637820:641367:1 gene:WBGene00061845 transcript:CRE24430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bre-2 description:CRE-BRE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MFZ7] MSPTPNDMYIKLQNNLYASPITNILLPHYKNSQQFTQVDRACIQDEWQENQSLNVTNTFSDETYRIVFSDAQKTFNWIQVPQDYGNPEILMIVSSNSDNFARRNVIRKTWMNAEKNKIIGEKQQMKVIFLVGVDARNEAKNTVILREAEVFGDMIVVDLEDTYVNLTWKSISLLLYGHTKTPPSVKLIGKIDEDVIFYPDQLSNLIKDGTINMTSSSIYGEKWEAGVPVHHKDERSKWWVICRMKVRSTELFRYIPKTSYKCRVFPSYLSGPFYLVTRKAAERITVSTKHRKFISVEDVFISGLLAGDVGVEKKSLPHLYMVDEATTDREKFEILAWHTLKNDKQFVESWENMRLTRCAGCQRTTRYFRGSRSQVAEEINQ >CRE24429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:619659:627759:1 gene:WBGene00061846 transcript:CRE24429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24429 MSEKTEKTEENEAEKIRKVNEQIDEHIKIFEDPAATFEEKMRFLVGIPKEIQHNLLNKERADRLFGCIPPEMYMRVFDQKHVEYEYARPIVIHILAYVVQCTSPEVHRKFKPVMQSLVDSLSPRTCKIQQTSLMHTDAATVVCTWADSRGDGKAVYDLLRHTTAHFNGQKQMLDVGQFLMATNILILRVFFLAPLENPDSFDNRCWPIGILSIVRRLLQEKVEKFTKELRHLMWEVISSMTRIGGITWFNYDKTFAKLVIQMNHVELQMSLHDVDSLDVVGFIRHLRVLELYTNAICDSEMFGEEGMEIIPHTSNFVTIFMNFIQVGDSTRYIMTFWVETYLQKIALPVQLSISIFHFAIFLFCHEELTIAEEKVRKNFGPVMIDTAFSILDEVTEPDLRGEIGQLFADMLERLSEFELLNDRVPVFIMKYLDKVRISEDYDGWKGRVIDCKCCIMDLRGRVDWYSIKSLQEAKEFLPRFTDPEQHELSHLFKIFDVLPRVK >CRE24506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:612072:618614:-1 gene:WBGene00061847 transcript:CRE24506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abce-1 description:CRE-ABCE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFZ5] MSRKGPLVKNNETDQPLRIAIVEKDRCKPKNCGLACKKACPVNRQGKLCIVVEATSTISSISEILCIGCGICVKKCPYDAIKIINLPANLANETTHRYSQNSFKLHRLPTPRCGEVLGLVGTNGIGKSTALKILAGKQKPNLGNFQKEQEWTTIINHFRGSELQNYFTRILEDTLKCVIKPQYVDQIPRAAKGTVEMNLKRRHDNENLDQVIDQMELRGLLNRNIDQLSGGELQRFAIAMCCVQKADVYMFDEPSSYLDVKQRLKAAAIVRERISDTNYVVVVEHDLAVLDYLSDFICCLYGVPGVYGVVTLPSGVREGINMFLDGFIRTENMRFRESKLSFKTSEQQEDIKRTGNIKYPAMSKTLGDFHLNVEAGDFSDSEIIVMLGENGTGKTTMIRMMAGSLKPEDEDTELPRVTISYKPQKISPKTETTVRYMLHDKIQNMYEHPQFKTDVMNPLMMDQLLDRNVNELSGGELQRTALALCLGKTASLYLIDEPSAYLDSEQRLHAAKVIKRFIMHAKKTAFVVEHDFIMATYLADRVIVFEGQPSVDTTACKPQSLLEGMNRFLKMLDITFRRDQETYRPRINKFESVKDVEQKKSGQFFFLDDN >CRE24426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:598838:601310:1 gene:WBGene00061848 transcript:CRE24426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24426 MAMMETTTTTTTTPKVKLIRKYHVVPYEEGSVIESAKRFLEVILNDKSLDASAKSRFYQDLLYRIRQHQDLPIVTEEMFEMLRENLSRHVGETAARTAAVAATTTTEEALQEVKPLETPQLPPPAPPPPPPPPPLQPDEDVVVAKKKKKKRQPPESAPSPPPPPPPPPLPPLPPAPKPFRKRPYAAIAGDDAGAATAVAPVEKKAKREAATAGVVRKGPKPVMKRRKLVTPGKAKKPTPAATTAAAAAAPSSPNPIRPIPVLRWQKRDYRFEPYPKRKPGPRRRRLRKSGENKKSGARVKKEEEGGVKREEVKPEEDVKPAKLVKSEIKSEPSSSDVKRVKREIKKEEEPEVEVKVKREPGRKRKMKLEPGEAATPKKKAKRQRGVESALEDLESFTLHRPNRKRFPRARTQAAGVYTDLQADLVDMAKYRRKNDEITFLLTVIDCYTRVLFVKPLTSKSGAVVAAAFEQIFTEMGTTPTILFTDDGKEFYNSVCRKLFDEHHIKHVSPKNDVKCGMVERANRTLKTRLAKYMTHAYGHRYIDELSRVVHAINHSVNRGIGKRPVDVRLGDFPIPLPENARRPSFKIKFAVGDHVRLASKRGFFDKGYEQGWTTEVFVISEVAPGRPVTYNVVDTNGEPIQGIFYSQELTKCTYNATGTYRIEKVLARRTRGRRKECLVRWEGYGAEFDSWIPESSVLQL >CRE24424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:585216:586739:1 gene:WBGene00061849 transcript:CRE24424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24424 MSSSPITDYSLIRERLSPDQVRVFDRILNQIGGSSSDPVRLFVSGTAGTGKSFLITALIDAVGAKNVFVCAPTGVAAKQVKGKTIHALFNLPVAKNGEQDDGRLFTAPAVGDNLKLVIVDEISMCSAEMFDRVESAMRAFRPNCGVFGGFSLVLFGDLLQIPPVNARWIFECKWGGVVLLILIFPLNVGVFSAQWWPCFEYEELEVNHRQHTDMEFAEMLQRWRLGRVSGGDMDFLQSRQVSGTPSYAAVAEQYCQNGRGNSMILVQYNKTADELNSRCGLMNGDTVLVQKVHTDQDQNVERLTVTPVDTAEVVTIYRRQPQESGRQQQQQQKPYLPVLPAYAVTYHKAQGQTLDTVFLELPRSMDASLFFTGASRVRSRDDLYVTRLPAGFSIKINGAAVGEYNRLRATIQLPPIDD >CRE24501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:582749:583812:-1 gene:WBGene00061850 transcript:CRE24501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24501 MTAEPLLVESGIRFVIYPIEHHDIWSFYKKALASFWTTEEVDVGKDMADWERLSADEKKFISTVLAFFAASDGIVVENLCSRFANEVKIVEARFFYGFQIAVENIHSETYAKLIETYIKDERERLVLFDAIKNFEFIKKKADWALRWISDMTSPFAHRLVAFAAVEGIFFSGSFASIFWLKKRGLLPGLTHSNELISRDEGLHRDFACLLFTKIVDKPSREIVCEIVDEAVTIELEFLDQALPVNVIGMNYTLMSQYIKYVADHLLEELGFEKLYHTINPFDFMENISIDGKTNFFEKRVSEYQRSGVMADAEDRVFRLDANF >CRE24500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:547788:559404:-1 gene:WBGene00061851 transcript:CRE24500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-5 description:Polypeptide N-acetylgalactosaminyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MFY5] MLIFKKKAILKVLLLVPVIWICSLIFFAATSNNSNQIGSNNELADRINQANFHPKEVPKHQKPDVIQGFGPPIEPEPVVAENKVEDEPAGGNLKKPVFMVDPNDPIYKKGDANQAGELGKAVVVDKTKLTSEQKAIYDKGMLNNAFNQYASDMISVHRTLPTNIDAECKVEKYNENLPRTSVIVCFHNEAWSVLLRTVHSVLERTPEHLLEEIVLVDDFSDMDHTKRPLEEYMSQFGGKVKILRMEKREGLIRARLRGAAIATGEVLTYLDSHCECMEGKETENRVRTRNKKCKKRWIEPLLDRIKRDPTTVVCPVIDVIDDNTFEYHHSKAYFTSVGGFDWGLQFNWHSIPERDRKNRTRAIDPVRSPTMAGGLFSIDKKYFEKLGTYDPGFDIWGGENLELSFKVRKCIWMCGGTLEIVPCSHVGHVFRKRSPYKWRTGVNVLKRNSIRLAEVWLDDYKTYYYERINNQLGDFGDVSARKKLRSDLGCKSFKWYLDNIYPELFVPGESVAKGEVRNSAVQPARCLDCMVGRHEKNRPVGTYQCHGQGGNQLRNAQTSQCLDSAVGDEVENKAITPYPCHEQGGNQYWMLSKDGEIRRDESCVDYAGTDVMVFPCHGMKGNQEWRYNHDTGRLQHAVSQKCLGMTKDGAKLEMVACHYDDPYQHWKFKEFNEAKAIEHGVKPPS >CRE24499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:532975:533178:-1 gene:WBGene00061853 transcript:CRE24499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24499 MNFLSLLSILFLTITLTSAQFFFPFGMGANSNGYNNYNGGGATYGSGYGVKDSEGYFAMCKGWTCQN >CRE05300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig892:2804:3922:1 gene:WBGene00061854 transcript:CRE05300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05300 MLTALNDWTDSIDKGNQVDIVYLDYAKAFDRVQHDLLLAKLVEVRLNPSLIRWIDSFLSERYFEVKVGKSYSAKRKALCGVQGSVPSPILFGIFVNDVPKTLPPGVKCRQFADDLKIYASFSTSDSSNSLPNRLQLAIDSVILWSKKAKLDLNNSKTECITLGNRRAMNTYTIEGNTVGQKTLIRDLGFLISPKLDFSEHWHKATNAAKFLVSQIFTKYNSNDSKIMTLLYKTFFLEYGTEVSSPYKKCDIRAIESIQNSFTRRLLSRQIGRYLTPSDPDYLSANQRNAKYGLASLEHRRQTTDYKMILKMQLGKIDINTEDFFTTNTFTKTRSNNTFHWKAGKTKTRRNFFIHRTLSRVAISSDRPPISPN >CRE24497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:506524:526091:-1 gene:WBGene00061855 transcript:CRE24497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alh-1 description:CRE-ALH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFX9] MLRSALQKAAAAQARNASGVPPGLANMKPQYTGIFINNEFVSAKSGKTFETINPANGKVLANVAEGDKADVDIAVKAATKAFQIGSEWRRMDASQRGVLLNRLADLMERDRVILASLESLDNGKPYAVAYGADLALSIKTLRNYAHFLNPCRNFENKPINSSIFSYYAGWADKNHGKTIPIEGDYFTYTRHEPVGVCGQIIPWNFPLLMQAWKLGPALAMGNTVVMKVAEQTPLSALHVAALTKEAGFPDGVVNIIPGSFAGRENASKARYGHTAGQAISSHMDVDKVAFTGSTEVGRLVMKAAAESNVKKVTLELGGKSPNIIFADANLDEAVQQANHGLFFNQGQCCCAGSRTFVEGKVYDEFVARSKALAEKAVIGDPFDLKTTQGPQVDGKQVGFCEIFGKKPSKMTENHEVSLKTPKKNEFFKRKWVIVDIWMHRSTDRHQNQSKLAYLDIRTCRKTYRHYRRTDRPQVDRKQVETILKYIAAGKKDGAQLVTGGVKHGDQGHFVKPTIFANVKDQMTIAQEEIFGPVMSVIRFDSMEELVEKANNTIYGLAAGVMTKDIDKALHIANTTRAGSVWVNCYDVFDAAAPFGGFKQSGIGRELGEYGLEAYTEVKTVTIKVPQKNS >CRE24496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:502815:506305:-1 gene:WBGene00061856 transcript:CRE24496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24496 MKSGDRQKTTEREGTKGMQTARTGSKKQQAVKKKPVKKLLTSLNKVDSRNKHLWAVQVHIGSGGFGDVYRVIDEKDPERPEYAMKTEIRGAHQRRLNIEKCILHEVGVYTASNKKTRHFCELVDSGLTAEYSWIVMTLIGPSLDSVRRMLNKQFTRNCVISMALQILEAVEIMHEVGFIHRDLKPGNICTGNPPNDDHLLYVLDFGISRRIYKSITKKELRNKRERVPFYGTRKFCNRACHLEKDQGRKDDMETYVYTVLDLFHNERGLSWSKDLADTKKIVEKKKALFENPLRELDPIVPPGIAKIILYLKDLKFQDSVDYRFIELELRSCRKELTSSDPSDETMDWTGKLEKLMKEAKNNKKPVTPKGEETLIFENLKERKRVREMTSAGGGRSANESSAMRSCTFDGSEGPPPGFMTTGATTAGTTGGTTGPATRTVMKKPLVKKKSRSRN >CRE24495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:500532:501880:-1 gene:WBGene00061857 transcript:CRE24495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-174 description:Cytochrome c oxidase subunit 6A, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3MFX7] MNRLAQPASRSVVKTFQRNSSGPFYGSHNVDGFKSSYVTPLKTNQNASETWKKIFYIASIPCLALTMYAAFKDHKKHMSHERPEHVEYAFLNVRNKPFPWGDGNHSLFHNKAEQFVPGTGFEAEREKH >CRE24420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:497851:500115:1 gene:WBGene00061858 transcript:CRE24420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cah-1 description:CRE-CAH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFX5] MRFECSQFPLFLIHLLVFFISFKSSNASQNYQWSYDSDVFGGPDFWGLVEKDWWMCKKGRLQSPIDIQPDRLLFDASVKPVRLDKLPVLSEFVNTGQMVRIRIGYSAKKPSVNITSGPLYGYRYRVQRIDFHMGRGTENGSEHTINGRRFPMEVQLVAFNTDLYPNFTAASKSPHGIAILSVLVDFGTQTNQELIKLTVATASISYKDQRVQMADFEPWRLLPFTRDIITYEGSLTSPGCHETVTWIILNQPIFITREHVSAFGARFQKKNLKFQFEEWSHLYLSMEGAEKVPVAPNFRKIQETNNRLVRTNIQHKVILLFSKI >CRE24494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:481241:483214:-1 gene:WBGene00061859 transcript:CRE24494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24494 MKSALFLFLFLTVHSHAESTPSTPLLNIEKELANISTSCLSRRDHEEITGNTLRYGMAVTLNANLISEAQFLIGAIELRKALGLPPHGPWKRARHLKEEEILAAPTIEEYYERREESLSSLNLDSSLFLEENFPPAIAFLDKRFPAIREIYRQEFRNAKKAVDRAEVDSMVSKYRDVGTRIDKAVEKMRRKSYLCWRENKEELENTVTPSTEKDQIVNLTVPAFKKTSEIADNTIDDVSFLLNETLQSSSPLDLDKEIRAIAYANLTTEDHTRFSDRKDLRPAQELIGLTELRSALGLEPPGSNYSRTTNDGNDTSIEDYYELKEPKVGIRVDKNATMAASFLDMRFPAIRTIYNQKFQGILERPEANGTISREDVDLLTSEYYVIAKRLDRALNKLELRDIIAEDPDIPFIVKFFASVADGLSNIIDY >CRE24493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:479320:480966:-1 gene:WBGene00061860 transcript:CRE24493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24493 MRSAIVACLLFVTHLNAETIPFTSLLNIEEELANISISCLSHRDHEEITGNTLRYGFAETFNSILINHAQLLIGMIEMRAALGMPPRGPWKRARILSEEDILAAPTIEEYYERREESMNSLNLDSRFFLEKNFPPVIAFLDKRFPTIRGIYRQEFRNAKKVVDREDVDSMVHKFRQMAGRMDEAVDNMRRESFTCYLANKDKLEN >CRE24491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:476133:477253:-1 gene:WBGene00061861 transcript:CRE24491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24491 MRSAIVACLLFATHLHAETTLSTPLLNIEEELANISTTCLSYPEQEEIAGYTLGYRMAKILNVYLISEAHFLIGRTELRAALGMPPRGPWKRKRNLKEEDILAAPTIEEYYERREESLFFLSLDNGFFLESNFPPAIAFLDKRFPAIRGIYRQEFRNAKKIVDREEVDSMISKFRDVALRIQKAVREMQDETALCWYNNEKEVGNFE >CRE24490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:470975:471542:-1 gene:WBGene00061862 transcript:CRE24490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24490 MNTLSIILLLLSVLLVNTQFQPRTSNRRVIFRPMTRNSGIVGRGRGPVAPAPFRNNNAQRTGIRAPGFKPPVGIAKKLNEAVDFRALARVLLKQ >CRE24419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:466630:470832:1 gene:WBGene00061863 transcript:CRE24419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24419 description:tRNA-dihydrouridine synthase [Source:UniProtKB/TrEMBL;Acc:E3MFW9] MNSDKNDENANRPKFYLAPMVRYSKLAFRQLVRLYDVDVCYTPMIYAKNFIESEKCRNSELSICERDSPLIVQFATDDPIVLAEAAEMVYGCSTGVDVNCGCPKHDVRSKGFGSALLNKPELLADMIRQTRARISDTEFSVSLKIRVNSDIERTVDLCRKAESAGVTHLTVHGRTPSQHAEPIDIQAIRIVKESVSVPVIANGGITTREEALFLAEQTGVDGIMAANGLLDNPALFAGYEYTPSDCVEHFMRLSREHGLDWLLYHQHLQYMLRPSLSAQQRRVFNELNGRLAIDQFLNNLLICD >CRE24489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:454764:461107:-1 gene:WBGene00061864 transcript:CRE24489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24489 MSELFYFDNIVFWIFADNASAPYGTFAENRRYFADQEFRRPRHKPDQNMVEYWVPPEKTSVAKKNTNGGQVNQNGQPKKINQVCPTSAATNGKRAMKIPKVKEPRGGDNSSKKSSADQAPSPFRVMYWKMSQYFEKIGEAANHQYQKVVMFRNRMKANKVAPIHTKVQSRHLELEPLCCLSSCLVRGGCTTVVVFELCYVVATALCIFEAMFRKKFALWEPFPKSFNGWFAHPLFYYTIAVYDVVLFIMAVATARALVNFDKTTLQVHYIFCIFSFFINLSFLIFSIWSLVSAGPLTFTPINCLLIFCFLYQLPLNIWGFFVVRSCRDFFALIHVFVSLAEA >CRE24488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:448650:454549:-1 gene:WBGene00061865 transcript:CRE24488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24488 MILWKLLLLFQAAWSIQALTTHEACAKYGSGLVFDKVLKVHAPDESITIWDINEPIQIHEENGKLVLAVDYSTRAQLTNADESALKVSIGEPIDPTAVTLEFYQNPRVCETQNGLGVRYIHLNLHNTGRNTTNELVLKYQIVCSPLEPRNYDILTSWKYDASCPIPATPEAHRHGMHFLQFYSSGGATMQFPLNRSLVAQVSAGTLVNVAFVLETNGTDFYLNVTSSNSEVIQILIYPSFINASTGYSFAFVRFEALKPGASNIALAHSQGDYYNVEVTVLAEAISGVSNRPMDAFEHKETNNEQAVSALHEACKKYGNELVFDKVLKLQAPDDTVTVWDIDETIKLHEESGRIALVLSYGTSAHLSYVDESVLKVSVTSPSIQSAIVEYYQNPGVCKDQNALGVRNIHLNLVNMMRNTTNELVLKYEIVCSPLEEVSAGLLPPFQKRTEDLHASWKFDANCPAPTTKPTLNWPSLFFYQFFSSPESVEISPDRTVGTRLVSGSHITAAYFFKGNVTDFDFNLTCSNPEVIRVTKQTSTLSFMKTGYSLGIVHFETLKPGTSNISLHTGGGIYYQTIDGVTVLPGFSERWNHTILRQVDLNEGDAHMIKVFVHHEAIGGRREFHVNGDMRSFDITTGLTNGTKSWLYFVVKGNKEPLSLEASSDIHPPYKTTTNQLNHHVASIPFDFPRRNVPNEIMKVKLTGSGISQEYTLNITLLSTSNQFVLEKAIYKYFGNGAELKKNATVVGAYTIGEDKTGKLEPPKKDSQGGLEVYENVTGLVVVGKKGSDGFVATLKKIDSEESKDTISVQLLQKPVDIYNFPRIIQDSVYYFDFPFHSGTFQAKPGKYVLSVLNAEAEDEGHVDLVIIKSGGRSYLHDE >CRE24486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:438307:440159:-1 gene:WBGene00061866 transcript:CRE24486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24486 MTTSLLSRMQIDRNLRRSTEHVEIVPQETCVHHESPESVLTECATMSTSTHTTIIPTSSSVSKSATVVSPRRASEIPGHSVTNK >CRE24417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:429824:432964:1 gene:WBGene00061868 transcript:CRE24417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24417 MTEAPSDTSDYQRFDEMLIHLEPRGARIPYNVAYDKDSNIWVASKGGLFKFDGKSLRTLYEDKKFFKKMAPFPQVVSYKNRIIYTSAEHDDKTTYLKVVSLDGDVLHESFIDGLLNSMTITDAGDIYIVKQVEKGQRKNCIMTAHLDCPIGWEVIAETKIGEAFSRICALDEKTLVAVVVDFPMNMYSNQHFAFYDLETRTETGSSSKMGKEPGEIYFPRHIIKYGEGFLINDKSGRFQEFKKNGEFVAVRAQIDAFLGEGFDVKDDEALMVLTGCVKDPNDQLICDDWLELIKLDGSTWKAERERKKRE >CRE24483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:427304:428157:-1 gene:WBGene00061869 transcript:CRE24483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24483 MTAYGHMPGEAIECLSIAVELHKQEVIDAHGQLTIRVGFKIGGGIDQDPSKAPFKYPDAGVYITNVEPGSPAEAAGLRKHDKILQNILKTKPCR >CRE24416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:426696:426923:1 gene:WBGene00061870 transcript:CRE24416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24416 MASVLARPQSFGLFHLGVLQNKVNAKPHSSIEALKKTLVKEWDALSPDYLRATIDAYPRLLRAVIEKRGGRMEQD >CRE24414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:425020:425347:1 gene:WBGene00061871 transcript:CRE24414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24414 MLTRVPILLILALIVALTVCQEPEKPEKRPALLSRYGRAVLPRYGKRSMDSNMNGQGSMMTEDNSDVVCQLIDGKYICLPVDAVRFRPFFV >CRE24480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:412754:415338:-1 gene:WBGene00061872 transcript:CRE24480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24480 MKRMLLTIFSLFLLLLAPVSAQYDTFTFNMSFLCGHPKQYTYDITFNIRDENPDRSIREGAIASESGEANIGITEISKTGFYEKELSRAPVWEPVVEVRHTCFDGQKGFKTILLTFPTCPALRNKTRYVYKYYLDITDKSGNRVADAEITSIGPGAAEKKKKKNSPRTFPLFNLPDKVVKHVARRMTYVEIICLSIISDRTKKLVKSLNMTSKSVGLHVWTIVSISVPVIYPHFIHWNYDDVESLSIHIQLTNDLRDRKTRKLTKEGFHLGDWIRHLLFIFNHAELSRVMLSTDPETVIPKSFFDSITGIDIRHLEVFDRYRIKDYRSLLPYVKQFTLLENPSENRESIQEVIIQNFDYLGVKPNIRLSLDDYLLMNSSFIKMNSKMTQKTVNRFIRHWIHGSNPRMKYMEYISDRRRRPHLQKILKGINYQVVPDDQVRVFEVQRYTPNRDEDLIVGSGFNIYRRDGTQATIHARKLRPIGFRLFLLVWN >CRE24479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:401748:406039:-1 gene:WBGene00061873 transcript:CRE24479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-11 description:Polypeptide N-acetylgalactosaminyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MFV3] MLVLRRKTIGIICLFSILLTIYLYVSMYPEDGERVPHRGDADVLRGKQEELRGRKDEGRHVFDGDEGKNKGGEENNEVEGLEMDKKQINVPPPPPPPDFDTDEKSKSVTTFPDRSKEIEIDTDELGKINGKLEDDLQALGYKRYQFNGLLSDRIGSRRKIKDSRNSRCSELQYAAELPAASIVVCYFNESPSVLVRMVNSIFDRTKPEHIHEVLLVDDSSEWENATEEAMKYKEMHPVEWSKVKFLKTEKNEGLIRAKIFGAKRADGEVLVFLDSHCEVNEEWLPPLLDQIKQNRRRVVCPIIDIIDAITMKYVESPVCTGGVNWAMTFKWDYPHRSYFDDPMNYVNPLKSPTMAGGLFAIDRDYFFEIGSYDEGMDVWGAENVEISFRIWTCGGELLIMPCSRVGHIFRRQRPYGIKTDSMGKNSVRVARVWLDEYLENFFVARPTYRTFTDYGDLTSRINLRQNLQCKPFKWYLENIYPELLPDNTPNQLDDKILVPGKKYLIKMANGTHCLSAENSQGRIANGNRVEMRKCNHLERMQQWKFSTTGELRPMGSSRMCLDSLRGISVILCHNQGAHQKWQVSTSGKLYNHSVGKCAAGTNETNDLTALKFCSLSNSFQFVEL >CRE24478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:397720:401325:-1 gene:WBGene00061874 transcript:CRE24478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rfc-2 description:CRE-RFC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MFV2] MSKHLKHELAPWVEKYRPQVLSDIVGNENMVSRLEVIAKEGNVPNIILSGPPGIGKTTSVWALARELLGDKVKEAVLELNASDERGIDVVRNRIKTFAQTKVTLPEGRHKIIILDEADSMTDGAQQALRRTMELYSKTTRFALACNQSEKIIEPIQSRCALLRYTKLSPVELLYRVKEVAKAESVNYDDGGLEAILFTAQGDMRQALNNLQATVNAYERVNKENVLKVCDEPHPDLMIKMLLYCTEKKFFEAAKIIHEFHRLGFSSDDILSTLFRVVKTVELSKNVSEQLRMQYIKEIGVCHMRVVQGLTSKLQLSRLVADLCRVSVDA >CRE24412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:386283:386522:1 gene:WBGene00061875 transcript:CRE24412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24412 MQNFGGPPQGGMYGGSGGGPGMNQFPQPTGFSTQHHAQHKVTAENPPKKFPLKRAKDAPDFSVFGKISETSTAPAIQDL >CRE24411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:378007:385189:1 gene:WBGene00061876 transcript:CRE24411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24411 MTKLKSKTDELVPEIGDFSQFFKSRSKFADSMTDVISNVYEWSTSLDSSSEDNLPLPFVSKNEEVVWSYLKHQNKQLDGINKKYKGFLSELIGKPHIRISANPEDSEDSDDPEDVDDDDEDDAVDDEEMEDDVDDEEDDGDDVDLFNLSESDLKTLDADLKKMAEEEEVDSEGEGLKTSEEEPKKFKKSIVDDEFFSLEEMHDFLQKTERKGGKRTKEEEEMMFEDEDSWDGKADYTYEDFFGSRDAVAPEVTSETKKTKREKKRKAEVLGNDDGKNQKKRVRFAMDEEEPEDDVDDEEIEEEEDVYDEEEDVGDHPVLLGAELEEKREESGFEKRQNKMKERISKLEEENLAPKSWELSGEVAADQREENTLLEKHVDFDHGSKRAPEVTEEFTDRLESLIKQRIKDKAWDDVIRVKKVEAKGAKFETQAIENVMNQKTSLAEVYEKEFDKATGNVEDGKKVENPAHETINTKMRDLFRLIDALTHFEYKPDQAREEVRVVSNMAALRVEEVGMTASTEAQLIAPEEVTKKMRSMEKSKEERDATDRARERRQKKSKQRSMLAKFGEETVFGEQKAAKREKAERGKDKGDKGQKIKSSNFFAKLQETVQMEKKDGAVTKKIKKRVVKREKTDKNSAAIKL >CRE24477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:337189:344730:-1 gene:WBGene00061878 transcript:CRE24477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24477 MNMMHMGAPMNGGPPPPQMLQMHHPHQQQQHHHHVAVFASSANGQAPPLQASATPPVASSMNRSQRCGVCRGCQCKPCGQCTYCQDSPQFGGPGVKKQSCIERRCLRVLENRLQRDAPTFKARVGCNACEDCRMQDCQICLVCLDKRFFENRHIPGAMCAKKRCNNAQSLDCVPSVMPQSEFIVQRAPKRSYELISPVISQQQQQQQQQPQQQQQQQMHFDVKRVQYMQGPPPPQMQIQQMQMQQQQQNLQQQNQQQQVQQQRPNSNSSHSQGPSPPRNGVNTQQQPQQNGSQQNGVHLKNDVENLEQQHHQQIPQQQQQQQQQRMMFQPQPYPDMNGHSRMMAPPPPPPPVSAPAPMPLPQNQMTHMPQHGLDIPYLIPTFAQSGHHPDPFYPSKFELDFEHNGDPFVPPSYAQDMKGQVVLQQL >CRE24476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:328433:331915:-1 gene:WBGene00061879 transcript:CRE24476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-12 MRFTNGTLFWNLIILLLFLLHLRPTNALRDTCPIGCQCDEDTQKVTCEGQQVVTLPDRLPSGYEQLLIRNSSVRTIEKNSFRKMEKLTQIEFENNPNLGTIEKLAFKGLKKIRLIKFTACPGLTELQKNSFSGIQNQMGLKIIFERTPIHRIDGHTFRHAQNIRELTISGEELALSRHCFANINQLDFLTVSGVVLIEPEIFTNSTRFHVVHFKNSQFDIPPNTFSSLSHTSHLLIEHTKIPSIAPDAFSGLTTIQVIELHACQLGTISARAFANVENLGELKILRNTIGDLDTSESIMSRALKTRVEENTLECNCGMKWMTSVEEMSDINFCSTTASFRSIRSFVKAKCSHISKQVSRKSNHLPSTSGVSSTFSNVVLFSFILSRVFDDFRIFL >CRE24410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:323164:325183:1 gene:WBGene00061880 transcript:CRE24410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24410 MNSSFPLLKLPILVFNTVVSNLNVFELVSLAVCSKRLRFQCKHFRNTAVLKKQIEKFHVEFSTVCRIRIKFYPSLTSELAFQVEKLKEERTKCKNIFEDVYISKVWSPRVNQIAHHEWYPLDEPFVSEYSQSVYYSNCAIPAVSSMVSFLSDLFSVPPTSLCLNFDLFKKEEIDQIMTLYCDRQTADPIKQFEMRDAKKEKEETNTELVISIIARQNATTELKLMFKPSSDFQFDFNSLCKTPEFLEFEYSYWINWEQAINMNSKFILYFLRSNFSNSDFKSLVEKWKSGWTPKWKRIMIESCETLDIDSYIIDPIIDIPMTNSACRSFIEQNDTIHAFKFEMKISFAAGEIIKNGYLISRPDKSIATVAVERNRIGWFIIQPDEPDAKFVASAHERTFYL >CRE24408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:311902:314845:1 gene:WBGene00061881 transcript:CRE24408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24408 MAADNNEVTWMSCESFFPNYNGWTLKLICFLYDDFYDFVNDHLQKNGVHVAAVCILINIFHIIVLTQKSMRTSSIYVLLAAVAFMDICSLSYDVHVEIVNFFKVMTVCYSKETDYRILVINNIMDTIRNFARRCSTWLSLSIAVIRTIVIKYPMNPKVEILSQPKAGFFTIIGVLFLCFPIQILDSYRYEIEFTDPHYKCSQDLLKAEFLFYQNIKSLSFPSNNKKLYTFYKITDALLSKIIPCIAFPVVTLFLILKIRKANIQRQKLESSSETKKSKNTSKLVLCLTLPFFIAELPLGIVFWMSQSDIFVEEERFYFILEAFEKCFSFILSATTATHMIICVFMSSQYRESLISVLQCGFASQSFANVHVPKHLCTTLQNSAVLFKEGTAPLLWPPCPVKQNRKQKPLDFSRQFLSFFTHIQFIDLKSRQRLASKMRDYKEWRKKANARQKEANRVIRDAFHQLRMVLPWKNNDGVPTRRMILWRAIE >CRE24475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:301646:301986:-1 gene:WBGene00061882 transcript:CRE24475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24475 MIILAGKEFRSTNEAIMEVHATRLMAQLENSCLAGASPLGRTSMTQEFDGQLAALELACAKATFPFGSEKPRIYSSRMPSWYGQKAPNTSYTTDDQI >CRE24405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:298476:299150:1 gene:WBGene00061883 transcript:CRE24405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24405 MAVERVRLEKKEQKRINWEIKKRAKESLKSPGDVEVKKEMVEEGGTIRKSLSERVTFPKRNEEKEKMSESRSKKRKSDEMTSEHRKEKTQKLSKKKQKERKQADNCDEPKKKMKKRDEEGQKSFQRDSLVVNTGGPTGNQSRNAPFQQAPALNGGGGGGGMNLGSAGFRGGQGQFGGYGGGGPQFGNGNPMDLFSFHGNRQFIEQSASEVMQATRLLSATVAQH >CRE24404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:291125:297731:1 gene:WBGene00061885 transcript:CRE24404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24404 MPGRIIEALKHCKTNHPVFLLDEVDKLYSGNQGSPSAALLELLDPEQNSTFHDHYLNMPFDVSKIMFIATANDIERLEPALKDRLEIIEMSGYSLKEKVKICEHHLLSRQLSKHCISPDYVNLDRRAIMAMIEEYTMEAGVRQLERNVGAICRHVALRLAEALNSDPGADVLPDMELPIKINEADIHRILKIKHMKRVKIVEKMRPLPPGVCFGLSVTTNGGKVMPIEASKSKGTGKIVTTGHLGKVLNESILVAKGWLGANAERFGLKTLEENDIHVHLPAGSINKDGPSAGTGLACALVSLATGVPLRSDAAVTGEISLTGHVLPIGGVKEKVLAAQREGLRRVVLPKSNEEEYLKIDEDIRQEMDVVLADTVEEVIGAMMEKSPVLAKL >CRE24474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:288201:290831:-1 gene:WBGene00061886 transcript:CRE24474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24474 MAKRNQKFSIFKLRDEYQALLLKCLEPIDLLEVTFLSKRAKRMVKNAGLKAKCLTFSEEWISLDFDWCDTPFVFGFEGDMDLIGGYRVLNGHNYFWYKDYEENYICGFTDEIPKYMTMFHYFLELFKVESYGCEATKPFNKRRLEQLPDTVQTLTISEMMTDKDEIAEVMEHFEIEEELVVMSDIEQFHEKMLSIPNIILGNAQKLNIKDVAKLDCISIEITYHVYTNEHINYFLKNWKSTKTRLEVLKLKNYDFDLDKVLEGTDAALFDYLRRNPYFELNGEDIDCTEFMDIVRDCDGRVAAVGLEEPRVGDDHEGEEDWKFLWMYVFPDDEEKENHCD >CRE24403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:284803:287437:1 gene:WBGene00061887 transcript:CRE24403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24403 MKIEDSMELPVILVASGVLLPGASLKIPIKSKLNIRTIEQHLTRGGAVANNYVVIAYKVSPDKVYEVGTIAYVEKLFGWTFNSTVHYSLDVVGLHRANIDKLSLPTCVVSKIVDSPGADFNHTSIESLISGAKLLALNSSSKNISHEILNLIDEHDYTKLADLCVSLIKFLGFKQLLEFLGTKEPEKRIDLCVKWMGERNENNTLELKLPSSIVPASQKPQNKGRKVPNAKNQVEQLEETLNGIEFTEEISDRVFSELHRLKNMNSQQSEYNILLNWLELVAALPWNTSTVDDIEISKARTILAESHEAMDDVKQRVLEHLAVCKMNNSVNGMILCFTGPPGIGKTSIAKAIAQSMGRKFQR >CRE24402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:279120:284320:1 gene:WBGene00061888 transcript:CRE24402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24402 MVGQPLHNALKHYQEFTEFCVTTALAADKPITKRTLSRTYLAQTKLNRTETFFTKKFEKVAEILFASNYPIETKAKFFFIASMPIIWSNFKERLEAGGDVRYDNENRILSYKSRFTNVEFCGDHRNFKHTGRRKKTCCAHCAEKKLSANPCVLTPQDVPLYPMIPQQVSEIMDGEMDEDEYYEDDDDEEEEEEEEEYDDDDDEPEFIGMVPAPERNVDTEKVFYDFTQYCVEMAQAASKPIAKRTLSRNFGQKINLNRSEKFFSYRFDKVIQNLFNSDHSFETQAKVFFVTSTPVVWQDFRDEMEKSGEVRFDTEWRILSYKSRISGMAFDGEHRRYKSAGPKRRKSKNGTNGLGVSEVEEEEAEDYDVIEEGDEDTEYLQQSYNVTSQQPNTLVSPKDESISPPAIVPAPVSLWDQRANEPFCDEYGRPLEQFPWYQQEVPPPIVQGNHSGYSTNFMGPSSSGISSIGEETPIESKPPVMQVEPGFVTRKRESTDVLGGGGQEAKRNKWMNEGCLRDAAGTPAESVQSGISSSSSLPPSIPPATVSFNHYAKFLREIYLFFGETLCSFDPNLKKEYLLAENENVGMNIKPSTIKSYLEVYLNEICYEKNNTMENVISLKNLLAEFNQMAKHFGEGLTREIVPVVGELLEDTKDEDMVSKSAILVTIGKMTDGLKKCAKPVH >CRE24473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:270518:276013:-1 gene:WBGene00061889 transcript:CRE24473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24473 MWSPRSSPIFIFSVLFLLVVDAQYQNQNQQQQYQNQNQNQNYNQNQQGGQQSNYVTVSCSQGAVQGRTVNLGNDPNQLYSGQANAFTGIPYCQPPVGNLRLQPPQPLTQFNTTLHDATYFRPKCPQLNANGATNEDCLYLNVYTPQAGNTMANLSVLVLIDGSNGFANGGCDQSQEKGIISNLVQRQIVVVTLQYRIGALGFFTTYTNSVQSNLGMLDQVQAMRWIKTEITNFGGNPNSITVAGQDDGACAVSAHCLSPMSQNLFNQAIVQSGSVYSCYNPTPAVPTNPTVQVTTPRPMYDQPNTGYGNANYGYQPQTTTPTSSYNSANAQYDDPSRQLAETLCNISADQWNNGQTQNIQNCMKNYTVDFFVNQQPGGPNATWMIVRDTSFLPGSIDSLTSKRPNIPIIIGTVQDEDADYAFKLINTGKGSDPNNLDNWMFDFARKNKLNQTQSNQVQNIIANNYPGLQMTTQGPQANQVNNQYQGTGQQVNNQYQTNPNGQQVNNQYQYDQGNSGQQTNNQFQGVQPGSQQYFGGVVAGQQTTTYQNPGNYQNQNQNQNQYNYRNPGTYSPNQNQQSTQNQYGGINQNQYSNQNQQAGPTRQYDPTYQNQYSNQQQYGGQQGATQTNNQQQYGSQGSQTSNQYQNNGIYTNVTQYSNVNNNYGVGGGLQRDQQTATGLQMTGNGNGITDYSQLRTISQVRDNQIASDQSTSLTTTQIQSYMQNGARHVRIYQFTHVSEVGRNTVPDTGANWKPVFKGQDMFFITMSETIWTNSNYTPQDRQVANQMGQRWSDFVKTGQVANWDTTNQQNYNYCNLNTQATQQAQYAPQARRVFQDQVNPICQTAQNTYAMSNNQSLPYPSSSGSQISRTPGTAQAQYTSGANGASNFHITFQVNSFPFNG >CRE24472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:248191:249613:-1 gene:WBGene00061890 transcript:CRE24472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24472 MDPMRDLSVSDSRSDSSSSPPPTGGLTAQTLWPQNLGDSSFQFSSMMYNPITSQISSQTNSTSTTPLHPTSSNPFDFSSAQQQYLYQSAAAASYSPWAYQAAYSFPYQGMYGSTADHGGFKANAN >CRE24470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:236175:240175:-1 gene:WBGene00061891 transcript:CRE24470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-php-3 description:CRE-PHP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MFT0] MISVMQHMMNNPNVPFVKTSDSPHASPSDFIKQSDSPPTTSSTVAPPSMQPCFWPSVAQLQQSASGSSGTSSGASSSASNNRPAQADNHSERGSETASSPQLPLPTSSGMSMPAAAAAGMYPFNGGRFPTANEFGMMVNQSMYSDFYQNSLAASGWGYPYGQQYPFAPNYQMPSLDGSLNDGTHLEWTSSSHTSRKKRKPYTKAQTLELEKEFLYNTYVSKQKRWELAKYLHLTERQVKIWFQNRRMKDKKQKQRSSGDPTGMLMPSGLD >CRE24348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1915:690:2750:1 gene:WBGene00061894 transcript:CRE24348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24348 MSFSTERTKKRSSSVTSSEKSWAVQMNEVRLKERRKLVRGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCQELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQENEPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVRNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFSGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRRASTGDVGEVFKSEGEEKERKKVKIRASVVVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVDKVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRSQWEKNTDRRPQSGVKKDLGKGCPIAERRGKWLRRSSTFSKPHGITEVKGNEYSRGNRKT >CRE24468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:212340:218153:-1 gene:WBGene00061895 transcript:CRE24468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-6 description:CRE-KLP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MFS9] MPHTSRFADRAKSIKTNAVVNENQTERALRELREENLRLQSQIQGGGVSGDASNEEIEKLRRQLAENQKEMEEMEKSWQQKIAEEASKHAGGASEKAEMEAKKRKMCHLWNLNEDPALTNVIVHFIPVGETVVGNKPTSSGNFIQMSGLSILAQHVVLRNEGNNQITLSPCSEDLDIFINGKPVHEEVQLQQNDRVFFGGNHLYVFNNPTKKGIKTDITYDTAQAEIAQNHAAALGNRGIGGGSKRDLILEEELMSTLPLVQRANAMATELGRNVKFEIVLVSPEMRGLTSGLTEIWIKVHNISEDTYFLWEKSRFMNRYYGMQEMYEAKQDGSEEWNMPKERDPFYEPPDSPVFIASSVVFLQSLAYLIDVEEQFPIVDLSGQEIGLLTVGLSPCSTTGKELRGEYVENPSQLVGKNIAFKVKVISAVGLPRRILKSNCKYRFFGSKKMTTTPTVSGNTPSYGHEETFQFKPVTKEVADYLANSNLYITFWGTQRPRGANSRKNSVSTIGSGGAREGPNKAKRVEKLVANAKSAENRNISVKALETVLKGVDDNENRKTRKQSMKKAGSTTIKSRSGSKKPKAK >CRE24466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:204961:209639:-1 gene:WBGene00061896 transcript:CRE24466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24466 MNSACERDADCDDELSCTLYFGEMMCRAPIKPLVPLRCESDAECPSTEYLCVFSTAMQDRVCYKYGDIVTDGYVIPIKHKISMEMLKTSTSSPPPTSSSFPSSYVTPNLPEVKTKTEGATNGLFAESEAIFEEEPGSLLTSTLQKRADDAPKIGHAPIYVKMEDGPSETRISGQHDGEAVRMTKVIVKEEEDQEVAGTLKSERVNIGRGVELVDDEPIDPMATVCQFDYHCRMGESCSGRVRFVDRNVTVCRYDILKKQRQCIYHSDCLSGQVCRLHSPSIDSPSSLFQRCTAKSKDLATCETDISATIGSLQCFYDYECSGGEKCTLVDEKERKFVCRPSPTSDPRMNQICTTNAQCPFQQVCRQSAGISLCVDVSISKNPAMLHERLWRFLRNFIFHRRR >CRE24465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:199295:202060:-1 gene:WBGene00061897 transcript:CRE24465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24465 MSLARSALCLLAISCVAVCIVSAAGAALEKQQYDMDAPITAYKRFYSWEDAKRAASSEEGIRNKRKQFYAWAGKRSSAPVHFFEDIAAQEEAGPSMEKRKQFYAWAGK >CRE24464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:194054:195223:-1 gene:WBGene00061898 transcript:CRE24464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24464 MSSSTTTNNVIDFYLSEVDTRIAEKQKELEEALEKSENVRSHAETIQNFIGKYTNFESRVKDFQMEIAEMDRLIAEKQREIDENRNFSRREEAQMTKLMEKLEKVTEERKQKERTLLKLYEEEGKAMEDSDDDGDDEDDGEDGYNNKNRKNECLNIAI >CRE24399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:171757:184937:1 gene:WBGene00061899 transcript:CRE24399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osm-12 description:CRE-OSM-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MFS3] MQNYSRTDFAQVGTTNRGCMKVIPAEKASEFDVIVVGGQNGSLICLSRKSNDTTVSSYLIQFLNRAHLQIIFKTQPGYPIQSLALGGPQSSKKKDKIFVASQNTVRGVNRRGKTFFSMETNMAETANRMFVRGLDVVLTGRKSYSRYRDSHDSNNYLCTEDIHDVVSLVFEEAWGAREYTSVLACGNSTLQVIEGNNLAYEVRLDSVPFTVALFMGDGGHTKMMVLYGTKTGRLGLVSLPQGGGKIQWEIDTTSGACVTTIVCHAVTGGPFPDIIVGKEDGLIEIYTIDETDHPHLFGTFSCDESITGISCGNVASKTEIDIIVCTFTGWLFSLAKTSRPMIENLPVAANFNVKMQQLRSEVEELQSKVNEERLRYEEITKRQGGGAGSTFFHSFQVHENFEYSAALGAYNLTIELVIPIDFVVVQSQLPIRLMEVEKNASVVSEVHQDGLNPWPLLASYRCQANVCRLELRVQASEGDSGVINLYVCPKIMPKCAQITTHFIKALSSHMRSHDFDSSRPLNILQFTGNFSIAEAHAWLHNLLPNVPSKCPPADTITNNYQCSANGGTQLQVTYSKGQATFRSDCMTTICIIRDRVSEQTMKMQIRVEVACELNQDSVDHCLKLIHPKVMAMLDIEKDKLYASALKELEANNDNVFSFLSPTNAKLLRDHDSIWERAEGVNIEDSGVLAVLENLMMARAKLMGKSKRGRIEAIRDLIATDYNFENVQKLFKSAMND >CRE24398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:161843:170665:1 gene:WBGene00061900 transcript:CRE24398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24398 MDLPGPPDGHIQFYEFQSALDKFQIANDVLLKAIEKSAVFIKIKPKPHLSFLNQFKLCNGHVYEEINRYYQNDEVMNENLLNETLEFNYTIIVIWERVLCRIFRRIDSVPRFADVSTPLENVINTIRNLHEVVESPEVQGMRILRYPEIELDETKRNLENQKRGAYHERERIHDQSSQTFKLLEYVRNLKTRERLYAMNEIMKEKFNDERLYYDLSMPYGEFVKRYESIHKLKMPPNQVLTRIGPRTPSPAAVRNESIERSEKSSEFGPEVDPIEVDRVDSGEKESKVDDVMDVDNVGGGQGGETPEDVREPEAMPQPRESSPDDVSEPEGVSPEDVDLMEDSSSPRNAPIDDPEDVRIPEVRDVSREASEVIEDPEDPEGSPDDDADVESILDPTSPYNQREDLPPESPVFAPRSNNSSPNSSHHSEADEDRRRHPDTPEEDILMESFDEENAEIEEQHENDSEDQDSDEVVEDQRLHVNMAAPELVGLVPRDPEAREPPTAPCGSSESAPEADEEDVVFVNQRERSATPPPDTFDETLALESPEGRRLSEGSGCSEDSGGTKTPEVKKEPEDQMPSPDIFEASFVQDPDVPGPSDQVVEAPEFRRPEVKVEDEEEAPESASRTPSPESDISEDNAVVEAPESRRPEEAPEVSRTPSPESDISEDNAVVEAPESRRPPSERSEEAVDAPGASEDMRSSSSRSNSPDDDVVMEDSESRRHLSDSEGDDAPESMDDVVMEDSESRRLLGRPQDEEDSAESDDDSEMPSDDIVGAPDAPEAPESMRTPSPGSGISDDNGVSEAPESRRLLGRQPGDVDSAESDIDEEDSTEDSSDARDADTLSRFYTLSLVAFSPTLKSFSAQSASIGTSLSDAYDDNAVVETPESGRLLGRPPSENSEEAVVPPEAVRSLSAGSDISDDNGVAEAPESRRRLERQPGDADSEELDNDSEKSSSSNDNLKDSDADEAPETDMALLREFFENIPGGGDDDHGTPSSYHSSEISSNPTTPERHQAPPAIEVDRAVYRQPGRDDDMADSEGIGRRDGGADAGTSSGVHELSDNEEFVKDQKNQLPNGKKRTKRGIRYDEEFKVNVVKYAREKDIVEATKKFGVSKPSVLRWMEQIELSEVEEEEGPERNVGSLRWILFVVEMELWINKQKEKNLRPTREEVLKKAEEVDSTMLESTDWVHSILKEHDLLPQIKSEPEDVSIPKMIDHILSLETTRIENRYRAILACDEVYLDFDWSRTESDEAKQVSMTLTGHEILNVAVMLCARSDGSKCRPYVLLPVRGPDCSITHEFQKFMKLVWAGTAEFDEILTQDFLKKIVGNSVSTGQLLIWDDAETHVSDGTKNTLNRLNIDAVVIPKGMETLLEASNCYWEAPFKAKIQEFYEEWMIHGQKAFTRTRKMKPPPMNLYLKWILDAWRELPKDLIIRSFKECGLSTIPGGVEDESLFCFREFPQGLEMLKKARAPPEESEEEGVVKQEEPDGLADVVVKQEPEDSQD >CRE24397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:157286:160452:1 gene:WBGene00061901 transcript:CRE24397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24397 MKYAQLVMGPAGSGKSTYCSVMHNHCLSAGRTLRMVNLDPACEVFNYPAVVDVRDLISVNDVQEDEELILGPNGALVFCMEYLVQNLEWLHDELDEGEDDYFVIDCPGQIELYSHLPVMRQIVDALKSWDFNVCSVFLIDTNFVLEAEKFISGALTALSAMVAIETPAINVLTKMDLLSERNKQLVDEFLETDTRSIVDQDETAWNEKHRKLTRAIAQVLEDYSIVKFVPLNCEDEESIDQLLLTIDTTIQYGEDLEVKDHYPEEVDPEE >CRE24463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:150625:157058:-1 gene:WBGene00061902 transcript:CRE24463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24463 MDNFTDVDTKDANVMLGSLILFFATGWLFYTKQLFKNYEVHNRTVQFIFSLTFAFSCSLFELIIFEIADVMAPLSRSRCWTFCLSLILITLVVIIPIYTSFLIVSGLVRRHIQLPLSVGLWLVFIYFFWKIGDPFPMLSPKHGIFTIEQVISRVGVVGVTIMAVLSGFGAVNAPYCYMTFFTRPVEDFHVCQLEKKLTHTMDLIVLKKRKVARYSQEKNRLNEGKTQKETTFFERLFDNFSEKSSGSTLASQIERLQEEITPLETLARFLFLDLVELRQMLNRVEFSKTFMGIYFNILGHFFSIYCIWKIFISFINIVFDRVGKVDPVTKTIEIGVHWMGIPLDISFWSQYISFFLVGVIAVTSVRGLLITMAKFFVSISNSTSSLSNIIALLMAQIMGMYFVSSVLLMRMNVPEEYRTILTRILGDLKFNFYHRWFDVIFLISAVSSIVFLTLIHKSGSSMFRA >CRE24396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:149631:150521:1 gene:WBGene00061903 transcript:CRE24396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gmn-1 description:CRE-GMN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFR9] MSRIGLVQLTSSARNSPFGVEKAAFDKSANNKVKTHPEPLKLKKYQQVAPSPLAVTPVSSPFDVFCEESKENVETQTLFENHSISTQTSISVPHTQPAITESDLTADTPTVNYYRVMADRLQMDYDDEIERNGRLVAELGDLDEQTTKIDEDMEILLEVLADIDEEQMGDELGTAHV >CRE24462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:143804:147858:-1 gene:WBGene00061904 transcript:CRE24462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24462 MKEEEQEHPVGFELIDKLEEAFDSIDEFGGFRYEKIKYDESTIQYMLDASESVILDETLGLDPINEVHRLVIIISKYFNKKLINFQIQAFPSRDRIVSDLQIRFSTANMKTVLRNGPQAHLHFAKVADKRFAPRHPFDLPVFYYHFCHPERSLTNATHNRDQEFQFGINCMYNTNPTIRLFNGLLEMYDNAMEKIELPHPKFGKNIYYKADRTIADTYSKPGQHSEVIIHGMRIFFEDGTIKNDKGYRMEVYLNNREVEENEKIQKVEFFLADENTIEVMTLFKKSMMAGGFIRKKKKVEKIEQTVLIVSLDEITGTYGTSDFQCSPNFKSMLRTSRMGPKRRPRNSKQEVKIKMDENREIMKPSVDRVKVFLGVEKNNKKLRHKRAGLGHQICTSFCKLKNALQLLKDESAFPSESEFWTAGEKVVYALYMAQTDAYLCEDTCVTFLDAVQMCSTFVDHSDEFEIQGELLLMFSYQLMSMLFAIEKYIHQIDRNDNLCKQDIYIGTFLPFYYIVPFSVLKDAFINAKRPLKGMLLEIICRRATPSVYSEVLDLYQNDKQLVEDALKSYPHIMLFGHFPASPCADLVNELGVYLDNSLLLEPNKWILDIPRPRVLFSVKPEPEEIPEECPDEDYLQGNEINGDTSYECDIVHEQPVEEHMSTTDWEAEHSSSVENHTNGASNEPEEFDFVVPMKPIKKEPLTEAVDEPTTPPRTPPARKSPVPSTSADNGYYRNAQGFERKRKQPFDWRDMISESRDNTPVKTPGQPVKAPCGATFTPNRLINTPYGKYRKLIHPEPTKRTFLQKDPTKEGLLDKIWEGSSAAVIRRSVSDA >CRE24394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:140542:143370:1 gene:WBGene00061905 transcript:CRE24394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-15 description:CRE-GRL-15 protein [Source:UniProtKB/TrEMBL;Acc:E3MFR6] MQNSFLILSATVLISTVTSTFFNGGCGCQPPPICLPPPPPMCFTEIQLPPIRIPVIPLPRIELPQPCCPTCACGRKKREVDTGVEEDTTVSTKDVSCNDDGLLAIMKKEMTTGESSAVKIALVEAAEQELGGRFTVVCSQGAFSFVTSTTSYCLHSQSGLNCYLFRTQ >CRE24461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:131601:138142:-1 gene:WBGene00061906 transcript:CRE24461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24461 MANPARDGYQEFDNEPDLPGEVETENPPTMPRRNTASEDTSLFQDRLPTENSLSPEQAFIHMVKAMLGTGLLSLPLAFKHSGLFLGLILTVIICLICLYCMRQVVFAAHFVCNRNGRDLIDYANIMRGAVEMGPPWIRRKGYFFKQLVNVNMFISQLGFCCVYFVFMADNLEDFFNNNTSIHLSKAVWMLLLLIPMLSICSIRRLSILAPFAMAANVVYVVAVAVVLFFFLSDLRPINSLPWFGKATDLPLFFGTVMFAFEGVAVIMPIENRMQSPHAFISWNGVLNSSCLVVLAIFSVTGFYGYLSLGNDVKDTATLNLPMTPFYQTIKLMFVACIMISYPLQFYVPMERIEKWITRKIPVNKQTFYIYFARYTGVFLTCAIAELIPHLALFISLIGAFSGASMALLFPPCIELLTSYAKNELSRGLWIKNIILLSFAVIGFTTGTYSALVEIAKTFS >CRE24393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:127220:131316:1 gene:WBGene00061907 transcript:CRE24393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24393 MPSKSVKLSSRPAFARHIPTSSDSSESQRACVSTYLLDPSSDTRSGSLCILEYSEESGGLHVENEISTSAGVFRFDFQNPSTVVAALTDGSLVVQQILDPISSETTPVSSDMLLDLGLSDSSILVTTDNKGHAYLVDMNTSLIVSSWLAHSLPYVPGEGCEVWSCAVTKDAQTVVTGGEDGSMKLWDARSRTQIAQSKMFGAGVVFVDFPTNSSEEILTGSYDENIRVFDRRNLKNVLKEKKASLSGGVWNIEQNASTYCISCMYGGYTILNSESLDVVHQNRDVGTNLLYGATRMTDNSVLFCTFNDYLVVLDEF >CRE24392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:123803:126473:1 gene:WBGene00061908 transcript:CRE24392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24392 MTTQEDLQSLMSIVPNLQLQEGTPYYEVLLKMIEEIGKDIRPTYTFNKMTCEKLKRHIQCAKVLIKACQQEAENDKKKADIAIEAQRIQAAAAAKSEAAKTTDGAEETPKN >CRE24460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:111437:116478:-1 gene:WBGene00061909 transcript:CRE24460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24460 MRYLTTEKTQVAQPVTEMTTKKNNPSSIPNLVYRIQECVQPVADYAKVLNNQDSRTSGSEFGSAFSLPNMGGRVFNELCRLIAKFNSCVRDYRSTCPRHVTISLIDSSYGYLCNEGYNTFMESAECLMELDRKPSVKRCHDETLKEIESANTESGVSMPAKVDRMCGALNFFSGCVRSPIKQDCGFSAWQVSFYLSVCPSRCPDFQVIYRVLKDTTNTLMPACQFTGTSQKLISFQKENNITSPSPSTVVQTTVPSTRTTKTTVTTELQTTTAKFAVRDIEDEEEEEIDKEFQEKLNQKKKMRKIQSAELTDFSCRSNFGFAILTVVLTVARFLI >CRE24391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:107022:110558:1 gene:WBGene00061910 transcript:CRE24391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24391 MFEKVKEVALPQGTVSPWPSNLAVCWLDTRNITYVAVIQSNNIHVGNWDNKAETEVSWDTISTSEPSTPNEKVIDVTAVGLVHPNARNFPVVIVGSARFINVYDVKKVSNKPLFSITLASALRDHVTAVGKDVGPYCRGISCNDNSILVGTHTGEIVVIVCNSDTNFTARKNLKEHRSAISDIATCRYDEVTASADSNGELIIWQKPVKGVGSKVLTKQPINVINVLRKQVIVGTLRGLIQYYSVTTGELMCEIDAHARPINSVSVAPESAYVLTSSEDGTFIVSKLHTRKPHAYQVEYRFSDADPYNIIMGAQFTNGRGSAIAVAAFDSNCLSMYKIVKKTSNAAAAPSTS >CRE24387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:81052:81444:1 gene:WBGene00061911 transcript:CRE24387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24387 MSHQQKLRGAATVIQMEQLAPITTPTPAPRTLFERPALLSDAHLTAPDAHLTAPDVADAPAPALVAPDAPAPVDDRAPGAPDAAPVDDRAPGAPDAGDEEVNENA >CRE24386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:76625:80539:1 gene:WBGene00061912 transcript:CRE24386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24386 MKDIDMLYREISAQIEEKPSLEDAQLSRKTRYFQRSNRPDSGSNSKKKPRYRSSTVSESSDDEMPTPKPKIRRETKEPDRKIVRIVLSDDDDEKPCSSQSDSDDDIEFLEEVRPVEKYTIKFRADPLAGRLPKWYHKRKAQRAAEDLILAEKRAVRRKLEAEEAEKEAELERKAEEEERIERRRLRDRLRHQKKREEAKREREASEGVTTSSEKKRIVKKTSEESEEPTRNLRKRAPKYQITDPSLDPSKISLDAPDKIPWAKPVKRCEGNDSPPPLPSTTVTTPCPSLPTSPNSEENAQNLLNDLDEMENVGVNTELILVDQTPPPNNPFDDINEPLFFEEDGDLIHIPDEEITDTFLSFMNDDQVAVEELDKYILPNVEND >CRE24456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:74394:75463:-1 gene:WBGene00061913 transcript:CRE24456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nud-1 description:CRE-NUD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFQ4] MSEYERFDSVFLSLAQQLSGGVPQLFDVLFEFLARKTDFYSGAGVDQARALLLEKFEKHGGKAMREAEEAKKRKEEQERKLAEKRAAQKAKEEEEFRNAAKVVEITDEEAAEFEKEQAKKTAVESTSEKKEKEDGEEEDSNLIKPNSGNGADLAKYQWTQTLQEVEVRIPINAGFAIKSRDVVVKIEKTSVTVGLKNQPPVVDGKLPNPIKVENCNWVIENGKAIVLTLEKINDMEWWNRFLDSDPPINTKEVKPENSKLSDLDGETRAMVEKMMYDQRQKEMGLPTSDEKKKNDMLAQFMKQHPEMDFSNAKIG >CRE24455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:73699:74277:-1 gene:WBGene00061914 transcript:CRE24455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dro-1 description:CRE-DRO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFQ3] MDEDNEIGLPQKGINQIIKEVVPEMRIANESRDMVNACCVEFVKHVAREAQKIASQDQRKTIYHEHVQKALQNLGFTSDYLEAADSVLDACKVEAEKKLRRKNSRLEKCGIPEEKLYEMQQELIAKARQQEMEQQLAHQQAQAAAVAAANAQMFGGESMEGAAAPTDDDYDN >CRE24454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:72068:72911:-1 gene:WBGene00061915 transcript:CRE24454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ife-1 description:CRE-IFE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MFQ1] MTDLEQEKPAAEPVLYPLKRHWTWWYLNDERAKSWEERLKKVYTFNTVPEFWALYDAIRPPSGLNAMCDYNVFRDGIQPMWEVPENSNGGRWLIVIDRTKTPEMVDAIWLEILMALVGEQFGKDMESICGLVCNVRAKGSKISVWTKDCNDDETNLRIGIVLKEKLMAASKDLPKPLFDVIRYEDHESCQKKTSSVVKAKLSLHSAETAENGM >CRE24453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:69477:71782:-1 gene:WBGene00061916 transcript:CRE24453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acaa-2 MAGKGVFIVGAKRTAFGTFGGKLKGLSATDLGVVASQGALKHANVGADAVDHVIFGNVVASSRDGIYLTRHIGLKAGVPQNVGALTVNRLCGSGFQAVVNAAQAIKLGEANIVLAGGTENMSMVPFAVRDIRFGTALGKKYEFEDMLWDSLSDPYAKLAMGQTAEKLGAQYKVTRQEADEFALRSQTLWKKAQEAGIYKNEIVGITVKGRKGEENFDVDEHPRPTTTESLAKLKPVFQKDGLVNAGNASGISDGAAALVVAGEDSVKAKGLKPLARVVAYSAVGCDPTIMGIGPAPAIREVLKQSGLKIGDIDIFEVENCWETQKIVVNEAFAPQALAVQRELGIPMDKLNVNGGAIALGHPLAASGARISTHIVHELQRRNVKYGIGSACIGGGQGIAILFEKI >CRE24452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:49847:61050:-1 gene:WBGene00061917 transcript:CRE24452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtm-6 description:CRE-MTM-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MFP8] MSSSTPSSVTTPLTTWWNNMTLSGIYPSISTSTPSTSTSSSWSSHFIFGGYGSNGGSAPSTANTPQSTVAPSALSSGYTEDITVAGSLLKDKINGLMDSLMGRESVHAGCGYGEDGRIAMMVDKVYLVDRLGTHENLVGTVHVTTTHIIFRAENGTKELWLATGLIASVERGTLTAAGCMLVIRCKHFQVITLLISRDKSCQDLYETLQRAAKPVSVNVTELLAFENREPVDDARGWKRLDWANEMARQGVSQSKWIQSPINEGYTICDTYPDKLWFPTAASTSVLLGSCKFRSRGRLPVLTYYHRQTEAALCRCAQPLTGFSARCVEDEKLMELVGKANPNSENLFLVDTRPRVNAMVNKVQGKGFEDERNYSNMRFHFFDIENIHVMRASQSRLLDAVTKCRDVAEYWKTLESSGWLKHVRSVVECSLFLAESISRGTSCVVHCSDGWDRTSQVVAMCQLLLDPYYRTIHGFQVLIEKDWLGFGHKFDDRCGHVGALNDEAGKEVSPIFTQWLDCIWQIMQQKPRAFQFNERYLIEMHEHVYSCQFGTFIGNCDKDRRDLNLAKRTKSLWTWMDARHDDYMNPFYSPTAHVALLDLDTRAARFTVWTAMYNRFDAGLQPRERLEDITMASMEHVGVLESHVAQLRTRLAELKGQQQQMTAATTPTNMVDSGMSSATDELKNLSLTSHPLDPLSPVSPPHPLERATSQESGVMDSSLYYPDEALTKYSLKWQPLRGADRCSNPACRGEFSSTIERRIHCHLCGMIFCRRCLKVTADERERVCDKCKAD >CRE24385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:42907:48820:1 gene:WBGene00061918 transcript:CRE24385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24385 MAQPPDRRLTYFTQHQRVAEWLQSITRPDGMQFLDMDGDSLLVFMKRLDRKTRSNLEQSCKILLSLSRSEQPHLQQLFLHFTTTWNHDQFTEITAQFSPEHTFHAVFMNKKKSPNFVHGGWSSDRMKNITSGTFPTEKLRLNATFVSRTSKYIEYWLKNFQIDTLKIKVENLIPGKENDIQQMNYIGPIPGLRLKKLIIHGSNNIRFINNWLSNLIPNIVDPSEPSRKTIDVEFIDVAKLDQIFHHPVMTSGKVNLTFHRVNTHFTRKTLNYINSPRVNITVRQVPSDTVNVFLKRWIRGEMVEDFQYLHITETTQWPRQEIQLRDAFKGIRYQKVDLKTDVPLRILQIHNDDKIEKEDSSINTSTSSSPSSPTPSSSSSSHPSRHLQIYQITGPRPAFCVHFNNQFIFEVPTPEQIQMLNVSPPMIQNTFNEYERQKNRIYMELFRKRLIRLFN >CRE24451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:25384:32341:-1 gene:WBGene00061919 transcript:CRE24451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24451 MKGMRCHIIIVIMILESCLAVGNSKSNLEKVYDKLATVTRITNAIVLQAEGLRRNLNVRDVIVETLGVSPREFSSLLDIDSDKLSSAMTDLMNRMKKLNYDVKEQEWKGLAEAGEWIQRLSDTKEAIQNVRDKFDGGYGNILIHHYFNFIYDKQKNVEMVENMSTDVLSNWNTLKETHVTDPDKAMTKWRAEIRNITLLLSKADDLNTLLKDFYLFTPTVSLVSEILDGLQWFNITHTSNLVVMRSMLEQTYGIWRNQYDSEKVGEPFNSFVEEALEIFKTIKRAELKWKIGFPDRNMSKVKEDLDSYWFRQKVANGSSVEELRKELSGFFEFGELVKSVEKSWKLFNTELYGSSARHVSFAIGQFKDYTGGPNGDALLRNTEYKFDRCNLDFSSSQSFDAAEITSNPVDRSQEYFVDLSREELVKRFMKELQMIQDNQTITAAEILDKQKFKAVHKMISNIEQAEVFLSYFLLRQNRFIDKFINKKDEVLKNTTNGISELLKFGECFDNWVMNTLKLEELKKMAEFFKDVEDIQKLPDASNVLDFIQAFVEMKTNVLKAESFFEDIKSSNESLVFKLEAPEELLIDLGRGMNVLSKIKEAFVMRDTLIASTNFSDELNNEIEERVEEWKDRKSMINKLIDELENLDKFSAGIRDEEVLAMRGILHEAANTVHGFPEMYSRIFEAFPLYGDKNCSTVVEELAKLDLDFSSHRADLQIASIGFEKIQKYFDEIFKPEERFLAREQSNYLVPISICIGIFLLILVCFAVMFGLTETGHKLIKNWYLSHFAKPEDFEKRWRYSYFLDGKNEKNSLIKAVHSKNICKAKDAVMDGAYINAYDVNGDTPLHVATKRGYQELVEMLIKNGADRTCLNAQNKTPEQIMNCAEHSKIYEKYRNKTFHPRLPQEFPPVLFRIHIDKSVDYVDTGAVKQFRERFHPITTSTVRSITTHWIVRTDNDGILEIDTIQMVYWITSGVIIVKHSWLEDCVNTEKLINKDCDYLVEKVKYNGVVYDTVIPWAQAMAKGEMPYLIGVLVCIFIPQHPDLNVILNIIQIHGGIFCMPNDLPEKSFFEAGVHPYLHAHLGPVFILHDGKINVDVYRADPEIYTLFTDVEFAAFVLMRGINVDTRGDPISIVRRYDFLNTI >CRE24382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:18505:23141:1 gene:WBGene00061920 transcript:CRE24382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24382 MRCHLIIVISLLVNFLAAGNSKSNLEEVYDKLSTITRVTNAIVLQAERVKRSVKARDVVAEILKVNPIDLSPILEFDPDKVIPVMTVLIEKLKKLKKEVTEKDWSDLAGARDWVNGLSDTHKAILNVRVKLDGRLGERKILNYFDFIYDKQKDVEMVKNMTDDVLSNWNTLNQTYVTDPRSAMTVWRAEMHYITSLITKISDLDTPLRSFYSFSSTLPLISQILDGLKWFDSSQKIILDAMQRIFAQTDGIWRDPKDNVEKVGESISHFSYECRSMFKNVNRPQIKWTIGFPDRNDMSKVKEDLDNDWFQLNVANGSGVEQLRKELSGFFEIGELMKAAENSWTEFETEHEKDEWSAGSMGISITDFEDYTGGPNGAAMLSDFQKYFERSQCKADFVNYYKWLPSEDIRERVTALSSNGDELQKILSTILQDELKKTLMEELKMIQDNTTITAAEILDDQKFKALHTTIGDMTQLKDILTAFLASHNLLLDQIRDKKDEVVEKSKKGSAQVLEFANCIHRSMRYDIRLERMTALKEMVEFLKNIESFLRRSKYSLLDELNALNYIQKFAEMRNNVLKMESFFENIKLNRSDNNTSMNLSNPEVTLQNLGKGMYVLCDMKKALALRNTLIASTNFSDDLNSVIEEKVEDWKDRKSKINTLIEELESLDKFSAGIRNERVLTMRKVLFEAANTVHGFPEMYSKIFGGIPWYNEENSSRIVKKLAELDLDFVSHRADLLATSLTIELILEYFDENFKPAEERYLAETKSYYIVPISICVAVFLLILICFAVMFGFTETGRKLLKNWYLSHFAKPEEFEKRWRYSYFLDRRDQKSSLIKAVHSDNIYDGRDAVMDGAYINVYDGEYVGGRRIERSRNSSFSAPSLVEMLIKNGADRTRLNAQNRTPEELIPPKSEDPKGFEMMSKVYKKYRKKKFRPRLPQEFPPVVFHIHIDKSMDYVDSGAVEQFRKRFQPSTTHLVCTTHWIVKTGCDGILEISTIEDVYWTTSGVIIVKHSWLEDCLNNERLLNKDCDYLVEKVKYNDVVYNTVIPWAQAMAKGEMPYLLGVLVCIFIPQHPDLKFILNIIQIHGGMFCMSNDLPEKSFFEAGVHPYLHAHLGPVFILHDGKINVDVYRADPEVYTLFTDVEFAAFVLMRGINVDTRKNPISIVTGIDMIY >CRE24381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:13186:16391:1 gene:WBGene00061921 transcript:CRE24381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24381 MSVFCFKSFFIFSSDKKKASWNSLEEKVQRQVIEYLTPKEKAAMSLTSKSNYAVVKSMPTEIYCLQFSPFRFSSKSEEIFVFFDEDTQNSFQFIRMDKDVRFVEKHGEVEVRDKVFKKREFREMADECFQGWLIGTRIRTLDIRGDYNGKLPTSLEVTNFSFSDKYNRPTTAIPAWLDIIVEGAVNFWLMSDHWDKILTHRQFSNPLSTCKLTGYDGIGHEYLDGVLCRQIHLNNIRDEGSFNSLVQKWVKGGFPVTFEWLEVTSVTRPLRTERLLGDIRVQGLDGRIFEEADKDIQEKDLVEYYLVFGDNDRYGVFCGIDNYARFSVINQSLVDQIPQLHVYLPPRVAVVPKLENYEVSRIVAVEEV >CRE24380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:10504:12082:1 gene:WBGene00061922 transcript:CRE24380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24380 MTGFPILRLPETVREQVLSCMDLVQLVTFSLASSKTKNAVKSLGINALKSDVYLCEQRISMTTHFLDTVTVTLRFVVHLVPDQNVRLRNTATINCIRITSNSTSDHYSWWNPELGMKEMVNHVNDIFGCVNTCEVQFRDREEEFDTKILRDTLPEGTGLFISRLCEGVFTQKILEHFLSSSRRVTLQCESLTDIQHVAIQNLDVLRLRSQQIPMTLDDFLVCNASYICTGRQTLSSKEINRFLKHWITGSNPRLKYINFGMENPDVTLILKNIPYQSVPEEVERALDKNITTYSWRSTYRYFHQTMTGGWDIRNKDGTNATVTAVQRNVELVVWD >CRE14970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:213:7748:1 gene:WBGene00061923 transcript:CRE14970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lis-1 description:Lissencephaly-1 homolog [Source:UniProtKB/TrEMBL;Acc:E3NEZ9] MYNFYQKPFSSNRAIAEYLQNNGYNESFNCLLKEASLSENDIKPLGGILEKKWTTVLRLQRKVNDLEAKLQESQREINHGAPTRDKRQAADWIPRPPETQKLIGHRLPVTRVIFHPLWTIMASCSEDATIKVWDYETGQLEKTLKGHTDAVNDIAIDAAGKQLVSCSSDLSIKLWDFGQTYDCLKSLKGHEHTVSSVTFLPTGDFVLSASRDHTIKQWDISTGYCVFTFRGHNDWVRMIRISNDGTLFASGSLDQTVSVWSFATKTAKLVLRDHEHAVECVEWAPDSAYTNITGQQPEGNSTHILFSGSRDRSIKAWNINTGDVIFTLLAHENWVRGLAFHPKGKYLVSVADDKTMRVWELSAQRCMKAIEAHEHFVSTVAFHQSNPYVITGSVDTSCKVWECR >CRE14971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:14783:15885:1 gene:WBGene00061924 transcript:CRE14971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14971 MSEFIRNDPIATRYAILYEFAKGKPIFETYQELCKTLKDNSFDYEEFEFWFMKFARKEFDVNYDRSFDPKCRSFSDLPVDIFNKLGDYLSLDDRYNLRTMSKNIQNNVDSWKPIVDDLFFEEEMSDQERLKYAYLLKNPKLNLYALEVYVDDKRSAELVVSVLKQVNHPVRVQWFIIRTAISEAATIISKLDSKSLECVFIRINDDSVNNMNELLQLEQIERLRDFVISTDLDSSKFPLQSFYNCSVFDICFSEVKHIKPIVSFIKKLLKRSTNLEKCRFCFDYSQNRKKIFKKLFSKLGSGHLETRRVSIPGTKDFYEVSYSDYDLNITRER >CRE14972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:16513:32599:1 gene:WBGene00061925 transcript:CRE14972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14972 MFNSQPLPQMSGQQTLQSCISSFTTFPHKNTYSSAAYNLLKTQIPTNTDVLLFQTRCRRRAKKKKNRVGLIAAEPIQKSQIVLEMNGQVALSEEFPAKHGKQGCFKYDGVSATSENGSKKLFLLDTNQNRNQEKDAMYTRRSCKPNCVLKHIISRHEPIGILILANQHIVCDEEITLPFDVDWLESRDPLPCVKHEKNMRECGLEMRRRMEEEKRQKIADELAEKRADPRYFMAGEFRRVQEIFRRRKTEEEESGEMATTSDAVVVATTATKTLAKQQRQTPPKPMIAWKPPSQPFQNQKKRPNHYSPTALTYLKTIPRLHKNLVLDPKSLKNAFRMYSKKDDLETLVTSETIDPSGILLEINGFISMANEVKRVPGDGTLIYGGLDKTQKLICIDTKTHNNDARVIRRSCFPNATIYHVTDDSELLRIVLVPTRVLKKGTEITLAFDFDSRHSEVPIECATHKNSMHLCSFENERKRHLEKKECKRRAAECGNSELEGTTPKRKMEETPESSESSERLLENLQKIAIDTPPGSKPTFKIPPQRLSRRNSFPVTNPTSSAMSGILKDLTPSESEKTPPIPILSPQKPTESTSFTKQESKEKLLPIGIENLAPESPPNDPGPGDVLTMDSESPTSSDSVKISVPSVPSETPTSSDASEAPTPSATPSEVSTIPILVAPSDIPTISDEIATEETENGDFESNDIAKTPPPPPSSSHRSADSTADDDDTDEISDEDENEAESSWEMRCHCCMPHECGNTIECDSCKTWQHMACMGLTEKSDVSNYLCEVCQPRKLPMNKTAAVKLQRQILKGLKASTSRKGDKKKKKKRRTGMVVQKTPECQKTKGNSEGVASLLNSLSTTSSAESLLKEARLHRKAKRSSDNDEILKATCDVKVGDVILEVSGYLSKPEEVKRKAGGVAGIFMYHGLAIGDSGDSEGVLCISTRKNGISANVRRSCAPNSALKHVVDAENQLKFIVIATEEIARGHEITLPFDADWRESRIPLKCARHLKNLGECPLKKERRRVAAERRKSDPEEKTMKRRRVESGEEGSSSSAKRFKIKPMPIRHSTPHPSILPPSLEQEDQIQQNQYSPKVVKILRTIPPFHLESPYLNASGYSQKARSDSDEILKTSCPVSPRKVIMEMTGFISTPSEVERGDGVFVYDGLMKEGIDKNSPDSLLCIDTKRGGNEAKLIRRSCAPNSILKHVVGTESIEILVVASEQMDEGTEVKLPFDVDFVERGEPLKCVEHEKNMSECPMEMRRISGRKRGGQDMRMDFENEVYLQSITLTTAHHENLTQQFQNPDFRVRNSKSGTKVSESENLTLPIQNPDFRI >CRE14979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:34197:38520:-1 gene:WBGene00061927 transcript:CRE14979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14979 MIAEPELIHLPNEILLQVFRRLPRHDIFFGVAHVNTRFRSLVHNNLKTVRLFDAHCHVNIVDNRPLTKYREDGTDPIITYSFTLFDRRGPEFTQKRRLCLRGNDTRYKELHVSHFNDSTMQAQFERAGNGHDITVSPTTAQTLLSYMNLQAIRIQVRKQCKPESIRIEDDFFADSLKFLEETCCRVEIKSLLLCSKNPLFSWQLGQKSLMKLSSLEGLQNLILENMTTFDPNFLKLPTKNLASLQFRLDPRHRMEFDQMTSSPITGDILKTLSNSSVARLDFSAYAEVSQIVSLISAVDMCCFIENWHFSPKPWLIKGISFNSTVTIDDFRVAVHQFLPKTFATPIPYCRFQTRHRKSMDMVLEFACYGNGVSFRVIKMGKQVKFSDRHSMDHPYRSSHLLKSFFSPNKRVFFLTVFILRGKCAPLNTVSMERVYNKIHRT >CRE14973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:48392:50062:1 gene:WBGene00061928 transcript:CRE14973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14973 description:Glucosamine-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:E3NF04] MKLIIEDDAAQVADFAARYVARKIAEATDKGKYFVLGLPTGSTPLGMYKKLIEFYKAGVISFEMVKTFNMDEYVDLPRDHPESYHSFMFDNFFRHIDIQPANIHILDGNTSDHEKECEEYEKKILEAGGIDLFIGGIGPDGHIAFNEPGSSLASRTRIKTLNEDTIQANARFFGGDLSKVPTQALTVGVQTVMDAREVMILITGSHKALALHQAIECGISHMCTVSAMQMHKCATFVADEDATLELKVKTVKYFKGLMTHHRKLVEF >CRE14974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:50730:51965:1 gene:WBGene00061929 transcript:CRE14974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-18 MTISLRLLVLCALSSTVFSQCDDNGVVYASGDKWIRNNHFLVTCRNGMIQTLKCVTDSGHLLDVGSKTFVEQGYEYTCSHEAEENLNTNSCPTFADFSDDIFKDRFAICCISRRFKGCVDVNGDIIKHGYFIIGNRSLKYCRLHANQRQARIEPKGCFNGTVNDDINDEDLHIKKYAVWREGDIEYRCGDDGVHIQRCFPKELKNKAVWAGTAWIQDDGQVKTCGKIN >CRE14980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:52059:55422:-1 gene:WBGene00061930 transcript:CRE14980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trf-1 description:CRE-TRF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NF06] MTADTQSVMFKGGLPADCTCPICEQALREPTRLNCDHHYCRQCFEKTDRAPECALCQTIIQPELCQQDRAKHKQILALPVVCTFESSGCPWTGQLGALHDHLSTCTFKSSLKCEKCGRQFAKADLERHREKCELNRAVCTYCNKTIRDSDLERHMKTCAEVIISCPFQCGLSDRPRHEIEAHRPLCPNVDNVCPFVPYGCTFAGGKESIQQHLSDEPVRHLMFLCDEITDLKGTYELMERDMSAFNDRQTRILAAADTCTEMFGPQLIWKIDKLQQRTNEAKSGADTTIFSNPFMSHRFGYKMMACACLFGDGSSAGKSISLYVLLLKGEFDPTLEWPFNRTIKISLLDQNPHPEDRVNITYVIDPRKLKANEKFLARPRGERNAAFGSQSFCSLAILQNYVKNDTIYVRIDVDRCETLPVSLKTRDEKERKQMMDAMRSKNPEVRVHHVRPVTGQSGPPADQRV >CRE14975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:59033:62220:1 gene:WBGene00061931 transcript:CRE14975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bli-5 description:CRE-BLI-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NF08] MKSSTSSLFILLMLTISTVSCEKCESNEECDVRWPDAICVRGRCRCPENTIRKKSPSREWVCLATNDATGNSGPPLTCPTPEGAGYQVMYRKDGEPVKCSSKKKPDTCPDGYECIQGLSILGALDGVCCPDRAKTCTHPIFDHPDDGYLSRWGFDGAQCIEFKWNPERPSSANNFKSRAHCEDYCIGSSTINGIINYQTNFHL >CRE14982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:62448:65309:-1 gene:WBGene00061932 transcript:CRE14982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cope-1 MADKLFSIRNYFFLGSYQSCIGEALKFQTKNEEEKQEKDVYLYRAYIAQGQAFIPLKEIPATTKSADLAAVRRYAEFRNNPAAKKKILAEIQEDVASKNIKSEIAAVLAATILNESNLSEDAFRAVSRFDGLEARASKVFTLIKMNKRKLAIGEVKKMNQIDEDATLSQLANALVTSFGAAGKVKDALYIYSEMADKYGRTTDLEMHQAVVSVLTQDYAAAEELLEAALERDSKDADVLINSLVAAQLNDKDDEVVERLISQLKHEHPNHPWVIDYTNKEDEFDRIVV >CRE14983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:65559:72831:-1 gene:WBGene00061933 transcript:CRE14983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exo-1 description:CRE-EXO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NF10] MGITGLLPFVKNACRKGNILELRGKSVAIDVSCLLHRGLTGCMDKIHMGEETKSYINYVDKYVKELLAMGCHVVMVFDGRPLPAKKGTNDDRREQREKRKEQAEMLLAKGKEREARDTYRLATSISTEIVEKTIEFFRKIPNVDIVVAPYEADAQLAYLMESKLVDAVITEDSDLIVFGCEMIYFKWQSATGECSVYEKCNLKKCFTGELGGDKFDFSKFRRICILSGCDYLQSGLPGVGLSTAAKFFSLTSIKDLRTVLRKVPSYLKNPKLKEHVTEEFIRSFARAENTFKHQIVFDPRERCHKPLTPYPTSGGDGGLDCDDLDVIDLESPTPSPAEISTKFVYAGTPSTQRIAIRLALGNPSDGNSIDDRFLLTQPIPDWSVWAMKYESKGTSIEKIRKKKDEEATQCGGAFKLDSPSVVRRVKVPKKAEIEEEDDIVKQFMADIEKEKQALLKKRKNAPQTDYNAENVLKKYTEEPPVKKTRVEKSLIVEDDFSELFDKIIEKKAENSSEKLEKPEKSPEIKPPPILRKSTSTPESARKFQKFQSPLLSHQNSAPENSKYFAKKTEILENDPPKRKNPFRCPAFVKPTSKIEEIAEIPKKTTILAALEDNVVETTTSYRFVGFKSAGLRRKSKN >CRE02456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:714066:717986:-1 gene:WBGene00061935 transcript:CRE02456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02456 MAAAPDAEFPLLQLPYLGQKLVVWTMSTSEKIRYSLLSENAKKLIQSLPRHVEYLEFFLDDLKIQIGCNLEDTIEMRLPERTDDGDVELSEEKPEKVKDFWKQKLAEAKPEFSLKEWVVHISDVLRCNKIKLFFQGGSGQYKMQSIQQLFQGMEIIGVGVQDTGYEHAREVFNEFPDVRELTFGSKSLRNDMIDALVSRDLDYFKCGRQFDIQALLKMNCKVIEISPADLSLGGVNQLLRSWSSMSTNRNLEVFSVLLFKTEFDESNYLEQIFVGVQYTVVPKESYREFRTSYVTHLWEFVDVIIGQFEIQRDDGVKARIQFLVG >CRE02455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:710445:713096:-1 gene:WBGene00061936 transcript:CRE02455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02455 MANSKFPLLKLPYLGQKHVVSIMTTSERIRYSLLSKNAEELIKTLRLKAAYLQLTFNDNIVTVHSSYEDRLEEWLIPTENEHVECLLSISPDIVKSIDEETKDINIVVKKPEFKTIRDWLDHISDALKCRKLKIIFDGLAYYKPPIEISDLLQGYNISSVSCTICNPPLIGDIMEAFPEVREWELEAHPFEGDQKERFLSRSLDFFQTLSRFHIGIENLLQMDCKVIRINELTAQQTNRFLRHWISSGTNRNLESLSAPFKEYEGYQQDLFNGIQYSAVPEERCRVSNVPNLSEFFPDVIVVQFEIRRQSDGRKATIQLEQIYDFIHFRIKSLPISDSLRTNLS >CRE02454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:707727:709623:-1 gene:WBGene00061937 transcript:CRE02454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02454 MADPLFPLLKLPYLGQKLVIKLMSIDEQLRYSTISQNTSLFLKNLHLKVDFITLRVTNEFISIIMNSSSHIYHHLAPASYSEKKHQKPRLFLDIPVTVFGGDESVLRKPEWSLRDWREYLVKTYNCKKFKLKVLSCDFYDIESVIALFKGLNVIGVEIQDSNQENDRKILKMFPPKQELELEHPPFEGFVLMNLNLDYLKLGYYIEVTVHNLMRMKTKNIEIRTCQMRTVELNNFIKKWIRMETNLELETLSLVLNKCRIDRNQVLNRIAHLVVEPTKIFTSEYPTTLWEELESPKEQYEIRREDGKKATIQWFEPRFGYGFKLIVSLE >CRE02374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:704957:706545:1 gene:WBGene00061938 transcript:CRE02374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02374 MTTPSFPLLKLPFLMHSLVIKLMTVNEQLYLSLIFKNAKKLVQCAPQQVTFLELHFQHKKIEAASDGMDHILFGGLESDVPSSVTTGEMVLSKHGYEMKDWVCHLTDVLKCKEIRLFFEYGSHLYPLNSLGHLLQGLTIIRITIQDTTDHYSRRILQMFPDARELLVFKNPMEQWDDQTHNTVLSRHLDFFRFGEEYKIGMDRLLRMNNCKVIEMCGCSKISVNDLNGFVKTWITRTTNQNLEILALVLAKNRVGNNYQNGILAGTPHSIIPRANHKMLTMPYASALWEDVPRIVGQYEVQRVDGKNATIQFDEVGGVARFKFVVGQ >CRE02373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:702210:704354:1 gene:WBGene00061939 transcript:CRE02373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02373 MTTFKFMKLPYLARRLAVKLMGTNEQINFSLISRNSKRLVQCVTKTPDYFEATIQGQIICLDTNLEDMKHLKLVFLPELRLGSCPVPTTPLVVKSAISCRGCELEKAEFSTRDWINHLVDIFHCKTLKLTFDQGSAYYNLVSIRDLFQGFDIIGLAIQDTYREHALKILWIFQPVLSIIHQLSIIRVPFELEDMEYIHGVVFQNYDYLKLAGPSDLGIEAVMDMNSKIIEMSNPEIDAFQLNLFVQEWMNITTSASMETLTILLQKQETVEFYEHVILDEIPHTVVPRDRFKKFRMPIDTNSWKKMDRIVGQYEIQGWEERKATIQLDVYGNEVRFKFVVGQ >CRE02452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:697103:699057:-1 gene:WBGene00061940 transcript:CRE02452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02452 MTTTPAFKFMKLPYLARRQVVSVMTTNEQINYSLLSRNSKCLVQCLNKKCDYFEATIQGQIICLDTNLEDMKHLKLVFLPELRLGSCPVPTTPLVVKSAISCRGCELEKAEFGTRDWIDHLVDIFHCKTLKLIFDQGSAYYDLVSIRDLFQGFDIIGLAIQDTNREHALKILRMFKSVRQLSIIRVQFERKDMEHMRGVVFQKYDYFKLAGSFNVDIGTIMNMDCAILEIWRSNMSTMDLNAFIRRWMAGNIRNLNLQTLTITILRANLPVNYQQQIFHEIRHSVVKKDRYKEFRMPIDTVLWKNVGEIVGQYEIEGENERKATIQLDVQGLDFRFKFVVGQ >CRE02372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:690203:693603:1 gene:WBGene00061941 transcript:CRE02372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02372 MTDTNKTDKDDGSRKRYPSLNPQVPFDAEISNNYLIAFGDFSHFFDEEDNSSRKSAGSNEETSEKSPTSPDSDSESNSESNKENEEGKGKEAIEVELETKKAMPLRRARDPANRTPLQDAPQICLSFGGCGFLGSYQFGAAKTIYDHGKELLKRVVRYSGCSSGSLVATMLIFNPEKIPEAVEEIYKMADEVNETSLGAMSPGFVIGERLRRVVERFVPDDVSKANDLLYVSVTRLKTWKNELISQFYSKSDLIDCLMASCYHPMYSSGLSGKAPVLRGEAYIDGGYSNILPEFLDKRTVSVTAFAGDADVCPVEKSALFNDWMFAFFNQNMKMTFSNVKRVSNALFPPPRGMLQKYYEAGAQDAEKLLKEAGMWND >CRE02451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:678881:686027:-1 gene:WBGene00061942 transcript:CRE02451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clp-4 description:CRE-CLP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MIU5] MANHAKLVDQEIYRSPVDDFELNYAEYMKDDEDEVTPDGKEVAVSKAPKTRANHGLGCRMKGLFDGFGGRDIKNIMRHIRRRHKHSGEALTNFMLSGDMEKVVDRHVRDFVHHFGRINPENGRIMGAIRGNDFFNFGGLHNNLGNTGKVYLDKMISGKCGKKRKIHKFKPVVQELDFGGKPVGPPGVPAAPAAPAAPVAPAAKPVAPKPTVTSPTSPDEHIYSTASDYGLDFETEREKCLRNKTLFEDPEFPATAASLYYRTPPRERIIWKRPGEIIANPQLITQGESRFDVKQGALGDCWFLAALANITLYDALFYRIVPPNQSFTENYAGIFHFQFWHYGKWVDVVVDDRLPTVNNKLYYLHSADNTEFWSALVEKAYAKLHGGYENLDGGTTAEALEDFTGGLTEYFDLRKSDKATVLAALVKGMEMGSLFGCSIDADANIKEAQLRNGLVCGHAYSITAIHSIQYYGQDLTLMRLRNPWGNEKVGDGHPDIRTDRQTDFQEWNGAWSDGSPEWSKIDEATKKQIDVQFARDGEFWMSFEDFFANFTQMEACNLTAEIFDEIAEMTGVNRATETSPEEEHQWHEVMEDGEWSSKKGTAGGCNNNPSTYPKNPQYSTYFSAPASSIEEDGNVTVIVAVLQKNRRELRSKGKDLLPIGVSIYSATGARSPLTAQFFAQNRPIARTTVFVNTREVTVRFRVLPGEYVIVPCTFDAYDDAEFLLRCYANGTLKSR >CRE02370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:651151:669398:1 gene:WBGene00061943 transcript:CRE02370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rimb-1 description:CRE-TAG-168 protein [Source:UniProtKB/TrEMBL;Acc:E3MIU2] MPHVCSEGKSRGSTIIRTLIWCRSRFLANEKNSANSDNAIQLSTFESICVPSFIKFPTGVQLQGLSPSTIYRVSVRTKHPKAVLEQRPVERCIDFKTLPKIGLPDPPTHVQVEIGPQPGTLLVSWQPVINQPKPPSRAAVHSYLIYADGKNIAQVPQATADHVVLRLSDLSDDPPIFITVRTKTKEGSVSSDSNVARVPRTQPTGVPPPPSVQQQLLGAIDPTTGLPLVDMTSSFPSYHHAQQGVSNCKKNTDFQIFPAMYIPMGSNTGTLTNAGMPPMTNGHVPHQTSLPSTAPYTAPARMVGATGLMSYQPTSYQNVQQSMQQSAPTSAPSGYATFDRSASLGAQPSSILLASGGAPRPSSVGQTQSTWQTKPAATAQMSQYYTFHPNFLHTEPSGADEPRPSVLEMENSYLMRHRQAADWANAPESRDARARIEAYSRGLARAGSADERTAHYGTTGPVIVGGPLVTTQRLQPRLIAPRLARVKSESGFGTRSEPDLRPPTLDSDECRWFVALFDYTAAMSPNPNAEFEELQFRKHQLIKVYGPQDIDGFYQGAIGNRVGLVPSNMVIEIASDDIGKRRTTGAPAPPPPTQPEPALRRQRWGSLKSRSYDYAGENRQHRPHHPNYISLDRRDDRERDREREGRYRRPGAMSSYEYRRLPDREDVPYPQRRRQPVIDPRDEGYDDEGYRDNRRIERDRLDRMDRDRPERYERTMDSRRHPMERRAPPDDRYPPYDPYSRGDKYRERPRMDEQQAPPPPPQNNYDYPPNSRYDQQQPGPSNRDQQMTQLSHQMGQMHMGQSQMGQMGQMGQSQGQMSQQVPIGIPSSSGIGGMGGAGMQMGQDYSNGAGAAPGQKMARMVAKFDYDSRQLSPNVDAEQVELSFRQGDIITVFGEMDEDGFYMGELNGLRGLVPSNFLQPTPLNNLLPSQPTEPMRKGVAFSDTQMIRKSAPIRQTSQTGSTGGPSTSLASAVASAAAASGGVNKPVAKKSTAGAGGSAAGKPLAKKTSDVGKSSAPNARKTSTAVKKADTGAKVSENESDTDFYLAIINHLLFLPAGESMPSVPDFFSIHFLSFMYIQDSFLVSRRK >CRE02369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:643936:648901:1 gene:WBGene00061944 transcript:CRE02369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02369 MQGSGPGPPPPPSSSNNSNHQNHQQPPYGSQMVPGPSQTPSTSFTNHLGPTTSTTVPPSSDTLLLQLRSRPNSRVFRALFQYLPLRDSPNDNPQLELSLQPGDVVLVKGEMDSDGFYCGEMLDGRQGLVPSNYVERVPDSVLLANARAPSPSFPLRIPPHYSQIQHDFSSPDHSTLPDTVCPYPPTDVTKVTVQEIKNSETPRIPCPRELIVEKKLSRSALVSWSPPEESFVAVSQYHVCVDGAVRAIVPGSYKCRALVEDIPLETSVNLSVRAVTEHGHSPDACCTIAIGNEAQVAPQQVRVWNVTPVSGCVRWYPSNSNAEHVVSLNAVKVGVCPPTVFQVSL >CRE02449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:625190:628745:-1 gene:WBGene00061945 transcript:CRE02449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02449 MMRSQSNIVYTQNQSIIGQRGGASVVVVQNGGQRTTQQLQPLRQTTFERLPTIQSNLEKFQQIQRQNQDKSRQNPHQITPTAQSTVPCILNTPVQLYHHNGHLTSSEEPSINGKSHNGGHGAMSSQCKGFMVQSADSYSTPVLQTTNYKGSGDGVSYSNPVSNSQTTYSTPAIQTTNYNSENATVGYNYSNPGPVYSNPGRQMGSPRRNELKNLPWRYPVGYIQQNRQNQPNPPQVLLVRSNPPQPPACRQIPYGKQVVSMESTPFLTDLRFC >CRE02368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:617130:621010:1 gene:WBGene00061946 transcript:CRE02368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pvf-1 description:CRE-PVF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIT9] MLNHLIFINFLVFSFWNSGDAQIPHEFQRMLKNAKSLESLLKDLHVIYNPELTNSHVQKKTDNRGAILPLKTGSMSTQRIVSIQNISNNDMDGYTLFHLQSMKDIKQGNDTCNLQSVCVPVPQLSDDPQVLMYPKCYEVKQCVGSCCSSVETCHPGTINLVKKHVAELLYIGNGRFMFNMTREITMEEHTSCSCFDCGSNVSVCAFKVQIPLTSFFSGNATWNAETCKCECNLKCEEGRVVHTPTCECVRKRNHGGGGGHSNRHHGHRHHGRSRPIETEEVEKIAKLQVGKVGGF >CRE02448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:607343:616494:-1 gene:WBGene00061947 transcript:CRE02448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02448 MAETGETEERRRAKTIPGIGLPAGSDYPNIGEIPTEQCQEVYWRKEVSSAFEMANNGYSFYRIPGTNSIFAKDLSGYWYKLNNETRCFEFLFEGFKDTTDYTRINLPPQAPTFVGFNFLHQAVFPMNDDGKPILPKDDKGKTIFPFYDGYPLFPVDDSGAICIPLGDDGKPVFPRIYEEYGAYVPCDVTGRVVLPMNEKGEPCYPRDEEGNVLMPFVISEDGTRKRAVYTDDDGTPILPFHNGEHALEVHEGEVLFQYEYQQKMMILAQFTAGKGVQTTNNQLMDEQFYQKHAAHYQNQMDLTTTSSEPSESLNTRKPPIETQKTANPAANLLLKQLSFMKKKQTPVLVAEKPSIPVERPPKPNREKERAERFIPEPIRRNRPHFRDDRSSDTVDHHRNSRRDDYRNPPPRDERRRERSRSLTWSPEDKKRRESSRDKRNREKKKRGESVEDEETQRRRDSKKRKRDRSPSSRSSSSDSDYDRHEKRHRKREKSRK >CRE02447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:596095:607149:-1 gene:WBGene00061948 transcript:CRE02447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02447 MPRGIVLTNGSPTAGTVYHMVLVFEHAYRTVRDFKLLPVDSHRTKTKLVPAMTLSGVKEVERFVEETLIYGDTLSWENLDMEGRPVEFIQDYNRVQPVCESRIFNGETQLLLHGIMSKNRAVLWMCDIWPDLEIPYHLRQDTHPNVTVHAWVTVQLLENQALKYELHSFHEAADAFQHLVKKAKWNIGEEGNLQTLYDPMSGKGPSSDSVTPMSDTLTGIHGVVISKKIVLDRDHPNICFHRVVIRDGDRFPSIGTVKSTIRKVDDLPGYFYSKLLNIYVDDPENILESWLLSPYSGSTLQVGITATEPSAKGNPRFVVAEIVDQKLEKIEKFRREGNAKLVNEPAVVIDEMGKIHVARLPKSNLRIPSDQVAFFPPGTKIRLTASLGPRRWKVEEVRVDQKEPTIRTVAVTIKNKREVTDIEEDDEEEMKPQIQYWFYVPATRGCKELPFLLQSTEFGLLQTPQIFKMRQNPHYFRFSNIWLTLNDCHPGEMMKPPAKFKFIYIGEDVNIPCKSVPAVVVHSTTPDYPDLSSDLEDDSIRTEDETLRREYAANEANVPAVFPAMRDDEFQRKLNETDGTDVAQMIRALERNPEWKTNPHKYINQQVSAHLSKCASCSVNEFSDTCHFTEYLSHALRNNPTISHFLNKNILSMVATRRYRE >CRE02367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:584429:587084:1 gene:WBGene00061949 transcript:CRE02367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smi-1 description:CRE-SMI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIT6] MTFSYFLSTKIEFLSINCRFSAPKMDQEACLGLLDDSEMDSEDVGDPSAPAMSAAAYFRQIKAERRVTPNVVRIQNPRDEKRSLSPEAKKKKSQWLESVGFQKDVKTETPTTLIPSEEWRTAKCREFGEFRQKMVDRIAKTTPLIIKDMKSPDEDKWHEILLEKCLPEFQNIAANFPNHTGTPPAVPMVLAIPKKHLSQLIEHLVEWSSEEGLSRPIREWIYALLLVIDLPLVQDVVSALRTLVKECKKLRAQLPVDRKSEAYEYSLFITIIGNFFGQKDLADN >CRE02366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:567421:583684:1 gene:WBGene00061950 transcript:CRE02366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttc-7 MSKLKGSRLEAEIDRVRADANWKRLGELLPSVKSKNSGLEDCYEMFQAEIVLETYLDQLGEIIRPSRDHVDKLSSAEQLLQTSLKEKSTNQNVKIEANILLAKVLYACVEFRKALQCISNSEMENGKTPFRTLRALRLVAEGYAIKGLCIESMEDPLPTSANRPHSNSTTSTTSTASSKDQKALYVFEKSAELAIFYINELEKSINSSQAKATVSGSLTAPTSTQTAQAGVKQQEKIGELLERIMERVAIIRAKDTAAKKQSSGTEGIEWYRKIITCLGDKYTGERLKQKLSRQFAELLIRATVPIEEKSMSEAMQTKAMNMKLYHGSHKTFFTPKSKIEEIILLLLINEVLSTRDVILSRADDLSNSRTLSLQNAKSSFNLLTLVLSTINQYQLLAQIYERAMKFANNDSFLWQQFALSAICCGRFSRAIRVFEQSILASTIASESLNSTKSDDVTTSSSTSSSANSTPRRQNPRPTSPDSDMFSALKLTNNPSCALSVISEYMMISQVLIERFGNYEPAIEYSAKAIELCAREGQLSFLKARCQLLHAIAYGFRAAEEPSWDLKKGQLAKTVQLIEECVGHDPHDYLSLYYAAYFHAISRDLESAKDRCSRSLALNGDQPGAIMLLALIFTAYGDLKGALELVINALTEFEHNYGLMVLRLHIETKFGRIEESLDTCTHLLDFWKKQPVQQQLAYSAGILDEERSQRTLNGTDLIGGSQKTGTPSLGRELSTPLASTPLIPSFPTSGVPVISAIPGNTSTVDLSIAESIVGTAASEAGAAPSTASDSVNNGIGDAWSKFRTQADVWMCLAELYIAEGRHADLTKVIEQAITMFPSSPQALYLKGRLLVSRSQKLSDDSLSSRIRGEAKSAYLSALALAPGHFPSMSALAKLYEEEGNQKMAEHMLREMVRVDPLNCEWWQQLGCSLMKRGDSERATECLTAASQLDRSTPLLPFSVVPMVFPANFR >CRE02365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:566893:567218:1 gene:WBGene00061951 transcript:CRE02365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddp-1 description:CRE-DDP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIT4] MDGADPQLARFLHQLQAETQRQKFTEQVHTLTGRCWDVCFSDYRPPSKMDGKTQTCIQNCVNRMIDASNFMVEHLSKMNGGSV >CRE02364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:566117:566599:1 gene:WBGene00061952 transcript:CRE02364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02364 MPTTSMIQSVPPTNTMGVNPFEVLAPGYRDIWLRLQSERLLLEERISAKEGELKNLMQFVHQPTPLLNFPNYLLPQSSNQ >CRE02363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:560452:565323:1 gene:WBGene00061953 transcript:CRE02363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-33 description:CRE-HLH-33 protein [Source:UniProtKB/TrEMBL;Acc:E3MIT2] MVFSLFYIVGSQFLNRFQPLVMPPRKPKGDRPPKPPRAKKETAVKRETRSRHEKKRREEMNEVLSEMAELLPEAVISSGKLKKVDQKPRNPDKCFIIGNSVEMIRNSDYKPGLLSEPLTVDVFKITNSFMIFMHDFIVAGIEGDHRCIFDVEKDRMLGRDIRCFLDFESASKLPFLDPNLLIHQLQMKSFMAKAMSCIIKNSQENPSESILICVPNELPRIVPPPQAPPTFVQLTPPKSPPPSKLEVERPILAALLRKKDPPKPTFLEISPMPPSTSSTFLSPTSSTCSTVPLTTVTTPRRVTVVPPKRKRIRSMNVIVESYPLDEKDEEEETPAVKRHKKRLVA >CRE02446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:549751:554962:-1 gene:WBGene00061955 transcript:CRE02446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02446 MLVFFSFFTKFFFGNRKKSIFPRFQMGNDHSKKKSHSESKNELETPENQSNPQLDEYFSRISDGKDEISAEKVIRIFDQDLGESLLNYFAGSKTEKYITRQQFQQKFTPLYGTSTDIYVKILQPVYHFIKVCSDSAGASAIQGDEQFIKNLVENMTCGKKGDEATQSIMSWRREYCEKFTQAVQNRVVSAVTGNKIPNPDYASDILTPLQMWFVQSSLPNFYFPGKPSETPGDGHWTPLYTSLQHGISTNRFETMVFDYRGPTVTIFRLKDGRVVVLATDQEWRHSGSRFGGPFTSFFEISPRIRRIDEANSIYCNLKIRTAAYGLSFNTSELKIGKDFDEVLDIEVWGCAGAGTLAEQQKLKNWQKQQAEKHKKVPLPGNWDDNPDKTLLEMAGFKFSNERAAMEMEAKRQEQIENNNASQSDKSQLSEK >CRE02350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:422507:424142:1 gene:WBGene00061956 transcript:CRE02350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02350 MSVTNYISEILYAKVFTNQHLLENILSYLSDDFRKNLDVRLVNKLINNTFLRQIRRNHRTMKIEYAYDVEHFETRSKDYIYINYRKINNQDVLPYFIFLNTAVGVKVEKITTRRLWMLEKKFILRLHDLIHSQLIGTNGTNIQSLINLEEICDGCEKCSSIAKKCIEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKGECFKELDKTIFSTISCDKLAIWVNESRSFPNEETRNHMHMPREVIDIILRKWNVKSIKLSMLYITNEKWCSVEWLQYDYFTRVRLNDPYSETKKSDLKFNHVEVSLSYSLDCVRGLGNLPPETEPPAGYDNFIPNIRRMFPTDRISMELPHWYFIACNNIEKKMSAILQVVTMEKQHNLSLDIKFFVKSGIVKMLNEETKREELLGIASGYIHQENRFHCFKKSSPFNAEHGPEVFLDNKWIGRRFQVKDTFNQFSFNLDVYIKEKELKEEFNEELLQEYPNSFVGHFFV >CRE02444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:528556:534308:-1 gene:WBGene00061957 transcript:CRE02444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02444 MVNSVISFVCLPIAYSMNEDNPDAIPQRSEYDHGAMAIEEPFHIFADNDGYMRVNPIQNSPQLYLFHGYIPPDIAARALQSDKEVRKKFYGSGYGYTSFCYLLLDPRILGSNIETVTFEKFVKSVFYVGKEILSKGFIPPGITARALHSDKEVRQNFYGPGFGYNCFCYMLLDPRILGTNIETVTFEKFVKSVFYVGKGTRTHGISNEEAFVREGSMIEAIKRKNLKNKKGCEFHGSTKSWDMNTKEEFGSYVLHKPLDHFRNARKELSRPPNEQNLTEKYRRIGDLWKAGFRIPKHEIAHGVSNEEAFVREASMIEALQVVNLTNRKIFSPEMNAVIRNGRFSRDSLARALRCENAVRNNFDPRGYMAFCYVLIDLRVSGVNIETLTFETFVKSIFYIGKGSNSRPLQHFIDARNEMDKAPIDQKMNKKLQTIVDIWSSGHGVPKLQFSHGVSDKAALIKEACMIDAVQVKNLTNQKKGEFHGFTRKWDPTTKTEYGAWLLDRAGSTLTIDGFTLIQRNGLPEILRPKKPRKN >CRE02443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:526559:528019:-1 gene:WBGene00061958 transcript:CRE02443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02443 MKIEYAYDVEHFETRLKDYIYINYRKINNQDVLPYFIFLNTVVGVKVEKITTRRLWMLEKKFKLRLHDLIHSQLIGTNGTHIQSLINLEEICDGCGKCFNIAKKCLEYGPLRFSTLKTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKKLDKTILSTISCDKLAIWINETRVLPDEGEGLEYDHRHMPREVIEIILRKWNVKSIKLSMLYITNEQMCSVEWLRYDYFTRVRLNDPYLETKQSDLKFNHVEVSLSYSLDCVRDLGNRQLIVNEPKGYDNFIPNIRRMFQTDKISMELPHWYFVPKIDIEKKMSTILQVVTMEQHQKLSLDIKFFVDSRIVKKFNEETNKEELLGIASGYVLQEKRLHCFKKSSPFNAEHGPEVFLDNKWIGRRFQVRNTVNQFNFNLDVYIKEKELEEGFDNELLHEFPNSFVGHFFA >CRE02442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:523480:525948:-1 gene:WBGene00061959 transcript:CRE02442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02442 MSVTNSVTEMLYAKVFTNQHLLENILSYLSDDFRKNLDVRLVNKSINNTFLRQIRRNHQTMKIEYVYNIKDTIIRPQDFVYINYRKINNQDVLPYFIFLNTVVGVKVRKITTRKLWMLQDKFKRRLHDLIHSQLIGSNGTHIQTVIGLEEACDGCEKCSNIAQKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILSTISCDKLAIWINESRVLPNEEPDSEYNHRHMPREVIDTILRKWNVKSIKLCMLHMTNEEMCSVEWQQYDYFTQVRLNDPYLKTKKSDLKFNHVEVSLSYSSYCVRDLGNRQLIGIQPRGYDNFIPNIRRMFPTDRITMDLSHWFAVPVVNIEKKMSTILQVVTMEQHLNLSLDIKFFVKSGIVKKLNEETKREELLGIASGYVLQENRLQCFKKSSPFNGNNGPEVFLDSKWIGRRFQVDHAENRFHFKLDVYIKEKELEEGLDKKLLQEYPDSFVGHFFA >CRE02441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:521268:522911:-1 gene:WBGene00061960 transcript:CRE02441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02441 MSAENSVSEILYAKVFTNQHLLENILSYLSDDFRKNLNVRLLNKRINNTFLRLIRRNHRKMKIEYAYDIEHFETRLKDYIYINYRKINNQDVLPYFIFLNTVVGVKVEKITTRRLWMLEKKFKRRLHDLIHSQLIGTNGTHIQSLINLEEICDGCVKCSNIAQKCLEYGPLRFSTLQTMIYSKNYKKLHVTDKLFENIAEYCISKSKNKDECFKELDKTILSTISCDKLAIWVNESRIFPEDGEGLEYDHRHMPREVIDIILRKWNVKSIKLSMLHITNEQMCSVEWLQYDYFTRVRLNDPYLGTKQSDLKFNHVEVSLSYSQGCVRGLGNLPPETNPPAAYDNFIPNIRRMFPTDRISMELSHWYFVPKIDIEKKMSTILQVVSMEQQHNLSLDIKFFVKSGIVKKLNEETKREELLGVASGYVHQEKRLHCFKKSSPFNAKHGPEVFIDNKWIGRRFQVRDTVHQFNFNLDVYIKEKELEKGFDKQLLQEYPNSFVKHFFA >CRE02440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:518939:520034:-1 gene:WBGene00061961 transcript:CRE02440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02440 MKIEFAYNVGHFETRLEDYIYINYRKINNQDVLPYFIFLNTVVGVKVEKITTRRLWLLEDKFKRRLHDLIHFQLIGTNGTHIQSLINLEEICDGCVNTLQTMNHSKNYKKLHVTDKLFENIAEYCISKSKNKEECFKELDNTILSIISCDELVIWINESRVLPDEGTDPKYDHRHMPREVIDIILRKWNVKSIKLSILHITNEEVCSVEWLRYDYFTRVRLNDPYLENKQSDLNFSHVEVSLSYSLYCVRDLGNRQLVVNEPKGYDNFIPNIRRMFPTDQISMELPHWYFIACNNIEKKMFSSI >CRE02439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:515510:517150:-1 gene:WBGene00061962 transcript:CRE02439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02439 MNSVTEMLYSKVFTNQHILENILSYLSDDFRKNLDIRLVNKSINNTFLRLIRKNHRTMKIEYAYDIESKTRTANCIYINYRKINIHDVLGYFIFLNTVVAVKVEKITTRRLWLLEDKFKQRLHDIIHSQLIGSNGTHIQTVIGLEEACDGCEKCCNIAKKCIEYGPLRFSTLQNMNYSKNYKKLHVTDKLFEVIAEYCISKSKNKEECFKELDKTILSTISCDKLVIWVNESRLLPNEETDPKYDHRHMPREVIEIILRKWSVKSIKLNILHYTSEPECSIEWLQYDYFTRVRLNDPYLETKHSDLKFSHVEVSLSFSQHCVKCLGNLPPETRPPAGYENFIPNIRRMFPTNQISMELSHWYFVPKKDIEKKMSTILQVVTMEQHQNLSLDIKFFVQSGIVKKLSRWTYREELLGIASGYVLQENRLHCFKKSSPFIDAHGPEVFLDNKWVGRRFQVKDTFNQFNFNLDVYIKEKELEDMFIKALLQEYPNSFVGHFYN >CRE02438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:507241:513729:-1 gene:WBGene00061963 transcript:CRE02438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02438 MIELERPLLAEHKFPEPKSYRLGETNSSPSRRNSSSGKLNDVSARFTKQQPIKDHPKKPKSRPLPPNLKPSTSSESHDFRESSESMDDAPESSTSQDFLDGILSEMRKIDKNQDGIKGKPEESTYQYAIFCIGDARILVRSISGYSMLELGEKKHSFLENLKKVTFEPRIEYLPNGGAMELGAEEWIWNYTKSVFKMSEFHLLDRTSYKIDHVLQIDGISMRIDKQKPPPDALGILSYRSVMMKHDCQKSSDRLKDEDFFHGFCRDVRLQWQIVQGRAPQVLLDKDSPTSQFKFRSAEFIHVAEFCKISYSCHQFMPSIGRFLAGCTYMVLHQWGQFWIPDPWFNSQSFNSLSFFWRWSWVTLWFRLTMYKYCAMWLITEGASILSGLGHNGKDQDGNDRFKTNKKRFKNKKRFKKF >CRE02436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:499934:506721:-1 gene:WBGene00061964 transcript:CRE02436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02436 MNSIRTIHTAINFEIARQFEILSNNNGKVIRETRGVDSEGRTVPMREKDAEDTDYRFMIEPNLPILKIRKEWMEGAERELETQGIADFEWLRDRCGFDPRSAIHIAVRDGWDGVRDLHIIKWETGHDYNSVVESFNCGTNTFAKKHRSGHRALFPGGSAVRDVSEMGDPVMMIIMRRYPLAVVHRTFFYNKPAQQQHLFFVVVGICLWYFNCGSSVIHALASVIGAYLITSQLRGTDASIYAAHIFFLGYLLFGYWHHRCSARNLCRGERPPGELESDFH >CRE05908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:381519:391215:-1 gene:WBGene00061965 transcript:CRE05908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05908 MGVIGALSEATSASEDALRLLLSVLAGYPLAVVHRTFFYNKPAQQQHLFFVIVGICLWYFNCGSSVIHALASVIGAYLITSQLRGTYASIYAAHIFFLGYLLFGYWHHETDTYDITWTTPYCIMTLRYIGLVMDVYDGAQKAEHLKPDQKLTAITDKPGLLEIAAYGLFFQGTLVGPQFTLSKFRSFVNGDWLDSEGKPPKSAFMPSIGRFLAGCTYMVLHQWGQFWIPDPWFNSQSFNSLSFFWRWSWVTLWFRLTMYKYCAMWLITEGASILSGLGHNGKDQDGNDRWDGVRDLHIIKWETGHDYNSVVESFNCGTNTFAKNHIHRRLRWLNNKLASHVITLTYLAIWHGYHLGYFLLFGIELGCVQAQNQLYALIKRTPGWTEAISQPIARPFIWLFGKITISYSMGFAFLMFGLIKTKYWIGPVKSLYFIGFIIYFIVWPILHIVLLRVLPRHPKKVEDVKSTTEEKKEL >CRE02435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:497818:499504:-1 gene:WBGene00061966 transcript:CRE02435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02435 MSVTNSVTEILYAKVFTNQHLLENILSYLSDDFRKNLDIRLVNKSINNTFLRLIIRNHQTMKIEYAYDIESKTRTANCIYINYRKINIHDVLGYFIFLNTVVGVKVEKITTRRLWLLEDIFKRRLHDLIHSQLIGSNGTHIQTVIGLEEACDGCEKCCNIAKKCIEYGPLRFSTLQNMNYSKNYKKLHVTDKLFEVIAEYCISKSKNKEECFKELDKTILSTISCDKLVIWVNESRFLPNEGTDPKYDHRHMPREVIEIILRKWSVKSIKLNILHYTSEPECIIEWLRYDYFTRVRLNDPYVETKHSDLKFSHVEVSLSYSLDCVKGLGNLPAETNPPAGYENFIPNIRRMFPTDQISMELTHWYFIAEIDIEKKMSTILQVVTMEQHQNLSLDIKFFVNIGIVKKLNEETNREELLGVASGYVLQETRLHCFKKSSPFIDGQGPDAFNAVKWIGRRFQVRNTVNRFNFNLDVYIKEKELEEGFDRELLQEYSNSFVGHFFA >CRE02433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:489834:494003:-1 gene:WBGene00061967 transcript:CRE02433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gip-1 description:CRE-GIP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIR3] MGHHHHPNSAPFQQFLDAFGLDSIMSVDEVSQRLLNQRQLIDIDGSRDIVEQFIKKCEYQTEMSKKMGRKCAPNNGYSTTSTTPTHHLRGMIPSSRLREESPGGSAQQYQRTNSYGQNLNIQMRQTTPTFGNHQIPVTSSVRAQINSKSNTPLHSRLGNAIIKLGFFSIFQQSFRPASALAAALEACTSTHQDSDETEEIVVQIGCDDFTSKPRNCVKGTPDR >CRE02354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:471868:473509:1 gene:WBGene00061968 transcript:CRE02354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02354 MSVTNAVLEILHSKVFTNEHILENILSYLCDDFKKNLNVRLVNKSINNTFLRLIRRNHRTMKIEYAYDVEHVKTREKDYIYINYRKTNTHDVLGYFIFLNTVVGVKVEKITTRRLWMLENKFMLRLHDLIHSQLIGTNGTHIQSLINLEEICNGCEKCSNIAKKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDNTILSNISCDKLAIWVNESKVLPDEDTDPMFDHRHMPREVIDIILRKWNVKSLKLSMLHITNEQMCCIEWLRYDYFIRVRLNDPYLKTKHSDLKFDHVEVSLSYSLDCVRGLGNLPLETNPPAGYNNFIPNIRRMFPTDQISMELPHWYFVPRIDIEKKMSTILQVVTMEQHQNLSLDIKFFVNIGIVKMLNEETNKEELLGIASGYVLQEKRLHCFKKSSPFNAEHGPEVFLDNKWMGRRFQVEHAENRFNFNLDVYIKEKELERGFNKKLLQKYPNSFVGHFFA >CRE02353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:468097:470665:1 gene:WBGene00061969 transcript:CRE02353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02353 MDEHDDESQGNSSGNRGQKRGRSNEEDDRQAAQTKRVKEAEEAEDVEDGEGGNEGAGAPLAAEEGGEGGNEGAGAPLAAEEGGGGGNDGGGAPLAAEEGGEGGNEGAGAPLAAEEGGEGGNEGAGAPLAAEEGGGGGNDGGGAPLAAEEGGEGGNEGAGAPLAAEEGGGGGNDGGGAPLAAEEGGEGGNEGAGAPLAAEEGGEGGNEGAGAPLAAEEGGGGGNDGGGAPLAAEEGGEGGNEGAGAPLAAEEGGEGGNEGAGAPLAAEEGGGGGNDGGGAPLAAEEGGEGGNEGAGAPLAAEEGGEGGNEGAGAPLAAEEGGEGGNEGAGAPLAGEEVEMPFSIYADIQGYVRVAPPGGLEEFGLNEDGFLYLAVPPIENVEVIGDDQWEPVEMEQIEEMEENVDEPEQEVLENDDSATEDEN >CRE02352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:466046:467486:1 gene:WBGene00061970 transcript:CRE02352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02352 MKIEYVCERKEREKTSKDFIYINYRKVKTQDVLGYFIFLNTAVGAKIEKITTRRLWMLKNKFMIRLHDLIHSQLIGSNGTHIQSLIGLEEICNGCEKCFNIAKKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFQELDNTIFPVISCDTLSIWINETRVFPDGMEGLEYDHRHMPREVIDVILRKWSVKSIQLNMIYFTNEGMCSVDWLQYDYFTRVRLNDPYFKTQKSPDLKFTNVDVEMSDSIYCVRDLGVRYPKYKSPRGYANFIPNIRRLFPTDKISMDLSHWCCIANDDIEKKMSTILYVVSMEQPQNLSVDIKFFVESAIVKKLNEETKKEELFGIAPKYNFRKHCLQCYKKSLPFEVKLGPDAFNSEKWIGRRFQVEDTFNQFNFNLDVYIKEKDLEDELDKEMLQKYPNSFVGHFYN >CRE02431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:461087:462700:-1 gene:WBGene00061971 transcript:CRE02431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02431 MSVMNSVTEILYSKVFTNQNLLENILSYLSDDFKKNLDVRLVNKSINNTFLRLIRRNHRKMKIEYVCERKEREKTSKDFIYINYRKVKTQDVLGYFIFLNTAVGAKIEKITTRRLWMLKNEFMLRLHDLIHSQLIGCNGTHIESLIGLEEACDGCVKCSNIAKKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILPVISCDTLSIWINETRVFPDEVEGLEYDHRHMPREVIDMILRKWSVKSIQLNMIYFTNEGMCSVDWLQYDYFTRVRLNDPYFKTQKSPDLKFTNVDVEMSDSIYCVRDLGVRYPKYKSPRGYDNFIPNIRRLFPTDKISMDLSHWCCIANDDIEKKMSTILYVVSMEQPQNLIVDIKFFVESAIVKKLNEETKKEELFGIAPKYNFRKHCLQCYKKSLPFEVKLGPDAFDSEKWIGRRFQVEDTFNQFNFNLDVYIKEKELEDVFNEKLLQEYPNSFVGLFYN >CRE02428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:451426:453066:-1 gene:WBGene00061972 transcript:CRE02428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02428 MPVTNSVIKNLYAKVFTNQHLLENILSYLSDDFKKNLDVRLVNKSINNTFLRLIRRNHQTMKIEYAYDVEHFETRLKDYIYINYRKINNQDVLPYFIFLNTVVGVKVEKITTRRLWMLEKKFKLRLHDLIHSQLIGTNGTHIQSLINLEEICDGCGKCFNIAKKCLEYGPLRISTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILPVISCDTLSIWINETRVFPDGMEGLEYDHRHMPREVIDMILRKWNVKSLKLSMLHITNEQMCSVEWLQYDYFTRVRLNDPYLETKQSDLKFSHVEVSLSYSLDCVRDLGNRQLIVNEPKGYDNFIPNIRRMFPTNQISMELPHWYFVPKIDIEKKMSTILQVVTMEQQHNLSLDIKFFVESGIVKKLNEETNREELLGIASGYVLQENRLHCFKKSSPFNAEHGPEVFLDNKWIGRRFQVRNTVNQFNFNLDVYIKEKELEEGFDNELLHEFPNSFVGHFFA >CRE02427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:449042:450695:-1 gene:WBGene00061973 transcript:CRE02427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02427 MSVMNSIPEILYSKVFTNQHLLEHILSYLSDDFRKNLDVRLVNKSINNTFLMLIRRNHQKMKIEYAFDVEDRILQPKDYIYINYRKINHQNVLPYFIFLNTVVGVKVRKITTRRLWLLEDKFKKRLHDIIHSQLIGSNGTNIQTVIGLEEACDGCVKCSNIAQKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDTTILSTISCDKLAIWINENRVIPNKGTDPKFDHRHMPREVIDIILRKWNVKSLKLCMLHMTKEHMCNVKWMQYDYFTRVRLNDPYLKTKQSDLKFSHVEVSLSYSSNCVRDLGNRQLIVNQPKGYDNFIPNIRRMFPTDKITMDLSHWYDIARTDIEKKMSTILQVVTMEQHQNLSLDIKFFVESGIVKKLNEETNKEDLLGIAPGYVLQENRLHCFKKSSPFNGNNGPEVFLDNKWIGRRFQVDHAENRFHFNLDVYIKEKELEEGLDKKLLQEYPDSFVGHFYA >CRE02426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:446876:448473:-1 gene:WBGene00061974 transcript:CRE02426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02426 MSVTNSVPEILYSKVFTNQHLLENILSYLSDDFKKNLNVRLVNKSITNTFLRLIRRNHQTMKIEFAYNVAHFETRLEDYIYINYRKINNQDVLPYFIFLNTVVGVKVEKITTRRLWMLEKKFKRRLHDLIHSQLIGTNGEHIQSLINLEEICDGCVKCSNIKLHVTDKLFEDIAEYCISKSKNKEECFKELDETILSTISCDKLAIWISESRVLPDEDSDPNHDHHHMPREVIDIILRKWNVKSIKLNILHITNEEVCSVEWLRYDYFTRVRFNDPYLKTKQSDLKFNHVEVSLSYSLYCVRDLGNRQLVVNKPKGYDNLIPNIRRMFPTDQISMELPHWYFIACNNIEKKMSSILQVVTMEQHQNLSLDIKFFVKSGIVKKLNVETKREELLGVASGYVHQEKRLHCFKKSSPFNAEHGPKVFLDNKWVGRRFQVRDTVHQFNFNLDVYIKEKELEKGFNKEQLQEYPDSFVKHFFA >CRE02425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:444494:446135:-1 gene:WBGene00061975 transcript:CRE02425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02425 MSVENSVTEILCARVFTNQHILENILSYLSDDFRKNLDVRLVNRSINNTFLRLIRRNHQKMKIEYAYDVEHYETRSKDYIYINYRKINNQDVLPYFIFLNTAVGVKVEKITTRRLWMLEKKFILRLHDLIHSLLIGTNGTHIQSLINLEETCDGCEKCSNIAQKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEVIAEYCISKSKNKEECLKELDTTILSTISCDKLAIWINESRVLPDEDTDPMFDHRHMPREVIDIILRKWSVKSIKLSQLHFTNEEVCSVEWLQYDYFTRVRLNDPYSETKQSDLKFNHVEVSLSYSIDCVKGLGNLPSETEPPDGYDNFIPNIRRMFPTDQISMELPHWYFVPKIDIEKKMSTILEVVTIEQQQNLSLDIKFFVESGIVKKLNEETNRVELLGIASGYVLQEKRLHCFKKSSPFNAEHGPEVFLDNKWIGRRFQVRDTFNQFSFNLDVYIKEKQLEEKFDKELLQEYPNSFVGHFFA >CRE02424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:442110:443763:-1 gene:WBGene00061976 transcript:CRE02424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02424 MSVMNSIPEILYSKVFTNQHLLEHILSYLSDDFKKNLDVRLVNKSINNTFLRLIRKNHQKMKIEYAFDVEDRILQPKDYIYINYRKINHQNVLPYFIFLNTVVGVKVRKITTRRLWMLEDKFKKRLHDIIHSQLIGSNGTNIQTVIGLEEACDGCVKCSNIAQKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDTTILSTISCDKLAIWINENRVIPNEGTDPKFDHRHMPREVIDIILRKWNVKSLKLCMLHMTKEHMCSVEWLQYDYFTRVRLNDPYLKTNQSDLKFSHVKVSLSYSSNCVRDLGNRQLIVNQPKGYDNFIPNIRRMFPTDKIIMDLSHWYAIANKDIEKKMSTILQVVTLEQHQNLSLDIKFFVQSGIVKKLNRWTYREELLGIASGYVLQENRLHCFKKSSPFIDAQGPEVFLDNKWVGRRFQVKDTVNQFNFNLDVYIKEKELEEEFNEQLLHIYPNSLVGHFFA >CRE02423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:439894:441549:-1 gene:WBGene00061977 transcript:CRE02423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02423 MSAKKNSVTEILYAKVFTNQHLLENILSYLSNDFRKNLHVRLVNKSINNTFLRLIRRNHRKMKIEYAYDIEHFETRLKDYIYINYRKINNQDVLPYFIFLNTVVGVKVEKITTRRLWMLEDKFKRRLHDLIHFQLIGSNGTNIQTVIGLEEICDGCEKCFNIAKKCIEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILSTISCDKLAIWVNESRFLPNEETDPKYDHRHMPREVIDTILRKWSVKSIKLSQLHFTNEEVCSVEWLQYDYFTRVRLNGSFSETKQSDLKFNHVEVSLSYSIDCVKGLGNLPSETEPPDGYDNFIPNIRRMFPTDQISMELPHWYFVPKIDIEKKMSTILEVVTIEQQQNLSLDIKFFVQSGIVQKLNEETNKEELLGVVPGYVLQEKRLHCFKKSSPFNAEHGPEVFLDNKWIGRRFQVRDTFNQFSFNLDVYIKEKELEEKFDKELLQEYPNSFVGHFFA >CRE02422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:435174:439313:-1 gene:WBGene00061978 transcript:CRE02422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02422 MLYAKVFTNQHLLENILSYLSDDFRKNLDVRLVNKSINNTFLRLIRRNHRTMKIEYVYNIKDTIIRPQDFVYINYRKINNQDVLPYFIFLNTVVGVKVRKITTRKLWMLEDKFKRRLHDLIHSQLIGSNGTHIQTVIGLEEACDGCEKCSNIAQKCLEYGPLRFSTLQTMTYSNNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILSTISCDKLAIWINESRVLPNEEPDSEYNHRHMPREVIDTILRKWNVKSIKLCMLHMTNEEMCSVEWQQYDYFTRVRLNDPYLKTKKSDLKFNHVEVSLSYSSYCVRDLGNRQLIGIQPRGYDNFIPNIRRMFPTDRITMDLSHWFAVPVVNIEKKMSTILQVVTMEQHQNLSLDIKFFVESGIVKILNEETNREELLGIAPGYVLQENRFHCFKKSSPFNGNNGPEVFLDNKWIGRRFQVDHAENRFHFKLDVYIEEKELEERLDKKLLQEYPDSFVGHFYA >CRE02421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:433223:434853:-1 gene:WBGene00061979 transcript:CRE02421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02421 MSAENSVSEILYAKVFTNQHLLENILSYISDDFRKNLNVRLLNKRINNTFLRQIRRNHQKMKIEYAYDIEHFETRLKDYIYINYRKINNQDVLPYFIFLNTVVGVKAEKITTRRLWMLEKKFKRRLHDLIHSQLIGTNEICDGCVKCSNIAQKCLEYGPLRFSTLQTMIYSKNYKKLHVTDKLFENIAEYCISKSKNKDECFKELDKTILSTISCDKLAIWVNESRIFPEDGEGLEYDHRHMPREVIDIILRKWNVKSIKLSMLHITNEQMCSVEWLQYNYFTRVRLNDPYLGTKQSDLKFNHVEVSLSYSQGCVRGLGNLPPETNPPAGYDNFIPNIRRMFPTDQISMELPHWYFVPKIDIEKKMSTILQVVSMEQQHNLSLDIKFFVKSGIVKKLNEETKREELLGVASGYVHQEKRLHCFKKSSLSMQTLRFQVRDTVPHSNLKFGCIYQRRGSGKRDWKTTVTGYPNFFVNTFLHELFNLNYE >CRE02419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:430667:431798:-1 gene:WBGene00061980 transcript:CRE02419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02419 MGDVMRLRYGQSLRNMNIPYNRKMKIEFAYNVGHFETRLEDYIYINYRKINNQDVLPYFIFLNTVVGVKVEKITTRRLWMLEKKFKRRLHDLIHFQLIGTNGTHIQSLINLEEICDGCVKCSNIAQKCLEYGPLRFSTLQTMIYSKNYKKLHVTDKLFENIAEYCISKSKSKEECFKELDKTILSTISCDELAIWICESRVLPDELTDPKFDHRHMPREVIDIILRKWNVKSIKLSILHITNEEVCSVEWLRYNYFTRVRLNDPYLEKKQSDLKFSHVEVSLSYSLYCVRDLGNRQLVVNEPKGYDNFIPNIRRMFPTDQISMELPHWYFILG >CRE02418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:425930:427570:-1 gene:WBGene00061981 transcript:CRE02418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02418 MNSVTEMLYSKVFTNQHILENILSYLSDDFRKNLDVRLVNKSINNTFLRLIRKNHRTMKIEYAYDIESKTRTANCIYINYRKINIHDVLGYFIFLNTVVGVKVEKITTRRLWLLEDKFKQRLHDLIHSQLIGSNGTNIQTVIGLEEACDGCEKCCNIAKKCIEYGPLRFSTLQNMNYSKNYKKLHVTDKLFEVIAEYCISKSKNKEECFKELDKTILSTISCDKLVIWVNESRLLPNEDTDPKYDHRHMPREVIEIILRKWSVKSIKLNILHYTSEPKCSIEWLQYDYFTRVRLNDPYLETKHSDLKFSHVEVSLSFSQHCVKGLGNLPPETRPPAGYENFIPNIRRMFPTNQISMELSHWYFVPKKDIEKKMSTILQVVTMEQHQNLSLDIKFFVESGIVKKLSRWTYREELLGIASGYVLQENRLHCFKKSSPFIDAQGPEVFLDNKWVGRRFQVKDTFNQFNFNLDVYIKEKELEDMFIKALLQEYPNSFVGHFYN >CRE02409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:398370:400012:-1 gene:WBGene00061983 transcript:CRE02409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02409 MSAENSVTEIVYAKVFTNQHLLENILSYLSDDFRKNLDVRLVNKSINNTFLRLIRRNHRTMKIEYAYDIEHFETRLKDYIYINYRKIKDQFVLPYFIFLNTVVGVKVEKITTRRLWMLEKKFKRRLHDLIHSQLIGTNGTHIQSLINLEDICDGCEKCSNIAKKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEVIAEYCISKSKNKEECFKELDKTILSTISCDKLAIRINESRIFPEDEEGLEYDHRHMPREVIDIILRKWNVKSIKLSMLHITNEQMCSVEWLQYDYFNRVRLNDPYLETKQSDLKFNHVEVSLSYSLDCVRDLGNRQLVVNEPKGYDNFIPNIRRMFPTDRITMELPHWYFIAEIDIEKKMSTILEVVTMEQQHNLSLDIKFFVNIGIVKKLNEGTNREELLGVASGYVHQENRLHCFKKSSPFNAEHGPEVFLDNRWIGSRFQVRDTVNQFNFNLDVYIKEKELEKGFDKEQLQEYPNSFVGHFFA >CRE02408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:395944:397597:-1 gene:WBGene00061984 transcript:CRE02408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02408 MSVMNSIPEILYSKVFTTQHLLENILSYLSNDFRKNLDVRLVNKSINITFLLLIRRNHRKMKIEYAFDVEDRILQPKDYIYINYRKINHQNVLPYFIFLNTVVGVKVQKITTRKLWLLEDKFKKRLHDIIHSQLIGSNGTNIQTVIGLEEACDGCVKCSNIAQKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISMSKNKEECFKELDTTILSTISCDKLAIWINESRVLPNEDTDPKYDHRHMPREVIDIILRKWNVKSLKLCMLHMTKELMCNVKWMQYDYFTRVRLNDPYLKTKQSDLKFSHVEVSLSYSSNCVRDLGNRQLIVNQPKGYDNFIPNIRRMFPTDKITMDLSHWYDIARTDIEKKMSTILQVVTMEQHQNLSLDIKFFVESGIVKKLNEETNKEDLLGIAPGYVLQENRLHCFKKSSPFNGNNGPEVFLDNKWIGRRFQVDHAENRFHFKLDVYIKEKELEERLDKKLLQEYPDSFVGHFYA >CRE02413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:411388:413001:-1 gene:WBGene00061985 transcript:CRE02413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02413 MSLTNSVPEILYAKVFANQHLLENILSYLSDDFRKNLDVRLVNKSINNTFLRLIRRNHQKMKIEYVCERKEQEKTSKDFIYINYRKVKTQDVLGYFIFLNTAVGAIIEKITTRRLWMLKNKFMLRLHDLIHSQLIGSNGTHIQSLIGLEEICNGCEKCFNIAKKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYLISCDTLSIWINETRVFPDGMEGLEYDHRHMPREVIDMILRKWSVKSIQLNMIYFTNEGMCSVDWLQYDYFTPVRLNDPYFKTQKSPDLKFTHVDVEMSDSIYCVRDLGVRYPKSESPRGYANFIPNIRRLFPTDKISMDLSHWCCIANDDIEKKMSTILYVVSMEQPQNLSVDMKFFVESAIVKKLNEETKKEELFGIAPKYNFRKHRLQCYKKSLPFEVKLGPDAFDSEKWIGRRFQVEDTFNRFILNLDVYIKEKELEDVFIKELLQEYPNSFVGHFYN >CRE02412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:405380:410631:-1 gene:WBGene00061986 transcript:CRE02412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02412 MNEENQDALPPGLEQVQDVPLEQVERAERDEDVPLEQVEDDQGALLIEEPFYLFTDDDGYVRVNPIGGRDIFNINNEGFVYLELPMADTTTGDQIKQLTEQINALVGVVSTLAKNQAQFQNSGNTETSTTTTNDTKIFDSICARIPMFGYDAEEEKTFDNWYTRYEEVIIKDGASLAEDLKTRIVLSKLGQKDYALYTNRCLPKLPNEISYTDTIKNLKALFKSTTSIFRKRQEFLRTEFGGGSLEEYTGTVLRRFATSEFKKMTDEQTCAMVWIAGMRDPSYQDIRARALQVLEQKPNLTLLELESDVKRLLDIRADARAVGGSVAATSDVNAVQKFQKSKKNTPEKKDSKQPPSACYRCGGNHWVRDCEHKKVTCSYCKKPGHLEKCCRNKNRENKSPKVKTVYIGAASIDGAERIYRQVQINGHCVKMLLDTGADITLLSQNDWTALGRPKLEKPTIKVKSATHEPVKIFGSLHCKYMMNGRQESGVAFVSNTDTLLGRDWISKDKELWKFLQNSEKINRVSVTEPACNYLGGTRERLIEAIGTKYKEIMKPGLGKCTKTKATLTLKPNARPIFRKARPVTYSALPMVSTEIERLNQTGVISPVDHSEWAAPVVAVKKKNGSIRLCADFSTGLNDAIESNNHPLPTSDDIFAKLNGGNFFTQIDLAEAYLQVEMDPDSQKLLVINTHLGLFTYNRLPFGVKSAPGIFQQIMDTMLNGLEGVFTYLDDIIICGSTIEEHNERVLKVFGRIQEYGFRIKMEKCSFLMEEIKFLGFIINKQGRRPDPEKVLHIKNMPEPTNVSQVKSFLGLIQFYGQFVKQLFRLRQPLDNLTAKDTDFKWTLECQKSFDTIKEILQSDLLLTHYNPNLPIIVAADASQYGIGATISHRFPDGTEKTIYHIGKTLSKTQRNYSQIEKEGFGLITAVTKFHKFIHGRKFTLRTDHKPLLTIFGGKKGVPVYTANRLQRWATILLNYDFDIEYINTKDFGQVDALSRLIDEQNAEKAPEDFVIAQVELDPVDQLSQNLSFLPITAKTISFQTGKDTILTDVLNSLKSGKWPKSEKGTEMWNMCNRKDEFSIVNDCIVLGERVVIPTVLRQKVLKTLHRAHPGIVRMKMLARSYVYWPGIDKDIEKLVKSCDECASAAKNPVKNLLYSWPIPKKPFERVHVDFAGPVDGMYYLVFVDSFSKWPEVYATTSTTTAATIKILAKVFGQFGNPETLVSDNGPQFTSQTFQEFTAANGITHVRSPPYHPQSNGQAERFVDTLKRALCKLRGEGNTETALQTFLQVYRSTPCASVPNNQSPAEAFIGRKMRTVLNLLLPHKPTPNLERNLAMETQFNVHHGARDRSFAINDQVYAIDRRSPNSSQWVSGVIERKLGQTVYKVRVGSQRWTRHANQLRQRTSPPSHYDWSDFLEIEDPAEEMPKPDKADTTTIPVLSPSTSIPPTTPVPLRRSTRNIKPVQPFQIQPKQKRY >CRE02410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:400442:402240:-1 gene:WBGene00061987 transcript:CRE02410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02410 MLGKEREVGHWTISLMLGTKGINQQISRSSAKIAELWDSGYGNPKHEFAHGISNEEAFVREGSMIEAIKRKNLKNKKGCEFHGSTKSWDMNTKEEFGSYVLHKPLDHFRDARKELSRPPNEQKLTEKYRRIGDLWKAGFRIPKHQFTHGVSDKEAFVREACMIEALQVVNLTNRKIFSPELNAVIRNGRFSRDSLERALRCENAVRNNFDRRGYMAFCYVLIDPRVSGVNIETLTFETFVKSVFYIGKGSNSRPLQHFIDARNEMDKAPIDQKMNKKLQTIFDVWYSGLGVPKIQFSHGVSDKAALIKEACMIDAIQVKNLTNQKDSTTKTEYGTWLLDGAGSTLRIDGFTFIHRNGLPDILRLKKPRKN >CRE02407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:393729:395378:-1 gene:WBGene00061990 transcript:CRE02407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02407 MSVMNSIPEILYSKVFTNQHILENILSYLSDDFRKNLDVRLVNKSINNTFLRLIRKNHRTMKIEYAYDIESKTRTANCIYINYRKINIHDVLGYFIFLNTVVDVKVEKITTRRLWLLEDKFKQRLHDLIYSQLIGSNGAHIQTVIGLEEACDGCEKCCNIAKKCIEYGPLRFSTLKTMNYSKNYKKLHVTDKLFEVIAEYCISKSKNKEECFKELDKTILSTISCDKLVIWVNESRLLPNEETDPKYDHRHMPREVIEIILRKWSVKSIKLNILHYTSEPECSIEWLQYDYFTRVRLNDPYLETKHSDLKFSHVEVSLSFSQHCVKCLGNLPPETRPPAGYENFIPNIRRMFPTKQISVELSHWYFVPKKDIEKKMSTILEVVTMEQHQNLSLDIKFFVQSGIVKKLNRWTYREELLGIAAGYVLQENRLHCFKKSSPFIDAQGPEVFLDNKWIGRRFQVRDTVNQFNFNLDVYIKEKELEDMFIEALLQEYPNSFVGHFYN >CRE02358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:514696:514935:1 gene:WBGene00061991 transcript:CRE02358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02358 MAAATSSVLKSDPSSLEICIKAAVSASDATEKMDCEEFLNVTDDTVMMVAESTAPTTIDGPRVAMEHHRHVPSDLHVME >CRE02405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:384889:389703:-1 gene:WBGene00061992 transcript:CRE02405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02405 MSFASFFSFRLFKQLLVEDKFPELISYRLGETTGGKSETICSPSTISPSRRHSSSGKLLVIPAPSSADRNGQRRWKYSVSNHVATGSGYDGLSHRTTFAHRMLKLCNKPKKEVQRKGNGSSQMLHHHYQFVPSIGRFLAGCTYMVLHQWGQFWIPDPWFNSQSFNSLSFFWRWSWVTLWFRLTIYKYCAMWLITEGASILSGLGHNGKDQDGNDRFKTNKKRFKKWDGVRDLQIIKWETGHDYNSVVESFNCGTNTFAKNHIHRRLRWLNNKLASHVITLTYLAIWHGYHLGYFLLFGIELGCVQAQNQLYALIKRTPGWTEAISQPIARPFIWLFGKITISYSMGFAFLMFGLIKTKYWIGVSSFGFWSTLMSSRSSRSRLERLDGFWSLLELSRDVRNYAKPSEGFWSLLKTSTSLKSSRSRLEPSEDFWSDLEHFDVI >CRE02404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:374510:382944:-1 gene:WBGene00061993 transcript:CRE02404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02404 MATCEKALSLSVFDIFGVSRSYKQQKTPKKHMDEFFDSWPRKPNFGKTSPRIEYAYGVEDSFTRSKDYIYINYRKIYTHDVVGYFIFLNTVVGVKVEKITTRRLWMLENKFMLRLHDFIHSHLIGSNGTHIQSLINLEEICDGCEKCSNIAQKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILSTLSCDKLAIWISESRVLPDEETDPKYDHRHMPREVIDIILRKWNVKSIKLIILHYTNEPECRIEWLQYDYFTRVRLNDSYWETKQSDLKFNHVEVSLSYSLDCVRGLGNLPPEADPPAGYENLIPNIRRLFPTDQISMELPHWYFIACNNIEKKMSTILQVVTMKKPQKLSLDIKFFVKSGIVKKLNEETNREELLGIASGYVLQEKRLHCFKKSSPFNRGHGPEVFLDNKWVGRRFQVEDTSNQFNFNLDVYIKKKELEEGFDEELLQEYPYSFVGHFFA >CRE02349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:357539:364660:1 gene:WBGene00061994 transcript:CRE02349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbx-43 description:CRE-TBX-43 protein [Source:UniProtKB/TrEMBL;Acc:E3MIM4] MNFLLIFPFLFGLTIEVSEKPKIPKNSENSTKYAVEIVENSLTDNITEIIGNPDNVEVIDGNYSANETNIRTARRSYGFRSRGGYGGRRGYYGGWGRRGYYGGWDGWGRRRYYSYYSPSYYYSYYSPSYYGSYYGGYENSRMTIHVALLDKALWREFDAQCNEMIITKIGRNLFPILEVSFKGLNEHLHYRIGVTMEPTVIQKLKFTGGRWEKLDVMEDMVQSNEVFYVKSGRELLQRGLKLEKLKLTNSKDALQKSDQMIRVQSMRQYVPVLNIYEATPTGATVHVGRFPFVEAKFIAVTAYQSEQVKCLKVQKNKFAQGFRESVKAPPTSTKRPHSTVSANSSPDSTLSDGSEISVKRGRSDVYQAPPTPTTNQYYQFQTVQNFQPSFQQNFRHHHGFDTAGYWNPPPLQYDFTMGHSNMYGYQWNA >CRE02402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:353829:356265:-1 gene:WBGene00061995 transcript:CRE02402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02402 MYGLPAGKSEKSEIPKNSENSTKYAVEIVENSVTDNITEIIGNPEDVEVIDGNSSGNETKPRTARKYSGGHSHSHSYSGSHHHYGGYGIHHIGGYGIHHLHHHYYSSYSPSYYYSYYSPSYYGSYYGYGNYGYGNYGYNNYYGNNYNCNNYYYGSEWRNDNSILAAGDARWDNVERIMEEDGDSSGDPTKKLKF >CRE02401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:351043:352271:-1 gene:WBGene00061996 transcript:CRE02401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnc-6 description:CRE-CNC-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MIM2] MRLLLAIGCLALLLATVLSAPSGKEHTVSEWAPEHGPFGVEKDGVVEVDGSDHSRSKRWGGWGWGRPYGMGWGRPWGWRRPWGGGWGGYGGYGGYGWGR >CRE02348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:349419:350320:1 gene:WBGene00061998 transcript:CRE02348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02348 MQKILFFLLLLFLNSYGFPIEESENKNSGNPKKAKISYATEIVENPAADKITRISRISKNAEVIDGKYGGGSEKSHRVARQYYYYPSYGYGYGYGYGFYGSSRLIRMCMRWVWGCSITDYRA >CRE02347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:345790:346250:1 gene:WBGene00061999 transcript:CRE02347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02347 MRSILLFLAFLVLAEAVGVHPTKHVESTAVDQNFGHANAGIWKNNGKKREKRYGYYGGYGGYGGYGGYGGYGGYGGYGGYGGYGGYGGYGGYGGYGGYGGYGGYGGYGGYGGWGRRGGWGRRWGWGR >CRE02345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:336960:337972:1 gene:WBGene00062000 transcript:CRE02345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02345 MRLLAVLVAVGFFVTRCSTAGTFVKFSIAIHCPSGELGVWSATLSIEEQTTFEDLAIVGGTTSDKFQFIEIKGFSFNFKAPANMSLSAISASCKPKSFGGVLPGKDYEIPIPRGGGFHYGFRVYNMEDDKDKTNSETYEYLFMKYLEKDCAMNECKESSREYVAKGCDFFKNEASQCMKGYDDTLPKYCQKDGSSVHSLCSEYISTTSSTKMIIIICGAVGAIVVLVLAIGVFFYCRKKKKSKQNMTGTMSGSGTISGTTQQSTATTGTPTRATTTTGAATTTGAQRY >CRE02395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:310139:315440:-1 gene:WBGene00062001 transcript:CRE02395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-42 description:CRE-LGC-42 protein [Source:UniProtKB/TrEMBL;Acc:E3MIL1] MPRNGNTKIPLQVHFGFYVESLGNFRATEMTYDMDMYLYMSWQDDTMRHNGSEHVLVNDKDILDKIWLPDLYFANARTAYFHKVTVHNFNMFISPQGTVSYGTRVTLNLACNLDLKDYPLDYQTCYIKVISYAHVKHEMNVTWFPNDPIRFNPEIDLPEFHIRALDKDYCNGVFLYTLTHNSSRVGEFSCLLGMLKLKRAIGFHLVQSYIPTALIVAISWVSFWIDRRAVPARVSLSFTTLLTLSTQGNGIRYALPAVSYAKAIDYFYGVCMLFIFGVLLEFAMVNSYMRRANKYNHMAEKIQSAYGGKPIIAADYDSDYEEGPTKYYGNLNKNSAHLMYKALKFSRKALSIDKAARFAFPCVFAIFNVCYWMYYLREPPLMD >CRE02343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:284891:298447:1 gene:WBGene00062004 transcript:CRE02343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ckr-2 description:CRE-CKR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MIK8] MNAADDENNRSTIVATSSQIPSITNSTYLLLHDLLLLNATSSGASSSPSEGVSSIWTTANNNQNDTLLTTTMSSVQQEEICRFRGTTENYTIAVTFFVIFLLSVVGNSVVLIVIIKQRAMRSITNIYLMNLAASDMMLSVVCMPPTLVSMVMNCWMFGNYMCKILAYLQPVVVTASAYTLAVIAFERYFAICKPLHSRIWQTRSHAYAMITLVWVIAIAANILMLFMYEQQTYSSNGYTCAPIHPPIYHFAYQVYMTVVLLVIPLVVMTGLYGNVITSLKSGIKLEIASVDPPLATATTTGPSKEPPVLLNNVLVGSSTLARATSCIALNTFSSPSNYSTPREAPPTTNGPQLLQLPGNFGNFDDFRLQCLSDCRRSSGGVCLPPPAIVASMTDEQKLSFWNKLSNKLTFSQPDKNVSHPNFGHRRSDTSVCIENPSLRSTHTQKSAMAKQRVIKMLIVVVIIFFCCWTPSYIWWLLLIAGDSFQSLNLSVWNSDINTFITLLTYISSCTNPITYCFLNKKFRNAVYATFGRKKNMRHHFQKVYIPVNGGAQYTNKGETRPIINRSVSSTFTPQHQVVFNDVSIDSTKKKDGSHHLISRTVHASLIHHNKVRKEETDGIF >CRE02342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:249526:265379:1 gene:WBGene00062005 transcript:CRE02342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02342 MISRSLYYKNASEEESNDTYEADIWIGIAGFARRDLSNEYNHAKVWRKLGLDAKTTVPPHRTTVVFVISTQTYDAVPIGRPFLRPPPSPRQENEPAPTLARGYQPPPRPSHFQPPKSYPSFRQPSLNLDNRQNRFGQQQPQQFNWQMQQLQQQHPQRFQPPQGRRTIPQTPPAFLPAQNHLRAGAPGQVPRQHNQFSNHQIPQFQGPQHLDPVNNIIIHLYLNAKDRMPTHTEIKTPVHGLFGIGTSHGGPGSHSDPIVITKDFDRETLEFVDEGQAKKLSSPSNIIEVKATVSTPNRQLENTVNHAVNQELDRAIPKVMDRMRGSTMTMDNGMRKELEEALVDELAKNLGETIDNTDITQEDLEKLIEEGFEEVQKGEEPTTVRPTPKLVTIPRPTVTRPTVTTVTPSDFNSDDDYITEDSEDISQTTKTTVPAAATPTRTTPTTTTTTPRPTTTTTRIVVTSATRIWTTTQTPPTQTPPTTTTTETTPTTWTRPTDGHVHVMPGIRVTETNFFKFQTSSTSTTTQAPPTTTRTTWTPPPTLRTTTTTQAPPTTTQAPPPTTTSTQAPPPTTTTTQATPTSRPTWAPWTPPTTTTTRSPYRPPTSVVTFSPDSEEIQKNIEGQMSHATPDIPTRRPLIEVPASIGPAHHGIVSLESNEEDYESTVTSRPISMFTTERSTALHNLPTKPSSSNTLHPSTFSPPLVTEPISTEPFTFSLDTSEATPTTTTTAPTVTQPTTPTTSTVSIRRYTVEEKIEVRPHTIYTEEPYFSTSSIEWNPTTSESEDYSNPTTPKSVIREDEDYDYTNEFAAFPPTVTTTIASTINSTNADDKVTTSKPKHVSSSEEIQPNFVIPSGQCPTPNQPNIDRNRTDILFLLDSSDNFNEQRFHRAIKLIGETVSKFNNFGADGVQVSLVQYNDEPYLEFSLRKHNCKKHLLDDIADTEFMTGGSQLNKALEKVSQFAFTKKRGDRPDAENILIIVTDGQYNGRIQEPTRLAKDNNVTVLVISTIEADKQFVNELSGNRAENLFGLDANEDLSWKLAQRISTSSGTSGASEPKKSGILSPVLPEIDENNEIPVTRRPENTENSGIIDLECVGDGFKIQVNPPQGFRGVAVVKGYQDDVRCKATAHSETPLNLFISNEECGVTQVKSADPKGLNSSLVLHLLHENDLNTAEDRAYLLQCFIGAAPDEDAVLSTNLDVVRSELAIAETISLSTLPPTCTYSIRRDGPEGPIVNKAVVGQTVWHRWECDGTNETTQAYGIQIHSCYASDDVERKFAFVDPRGCSSDLALLTDLTYADDSLTAWAASNVFNVHDADSLKFVCKLSLCTRDGDGCEGVTPPACGNTNKTGLLLTKRLTKGVNSVDEALTSALTTNVKLSSGSTDSLEDVLKAAVSSYLFWVVALVAVAGVVAVSILSRNVAESSETATTCSDPTTMSESQDFGGSIRSISSPTPNPRLADFMRNFDRNRYV >CRE02392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:239056:241512:-1 gene:WBGene00062006 transcript:CRE02392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02392 MPITTERCLEMLRELHHMKLQLDSCSDILKDLNNNTMRSVMLKRNGSVRGRKNLVKNALRKMEPKLMENNGGDCGVVVYLTSCGVLRRSYDRCKAVISLLEAFRVKFEVRDLNISAFHVAELAEKLKLNEEFQRDLIFESLPLIYVDGYFLGNDKTIVELNDAKTLERILEKYKTTPTLAVCSECGNRGYVVCRVCHGSRRHHVDTSSVIRFGLILRCSFCDENGISRCKKCVN >CRE02391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:208316:219289:-1 gene:WBGene00062007 transcript:CRE02391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ser-4 description:CRE-SER-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MIK5] MIDETLLNFTTAAPPPTVLSAVARGTHLVDQFPAHAEIFSDADRPLVQTVILASVLLILILSCFIGNLFVILAIIMERDLRGRPQYYLIFSLAVADLLVGMIVTPLGAWFTVTGTWNLGVIACDFWISVDVLVCTASILHLVAIALDRYWSITDICYVQNRTPRRITLMLAIIWFTSLLISLAPFAGWKDEGFSDRVLKSHVCLISQQISYQVFSTATAFYIPLIAIIVVYWKIMRAAKKRFKRERDRRTVIRPPPDAIDEKKAMMPKKSKKCPLPPAVVISDIQNNGGSVKNNSIKNPPRHHESSSSASEEERTMTMTNCGGGGDVATQETKIDGEDGRGKAGIIKRRRRTKESNEMKRERKAWRTLAIITGTFVACWTPFFLVSIYRPICGCQISPVLEQVTLWLGYLNSALNPIIYTVFSQDFRAAFKRIIKRMCLIHDY >CRE02390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:205609:207135:-1 gene:WBGene00062008 transcript:CRE02390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02390 MNSLIFVCAVMVVAVVLGYPGHMDPMEGGPMNSTHDMDGMNMTGGPIGHAPPMNMTGGHSGEHSEEEPPKHDRKRRGAVEDLQNTGHDAVNTVETFGKDAWSKAGELGKSGLRAVENAGSSIKTAGEDVVHKVEEGI >CRE02341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:201899:204340:1 gene:WBGene00062009 transcript:CRE02341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02341 MNLADLPGALSPKNAPVITPPPPPPVRPKPKRPSCDSGEAALRSPLRERKKNQNFNFARYPKYANPGAPVAPVVAPPPPPPALSPKKPITPPISPKPQSLAKSKHDNNRRHQKDREKPKKEESPESSQPDTDSNPGSAEKGKSPLPAAKSPAARLSVMSEADGNQDSEKTTAPLVFVIFTQNFMDFFGDSKQY >CRE02389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:194926:201355:-1 gene:WBGene00062010 transcript:CRE02389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02389 MSEFAENYAESDDFGDFDEDFLEFHDDVGTSISIEIDSKPISTAERLEKFPEMYQIPARKRKRLGFGVSDDLNIEKSVRKYPKIAENPKNERKLTKCEECKFWYRSEILLQSHIEQHKEPEVKIRAPGFVCPYDECTVRCDCQETLNKHVKIEHNRHDLVYDILHFDSWDGFERWKENLEFTTMSRFVHASSKKNYCGRSLWLSCYFTRTVKHFTNSKSAASDLILHRNKRSKKSERACTAHFVARETFGHIEVRACLTHCGHEGLIHIHNLPLSKLIKSEIANELLKGMTEEEIVRNYRERIRRNPHRSIRKMAKGMKISLGSLGRIVKEKLKLTCYRVRNAAILSEATTKKRLERSKKLLQRTRNGEHLVTVSSDEKLFTVQAEFNNKNHRVLAETSEEAFANGRTIHQASHPASVMVFGAQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKKTLVKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE02388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:190312:193967:-1 gene:WBGene00062011 transcript:CRE02388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02388 MNLGLEDIREQHRHAARVFAEHLFLKPTVATDAQYQNLADLEPSGDIISTQNAHMFLPPNIDPKRVTKIEKVEVTTEIRDNKPVFVYQFKWFEKNSEEKGKKAKLGGVRCDGPCGKIVDQTRTSQFGCDHTICDTCLKKNPSAALFDGSPGCCNEMCVKMAGDKVCAGQSDSVASVCTQVGAVEMIPTHISILKNFGRDILRTQIEFEYSSSARLSSIIKSLQRYKDLLINSRFYYSTVKPTRRSDLIPIALTDTNLRFYDIVSLESSNPVLYLAVVANGIQFRDSESP >CRE02386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:176608:181250:-1 gene:WBGene00062013 transcript:CRE02386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02386 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MIJ9] MRCKFSRGRIKAAAPPRGTPIYQFFETDTNNNNHNTDSIENDENKYIIQQQKTEPDHHHHLYVKTLENFIFATEKLGIDGLAKQYRKLDAQLDSSLSFDAFKINMHKNRYSDVVCRDNTRVKLTIDASNHGDYIHANYVKTPYLHNTFICTQGPLQYTVIDFWRMVFQERAQSILMLCRVIEEGRPKCVMYWPANGEEEKYGCIRVKNMGESNDEFEVVNLAVTFVPDEVAANEQPADKTELRVNLIKWPNWPDRGVPDEKCHTVPQRLLAQVRQGPCVVHCSAGIGRTGCVVALEFAYTRLNKGMKVDFEEVSFEFPIALELRKQSAQCIQTEIQYFYIPRVKIGFARGEGEIFEKGGGLGDGFFKAYDGHLKRK >CRE02385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:164033:171516:-1 gene:WBGene00062014 transcript:CRE02385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppk-2 description:CRE-PPK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MIJ8] MSTKKKTKMVTKKGKGKILVPKWKLFRAKEPLLSVFMWGINHTVDQLLHVPPPGLLMPDDFKAYSKVKIDNHNFNKDIMPSHYKVKEYCPNVFRNLREQFGVDSFEYLRSLTSYEPEPDLLDGSAKDSTPRFFISYDKRFVIKSMDSEAVAELHSVLRNYHQYVVEKQGKTLLPQYLGLYRLTIDGSETYLIVMRNVFGRKYGVHTKFDLKGSTVSRAASDKEKAKDLPTLKDNDFLEQNWKLNLPPEAGKQLLDMLSSDTEWLTRMHLMDYSLLVGIHDCERAAQEAANRPVEQNSEESGDELAPTPPDSPIPSTGGAFPGVSGGPDLDDEFYAIASPGDFEKNLIYFIGLVDILTYYGIKKRSATAAKTVKYGSDAENISTVKPEQYAKRLVEFIRSALN >CRE02336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:141749:143158:1 gene:WBGene00062015 transcript:CRE02336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02336 MTEGASPSGALSAFLNKHSQLLTIVFCRLDGRTRQSPYPFTQLLEPSKLGSEFLHRNRCFNPKHLLLSISNIYSRSRLHFFSFVLIHFQLKMNLKYYSKNFSEPYVFLDGFEEFQNNFFETMFHFPVSLVFIGFYLYKIYRKQTAHLISFLSFHIFTYSSIVTNIMCLFTRQFTKTPQELLSAFMVHFNSILLSNIFIQLFLVVFHVTDLYWKLFDVYLSRRNIVVILIVIMTFSRLSFTSGTIIISISETELRLDWLDTFLCFIDMILLLVLFIQWKFFGIHQKDAYTLINSVTIVCMLTIFQVITILKTFLKYNSDLPLSFDKFIYNVYYFPYLWIISVYICNFKTVQIWIQNQKKRTSVTVVVPESRVVVRPQRIDETVVSNLSVSELETVM >CRE02335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:126326:128893:1 gene:WBGene00062017 transcript:CRE02335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02335 MCVPPVSPVSKVFSNQHLLENILSYFSNDFMQNLDVRLVNKSINNTFLRLIRQNHRRMKIEYICEQKKIEEVPKDYIYINYRKINNQNVQGYFIFLSTAVGVKVEKIITKRLWMLEKKFMQRLHNFIHSQLIGTNGTHIQSVIGLEEICDGCLQCSKIAKKCRDYGPVRFDTLKTMNYSKNYEKLHVSDKLFEVIAEYCISNSNSKKECFEKLNNTIPSRISCNTLVIWINESRFLPNGTANLKYDHRHMPREVIDTILRKWSVKSIQLNMIYFTSEGLCSVDWLQYDYFTPVRLNDPYSGTEKSSDLKFTRVDVRMSDSIYCVRGFGNHQSELQEPRGYNNFIPNIRRLFPTDNISIDLSHWYCIARKDIEKRISTILEVVTMEKEQKLRLNIKFFVELPKMEEKRKEEILKIAPQYIPREITLHCFKKSLELNEKKGFNEEKWIGKRFQVEDFNLDVYVKENKLEEVKNLLHEYPNSFVNHFFSDEKRN >CRE02333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:93486:100813:1 gene:WBGene00062018 transcript:CRE02333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02333 MWRRRFATSAATTTVATVSRFKPVIGLEIHVQLNTRTKLFSRCPTSDASPNRRVAPFDMATPGTLPALNHQCVHRALKMSRLLKCHVPKWSRFDRKHYFYADMPAGYQITQNEYPIARDGLFGYWVFGEETDTPYWKEVEIVQLQLEQDSGKTINILDSSPSESSESRSLIDYNRAGCALIEIVTSPCFETAIEAIRFVQTLRLLIIHHNLSDGELHRGHLRVDANVSLTVDGKPGTRTEIKNMNSIRTIHTAINFEIARQFEILSNSSGKVIRETRGVDSEGRTVPMREKDAEDTDYRFMVEPNLPILKIRKEWMEGAERELETQGIADFEWLRDRCGFDPRSSIHIAEEPDLLAFVKRCVRFKDSGVTADEILYWMRELKTIMQRSKGNYPPKSDFFAKQFTTLIHHSGRLTRLRLLDLLRFYATSSESEEDVLLEDVMEFIDKNDFWRINDTEKIDKFVEESMAKNGKLAEKVRSGHAKSFNKMRNLMIETSEKRIELEDAEDAIRRFLQR >CRE02332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:74876:93128:1 gene:WBGene00062019 transcript:CRE02332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02332 MFSLLNERAFVVDSGDDDDVDAAILFDDEIVGAAHLTLLFPMIKIILKNTKRFGDSTRNDALQLLQSAIHKKFLRDKDVLSLPMEHYASMLFEHFSQDSGATNAFKATQQLFRLANDTNDIGERVIGMVREILAYVGHENHSVRIAALEILGAPQLLMRIVVELGLEASISREILVRVFVARHDPIEQVATVAEALWHQNHCQAKTVIGPMIPIFSLIHQKFPDECVSPSPLVRQSAAQAMATFIEEHPSEMKATLSKLDETYKDLVLIRDPIYDDVGRLQREAVDESDRRSGIGHSLVLLSSLCQQEDAEQLIRIVAPDGLSDRSAECRNELRNAAVETIRRHGAACMNRLLPLLEQMSDETPATDDNRRQGLVVLLGTLAQYIDSTEKVKSIVARLIEALGTPSQTVQESVSRCLAPLVPKIRQDAKDLVSKLQWTLFESETYGERRGAAYGIAGLMKGMGIIALRDTDLLASIQKNMEDKKSPKHREGGLLALEILCSTIGKLFEPYILKALPALLITFGDSDSNVRQSAEDTAKAMMASMTVYGTKLVLPLLLVAIDDDSWRTKCAATELLGSMAFCAPRQLSSCLPNIVPKLIEILADSSSKVQKSGEKALQQIARVVRNPEILGVTNQLMAGLLDPASKTSAALQAVLNTKFIHYIDAPSLALMMPIVRRAFEDRNSETRRVAAQIISNIYSLTENKDMEPYLAHMVPGLQRSLLDPVPEIRAVSARALGAVVSKSGGSTSENLRSQVIPWLKEKLISPQSTVDRSGAAQGLCEVLAGAGTEQLEYVMPEIIHATESTDVSAETRDGYILMYIYLPMTFGDRFVPYLPQVVPPILKALADENEYVRASALKAGQRLISQFCAHARKLLLPQLQLALMDENWRIRYASVQLIGDFLFNISGISGKSTSSTADEDDTMGMEQAGKVIVRALGQKDRDRVLAGLYLTRSDVALVVRQAAGHVWKMVVSNTPRTLKEVTKVLFEMVVDSLASTCDERQQMGARCLGELVRKMGDKVINDVLPVLDANQKSEEIAKRVGVAMALHEIIGNMSKEVTNHYLSAIVEPVRKSICDESELVREAAADTFTVLYHVVGNEALDEIICPLLEQLTPEQDHILQGLCDVMRQNAKSMLPYLLPKLTKPPVNVHALCSLAAVSGDSLSRQLPKVLDALLASCETNDENDPMIESCEKVVIAVTDEDGVPVLIDYLIQKASQDANVPAAVLLNTFIAKSGVSLADQAEDVLPGLLNLYTSTNPQIVDHSIGAAVALTQSMDQRELVQVLPVVKKAINIVVAGAKGQQIPGFTHPKSLQPLVVMLREAILQGQVEMKALAAETLGMVVKVSDVTALKAHVVNITGPLIRVLGDRFPANVKLPIIETLSKLLDKVSSMLRPFLPQLQSTFLKALQDQTSRPVRLAAGGALARLMKLHPKPEATMTELLKLLATSTDQQLIESSLATARALIAACPDKMSQSTIEEIYRVAEQIFSESIENPSEIDNSLTSCSGALLGETIAQKEDWSTAQSCLLSDIESSSTSPRVRQAKAYALQQLCSSNADAFWSSEVNSAVRTALQSAFTSTDPIVASSALRAASFILQSTIDRDLLSSVARSLNHATVDVRKTTGIALGHVGMRNELPNDVLKLIVPQLINGCKESNSAVRSASELALVHALKMTENEERFEEYRSTLEGVVQRNLDETRVSLARVVRAGDVDLEPLDTILNVY >CRE14360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig422:7655:13092:-1 gene:WBGene00062020 transcript:CRE14360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14360 METIVSLAHEIRIRDDNDNYAMDTGGRQMMMQIVAEKPLSLTKWQEAIAWAKLVEIVILDRPIIDKSAAPNYSPSALKSLSLLVFWPNWKVRRQASSSLLNILSNEKALFAEALADLIFLDTTNGTIDQLLRRVPGCPSGDGWQVPGEWYVSTLKHLLTPKEPELDKLAIHTLLLASIQRLVEVDGSVWLRWVHEHRESKTWQASEVFRENAIQRVLQCKNRGVRNTALITLVALHDERLRADLWKHVESSIRELEVKDYGRITENEMAIYKCPEGQLYNTRVLDFDEAAGIKGQKGTSIEDQLAEIQLIRELAEKRRKEGKLSTKQKQVMDKELAAENETRDALKSLFETAEAKLDEARAMVIADSAGAFARSELLFDYCMPMTRSLLVSEQAARLFLAYRDACFEHSDDYLGTPCFIEKI >CRE02331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:46948:51982:1 gene:WBGene00062021 transcript:CRE02331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02331 MKNVENDEKMEKTENLSEEDSLKEEIRRFTGCVADPSLRAHGMAYTSLSKMCERVGEIPAAFVKGLVKMSVSNSIRLYGQPKSFQHVAHLLEILSKRDYESTLKSLLSTIQSSLPLISNLTESKSLAQIPTTKWLISLLKSAENPKNLAENELEIVGALGTCAYWMAGSDKAWRIFIRKFSRIQTILEPIFSSKIAEFAKNQPEKALALISAIGDVKNAEKSEKSQKIAEIFTEIATKSLLMPKLRPPQFLVDRSSKFVKFIREKPDFTENLLPNIKKALLRSPEVSIFGVKGILENLEFPLDTCAPEVLKSTVSALTNADAEIREAAIGVAVALTRKSGIPVIQKILMTLFDQFGAAKVPEVRISLMEGIGRVAGVIEVQEKDKLADEVLAKTAKADKESHDGIIEAQWNAAVEWAVRLTKPTTGLTNAFKEASKLQPAVKYIAYRALADIVERRGMFALPDGIDVKPLLAELETGPKGELTSIGLALLILRTTKKGSIEHTKAWSRASHADALYKV >CRE02378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:43077:44772:-1 gene:WBGene00062022 transcript:CRE02378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02378 MLPTWLITLVSTLSLVGTQMSVDFPPLRANNEMIIPVSNRFLIRAPITQRSISPFQVMQKLSDVPDDGETFREGPLDSTGHLTTRLVWKSFESDINQRIEGEFMWVPLKVLSGNGQIVSGMQYDLRVLAGTSNCSRYEINAYTIQERNCVHFNGPKRAIFNIIVMERSSKSWSPFIPDWDRVFSTVEREVADNEDF >CRE02376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:29784:33400:-1 gene:WBGene00062023 transcript:CRE02376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02376 MKLLSFLLFGFFAVVKLNGLDIDEQDYLIEQLNDIRRDVARLYNIENMQKLMWSKRLQNEADQLDIRKMPVNPPWRYVFYKNYTEKKDERDLTDSIIANSEHLNPQQNEIACAKKNGQNFTVICLLGPQNSLSKITKYQRGQAKCTGQLKLEWKIGFLCTTPDWTESWILMYISELNDRRQMVARTMNITNMQNLVWNKELGDAAWNFLWPSRNPNDELPKDRKWRLTGFAKLSAGLVYNPTSNRVASFNTTDGKEVTFRLDEEHFNPLQSQIACLYRPKLRKNTNYWCLLGPEDSDAGIALPPTTQAPLDSQVTTPPTVTTVVPSPRTQSMRTRYTTEKITPEPPPTQPKELEEYEEQDGDEYDEDFPTGKPYHYDF >CRE29174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:47004:48032:1 gene:WBGene00062024 transcript:CRE29174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29174 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGKKPTVVTPDRIKAVKERIRRNPQHQKNGEGQAETYLLPCKKAAILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEKLFTLQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKKTLVKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQY >CRE29177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:58495:58647:1 gene:WBGene00062025 transcript:CRE29177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29177 MLKFLLFLSILLLITTVFSLPPVPPPPPHPIIGGIWNPDQGPFGAVKDSV >CRE29178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:78787:92911:1 gene:WBGene00062026 transcript:CRE29178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frl-1 description:CRE-FRL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ND51] MIVGPTAMPPKPPQEQLDKMFDDVLKHMDLPVDKLRILRGYDNDKKWKLIVDQQVAKQVTPPAKYLEKLSYFLDKKMLKKALKNKEIQTDETSTSVLRHIEISLRTNSVDWVFEFLNTPNLGLRKLVDYMRTLLADCAPSVPSTSSGFPILHHHQTPNNTTMSSNGANNSSTFHESPSHNSSSDATANSSIFSNISADATANLLKKGPLTISKGKVAKSTGEAEDDIHICMMCLRAIMNNKQGFQQVFADVEAIYCIVRSILHQNLRTKTLVIQMLSSICMVQGGQEIVSDAFDRFEKDFREPRRFSTLIQFVRNPPEFHVEFLSSAVQFFDYFVNNVDDLNFRVHLQYEMTLLGLDKYIEQMSECESDELQERMISYQNSAIDVAQLLEDSNLKTELIDEKETLKMRLSQANERVQEVEAKWITDKAALDRRLLDLVMERERMEKEHVEQKGTWTKTMNEKDRQAREERKRLEQKIGELEAMQKQMQAGLQVQQQQAQAAAQKSPPTPPPPAPGPHKEQRRSTSKDPRPAPPPISSIPPPPPIAGLIAANGSNVPIPPPPPPLPGVTGAPPPPPPPPPIGGGPPPPPPPPGSLAPPSNDVKTIKKIYQTKNKLPQLNWTAMKPMQAKNTVFEKLNDELIIEKIDFSKLEEMFKMAPPTIGGLGEPKNETGPLGQVSPGSATSGGAVASARKNTLMDAKRLQNVAITRRKVAMDAKSIMAAVHQLDLQSLSPEKVDILSRILPTDDERKIYAEKREKSKENSENSQISQNSMENLSEEDHFVAALCEIERLEHKLTVMRVMSEFDESAALLEPQLTHVTAASKCAREAQGFHGVLEVILAFGNYMNSGKRGGAYGFKLSSLDSLAILKSPTDRSVTLLHMIVAEIESNLPHLKTFSEQLKFVDKATSVQWDSVASDMKDLEQGFKMAEKEQSLKGADCPETLNEFIKTRKQKMSDLEQSFQLAKSSFKDCCEFYGENEKTTSPNVFFQKLAHFVTNYNKCRQENEAKTALERRQKEEQERRARVASSKSSVSSEQDQLMLELAEKVGGLGGGRRQRAKIDSTRMDHGDFEKLMNGLKHTGGTTPNRRKMSKSPSPAPRSSAPPPVAPKTRVVSVERDRQ >CRE16315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:215912:218712:1 gene:WBGene00062027 transcript:CRE16315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16315 MTPFIKKIVKNHPRVLVYYGDTDMACNFMMGQQFADQLGLRRTLKKTPWKFNRQIAGFKTLPGSVVINLLLPTNIPFSKVERNVDCFASIQHYAPAQRLASTIPQISPTIRRHSSNVKTYDAIQFRDKLRRGVSLENIGPHRVCFYPQPTPSHRNPSNANRPVIFSKNPIGSASKNISRKVSSYSKMSSLARVAWVSKSMIIFSTEKAIGFRKWGKLSECESFQEI >CRE29181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:115543:117853:1 gene:WBGene00062028 transcript:CRE29181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29181 MSKIKWVLDNGLETEDDYPYECTQHDQCYLNREKTRVTVDEVSFLEENENKIADWVASVGPVAFTMRVNWPFMDYSNGVFNPSEYECRNESLGYLSMTLIGYGTEGNQPYWIVKNSWGSSWGDQGYMRLARGNNTCGMRDFVVAPKIN >CRE29188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:118417:121597:-1 gene:WBGene00062029 transcript:CRE29188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29188 MVSGTVFNPNFKKNEENLLESEKSGVREEFLRDFSYQPRPTPKSKSVFTYICLALLGILSLVIIYAFLEKLYNRFENENKNLAEIQKFRDEMPGVEFGENRYTENEPILMDLKFLNLSFSSSNLEQSDRLQRLQTRPAAKDWRNYGKVMSVKNQGNCGSCWAFATVAAVESQYAIRKGTLWSLSEQELVDCDGRSFGCGGGYLDSALGYIIGKWFGKQNPTTPYSGVRAQIXCQLRGDKIRVRVDKGFRLREQMRISLRIGWPVFGPVAFGMPVPKSIMYYKSGIYSPSPADCNQPIAAHTMSIIGYGIDNGKPFWTVKNSWGPRWGENGYMRMARGSNVCGFRDKVVGPVVN >CRE29190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:129334:131557:-1 gene:WBGene00062030 transcript:CRE29190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29190 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3ND59] MDRLLDDTDFMAEDPTLFELNAGGYDGEYYQNIPDFELDDYNHYKENEYTEDTRHLFLDEKHEMERRWEAELVRDLDAIYHRGRGTVAGTVVEPESLEARTPKKEPTKNRKRPAETTPLSEYIDTCQLCHNIYNELKNAGIPQALFAKCVLGRTQGTLSDTLKKPKPWNEMKPSGQKIYQQMYDWLALSVDERMAMLKEPLVKEEVKNDAPEPTKKRFVFSEMQKNTLDSLFKQERNPDQETKVDIAQQLNLDISQVNTYFMNARRRNRITGNDFKKVIE >CRE29192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:136419:139595:-1 gene:WBGene00062031 transcript:CRE29192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29192 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3ND61] MPTHQYFNAEMPDNILEQAPPTQIEEAPPTSEASSPSQMAYQMTPPTHLAPPTRMAPPTFYSNDPNYPNIPIMAISDNYDERIDEPTIYVYPENGAELQEEQNYSNPTETYYDLHSGNSQILPVDHKNYSTPTVLSMGGPINGGGGVYWRQAYDDDDIGDMGHNLNFDGDQIETVDHTPQEAYEPTNRMPNSSRQAEMQENVYYNQYDDTNYMDHQNVDFDVPIGEENINPVVDHKVKPRPQKVFKPRNRKPRRKATVPSTYYHQDSNQPSTSGGTPSYSNPVYSNPSKSSDYLDTRRVCSDISFELKMCGISQSKFANRVLKRTQGTLSDTLKKPKPWEDLKEVGKQIYIQMAEWMSLPLDEKMAKVEEEGAELVNEKTDSSENSSDATTKPIKKRFQFSQHQKETLEAIFKIERRPDQRIMAVTAEKLNLDFIQVHTYFMNARRRSRFADDKRVEEMDME >CRE29193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:143559:144914:-1 gene:WBGene00062032 transcript:CRE29193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29193 MRSETRKIFLFTSRIFIEAFTLTFVAEWGDRSQLTTIILGARENIAGVIGGGVLGHALCTGIAVIGGKIVAQRISVRTVTLIGGVVFLLFALSALFINDIESANDS >CRE18400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:33859:41832:1 gene:WBGene00062034 transcript:CRE18400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glr-5 description:CRE-GLR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LJY5] MNFRFVFSYVFLVFCLVKTLCDAYKIGAIFREREDAHLEAALRYSVEWLSQRGIYGDIDLVIEYIDVLDHYDAIKKACKMLEKDHIVALLGGSHGALNAQLERITDDLDIPFLTAIDDLRTEMGKSKIDFWPRPQLFEAVVDMFTHWKWNRIVLVYEDDERIRRLEQLLESEEYASIRFYLIKVHNGDYMKAARQVKELEECRLLHRKDCSEFSRLLVDMNPEHTYNFLLASLQMGLIELKHWFLLTNMELSTMDMELFRYNHARFISPYPVDSTFLTENRDAFNFSHFKEHISEKWAMKTDNSRNLKMMEAVFTFDAVYTFANVFNELSSHMQMNDVPQTLCRKSSRNSRKYQHGRSLIDNIVHNDLHGLSGDLRRLNGHPLKSNFSMRIHLLGYSGRLDDLNVAKTHCLSIGKSPKHYNYFLDSNLIDRKFCVRDLGFLVDEKLTFKDHWSTAIRKANFFAFSVFKSFHSNNIRLLTLLFKIFVRPILEYGTIGFWEPATNVHVNMSGDSKAQLQRNVQVSDELKPHFRVTTIMERPYVMLKKNHYELDSNSKFEGFCIDLLAELSKDLGFTYTIHAVKDGKYGNDKYGNGSWDGMIGEILRGEAEMAVAPLTVNYRRSEAVDFTKPFLSLGISILYKVPDDQQPDLFSFLNPLSWQIWTAIASSIITVTLGMYFVANVTPYEWNLNFSCCTAHEPHPAAAFATSQEAPIVMSNNYSFWNTVWYVLSTMLKGGCDFGPRAVSTRLLGGTWWVFYLVIISAYTANLAAVLTVSRPYIPIKNLDDLANQTTISYGTIRGGSTMQFFQESRIAAHVKMWEYMKDKDVFVTSNGKGVERALSMNYAYLMESTSLEYETQQNCNLTQIGGVLGSKGYGIALAKKSEWTDRISRQILLYAKRGIIEMKKTKWWRSKGAACTSTASAVKHDRFALSMYNVAGLFITLGVGIFLAVIVVIFELMYRCHHISKKEGKPFLDELFYELRFALNMNSLSDHRSRQKMSMCMNNGNGKPNHLNENGNLKKTTL >CRE18755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:43217:44701:-1 gene:WBGene00062036 transcript:CRE18755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mpz-4 MPQEESTVVKCKIYRPEDVDNIWERQGFKKGEIEERVKAVVMLRGETLPNSYKMIVEAIYEFPKKDKAAQLGLVNRHGLITRVHYASPFKGTLQPGDVILQVNNTNVSFDDRAAVAEDKTGSLQSKVKAPEKKSGEKWEFPNYFEITCTTFRKDRPASLSSGYPDFKSVVSKVMSSKTESKVTITVARLKNRVGTFKCPAGIVATPGYQMDMALVYQYKYLKLGLNMQQTGPKVVVNYTVPDTVTHISLNIGEAILAVDEHSVSNLEEVRQRILDGCNTNGWVRLIVEYPNTDPIRNLVRGQLATASKATDRPPYYMCGDVRKYCDEGIEALKNGKELESVLKEAETKESDRKELEKKKSAREANKKDTLVEFRKTTEEVGIPSEWNSKLFVVLPPLKTKETEMGTDTSQMKK >CRE18402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:45102:45877:1 gene:WBGene00062037 transcript:CRE18402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18402 MPVYYRGGYGYRGGYGYRGGYYGPHYHYRPYYYHRPAVVVAPYPHYAWYHPYYSVGGDFPMYGIYIITSVFLIICILIVVIVCVGGCRSRPDPIVEPVVAYDPYDPYYVDPYYADPYYQEPHYYDNYAYPADYAYPVAGGAAPPQRPQPGGAPPAYNDQPGPATVQAPRKKQPQQSKTSKKSKESTKSKSAEPSSAPTSTKSTPTKNKPSSTKPAA >CRE18756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:45998:48329:-1 gene:WBGene00062038 transcript:CRE18756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cln-3.3 description:Battenin [Source:UniProtKB/TrEMBL;Acc:E3LJY9] MKRVNWDTVRTAVAFFLLGLCNNYGYVIMLSAAEDILSQQHGKNKTTTVDTCMPEINTRECKPPTAEVLLSDNLPSLIVKLTFPFFMDRFPFGLRVAVVCLLQATSYFVVAFSVSIPMSLAGVVFVSLGGGLGEITFLGLSAHYQRIAIAGWSSGTGMAGLLGSFSYAFLTEPHMANLTPKVALLIQLFIPVLFAIAYFILLEKPESVYSPTLNPKSWIVPKGYDDFVVSKHRVPQRQLNPWERVQLIVPMLHLMIPLAFVYVGEYMINQGMTQQIVFDCSHGFNLSLHSQYRWYQVLYQFGVFVSRSSIKLVELPMWALYLLPILQLSNMLFFFFDALYWFVPHIGIIFALIVFEGLFGGSSYVNTFHKIHNKVEPDVREYCLSAASMGDSIGVNFAAGVSIPLHYWMCRQPSPR >CRE18757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:48579:50088:-1 gene:WBGene00062039 transcript:CRE18757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18757 MGVYDANFTCVPTPNNPIWIPVVVLQFVIVILGCISHSIFMSLVVFPQKFGLYLRTSFFFISVMMLIMLLTSTGAFLITLAHGKYIEDCEISAIEVRKWLLYVHSFGEYFFVICELLGTIERVSSTFYPNFRKSTVFLNFFRVSIVIGVITTIGYIYFIRISFQKHLFAIGFGSLTLMEVANGIIVFILWSAAKKKYKTDKDAPLNVRFEISRSYAYCRCAAASVLARVLIITYVYLKIAGFFEGDADSGFYYLMNVLINLYCFVYPWTIMLCHRKIKSQIDRFVNEKMFSRHKRIHQEGEKTLYTIDGKQMNGMTTDDHFNQLNNFWNISAHPSPARIV >CRE18403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:54062:55542:1 gene:WBGene00062040 transcript:CRE18403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18403 MNILIMLAYIEIIIVILALLSNFLFTFMIYQASSLHFNCRNLLFLFSISMFLLTISHGLTVFEFLYENKTYEFIYFVSVRGIAWRVIHEFGYALQSLSLVLFSIERLIASICPAGYGKRHVKIIFVFLSILSMLLSIVFSFLIHMGGEMFSPTSAMNMVDISGCVILLIAWKRSLLQYRSTVGVFSLEQRFQLSEVYTWSRHLIPAVLLASFTKMMVIVIIWILITGNYTGNDAAIWVIIYNNILNIYTVIMPFVILVKHNKVRQKLMHTHNQKPMEMRKTIDGKVIPSKHDYFEFLKQNWK >CRE18405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:57906:59261:1 gene:WBGene00062041 transcript:CRE18405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18405 MSAWFVMTIFESILVFHSFICSLFFLYLVSNSKSLHSYCRIYLMMVGFSMNLFASYGLSILEYISSGKYYALTEESTTVRSKTWEFIHEFGILISAWRSSKRNYRLSAGKASLGRRYQMSEVYAWTNTLLPAVVGASIFKVLSMVPIWTWLLLDLSNWEYGIANFLYNNILNVYVCIFPWVLIARSQSLKKQILSKKRVKPDVESMRSVRTLEGKQISMRPSQAEYFEALKDSWDF >CRE18758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:59391:60635:-1 gene:WBGene00062042 transcript:CRE18758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18758 MDQYRNGYYAQNCHPDTFTWFDSWEYPAYFSHSISLIMIPLNIFGGYCILFQTPKKMEGSKLIMFNLHFWTSFLDLLISSLLTPYIFFPAMCGITVGIFSWLQVPIIVQVFLAQCGLVAIPVFIVILPILYCGCAIEWYYYNQAFSNTAVICITFHGMSSTIAILSIYEPYRNYTKSCFSRILRETSSNQTTPKNISVTPKPSNNTNRRPTLFNVQV >CRE18759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:62177:67823:-1 gene:WBGene00062043 transcript:CRE18759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18759 MLASVFDLLNFQLELKLSVPVFLSASYHGLLQNVDPSLMMRLTHNTSYISLSALEQQPCGSSATIFPPVSSPQHRQRRPVVPLEDQLLENMQNVTVNDYDFISLGITPVNMNPWQPNSMSFGTASTNGFTGSDTSSAPMSPISSPGPRKNYGTHGNGYHQQTQKSFYKSPTHPNHFRNNRNVNGGTSQQNGFHNGQHYQNRNGFNGNNVQHTVAPTVRTLRNTEDYKPGMRDLGYWLKKLRLHKYAPLFEDMTYRQLLNVNDEVLEKMRVTNGARKKISQSIEKLHERPELLRSLEQKMKNGSQCVRCAICSIRQLLWSPFIKYDGGNRKTSADIIDGFNVPSQMISDDNIPALVFRCIETLNNMVFPLRKGLQDLEDEYQLTMFHMFESVMKNEAFTPNQQRRAYIMKKNARNYANPEEIRRHRMGMVSSSQCEGCHHAEVVNRERLMELQDRQARQARGDPVGLSLTSCTAILDLRSSNMNGRLEYNVPPAPVSAQRIQKRPAFNGDIEDEWVGSPKITAPSSSPPDSVIFESLVLPQMKSSHFWSNVENIWGDDGNKPSTSFRFPLYRRPEEMDESSNTECPVNNEDAFTFLEMVSEKQVSPSKDLSLSDFAFPEASSKKANSTGSGCSSSESEVSIVTSPCASMTGTEDEPILYGVNQILYPSSPFE >CRE18406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:88156:89630:1 gene:WBGene00062044 transcript:CRE18406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asp-13 MMGLTSILLLLVATSTAAVLEHQLVWKESQMIQLIRNGEYPAYLDFRDRMVSARSSNLATVVQSATDYVYYEYMGNITVGTPDQNFIVVLDTGSANLLIPGTNCTTYCEKKRLFNEKESNTYIATNKPWQIKYASGDAYGTLGIDTVKIGGSFEPQLAIPNSYLGVADTVGSDFKWSPKEGIFGLAFTALAVDNITPPLINAINLGLLDQPIFTTWFGQRGAPGTSASGAFTYGALDKNHCGPVIGYVPLTNARHYQFQATGFSLGSYVSTTTYEVITDTATSFLCGPQAAIDGLAKAAGATWDPTNQVFNIPCNADAGPIKMKIGGFNYVIRANNYILQIDTNSCLFAAIPQSYAGFGPAWILGGPFMRQYCNVHDIGQKRVGFALSLQ >CRE18407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:89895:90534:1 gene:WBGene00062045 transcript:CRE18407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18407 MFSYVFFFFVFYLITPTESIQCHQCGGMERMSKMTKKMYMELNISPDQYFGNCKAKSGNDVCTNGTFCIKRAKVHRIGFNSFNYKWTSYTKGCANVREDNGQTPSNGCFDLNQDTTKVGFTTKRLDCYCDTDFCNSSSIVSVALTLFSAVFYIALSI >CRE18760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:90607:92900:-1 gene:WBGene00062046 transcript:CRE18760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acd-4 MSGAGKRRLSSFVSVKFPVDTVKKLRKTEGVGSVFQETQHFSTITTVNGPRRIFYGKRTARVFWILIVSSIFAFLMYQIVIVLLYLISKPTLSQKQLLSQISFIANEGGMDFPAVTICSLNPVKTSFIKKLNSSGDLSDEVLNYLLATKTNSMYMFNNADINTLKYGRLVCSVSLTNEIISAHDRSMSYLRNHPDLQIVDFLNSAQFDCDELFETCYFGGREFDCCKFMTQSVTSLGKCWEINLQNGTELWMKKQVAPMISAKTGLQIVANARHAEQFASFHYSSFQENGFRFFIHPPQVSPDLASEGILVSPSRIVNTAIKAVLHDLLNHDNWGNCTSTWSDNYVTDLPYSSSVCQALCVANHFEKLCECIPYTYNINNKPDVCFPYEEVSCMKEKMIKTDGNGTTSLNLPFCAECHLACQRSSYVSYASYGDGFNNSSMTWIMNLTGYKSTYIKENIAVINIHFMELFYTSYSQVKNTSIWKVLNQIFGLNGLWFGMSVVSLTELILYITKVSWLAVSRRRRKHLFQKKMSEKRKEEILEAAVQENEEIKSRRSSAPNLKELDDDLEEEEDYWYRNPHPYSETSLDSVVFQIALCSSIQTKLFQIQLAIDFEKFRKPSSKSLSKIPEENEDTVHSINELVLRL >CRE18409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:98258:99180:1 gene:WBGene00062047 transcript:CRE18409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-12 description:CRE-GRL-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LK30] MLWIKGLAQFHFSWTLLKKFLLIFLMEILLLFLLFPSTLSFFFPSSGGSNCGCTCTPPPSTCPTVTPCAPIMTCTPSPPPNCCNSCGTCNGKRKRRQLAMLSNATYVADHGKKRVRRVKREDDSDSTATSSSRCNSEELRKIIENKIDRVTAIAKRRIQEEAESTMGGRFNVICARGDFSYVANTELFCQHSVGDVTCFLFKQLSDVVRRRLM >CRE18410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:100212:102942:1 gene:WBGene00062048 transcript:CRE18410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18410 MSAGNKLKSLQGLRGISIILVLIFHLFPKSFANGFVGVDMFFVLSGYLMTRILSKEFTLQSVVNFYKKRFSRIVPLYYFTILATILGVLCLVLKSERTEFLQDIKWCLSLLSNYQPIFEHHSYWDQISTIRFLTHLWSLATELQYYLMVPIIHFIASNLPFFNRILAYSLAILILFFFQLLTPFELSYCFLASRVWQFLLGSVAFELSQKNDEVMDLCTEKKRKEEKFNVIDGFPYLLLAIITTVVTFPWIFGEHSTRLIMSLSAAVLCFLCGKLENSFLTLQPLVFIGDISYATYLIHWPVIKFFEYIQQKDNIGLNAYEATTAVLVVFALSLLCHYALERTLLRLDFYVNFTISVFVIGICLSLYPLVEHSQCFAIETLPDSTIEKIEFNFNSSNIITETSELECDYNETTVDLAIDKCGIEYCSHKSNGTGIIMVIGNSLAIRAFSTIFNLFDGQYEEIRLFAKHGGAPLLEMAPYYNQAVLDMAEEINPDLIWIVQGMNEIHFNKLDSIPNDLDEVVQSTMNKFKKMAKLIYVDLPYYVINVIPSNIIGRSLIFRKDIDENLSISLEKVAEQVRRQTDRLLGMNCSNCYFNDIQKALTNDQDAFYLYEKESYKSLVYDGSHLSTAGYEYLKPLYQKRIDRFYEMLNSERNFGI >CRE18411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:103789:105903:1 gene:WBGene00062049 transcript:CRE18411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-18 description:CRE-ATG-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LK32] MSASNTDDTPETINYIGFNQDSKIISVGHKEGYMFYKTADILENSTLTCEGQSLNHLGLNNCLIIERLFSSALMVVISQKDPRVLHVYHFTSKNIICDHRFNKSILTVRLNRDRIVVCLEDCIYIYNLKDMKMMHNIMDTPMNKLGVVDLTSKPGNALIAYPGSTDTGSVHLFDAINLSSVNTFVAHEGTLACLKFNQDGNMIATASTKGTVIRVYSVPTGTRMFEFRRGVSRCVTIYSLCFSCDSKYLASSSNTETVHVFKLEKPEGDDKPEAANESAGWFDTINKTISAYMPTQVLQVSEFMTTERSFATAKLPGATRTNQVALVSNKNQQYVMAATSDGFVYAYRLDPEGGELDLIKQHRIGPKTESCRISPLGTGGKSSDSNQTVPNMDDPDDFPPMSHTSG >CRE18412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:106534:108650:1 gene:WBGene00062050 transcript:CRE18412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18412 MTSLVWMILVGLAWAAEPNSFRSILIERACEKRPDLDFCGPSSPAQPLSSSVVPLVVPPMPTTTASTTTTTTEKPSTTTSSTTTDSLNFDDDSDSNSTSSTEHEKLGSLVKLPKPEKSSTEKVVDQVIEHSGIVTSDEPPKNSSSVSEVKIEDEKGVLVFVSEYCVVERERFVRGCHGDIDKKEETFCKTYVAACASTTGVLPVMTYCARYYTYYPTHCKTQLVERNALQFCFAFEQFCLPEKTAAQAQSQKPKSSLRKCEDVLPEARKVCNPFPNPKDTFNLLRCTHFLTNCKKFVDWL >CRE10790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2897:258:1152:-1 gene:WBGene00062051 transcript:CRE10790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10790 MLQLLVSSIAPQMETGGAIVRDCWSRVFSNAIKNPRARRDVVRLAQTSDSEETIGIIYTCEGFLCNSSKVFSSFSVLFLPLLIRRN >CRE18762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:117131:121082:-1 gene:WBGene00062053 transcript:CRE18762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smk-1 description:CRE-SMK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LK34] MFFFILLLAIVVFALLYTFYLVVFLETLIVWSETDVMDLALSFQEKSGCEELWQKICEVQGRDPGDPDATFDDGDDSDAGDMASSASRLSLPPIEIGRLSELDALLHMHLSSNSAREKMTMAIENDNVVSKLCEVFRMCEDIEHTEGLRTFYSIVKNLFMLNRNTVIEMLLDDSNIKEVIGMFEFDPAYKHPRKHRDFVYKKAKYREVLNITSDELCDKIHRLYRAQYIQDACLPSLGLFEENLLSTLGSHVFFCRVDIVSMLQKDKKAMSELFGQLKSDETEVIRRRDLVLFLKEMISLSTSIPSNGPAATKETFFKVLQNMCNSDILDSLEPSFKSPDHETRAVMVDVLRTMVDSNAQMIRDYLLKQAKNKDKNEDVLLNRMINHLLTDIDVHLTSGSEMILVSKFLNQFSIKIMKTLLDPENMTTVKSERSEFLHLFYNRCFDTLLKPMLENVSGGVIKKDDYMMANRQSVVLRLLTFCVEHHSFSMRQRCVSNDLMNKVLVLLKSKHSFLVLSALKLLQRVVSVKDDKYIRYIVKEKVIDPVMECFRKNGNRYNIINSAVLHLFDFVKSEDVRPIIKYVVENHMEIVESVNYVKTFKEIKIRYDQHRDREETMSVRSEDNSMASPRSFRKDRNEDQWFDEDEGDLEVGTMLESIEKDSVTVSPKKEESGQRKTGIEPMFPSLLKRKNAFDEDESPVFGGGSAAVINNSEKKIVIKVNSDNSSSRTPSPSSSPRASSSSPGPSREDEVTSSQNNKESSPTPTVKSLVDYDESDDSDEELPSPDAVPSSSTGSPESDKESVKDGKKVDSPEYNDVSSTSNEEKYDSGNGSSVTNENGGEGVSAQEFSRKRTSDGCDPNDPKRSRTEESAPATNPTVSEV >CRE18413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:126012:126933:1 gene:WBGene00062054 transcript:CRE18413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-60 MNRIFGTAKKVPPPDLNNAISNVESRSESIDKKIQKLDQDLMKLRDQMSKLREGPSKNLIKQKALRILKQKRMYENQKGQLDQQCFNMDQSNFAIQGMKDNQVTVAAMKEGLKTMQKEYKKMNIDKIEDLQDQMEDMLDMNNEIQEAMSRQYDTPDIDEADLEAELAMLGDELDIGEADTNYLDEALAAPTVPADKPKTRVAEGLEVDEFGLPKLPA >CRE18764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:126935:128375:-1 gene:WBGene00062055 transcript:CRE18764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18764 MTPPVLLTLEDYRKYSERNLVKLARDYYESGAEQEETLRRNVSAFDRLLIRPRCLRSVESIDTSVEWLHGKKVDFPVGIAPTAFQKMATKDGELSTVRGAAASKSIMICSSWSTTSIEDIGKEAKIVGATLWFQLYVYKDRKVTEKLIHRAEAAGVEALVLTVDTPVLGRRLKDTYNKFSLPKHLKFANFESNTQAEMPKGHTGESGFMQYVSSQIDPSLDWKTLEWIRTKTILPVIVKGVMRGDDALLALGAGVDGIIVSNHGGRQMDSSIATIEALPGVLRAVDKRIPVWMDGGVRNGRDIFKAVALGARGVFVGRPVLWGLATSGSSGVAAVLGILQSEFRHSMQLSGFRSIAELQKDDQVVVHASKL >CRE18765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:128847:133731:-1 gene:WBGene00062056 transcript:CRE18765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-196 description:CRE-TAG-196 protein [Source:UniProtKB/TrEMBL;Acc:E3LK38] MGKPVSCLIFLLVSLLSVTSKVLPIDEEDQLLYERFARQGVEKFNQQSNDVYKWELDKTWEVERKLSGGIHYSIFVTLVKTDCKKGEEEERKCRKTDTLKKCQVEINRRVKRHGYGLKDVAHIRNCEEEFTRNIEKFDHRKIQLTDDDSITVQELRKAKIIRPRDYVIWNSFLDFIDRHEKRYSNKREVLKRFRTFKKNAKAIRELQKNEQGTAVYGFTKFSDMTTMEFKQTMLPYQWEQPVYPMDQADFEKEGITISEEDLPESFDWRDKGAVTQVKNQGNCGSCWAFSTTGNVEGAWFLAKNKLVSLSEQELVDCDGVDQGCNGGLPSNAYKEIIRMGGLEPEDAYPYDGKGETCHLVRKDIAVYINGSIELPHDEVEMQKWLVTKGPISIGLNANTLQFYRHGVVHPFKIFCEPFMLNHGVLIVGYGKDGRKPYWIVKNSWGPTWGESGYFKLYRGKNVCGVQEMATSALVN >CRE18415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:148121:149204:1 gene:WBGene00062058 transcript:CRE18415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18415 MSSLSNIQQIVQQVCTPLSINTFLIHLIVHKSPKKLGVYKYLMIYIDLFEMLYSILDLIAAPDFYSYDSMYLVITSSDKILVPKWFQKVLTTLLCSMFGVSMAMFGIHFVYRFLVVSGNRYLKTLSSDKFAVWFVFPVFYGLVWAAVISVTLSPNNYTDQVLINSYLIHRSLSTTDISYLGPNYWITINNGNPILNLSYFVGMSLIFIMVTISILTMFVFATLSYRKMGTLVEVTNNSKQYRSLQLQLLNSLVAQALIPAILMQEPSCIVFSAPFFHKGTELLGGILGITVSLYPVLDPLPTMFVIKHYRNAILSEL >CRE18769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:149496:150837:-1 gene:WBGene00062059 transcript:CRE18769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-236 description:CRE-STR-236 protein [Source:UniProtKB/TrEMBL;Acc:E3LK44] MDNLIFIQSLTQKVCAILSFISNSLLIQLVLYRSPDGVGMYKYLMVYISAFELVYAFLGLIVQPEFYSYSSVILVIARTDRFGLPVWIIRVINTLFCNMFGISMAMFTIHFIYRYCVIIGSPMIRMDSAFKVCIWFLSPILYGFVWGIFLVGTLGPSESTNIVLGERFLPFRHITIDQITYVGPNYYTRDLNGTETLNLIVCGGMAGLTIMVTISFATISMFAYKCYKGVGNLLHESQHSEGYKILQSQLLNMLVVQVTIPFVLMHGPASFMFTGPILHFGNEIAGGLFCIAVAVYPVLDPLPTMFMVQHYRQVLKEMIKKKTKWMVGDEHIFDEIECNFSDSMVISSENGVSTLLFKREIGKYRFRSSICVIFTRIFLFCLRN >CRE18770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:151374:154093:-1 gene:WBGene00062060 transcript:CRE18770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18770 MEVSFDFHTLSLSDLLQIPFRQPRVTAPAPVILCISPQFVAEQWQIFVTHAHIAHYFGGHLHLYVTSMLESYFNLVKEYEKLGYVTVDYWMRYKFKNKTFDSPEPNSNVEWRNQAGAHTDCLLQYKEVASFITFVDLDDVLVPRGFDSYYEEFSSLFYFHPNILTFQYPKREIMLHNKPTIDDINLVEQFCHPWFATTTDTGKIVARPVDLDSMWIHRSFYVPDKKFYVVNHNFLLHMQRPVDTNGQDPITYEMKAFSIFKNLKLNESVLEPVQNELEKHALYACLLLDCCEPGAGFCREEVASFITFVDLDDVLVPRGFDSYYEEFSSLFYFHPNILTFQYPKREIMLHNKPTIDDINLVEQFCHPWFATTTDTGKIVARPVDLDSMWIHRSFYVPDKKFYVVNHNFLLHMQRPVDTNGQDPITYEMKAFSIFKNLKLNESVLEPVQNELEKLLNSSSFQKVASKLPTHAYYFPIMFRCYYEKYYRSLDNTCPNGEGCLMTPITFHYHTNSRWSSDIGCYT >CRE18416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:163136:167121:1 gene:WBGene00062061 transcript:CRE18416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18416 MISEQSNLKLIAEDFRILARVTNAIFLHNAALNRQIQVDDVVSELFQISKERFNAIINVDVKTAIPEIKRMKKNKWTPTHNSQNFTSYLMPQISKIYSDLPENMKKLKRVGSISSDELLIEAAAVRTKDIFNTTCSRLDFENMKKFRMLFAGQPDNYQIPENNEIEEILKTFKSQSMKVIECMKGLKDLEVKLRQQSIIKAYNRYKTVQKVKKIVMDFEIAQNSAGLWGNALDTLKSYFNSTNDVASTSMSGTNATQFLEKMSIVMDQVNQSVWTTEYHTYDYTDTDLTFTAGFRDSSDLLKVFQDLRSPWFQKKIARGASTAKLIKALQPYRNISQMIINLETEWNLMMDFSEQKIDTDVLNGVSVLKNIKTFAAKSTKHLKLLENIKGKIIQCQSFGTKVFDSSAFIRFEKEQQPGEKVMNQLAKLSKHISDVSSKLMRESNLFETRLIYNEQILSVLSGVNGNFNDSFKIVKETEVLIDSFGDKSSRFYDFIGNIASVSEELNHLRVLSENVTSVTTTFGIHKVLESSKILAVAECFRDHVDELKETKQILEIQQHILNFPKNYIMDKIIKYLKKLSKVRLEMKNIGKLVLALRKVNQNQRNKTDNHVLTLKNSKSLSENLGQSTKVLEDLEEARRNREEFRKNSKFSSNVVAIIGRQGLADWMKPMNRFDLLLKEADQLDREAKESRNATLIEMTDIFEKATKIHGIVGSKDLLNKVFEELEIHYPEDEKDAMEFFQLIRNLDLDFSKHQARLKNVRVTVTSLKQYFDEIFGHIKPKTVTIEIKPAVSWIVILSISIGFFFFVIIGVFAVYGLTESGRTKYKNWYLYYFGKHADFEKRWRYSNFMDTTDGKNALLDAVREGNRANLLKVLKNGAYIDAYNKFGNTSLHVATKFAHPDLVELLIKYGADRSLLNYKNRTPVQMIPHDYKTAYPERIEKYEQIMGIYEKYEKKSFRIAVPREFPDSSFHIWMDDATDEKLCNKFMDKFRAITSDEALPTTTHCVVRVDTNGILETSHLDLFMWIFHGVIIVKEQWMTDCLENEKVIMEDEKYLVEKVKFNGVVYNSILPWTEYMAKGEMPYLIGVFVAVTIPDYKNLLTLSTIVTNQGGVMMSTFPLKEQFNRNSHPYLHAHLGPLFLIHDGTIDLSVYKNDKDKMYTLFTEEEFIVFMLKRNINRDNRENPIPVMINDE >CRE18417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:167538:168965:1 gene:WBGene00062062 transcript:CRE18417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18417 MKKIKTVCAMHAVRVLIFLFLAFPSNIKAKNLEKSDLEQISENFRILSRVTNAIYLSAETIKKDINVTNIYCELLQVSPENLEKVTNVDVEPVTEEINRIIKEFEKIKTKIQNEANISTKLTDLTSTYMKLFENMERWKDDAKEHWNQLREISTNLRSNNLINNDCLRFDIPTFEKFHSLFDKEIMVLPLPPENEVTRIMNDFLAKSENMKSCSEVLSRIKNNDSLLELIRFNESKLLDVELTLAKIRQFALLGKNTNHATDMNLLIQKLSTLLHRTKPIWQGDSNPGSMLLAMHGLNKSITVALDALQNPYLTDDSSTGGLRNLTDLSKVFTDIESQWFKKIVAKGASTKKLADAFEPHRKISKEIILLESSWKELLGILGEEYKEIKNHFEPLIGIMEFAVKTPNAAETVSSKDVNCLEKGNKNFNINDLLPLEIGMRQIEDVVLKMKNLDKQFSAI >CRE18418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:173969:176501:1 gene:WBGene00062063 transcript:CRE18418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18418 MKTVSDCFRGPKFNGVKASLNVMNSILSISPAAAVISTSYKNELKKVKDGLAAIYKTASTSYSTKPSDETTKLLLAFNNSKTLAENIGKSTGMLENLEAAYQNRKNLLDWSPEIMPEEILRICENEGLSEWKNPRRLVNEMIADYERLNKVAKSIRNDGLIEMTKIFEEAAGIRGLPGSEGQKSRISSKIVENIRASKTYKNQKHTIIKNISELFIKIEALDLDFAKNQGRLKNAKVTVTSLMNYFDEIFGNSKQKTVTIEKQVNLSWIVILGLSIGIFFSILIGLIAIYGLTKSGRAKYRNIYMYYFGKPEDFEKRWRYSNFMDTINGKNALLDAVREENKPNLLKALKNGAYIDAYNKFGNTALHVATKLGHPEFVELLIKYGADRSLLNYKNLRPMQLIPYDKNDLPEHKAKCDQIKKIYKKYENKAFRCSFPQVFPSSSFHIYMDDSTDVKLCNSFIDKFPGITTDEITSNITHCVVKVDSNGVFETDRLDLLQKVFHGEIIVKEQWMTDCLNDEKLIEQDYKYLVEEIKFNGVVYKSVLQWTEAMAKGTMPYLVGACVVFVFKAGYPNLAILTEIIKAHGGYVLSQFPQKEHFNVNSHPYLHSHLGPLFVLHDGSTDLTVYKNDADRMYTVFDEQEFVEFMLKRDIHRDNTEKPIAVMIGDE >CRE18419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:176806:179498:1 gene:WBGene00062064 transcript:CRE18419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18419 MNLSGKKLETICLMRTLFVFIAIIFSVRVSGKSKSVSSSLQLISKDFAILSRVTNAIYLQSAFLKKDLEVRIFLAELLQIGSQSFSKIVDVDIQTAIDELYRMNETMSRYPQNLIQIKDLLQSRHHIIIVKEIAEQADSFSDTMKYSMIDMLIKARNIRESFIVNNNCSRLNHTIIFAFLDLFEGTKPLPESNIISETEKILKSREYRNYKKLIDVKNIALKFTSRINDLRKLADRGLNEIKESFRFSRPAWDGNSENYNQTQIKNAFEHFLVSAEEIYKYQTIEYTPSSIHTAGFLGSKDMKKVIGDLKRPWFKKNIARGASTKNLEKALLLFRKVPQTIIDLETSWKKFVNFTSRNDNIQITIELLLDITKVSENYRSYKKILDKSSKLLSNCLNFGGIFDHEQFRIFENEQIPWKEIMTIILEINERFSRFEERYFPFISGKLVIKNLETIRKNMNWVFSLAKFQNITDETSLESMHEMRDWFKLADKNNGYLMLLRESAEWSMKLKKLKEISENLEQKQSISVKDILNKIKLIESGKCFRKDSSYFVKLQNVISSLISITLFTSNMVPQTREYLDSLSEVKNKLEIVKKEIMTVNKMRPTSDSNAVLSLTNARQISEAIGLLLTLTAIIESHGGIVLNEFPLKGYFNKNAHPYLHANLGPIFLIHDGSIDLNEYKNDPDKLYNLFTEEEFVIFLLKHEINIDTRENPIPAIKEKAMK >CRE18777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:188963:191132:-1 gene:WBGene00062065 transcript:CRE18777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18777 MTRLSLLICIIFFFCLREASAGWKDIFNPKPINGISGQCQNDTNTWMKSLQIFATVSTECIVMNKCTLKELKILEGNLYAVQQFDAWGQFPSPGLLEIKTLYDGSNQECERISGKKYDTNYCYMLIRPGKNASCAVSNSTSLYSALPLRIASCLPKSCDHQEMINIFNQVSPYPFTACAAFCVKNEVKKDSAFWGFSIFLMVVSAVAILASSIDYIRETAFGISSVKEKNVFMKILLTFSLWTNAELLLSVKEQKPGFIKSLDCIRLLSMCWVVTGHSFLYFILADTLEPVINFPKHFWNHLLLNAFVSVDTFFVLSGIVVAYLFFKTKPSKKMISNPITWILFYIHRYLRLTPPIMLFIGFFTVYAPYIQGVFSASELNGMYPQIEACKTYWWQNLLYINNFDKSDNNQDSTCYGITWYLAVDTQLYLVAPIILVALYFSFAAGTAVIVAGCVGSIVATYILFGIYDVPADIIGNGNQNNFFDIAYSKPWIRCPPYLVGILTGYLLATYGNRKIRLNWALSVGGWIVAFVIAGGCLFSTYDYDKGAHWSTFTRATFYNFHRLGWGVFVCWVVGANHMGWGGPINNFMSHPIWQPFGRLSYCAYIVHWMVLFYYLNVGGVVVHYSSAWEVVSGTIKIFEKKIEFQFIYIAIPATLLSYVLAFFWSCLFEVPILK >CRE18421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:193934:195967:1 gene:WBGene00062068 transcript:CRE18421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18421 MKQKVVDTEKSVDPIFRISGPPGMEKEESDSSLEMKEDCFSLSDEDAEETNWRLIYITALVSFLGAIEGTAVHMSEWPYMREIDPDATVQFFGIASSASKAAHAVFVLIFAVWSFKYKTVKWPLITGRIIAMVACFLYLAIEYVPTGRRYMMMFCYILFDISGSSPSILRAYIAAVSMPKDRSKAFAAMSLSMTLSIICGPLIQMAFTTIPYPGFTIIPHVKFHVYSAPIWIANSTNFISIAIIVFGMKELPRKPKTKKPKKPSIFELEGLKLRIQKIKKANIDWILVFVCWIAKCGKTMTARSIGTLLPILMMVQYGWTGIETVRITSFLMGGSGILSMFVIGSFMFCKLGTIVQPRFIYLFSIALFTMLYVVTYPYKSIGVEVAAYNSTDNTGCDPDKYSWCEGGIAPQPYIFLCCMVFVFGMTMPSSGISLDTIYSKILGNIDQSVMQGAAVILDDIMMVITPTYASYIYTLLGLKPLWIMNGFIMSTVVILWIIMLRKFKPYS >CRE18778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:196094:197334:-1 gene:WBGene00062069 transcript:CRE18778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-8 description:CRE-SRSX-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LK59] MYRWNQILIASHKSFFICFGIFGNIHLVFIILSTPSFRSKSSYLQCIQSTAHIFCIMNSFIDVYLMITDTEILRETCFHIIVPNIFCYCVQSSIMVFILLDILFIVMFPIAHRNFSDWKYVTAMAMIPFLWGGFIVIWGFQGEDYSSLHLCSSFMALQRPIRKVLAPVTVASNTLSLLIFLILILVFKKKGQTSTESYKIMRHLKVSAALFIFSNYFSAFAINFVILLGYSGEDLSNIIGNISMSSMFSYSHTFYTIIWRSADYRKRFIGLYCKSVSPQNTFFVHSPGKNRAASDF >CRE18422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:223929:226334:1 gene:WBGene00062070 transcript:CRE18422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-25.2 description:CRE-CDC-25.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LK60] MVTDDDSTSRDSGICELMDENAPVCFSSLTGTSYEVDEPMEVDDEPEVTKPQKKVPLRRQKSCQIRLFDELPTTPTLPRFPSGALTLRDSNLQDPFNRKRMSDDADYGRVNKIVKSGPPYDHYHLESSLEIPDEEETSKEALNDEIIEVEGRQYTSDTPFAVPMAHAKRKKKLALTHYNTDPMMNLNGEEDDDEEVDNDDQKVDYHLVTTGSRCSSSFRRIPYQTLMGLLREMSDEDFKSKYLLVDCRYPFEYEKGHIKHAVNYYNPENVQSLFYGEGNDQLHSKIPIFYCEFSQKRGPSMANALRRIDRQINESKYPLCHYKEMYVLDKGYREFFQSVGKLVEKRQEFFSPEYEYVEMSDNRYACELKKYSFHKRYAPGFSVFRSVSDTSNICPQKLQEASTSEMIEEEVEVEGDEENTARRSSPPPSQEIQVQQHQVINTTPGIPRMGLRTASARQLFVDHADSPTNYDRNVEAIAERSPPTVKTPCSRIDFGHS >CRE18423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:227138:227532:1 gene:WBGene00062071 transcript:CRE18423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18423 MKIFLILLVSLLALISAKPHPGYGRPSYGGGDFGGGGDSGGQWGSQSSSFQQSQSSGGFQNSNGGFNGGGMGPGGGGGWGR >CRE18779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:227801:229391:-1 gene:WBGene00062072 transcript:CRE18779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18779 MGQDGSKEDFQQQAADIQAHQLNQAAQQQSQLLAFQELQRKQNEERAALRRREEERLDAADREFAEREKNVLEMARKTQEANRARADQIQRDNEERVRAAIRDGNDALDKQRNINQSEITNKTLDHQNQLTRTQNRIAETEKNAEEKVIKLKEKNEQIQQKIHEDSKHYHEKKLEASERHALELEKRHNETKEIMLEREANKLKHTERMAISQKMFEAGRINIAIEGERDVRENHFIDAVSNTKRCGLEVSSAINGMGKYAYALKKDKEAQPKDINALKVFNYSVVPALLQQTVPNKIMHLEMSASSILQQQESANPNAVKCQQIAKDIKAAVSKLLKATTRFQASLDESPIDESPKLFKKVTKANDALQELINSFPIVTRSHHAIGMIMQSTRSITSGNYNVEDIQSISTLTVSAIEDSGTNS >CRE18424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:230445:230816:1 gene:WBGene00062073 transcript:CRE18424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18424 MKFIIFVLAVLAIVWAKPHPGYGRPSYGGGGGDFGGGGQWGSQSSAFQQSQMAGGFQNGGGFNGGGGPGMGGGGYGYGG >CRE18425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:231649:232046:1 gene:WBGene00062074 transcript:CRE18425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18425 MKVLAVLLAIAAVVVNAYPGGYGRPSGGYGAPSGGYGAPGGGYNNQGGFDGGSQFGDNGGFQGGQGGFNQGGGFQQGGFNQGGGFNGGFDGQGR >CRE18426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:233162:234615:1 gene:WBGene00062075 transcript:CRE18426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18426 MTQPTCQYFLLKNPHEPTVIPLSFFITLLSTVINFLGFYVILYKSKKETKLFKFTLFGNLNFPDCSEVFNLPGVLIITNVNALYALLGLMALTGIAAEGVYIISSVLMLFELSKQTRKMSNNNFNHQRKVVVDTTIQIVIKSTFISTWAFWAVISYFMSPQLDTRIVSTTINCLFVFAPIPGTVSMIFLNSTYRKFVAENVLRRKRSNVSPSRYALPMQADLKSQFF >CRE18428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:237107:238671:1 gene:WBGene00062076 transcript:CRE18428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18428 MESSVVSCSSLSPSEFSNNSILSITSSVSQPDDFPEKCQICFQQGHGYHFGVFACRACAAFFRRCHFSAALGQRKCRLMNGQCGPNRNGRWFCKKCRMDRCIQLGMTTTNIQYDRDAFKSSSNFLKNQALAKMVGDRIGVPITVESILGLHHLISFIPMSGEVTNLPFVDTSQLVDKALTILLTPNLVRKNKKTSNLEQLKIGLEEFQSEQKENLSEIVYLSQNDQKREFETNMCAAARWMSCSDQIRQYDDDMKISLLQAVWFVWGRFERIWMTAKMRSKKLCGKKQFVVSQEALIDYDRMDSDISCWSNHTFEEMKFFFVPRELYYDDVIWELMEVQPDDVELTFIMSSLCFQLTGKRHGERVQEEMERLEDIFSNELHEYYTRIKKPMYLLRLKQLMRVKEKFLKIRNTRIDKYQIGGIFNMFNVSFSNPDFFWVPP >CRE18429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:239000:240313:1 gene:WBGene00062077 transcript:CRE18429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srbc-41 description:CRE-SRBC-41 protein [Source:UniProtKB/TrEMBL;Acc:E3LK99] MNSSAFIVTLIGTIASVFVMSLNAFLLFSTRKKKHDIALFYFRFYIDVFFGLSYFLYSFFILGFALYENAFFISNSALFWLGLPFSNASAARTFLILLVVLDRLLATSLPIKYHNIRPSVPNFFILILPILFTGVEDFVIFVICGMNSHSIPVTCVAFPCTLNSCGYSWWTSYKSVIFPIIIIFTVILCVKLIIFSKRLKNSVAATRANRLALIDAFVIFIFDCIPSFLANQFPNSPLVEYTSTGPVTAMLKQVGRTIESIIFVELLVRRSSKVEDSKKKSSQPVFTLAKH >CRE18780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:240381:243060:-1 gene:WBGene00062078 transcript:CRE18780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18780 MTSSQLLQEYYARNYTINCPELTYFNSNEFFTRTTLILSPFSIILGSYGILVILIGTTIHMKSVKWYLFHYHIITFFIELTLNNLIAPLVFLPSAAVYPNGLLIDLGFPFKLVMYIADISFIALSLSMLMIFENRHSQIVTIPYRMTRPSTKLIFYSCHYMFFPVLPLFYYLDDFDQSAAKLEILEIIPCPEPHFFLERTQIVTVKMELSSTISSLMVLYYTIIILFYAIQSGYYLLKRPTSYTSDSMRLMQRKFFFILSIQIVVPIFFLFIPNAIYYGVSTADQFVSSMTVIFVSLHGMISSICLIVLHKPYRDFTWDQSIGRCIESPRKASAGRSDYFLNNYSINCPPTTYFRSHEFFALSVHILSPFSVILGVYGIIVIVVATPKSMESAKWFILHLHVATFYIEVVINVMLMPFMFLPTGAIYSSGLLMQTGFSFKIGHIIGQEAFPEYGLAILMLYENRHSLITTIPYRMTRKRTKAAFYMFHYLTAMIVLVIPYMEIVENETEKLKVLQVIPCPDAHFFDEKTKILSTNISMAYICGGSEVLYFCGITGIFAFQSSYYLLKRPNLSVSEKTRNLQKRFFFTLSVQLTIPLVVLFIPLLIFYVTIANGIIEQFLNSLVVLTFSLHGIISSTSLILLHKPYRNFTWNITIGRWMRDSSSHSVEPSFKPSAIIIL >CRE18430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:244002:245718:1 gene:WBGene00062079 transcript:CRE18430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-178 description:CRE-NHR-178 protein [Source:UniProtKB/TrEMBL;Acc:E3LKA1] MSSDPSTSPNLCSEKSEASGSSENSECSNEDSTCRICELTAHGVHFGVASCRACAAFFRRTIVMSRTKKYKCRGGHNACAVNTSDRYQCRLCRYNKCVVMGMTAENVQWNRDPFPEARKRKDKDSEDEEDRVPAKRHAFPPPHLLITKPKRIVDVTILSGKIQKILNDKKIGDHPKLKKMNNLERLEHALKEWRMKQKPEEQMEMISKLTIQEMFSMCEDQMLVIATWLSHCPYFQKLESPEKYRMFKVVWNVWRRFERVEMSVQMFGRKTPGHVMKFAISDKYYVTPKLSIDISKITDWPLSATFMGGLFRDSVRKMFEQTGVQLAYLEPTSMEMAYMLSQLSWQLAGQSLQGKVMEIAEIQQEELADNLHNHYLQIKRRSNYAGRLVKLMSVVNIVKRLQMERKITIELANVFDFFNVELSDPDFFEG >CRE18781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:245779:247190:-1 gene:WBGene00062080 transcript:CRE18781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18781 MLRRVHEILSKKFPKWVFEERTEPLDWSVLPVEMKREIVKHTDFETKCRLRQCSLQDKQIVDSSKVSIPLVRIETDRNYMSVMICESRNRIVKVDLKKKKKRLRKKFTMKISDNIHGSNAKEVCSNYEFEAIFESLLKSVYRDNVTIETLNLSLKYPNDIITKYLDIHYREIIGPRRKTKILCSDLDFLIAQVHFLPVDETRVINNLVTNYEEGRIMMAGKKYNVSPMGHFASIGVTSFWKPSLLACNLGALIAGIIWKVNTEMECYYIPGLHVPEYQEYCDRFEGRITKHNDILVLHIPARDPDNNQKLIIKMNKCGVVIEKRRFEDVPVFEKDKCILDWMCASCDKSVESWYFRAKHGIEN >CRE18431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:248610:250133:1 gene:WBGene00062081 transcript:CRE18431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18431 MTSIPSTSKSDLNTEHTLTSCEICQVPAHGIHFGVMTCRACAAFFRRTIVENRQNKYLCRKDNDSCLIKPDDRLTDILGFKFKIFFRYHCKSCRYKRCVTLGMTPENVQRYRDSFTTPRSQEKRKKRISVENLDQPYELKGKPKQVIDVSSTKKDICEILKYKSTSPESEKMNKLELSEYALREWRNKQKPENEMKHLVILPIIKLFEIFKKQMFVVARWLSYSPDFQKLQEDQKYQFFKLVWNIWRKFERYQISLEMFEDEAITHEKFALNDQHLVTIALQLKFSEISDETDEKLTKCFRDVWRSMFLRIAKPLQDLKPTSTEMAFMLSEMSWQIAGKSMQGSVLELSEKVRDELADNLHSYYKTNGNSLNYAGRLIKLTKIVNSLINFHAELKNRMEIVRIFKVFTVDLSEPDLYDI >CRE18783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:251489:253460:-1 gene:WBGene00062082 transcript:CRE18783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kel-8 description:CRE-KEL-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LKA5] MPPVYARAIFGSFPTVQQVRVDHGEKFLTDAMEEMDLRAQSQSKRVKVVPFEEFELVSNSMGNRVEFENQKQPSEIMRQMQILREDEELCDVELSIDGFVIRAHRYILAAASPYFKAMFTNRMAEMKKMTIELQDIPRESVEILVNYIYTDKIAITIHNVHQLIFTATVLQMDEIIGACQEFLATMITSHNCLALHNFAETYNCTTLISSTDDFAADKFSCIRKSPDFKTISFSHLKRLLNRYDLNVCEEQEVFESIIYWIDSDPKERLQYLPELFETVRLHLIEWNYLCEVIKPNRYIKESRECRNIISLAVFEAMSFQRNKKKEKDSNVYEDNHENTLEYSAASMSESVQSLTTTFPVLCSLSPAHSKIRKSVAGIIFCAGGRGTAGGPFSSVEAYDWRKNQWFPVPDMMSKRRHVGVVSAHGNLYAIGGHDGDSHLATAEVFKPSSNLWKRISSMKTARRGIAVASIGAAIYAVGGLDDTTCYKTVERYDIESDEWSTAADMKVQRGGVGVAVLKNYLFAIGEMREHLHLILVNDSIH >CRE18784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:258065:259116:-1 gene:WBGene00062083 transcript:CRE18784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18784 MADNNRKRNHNHWNQKVKQKSVEAGVTGLFFSCEGHEKQALQEAYSIIDELLEDPQISTAPEVLPEDVKASEAKPEVDVGDDSDEDIADALKKACDDQRQAKPGNGKPGKKERRCIQRPTGVKNCIFVSVKNAKIELLAEKMVDLTQKAPRCRYLQRVYPVEHTMAVDLSKMNEVLMKVISDTLKPNDEGKLPTYSVEFKARNNDSVARASVLQMVDDAICVLAPAARVNLNHADVTFFVQVSRTTIMIGVCRQFYDRRKYSLRPVKAATNAVTAAE >CRE18785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:261000:262639:-1 gene:WBGene00062084 transcript:CRE18785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18785 MKLFVLLFLPFLAAEHYSYDGAVGQIYSPNYPDNYDNSADVAYTITVPTGNYIHLTFFDFLTEDTYDALTIENYTTLSGDQTGFAIDIQGSQVTLTFKSDLTTTFRGFAIQYDMVPFGSVFMPTDTCSIFIQNGAYGIVTSPNYPENYPDNKSCGTLIHVAEGHVISLEFLAFNTEDSYDTLSVFDGNSTSFPHLGTYSGKTVPPTITSSSNSLYLYFSSDLVNNYPGYSALFVSGVSSDYKRGVLPQTLTTDSILRRDNQKLLDWLKKKAESKKLVIPKVGSN >CRE18432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:264311:265163:1 gene:WBGene00062085 transcript:CRE18432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndx-2 description:CRE-NDX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LKA8] MMTSLSTTQNPSNGKEGTVTQPANCPYQLFNQEVVWNGKWIQTRQVRFKTSNGQQGVWQSVHRNTKPVEAPADGVSILARVRKEGKLFLVLIKQYRIPCGKLCLELPAGLIDAGETAQQAAIRELKEETGYTSGKVVMESKLCFLDPGLTDDSQCLVVVDVDGDAPENLNPIQVLDSTESIEVLLIEQSKLMAYISNLDSSSIVVESTLLAYAMGIQFATLQ >CRE18435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:268443:270199:1 gene:WBGene00062086 transcript:CRE18435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18435 MDKQCRKSKWKIVNLVKLLPMEPENRGSVYELGCCDLSLEHTGDCLAILAVFWIELNTNNVPAKCFGSIYRITRKHTVVFCKLIPSPSMVACCRLTDRKSFTADHHCLLVFSKDAQVSAYRVEPTFIEQIEDVFEWFPSLALTNLPGGTLRTSCKICNTFRYSAVGLDTGVLIASVCMIEGNVILDSVRLRYASPISVVEFLPEVSNNVQRLLISSFMGPAGIWRLKFADDKLSWEQECTFERSQYYDSILCACIYRFYHGAPPTVLFGTYSGRILQYELPPPTTFVRKSLKGVQKCGKPINLLINNGIYFIKQTGFNEISAVTPFGLYVVRENFATSKRLGKFGQQWLNRYQNKLLLKNPRNVYDYSPAELRRLSIDSINEMTMRPRIGSTVSQMTYRYSEEDGLERTERRRQTLGAKDYQRGATTSASGSSNGSSTRLRQLDVTITDQYYQDGPSDSIHSPNRTSAPSPRISTLHELSHPQSPLATGDNRPQPVFFRAINKSLSSPSRPQK >CRE18786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:270558:272942:-1 gene:WBGene00062087 transcript:CRE18786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18786 MSKKFVNKLEETVDDALFGLVSSNKDVELCKNSNRVVHLSQLDLKTVSLIAGGGSGHEPYAAGYVGKGLLTAAVAGNVFASPPSRNVQAALEVTKGEAGAILFVINYTGDRLNFGLAAERFNASGGNAKVVTIADDLAIDNPNSRVGRRGLAGAVLTIKIAGAMSEEGKSLDEIYEMSHKVAKSLGTLGVSLYPGSLPGKNRETELPIDQIEVGLGIHGEPGKFRAPYECAHKIITGLMGTIQVKMEMKKSEKFVVLVNNLGSVSQLEMGIVNGEVLRWFADQKIEITRFYSGTYMTSLDGHGISVTVMRADDLMIRYLDAPATAPGWIPSFSVGEVREVTREPSEARNITEISSSGISLNAELVRGCLDGVVKSMLDSEDELNKLDAEAGDGDCGSTFAGAARAIQASQKAKELDFEQPETLLKQLSVIFEQTVGGTSGALYALMFSSAAQEIHDIVDSNTILEALKKANQAVQKYGGARVGDRTMVDSLDAMVEELSKGLKENQGLDVFERAVQVGSETKSEHSIFYVRSFGEERRTASERAAEDTANQKASVGRASYTSSESQTKPDAGATAISLWLRAFWTAFKQEMGKK >CRE18436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:273410:277801:1 gene:WBGene00062088 transcript:CRE18436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-angl-1 MQQRRYNPYEKRTGFRATNGTSRGTFKRFAPRQDQFQRGGVGRGSRYSEQYTWQEFQSSEMAANNHIPILHPFNISPPKPIETIRLTDVPSTSTVPTVQSDHPGSANICSSWLAKNQCLTRHKKVEEIVVDERNNLTVVEDVADDDSDIVILEEPKDEEEKEDLKNRKIEKKKKKKKRTLSTLTASSDDSIVIIGEYSSTAQNNTTMTQLETKMAETFWSNNSASSSNLIQQPATTFVASSLSNISPNRSLCKILDGFSKDCTAAKRAARRWNNVRSLTQIVKNPAIKTSQFTICSYNVLCQKTIARTSYLYRHLKSCENFLEWNHRWKGLQEELPTFDADVLGLQEVQVDHFEEHFEPFMRKHGYEGIYKQKYGTEQKDDGCAIFYRPEKFERVGYQEVNYFISPNSISNRENIGQILALRCRITGEIILVANTHLLFNEERGDVKLAQLAILFASIYKMRSDIGLSTQFKNSIPPVLVMGDFNMEPNSKIYDFIVNGKLFIEGESIRTMSGQSIRPGGKKCESAKLLFETTVGLNSMFTPSGSSGRLPVLDGYIRHPFQFFSAYHQGLTTSPHQTRKISTYHKDAAAPDFIFFTKENTKSGDDKLQLLECFDLPTYDTLTSAVPWPNRHVPSDHLPIMAKFELTKATL >CRE18787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:278341:284429:-1 gene:WBGene00062089 transcript:CRE18787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-2 description:CRE-EGL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LKB4] MPVGKRGLVAPQNTFLENVIRRCNNADTSFILANAQVVDYPIVYCNDGFSKLVGYTRAEIMQKPCSLAFMHGEHGEVGSLQKMQEALENARTEQAEIGLCKKNSEFSVFSNTFKAILTETPIWLLVHLAPIKNHKDAVVLYLCQFKDITPLKQPLDDENNKGLSRILQIARIAKSKQQFNQIETKDLHKSPGNTSSNFNQVMNLGGDMLPQYRQETPKTSPHIILHYSSFKTIWDWSILALTFYTAFMVPFNIAFKNSSRENPGGGIDSVALMDSIVDVIFFADILLNFHTTFVGPGGEVVIEPSVIRQNYFKSWFLIDLLSCLPYDIFYMFKRDDERIGSLFSALKVVRLLRLGRVARKLDNYLEYGAATLLLLLCAYVIVAHWLACVWFWIGDSEVRLKMDNLGLPDGWLWKLSNDLRQPYNVSLSNKTTLVGGPSRTSAYISSLYYTMSCMSTVGFGNIASNTDNEKIFGVCMMIISALLYAAIFGHMTTIIQQMTSSTVRYHEMISNVREFIKLQEIPKELAERVMDYVVSTWAMTKGIDTAKVLGYCPKDMKADICVHLNRKVFNEHSCFRLASDGCLRSLAMFLELNHAAPGDLLYHTGESVDALWFVVSGSLEVIQDDEVVAILGKGDVFGDEFWKANGSTGQSAANVRALTYSDLHMIKKDKLMDVLDFYKAFANSFARNMTLTYNLTHRMKFRKVADVKREKELDAKRKNEKLTLPNDHPIRKLLFRMRERHGPRIFPSPMFADIEKGLKKSTEISRISSLHSMVDETGGSSYIRSPRNKPKRPPLMKRQTVDEDALSRTSWGLDKKDREWSSLSNIKTEMKSKFDMIGERLGIVEQINNRLAMLERAIIGNNGLEQVLRVPTMPVGSFSALNDSGNRLTLDAPPVARSVSWSEQHQPQWQRAVPPLRELEAGEWEPPFTPNPTTSSPQPPVPHIQIDEGESARPPTRTRI >CRE18437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:298422:298934:1 gene:WBGene00062090 transcript:CRE18437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18437 MFSPSTATNLLSVWVPTSQVTAKQTYLYRCQNFVQPTEVPYKIHNAIFIFSILPLKNMQTFLFCIFLVVLSASEVSGIVSDSTRQAVQEALFEALKTMDLVTEPSVSDGSIVESQIANEDSKKLQFNAEVLELASQILVEKYGVGILEDANEVLQEMTAVSDSSEESNDS >CRE18788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:300890:301777:-1 gene:WBGene00062091 transcript:CRE18788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18788 MLFWSATSPLIQLLILLCIVATWNTVNSIKCYSCANDFIVWQWRHFFLKRNYDISTSDPECTSRSFVDFRDYISDLYQNCHSTCFVFYLNGTNKQTGHTTVLGVGRGCSASFLTDDQHLHLGLGAHSRPSHVGEYLPHDFDQFDITEHWCFCATEKCNSEDCFSSPFGSREYASSYIAKRLQYSSSYSHNPWKYRNTGSRAISSFFMMFFSIVLYKCLCL >CRE18439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:312563:321573:1 gene:WBGene00062092 transcript:CRE18439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tank-1 description:CRE-PME-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LKB8] MARRVNKKKNVKAGTVNQARRIDGQLGNRVGDGARNKSSRQRTQAVLYQAPTPTIIHRKTAKNAIAKKTVKKGVKPSKKTSKVSAKVVKKIGKKAAGTKVKTPKVKKPTKKGNDRLTPRVITEYDENPFFADAEVPEYISASVYHRWITRAVLRENMKEIKDYYKSKKCQKSAIYQPFAYTYDASACYEACKKDVKFATEFFKMNHKMETDDSYRPGREPNLLEYKTTGRKNHYMIGRHTRQIEMGRGGKEGNNALLNYSSHNDEPDPLTRLIQENIQYTKLFQLCKIQNGPFDENQLESHFVTAVRMGHRELASALAQGPARNHCNDLHRCTLKDQKLPAKILPVSVAKKAFMNKNVTPLHSAAISNSTHMLEAMRTVYPTINIPDQDNWYTIHYAACAPGSAPVEYLLKNGGSVSMLTKQTETPLHCAARAGRAANCTFLMKEMLELEKGDDGESTIRPDRSIVNARNRSGNSALHLAVLNNQLDAVDALLAEPTILVDLPTSTGSNRVTPLMLACGKGYLEMAKKLFEKGALVEAKDKKKRTPLIHAMLNGQMHTAAFLLAKGASLFLADSSGNTAAHYASGYGFMDCLKVLASIDEEILATPNDWQLYPLSIAYLKGHYGIVSWLLEGQHKEKANINAKDNNGATLLSNLMSYADENLHKDLASQIEYLVARGADSSIPDSTGQTPLHLFAQQRVILKGSGEAPEKDATRMTLNDYKKCFDILIRSGSNVEAYDHQDNTPLHIALSNGNLMLFTMMLEKVQDKRRLFEKWANHQNFLHEILALPMKVYGDQVLWKGETLTKPAYDVLPILRDLHDTLPDLFVKWIDEVNKSGYSPIVEAVRQYQALAPNKQLRGEINQTFLASVNELFEWVIRLGPFQLTQRYINAENSAAVTLAKLAMSVPLEDGKFQENHLALFKILIKLSKEYNNIEQFLTQRNEKDDLLILEAIQFDKPRVVELLLDTATEMHLINGIHNAIREKELDQVVNKTIIMYLIEMRMWDLLPKLNASNDFWKKKDEKGNSIWHYAARINSHKTVSLFKMIEAKGVPRENNIDGRSVLHVATLACDGSANSVLEPIAWLSTRCPIEAVDKYNRTALHYAFGNENDFKDGNVPFGESDPIAVVSLLSSLVRPDQIEIADCNGNTILHLAALKNSTICLMTLIRKKCHVDLKNNDGNTPLALAVYHGRQSSALTLIQANADVTEKIFVKAVKPNAFGQQDNTAKEKENYWKWNGKEKKIEEDLCTTIPATVVSKGGSWEAMVYVLLDVLGQNTNSMAQLTDAALRRGQLNLANQLLKSVEALLDGAVLNSNYDLLNTFAEKCFGALTSEETIERTVLSRILTTRGLGLNQPETQKIIRTGLQHGNWNVLNFLKNEMGATAWKNQKMESPSENPIRSLMIYMNEKSVTSDAVGFLEELKNMPRINIDALCTLDIPAKFKNLLEFGNLPALSFAVLQEKPDIIRALRAAGASLKVADEFGRTPLMYAIMTNNRSVVEAIVGDGKLAVVLPKQPPIQSKGPRCVVVPMRFGVTGRSFLPTSAFLPSTAAAAAVASDSESSDEDEDDSEKEDEAEENQDGSGNEESGDEEEDSPPPTKKARVEKEAGPSSGPNRKKLNITDPSLFSARDNRGYNALHYLIEPLAWENVELLADLAASNKKAIIDCLIDKRNPNPIELAAKNMNRKIKNEMLRIVKASSLPRPVKDTKLTIQPVDIEPLSDVDADAANFLAKWAAENDKKKTNEAPKPHVSSTYSTSGTVSFCEETQQYFNILMNKTDLNYGRYGFHNFYRMQIIKRRDADLYILFTNWGRIGMGMGEFQTTPFSSLELAAKEFKSIFKSKSGNEWCPLANFHEMPKKYRLVETDNTPTNLSEVELTWKKNTEKDTIRKMIADISDSKTLKQYASQVQMYGSSQPFGRFTKENIEKAKLVLDKLEKNAKRIKQMADAQTVLSESNLLDAYITTVIYWKLELVFQYFFFQSELSSDYYNLIPSGDFEYSNLTRLDSVEEISKHRARLNRAQEIETATRLLCGAEFRQDLDRVDYIRSAMQCEFRMETPESDISQRILQWIYNSGGKQNKVKMILEIAPKESTTKFEPFLNDDNQKFLWHGTKATNLMSILKNGFLVDPPSACRNGSLFGSGIYLADSFEKSTHYCQPSAEGVNYMLICQTALGKVREMNTLPYRFMSQPSSDAEKGEDTLHYIGDNFPSGSLTNDGIGMPLLPLRKRDEISSDQYGYQTLNFSEYIVRNPHRVLPKYIVIYK >CRE18789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:321700:324151:-1 gene:WBGene00062093 transcript:CRE18789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18789 MIYDNTSTCEYHSIQQVTTVQKLSENNNSRVAFRVPYSVNETCEGSDNESILRSGTVQSFYQGAVQMFPYEVTLQNDIWKFTPEFSCEFPSSPVQRGNVTVCMEVVHTTECINRTEAAAKCGNLGIPDSLMGIASKEENQYLRSISTNYVSKQKTPTYYTVIGIWVDGVRKSTCKYPAGKSTSCNGYNEFDFSDPTAPDPQFDWLPNQPDALTAYEAESNCLYLRFGSEAYVAIGDTQCTDVVFPSIDMCYSGYLCGSDATVCNTSNQYRMVVTWGEPLETSGSTTLDISWVDCVQKCLNDEQCVLVHDTFPTCEYYSIQQVTTVQKLPETSDSRVAFRVLYSNEATCTDAENEPALNSGTVIGSTVDDGAYNITLENDVWTFQRELKCLFPSKAFQRENIKVCMTIVQTPTCITRTEAEESCSSFGIPGTLMGIYSSDETLYLKNMSSTMLNEQKTSITYERVGIWIDGLRKSTCKSPAPNCNGYNEFDFFDPSAPNPSLSWRSGQPDGLTTGAPNSDCLFMRFGEGDEFGVGDMPLVGLQ >CRE18790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:324715:325958:-1 gene:WBGene00062094 transcript:CRE18790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18790 MLVTYGKPLNFSISAVYTISFKECVQKCWRDTTCVLVYDTAPTCKMFALENLGSVRKLNSSSNSRIAFRTFSTSDSCSNISQTPILTGATVTGRTYYNISNVLPTYQEFTVKLDTKTNIWSFKPSFHYPCAPPFMPVKRTNGVYCFGVRTRNIFRKRILIVVQVIQTYSCLNKSQALAQFSIMNGYSMMVIANMGEYNWLLGTAMSYLSTQNMNTTYSKLGFWMDGVRKASCKYPAVRSPSCNGTNEFDYSDPSAPNPVFNWIPGQPDALLNSPRNSDCLYLQVSNNGENGVDDIPCSMTVHSEENMCMNGYIYGTPAVAN >CRE18441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:335217:337456:1 gene:WBGene00062095 transcript:CRE18441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18441 MSRQQDPCLVCTVTERTSYHFGAHSCMACASFFRRTVSFGIRFLCKSNNDCVISPDIKFICRSCRYNNCIKAGMKRDQVQQKKDEQKMPKYILESRKLGVQEIVRGYTTTRNSGSVASSGSGSPTNSSRSASPDYPAGPSSEVPSDFSTQDTLDDSEFSTILNAKYDELLQYYVKQIERATVKRQCQLPEDPYQQFLISKKINDQLALEICQTCPGTDLLERTDLEVLFRYCSFSSLWMDSAWITVATSSNMSDGDTDTTKSQFTEYENGNDQTREDDTNMSTILVKFILRFHSNITSELSRLQLDVFEYAALKSFCIWKLALLDSTLTLKIVAQEQYSGVTSALSKYYQYDKNMDPSDVATRLGDITLILGSIFNVYQDMLQMYGILGIDLSIDG >CRE08214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:529869:532206:-1 gene:WBGene00062096 transcript:CRE08214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08214 MKLVSRGEGRNRPVVRMNVFGIDVDCCMATRNHPFFILCNQQNGEQMLQTIHNYFREFFGSSIEYHLEHGSLNYIPRLENIKNSNIYFGNEATAAQVLDGYLTVSPSQEYIRVSSIGGFEYERNLKLAQTSVLDIWIPGSRAGVILNHFKGRQLFIIGAILTDDDVIQFLNNWKSSQTQQDLELLSIDVGSEIDLNIENIMRNINIKQFDANKKMPVYSYDRRRGWDKCNWKIEKFGSPNFIFRDADQHVASLKITTQGIQFSSWKMTEEEVMRERIQKSFQETPIQKAKPIGNFIKISQLSWLIQREILSSMELVDLLMMASCSQKFHRNMKSIMKSRFDKIFTITYEQRLSSYINISSSSSGDEPFMSINGRYDFKGRPLIPLNLVRMDLQVSMPTRNHPLMILSNWEHQGTLLTSIHNYFLDFFGSSVKYQLNVNNLIRPFSKLKNITSTDLSSDIGVAEFLMISPNQDYIALSELGSPLLGRNLEFARTKVLHIGKTYCLADDILSNFEGRQLFIDDGISSDAAIIQILNKWRSNEGYHNLEYFSITVCPFTHPLNPNRIMNSIPINRLDSSDKLPVYQVAKRDHYMKRTWGIHKFSSPNYIVRGTDQHVASIMITENNITFAAWNMTEKEFLEKRPVKRLY >CRE18792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:342325:344072:-1 gene:WBGene00062097 transcript:CRE18792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-165 description:CRE-NHR-165 protein [Source:UniProtKB/TrEMBL;Acc:E3LKF5] MSATSGSTSSSPSMCLVCGLSCRTHFHFGGASCTACASFFRRTVSLNIRYVCKREHDCISSPELRSICRACRYECCINKAGMNRDLVQQRRNDKRTPKYVLNSRNASGADGEIVRDYTTNSYTTLHEFPQVPETSNSMDSSELSQAETDSMGLSPSPSSVISSEDSDEFSKILDVSHAALLNYYVKQVELSAEENLKNILLVKSIDELLEVCSIQNELALEACTMCPGADILEQDDVEVLLKYFQFANTWMDSVWKYSVSSDKTMFEDNSGNNESLSEFIQQIKQTLGSSMTHLNLNVHEFAALKSFCIWKLGVHDTTISIRIVAQEQYEAVTSALRKYYENSTAMNDSDIATRIAEITLQIVPIFTTYQEMVQFYHQAGITTVTFFEMC >CRE18442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:346998:348155:1 gene:WBGene00062098 transcript:CRE18442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18442 MSKRFEGKVVIVTGSSQGIGRATAVLFAREGAKVTITGRDEASLEESKKAVLAVTNSENNVNVVVSDITTSSGVDQLVNSTIDKFGKIDVLINNAGAAFTDENGKVGVEVGLESYQKTFDINVKSVIDLIQKCRSHLIASKGEVVNVSSIAGGPHANTNFAYYCMSKSALDSMTRCFAIDLINHGVRVNSVSPGIVATHFLNAMGIPDEGVKKCYKYYTEHRDIIPSGAPGKPEEIAQVIGFLADRNMSSYIVGQSIIADGGTSLIMGMNTHDLGDVCK >CRE18443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:348645:350474:1 gene:WBGene00062099 transcript:CRE18443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18443 MKLLLILLISLGTVNTGKVLVYSPSISRSHLISNGRIADALVDAGHEVVMFIPEYEPLTEFTGTKKAKVITLRNFKHILATRYADFDDFGKLMLTISRVGFMERLEFENTMADMCDDLMKRRVDLEQLRDFQFDVAFSEQIDLCGVGVIRYLGIKNHLWISTTPIMDNVAYNLGIPSPSSYVPTIEDNDNSDRMNFWQRAFNLYMSTGTQIVNLLATDKTTEVFRKYVPDFPCVREIAANSSLCFVNSDEVLDLQRPTITKTIYVGGLGVSNETKPLDEKFSKIMSKGKEGVVIVSLGSIVPFGDFPEPAKQGVLEAIKEMSDYHFLIKIAKKDETTKTLITGIPNIDLVAWLPQVDLLSHPRLKLFVMHGGINGLVETALKAVPQVIIPIFADQQRNGRMAERRGIGKVLSKLEVGKKNFKESVLTVLKTPSYKKNAIRIAKMMREKPFTPEDRLVRWTNFAIDHGVLEEFHVEGSRLSGIVYYNLDVMFVVFVVFSFFLWVLVYVFKFLLRVIRLDVSREKKNN >CRE18444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:350707:351254:1 gene:WBGene00062101 transcript:CRE18444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-65 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LKF8] MAQSVPPGDIQTQPGTKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE18447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:358367:359247:1 gene:WBGene00062102 transcript:CRE18447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18447 MPYGLVLSVIYVIVHMIIWSLIDYFCLHSAPEMRNYIRDPFKELYNESIDDINFVAGLFSETSPEIVQRSWAGILLLTLIASYSMTLYFVLGYKIITGLNIESITMSKQTTQMQKQLFKALTIQTIIPICVSFMPCSLSFYGAALRIDFMNWVYWISAIAVSMFPFLDPMAIIFLLPALRRRLVNPLNKDRNSVSAITHQYSETPVGI >CRE18796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:361442:363140:-1 gene:WBGene00062104 transcript:CRE18796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18796 MFQTSRYPPITPVQTSTSEESGFLETHENLKKRRSLEDVTKEIVVPKQIQLVETPLVPVEISLAQNIQAPEKIRFKFLQTTKESKTTTSESPSVDNSCECISSRTRKSYDFCYRNPKNPSLIGKKFNCSYVSALEDLKLIDSSEQSLVDMTKAERNEKDVIFVSAISSNHFGNFKEMYATIKRHWPMQKMLLYSLDLSESQIETLGKEPSVEIRKFDYSKYPKYVQNWAEYRFKALLLAEAIKEYSNVWWIDSHFRWLQPKPLNQFYGEIATCFGNVDCDKKSSVMMFVNSTHSNFAVLTEGLLDYFPTFGIDTLKYNDKGLQLSAAFVYLARTPFTLEMLKWHSLCALEEKCMNPPKAKLKCDIIPAWDVYAGCFRYDQSSINLLMFNSFRNHNHYFMDVGSITRTYNHY >CRE18449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:366190:367262:1 gene:WBGene00062105 transcript:CRE18449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18449 MIEASAFSSFPGIMHLKLYILLIISPVLIWTCGSDIAGTSSKSAEFGISFFAPLAYTYPPADVDLVPGQSLTLELANRRVKTDLDLAISKGLTANQIYLYIPPTLNFTFTPPSVKIADGEVCVTDNTYIQISGTVIYKCSIGNSGASTNIPVSGATGAPTNAPVSGATEVTESPVTRDNFHALPRRRASSGVQAHPFDQSMTVIATASQPLYENQWNKIARSVQQALEDKKLLFNDDIQVLLL >CRE18797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:367438:368801:-1 gene:WBGene00062106 transcript:CRE18797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18797 MITDFLLVLSILAVSTTWGASNINKQCRDLLQCSIQKKCVNLPVLSKLVDGKNISAQMYDDIDKYTDYGCIFTTGCQDECNDCPLCLTSKLQIVDILSGEKSSNECPTLMDCALKCVSESNQDIFQINKCLRQDCAFHCFDGSCPKCSGFITRVFNQMCAAGNFRQKVKGYSGPCYEMFHEIVRAKFSDRFKNNGKTKGHSG >CRE18450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:371217:372035:1 gene:WBGene00062107 transcript:CRE18450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irg-3 description:CRE-IRG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LKG9] MTRFSVFSAVLLITLTSSGVFGEFTDSCTSDVYASIKQCYVTYMAGYNISMTDTIPEYWSFHFVRRDLLDADGLHIQPYVCQLGNALSNCLAPYSCMGPNAYMNMNAANATEATDYWIDLAVTQYQCGAGYNLTMTEFYCIAFCRDRYQPNIDQCDAQAAIDIGNGMDPCAAQQKDFNCQAAVYRNCCDWNAGVYICNVDMAGSKAVNPACVNAGLITCPAAR >CRE18798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:372167:373382:-1 gene:WBGene00062108 transcript:CRE18798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18798 MSTRNRDRLVVTEDSDDDYEREESGNESGEEGPSSMDAGNGDPDETVAFPAIQRKKKNMIKKLTRKEKSLKHSVKEYRTKLAMVKPDVTTDREKERNLRRIATKGVVQLFNAVSDRQKTMSDAVKEKMTARERREARQRFDGKNFDSDKFADAGYGYGAKKEVKGEEEEQMDMGDDDEIDTGNYSDED >CRE18451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:377168:378676:1 gene:WBGene00062109 transcript:CRE18451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-17 description:CRE-ACR-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LKH1] MKNSQLFLRILVLQWLIVLGWSQQKQLIKYLFRDYHKELRLSRIFRATSADLKLFRPVKDESSGPTNVTVQLYFKQIQKVHENDQIITLYCWIEEYWQDEFLMWNPEDFGNIKSLHVPSEMIWKPDLLVYNNANMNIKENEMQTNVQIEHTGKISLFRAIITDITCDLQMEKFPYDQQICFIMLASWSYDGSQIMLNTAEQPTETPLDIKNRTNMAILNHYIPNMEWKLVDFRYRNNLKYYDCCPNPYPDISYFFAIKRNPSYYLFTLIIPSAFITIVTVIGFFTPHSSTGENTEKVSLGVTALLSLAIILMMVSDKLPATSNSVPLLGQYYIGLIFIMFLATYCTTFTLGIQMQGNANIIFESVTLSADIEKCAKKKPSSYFLFF >CRE18799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:378740:381136:-1 gene:WBGene00062110 transcript:CRE18799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18799 MDTRSKTFRRSHAFFYVLLIVFIYIIFCLLANTTNPVPKIPEESQVSYVVKKVESTVKPIIQIPEVKKEESKLKPKSTRKPEWDTLDGMGYEFEKPKEIKMDPRFEIQNNLSKCDLTVDKSPSKIDIPKLMNDFQNCIKPIVEKWKGDVKSINTKWTETLSCDSVFNQVEILPMENVHETKWTILPTCKEENIMVTLGIGHDTIAEEKLNRTLPNTKFFGADPIIEPNRQLYTAFGKYFPFAIGKKPGFTKFRVLPNQNQKTRKYEYQDVTTIPFTYFLSDILGLKQIDIAWIDIEGGEFEFLDQIHRGGPLDQKGITICQFNLEVHSKFHPPGAQVYHDFVFRVLEEKRYVFLKPAATDIGVHRMFFINVENEKCIRKFLQ >CRE18454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:385225:386555:1 gene:WBGene00062111 transcript:CRE18454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18454 MELDREKKILIGCLASSFIFYHTARQIYTYINAKSQEWVPIGVVKSLHIYPIKSCKPVDLFAFKCTKTGPKMGELEDRAFLLVDESSGRCESFIVLSSFKFLNSRFITARQKPKLVHVESHIENETLEITVPGNPKLVVDLKKVLENGRIIRASLFDNLQQDGYDCGDDVAKLLSDYIEEPNYRLILYKEGLYTERTCVPDEDWWNTPVPKRKDDVTTDASLKALNERLDTKVIAYDLNIFSQYLRLLSIFQVTMRNFRPSIYIEGCLPWDEDKWAEIRIGDAHLECFAPCTRCVLTTVDPEKGEMSKENQPLKKLREFRLAPEGKMRKAHKDSPVFGVYAGTVKEAYIHVGQTAYARYKPSVF >CRE18800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:387255:388954:-1 gene:WBGene00062112 transcript:CRE18800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18800 MLTRHTFQAFRNISGPPKKSVELPKYDLVIVGGGIVGCATARQLLIEKPNLKIALIEKEKELAVHQSGHNSGVIHAGIYYTPGSLKAKLCVEGLDLSYKFFDEEKIPYKKTGKLIVAVEPEEVPRLDALFTRAQTNGCRDIEMIDPKRITEIEPHCRGLKALWSPHTGIVDWGYVTKKFGEDFEKRGGKIYTSYPLEKIEDNLKDSNYPIRISSDPSFAEFETKNLITCAGLQSDRVAALSGCSMDPKIVPFRGEYLLLKPEKRHLVKTNIYPVPDPRFPFLGVHFTPRMNGDIWLGPNAVLAYKREGYSYFSISPSDLLESLSYSGMQKLVKKHFTFGIKELYRGIWIAAQVKQLQRFIPELKYSDVTRGPSGVRAQAMDSAGNLVDDFVFDSGTGKLSSLIMHVRNAPSPAATSSLAIAKMITSEAVNRFKL >CRE18455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:389844:391484:1 gene:WBGene00062113 transcript:CRE18455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18455 MGLCKCPKRKVTNLFCYEHRVNVCEFCLVDNHPNCVVQSYLNWLTDQDYDPNCSICKTTLTEGETIRLNCLHLLHWRCFDDWAASFPPNTAPAGYRCPCCSQEVFPPINEVSPLIEKLREQLKQSNWARNALGLPVLPELNRPVKQVSTAPPLPPPQVKHVAYDNAAMEKEVPVHHNRSATPATHLDMEDSASYSVSNNDVTFARKKNYASESSSDTRPLLQQDRDADNEENKYKRRPAMDWMRGLWRAKHGAGMPQDRSSGRKMAIFVMFLALLALITIITVLKRAGYNGEHSSDPMFDPMANPNIRVAIDDSRLPHL >CRE18456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:391710:394485:1 gene:WBGene00062114 transcript:CRE18456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nuo-5 description:CRE-NUO-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LKH8] MHRVGGQLVRGVKAQQKRSLSAALPPKKVEVFIDGKSLLVDPGMTILQACALVGVDIPRFCYHDRLSIAGNCRMCLVEVEKSVKPVASCAMPVMNGMKVKTNSDFVRKAREGVMEFMLNNHPLDCPICDQGGECDLQDQAVAFGSDRGRLQSRYDGKRALEDKNIGPLVKTVMTRCIQCTRCVRFANEVAAFPDFGTTGRGQDLQIGTYVEKFFASELSGNIIDICPVGALTSKQYAFTARPWETRKTESVDVMDGTGSNIVLSHRTGELLRVIPKINDDINEEWIGDQSRFAVDGLKVQRLLTPMIRGPDGQLKPASWEEALFTVAAKLRETPAEQKAAVAGGLNDVESLVALKDLFNRFNSENVMTEEEFPETSGGSDLRSNYVFNDGIASVESADAILLVGTNPRFEAPTLNARIRKSFLYSDVQIGVIGAETELTYEYDYLGASAKAIDDILAGKGDFAKTLNSANTPLIIVGAQALKGEAGAALLGKLQQLADKLGNGKQVKVLNVLQRWAGQAGAQDVGYKAGTSSIRKTPIKFLYLLGADEGKVTKANLDPSAFVVYQGHHGDAGAEMADVILPGSAYTEKEGTYVNTEGRSQRAYPAVSPPGDARVDWKIIRAVSEVAGKALPYNDLKEIRQRLNEIAPHLLRYRDLEPSPFVKQALQLAQTSGSIDVDVSPALRELSDYYQTNVISRNSRSMAQAKKAAIENKSNPYAEEPIHARL >CRE18801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:394926:396751:-1 gene:WBGene00062115 transcript:CRE18801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18801 MGGLQLFLVSLLVVSTSASHICLETSCSSFNVEADIVLVVDASNAYDQATFEQIRTFLLNFVSSLTVTPLDSQIALYAYGSSAQTVASLTDGSSLASIQAKINNTLKYQGSSERNLFQALSKVQAEVSSMSGLRSSDYKKVLVVLSGDSWTGNTVIGSSMLTQLKQKFNVILSVGFGSKALANLNSQLPQLTTASMNTFFAATPDQLTYVSAWLATLSCPNVQFSTVSPAPTTVPTQSPSVSCPLSTLSYDVYLIVDVSNKASAADFAAMKTAIHNFVAPFAFGSLGANFALVATGIDSQLFFTNFHNGQSRYDVLSAIDTLLQDDQPGQTLNLALSAIQGYLSQPTTASKKVLAYFTSTTAWDVSPIATMTTLKSKYGLSPMAVQWSASAGTSDLTNLVGGASCVNVVSNKAATATWLQNKMCSKVFC >CRE18802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:397330:398520:-1 gene:WBGene00062116 transcript:CRE18802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-28 description:CRE-SRT-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LKI0] MNSIIKYGSISAIPLYNCSAHPPEEWSERDGVERPIVGCLDMAYGIFINIMYIPILTVMFEKDNFKMSCFKIMIFLGIVDMLALWVNSIITGFLAFHGAVYCSYPNLIYISGMAGLSLWCCSCIIAMSLVINRLLDLTKPRICAMFFEGKKTFLVMTLPIIYGLYFLFFTAPVMFSSKFMTWFFDPLIFPDRGFEYANIPHGFNNLLVVGVTCLLYTSLCCVLGEKFNMIEDTTHSKTKNLSLQIFFQSALICAINQIASVIYVIMNFIEVPLWLIMLGHVLWQFGHGAPVLIYLALNRTLRNGLLRRLGFRKIRIASYNRDHGSVNITKTGSH >CRE18803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:399447:400673:-1 gene:WBGene00062117 transcript:CRE18803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-30 description:CRE-SRT-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LKI1] MNNIFTYGSISAIPLYNCSAHTPEEWSERDGVRRPLYGLADMTYGMIFNMLYVPILAVLFEKEHIRMSCFKIMIFLASVDMLALWVNSIITGFLAYQGAVYCTYPNLIYIAGMAGLGLWCCSCVIAMSLVLNRLLDLTKPELGSFLFEGNRTYFMLSFSIVYGMYFVIFTPPIAFSSKYHTWFFDPLIFVNRTEEYANIPHGFNNILVVSVTCLLYVSFCFVLGQKFKQASDGNTSKSNKMSTQIFLQSALICAINQIASIIYVIMNFIDVPFWLIIVGHSMWQFGHGAPALIYLGLNRTIRNGLLRKIGLKKQKVGSAVNRDSNSAAFTKTN >CRE18805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:403138:404254:-1 gene:WBGene00062118 transcript:CRE18805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18805 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LKI3] MSSPSESLTFPWFDPPGNTPKEKLAIVQLAYGIPTIIFMLFLFVFLGCSKKYSGSFYRLVQVDLLTNILCWLNTWISLRSMDLQLGIVFVKSLEYHVPGLWNISSFLINFFMNMQFCSAASMSLHRLSSILLFNHYEKFWSRFYIPIAIVFCFYSCLPQIGGETPKISLVNDTLLYTFNPRVISRFTIVVAIFSVVYFILLLSLGISVSIIASNRFQEANVTDVVSKKLTKIALSYGFVYSGILGWTILNTVQGHFKILPDSFTSVSYTMMVVASDLVSHMTLALPYILLIFDSNIKNDLRKPKEWSSAVVAST >CRE18808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:407845:409022:-1 gene:WBGene00062119 transcript:CRE18808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18808 MKLIFLVLPTLFNCIYAQQLVDLKSFLGGNVKNPINAHAPYDVFVSATSDDADILNKIHLITEDKQNQTFMDLKNRKPFLTTSQIQPFSVQTLAYVTTNLTNEQIRRLTGMIYISTTKQLQVNNFHVIDIDKTQSLDLPNENQTILFLNSYMGSTPFKSSTISSWAQPSNASIYFYNGIPTDEPEKEDSFIFSNPVSTADFGNVFFPNVEKFSLSLGAFYIKYYGALTFQITPEYYDANDASTQPFTTTGFYMKPVNQSDETIRINTARDPAYSGNVGANIVGSLSKDGQVTVGEHEGLSFSQKSITPTN >CRE18809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:409771:411168:-1 gene:WBGene00062120 transcript:CRE18809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18809 MFLLAGALIFSTIFQLSHAQQIIQLSTFKGGSVDNKINVAPPYSLYVSAHMDTSIVLKQIYIKTEDGQMKNLDDLKNAKADTKSGLLTPFQVQSTAYISSVLADSQMAALEGFIYITTAKQAKDFTFKVYDVDEAQVIRTSLLICNPCTIVFLNSNTGMTPIQSSTISTWRQSNDSTVKLYSGVPTDQEEVPYSQIFANPIETINGPAQMIPIVEKFSVSLRSFYFKTTSDFYFLIQPFYGFNSGVTTTTDYTSTGLYMKSRTQTSSTFTVICVRDTRFNGTTGANIIGSLPVQNAKVTVQENDGVQKVAMSATPANQIFGVSTDKIGQNLTISSDSSEGGEFFVQYYILQDQEISSTYAPTQQPPGSIETTTKGSDALSLSVVLVSVILFT >CRE18457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:412332:412764:1 gene:WBGene00062121 transcript:CRE18457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-40 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LKF8] MAQSVPPGDIQTQPGTKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE18458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:414781:415605:1 gene:WBGene00062123 transcript:CRE18458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18458 MQSSLPHSIQTFEIHQNYLKINNETYQSGTTTEGIDENTHFIINIGSNSSTNLITLHQTVEEALNRKNGPIKVDFLELSMTHGSIRLPKSLAKKLQVGNIGPVKPDVLTAFATIIDPRPPLDTLELSNVTPNDPVFQLEITKKSKGLEFDVEGENGKWLETIMGLENTITQINNDVPILNLKEIMELIGKWSKSDRKLGNKLSMTTTNKIVKEVSKLMIANLGAVSGTIPIGMLTVVDCTAIRMSRSLGLSVFGQSVDQSPNSKAVMFMGIIEM >CRE18459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:418018:419913:1 gene:WBGene00062124 transcript:CRE18459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18459 MMKFLIFSTLFSITLGQQLMSLSNFKGGNEKNYLNVEAPFGIYVSATSDSAAVLANIYVVLEDGNKTSLNQLRNNKLLQNSGELQPLVVPASAYLTTSSSTTALKALNGVMFLCSAAQLRDEKFHVIDVSMSQTINLQTTTGDGTYFFLNTHMGTNPYRSSIISQWKQGGSSRAFLYAGFPLGGTQVKNTQIFSNPMVSDKFDNALFPNVEKFSLANTVAFYLKVSNGGPSFRIEPGYSNVDGTTTTSTTTTGFYMKNVNGADNTVTIHTKRDNKYTGSCGANTHGHLPGGKVSVSVNDGASQYDDNSAVNSFFTPWSVPYVGENFQISSSGGVDGGVYYVQYFTLQGPAKSGSTILPGRQTTAGPGGPTIPGHVETTTKSSGQTSIPPAPTLPGHVETTTKSSAIIKFSLFAIVLIGVFGV >CRE18812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:420045:423661:-1 gene:WBGene00062125 transcript:CRE18812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18812 MRQSYQRATFVYILCVVFVLILFAQNIKLFTRSTRSIEKISYIEKTSQNYSIQTENYDDQYCIAYNFLEATEQFREDGLEPVTLATHATSDMMGTLEYLTSTWNGPISVGVFIDYHSSQALEHLAEMYRCDIEFRKKMSIHFAIRQSAFQTSCPKVHVASSNRSCEEFHLEKKQLRRNIAGPFQLYPSNLMRNMARKGAKSDIHFIMDADMIVSEGFAEKVKPIANEMINGENKKLLVVRRFESVNKTSIPRTNKELKQSMLDSKTFEFHHKFFPQGHRIKNLNEWFDISSQSDVVSTQEVAYTGFDWEVQVILHRNDPYNAAYFPSRIKVMNSLIYELCRANYTFHVLSHVFDVHEGVKKENTVYSKATIKHQYSYAAKIAGSRYVREMNELYPNTEKQCGKFRI >CRE18813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:426773:428394:-1 gene:WBGene00062126 transcript:CRE18813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18813 MRRSSWKTKLIYFICLIFVIMLFSQNKKKLSRTYWVEQPDFPDEISKNYSIKTEIYEDQYCIGYNFLEGTDEFRDDGLEPVTLATHATSDMMGTLEKLVSMWHGPISVGIFIDFHSSQALEYLAEVHRCDEEFRRKMTVHVAIRQSAFQTECPTIYIPPSNRSCWKFRSEQDELRSRLAGPFQLYPSNLMRNTARKGAKSDIHFIMDADMIVSEGFAEKVKPIANEMIDGKSRKVLAVRRFESINGTYIPRTHFELKQSMLYSKTFEFHHRFFPQGHHIDNLEQWFETSAQSNVMSTQEIPYAGFEWEVQVILHRNDPYNAAYFPSRIKVMHSLIYALCRADYTFHVLSHVFDVHEGIKHTNTIYSKATIAHQEAYAMKVAGDRYIKEMNELYPNTEAQCGKFSMV >CRE18460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:437505:439098:1 gene:WBGene00062127 transcript:CRE18460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ldp-1 MSRYDVVVYGASGFTGAYVVEYLVNSEQFEGLSFAVAGRSEKKLREVLRNVSQKTGKDVSGAAVIVADSADENTLNQMARQAKVVINAVGPYRLYGEAVVKAAVENGANHVDISGEPAWIEKMQQKYGAEAKKQGVYVVSACGWDSIPADLGVNFLKKNFNGDLNHVESFVQLVTGPSGYSFNAGTYQTLILGLNGAATDKLGAVRKEIMPEKIVRGTVKVPKRPTLWEIKEKELGGVAVPFPGADKSIINRSQYYDATVRHTRPIHMETYIRLSSQFYGYLIGLWIMFLSIFVKYPFTRRILQKYPDQCSFYMFKNSGPSAEQMAEASFVYWFFGYGYKEVLPVDQQHEGKPNRKVVATCKGPDAGYIATSGCVLSSALALIRDKDSLPKE >CRE18461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:444863:446344:1 gene:WBGene00062128 transcript:CRE18461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18461 MESLSSNQSLWIFGYGSLIWNPGFAFSTSRKAYAIGWARRMYQGNTYHRGDEKLPGRVATLIEESNSFTNGVVFRVDGKTAIATAVKYLEQREIDNGYSFRMVPVQIDSIHHRRRPTVVMALTCVADKQNELYLGPDDLIKMAREIVTAKGCAGPNCEYVLNLAENLRKLFPNDEDDHLFQLEQHVRMAKVRA >CRE18462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:448426:452292:1 gene:WBGene00062129 transcript:CRE18462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18462 MKPLIVILLISISLTSILCRDGVPFDYLMFTTIYPVAVCRADDDSVPESCEIPDRTPQWSIHGLWPNFENGSYPQNCHGTPKHFDENLIKSIEDRLIKIWPNLYPKKTIQSFWKHEYDKHGTCAQSEKLFESELAYFTEVMKVFDSIDVAGALKSIGPSDKNIGGIDLKNALAGVLEGKSFEFNCLRDKKTKQYLLGDIRICLNKDLTLRDCPGHHVDSHLNRVSRFERSTRRGQRGQPPLPSFQPCPAEFIYLPLESADAPSSSFFGNIWNAIKNIGH >CRE18464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:460139:462806:1 gene:WBGene00062131 transcript:CRE18464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18464 MRVLAVVLLLINVIGAVSSFYILRSSSQGEDVTDSSPSFIRLRRSGILFGKLARNWAADDKRVVLRPLVEYEPDPWY >CRE18465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:463938:465854:1 gene:WBGene00062132 transcript:CRE18465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18465 MNNLESKLSIVVISIGTVSAVFSGILAFLALRIRPTYLSRYQHILVAIGLTGLIYSVCEICTVPYWYVSDGDFVLFAVGPIQNGRIAQNMLLTFAISFIQSLFLISYSFIFQYAQVCRSELMRKSPNLIYLTPIINILIIADWLIAVTYCFTPTDEKMKRATTFVLENMKIILKGRAFLGFSLDIQKSDCLFFIFILNMLILFLILFFCIAYSAKRIISKVSSDLSNRNHFSSIYRLLLVQCISPTLFIIFPCTFNMITGVLGIDLGETIPFILASLLPMYPAINAILIVWQIKDYRNFLFCCTQKKRSNSVMMDRRKSVMISI >CRE18815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:466705:469918:-1 gene:WBGene00062133 transcript:CRE18815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-34 MQSFTTELIIFFTIILSISVLALPLEKKADINTFASAINNAGRLRYGKRSDPAAWEDNTPIFPSADQYVYSEEGRYPYALIKRALNTDSLVASLNGAERLRFGRK >CRE18816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:478571:481753:-1 gene:WBGene00062134 transcript:CRE18816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nra-4 description:CRE-NRA-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LKN1] MKTNERLENHVFFHVTGFIATSASGRVRVLAKDQPLSDVEVLVNGQKSGKTDSQGYITLKNLKEGEQTTVTASAPNTQFNAVQVKIQFPKVEIEEVRVQKFEICGQVEKTEEGTLEKLTFTRKDDKRSLEIQPKPDGSFCQSVSPGQFTVEPTDKTSSLTPRLLEVDVLTKPVTNLRFTHFKTNANVHVSCIGACPTSTISLYLPGQTLVRSVKGTDVFVFENIGPGTYSARLDDNGRGCWEQSEMILNIVQSKTQPAIHFKQSGFAAQIEISHPAEIEWSSVDKKQLNGKTKTKGGEVISICVPTSGLYDISLNSCYKFDKQQFKLTVPFDGVHKEKAIAARITGQIDLENDKNGAVSLRVKSSAGDREIQVSTSENGRFTFDEPLASSGEQLILVPSSKVRLFEPTSKSITVTGKCIDNSVVFKSFRGIFLDGSIKPAVENAAVKAVLKSDKDVVIETASGKDGKFKIGPVKRVEDYEITATLDGFKFTPTSTPGHFESVKLSQLSIKVVDEVTNEPLDGVLLSLVGGRGSDYRSNNVLDTTAHKNFVALAPGEYFVRAILQEYKFSPSTSTISVKEGQHENVVLKGKRVSFSAFGKIREMSGNSVADVIVEALSQGCDLHQSEATTNPDGTFRIRGLLPDCEYQVYAKSYTDGSHAPHTFPRQFTVSMTSEDVKGLEFIATKTVKTTDIAVEIGMDTLPEILSVRVVITRNDNEHVKTASVVAPQHLHYLVNLPRDGVEYAIRVEPEKPPNAFAAKTVRVVADQAMKVARVPLTTSKRLNDVDISVGTLLSLPFFVSLALIFFNQNRVVEYLEVFLDWARNTFSPAADNQYRRRK >CRE18466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:481979:487098:1 gene:WBGene00062135 transcript:CRE18466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18466 MSHSFSFPIEDTQLMSQDSPAPDDMTGPSRSGVIDGIEDTQIMSQDSLAPDDKTGPSRSGVIDGIEDTQIMTQNMNEDMDDVEDTQMMSQGSIRKRDKRKVSSSYIGLVKNSNFQRKKKADSARVSAKRQLENKADKDRRLQNNATRSALHRSNGTEKTKAGKARRDSEIKSSRRSLETAEEKEKRKQVDKQRHAERKSEEPDEERKKRARIDRERKSAARATEDLTQKLSRRTSDRARKSKNNSAFLGIAATDDRPDTHYCGRMDNECAFCGALYFKCETTTKGEFTACCLSGAVNIEQKKIPIALKKLFLTNKDSKNQDLWKESKNFIEQIRQYNNSLAMACMKADVQLPSGGPYCCRIHKQVYHLIGDLHPGVSQPRNFAQVFIMDTEQAAAELAGRDMNSSCSKELFDKLIAILKGNHPHVQSFQMMYEVEKEEKEKAMQEKRPERNITMTFQTRSQDDHRRYQESTANEVAVVYVGDSDEIPGKRGTTVYQRSGRINSIALIDPNCDPMCYPLLFPTGQFGWNPEISYTKPRGKRNRVTMREFYAYNLHVRTSFSPLFRSRKLFQQYVVDVWTRTEQNHLNFIRNNQALLHVETLSGLQDYVVGEEKGPVGMRITLPASFTGSPRDMISKYQDSMAMVARLGKPDYFLTMTSNPKWSEIQECLFPGQTALDRPDLVARVFQLKITEIREDLFKRHVLGKVLAYIYVIEFQKRGLPHMHMLIIMKPGSKPRTAADVDRIISAEIPDKDDNPVLHELVTTLMMHRPCGVHNRKSPCMQKNGSCDKKFPKEFRDTTSTDNDGFSLYRRRDDGRCVEYQIDGQVVPLTNQNVVPYPPWFLTKYRCHINLEVCGAVSAVKYIFKYVYKGTTRAAVLIRVVDGKQMEVVDEIKQYLDTRFVCAPEAVHHLFKFPMSYRSCNVLQLAVHLPEDQNIIFQRGDEAQAVNRAQSKNTKLTAWFAINKKCEETVLPDGSFPPTLKDSRQYFYHEMPEHFTFNTSTTAWQPRKTMETSLGRMYFISPKNRERYALRQLLLYTKGATSFDDLRTVQGRRWDTFVEAARASGYLSDDTMYEQTLSEAAGFHSAAQLRGLFVMLLLFENINNPEELWNKFLKDLSEDFEHHGYSPEEAESLAYYDMKDRMEAMNGDIKQWINKDYQPVASATHFVDLRECEKKGEEMRLLLNAEQSEAVESILAALDLGGLFFIDGPGGSGKTFVYNCLANIIMGKGLTILPMAWVGIAAALLPNGRTVASICKLNINDFCKSSTLKPNSALAKVLAAVSMILWDEAPMSPKAALETVDKLFREITGIDLPFGGKVVVLGGDFRQVLPVVDNGRAEDQIANCIKKSFLWNQFQVFQLKTNMRLTGDALEWKKELLDIGDGKIGAPETGEMSIPDGLESNGDLAEEIFGDLLASGDVNKLAKVAILTPRNKEALEVNNLVLDKMAGELKSYTSLDQITHKDGGEINDSLNFTTEFLNQMTPSGMPPHLLRLKKGAIVMLLRNLDVKNSLCNGTRLVVDDMGARVLQCKFINGPRQGQMVFIPKIKLNYEKGLPFIMSRLQFPIRLSFAMTINKSQGQTFEKIGLKVDEPIFSHGQLYVALSRTTSKDGIRIESASGIVNNIVYEDVLQ >CRE18817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:487301:488493:-1 gene:WBGene00062136 transcript:CRE18817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18817 MGYLPLIVLSFLLPGALANVYSCAGFVKSTSQIDYSELKVKLLTLEGHLKHEEEVNPSDGYFMIPVYNKGQYTLKVSSPSGYYFEPDTIEIKIDGKTDACSKNEDLIFKLTGFSVRGTVDGAPAGLSLVLTENGKQVASTKTSEGGKYEMSAPPGKYEVSTGSDSSECISRGKTAVEVKNSPVVVTPNLKISGYQLEIATKTQAFHPFTDAVITLYAASPIDLPNVKCDTSKGAPNVPSTHNVKCSVGKTDPRGRLSVACIPSGIYYLMASYENGPTSITFDENPQKIEVSQSALQVQYQKETVFSVGKLMFA >CRE18467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:489994:491185:1 gene:WBGene00062137 transcript:CRE18467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18467 MSENHGFVHDEIVVQPATPTGGQPPNGNQASGRKRSVDIGTSTTQIIIESEPPATNRDCWSSMCDVFGTRQSAYITQLRPISTYLLRCQEALKIFQFELERDIKTKQSSMATYQHVMDCVIEINHVSMHRDLNIPPDEHTMLRSVAYEMALLARIIEEVANQAGDKPRSRTNSFQRLTISAPTVHTSTEGDETRDVGTGESVPAVDVGSLKDNISKVFEMVENLMKYIDKKTERRWWLRDVINFTQAAVKIALFISAAISVAYHENQIAPIITLVITIIQGITEGFDQYFLKNKSPDDIHISVLTNTMNNLKS >CRE18818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:492331:495712:-1 gene:WBGene00062138 transcript:CRE18818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18818 MQVSRADMAHNKQTFFERPEGSVFERETLGWKTELWTNHALVHLPTQSFTIYEYNIDVKKGKTLWTKRDDAGPTFRNICKKTKFLLPDSYYVFNDVNMLWSTKQLPRGSGVVGNEERYHFIYKFTRSFQFGESVEHVDSQLFSTLIDAIATSRVRFPQTKTFMILIQMMNCSKCKNKYKVFNRNMFMIQDRQHDDVFEKAPIFVSLQNGIDIRTGISIGIKLNLRAGITACYDLSQTMFTRPCYPIIRLLVEIIQGETISDEEFADKWDKQLIKSTVTEKNQKIMERILNKMLLRYTTENALKEDESGKWEEENMKENNRYRKNKNDFTFFELVGTAERQTFIDDTTKKERTVAEYYLTEKNIRLRYPNLPCIRKRSPKKFIAYPMEFVTMVVEPQRFDGYTTREMKAMMINRTSFTARQRRILLQHIISQKPITDIPAAVDNNDAFMRNFGVVIEKSMLKVNATVLPPPTVVYGGNDKFTDEHSEGAWKALDREPMRKVLEGSVYVRTKDRNTPKLKKRLLGSILKIAAPGRNEAPDIDDTNYHNLMKAIEKAGQPVVWENEEIGQAAIQNSWEFSQLKNEIDEIDKYFQSLLTHIDEKYKKEEDEVIIPICFVIFESRFTTLKEKDSSIRNDYNEVIQIVKGNHSDVVKYLADNKSGIYTQGMLFDTFNQIGFLPASDFTSLIVEKILGKVGTTHRKLDSEGEHKSWKKVTNPKSPTLILGVDVCHPNSRDRKDSEAGVRKLSVASIVGNIDIECNEYRSSSKLQSVGEEKIVMFKHEVKARIDDFTIHNAIRPAHIVVYRDGFSEGDFKRILYEEKLDIENAGRLIDPAYQPTITYIVVTKRHHTRFFLTNESEGIEEQRYNVLPGTLVEDTVTTKNYYDFYLTTQVGQVGLARPTHYYVLWNTWKCRDAFWPTLTHALTYTFCRTTTTVSVPAPVLYAHLAAKRAKETLDGAVELYRKDHERDYNMESYADVAELTRQINNHPNLDGMIFV >CRE18820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:497785:501537:-1 gene:WBGene00062139 transcript:CRE18820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ergo-1 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3LKP0] MSYNRGGGGNFNDDRQSQHSGGRSQHGGSQHGGSQHGGSQHGDRRDDYHDQRGGGDYRHGGGSRQGGGYHQGDNLSRQMGQMNMGRDDNRGRGGHGGNRYSEQGPPRPRFERSTAGVANPGDLAGSAHYPRQPVAKKDMMHTKQEFYERPAGKSVFEGKVGKKTELWTNHALVHLPTEKYLIHEYNIEVFNNKKVLNKKEEVSPIFHEIRNSPEGRKQWPRSSDFIFNDVNLLWTIDQLPYAQGEIRDKRKSILYKYTRSFEFGEGITQGDSQLLSTLIDAIATARCRYPKTCRNKYTVYKRSMFMILDHKPPELESFDNAPLFMQVRNGMDARMGLSIGIRLNLRAGITACYDCKYQNNATVPFQMTPIFQYLTPCSLVQAIRLFVSSLISLLMQLSVMKSSRQAMINKKNMMKVLHKMKLCYSLDNVNPPQKRDFKFYDLTTPADRLSFTNEKGVSMTVAEYYLNEKNIRLRYPNLPCVQKKPSKANQNRLIAFPMELVSLLAEPKRYEGATTTEMKADMVRWTTFTAKQRRLVLQHVISQNRIGDVPPVVDNSDVHMKRHGVTIDKEMLSVNATILPAPTVVYGENVKFYDEHSVGDWKAIDHIPIRKVLEDSVYLRNKDPSAPKLKKRLLGSILKIGAPASNAPMDFDDTCYHNLMKALEAAGQPVVWENEDMGQAAIQGVIEFNQSRDQPAVIYNYFVDLMTNIDEKYKKEDEIIIPICFVLFERRFTTLVNTTNHIRNDYNLMKYLADIHLGIFTQGMLHDTFQNIGSTPSTCKFTRLLVEKILGKVGTTHRKLEREGDHKSWTKVTEPKEPTLFLGVDVSHPSPRDRDDPDAGVRKMSVASVVGNIDLDCNEYRASSKIQSVGLERIVMLQNEVRNRITDFTIHNGIRPAHIVVYRDGLSEGDFQRTLYEERIAIENACISIDAAFQPTITYIVVTKRHHTRFFLKDELDGIEEQGFNIRPGTLVEDTVTTKNYYDFFLATQVGEMGLVRPTHYYVLWNTWKCLPTFWPTVTHALTYTFCRSTTTVALPAPVLYAHLAAKRAKETLDGALVTFGMRDNKEFDMDVYQDVAILNENINNHQRLDGMVFV >CRE18469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:507798:509700:1 gene:WBGene00062140 transcript:CRE18469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18469 MPSRFNPISPPDHRNQRYQNHPNSPTQSYVSTATTYEQPWSSPKFQAPATPRDDQPRFRRTHSMAAKKFGGGGGQKGVNEKSEEDQKSDRPKINFMELGKKFTNKFKKNKNRNNDQRSIDRLSAPIIKLGDTPSTSQPQLQTTASPSPTKKKNAIKGSTSMKMQPEDRMKMFQKMGREQAMKVSNVQRMQRERQRDFSLVPGPGRACLEANPGSSHSIDSMLVFNTTPDSRRSTSLSMTDDDVEPVFKNSLTNKNITSAQFKCQQKLSSGPSFTSTPRLMGNTSLQADSPTISNVRSMSNSSFCLLTSDDTTVNRADSTMYFSADTLNAKPPNFRVPKNIPERSMNDVSLASTASTPTATSSSVTSSGATSSSTSSNPPVSIKKVKQEIVENEDQSNYPSFSSPTPTSDLESLTQNQQKQLQMQQNNQPEHLNVSVSINLWVVSKEIRDNQLQLERALPHLETMRNRAMAIDQQKMLLLESKGDNPSNEDMRRLWDLDREFVRVNTEMSMASMAISNANQLLPFLEMRRNELLSLSPPPQVSSQNNFQTGSFV >CRE18821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:510622:514301:-1 gene:WBGene00062141 transcript:CRE18821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-34 description:CRE-UNC-34 protein [Source:UniProtKB/TrEMBL;Acc:E3LKP2] MSETCIASAAAHVMIFNDPKQQWQHVAGSDGSWTTVQVIQDCRRGDSFRIVSFRAQDRTILLNFKIYRKLRYVAAKEIFHQWRDENKIVYGLNFQHEEEANEFLHFVTLAIEQVANHALNGHNEYQQMHPTDNVYQDPHQHLMHIHSAPTFHDENQNAANFRKTSQHASTLSSASALTQQQRRASQSSSTSAGSATAAPPAPPISNIPQAPPPPPGIAKVNASGVPPPPPPPPLPQLNTGAPPPPPPPPSASTLMASNGTPTLMDQIKMRGNLKSTGIKTNGVAEVDKPPPPKAGVDLMSELNAQLNKRKMTQAKSDAVDSKSNTSNGSSDSGCGIGTNGSNGGSVGSATAKKWSVPDASKPLDSPKAHRKLPSASSLFSQEDTSKPSTSLANGTISSVTPTTLNGNSSSVIPNEQLERLRADMMVEVRLEINKLRQDLMEAQERSKQEIIDAVLNAIGAGRR >CRE18470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:523623:526570:1 gene:WBGene00062142 transcript:CRE18470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqv-6 description:CRE-SQV-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LKP3] MVIFGVNATYRHAAFVISLFFFLNVYLLYSAQNTVQNASHSGDRDVNVANHNPNSIETPIPTCEITDDLAKSAISRATSSSCKSKLHLEACLLKNGTFTETFPESECSNHENHLIDQRIGCYSDKKDARVLKEFEYKFPKSNGKSTCRKNCYKAGFLYYGLEFGQECFCGNDVTNATETDDKDCQTYKCPGSEQEFCGGFNAIEIFRTGLKSKIHMMKPQYLAPGEGEPLKESIKILFLLQLNGRNERQVKRFLKSIYLPHHYYYIHVDKRQNYMYSEMKKVAENIPNIHVTDRRFSTIWGGASLLQMFQQVIRDSLEMEQFKDWDYIFNFSESDFPILPIQDFEKLITVHRGKSFLASHGYNTGKFIQKQGFEWVFSECDQRMFRIGKREFPQNLRIDGGSDWVGIHRDLAEYSISDDELPKKLRKTFESILLPLESFYHTLSFNSKFCDDLLMSNLRLTNWYRKQGCRCASLKQIVDWCGCSPLVFREETKNKFELQKAISKPTYFARKFDSMVDIESIESAELQSMSPEKLRLDHPTYHFAFANIFKNRIDEEKIHFRSLANFALKSIKSDDELKKIVQIDALRAHHNAQIEIVMKVKTSSASVEFLIHRKTHVNFLTESSLEVNGYQLKEMTYGTKFEWKEEICREYMGFVTDKDTLHTRLEWKPTERVKKNGDKTSPEVEFNYRKGDELIEKTVVKPYDSVFGGQFDSWNVGKK >CRE18822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:526867:527583:-1 gene:WBGene00062143 transcript:CRE18822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18822 MSFGDHLILVQNIFLIVFVFCPTWILCKGKKKATGANTPSKRGSSTESLVVKSAIKPPVTDPSPSMLGGVKEEPKNEETKPAEGKTSGENKDAGAGDKEANDGSKDGQKEGAGSADDKTKENKDRSLKPFPKFEMPTESKKKKKQNDNEKDKKEKMKTGFYQEKSDEDDTLEKVESLQVEQSDKTKRSQKKKK >CRE18471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:528559:529657:1 gene:WBGene00062144 transcript:CRE18471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18471 MYSSSIQYNFFGKQFRARIPQKKFLPTGFCNCKVKNMYAWNYASESQVTERMENPTRVLQMMIRRIAMLSKRFDGSPESVSIIHSVVANFDSKVLQQFLPNRFSRAVNTMFESAIKDSSGGIQYADVYKDEYCHVNTFGLSRPGLKIPLHDHPDQNAVMKVFQGSVRIRSFTILDEKSAGTEEEEVSTQEIDQIRVRYEGETVLSSRSGEIHSAVLGPKNGNIHEVVALEPHTYFCDFFFPVTPSCHYYVPVQLEPLVAGQTLVLQQIPCPRSFICDNMDFPSFQKFNISD >CRE18472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:530061:532272:1 gene:WBGene00062145 transcript:CRE18472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18472 MDQLRKEGWFINESQTSEFFEEGILPKTSQEIVKTILNEDLRHFGEASIINLHKKEESVFDGPCVLQLLRYRNVSVPRIKEELNQTDPAHSIIRLFFTDGHTSISAVVLQTIPGINSDTPPGTKIQINGTVPFEGNFLILDKKNVNILGGRVEEMIEKWNVEKSSVRADGFKSSVGKGTGAPKWVSFGKRGQKGQLEKGFKANSVMPKNQKEGDEADDFSKNRAEILKELDTETAKTFAKPNLAPPPVKAPPPPKERQAPTAKPVGARPPRKGRGRKNSEEGPDVDVGEYANHKPSGPATLFDFIGGGGEKGAELQAVIEKTSKLNVSSSNNEGRGGSKTFEKKFDNNKGGGNFNKKFGNDSRQSSNGGASGSGGKYVDREQRPPRNDARGGPAGSKYQSNNDRNPQSSKNYNQSNQGAPRGNQGTKPFGDKNSGKSYENSGKPQGGNGHQKYSDRPNTGPPAAQSQSNPRCGNHQHNQQNRSSNNRPNYSQGNSTNNNYNSNQSAENLRQQSFRQNPQHFHQGPPQGSQNFQRCPPQNPRGNFGQGQHQNFQREGAHQNPRGNQQFQGAPRGNPKGGYQPQENLGQWQVGSQCLSTWTDGNLYPATITQLLPDRQAVVRYNEYGNMQTVPVDFLIFQ >CRE18823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:532463:533891:-1 gene:WBGene00062146 transcript:CRE18823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18823 description:S-(hydroxymethyl)glutathione dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3LKP7] MSSTVGQVINCKAAVAWSAKSPLSIETIQVAPPKAHEVRVKILYTAVCHTDAYTLDGHDPEGLFPVVLGHEGSGIVESVGEGVTGFSVGDHVVPLYVPQCKECEYCKNPKTNLCQKIRISQGNGFMPDGTSRFTCNGKQLFHFMGCSTFSEYTVVADISLCKVNPEAPLEKVSLLGCGISTGYGAVLNTCKVEEGSTVAVWGLGAVGLAVIMGAKAAGAKRIIGIDLIESKFESAKFFGATECINPKNVQLAEGKSFQAWLVDNFDGGFDYTFECIGNVHTMRQALEAAHKGWGVSCIIGVAGAGQEISTRPFQLVTGRTWKGTAFGGWKSVDSVPKLVEDYLNKKLLIDEFITHRYHIDEINTAFDVLHKGESLRSVLAFEKK >CRE18824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:535699:538341:-1 gene:WBGene00062147 transcript:CRE18824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18824 MTDNISGVAEDLPKNVTETEEILSKSEDTKEDSGIDQDRVHIKGIPTFIGFKQFKKLLEKILGDVKSKKVRYMADYAYVTFENAEDAQKAIKLLDGYDYKKYVFTAHLAATEVKNLRAPTTKNTEPAEQKTARESVTPLAEMEYEKQLELKQQNSTNVMDKLHGQLQRNKIINIGKQGEFRKRLETILPSPKTTGYRNKCEFTIGHDLNRDICIGFVGGRFSENRHFVIPPVNVDIVTPQMMAILKDTHDYVKETGLEPFDEFDRKGFWRMLTVREFGGDVMLIFTVFPLESKEKTEEIQKQIADRFLNFETFTEKSYRVCSIYWQEMVHISDTPNFKLIGGTPYIYESLLDCRFRVSPPAFFQTNSQGASVLYSTIGELCGLSEKSETQGSGVPATSETATASQPSGDAIPMETEQNGKEELKEQESDGPPTKIAKIDTEAVSESAKSEETNAISSEEATPSTTEDHGTILLDICCGTGTIGQCLLKNIQSSQKVFCIGIEMIVEAVEDAKQNAKQNKMEKCCKYIAGKAEDTFRSMKYHLPKEFDLRKSRVVGVLDPPRAGMHEKVILACREMETMRRLIFVSCDPNSAINNIVNLCRPESRKYNGAAFSITRVQPVDMFPQTNHMEWIIQLDR >CRE18825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:538858:540124:-1 gene:WBGene00062148 transcript:CRE18825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18825 MPPLEANYDESINKSTDFVDDHKWGKLFPTCVADPDRSSQFMTRMIYVAFSTVLHRRGILSTEYFSKNYITEKSRCLTLCFKNPKALAIAQKLKSAGEAVKKGYLKELSLVLSNNEDDEEAFEVYSMKFHYFKDGGVAAYFSTNAEGDQQSPREKLSRVDYKGTGSVKDQLLSLLRGVLYMTHKILTPLQEGYAANLRVNYTDETPEDFKIDGFSDSNSFYSLPQDIQSATIGHVRPGYHGAVFECASIHMNDAYQAEMSMKRYHAKNCDSLGYNANETLFTTMDSENDPKASQTLIDSVTPASNEKKNTTVENLAKSLDQSATVVTPKQTRATRKNAGEAVKTRTSPYSKTRSRK >CRE18473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:544328:547423:1 gene:WBGene00062149 transcript:CRE18473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-32 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3LKQ1] MPRFVIYFIEFSLIFNVVLTQVNKDNDAAKTPNLHKWSFENGAEKNERREGPPTVTIPNQGPFSWKWTWNSKINTTSTSSISPTTSTTTTTTTSSPTSTKPVYILKSAARKSLRNTLRGTTPEKRKKQLAQMGKKIKVKKISQTESKKLHKSYRKVKVTENPPALDMFEVNERAGLNDYLFQGDINLDENQIAEFTSNSKKSRKKRQIQNSAQFWPDNTVYYYFDSGLGSNMQQIVADAMKFLEERTCVTFVLNSTATNRVKIINGVGCYSNVGMLGGEQTLSLGSGCELVGTAAHELSHTLGVFHSQMRSDRDEYVTIDLTDVPEASQPNFYKMTAATSTNLVDYEYGSFMHYGGRAFVTSGGVDSIVPKDPLMVYTMGGRIVTFLDLKMLNTHYSCSCPTSLNCGNGGYPNPANCAECACPYGFGGTVCNERADYGCGSELTATDTWKQQNYTFGNSSNAMSARTSFAYCAHWIKAPVGKQIQFRIDAAYNTQCGYGCTFNGIEPKLKNDMTMTQTRYCCDEFNGEIMTAEVNPMPVFTYNRYYVTTYSWSYRYVNSTVSACVDLSDKDTCLALKTAYEQGCSVYDTAQLKVMCAVTMDLCGKVVSGSETCQDRFEQSQCTTYSTNGMCTSSLPLIAEFSCASTCGFCVNPV >CRE18474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:547904:549860:1 gene:WBGene00062150 transcript:CRE18474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18474 MSEKKKNGKVASSDKMCLPKMAYYRFVVMMVAFFCLMSIFSNYTIMNFAFICMKNDMEGAILGQNGTLQSIYDYTSTEKAQLIWAVAIGTIIGTIPYNWMYVRYGANNVFLSAGIISLIATSCTPFAAANNYIFLLIIRLIQGIAYAADFAVIGIICVKWAPHDEVAFFVSVLTVFSPFATVITTAVTGFLCTSSFGWRSSFYVHSVAGVLCFVLWYIVYSDDPLSHSSVTSRELAEIQKGKSSAHFDKKREIPYLKMITNSALICTWFNAFMDLSMSIMIITYSPIYFNTVLEFPIEQTAFIIGITNFAQLPFKFGAAFISDRITSINPKAKMLIFNSISCGIVSILFGGLGFISKDQKWIAMFLLIAVNCLMSTNCAGFYQCGRHVSQQFADVVIAAIQFCKCLALFFVPAIVAATVTDESNRYEWSHAFLVMSGLLLVANFSAYFFFTDQPADFTQCDEPQEFPLKQSINDEKL >CRE18475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:549999:550924:1 gene:WBGene00062151 transcript:CRE18475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18475 MFIRYILILAILSFQLSQCTLEPNLCDEKRMTQCDEFAVCCPLGNEQYGCCPFTEGKCCPGTSYCCPPGFSCTSTGTCKRTTTITNPVDPHDIDLGS >CRE18827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:551049:551668:-1 gene:WBGene00062152 transcript:CRE18827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18827 MYDILIIELLHWLLFLSLPISIVFLCSSNDRDREQLHLERRQVYLKMVPDFSIVAFPFQALRDRQREAAISGRSVRSVIETEIEPETNQCPRLSCVRMAPKLKTHSGVNTAEVYERLNQNDNLVQKMDKEKPPSVEIPPQQPKPMEKPQNGSKESSEDFLDERDKLSAEGAKSAEGANGTNIAPSSNP >CRE18476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:553295:554325:1 gene:WBGene00062153 transcript:CRE18476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18476 MARFLTTVLLVSSISLVSVSAQANRAKVCQKAVNLGVTFYTAKELEPILACIEPTLYNTPEDTATLIDKGKSCVINNSMSKALPALSLYNGFNGCTDLMALIDKLTTPFMNQCKQVINKGLKVLNNCKKNNKKTGVAKQNACINKVYGQCMAMVTKAFVNKVCTALSKKMTAKEWNCCKTYAPKVVNVKPYACYNIEK >CRE18479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:559192:560455:1 gene:WBGene00062154 transcript:CRE18479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18479 MRTDVGSIPLMCILSTLTSGVFGYLPTEDLTNSIIPGNGGFPIPPDTLIPTDGDEDSSPDEETDDTDIYRKKTSTFRRRNINAPFGGRVPFSRMAYKAGYGSNRIGFSRNTVPQPPQMGGFYGNGGPERPSNPYGTVNLGGGGSPYSGIPDEYNMRPSAPYSGLIGGSRAGYYGSTVGMRRGGVTPPMSSRKPKMPQTEYPPDYASNDLMNSFNGATNSAIPSLRELATYASRYGPAYLRERFGAHESPMERLPYGGGPQVPQRGGGMPIDFNMNIASNHWNKGPMGIMSRDVDSYQHDDAAKTNKKTVVSSTTGSPNTSTRKPRKARKPVKKTTKASSSSSTTTTVKPVKTSTKKSV >CRE18828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:560551:561774:-1 gene:WBGene00062155 transcript:CRE18828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ife-3 description:CRE-IFE-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LKQ9] MIIMFCSRMTTSVAENNVLSAGGDAKSENSIPTELLTRHPLQNRWALWYLKADRNKEWEDCLKMVSLFDTVEDFWSLYNHIQSAGGLNWGSDYYLFKEGIKPMWEDVNNVQGGRWLVVVDKQKLQRRTQLLDHYWLELLMAIVGEQFDEFGDYVCGAVVNVRQKGDKVSLWTRDATRDDVNLRIGQILKQKLGIPDTEILRYEVHKDSSARTSSTVKPRICLPAKEPAPAKEKVAGSSPSTATAGSPTHGTTPTTPTP >CRE18480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:570215:583594:1 gene:WBGene00062156 transcript:CRE18480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-8 description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:E3LKR0] MAKEFQFNWKPNIPTSLLQGACFDRYDDESTCLELNAQVRVDEYGFFFYWLVEGKDAVVLDMGQIWEARPGGLPKDGRIMFELEQRGASETIAERTIWMTHGQDLVNVQSFFLVAESVEMAKMWKTGMNEILKTSRIRHVCPTTQLLKYWKWLTLNVNERRKIPIKVIIKTFSSGKPEKMVQKCLNDLGLGGDKYTPVRVINRSMGKKFRNFYKCSRGRKRKEREELDVEILTFEKFLRLYNKICPRTEVQELFVKLSGQKEYLTKDRLINFLNEEQRDPRLNEILFPFFDPPRTGQLIAKYEIDNNYIENGKMSGDAFLRFLMSDENPPVFLDRIEMYMDMDQPLCHYYINSSHNTYLTGRQYGGKSSSEIYRQVLLSGCRCIELDCWDGTGENKGEPIITHGKAMCTDVFFKDVLVQIRDTAFARSDFPVILSFENHCSKSNQLKMAKYCMDIFGDMLLSKPFDDAPLEPGVSLPSPNRLRKKILIKNKRLKTDIERHQLDQFLREGKLDEEDELNETPEVVGEDSVSPRSGGSGGTGAAEDVAMDDDTSDDDDDPSVQTSLNVMRTVPTVSTTSNNGSNRSARSSLETPSPSGGGLMVPDRASSTATSIKNAVLARSPNFSSLRQKLSFKRRQSPLAGDQRAHPEVEQPISSSSPATPSICGPPPCATSSASTSSITITTTGCSTSSGSGSKPNQGGEIPVKETDEAHPELKQNFIAKNLKGFGFSKKQPVLTKEEEERIFAEYHYTGATTNIHPLLSSLVNYTHPVKFSGFDVAEANNLHFHMSSFSESTGLGYLKQSAPEFVNYNKRQPSRIYPKGARVDSSNFLPQIFWNAGCQMVSLNFQTPDVYMQLNMGKFEYNGGSGYLLKPDFLRRPDRTFDPFSESPVDGVIAAHCSVRVISGENISNFSNNFLIFLSPGQFLSDRKIGTYVEVEMYGLPTDTIRKEHKTKVIPGNGLNPVYNEDPFVFRKVVLPELAVLRFAVYDENGKQLGQRILPLDGLQAGYRHISLRSDTNQSFILSPVLFVQIVIKTYVPDELSGLVDALADPRAFLSEQKKRQEALAHMGVDDSDIPDVPNTKNTALRNMKQPPRQNGSSADLLSNNSQPGTARSDQINSMMTSVSIRSPNEQPAPVAVDKFKVDPIEVDDLRRDKAFAKLLKKFQKDLDDLRKKHQKQRDSIQKQQQTNVDKLITNNRRSTKKEKGSRRSLTASVSSGCGSTSGTVPVGVCIPSGASGSGSGAPSTPGAGNSDGTGSPATTGSPVPQDLINNDRVRSLVNTQTGEWSAMVRRHDEEEFELKKGQLKEQFDLLKKLMSEAQKNQMQALKLRLEAEGKDLKQTQTRKNMEDQKTITLDKGIKTKTERDRRVKEQNEKNLKMFVEERKRLAMKAQKHEEQLTKRHLDQLEQLEKDFQKALEVEVGNYKEEQLAAQPTSVV >CRE18829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:586070:587263:-1 gene:WBGene00062158 transcript:CRE18829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-52 description:CRE-SRT-52 protein [Source:UniProtKB/TrEMBL;Acc:E3LKR1] MDSLLIYGSVQGNPLYNCSSKPADEWTKLWGTQRTLLGIVLIIFGVFVEIIYVPCLGAIYRKGLLKHSCYKIMFLLGITDMIATSTATILSGYLFTVAAVFCTFPELIYVAGCIALGGWVCSCALTLLLVINRISDILAPTISEFLFSNNRTWIVAMIPISYTLSVILFTPAIIFNSTVMAWIGDPMIFEGKSKEYYNPIQNLNNIVFITGTIVFYGAYCFFMAKKQMGYKVSSGRNVFIQSTLICSINCSSALVYSSMMFIKPNEYIVLFGELAWSLVHGCPAIIYLTMNRTIRQEVTSWFRKSPAVKDSDKCTTSEQRSRTIN >CRE18830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:588983:592739:-1 gene:WBGene00062159 transcript:CRE18830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daam-1 description:CRE-DAAM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKR2] MHWASRLFILIFLCFLTILGYFNLVGYICIRYFAMIVAIEILSGLCFIPDEGHIQVLRAITEVSAVLGERTRFQTLVADLHRNYSTDRDTDRVRTAIFGLINALLRTGAAENCAVYRQHLRSELLMLGMSTTLEQCRGTASQRLEDHIDLFEMMRKEDEISLAGSCTSSDISSSSESSSPIDFESVVGMAESLHLKLKHSLALPHFHSLLQHLFMVPSDDQHVPLWRLFDLILQHLTLQTTVNGMTDVNSPIQNHVDMSEILARLQNHCDYERIAKELEKAKEEMDLERTRILELENRLADFSDGRAGSRISLASTSASSSPSDPCPSPPLLPPICPPAPPPPPPPINGLLGNGGSPKDALKNVPEPVGNMKTLNWHRLTSEKTKGTVWDGIDDEKIYKQLDLTELNGCFAASSSHKDEDTDTLYGTINRRPQQANITVIDPRRYQNCTIMLSKLKLSHKEIKQAMMSMDEKCKLPKDMIEQMLKFMPTKEELSQINESVQKHGSPTVLALADRYMYEISSIPRFEQRLRCLHIIRSFHDRVETLVPFIQVVLKATSSIQQNKRFRQILTIILAVGNYLNYGKRNGNAYGFEIASINKLSDVKNSLRNDRNLLHFLVQFIEKKYPDLTKFKKDLATVTEAARFSQSETAAEIRNLEESLLIVRKELNLLEPSELPEHIPMENDRFTQVAKGFIEKATGEYHNLDKMFREMKNKVSFLFSECAKYFCYSPSGGAPIPEEFFSVINKFVITFNEYHHQLWAEVEEEEKIKRQTIARSFLAKKSTTRRKENHKERDFEQLISALQSGDIFKEELSRLRTSFRPKKGIKS >CRE18831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:594139:599597:-1 gene:WBGene00062160 transcript:CRE18831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18831 MDYIEDWVDRAMSCFYRDRDKDDPGKAHVHDDVIFAPPAPLDPAKVDKDFECLVKELGLSEEKQQEMHDYSMEKKMSLLVSQHCLQTEDASHFIGFLKNLQQSFVIDSNTIRQLQDLVISLRTQNFSYLESFLSSSGLKLLTELLNQCHQQYTLEQPALFFLYALRALLNSPNGRAAVLHDEQHVLVSIARAVDFRDFKCKLKTVNSRKLFE >CRE18832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:610726:611297:-1 gene:WBGene00062161 transcript:CRE18832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-27 description:CRE-RPS-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LKR4] MPLAVDLLHPEPQREIRRHKLKRLVQHPNSYFMDVKCSGCFKISTVFSHATTVVVCVGCNTVLCQPTRGKAKLTEGCSFRKKQ >CRE18481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:612025:620041:1 gene:WBGene00062162 transcript:CRE18481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18481 MDESTGLGTSKDKPIEINDEDESSDEENSVIILDSDTEPEDQDGNDDENEEGDDSAEDEEDSEESETDEEDSDSSTDSDSENSVWSDVGEAANDEITTEAAEAKIIKKFEKAMIFIADNEVERGQQLLQQLLEDPIISCFTQEDPDYDVLEEPPRLAKMLQLFIAIHKNLAKLCEKSVENQEKKSDDNEKTRQKPEEIQHLCQVLAYEPNNSEIWLDVAIKCVEFGDLNFAKYSFKRCESLKESLESHATLLYLTCDYSESLTVLKQFHDQNDTGLNDKMKYLKYKIRTTNQYYKLLCDRVFDEDEVYADIEVVEKRKILAFDERIEELRKRVEERKQSIEDNFHTNIGGEDEVIQIQISADQDLRTVCTIFCDLFDRIHAYSHCRHQLIEITEWESRRVYLDVVETVEKLVDIVECVEELTEKTEEDQKKKRGKRQKKQEKKEYLANWRRRLFVVEKSSNSDDVAESEANTTADEDESQGEEDGGDKRINGGMPHNELATEFHLNYSDVKSIICGERKREKTPPPSLLSEFIDCDYILELLESKFSGQTHNILELLEFTLFLLTEHCPSNGAIPESMREVIREMFNRVALFSEIHKKKYHKMNILLMELNAKNAMETMVLKYISPFWTCQKEDETSEEIQEDPIENREFVLRFMWKYVQTGLKDETKLDYLHTLRSLLQPYESISTSAGSFATWDVDISIDDLEKKKRIESVKYLWKSKNYQNLVDILQCDIDFSSIGIEEAIEMLSYWLQSLEKLKSHVDLIDLMNRMMHFYLFSLENSTISAEKIERNLEFILHKLHKFSGKEFRKISNTSTLSTIGYFICHMFKKYSKFEKDWKNWRILYEIVKIQRGNEKEYIEQLDRLEDPVSMPLLELDLLVKAHEVLGENHCCAQKTYEFLFFVIDRFCEIVEDIPVLEMCYLKDNGYLWNNLNAEMSQLLFCFFGKYSRKIRARENHENGGKCAATPENARKILQVILVQPLPLYDEKDKLMHDVIDLINSKLSFFLEISKEKKEKIKEFKNFLQQSSTIEDVNLSLSKCQDDVDDYTQSIVWYSMALSSFRQNSFKEAAKYSELYLLSEESMTDDRLRSSSWAMLAHASAHDLFQLELHEIFEQWKWRIMPSRLAIATQNLEPVPHFDLAVRMYQLASTLARFHRTLPKSDPRREDVSDIAKLRNEARDHFDKSLELTHLGEDGQQPEHQWLCYYFIGKLEAKSSRWDILKVVESFYEAACGCELTGFYYPLKVATKKQSNFEPLEVHYQAFSAVYKYLANNEMPDLNILRKLKVMLKLMNDGHKVVKPNSSLFKVNHDVYSVVEELVIETVIKERQIENEVEISTNATSDLRSELCSDLKEMCIQAFTLVTDKFPHTKSFYRLAQLYLEKGEVDKSSDQIFKHAFKRKKRDDGMFDNCVEISCNDINRNGSYSFHIERCIKLGAQIVQKTVDLHNVVAMLTTMINIIAKDDEEHVEKTSWKQVVTLYLNAMEHIVMTRENVSARSTPSPGPEGPPKTSKAHHLTLRTLRSELWRLWQTVNKCSKGSEEMIRLIKAKTEVLIVHCFQSIEELKKRMQPVDGNIKKKNVLLKRKFDAADVTKNLQEQLANKNMEGLVKRMSQSAATSGTNTAEFNEMVRQFIQNSQNMQNSPNAKAYTDVARVLAAQQDAAAANKSSTTSSSSVPSTSTAATAPVSVPAPKPVVPKPVVAPKPAAPAPAPVVTSSPGTSTVPIRPTPRYSPISSDDDDIQCINPPPAKKPALTPSTTTIPVKPDVPKPSTSTSLPVTTKATAPSQNPAWQNQFRSVLGLTKSTQQTTPPKPISSVPGPSTSTVSAPKLTPGPSSSVQQSVSKTVPGPSKPVPKSIPVLSKSTTEPTSQAAQYASILANKSQEQLIQLVLENANTTDPLKKILATQACQMITQKHLAQQQANQAQANLAKAAKQAASKVQTSAASVPSNQAAQFLQAFQQIAMAQQTQQAHQKAQQAKLAKEKADKEAKAAQEKAQQEAKADQLIRLAQAQRNARIDEQVKKAKELAERQIMEKAARDAQTAKQAKLAKEKAENALLEKAARDAHAAQLAKKAKEQVDQAAWSSTIAQMTPSQMAQIAQVWSAMAGGLGSQVNIPTTSGTSKSTTTQPKPVQRQASSIATLKSIAAGVPGTSRSPITTVSSQSRPQVQQPRPQMQQQQQVRSQTHQIARPQPQRPPQIQSTSSAPTIRPSTSSSSLETPPTASQQLNQLTAQQNQALDHEAINNFLRNFPPEKRPEMAKKFFNFPK >CRE18833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:620143:622936:-1 gene:WBGene00062163 transcript:CRE18833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cgp-1 description:CRE-CGP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKT0] MDRIQENQKKAVNLCELYADDSEDVTEQKEQRGLDAQFLLRSTTEDIEKYSIHLRKQLLEGEGECLIELGVPINSNSKNPKLGIPEDEFQKAVDVHQKILEKIPAIGTHVVRRKQAGNLVTEVWLIRDPPEEKDFIEARVAVVGNVDAGKSTLLGVLTHSALDDGRGAARTKLFRHKHEFESGRTSSVGNDILGFDVHGNVVNKPDPHNHNLDWIQIGSDCAKLVTFIDLAGHEKYLKTTIFGMTGHMPDYTMLMIGANMGIIGTTKEHLSLALSLHVPVYLVVTKIDMCPANILEETMKNITRLVRSAKKLPILVRNMDDVVHAAVNFPSKKVCPIFQVSNVDGTNLPLLRQFLNVVPLRRSLNENDPAHFQIDDIYWVDGVGTIASGTLLSGTIRLNDILLLGPTSNGDFQPIPIKSIHRKRMPVGIVKCGQSASFALKKVPKKDVRKGMVLVDPKIKPVASMLFDAEILVLHHPTTIKPNYQAMLHIGSVRQTATLVSMGKEVLRTGDRDKVQFKFIRQPEYIRPGTKMVFREGRTKAVGTVLSVVPQESLAQQRAKQKEGRNKHYGKKTNGPKPPNGKPKVEKQLESLSIDKIAEV >CRE18834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:624425:626172:-1 gene:WBGene00062164 transcript:CRE18834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18834 MAADSQDIYRNLSADDYQSAPIVVESMCPNCEENGETRIMCTSIPYYKAVILMSFECPHCGHKNNEIQSGEAVQEHGTMIVLRVQKPEDLRRQLVKSEYASIEIPELELEIPHKSQPGEVTTVEGVLERVHRGLTQDQEKRRLLDPEGAAQIDAYLQRIQDCWDLKENWSLRLRDPTGNCYIQNPDVRHVDPRCIISHYHRSLTEKKLLALADDNDEEEVVEEGPAPEFKSYEDAKQEVLHFATDCPNCHGPTQVKMKPTDIPFFQTVIIMSLACDLCGYKSNEVKSGGAIKDQGCRLSVKLEQDVDLARDVLKTDTCALSIPEIDLEVGGNALCGRFTTIEGLLTATKDQLDAQSSFFMGDSAQSDEKSAVTTFLEKLNDIIALKLPATIILDDPTGCSYVQSLSAPMDDPRLTKEFYTRSYEQDDDLGINDMKVENYGELEVLKEDEEDVEEEEKREEKKTENA >CRE18482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:627405:627914:1 gene:WBGene00062165 transcript:CRE18482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18482 MTDIYEPYSEYCPRCQTHHTTRIERTRGNCWWITFVLGCIFFFPLCYWLCCASSKDTKHFCPSCGTLLAVRQGGC >CRE18483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:629870:633619:1 gene:WBGene00062166 transcript:CRE18483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18483 MSYNNHRGGGGGYYQEEDRRNQQYRGGSEYDREPQYDQRRGDDYHRGDRHGGGHSNGGNGGYNNGRGGYHQGGRDDYQRGGRDDLSQKMGRMNMNGGGRGGRGGNMYSQNGPPRPRFERNTAGLANPGDLAGSAHFPRIPVAKKDLEHNKQEFFKRPEGSVYEKKVGQKTELWTNHALVHLPSQPYLIHEYNIDVYQNRKKLEKREDAAPVFREICANGNGRRMLPRSADYIFNDVNLLWSIEKLPSSQSTVGDKRNYFVYKYTQSFEFGEGIAQRDSQFLSTLIDAIATSRVRNPKMCQNKFTVFKRSMFMIQDEKYREDFDDAPLFLKLRNGLDARMGVSIGIKLNLRAGITACYDLSHTMFTRPSYPLIRLFVELIAGVPISDEDFEDQWDSLLKSAKVTQGNRDMMKSILHKMKLCYTLESAVEVDELGKVTKNGSLGNAKQDKKDFKFFEVTEKSAEQLMFFDEELGRNISVAEFFLLKRRIRLRYPNLPCIQKKPTKMNNRFIAFPMEFVTLIAEPKRYAGLSTLEMKSEMVRWTTFTAKQRLLVLQHIIGQKKITDVPPVVDNNDRYMSRHGITIEKEMLSVKASVLPPPTVVYGGNDKFTDVHHEGEWEAVTHEPIRKVLEDAVYRRTKDKSAPKLKKRLLGSILKIGSPFNDKVAIEIDDTCYHNLMRAIESAGQPVCWENEDMGQAAIQGSSEFLQGVQKPADIYNFLHDLISNIDEKYKKSDDEVIVPLVFVIFEQRFTNIVNSRNLFRNDYNLLKYLADTQLGVFTQGMLYSTFNTIGSTPATCKFTRLIVEKVLGKVGTTHRKLESGGTHKSWTKVTNPKEPTLFLGVDVSHPSTRDLKDPESDVKKMSVATVVGNIDIDCTEYRASSKIQSVGEERIVRFQDEIQTRIADFTMHNNIRPAHIVVYRDGLSEGDFQRTLYEERIAIENACIALDIAYQPSITYIVVTKRHHTRFFLKDESEGIEEQGFNVRPGTLVEDTVTTNNYYDFYLTTQVGQMGLARPTHYYVLWNTWPGCLPTFWPTVTHALTYMFCRATSTVALPAPVLYAHLASKRAKETMDGAIEAHRLMGRAFNMDVYSDVAELTKQINNHPELDGMVFV >CRE18835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:633758:635244:-1 gene:WBGene00062167 transcript:CRE18835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18835 MNDFCKVRTRNLRIDMTNCLDVTGSAHHSDPIAQFLRSDFTELRELCDVRLRFEDESIMTHSVILAAFSSTFSEKLKKPQEFDLDLKFLKKESVKKVLDFMYFGKVKLCFGNLHDDLEAISYFGVSSLQEEVEKKLIVLAKQGKCVDVLNLITANLKAIPHSPSTMLAVSDETVSEIVSTLHELSSTNKIPYEEILKLSTNTIITMLSSRIQDLKKVDIINMSLKWIYERRLSNHRASNILRGLTFGTMTYAELVNFRDSLIQTALPVAVGRCVRLKMGENDTLEIEFTYAESSGYQPPSNKSVITPSVSLSNDPTSLGVVTLPGTLSAEITNTSSSHTNFETSRKSASFDCNVDDCNTAMSFTAEDLKRLGFDRTRGQNKK >CRE18836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:635571:636750:-1 gene:WBGene00062168 transcript:CRE18836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18836 MSEPVAENEDYLVALNSNGLPQRQCTVRIIPPVSTVVKSQSRKRPLQQPLQPLPPFNPDSYGDMIPEDVPKPVCEICSLAYLTWAAFEYHMLRYHIQYRPYRCAGCKHLSFHTEAEGRHHSTCFHGPALNHFPLIKRTDFEKESEWMECLQNAKTTDDLAISFSRERLDDGLKLIEKVQMSKFRAQRAQLPYCIRHEIGQIDVSTGSDVPEGENQPSFQSTEDFYEDRDIEYNYEDVDVLGNN >CRE18484.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:636468:640740:1 gene:WBGene00062169 transcript:CRE18484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18484 MSGDEDYYSDDEQNKNLSKKELQELKRKQQKNKKKKESKKRAKATKQTESEVPKPEETKKEETDEDLGVEIEYVGETIEIGPDNPNAQYFAAVFDAFKISTDSEDGVSYGHHVSKGEKKDDLGKVGQAERILQEEMEERAKENTDEKLSRRKLRISLQPSIAKLKETTIRADVVEWADVTSRDPYLLVAMKSYRNTVSVPRHWNAKRKYLAGKRGFERPPFELPDFIKRTGIQDMREALLEKEESQSLKSKMRERARPKLGKIDIDYQKLHDAFFKWQTKPAMTKMGELYYEGKEMEAMMRDKKPGEMSDELRIALGMPIGSNAFKFPPPWLIAMQRYGPPPSFPHIKIPGLNAPIPEGCAFGYHAGGWGKPPVDEYGHPLYGDVFGLSAPAFEPEDESQIERRYWGEIGSDESSDEEESEEEEDMDEDDEDEVTGGFQTPAPAEGLITPSGMTTGITGIETPDTIELRKGKESSVLGTDTPAAAYHIIPEKKNERIGGQMMASTHTYDLSKKHAVRDDGVQISLDPESIDMDEQGLAARYEEQLRKQKHRDDDDEDREDLTDMVAEHTAKQNRKRKVQDDKKNKTSSSSSSSGTSGKKHKDFKF >CRE18484.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:638707:640740:1 gene:WBGene00062169 transcript:CRE18484.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18484 MSGDEDYYSDDEQNKNLSKKELQELKRKQQKNKKKKESKKRAKATKQTESEVPKPEETKKEETDEDLGVEIEYVGETIEIGPDNPNAQYFAAVFDAFKISTDSEDGVSYGHHVSKGEKKDDLGKVGQAERILQEEMEERAKENTDEKLSRRKLRISLQPSIAKLKETTIRADVVEWADVTSRDPYLLVAMKSYRNTVSVPRHWNAKRKYLAGKRGFERPPFELPDFIKRTGIQDMREALLEKEESQSLKSKMRERARPKLGKIDIDYQKLHDAFFKWQTKPAMTKMGELYYEGKEMEAMMRDKKPGEMSDELRIALGMPIGSNAFKFPPPWLIAMQRYGPPPSFPHIKIPGLNAPIPEGCAFGYHAGGWGKPPVDEYGHPLYGDVFGLSAPAFEPEDESQIERRYWGEIGSDESSDEEESEEEEDMDEDDEDEVTGGFQTPAPAEGLITPSGMTTGITGIETPDTIELRKGKESSVLGTDTPAAAYHIIPEKKNERIGGQMMASTHTYDLSKKHAVRDDGVQISLDPESIDMDEQGLAARYEEQLRKQKHRDDDDEDREDLTDMVAEHTAKQNRKRKVQDDKKNKTSSSSSSSGTSGKKHKDFKF >CRE18837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:640963:641888:-1 gene:WBGene00062170 transcript:CRE18837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18837 MNPVFVVRRLLNILMILIFMKSASTNGDSEDRYELNNAVIGDVVRTMESKNTVQEVILSEQQIIEMYPELNLTITRPKGPPVSVMSGKIPDHLIKKMADYLFGLLQGTGEVIKPTTTTTTTTTTTTTTPSTTTTISTLPPFAFLPSASPTSPDFLPFRQNNRKFFEDLAVIQDIPKSAESPNFVAQIRRKPIRKMNGISRRKSEKLWRKTRMVKVIGRI >CRE18838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:642741:645256:-1 gene:WBGene00062171 transcript:CRE18838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18838 MAKTTRPVPLDRIIRRNVRYCGKQLEYALFPVLTREVVFFLTLWTVLDAINMNFMNFAPKIAETIVYGLLGKSLLAQTFYSLLIGTITALTVTTVIRCSLTLMLYYNGWLFEEIGKEPSLATKIFMVTLGFISKRATFFAYQGILPWLMPPKVEDTIEKYLLTMKPILSEKEHLEMSEQAEEFKRTVASGLQNKLWMKWAMSKNYLSDWWKEVVYMRYRDSLIRTNVGCADVIYQKTTSIQAARAAYVTLNRQHFCNDIFKTEKMKPVSLGGIPLCAQQYAEYYRTLRIPSETSDKMIRLPDAKHIAVYHKGCWYKIDIFHGKRMIKPSELEKGFQMILDSKDHKSQSGEKYLSALTIGPRDLWAKIRNEKFSKGGVNQESLNFIENSLEIVFLDEEERFFDENDSTKYGREYARALHGDGYMLWCDKPSVYIFSKNGRFSSNAEHSPCDAMIYVQVREYIKYHEEFEHPYGPDGHCIGPIEHVPQPERLCWDMDKETLEAIDEAYKSSKHVADDFSNSNIVFTEYGKDFMKKARVSPDAYIQMALQMAYYKDQGKFEQTYEPAVMRLFKEGRTETVRSCSVWSCDFVRTMLKKEVDSKKKLEKLKEACDHHQDYYRTAMAGKGVDRHLFALYVVARYLEIKVPFLDNVFKRNWSLSTSQTPQHQMVEYAKALNKEPSLFWPAGGFACPDGSNYGVCYTIGTTGDRMSFHVTTWKSMENTNADRFLNHILESLREIRQVVEEATSKKT >CRE18485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:650544:654625:1 gene:WBGene00062172 transcript:CRE18485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttb-1 description:CRE-TTB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKT9] MSAPVQCPIHPDVHLIEDHRAGDLVCPACGLVVGDRLVDVGTEWRSFSNERSGNDPSRVGAPENPLLSGGDLSTTIAVGFGGSDSDNSLANAQRKSMNNTDRQMTAAMSLIREMSERIHLPRNIQENASRIFKDVLDSRALRGKNNEAQAAACLYIACRKDGVPRTFKEICAVSRVSKKEIGRCFKIIIRNLETNLEQITSADFMSRFCGNLYLPNSIQAAATRIAKRAVDMDLVAGRTPISIAAAAIYMASQASGEKRSAKEIGDVAGAAEITVRQTYKLLYPKAAELFPEDFRFVTPIDALPNS >CRE18839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:651982:654209:-1 gene:WBGene00062173 transcript:CRE18839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18839 MCVQMRKSIKYGDDVALEPLLAAQNFNVFVPMMMRKNIELQLQALQMIEFMCGLIPSVLQLEDGESLKNMKKLTPEETERYVLISVLRHSKDEYDAMHKGSEELEQMAINSRIQREALEKEIRKEDILIQKALSENRSRNQGTSTQTDESRTPLRTVAAIMAATFSIDTGTSTDDVLIEMLDDQKKNSKSFGTMTTSSSGVSAETMTTGSSETSFGTTMMSSGVDFGTDAAEIEAGKGSRPKSAKRVGSAVGKRSGSASRAKSGSGVVDDDGENPDVEEVKEKRSGTSSKKSITTMVNSDSNTEEVKRPGTSSKKSMAALVNSESNTDDMKRPETGSKTSKTGVTESTAEIDSEGVTKEKMKQKRPGTAKGPNERKYSNAGLEDVVGPPTNKNSSDQTEPKSDPKSRPSTRKSSRTTAEESSSRPPSRKTTASHEPRTKTPLPKSSEDRPTTRRSSVDKNAPKRNDSVPRERRSSMSQKDEDRKPPKPMGPIRSNKYDGDVVLGRPGSPGMDHGPRRKNLNDVNAHLVDSNRLNSSDVRTRAQYLRDQRDKLLQLKNQERIKQMNDIQNSSLERPKTAARAREILDKDKKEAESIRREISKKLKTQILTLH >CRE18486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:654724:655801:1 gene:WBGene00062174 transcript:CRE18486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18486 MDTVQAIIQQQIEAGTFLQSVQQGQQVQQEQTNYGEEDEYEDEEGTYKGKRSNTLPIWGNQVTMNLNTLVLENIRESYYYKNNLVEIDSFQTLVEQIFYQVKHLEPWEKGTRRLQGMTGMCGGVRGVGAGGVVSSAYCLLYRLFNLRISRKQLISMLNSRQSVYIRGIGFMYIRYTQPPADLWYWLEPYLDDDSEIDPRSGGGDLMTFGQMVRTMINKLDWYGTLFPRIPVPIQKEIDERFAERKKKQLREEDEWQGESSEKPAEDGEGIGKVLPKVSKCKHHLRHHHCRKHRKNCPAKAKR >CRE18487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:660642:664605:1 gene:WBGene00062175 transcript:CRE18487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cul-3 description:CRE-CUL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LKU3] MSGRSNGQQKMRIRPFMATIDEQYVTQTWDLLKRAIQEIQRKNNSGLSFEELYRNAYTMVLHKHGERLYNGLKEVIQDHMASVRERIINSLNSGNFLETVADSWTDHTVAMVMIRDILMYMDRIYVAQNIHVLPVYNLGLDSFRTEILRQNGIGDRLRDALLELIKSDRKSNQINWHGIKNACEMLISLGIDSRRVYEEEFERPLLKETSDYYRDVCKNWLSGDNDACFYLAQVEGAIKDETSRASRYLDKPTETKILQVMDDVMVAEHITTIVYMPNGGVKFMLEHKRVDDLTRIFRIFKRIGVSETVPDGGLKVLLKAVSETSLFQYLTETGSNIVKNEELLKNPVNFVSELLQLKDYFSSLLTTAFADDRDFKNRFQHDFETFLNSNRQSPEFVALYMDDMLRSGLKCVSDAEMDNKLDNVMILFRYLQEKDVFEKYFKQYLAKRLLLDKSCSDDVEKALLAKLKTECGCQFTQKLENMFRDKELWQNLATSFRDWKEAQPQKMNIDISLRVLTAGVWPTVQCNPIVLPQELSLAYDMFTQYYTEKHTGRKLTINTLLGNADVKATFYPPPKASQSNEENGPGPSNGGDSLKERKPEHKILQVNTHQMIILLQFNHHNRISCQQLVDELKIPEKELKRNLQSLALGKSSQRILVRKNKGRDAIDMADEFAVNDNFQSKLTRVKVQMVTGKVETEPEIKETRQKVEDDRKLEVEAAIVRIMKARKRLNHNNLVTEVTQQLRHRFMPSPVIIKQRIETLIEREYLQRDDQDHRSYSYIA >CRE18488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:666538:674017:1 gene:WBGene00062176 transcript:CRE18488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arid-1 MSDDPPTLPVGTEVSAKFKGAYCEARIARIVTESVKIRVFIRGSAKNVSILTKNELVAYGTIAAGQRATMRVGNKIVDCLINNVKDCSIYHVVFNDGDKKDLRRTHMVLKGKRHFSNETNLDSMPLTNPEQFSTPVRAAAQKGAQKIKESSSARRYTIRETVEREPVADDDEEEDEDEDQRDMEQATTSSSTSDNRQRRKEDESETEESDNEEPVRRKREHRIQRVAGMLIDDDDPEGPRQREPGGRRGVDRDFMDEIEPGQPENGSPESAASAMSKKQQKELDKQARKREKEKLKEEAKLEKDRQRELDRERKNKERQEQSARRRREKLFHGAVELSNDRHERFTTQAIVHPSQHYILRKVRRKLQKKGRRRHRHERYRLRNFRLYARWRDLTSRIGLSFSARFRKQWMRMTDVEFMSKIRNYKLMRSKLRAKIVRLWMRRQEKSRRVKFVVYHDEPKLATKLLKYVEKEEERPVSFIICFLIYFRCFFQLTFAERMQVPHEDLQTSTFRSHFLNQTWYPAVLFPGVKTDPRGSQCLQRDIRHMGNGKVMTVWEDHLIPFDWLPVFDDKEMKKIVEQRPPEMRSQFTLAMKFALNYTLEQINRPHLNLMLEWTRRKQYHLPRRYLPPELPTAPSPSKRNEQADDNPLHDDSDEDYDSDSSIKNATTEDKDRFIAMLMQAHDSDYTILDTTPTIQGHDVDLHYLYCLALRLGGPKKVYASNPWAEWAKKLVPQAVNAEDELKEIFKTCLENYLTISTKLSWPMENLAARNERKVVLPGQYSENRKKRALALQNLQGQQNSNQPTPGTGRGRGGARGGARKRKEASHDGSSDKKRVKQSISRGTTASPRISEDRSQQPGPSNAVYDDYDDDDSFEITIDRESAKKKKSKTLGRRSEREGSTSAGPPKKGRPRKHPLPGSSNSSATPKAAERERRGSNRESFPEYARANIISDIKLNDRICASYHEQWFKSQVSITYEDISVELLDIMLQLQENIDSNYHRQLYFESIERLWETMKVTAHYIGWNSRYDESMPLTKIMVTNEAQQEARRRFLDLPNGDKLHPDTLSIVEEAYCQESDLMLRPNYKKLAREALRPSVPQQVSAPRVDDDDMDIDDDSMRRRQRNLVDQDERSDDFDFDPVPTQNGRRGDSEETEGNKKDSDDEDNVSVDDMKMEVEEERGIHEESPELGLKSSSGSPGVSKAVSRESSPVTSESREQSVESSKSSESSRSSKSSESRKVSKSRDSVETSASEELGEKSVQRSLSPEAEKVVADSQPDDAPTSSPDSSPGAESVTPEPTPRDVGQKDLTLEEKGVRTETEVFSSSTKSIEETPKIEKDLESDSDEPEYPDDPLSSSHAEDGSDLHESNSAEGPLSTIEDSNYTPQKTMPSCPSVNVERSGPLTLDEVPVPSASTSSGPLEKEEIATESDDQRKRSNTVSSVDQPLKKRPRRASERSGGIDEGLRMRSPEQRHSNPLVHQMSSGVLTLEMTHRPESSGPIEPQSIRKSAGAGRRRTASPNLNTSGPLTLHSPQPSTSIILTQNVVSITPKGRPPGASPQLGRPKKLVTPVVTVPSTSSKSAELKEEKTEEIPEASTETTAIAVEPTVVAEIRKEDDVSEHSTSPEDEDDKQETESSSEQNDMNITPKGTTIRGGKRKRGGRFGGSYTTRPVKSASVSARKNQNQDTSQSVDDIEEKETDEPEPSGASIPSTPSYSSRDGWTQQKQRMAEEMRKDGSDRNFDELDLANFDLIIAGAPAEDINMYLEERTNELRELYANTKYEYLTLEKRFRKTHEAKKKAETEASKSPSVIDEPSTSASPSTSKN >CRE18490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:676929:679354:1 gene:WBGene00062177 transcript:CRE18490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-12 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3LKU6] MSAPSAPPDEGESIIRSPELPFVSSIVGGLFAGRAVVVSGMVLPGFASDRKRFDIDLCCGLLIDGDHMDNKALHFNPRFEAKAGWFAGPADDKLVINSFVSGRWGSEERFDNPFKEGEPFQLRILVLDKYFKISASGKHVCDFPYRVPVESIRTISIKGNIRVDYVEFQPPIGIGADGKPTIVAPTPKQEVMTQIDKPSIPFVLPLLPGGFVSPQSARFTITPFLSAERFTINLMCKEEFFFHFRIDMPNPAMKIKPAVVRNSTKRGTWQTEERTFGTFPFSKGITHDIVFTAYGKSVTVDVDGAPFVKFVYRDGDDPVNIDSITVKGDVLVHRFVHKG >CRE18841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:679765:681113:-1 gene:WBGene00062178 transcript:CRE18841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-79 description:CRE-SRH-79 protein [Source:UniProtKB/TrEMBL;Acc:E3LKU7] MSLIHDYYMTNYTKCSKCDNFLCTWQGLAFTSHSITVVLLPFHLLGGYCILFKTPVYMTFYRWPLFNLHFWSCFVDILISALITPYLMFPAVAGFPVGLLHFLKVPIPVQIWLGIMSIYGKTFGQTDTKTDKSISVMIMSMTILLENRHNSIPSNKFRISGKVCKGIYYSIRVLLAFVYSLAIFLFLPEDQQAALLQILKEIPCPTEEFFKADQVFVLCIDENYINFLAGVTALGVLFECSQMLFFMFCCSYYLFFSIHGFTSKKTRKLQIAFFGSIILQVSIPVMFLLPSFVFMVFSVVFGYYNQALTNFAVLHASLHGFLSTIVVLIIHKPYRKFIRSFFGKSSVVDFQKKSGIDTRRMSVFPTHITS >CRE18842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:684915:687041:-1 gene:WBGene00062179 transcript:CRE18842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chk-1 description:CRE-CHK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKU9] MSAEIATSSGPPNASGGAPNFPTNGDDPVLGTSYRVIGVLGEGAFGEVLLILNQINPDMAVAMKKMKITNETNTNNIRKEFLIQRRLSQDGHDNVIRMIGMRSDDNFHYLFLEYADAGELFDKIEPDTGMPVAYAQFYYRQLINGLKFIHDNDIVHRDIKPENLLLTKKHELKISDFGMATLYRNKGQERLLDLSCGTIPYAAPEVCAGQKYRGPPIDIWSSGIVLIAMLTGELPWNKASDSSFSYLQWLGNNNLDENPWKKIEVPALCMLRKIVTDNVHRRATIAQIQTDPWFIHDYGKLETPKGKPLKRARFTDENTPTIACTQDTGCMSIAKRRHMETPDEKSALMIKQNASFSQPTRTDELMLTQHIDMSQNNTNLLDRMVVRMTRFCTNIDMITTSHQLCNASEEAGFVVRRSSNNRLLVTFSDVSMMITMYAMDNGPDHPRVMVDFRRSRGDGLQFKKMFVAVKSRMRDSICTDGNNWLADYGYVPRDPPVPNLGELHRGIVSLVNVAL >CRE18843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:687985:689319:-1 gene:WBGene00062180 transcript:CRE18843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18843 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LKV0] MAPNRERIAEDDLNLPYFHGALMNIDADQLLVNEGDFMVTSRIVAELNKLQFHLAVRLKKGIRRYEIKRNQSSAKLGNRSAGNIGKLIDSLKAETIEIKGEKVQLKRAIAKGKFQLMHRDVNFKKQIGSGAYGTVYRGRLVKNNAVIAVKKLDTEGTDEDALADMMKEARVMQLYDHPNIVKFYGFILDDYPYLLVLEFCNGGAVEDLLREKSDLKVNRRVQYTYMAACGMDYLHKKNCIHRDIAARNCLIHNGIVKMADFGMCRATTVYKVDLTKPLNVRWLAPEVWANGETRFNTDVYAFGVMIWEFFITPYQSPYHEWKGYTVKQKVRSGYRMPPPDGMPREMITVLGECWNHEPEKRPTAEKLKEKLEELNKKFEAGDPSVMQGPEKSSEPNTNN >CRE18492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:690129:692902:1 gene:WBGene00062181 transcript:CRE18492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18492 MTQDESTSGKSKKGRVSVAQRLQTNAQLSPRSQDDPDERETERKQMDLGKYDKATIRIIAQFLDNIGLQNSVEALVEETGFTIETSAGARIRSNIMKGNYDAACDILEQARDLPQETSQNAGYIIQCFKLADLVRKGRYFDALFTMKSMAPIVFRDESKNMEYFDSFVKDIMLGGNRYQHLDSVTERESQLTFLEELLPSDFILPQNRLKSILNKVHGPATDEKASKLLRDDTQNTPKGPPYRQVQLWEHRNSPIYCVKFSRNGKLMASGGRSNLITIWETRSGQLKRLGELSSITEGDIGYMEFCQQNKYILVCGGASCKYNLTIFDVASRVVCRTLRVAVGHDDNLDLGTYFSCATFLTEPLTNRTRLVAGNELGALKVYDLNIDSNAPIRTQGGFRVRCLYGMKNGDFFLMVDALNRVRLYSISAEKMEGTTICKEEVTIINMTVHPSEKFVLTATEANLRLWDVRNHNLVRVFTGACQREEFNRYSIHSSFGGVHQQFIATGSIGKESDESLRDNDKSKRKNGRVVIWSVEESRPKFELIGHKGHVNGVAWHPKDPTMLVSCGDDSTIRVWSLNRSETSDYSQVIPRRIPRNQKKQKTEPKVTEPVLNTKQELMRSLREQIKNMSTKNDFETDLKMEQLWIQRANHPKWAIDEEAPQTM >CRE18844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:693041:696003:-1 gene:WBGene00062182 transcript:CRE18844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtm-9 description:CRE-MTM-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LKV2] MELSDLIEVTRVRDAFMRKGPRPAQIGDICIFGHHLIFAPTTVSKEVPDNSEEFWLLHKAVDRVLCEPISKENPQRGGLLALKCKNFLLIIFEIGDLEICRATARTIEALSNINGFLHDYAFFYNSPFTILDDGWSAFDPEQEFARLMLSTDAFRISSVNEKFSVCPSYPEKLIVPKGIGDDYLKISATFRESGRFPVLSYFHKETRSPLVRCSQPLIGPTNRRCREDETILNSLITINRGYIIDTRSKSSATSAKAKGGGAEPQGNYRQWRYIQCPIPRQREIHDALTRMVDVCSERKVTSDRWVSRVGQAGWLSAVAASLEAAANVAQCIYSEGLKEVPVVIHGGDGLDSTLIASSLSQILLDSDARTIRGFESVIEREWICAGHPFSLRNNHCAYAEGTVTGPFESPVFLVFLDAVHQMISQYPMSFEFDENFLIFLFEHAYASEFGSFLGNSEKEKKEHGIRKKTVSLWSHVHHPENMKQFVNVCYDPTTGVIWPSIAPQCIKIWDRLFFRWQRPDNSWSKPETETIQSLADHWKLREKELTAKASSLRRNVIELSRELRVSSPI >CRE18493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:698824:701613:1 gene:WBGene00062184 transcript:CRE18493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18493 MFPQRMPCGSSTCSSSSGNSSLSSMYQDSPMIELFELEMNDLEDTKWDLRCAKCKECNELVPHRLLFICQYHRCDGFYEPKKDHEVPAEFYKNENVFCSKCAFTGSHKHHIEDIEEAHPVAVAMALKGEDNLTVSFTQLAFLNHEEPVDFLIANEIELTGLPVLPIEECLFGSLDSYDFQIKKTNFDVLKKTVEQCRVDGAKYAKGCAEKTLVAYHELAKDVEEIIKEHEISNNGEKIRERKDSGTTESTISFQSENEESQILENTSISPAETIVDKQQQESFFNFSSTSNEVDETTAKMICSNLNLRYDLIRDDMLIEAALQDVSDKLHEFSGSIENKLAAARMFKEKNNVALYYP >CRE18494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:704619:708813:1 gene:WBGene00062185 transcript:CRE18494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-36 MGTESEDVNFKSPLLLFLISVFLISSTTAESHLKTKCSLECFALCMQSGTVSPSASICNCPISREPSNCTRFDADLQSAQVSSTIPKTKSEYVDAHMIKVSIEPQPAAFAYVFEYSTISTEPDNWNFAGASSKPEAIFSILDPCRDYQFRVIIVVRSSNPSDVFDIIRPQPIPVQLPPFILTQQQISIEMPRVNSFNSSGDLKLYVKWSLPSGYIDGDIYSYESPALYPIQCSTPEGEIPTPRIEIVKGGGRLAVWLPPAVLEARCRMWVEVRMLPRCVRLEPFNIQKNIEIDCSKNPNLEVCSKESNPVCFETVDISGDRGKAKITWQTPPRPPLYYHVRYGPAESKGVAPFVTWQLAAKREVRVDGSLSTFSLDIPEDEDFGVQVCAILSKKRKRPKFGVIQVIPFQCSSCKTSQTEGCGDCGPIIGSTVLEKDWKDKTPPKKQETTISTAPPRAQTSTVYRMETDLSVSGNGIRNEPHVKVKSGTRHSTDSPINLEKAEEINKKTIGENQNEEQKTAMTTVIQTPIPKTPLKSTTIPRLPFPPKLFGQAEVTSTTSTTESPPTTSSQSTTESEKTKTPTSSTKSEPEATTPSPTTSESTPSSPQTTRRILSEEIEKSVENLEKAIEESVKNGSEKMNNKTAEAVEQIDRELEKRLEEAAKQLQDSVGFCRLHSIAFIKTRQIRNDFQLTNLVGNSSEVRHHEKAKKCLTSEGVVCEFGCEDRKTCICPSSTHARLINGVCASRETMLHTICLPRREINATWDSESGNIMVRRSDALFHIEHAESVDKLFVEFGKVDVLSEQENNETKIEFNDTQRSKIVVLIQVRLTYYLENTRNLFQTVLKSSVFSSEPFIFHVNQSIDMNTTYGMRFCVFNSTQIRSPHSYNWDDVSRFEKNEQIAEVIQLSPVRFTNKLPLLYQNTDTYWSTVMTIAKISILVILAIAIFILVYLNCTKFRTLYDRKRTHYFRPFYIDPSIHLSNTAPSSRSSGGGLKRDPSRGYYDVRNSHLMM >CRE18495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:709300:710534:1 gene:WBGene00062186 transcript:CRE18495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18495 MSDAIWLIITQKSAAVGTALSFVINFILLVFLSTLPLQALGPYKYLMTAFSVFSVFYTLVEWFLKPLIHIYDDTCFVLQRKAYDYSHTVARAISATYCGCLAASFTLFAVHFIYRYLAVCFPMKLKFFKGSSLLYWALGVFLTAASWANFAFFCFPGNERTLESFQEVAQRSYNLDIRKVDYVAYTFWKYENGIRRIDILSIVGVIQHLIVMFISFCIVFYCGIHTVIEMRKHRSVSKTTKRIQKQLFKALVFQTAFPMIFIYIPTLMVFFTPILNLDIGAYGNIAIVTIHLYPGVDPLILLILISDFRSALGEAPKKIMSVTSSVLQRSSVIM >CRE18845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:710640:712138:-1 gene:WBGene00062187 transcript:CRE18845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-113 description:CRE-STR-113 protein [Source:UniProtKB/TrEMBL;Acc:E3LKV6] MSDRHWLEITTYSDHVGFTISTIANFTLLFLLAVRPTKALGSYRYLMISFCVFSLFYTSIETFLRPLIHIYDNTIFVIQRKRFDYSETTARAISSTYCGCYAMSFTLFAVHFVYRYYAACKPDNLRYFQGVYFVSWVLGAMLVAGSWGFAAFILYPETERTKAALLHVIKTSYDLDPYWIGNVPYSYWRTENGVEYLNPLNVIGILQHGVIMTVSFGTVFYCGYQTHKTIQATRGVSDRTRELQNQLFKALVLQVTVSITTIIPTFLMYLPTTMLFVTPFVGLNIGCYGNITTATVHLYPGIDPLVLIFLIKDFRRTLMKSLCFWSAANRRIDTSTTLKSYQLSVSKA >CRE18496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:714075:718668:1 gene:WBGene00062188 transcript:CRE18496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18496 MDDSSQNVIPVARVKKGNKWIVVTSVNHPTEDVKRLSSFRDWNLVVVADTKTPVDWELEDVHFLSVEYQKTLPFSLVSSLPYKSYTRKNIGYLYAISQGAEWIYDTDDDNKPYGLGLNQFQFEDVVSGVRYQVKNSSEGILQRIFNPYRFYGIDQMWPRGFPLEYIEVIDITHERFQIYSRNIQMEGKTKLLHAGSTSGLDIKFNKFAPPITLSVGTYSPWNSQNILFHKTAFHTLFLPTTVPFRTTDIWRSFISQRIVHLSGLTVSFVPTNAVQFRNAHDYLKDFKDEKQVYEDSGKIIEFLDNWNCSMGVNITLIVSGRLEVFGQAKYEQVKRRKPPRRVLDPTAALLATETLDSCEDQDIDKEYDTLIHVLKNAQDAAVTMPHNHSRNRLTDNTRLLLTKRRCTNRSDPNFKTLSKECRQAVKKDHENFTKDRLLNAANQKRSLKKVARDINEYQSYIPCLISGETGEKLTSREKMEIEVRRFYANLFATKKPSATTTLPQQTEALPPFLPEEIQHSLNSFQNGKAAGEDKISADFLKSCHFTVHKLLAKKFSRYLKEGKVPTKWKSSKTTLIFKKGDKENVENYRPICLLPVLYKAFTKCILNRIRNSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITMIFIDFKKAFETIEPAALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLETAFSRMSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELINSCETVGLEINANKTKVLRNKFASSHQINIRKNNTMTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKDLHREDIRKMSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLRKEISVRQGTQLIEPWSTIAKDRKKWIAVIPTPTERRTDRLIQFRNAHDYLKDFKDEKQVYEDPGKIIEFLDNWNCSMGVNVEDCMTLLAEVLVLLSSFLEDLKSLGFQFPELIKGNYKDPYISSSNETEKNVNCRRINLEFELVDPKKSEEASITMAEKKISYFGDLDDWCDETGYFNLSRRFPSAKQLSKEHDDLFGVKQNKNSILIVVNNYPWKYGLGLIQRLYQPYFASVIFCGSWYPDQLNDQDNFTTIIDQS >CRE25008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:617929:619790:-1 gene:WBGene00062189 transcript:CRE25008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25008 MLQQPIFTRKSPNFSPKTSENFQTNAPQPVQISEENMTTKKFSELGVSSWIIQQLQTMHISTATPVQAACIPKILSGSDILGCARTGTGKTLAFAIPILQKLSIDPYGIYALILTPTRELAFQIADQFSAVGKPITLKCSVIVGGRSLIHQARELSDRPHIVVATPGRLADLINSDAEIIAKVFKKIQFFVLDEADRMLEGQYNDQLKPIFEAIPAKRQTLLLSATITNNINMLHKVSTRKPYFFEDKSKDAESTVDRLEQKFVVCPVAVKDAYLVYVVKNYSEKNPKSSVMIFAQTCRECQALAYMFEGLGFRVGSLHSQIPQKQRLAALSAFRSKTLNVIICTDVASRGLDIPHVDLVVNHNVPQCAKTYIHRVGRSARAGRFGSALSFVTQYDVELLQAVEQTIGKKLEELKIQNSEKFDSKASKARQITRKIWKNSIQKASKARQITRKIWKNYIQKIQNSEKFDSKASKARQITRKIWKNSIQKASKARQITRKIWKNSIQKASKARQITSKIWKKFGKIRFKERQRCARLFFLMIILKKNGSKPSDHGFLMKYPLFSLRFFKKKGF >CRE25006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:606703:613111:-1 gene:WBGene00062190 transcript:CRE25006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madf-3 MVSSSSPDYQYFGVEEVQAEERPEAAYDMNYQSTRFNEEMIEPTFNLRLIEAVRHSRCLFDNTDRQYRNTEYKNRVWQRLVSILNFDGDPRMLSARWKQLRDKYGKEKRKQKYGQDKSSWQYFKHLHFLDPHMTDRADVSPSRKEPNGVQERVNDPHFAKSLIQEVQRHPCLYDVRDPKYRHGDCRTQAWGMIIEKLQYPGTVPSIYKQWKKHRDRYVREKRRLRNMMGDANLSDLSTWDMFEEMQWIDQHLDEQQISRCARSLKRGPSDVNNPDDGISEYEADFEAEEERLEQYTDSNLSYRLNYLPMSEKRGGGAQPGYFQPNNPDVLLDGDSAFSASIVSDLRTLNDEARIVAKQQIMLLLDTAPPPISTTPAPNYM >CRE25005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:586248:604663:-1 gene:WBGene00062191 transcript:CRE25005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-athp-2 MPLLNKQPFIPRPVPDGTTRETRLWHCKPTGEFFASHEDFFKRMILLNTTSWSCSMTRKSNLTYFEAVQSEKEAEKELIEFPESLELPILFITHKYTNRGRFEELVNDIYHIMKDRFFMNEEVTFNEKTRKLTARIVTSYCTQQQILDANGMEVEIGHEIKEPLLPGADSYRYTIEILEQGLSEGDKFREHISNEKLFRSKNVGARQKIRLFLKNSCHLPPDGDRYTIRDCFIPKVESLWWSDVMSGSEPMCPQTPVLQRGRIPNMLKNGEDVGGGAAGAGERKERTPKREKRDPSAPPRPRGRPPKTPEQRALAQQEKKQRKRAEAPPPGPDDFDLTSSGALTFHGHPMTSSSSPAKKKIRRSSTSKNEKKKAKIIEQQGDLDFYFTEARRLGIDVTGLEQDEKLLSPKKVAEFKMRVKEEKDVEREALKEEKKRKIREKAAYNKKREDLLCNDLKPMPKFPSLEIPTWMSDEEFGDFLFIMQFFSTFKEILPLKEIRGNDEIQFSDIVLAVKCNDPQNSPFADLMRVLLSIRTDIADEEDGDEADFSNRDEIYLINAQNCDPANVVYGESIREISDLHFKIRKIHGKSVRHLPVDWMTLTEVLRLIFETSGYYTGISTHRHRLYARGNYRGYEDPAFEFRHAHPQIMEKLRTQTVFDLEPSERLEIMKTLIYQLLTYSKFRIHLEKHQMELTELRKEQKRLKTWDAGQEADSNAARLLMEYDSQSGGGGGGGVKDVEKEALVKRFKTYLKALNEGRRYDKEDLDTILLDAVPYTSLTLDEIVTARDLQKAEFKTLMQSITSKLFSTYCKVSDIRLGSDRAYRRYIVIDKLSAILVENPTSSELRIECDEPSVLEVSEDSESHDVFRCTGHLESCEVHGATRDSKTRWSYIKNREQFDEFVGSLNSRGLREHEMLEELQEYRLNLLELLEDTEDALGDATWQENLMTEETDPADSYNIDWDAEIRDLLLDFEEKLDQGQMGSIEKKFKISRPAWREKLKENGDVSGVLTENLKIFDEDVVEMDDVIGMSDSRKLAIAFFMIIRSIQLKFIKPPFISPNRDEHGNLKPSELFIRWQRALLQTDSHSALSLFISTFEGAIKWDKSRLQGKCKSCRRKAVAHELILCSECDNCYHLKCAKLAEPEDDWMCTTCRAQHRKTENEAKRMAREELNNISINETDLSSSESQETPSTSSEQQPTTSMIKTASGRSVKKVHYSEVHEGLSLKSRKSNGMVAAAATPTTPSERPHRNVTMRNSEYHVISNSPLTKPYQKAIYGRRLISERLRDSNEMSVEQVFENLMSIATDKTHCYPDAQLQSHTQNTDEYHQSLSAIFIKYPERTRDYDTDNENNHSDDDEGTSDASDGENTRKRKIPAAAAVAKSKSLVDSPRVIIPTTKEKMSSIESLLKEAMRQECSWPFLQPVDPKEVPDYYDVIERPMDLRTMMNKIKQRVYNKPAEVREEMIRVDFEQILTNCETYNETESEIYQLSRELQDFVTARLDAIIGQ >CRE25004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:584075:585432:-1 gene:WBGene00062192 transcript:CRE25004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25004 MTARLTKFLANTFKLATSDVANQYALPVKSMTGAFVSSYGAKKSRRLNEKVVESMGVGKDDFVFEIGFGRGDAMGMCFEKVKEGRGMIFGVERSGYMNERAFKRFVLEIAETDKIRIDSAVDLRNLPYPTDLFNHVFHVDLFYFLQQDSLVDINRELLRVLKPGGTLTCGMQFDRMKKLTEHRILEETQWDPMRYLWALEAAEFSDVKINYHTDPQMGEYQIISARKSTDPLDGQDPEELMKNLAMDMKKERLAVAMMNDKKEADDSL >CRE25003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:568703:571689:-1 gene:WBGene00062193 transcript:CRE25003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25003 MSSTNLFPLLFISTVLCTYGSSLQRGLITSGPCTFPLPTSANFSVFCQIPYHKTPYVCDPGGILSRTEVEMLQATATKLNMTSCFCNKFGNCQPGIRIAVVLLPFVSWNSIRECDPTYTSSSISTSTILYAQLLSVRWQEYCDADVIFVYIQSWQTERLRTPLLIPLFGDQWPHLRRFSIPIVTTARETTLISLENAMSHASRLIHVDLSPAHAAIPRWALAFGGIMLSIVAAAMYVANCISQKMGQRRQKSLVPKRGNEKFRAGFGGGVMMNNSGSQKKKSVMMFRTFSKSNHNNNNNRL >CRE25001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:560164:563630:-1 gene:WBGene00062194 transcript:CRE25001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-3.B description:Eukaryotic translation initiation factor 3 subunit B [Source:UniProtKB/TrEMBL;Acc:E3MHT9] MVEIEFNKENEEDFSDPPDFVDDVTDEVLVPDMLYKRPTIEEFEDNIVFIAGIPVVGADRIGRLQSVLKKVLEKMDPAVKLFIPTNSEGGSVGVLLTEWPDYRAAAFAVKSLEGYSFDKNHQFSARFFTDMKKLQAPAEDWQTPTKSEYADVGDLWWWLQNERCRDQFAISHDKLGVPTVGIFTNMKGNDPELAGDPDKAERANWTETVFTWSPHGSYLSTIHKRGIILWGGRDYARAHRFAHENVQYIDFSPCETFLVTYAAPEETNSWGDYEKDSVRVWDVRTGELKKAYSTFELTGRTQLPTWPFFKWSFDEKFFACLKAPEKDKLERERKVDGISIFASEDFELVEQRSVVIENIKHFDWSPTATILAYYSECTDAVPAEFGLLQVPSMQRLRSARVHNVADAQMFWQKSGKRLAFYTMRYKKKEFRETGEVKYVGGCQCHVDIFEIDKKDVSLMNLPLSEPFIHFDWDPEGDKFCVLVGNNAKATPQVYRIEANSHAPKLISKLDAGVHFNEVQFAPKGGWLAVLAKVSAGGNVYFIDTSLAEAKRTNVIEHPLFNKGYWDPTGRYFATCSTLGGRAGADLGYRIFTFQGRELCRKNLDRLAQFKWRPRPPVKLSEQKQKEIKKNLKKTAAKFIKQDDDEKCRASQEVVEKRRKIMAAFDIIRNRNREQLEATREQRIALRKGVDTEAPLDEDDFVDEEITIALSTSKTPAPLTEEEERD >CRE25000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:553940:559587:-1 gene:WBGene00062195 transcript:CRE25000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25000 MTYSSIPAINLPMHSSFSEPNSPLKEVLGEKWQTASCSDLGDNYVKPGPLAKAKFNALRNKREAIDRFLKKHKKEDLSLYIDELRDFAISSGGLVDDEFRAAIWPVLAANLVQNDDLDDVSSSYDSDFESAQSDFDEESPIFEELTELTLDELKGHKEWNQVELDVHRTLSRFPPNISDTHRDVLQTELIPLIVRVLSVNPRFNYYQGFHDICLTVLLVCGEKDALPVCSNLAKNGAFNNYLLKTLEKSVVRELDLLYVILSRVEPTLEKVMRSVELGTMFGLSWPLTWFSHSLKQYQQIVRFFDVFLASSPLLPIYVSSAVVVYRRASILSCEREMPFLHRLLTEMPSELPIDAIIKDAVYLSKLMPPCLLKTNYMNEYRKIVAKPHRPTVKTIPRYALQFMFVAGTVGAAASFFFFKQIHPL >CRE24934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:552022:553618:1 gene:WBGene00062196 transcript:CRE24934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24934 description:Receptor expression-enhancing protein [Source:UniProtKB/TrEMBL;Acc:E3MHT7] MTLSTIATPERSMHHQNITAPRSTPPNTRKNGFLSTLASYLTSTAKSDPKPVTQRKPLITSWNDVDFVKLNSLVCNVLYDQNNYEPLITEIERVVGRRREHCFYGVLSVILVLVLLHDAIGAITAFMTLILPTFMTVTAISSTASYENPSFLKDHQDFFVRYWTVYAVFVTVESFLSSLIHWDLRLLRLIFMSMCLSARIPVLAATYTKILNAVSAIRQIIDNNNFEKAPPPQSVTILAVKTKTT >CRE24999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:550400:550630:-1 gene:WBGene00062197 transcript:CRE24999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24999 MASTYSLPSDYTEDNPFTKREMSLFIVIYAFCVIMLIIMYETLMPVINNPTYPHYNKVPSMEFVGGGGQPEITPGF >CRE24997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:528389:530980:-1 gene:WBGene00062198 transcript:CRE24997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osta-3 MAKEHGAMRSVLNLVGSVMLPQDTSNCSDRHDTPSAPEFLSQSKTSGLTDQRFRSPTIPNRTPLHRQCLYNHRTCPFANPLVLCVQVRVNREAKEQIVLADCSLSSELKKRKIWTHRQIFPVVCNFIITCEMLLLSLLATWLLAPRHNAMFDAYRSSMALSETTASLNDTEQSIIIDN >CRE24996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:526732:527547:-1 gene:WBGene00062199 transcript:CRE24996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24996 description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:E3MHT3] MTKGTQAFGKKHVKSHTLCKRCGRSSFHIQKKRCASCGYPDAKKRTYNWGAKSIRRRTTGTGRTRHLRDVNRRFRNGFREGTTPKPRAQPTN >CRE24933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:524115:526602:1 gene:WBGene00062200 transcript:CRE24933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-61 description:CRE-NHR-61 protein [Source:UniProtKB/TrEMBL;Acc:E3MHT2] MIVDSISSSTASTSSPSPPRKSPLQCAVCGDLALGKHYGVNACNGCKGFFRRSIWKNRTYACRHGGKCQMGKEQRNACRSCRLARCLFVGMNPRAVQGETVEDTLEWDEVSIPETISFGIQTEVEKTKSEMLKEEIIDRLRGIYTRTDPEELWKVSYPGQYDFQYAFYNTKVVSPRTPLTPTAERIATLTDVVNDFRRAFVLFVDILKSIDQLENVIEEDKMRIAKSRFAAFYWWLCSTWSAEAGCNGVCYANGSYHPAAVNDMPKTEGKHGVRIEYVDYNGVSQKSLENLVEPLKRMKLSDEERMVGAVMVILADPVPNVSPKTEKVLAEARDFYLELLTHCIDLPEQEKGVRLSKMVLFVASIMELVHLSTDNIQLSDVLHVIDLGNWSTELRDHRYRRQF >CRE24995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:484981:493355:-1 gene:WBGene00062201 transcript:CRE24995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdh-7 description:CRE-CDH-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MHT1] MREVTTLLLILQLPIAVYGDLLSIPSTTFKIPRNAQEGDLIFGNGYIRAFKDLDEALNLELEGTNPSPIAINGSIIDAGQPVKFVLVNKNAFENGKSRVKLQAIGVISGKVQEETINFEVESSSDLPTIPEIPNFVVKSDFQDKETAIVKVSSLIPPNSDLEVLGSYAERVQATFQDDNIILDTVPCQADVPCEVTFPFTVILVLRNGENHVEALLTFEEEKKSSLKITQKLYQTTIEEHTGEQDHLVKITAKGATSEILYSLRDSSGLFSIHPKEGILSILHPEFLTIASFGNSVNLTVVATDGEKTSEATIVVNIVPEVEKNVIFGFEKDSYEITAENTNPILGNIKAKSPTPVIYRITEGRGDLYKVETNGDLRYVGKLVKEDREDEITVAVQQSTGGLKMASTKLQIHLKGIGSNPLLTKDPLEQIELISSEEPEGVVVTSIQFEDADQDATLEYTLEQLEPKDENGNSIHISETTPLFSVKSGEDKMAHLVVSGDLKPSSVSTIRLKITADDTAHPREPVVTVTRVVVIQREKSDEPEAGLNLIPMPTNIVVPFDAPIGTFVYRVTVLPAKSDVSYTVEPEHLFNVSASGDITTAKKLTGESENESLKIHVTVKDATSTQTSESTITLKKVARPHFSENNYSVNLPRGTPEGAVLTVVSATNEHLEPAKSFVIHGGHAKYFSIDENGAVRTKEPLDELGDEFDFLVGLAENPHVDALVHVELQKAREPSIVLKENQIFATVYDNLPINSFVGKVEVKNDEKVKFEMNSDEKGMMNLFTIGEDGTIRSMDWLAGSVGMHKFSVLASNIDQAGVRSANATVIIDVIKSNECAPRFKQDENLIFYVRENTPKDTVIGKVSADVLPGKCDLEYSVTAPGSPDQPLLTINPANGELKTAQEFDFEKSKSHLLTLKLVAGKHNSVEMGAELRVIDVDDHELKFDLQEQTVEVAEDVELGTVVATVTAKEDDESQEVWYHLKAGAPPQFSLDSRTGKVMVEQALDREEMDRFVVEIGASNSEPTNTNAAEGPSTKLIVKVKDVNDNGPRFDLPHYFTVTPKDTVVGTQLLELTAHDPDIMDQDKKLEYTVTGASFEYRGMNRNVEDIFDVQNQKLILMKSLMDYVGGVFNVQLQVRDTVDGTIGKAGLRVYVHDSSDLLSLELPYSPSTVSQGIVQEFADQLSNSTGLQAIPKTVLYKAPHGIMSTNSVDLQMIFFNKSMSEIVPAERILAMQEMRMGSQDTTANRNAPILRKPSSPYLLMENQRSDTFIQPELFFIVLGFLVLLAIVLALCGLMACFARSKFLRQKRIMENEMAIKDAIQFPANRSPALVSFKHFAPPITAEMYRNHEEHMYRSPNLNEKIGSYAVQQATITVAEHEEKI >CRE24931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:460516:462111:1 gene:WBGene00062204 transcript:CRE24931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24931 MIFKLPYVVQTNVIQQMELYEQYFLGLCSKRAELFIHRMPRRYNATVINLYSSCIQVQKPGVRGEDVMMWTLNDNCGENEEVFNASGDNGIELKYKEVKIVCRISYHPINHIPIFWCDDNHKKIISLAIHYSFCDVLKISPILQIKCRMNRLSDFPDVKEIDNIFECGPAGDREEYAMFFERVDIKNSAKLYAFPSPYFKPESKLLSIDHINIINSRWLTRDHLLNFNGRSARFLMAEHIRSDDVIEFIRRWQQGSYGKLEVIWISLSYMKTFSRNTILENFDLKPWDPSKRAARFPYPDGSIVAEENDILDLTNEMDIQRASDGRLATVVISNTEFRFFVWNEPFPTATAAKSRTDAWDGREWLIQRKIYF >CRE24987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:457200:460005:-1 gene:WBGene00062205 transcript:CRE24987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24987 MNLFKIPYLAQLNIVKQLEFHELFLLGLCSRRSKLLSQCVNHKFDATVIDVIDGCLQVQNTGLEGNDVVKWASMDNPGDDGWLYDEVTDWERKIEFEYRGAKIDCRISFHPEKEIPIIWCKERFKKKISLATHSALCDISNISPILQVMCSMDRLWEFPDVDEIDNFYGYGTSNDIQELLQFFNRVTIRNSVRLNDWPSFFYDISFQLASVDHLYVENSRELAGRHLEFFDVRSALFCKARGVEDHHVINFIRLWLDGSFEKLESMWIMMGATQLSQESLTEIFGAKPWDPTRRAARFKYPDGLKLLYEDDILDLRNGVDIERPSDGRLATIVFEGEQFRFFVWKESRFPEQLEPITLRMEVEGREKTVFVLGKTYF >CRE24986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:451750:452760:-1 gene:WBGene00062206 transcript:CRE24986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24986 MQEKLIYRLAERSDAPGILEYMLVHFFPEEPCSKALKIDISEIEPIYEALIYRCLDFPFSTVVTRQSGEIVACLLNSIWRRDDQDCEGADYEVEEGATENMVKFLKMLNQCHEDFWTLAPSDIHVVLHREISSVSDGYKRRGIATKMLTANMTRENIDAYNVGGVISETSSFANQVLLEKNGFKCLKEIPYSSILDSQGNQILKTDDGSEGLRLNMKRIEHFKLVD >CRE24984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:434238:438876:-1 gene:WBGene00062207 transcript:CRE24984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24984 MAFSLFSVVSLTVIYRLTINFPELPYTQELKNVESEEFLSNSRHIAHEIQHLVKGLEGRHTARVVDYKYHKIIGSLVYVDLYAEKESPRVSDVLLSAIKSGRIGNLTVSDEGFELHVVRDSSTACAATEFTCLDKTCIPADQRCDGRRDCPDGSDEHKEHGKCKQHQPIIHQTEKVVFAQKHGSIHLSATIDSSVEDKQIAWSKDSILLGVSSMTLAEDGRVTVYKKGDKHHLHIINVTDSDDGTYKMIIQGMNVEADFEVRITSDRVAIESDECPSGERACKSGHCLPVAQFCDRRVQCPDGDDEENCSEVQCKSNEFRCESTNVCVPTVVVCDGWKDCHDGSDEKLFCTLFVPPKDLLPPSQNLQTPPSPSRQSERPLPRRHGARVQSPRIQLLLVQQSVPVSHRMCPWIVLPDF >CRE24929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:430652:434175:1 gene:WBGene00062208 transcript:CRE24929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hhat-1 description:CRE-HHAT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MHR6] MTATSTTTKPPARVKPALFEYPPLKEIPVCFAVTICTMAYAWYGVYRASQDFKWSIGDYGIWSTPPVIGNLTGPMMKDVTNWEWSRWSPFALSYLPVFAGHFLLFNIGSAILPEIAFVPVYTLASISAVAYYFTPFLVAVSIAQGTLVFLTATYVKKTWAVWLSALPVLYVSMHETNSLSDDPFLIFTFLSYSMIHHISYSLEHLKGESRKEDDTVLKRFVRMMFYAFYQPYLFSLIMLYPDFERQIKERKIRQRNWLDCAWSGVRIAFWWWLMEASLHFLYQEAILKNMPYLFSLPKDQFVALGMAMGIFFHLKYAVIFGLPSLFAKLDNMDPLPGPICLIRVTLYSKVWREFDRGLYQFFKTYIFVPICAPTFSLPRKIFGVFISYGFVLLWHGFYHHNIVWIGLNILALFIEMGSKSIYTIESVRKWREEHLSDVAFRRILAWCHILPFAIGLYSNFYFLGGSDVGAAFVQRFLIEETITVRWPFLLIISLGWFHANTAMEVDRLKSLKKTKKD >CRE24983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:426386:430380:-1 gene:WBGene00062209 transcript:CRE24983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24983 MFILTETRKRQSDCLEGQFKCGSGQCIEESQKCNRKYDCADGSDETSCDYYLAVQKYHAEQERQGAAQPSPTAPTHAPSHHQQPQPQAPISAEEQSDNLVCNDQEFRCPYLPNTRCFHYDKLCDGVDDCGDGSDETNCDSNEDQDQPAAPIPPPVPAPDSVEEEVASRCSDMQFECKRDGKCIDKQLECNHKYDCEDGSDETECEYFKAAMARRGESESVATTTEQQHQRQHHQAQQHHQVHQGEHHHAHQQQHPAQPAQLAQDPHEEHRRRLEEHRRREDEHRRRQEEESRARAHQQSLLHASQVESTEVTFHDEYDSDSAVGCLEHEFQCAIGECIDKRRVCDTRPDCLDASDEQNCSDRAPSPQEPAHHQQHHVAQPAPPSLPSPVVNNYPGQFAKCFKWGLDSETIRRAERLHLSQKCGFVCACLDI >CRE24982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:391438:414837:-1 gene:WBGene00062211 transcript:CRE24982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-52 description:CRE-UNC-52 protein [Source:UniProtKB/TrEMBL;Acc:E3MHR4] MKRSSTVVVALLALLLVTTDAARHRKYRQTYQDIDSDDETSDVQITVFPSEKEVRDGRDVSFECRARTSDNSVYPTVRWARVGGPLPSSAHDSGGRLTINPVSLSDAGTYICVSDYNGQTVEARATLNVVSCKIVLKGQQPTIAKPFSFPDGPQEVSNGLRPAGQCMADEKACGNNECVKNDYVCDGEPDCRDRSDEANCPSISRTCEPNEFKCNNNKCVQKMWLCDGDDDCGDNSDELNCNAKPSDNGCKPTEFQCHDRRQCVPSSFHCDGTNDCHDGSDEVGCVQPTVVDPPQTNLQVPRGNTFSLTCKAVAVPEPYINWRLNWGPVCEPPRCLQTSEGGYGTLTIHDAQPVDQGAYTCEAINVKGRVLATPDCIVRVVDEAPRPQPPPTAPPQRATCDTRGAVSPYPNNYGSCDCKSQVTGPNCDQCKPGAFHLSEKSPEGCLKCFCFGVSNDCRSSGYYRTKDAACDRLMFAGDAEGVTMSDIEERTIDRNSQFSFFKTGYLTFDGTTDGITKYWRLPQRFLGDKVTAYGGKMEFEIEFSGSGHHSTEPMVVLKGNQNILVHRVRNQEQVLRSDSPVRITVETYETNYEQLNGAAATREDLLMVLADLDAFLIRATHVAHQSSTSLGDVSWEIAVDRYTPDGLALEVEQCVCPPGYLGTSCEDCAPGYERSGHGPYLGTCVPIQPRHQQCGPGALAPTAPAQGQCQCKASVIGPNCDRCAPNSFGLAPTNPQGCIPCFCSGVTQQCSASNYKRTSVSIDYSRGDRDQLELTTSDSRQPFSPQTRAHVSGQAIEFRSFEEARGQTLYWKLPEKFLGDKVTSYGGVFKYTFKFSGSGATDQSADVILRGNDIALQYKHREPFHPDRENNVEINIFETSWQRVDGQQATREHLLMTLADLDTILIKSTYNDDCTDSQLISVNFEYAEPYGQGLTAAEVEQCICPPGYVGTSCEDCAPGYSRTGGGLYLGLCEKCECNGHASQCDKEYGYCLDCQHNTEGDQCERCKPGFVGDARRGTPNDCQPEATRAPCHCNNHSPRGCDSFGRCLLCEHNTEGTHCERCKKGYYGDATKGSPYDCTPCPCPGASDCYLDNEGQVACRICPAGLQGRLCNECAPGYTRSNKPAGRVCEPIGQVTNEDITFVQKPHEGDYVRNSVQTASANTHTNSNSSSQRRHRRRRIRNEKIHVEWTKVGEMSLPSNAKAYDGYLVLEGVEREHAGQYRCTATTITQYATDDALLTVSKKISGRPPQPVIDPPHLVVNEGEPAAFRCWVPGIPDCQITWHRDQLGGPLPHGVYQTGNALKIPQSQLHHAGRYICSAANQYGIGQSPPAVLEVKRPVTPPKVDPVRQTVDRDQPARFKCWVPGNSNVQLRWSRPGGGPLPSGVQEQQGILHIPRAGDQEVGQYVCTATDPANNTPLQSEPVQLNIRDPAPPQRGAAPQIDPPNQTVNVNDPAQFRCWVPGQPRAQLKWSRKDGRPLPNGILERDGFLRIDKSQLNDAGEYECTATEPDGSTQLSPPARLNVNQPQAIQPQVDPPVQTVNEGEPSRIRCWVPEHPNIQLQFVKRGRRPLPVHARVSGGNLEIPRTLKSDEDEYICIATDPNTNRPVESNPARVIVKSPIRPIIDPAEQTVPEGSPFRIRCYVPGHPSVQLSFRRASGQLNEDADENNGLLAVQRAELTDEGDYICTARDPDTGAPIDSSPATVHVTNAAGPPVVDAHRPPQHPVITPQTQTVPEGDPARIQCSVPGTPSAAKDLSFERADGRSLPFGSSDDRGVLTIPSTQIQDAGDYICVYSPENREPVRTNPSVLNVTPEGTPPRPVATPPVLSVAPGSPARFNCVAHSDSPARIKWGFREENGELPEHVMQDGDDLIVSSAGDDNIGEYVCSATNSFGTGVADPVRLESSNSPPTALTSTFPDQEPPTAVVEPRTWNGKPGERHQFRCITTGSPTPKITWTGPDGSPLPHDVTPLEPNILDFSNGRSELNGEYTCTASNPIGEATDHGVVNIGPSLTVKTNPAGPKLIVTVGEPLQVKCEAFGAPGDPEPEVEWLHDPGPERGDLPDDFKPVTISEQFIRHPNVGLGNAGVYTCKGSSAHATATKNIYIEVVEPSRIATVSILGGSSQWFDQGEKGELICTATGSSLVDRLEWEKVDDQLPTDVEEHNEPGLLHFPSFKNSYAGEYRCNGYRNNEIIASAGVHVHSSSDADDEPKVEIEPPRVRVVSQGDNIVLKCSVQGAENGEHFKWALLRGGSLVRQLGTEPTLEITKADPSNDFGVYRCNVEDNNGLVIGSAYTAVSVGQQDKSHAQIVKFDDKSDASFTCPIYSVPGSKVEWTYENGDLPSKAVPNGNKIEIKEFDDASAGTYVCRVSFDGNVVEGFVTAQMFVPDTIIQVLLEVSSESPQIGDRAWFDCKVTGDPSAVIQWAKEGNDDLPPNSQVTGGRLLFTDLKEENAGVYRCVAKTKAGPLQTRTVLNVGSGKRKRKHLGNRRGRRLRHRRQDDEVTFTITADTLPVIYTVGQPAYLSCIGKTETKPNQSVIWTKDAGDLPSGSRVDKGVLMLPSVHREDEGAYTCEIVKEVDPVFSTVDLQVDDFVPVIHGDAIELPPLTDEEIVNFDIEITLNSSDPKGILFETKRINSGDLLAQPFDTIHHEAKITDHGTILYEFDVGNGRQIVETTNPINPNEWNVVKIKNDRNQVTVQLNGEEATIRQHTNPLPSLSLGVNRPVFIGGRHEPMSEADDFNGIISQVILSGHNVGLGEARIPPSVVKYDACASTNLCLNGAKCRNANNHQGFSCECNSKFHGEYCQWRSNSCHEENCNSGICLDNEESWQCVCPLGTTGLRCEEKTEVPRPLGFTSDTSFLAVRKPVKFESIKMKLRPQADSDEHILMYFASEYGSTTKQYASLSLIDHQVVLTVNKPNKEVQKVKSEPLVAGKLIDVAVKQTGNALVMTVNGNQVSTIETDTLKPGTEIFIGGLPPGLNSPDDVVEQSFHGCVYEILVNSEDVDLQNLSSSGDISSCDELEFPPEEEWVVATTEETTTTTEEPPETTSEEPETTTTEEPETTTEEPETTTEEPTTTTEEPTTTTSTEPPTTTEEVYHFYEISRDDDPQIIIPVETTTTTTTTTTEEPETALILPTDDLTDVSDEEEEIASIGTVSPDDADSDYSEGTLPPDSTEEIELDESFSTEAPEENNICLNSTCGMNGQCVPRNKTHYTCECKLYYDGPTCSLFKPIEHAARFDGDAFIELSSDEFPHLTSEKDEIVAFKFKTEQPNGVLLWQGQRPTVTQMEDYISVGIVNGHLHFSYELGGGAAHLISEERVDDGKEHSVRFERKGREGQMRIDNHREVNGRSTGILAMLNVDGNIFVGGVPDISRATGGIFSNNFVGCIADVELNGVKLDLMATAIDGKNVKPCDEWMHRKRWLYRRRVR >CRE24981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:387348:389408:-1 gene:WBGene00062212 transcript:CRE24981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-297 description:CRE-TAG-297 protein [Source:UniProtKB/TrEMBL;Acc:E3MHR3] MRRRTLLCLAALTVAVSTQLVPNHVSLNEKRKHIEVDSSWLDKKQKEVQRVALDASNKEKVVLDLLNTNQRFSASSAGNIAAAETFDGKRAQVQKIGDKGGIATVDGHKAQLRTKTDGEGEHALLKADGKYELDTIAKGDLKGDPSKTEFEAKNERLDFKLNPHAHSNNSGDGTLAFYDKEKDKNGKYAYTIAQNGKKTDATLSADNLIGSELEQSLFGRRNNKYHCTAETPGVSQCYDAKGHSVGKVVADKNGNTVVYNDKDVPIGTGSVKQTGPKSYEAVISKNLFIAKLKDVRSAPCCKELTGQECAAPIKLANPTFSHPSNREGDGTVHLTWPDCFDMGIDITLPPGVHINKLAMKLDVSIQPIGKLRCMDVATCGRECFYCDWCKESRKLKLLENTEGNLCRATGERTYRLTTKLCPPPEDPNFTMCTAFSKSVWQKDYWQKQGAVDVWMKFYERGQTRPELEKEFFAQIDNPLLGKAFKFAIIGEWLAANSLDQGSYTPTNSELLEYWVSKRDPDRLLACQHAVVDYDIEGAKVKTNLLFEAATTANSIPNILKDKKCGAFEKLQEDRFQKEAADYKRTSGGGNFLSGLGGFLNTVRG >CRE24980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:382083:384704:-1 gene:WBGene00062213 transcript:CRE24980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24980 MSMKIFLIFSSFLLLFSNGKPLNSNSNRPEDPFKTKFVASKSEELTDTEDEDISLEESHELVRITTTRAPRLLYPPTHQNLLYSIFPTLQKEKDWRKYCPANQYQFQITCRPGKKLRYDLQLFCQQFSDMCGVPNINLYPSRYQTEDDGRPAGYGQKQKNGNFGVGRSWAFGLGAIPGMEVRTSQGADIGNEKLPFFDQVSGVIGGMMLNYGGEVGVLGHRTGKGPARSMNALARGYPSLGLGPPNKAADSQFQESVAKALGVPSLNNVFNKLAKNSKNKKISGYEPGYGAVNPHGPFAIGKTDLDDINLPGGFGAIEMLRGSGMGIGKK >CRE24928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:380367:381178:1 gene:WBGene00062214 transcript:CRE24928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24928 MRPVTLTILVTLLLASSVQADWWDGFTDTVSGGLNNAAGWFKETASPAIRDKFNEYKEKLQDPETHKNIREWLSEKYDAASEFTKEEIVPELKKVYEAATADVTTNEPKGEKEEEEKREE >CRE24926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:354890:356171:1 gene:WBGene00062215 transcript:CRE24926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-13 description:CRE-SRE-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MHQ7] MSWFRNFELQNIKIVQDRKSDDLNNEIFYTISLLRALFCFPGFYCLSAFVAERWFATYFLMDYERNPRKWLVFLILWVIYAIAFVSALDFHNASNTIPHATLFILLSGLSYLGNHLNFIVNRNYYYRSNRTDGGGYSLGQRFQISENIRFSFFFNRLALSIAFFQISGPVCLLIDNLNISRSWKNLNTVIYDTICLLYALVTPFVIYHHNPKYRNELEQIIASVRRIKVKINKNQVKPMDSVEESFNSLKIQDTFGNRITFNASEQTNTYFEELDRSWS >CRE24977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:352508:353788:-1 gene:WBGene00062216 transcript:CRE24977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24977 MLILLIFFLLFQLSDSQLVIHLNSLKGENFQNLISSTLPYSLYVSANEDSTEILKQIFVQGKNLDEIRDLKNSKSTGFLLSPLKIQSSSAHISSSLPDYQLASLKGFIYVTTTKQALDDSFLVYDVAQRQTIRTNHTGNYTLVFLNTNPTYSSTISNWTQGSSSTAKMYKGYPTDLLEDSFLFSNPVEPVGKDLKLFSVVEKFSVRFPIFYFKITNSLLFQIESGSFDLDGYSTAGYDSTGFYMKPRNEKPKNFTITCMKDNRYNGSTGILMSGSNLPTDATLRIKFNADDSSFSIIEIADIRIPGFAVNMIYSSLTVSSNNTDDGEVYVQYYILQDQFVGTTSTVQQTTMIPVTTTKGSNRGYAVWVLIMFLCMIF >CRE24925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:350736:351048:1 gene:WBGene00062217 transcript:CRE24925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24925 MYIKYFNKTYSIIEGTYPVYLTVFYLQVLLIFIVLFYYLLNVYIDIRTGQFVTNTQKIHHAIYLPCILGHLMCLAQKVLLIVDSPAGE >CRE24924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:339127:344321:1 gene:WBGene00062218 transcript:CRE24924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atgp-2 description:CRE-ATGP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MHQ3] MDATDRLMPGPEGKTPRFYEVDRGNKTESYISAVSGNPVVPEHIMLKEQELPVEEPKKTWEPRPPVVARPKNPDQIGLSEEELEQFRNDPCWKFIRTALFILFWLIWLALFAAAILLVCLSPACIVREKPNWWQTAVAYHVWVPSFQDSDGDGVGDVNGLIDRLDQLRKSGVQTVWPSPFLISDDDKTAVRSFSQMDPKLGVNQKADELIQKIHEKEMKIVISIPIATTSLEHEWFLNSASASKTPNANYSQFYTWVSKAADSNFFTEHKNLFYLHEKGNSKSAVLNWQNSNLREHMFNALSSWIDRGVDGFELLGIEYLARTPNGTEPEWNAIYDVIRDIRFHVDTYSNESTIAKGKKIALFSTREEAKEKDKKRMAKSGMDTVINYELGEVEKDTKICHKNEGSVATCVHEILSDVLLFHSLNEQVWPHWRFGSPDLARLASRVGSRPHAQLLMMLQMVLPGTNNIYYGEEIGMRNLANDSRVPPQKGAMQWDDSLNGGFTSAMSPPVPSNIDVANINWKRQYSEPQSTLKIFAKLAKLRQREDALKTGTTLIGRLVDGAFTVTRFNNFENRTTGNVSLNLLAREGVSLEIWDIYVAALNFAEHPVKLPLTDLPNNEKLQKSTIVTATSNCEVYYARQTVDLGGKEIELEPNQGVVFRYSA >CRE24975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:315366:324063:-1 gene:WBGene00062220 transcript:CRE24975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mltn-9 description:CRE-MLTN-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MHQ2] MNLSVKMLLLLYCILLTYSAEGKTDGKPISEKDLDKIRLDHKGFDKIKTIHTNWYVHSMKALIAQLSRNLLPKLNDDLKNEFVDCLEKIHDKKDLVESSKCVMRAREQYKTLQKWKPATAKSPMAFRVGKEEIKAEIQRRKKIRMESKQVVKPAVKIQKLESSKKEFQYKTSEAIENKRNDLKIAARKQKLQKLKKLRRVSTNDDVMSQKMKTWKNERRIELCRNKLSCNKGKTSDLIIEFKKKWRHQRSRSLRTKRSLTRLVVDSVSKSDGNENGFFVKNMGRMPSLKIQSSKSPVERVTKLLRTLLFRNQTDSDPTWADTYKALINLKKKMDEKEKESGARVYNERMYDLVLDMPQKDHDFSMFDKKKMPGLVRHAFDLMSTIEGNSKKKGDSNIKFLSPRFASVMPDKNENRGRLSPSILSFYNDESEDQILPLPKMLDATGMRGKDRDSIIELVMEVSGVKGIVHDAMKMLKSTELPELDLAMDNNAKKSLQMVQDIQKSYNRKQKKEMRNSGFTLMNPLQMEKLMSEQGILGRDDIFNLKEYALMTIGQRKEMVWDMVRGIAFGLTDAQAPPQTSQNSTRVKRQANGVWGPHILAPTVLSPILFTPIYGLNVLGPTVLSPGLFTPLILNPAVLSPYVFSPTVGIPFILSPYLLSPYVFSPLVMAPFILNPYVLSPNVFNPYVLSPLILSPLVICPDVVSPMTLGGAILSPAVLSPSLLSKSYIMANVLSPTFLS >CRE24974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:307249:313724:-1 gene:WBGene00062221 transcript:CRE24974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mltn-10 description:CRE-MLTN-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MHQ1] MKEMKLKKLGWKRKKEEIVVKKRTKRSYRLKDENRFFIKTQSTYNLKDPPSSPISKFSNLLLTLLKNTTSSEAEEKWASTFRRMKRMNKNLEKSRASTYRTIKHRAYDLVLEDDRNLTNDDDLEIPVQVNHPLIQDGLKLISSITGNNRKTGINARILSPRIAPLSPMNTRGVLSPSIFPFYKDDVEEQYFPIPDLIEKSSFEKSEKDKLLAFLMEISRTRPILDEAMKMVKLLNPEKQQFSNKEEKEAVMSATDKMSTDFEQLEKSLSREQKTDLKEIGFSMLEMPQMKELFGKYDADSVLHQPEMQSYSNYTKSERLLSLWKSIENIAYPFKRVKRGRTIKVGDVTVYTALNPTVFTPYMFSPVYGLSVLGPVIFSPNIFSPLILNPSVLSPWIFSPAVPLPFILSPYLLSPYIFSPLVMAPFILNPYVLSPNIFNPYVLSPLVLSPLFLCPDVFSPMVLGGVILSPNSFSPAVFSKSYIMATVLSPTVLSK >CRE24922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:289471:295516:1 gene:WBGene00062222 transcript:CRE24922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tps-2 description:CRE-TPS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MHP8] MGSEPISEKKVREPFSRAMKDEPISNEQRLKELWHILDLLEIEYKKTSDLHTLISSVSESLTLVWKRRDPKSELALKGLLLILEYCLSHVFDGHSVFEVFVTSLGFNTVIFWKHCAGYIFDSDLGRGTKFRDALLFSLTLYDVNTGKNRLRELYAAVPGIRKSLLGVNAKQFGERYHHLQKRLAKYGSQSSLCSVSSESDAEEGMKTFHVRSGNHSESDGEEDSKKPRSGLATPHFQQRVINVSNAPPVSLKREKTGDWEIKQGSGGLVACVDPVMSKDHENLWLANLGMNINDKKNKRPGSVASESFPSTNTLGLPLIKQTIAEVFFHVLADDDMDAPKNEKQKKAREEMSLLGVLNNYNRGNYKLNPVVVQEDDYNVYYGGISNGLLWPALHNLPEYIVSEYNDEKILRAHWCAYVRVNYQFAIDAVRNSRPQDFIWIHDYHLMLVGMIMQSLDQHLEVGFFLHIPFQPPGEFFSKYSTVGFAVLRGLLRFTKVGFQTHRDRTKYIELVQHYFGTAKIVYDNKMDIYSGESRRLKKGNPEMQTDKTNFQNCQSLNFSVTNEGWTCSLGVFPVSIKNDDFLKFVDLPETIKLKNDIRKRVMGEHPAPDGRFFFSVERFDYTKGIMEKLQAYQRYFERHPDRIGKDVLYQIAVTNRRSVDTYRVYQDECIDLADRINQTFMSSENPSWKPLIFQTDGMQRSELVAAYLAMDIGVVTPKKDGMNLVAKEMLVCNPTAGLVLSTGAGSEIQFTTAGLYTDKEKNYHRISNVFDADSYCDAFYEAALESEAVRAEHGKRLHEFIMANDIERWSSAFLDPSWTHEVIRPTQIETLDDFFSLMMKTRNVRRQIVGRVLKGIPIRSHFAISLRNAKESLEQICKPGTHTAEFKSSPDSDEVAHFEIDNELQEFERDLSFIEYVQSDDADNVEQFVDVSGKYEFGTGFQKKVEKKIQM >CRE24973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:296339:298150:-1 gene:WBGene00062224 transcript:CRE24973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24973 MQRFLVLAVLLLGSIGYLDSAADICEILDTNYCFYFSDATCNIKLCQCEACSKPDKALALLEASGSSNSTQEHEKLCPSWCGHMTDEKIANILETKDNSTSELPEISFECEDLKENCLAKKGYNGHCYFYEKNCEPLPQITRKGKSKKNSRIPKTIAECLKHQKKCSQKYKRHFSCKTFRKYCRKFDLPELESNNSTNTTQPQVTPLEQCLNFQKICAAKYKKHFACRQYRKKCKVFDLPEIHFEDSEVTQMTSRHHKHHMRKGHGKGSNETVVISTDEVFVGGGANETLV >CRE24972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:281937:282256:-1 gene:WBGene00062225 transcript:CRE24972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24972 MFSKCITSILLISFVMIGLSTQQVVVPAYSAAAYAYAYPSVYSPYLTAAAAYPSVWAWGSNKNKDQYGASPAAFARPASLLNNQKPTSA >CRE24921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:280644:280960:1 gene:WBGene00062226 transcript:CRE24921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24921 MFSKCITSIFLISFLMIGLSTQQVVVPAYSAAAYAYAYPSVYSPYLTAASYPSVWAWGSNKNKDQSGASPAAFARPASLLNNQKPTSA >CRE24920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:275111:279693:1 gene:WBGene00062227 transcript:CRE24920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24920 MVLDDKIEIVTRVITFFFPYDSKVEEYENTEWYPGDPRDFSQGHPNGHEMWGHGQIGWWQKDEKGWHWYWDDANNMDRTTYPPNMVISTTTRSPLLVTSSPSTEVMETYSDALLSVVLGFFALLVLIAFASNILLANVIKKYRWGMKMALLFHLCVTGALLSITNSLHLLASGYHLLKRQKNSSVVLQAFAIIAWIDHVIGFALLIFVMYLAIFCFKFYWNNKDRSIEWGRSYVLYAVISTWVIAFLFAGFTAFFQCDSHINTTNQCINIVCAVSNIFSAVFTELLTRVRVVIPIIIILLILLSVRVGSSTVRRESMVKVEGADIYGDRELLLMCSMVFGIYELAIIIPQILIFFCISPLLSIAAWHFGVLFSTLATPIAVISISRNTRSRAIILYSCRGAKGKSMPAGSYAHTAKKYHESVESNNNNTKRRDSGVSFFSQESSSITSKDFNRKLSMIVEEPAGKFSTVEKVEEVMPVESIHSIHASHAVGPTPTYSKAPKLKNVVRVQSADQVARNTVDYDLSRRRMSHNDMPQVGDTDRLANMVSSKTKRFSLGGRPTVNMNLPNHIFVHHIHHENKIRPMDPSPMISMVE >CRE24971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:264073:269030:-1 gene:WBGene00062228 transcript:CRE24971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24971 MYRLLLYNVIYIIIIVSSMTTNCLHMLKKKKKKGSDNSNTPPKPPITYETDPDALEIERKLKIAENRERMKKKREKQQRKKEEPSVPIPVKSVKPEKPEKPKEIELLPEDKTQSTTTTTTTTTDSGTIASQEKSEAKMSSSRLGPADDTLRNISSIHAESAQSLVIRKKKKEQQKQKEAVKTVGDTTASTESQMIMEAEVVEPKSNPMYIKEFTQKVLGGSAEPSGSIG >CRE24919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:263646:263948:1 gene:WBGene00062229 transcript:CRE24919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24919 MFAKCITSILLLISLIGLSTQQVVVPAYSAAAYAYTYPSVYSPYLTAAAYPSVWAWGSNKNKGGDAANPIPPPSTLLNNNQKRA >CRE24970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:262604:262906:-1 gene:WBGene00062230 transcript:CRE24970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24970 MFAKCITSILLISFLMIGLSTQQVVVPAYSAAAYAYAYPSVYSPYLTAAAYPSVWAWGSNKNKGGDAAPIPPPSTLLNNNQKSA >CRE24969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:260521:261890:-1 gene:WBGene00062231 transcript:CRE24969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24969 MNWTATYIKCPERHFNFWNISLEILCLAGVFINFVSRSEKRTYKLIFQVLFRRFFGDLKKSVFLLNLASCDMGLCFPSFSTHFFASCAMFLQSDILAEIQVFLCLQRNTLNYFDYLFENILIFYIVVERFLWTCTSKTRKSWKIFAVGKYKMRLTVITILFFIGATMIYIWKLEVSSWNRFCETHIYSSQYERPFLRFLVNVFFPLIPYASLLLTLIFALLTLCRLTRIGRGEGPVTEEDRELAVVLGLTNRKISRSILCMLMVYLTFVIRFAVHYIFLPASLREMYLKETIQQRNSRKWKIDMLNVVFFLSRMIIYKMICGKDQIVVEYAPNALIYF >CRE24968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:257012:257717:-1 gene:WBGene00062232 transcript:CRE24968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24968 MGDITSDEAKKTFDKFCQGVELYSWSDKDKVEFAIAQKIPLSKNFVIKIMNNNEFDIVVAKLVRKDSGPKPASTAEKANATPKAADTVPKTTAETTSAKVAPAKKGPAQDSPVKKAPAKKIAAQQEPVIGAPAKKAATKTTKTVAIKETKPTTPAKKNEKQEDQPSPVIHQTVNNYYNMSPNPNQNQ >CRE24918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:256488:256795:1 gene:WBGene00062233 transcript:CRE24918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24918 MFSKCVTFILLVSFLMIGLSTQQVVVPAYSAAAYAYAYPSVYSPYLTAAAAYPSVWAWGSNKNKGGDAAPIPPQSTLLNNNQKSV >CRE24967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:255811:256113:-1 gene:WBGene00062234 transcript:CRE24967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24967 MFAKCITSILLISFLMIGLSTQQVVVPTYSAAAYAYAYPSVYSPYLTAAAYPSVWAWGSNKNKGGDAAPVPPPSTLLNNNQKSA >CRE24966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:242712:244753:-1 gene:WBGene00062235 transcript:CRE24966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24966 MNTTEMQYQLLAQVVQTGNNQLITRYINQLPPHHSSTVHLFDSCNLPYIIKKHCKHNRAANRLLKTHHVIKGIQMEEEKAMMLETFRNGFKEMFDGEEEELPGNVVELLMHFVRSEDKDYTSLAFGLLADSRVNFTDLVEMIEDVIEKVADRLAEKIRVMGRNREVVDEDMEMDFDGEEDEIEEEDDADASFLSTDSGINEFEVEDLAQEILIHILMTSLLDKNEQLICNSIDFIFKTSESEFSLDLYQKYEIARLLLAYGTTDYEEAEDLDEILMDDILEAVEIKMKPRKLEAFRMFVKSLEASGEDSLSDDTLEILMHFARTDYEVDTVVKLLLAKDVTTIQYRNFMIEMFLMEYPEPTLEMEILIQKIREMEEADDRVFLSFVI >CRE24915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:236655:238898:1 gene:WBGene00062236 transcript:CRE24915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kbp-3 description:CRE-KBP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MHN3] MGSLDELMNSVAKQLKEVSEKDELVMEGIQKLDKCCLKVKRHRIDMQNVTEELREQMTAEQQDRDLLTFESEKFADKKRRFAEKNDQMGSLVKNLTVNFNENLMEMMVADKKKIKEMEETRSVMSEKIQKKLSNLSNCRPQ >CRE24964a pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:217358:235488:-1 gene:WBGene00062238 transcript:CRE24964a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nurf-1 MPARGGRSKRQNMASESGTSTIGGDSEDLETPTSSARPRRSRAPKRYFDDDGYSPPPPTKRRPANRDTPSDAGDDYEEEEAELKMGNLKTKEAPKTATSTPATGARKPRGRPKKNPTPPRNRKSGGRQKQEEDVIYMDEDSEDDDDDDESDEDYGIDLNPLPHDLDDLDEDELGGSSGNLNDSSGGAVKTEDTVFCPWIDLDPATLPKLDLPETSLDIPIPRHSTMDAIEIYEILRSYHRTLRITPFTFEDFCAALISRNNSCIMAEIHMALLRVCLKSDDEEQTHYSVTETNNSINIMIHHLDTLTYAEILRQYIEAYPFADTTVREAINVENYPYVGYESKVVVLLFMSYRFLYSSEFKKVVMNLGKFQNDENCRVCGKSSGKVIGCTQCEAAFHVECSHLKPFPEVLVCNICKKNNNVRGVLPSDESVDREPLRSQPIGRDRYGRFYWFICRRIVVQSLDESEIHYYSTPPQLYQLLKRLDRDYYEKDLCEAIRLRIDEFLEQMTLTVEMTSERRENAIDSVMKKNCIGYEMAETEIPSVYLHKDSMKRMASILRDCSERVIKQEVKTEDVDDDSAPIAPTVVTFEEDSILPESMLGIFDGALVNTFWSGGASQEELISLFLDAYVQEENSESSTSSTTRFWRMGDEGNDQSFMAYYNYYSRNEMAESFLGRKKQADKKKYMASKFATIDPFEWVVAKDRQFYGNSVLHNKFVGWTLSKIARKIPADLMHRKWPEMAKGFDIEVSVADDFKKLSKCLLQLDAVTRKTIFMPQWWNGLGQTKLERITTEQRENFVKEQQRVKKMEADSLAKELDDSFVRVNYSKPKWPNTYLLRQKGETYRNAWKGGMGGWAWVARKYVEKWVPVPQIPQKGDKTKSVPNRKAAHLESLITRITRKRDTKSYTYTSSFPPPHHPPSSPPPLFITKCYSSSCRRIPNVPNPKCYITVVSIRILTESRQRFDEEQVEKRGVLGEERPCPIPEIQTFTTKKGGKSLFVLQKNSRILRSLIVTAGCQQVYMPGFSAGIKSNLLIWPYPAPRPTLDLCWKWQTLNSRSLHCVALQLKIMWSSIKWNEFDPEDSHPDRRVVIDTPTHDERRRIVRHKEMPPYGQYERYQLEIEIIPLYDEQEEEDESWLSRNRATDCSQRTSSNRKKRPQRAIDNRQATALRHEWVDGVTLKVFEIKDYWKNVRLEAEKIARRKLEMMKKAQKAKEERERQRLLAMQRKAISAATPLRAPPERVNVPYVSNQAYRRTTNFESSNGSGRYLEKYNHQSQLPPRTPHTYLSSSSNTPATPSTGYRIQPNPIRRSAVYGISSQQDFSPPTPTPPPNRQQQQYPYVNQSRAAAGSDYSIMSGTNGGAPPPYQQQQQRTVVMSSQQQQPRGTSQQNPPPISQQYQKNVPWSSTDPRNGTGVRFAPSSSGGQQQTRVVHVPYAQSVAEEAIGAPNGMDGQPPVMTRYETPLQSQQPIRQIYTQSGQQVRTLPSGTKGKVIYLQSRDGVTQKVIARPPDSSQQEYPPGTVLSTGPPRMVSVRAMNGGSQGQRQQSVVNYRRVPIEGGGYVVRKVVQHPQQPQQQRQMDYTNHQDPPHPSSAPSTSSTPHQPRFVLHHGPPSTSSSSRPAHSAGLPRGGLTMQMGKQHQAPPTSYDNGQDGYAPMEHQQ >CRE24964b pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:217358:235488:-1 gene:WBGene00062238 transcript:CRE24964b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nurf-1 MPARGGRSKRQNMASESGTSTIGGDSEDLETPTSSARPRRSRAPKRYFDDDGYSPPPPTKRRPANRDTPSDAGDDYEEEEAELKMGNLKTKEAPKTATSTPATGARKPRGRPKKNPTPPRNRKSGGRQKQEEDVIYMDEDSEDDDDDDESDEDYGIDLNPLPHDLDDLDEDELGGSSGNLNDSSGGAVKTEDTVFCPWIDLDPATLPKLDLPETSLDIPIPRHSTMDAIEIYEILRSYHRTLRITPFTFEDFCAALISRNNSCIMAEIHMALLRVCLKSDDEEQTHYSVTETNNSINIMIHHLDTLTYAEILRQYIEAYPFADTTVREAINVENYPYVGYESKVVVLLFMSYRFLYSSEFKKVVMNLGKFQNDENCRVCGKSSGKVIGCTQCEAAFHVECSHLKPFPEVLVCNICKKNNNVRGVLPSDESVDREPLRSQPIGRDRYGRFYWFICRRIVVQSLDESEIHYYSTPPQLYQLLKRLDRDYYEKDLCEAIRLRIDEFLEQMTLTVEMTSERRENAIDSVMKKNCIGYEMAETEIPSVYLHKDSMKRMASILRDCSERVIKQEVKTEDVDDDSAPIAPTVVTFEEDSILPESMLGIFDGALVNTFWSGGASQEELISLFLDAYVQEENSESSTSSTTRFWRMGDEGNDQSFMAYYNYYSRNEMAESFLGRKKQADKKKYMASKFATIDPFEWVVAKDRQFYGNSVLHNKFVGWTLSKIARKIPADLMHRKWPEMAKGFDIEVSVADDFKKLSKCLLQLDAVTRKTIFMPQWWNGLGQTKLERITTEQRENFVKEQQRVKKMEADSLAKELDDSFVRVNYSKPKWPNTYLLRQKGETYRNAWKGGMGGWAWVARKYVEKWVPVPQIPQKGDKTKSVPNRKAAHLESLITRITRKRDTKSYTYTSSFPPPHHPPSSPPPLFITKCYSSSCRRIPNVPNPKCYITVVSIRILTESRQRFDEEQVEKRGVLGEERPCPIPEIQTFTTKKGGKSLFVLQKNSRILRSLIVTAGCQQVYMPGFSAGIKSNLLIWPYPAPRPTLDLCWKWQTLNSRSLHCVALQLKIMWSSIKWNEFDPEDSHPDRRVVIDTPTHDERRRIVRHKEMPPYGQYERYQLEIEIIPLYDEQEEEDESWLSRNRATDCSQRTSSNRKKRPQRAIDNRQATALRHEWVDGVTLKVFEIKDYWKNVRLEAEKIARRKLEMMKKAQKAKEERERQRLLAMQRKAISAATPLRAPPERVNVPYVSNQAYRRTTNFESSNGSGRYLEKYNHQSQLPPRTPHTYLSSSSNTPATPSTGYRIQPNPIRRSAVYGISSQQDFSPPTPTPPPNRQQQQYPYVNQSRAAAGSDYSIMSGTNGGAPPPYQQQQQRTVVMSSQQQQPRGTSQQNPPPISQQYQKNVPWSSTDPRNGTGVRFAPSSSGGQQQTRVVHVPYAQSVAEEAIGAPNGMDGQPPVMTRYETPLQSQQPIRQIYTQSGQQVRTLPSGTKGKVIYLQSRDGVTQKVIARPPDSSQQEYPPGTVLSTGPPRMVSVRAMNGGSQGQRQQSVVNYRRVPIEGGGYVVRKVVQHPQQPQQQRQMFQDYTNHQDPPHPSSAPSTSSTPHQPRFVLHHGPPSTSSSSRPAHSAGLPRGGLTMQMGKQHQAPPTSYDNGQDGYAPMEHQQ >CRE24914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:185236:191542:1 gene:WBGene00062241 transcript:CRE24914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-20 description:CRE-ACR-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MI07] MKGGNEEVEIDFYMNFPDQYEIANKILDKYNAGLIPVSKTKQPIQVHFSMELYQIISVNEPQQYLMLNAWVVERWTDHLLGWDPEKYGNVTEIMLPHNYIWLPDTTLYNSLVMKDDENRRLLHAKVTTLGEGNGAFIELLYPTIYKLSCLLNLRFFPFDVQSCRLLFGSWTFDNTKIDYFAFNESQAIGTTNCIENEGWNVLSTSVIRHENKYDCCPNNYTLLEFRLTIQRKPLYYIINLIIPTSIITFISIIGFFSTSSINEPREEKITLGITTLLSMSILIFMVSDKMPSTSSFIPLIGLFYTSMILLISFSTICSSVVIYVQKQGNLGNPPSKSLMNIARGVNRFIRMEMPLIMKQAYAQKAREEKLRRQQMGRKQSLWTRVYKLAREQALRKVRVCQRERTFRNWDILKLLKRRSRIKAPRNQDVSKP >CRE24960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:173834:175327:-1 gene:WBGene00062242 transcript:CRE24960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24960 MNPTEMQYQVLAMMIKARVDSEVITKSINLLSNHPISTDAFDRCNLPCLIMRYASHIGAARDLLLIYRIKKTSEMKEDQPQLLETFYKANMTIFEGAEPPAVLNEFLRKMLEDEDEEYVSLASFLESRHEKYSNHIEYFQVRLAYRLLTDIDFHMEFYLNIIARARRDRLRIFEAGWLVDKVEKILRYEEIVDEDMDSDAEEDEEIAGPALPPMHIVDENLEEEGAPEEDSDADDSFDWVSEDEEDDESDSDESGYEDESDEMVEERLDEEEEEFNQFGAAIGEVFMRNLARSLKSGNERKVSDNYSILKSNNIQIESAIEAISHFQLHPSVYRKYEISRLIFELSFHNFNAMVLFIQLERREEEVYSQQKLEVFHEFLNYLNSDNIDSVNVYKVMAEYLTDKRFEKQVVKVFLNGSVTREQFEKWGIKEYLLNCSEKSDDVKELIEKIHKL >CRE24959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:168016:172440:-1 gene:WBGene00062243 transcript:CRE24959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24959 MYLQAILLLLLDVLLTIRCSGDCKSMITISDNDSHVSDTHLRLKGIYQEVAGISRQAKNILLESELSNGNLSPLDVAKQVLNQKLDEKLLEEYGILKLSDVGKHQSEVFSLFREGKKSEISDEMRKDIVDALNVLKGLSGLKTVMEGVDMANVSRDIQKMKDKGDIKLDFVGRYYMDLNKLAEGMFNFMSRMEYLKHDVTVMSTDDLRSSAKLLVQLHLKGANDGIVEFQYLVEGMGLLKEVADPLKLVMTAMGKVNETKILNGLDEKLRQIMKGSELLKKLRDVKMIGLLKTLGSTIDKIEFLKVSEEKSKEYRTNSRRMKVLLDKIRAFSNSMNIIKRKSEYFSNQWKPFEEHTKQLKIPDGQLNNKLIGLQSCNQNFNFTINFSKDETELEAIDDTFSKVRDLDTRFREGWNLLTQLSFNTTIIELDSTLHENPNDTDKIKQIIGGISIDNTFVSWKEYLQRVPLPGNANKEGSLFESIALEIHELLNKVDFDKVQKLTGTIINKLSDFEKQMDCHTQLKIPIDDVVSPMNLLKSTWNFNPQVTLGALDTVLLFQDAYEMIEDIKKWTPDKVNEISEGVKVVDSILKVYENWNEMKDEWDEEEFEQAENSWKFIKNSVLNLVENLSKLESTQAEDLTQFVASIPFPEEFPVDDFKKFIKDDYLGSGRSDILKILEDFQQLKTEFPEFPRRLEKMNRGIGKLREWEKTKRPEEKKPIDCSLTDLKSCKDPITLPAASPLAPLKKVEL >CRE24958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:163727:165331:-1 gene:WBGene00062244 transcript:CRE24958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24958 MDPVDKEKPFRLLKLPFLAINVVIQNMRVQEILKLALSSRRAEMVVRLGNHKLKSFTVRMEKWSHPIITMTRLDDDICNVYLKGDYCRKIKKYEVVPKRHLFELNGNFDIHFIVGLWKDVVIVSDYFRSLFKIPKYWFSYVLILTALSDNNIIEILSCLNWEKSGQLVMYEGRIEKEVMQYLLDTLPSDVCLRIFSIIDYETNHKKALSFPHIIYNEARWITIDNLKSMRNCKDVKLNRTNFTCEDIRKLIDYWTDCEEDMFGKLTIRLKDKVTHDMNAIIQNMVVLKFGYSKNSYIFNTAKKRLLGTIKLEEGNKIILTSFERIGRYKAIPPILELCERRKELLAELKNIYDELNEFTKEWNEGVYEMKSEEEKNHKLDEYRNNQIHQKDIDAESDEIEKKLPMLINLTKKH >CRE24956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:159501:160973:-1 gene:WBGene00062245 transcript:CRE24956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24956 MTITDILPRLSWEPSAQLVLIEGSIENRTMQYLLDTIPSNVGLYVFSDVESSFNHEKALSFPYILYNEARWIKIDNLISMRNCKDVKLNRTNFTCEDIRKLIDYWTDCEEDMFWKLTIKLENNVTHDMNTIIQGMKTRRFTNSPNFYCFVARNPEKKRSLACIEWKIDIVTLQTWEPIGPQLAEVHSELQMYERGNQLYTKLCKLREIDEKDQEEWKKADVIEKSRLANVIRSNRIQLKQVQLEYDEICVRIGQLSLRN >CRE24912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:149415:155998:1 gene:WBGene00062246 transcript:CRE24912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24912 MVANSSSEPSTSGPQPPKKRGRKPKPRPATPPPESHDLSDAEPAVEDPSGSSPSEKMTQKKKDRYCKFQLIFTSDKLKNSVINANTEELKEKIKKLYKEERAQYEALVEEDRRVHYQEWLDDTSVVNIYRKNLIERVGEYKEIKVEPCYAPENGHQACISWIKPDRDENGTVHKREYMDTACIEIGDIRPGMVHWIPIEQSIRTKDQLRLTHMPFFHDGKDDNEVYDSLNHLFPDGIHGFAHNWAYINDYLLYRVMRRALENYDGNIDVFYYTIYCLWPNKFSQRQLSYIFPKYCARYAEPGFDWQRLEHWKSEILKNPTLEQAAANVIEPKCYACLEYICAVHGFVAQISPENVNGDLTPVTLPLPDAETEASSGCGPECWMNLDAQTILDELTPSEEEIAEKRATIYLDRAALAEMAIPDGGMIATMYMCHDSDTFCDFAHKNLDGKLSENSKIRTCRDAYNLIMGFAEYITERRIQMGRPKPRLDHQDRAINFRGTKLRTGDELEAARQSALQTRAATEGKTVEQVITDDAEEEEKKKTQNQGGGKKEKVKLEARNAFMGCNHIGDCGPFTVECSCRSNGTCSHLCNCAMTCDQRFPGCNCAPGQCQSSLCQCYLANWECNPNTCRKCNCEAIDESGEVKKCKNFSMSRFVQKRMYVAPSKISGNGLFLSEDVEKDEFITEYVGERISDEEAERRGAIYDRFKCSYIFNLETGGAIDSYKVGNLSRFANHNEINPTVNAKTMVVNGEHRIGFYARRELKANTELTFDYGYEKEHKDAVKVNSHRKVAKSGKRRSEESQPCCSSSVKAPPSSRRRRRKPSSSESRSSEERSSSPMDTSD >CRE24911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:144759:148379:1 gene:WBGene00062247 transcript:CRE24911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddl-3 description:CRE-DDL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MHZ9] MLPSMSKLYNAAVRSTSSATAFFSSSSGNPRHQNSSRGGNGRRQYGGKTNGGGRWAKYGKSATTGTTTVLALSWMTTIKDVLGIEKVQLDTDPLKEKVKQSWLYRKRRQYDDAIQVLQLALEEAEERKEELPITRVYDEMANTYYEKLNFSEADKYFRIVIQRLVQLHGKKDFDPEFIGVSLKLADILAHQGELESAESGFKHCVRRQMKVMEEHMKKFSVAHGALVEDRHTVDTYGHLYTDPIALFGMTLEAYANFLINYCEENRLAEAEEYMDEVMKISYQIYGASSAHTINMLNNFGATLVLKNRFELARKYLAIGVDRILYVNECAHMLPGYYCNYAEALFHTGQKEQAVEFARKAVQMSRSGDDRLRQYTQQFLKDLEKDLNKGKPKSWWFF >CRE24910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:141660:143816:1 gene:WBGene00062249 transcript:CRE24910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24910 MISQRIGRLLTQIASSRSTVIYSRQTHRMLTEQDIKVTTKAASRLKEVLDDGERLRLEVDGGGCSGFEYKIRLDKKLNNDDLLWKTESGAEIIVDEMSLGYIKGATVDYVEDLMKASFRIVNNPVAEKGCSCGSSFAPKMD >CRE24909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:137869:140344:1 gene:WBGene00062250 transcript:CRE24909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-7 description:CRE-LIN-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MHZ7] MDTPDGPNLERDVQRILELMEHVQKTGEVNNPKLASLQQVLQSEFFGAVREVYETVYDSIDADTTPTTKAAATAKATVAAFAAAEGHAHPRIIELPKTDQGLGFNVMGGKEQNSPIYISRIIPGGVADRQGGLKRGDQLIAVNGVNVESECHEKAVDLLKSAVGSVKLVVRYMPKLLDEMERRFERQRLRSTQQSPTLPTPSGPSTAPRR >CRE24955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:133583:134981:-1 gene:WBGene00062251 transcript:CRE24955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24955 MGKRKSKRKAPTKAKAVVPLDTQFNCPFCNHERVCEVKMDREKNVGYISCRVCSEDFQTNINYLSEPIDVYSDWVDACEQANNA >CRE24907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:119842:133542:1 gene:WBGene00062252 transcript:CRE24907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-agl-1 description:CRE-AGL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MHZ4] MTQKEQTEIRIIVLEDGERLDSVIRKVEKGWIVRFKRGSSLLGKKVSVYTTVSPGSPLKWSEGKDHLSVFCEVKCQEAGSFRYHFIVESEESETAETVESGHGYFLVMPGLQINGKPLNLDGICCQTYLTKLLGPLNEWKDRLKVAHETGYNMIHLTPIHELGVSNSAYSLSNHHSLIQTLGEGAGFQDIQNLVEDLEKSWGILTVQDVVWNHAAKNAKWLMEHPESAYNCSNSPHLRPAYVIDRVYHEFGKQVSEGVWEHRGVPAVVENIHHVNAIEYLLRAEVLPKAELHEFFQVDLKAMVNLFEMFIKQAGGPTQDPLDGQDVEIVQDSEFRRFGSTVDFERSARIFNRERGDAGSEEERVQKCVQSFSAALHQKNLDAARDAWEIILAGLRAVMGGITYERIAENGPKKGRVNEENPLTTDYFLHLEGDLGWRNEEKFAYDEEKSKFLMAFNGWVMSSDPMKNFALKDSQTYLRRELVCWGDSVKLNYGEKETDSPFLWDYMKEYTQQAARMFHGLRIDNAHGTPIHVAEKLLKCAREVRSDIYVFAELFTGSEQADNMFVNRLGISSLIREAQSAGDSHEQGRLVYRYGGDCVGAFKQKSARLAPNSIAHGLFLDQSHDNPSPIHTRSPFDILPTAAMLTMASCAVGSTRGYDELVRDHIHVVHETRPYASCANNQITENQGIIKGRKILNKLHTSLAQDGFTQVFVDQMNPDIVGITRHNPRSHETVVVVSHTAFSKNYVNWPGGLKHIPIGGVLDSVLFEMKLNKIEEEWGDENQNYLQGLENFQMEIRENVGFEDGTMFRVHGGEYIELTNFSSGSVIGFKIRPKEEAANAFEQIHREIESSTDLDQALATLTYQSFAPLLFHCESEDYATIGQGGYEVPNYGKFVYCGLQGLIPILEKIRDNNDLGHPLCQNLRDGTWLCDYIVGRLKKFDRLRNVADVVESLLRPLEHVPYYLRPCYFETIISFIYGKVRAEVLRRMAPEIQTSSALIRHLSISTIEFLGYIPGAGLAPIPESLQLEDDHPSSLAAGLTHFAVGIWRNWGRDTFIALPGCLLSTGRFQEARQIILSFGGALRHGLIPNLLAEGIGARYNCRDATWFWLVSIVKYVEMAPNGHEILGDVVRRIYPKDNTVYGEEEKEQKLIDTIYEAMDKHFGGIDFRERNAGPQIDEQMRDEGFQVTAGVSRSTGFIHGGNRWNCGTWMDKMGSSERAGNKGEPATPRDGAAVELQGLAYRTLRALADWNKKGLIERKGVSDEWSWSFWAEKIRRNFEKKFYVETGAQGEYVNRREIVKDSFGSSQGFTDFQLRCNFAITLAVAPDLLDAKKAWKALNSAEVLLGPLGIKTLDPTDWGYNGYYNNDDDGTDKVTAKGWNYHQGPEWLFVAGYYLQARLKIGEILGGVEKRYAIRQVQERLGNAYRHIIDSPWRSLPELTNAGGEYCRQSCDAQAWSVGCLMEACIKLNTIDE >CRE24954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:111437:118885:-1 gene:WBGene00062253 transcript:CRE24954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfs-2 description:CRE-PFS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MHZ3] MATFNMNGGGMMRSTMPNVTLTIQPSTSSMTSSQPRMMNSHHRFQRNDAMPDVMGDGPGRRLRKNVANVRRHVDYVSTVLNHCENRLWQYGKQRVLQQPDILYQPLAVPADATPDVPVDCILTKFIRTAMNKVKCPVYSVCWSPEGKRLITGCQTGEFTLWNGTAFNFETILQAHDSAIRALKWASNEQWLLSADQGGYVKYWQPNMNNAHMFSAHKDEAIRGLAFAPTDVKFATASDDGTARVWDFARYSEERVLRGHGAEVRCIDWHPTKGLLVTGSRDTQQPVKIWDPKTGSCLATLQEHKSSVMAVEFNKNGNWLLTGGRDHLVKLYDIRMMKEVKTFRAHKKEVIYRRIQTGSSDTDDERGEDVPGTQERSHLWTSGNWDLKTDGYRQAVVIRMMKEVKTFRAHKKEVISLAWHPIHEGLFVSGGGDGSIVYWMVDGEKEIGLLEHAHDQAIWSMKWHPLGHILATGSNDNNTKFWARNRPGDTVEDIFGLSSHTTMIGHLDKEREPRMAPLKSNQETQEVYRLDTFIPGMGLDENLYEQLNKDGNLMTTDTTLLVPDDMSRQNLAPMIGAKRTLIKQPPAKKAQRQFERMWNNSKGIGAGTDDFAAMIGGMGREDAESSGAGGGGYGAPMLPPTKSFLGPPTTGGSLLGPSQPRPPQEFHSMPPPPQQGPQGAPWRGPGAYRPQGQWNPQNQQNRGPPGPGSYGPPPSQSYGQNGGQGQGSFGGPPSYGQNQGYGQQAPPPSRPPASYNPPPQQQTQSADIDYRNSGPPQSSADVDMRQMPQQPPQPIHQNSGGGGGPDSWRAPPTNAQMQQQQQQMMQRMDPRRDPRIAARQSDQLSPTGGPPPPQQQQGPNQWMPQFDGGPNRRGGMHSAGRGRGRGQPY >CRE24905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:108181:110152:1 gene:WBGene00062254 transcript:CRE24905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24905 MCIWKIGKFSPTCQCALCGILSKWFSLKFTPFPLLKLPTLPLLLITRMMDSNEIIKLAMCSYRLELFLQSFKYKVDHIYFHLSDIFLQADTMILPNNGLSTYFNAVHNEKRITRMTDLCDRFLNENDDFLWVQSMSEKDIMEMCNRISSMFSYSLLEWVFYLDQIEKDTLMKYLDAVVRTRECESMITFMQGCFSKELLTEILDKIPVTIRIDIESGIPLDFRHPKALKFSTMEYREARWITVDDLKSVRIPGSVMLRTTNLDCSDINEFLKYWINCDEFMMESMSLTLKEGTVINRIVLSDQLVTVQCYSDVSFHIFVRAKNHKNLKFPLGILFIYAKNKIEFWTFKSDEYSEIYGMLESLDKKKDLEMELKNLEDSRDKRKEEISSELEQLEKQLNEKEEKGYIFTF >CRE24904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:104480:106133:1 gene:WBGene00062255 transcript:CRE24904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24904 MCFWKIGSTVPSYPCPLCIINSIVFPPKKKPIPLLRLPTIPLRLITKMMNAEEILNLSMCSYRLELFLRASKHKMNFFSVHVSHQHMTYRLKTPDTLEMSFKIGVGNKEVNLVNKMGMLCESLQEKNGSIQFNQDFPPDKMLEIYRRIISLYSPSLIKWIFYFKNPSMDSVCRYLVENLTAVNNYDRTTVHTFVFHNGSLTGEFLTELMDRIPVTASMAVTAGIPTDFKHSKAFKYKKIQYNEARWATLDDLKSVKNECFVNLKSSNFDCHDLNEFLKHWVDCDEAILTRLTLKLKEGTVIDEIALLDQLTILLYYVDDLPHFFLKMKNISNEKLVVGHFVVKQDKTVEFNVWPTDKWSGIFEMLELLEKVKELEKELLRIDGDDEPNSNEEIRERNRRRREIEEKVEHVRRQIDELNEYGLILQYPV >CRE24903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:100866:102410:1 gene:WBGene00062256 transcript:CRE24903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24903 MCFWKIGSTVPSYPCPLCIINSKVFSPKKKPLPLLRLPAIPLRLITKMMKPEEILNLSMCSYRLELFLRASRHKMNFFSVHVSHQHMSYRLKTPDTMGMSFKIGVGKKQVNYVDKMGVLCGSLLQKNGFIRFNQPFLPDEMLKIYRRIISLYSPSFIRWIFHFNELPIDSFHRYLIKNLSDKSNCDQTVCHKFSLQAGSLRNETLSELMDWIPVTNKLKIDADISLDFKHSNAFKYKTIEYKEGRWATLDDLKSVRNEWIVDLKSTNFDCHDINEFLKYWVDCDEAILTRLTLKLKEGTVIDEAVLTDQLTILLYYEDELPQFFIKVKKIVNEKLVVGHFETSENNIVNFNVGPPNQDPGIYEILVAMEKVKELEEELLRIDRGEEPNEEIRERNRKRREIEEELREVRRRIDELNEYGLILRN >CRE24901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:86899:89080:1 gene:WBGene00062257 transcript:CRE24901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24901 MCFWNIGSTTPSYPCLFCTIHAIFYRLKKSIPLLKLPLFPRILITKMMEPEEVYRLSLCSHRMYSILTSSKLEIDAFHVRFSSRYIRVCITKSGKNSLIYFTYYKEFMDISQVIFRFLNLRAMFFFNLSFLPNDVFEIYNRIISLYSCPHIRWVFDLDQLWLEDLHEYLDIALAGKCHRLSFYNGTISRELLTELMDKTPVTTKLEITSNMPVEFQHPNAFKYKTIDYSEARWATLDDLKSVRNEWIVDLKSTNFDCHDINEFLKYWVNCDEAMLTRLTLQLKEDTVIDDIVLLDKLTVLLYYYKDLPHFVIKVKKITNEKLVVGHFEPKEDNRINFSVWSANEFPGVFDLLEMLEKIKELENELLRMEESEEVIDWREQNKRRREIPLEVEKLRRLMEEKDDFGFIYVS >CRE24951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:81913:84940:-1 gene:WBGene00062258 transcript:CRE24951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cbp-2 MPVTLLPNDYSSLLFPDQPNQKPLSFFGCLTINFWKTNELDTGICSGRGLGCGGTGSGVYFTNCHQLTPLVPRVQFDSMDLKGVEEGHEVPVNPRLAEWSRETLELMLHARECMIKSKENEQCVARSLPPRHPRCNMILCPSMKRMLEHLPDCDGGVLCERNVCQDIEQLIGHWKNCKDEGCIVCKPILEEHDKKIVHVSYINDIHLGGKYSMLAGYTESPFLSTTVVNNYEPSQFMRLIKESLRDHLRQKIYEAMIQTPDPSPLCQAREENLREYAMRIEKEVLIESTSLEVYYARIALKIQKLLQEKFGFDQNFNSPWQADIPIKKRHNSIIQLLNTLQPCSNCSVHQTEQNLKDLLVYCQSVEENHFMTSQSEQEYFEAVDKNVEHFQKIHPGN >CRE24900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:76210:79544:1 gene:WBGene00062259 transcript:CRE24900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24900 MIFHGDKLEHHDTPIHDFLMKRYEMHHENDPDNMAFTTAEDENDSLTYGQLQKKIIQISEWFLENGYKKGDVVLLASYNNWRCFAAALGAWRAGLVVSAASSQFTPYEMRYQIEDSQSQLIFADSHTLPTVLEASKGLSFVKHIISISANPPSPVIQFDILTSRLIRNLRMPKIDPANDLVFLPYSSGTTGKPKGVMITHLNFSMMMVSCIRFADDIARSFGLPENFVFPYDLHFLPLYHVMGMFRALLNSYRGSTQIMFTKFDMELMLQSIEKYSIAMLSMVPAIAVRMVNSPLLKKYDISSLNTISCGSAPLPEGAVQKLRQIIPELRIVQGYGMTELTFATHMQKPESPDGSVGRLIPGTSMKVKKEDGTLCGPHEVGELWIKGPQMMKGYWKKENLLKELVDEDGYMRTGDIVYFDKNGDTFICDRIKELIKVNAKQVAPAELESVILEHDDVADVCVFGVDDASSGERPVACVVSKGGKRDMETMKAIMKHINQKLARYKHIKEIEFVGEILRTGTGKILRRTMKKAFLDSRKSRL >CRE24899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:63773:75286:1 gene:WBGene00062260 transcript:CRE24899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtrr-1 description:CRE-TAG-165 protein [Source:UniProtKB/TrEMBL;Acc:E3MHY2] MRENCLGDSNYSSYQLIPRKIDKQLVALGANRLFDLGEADDQVGLELVVEPWIDQLFKILGARFEIPSEKMNAITESPNIKLNQVKSEEEKKALLQKRQQDEESDDEGHGGVQGIQMLIPEHYEYPEVSLLKGSAALSNDENLRVPIAPQPFIVSSVSHQKLDEDTKLEWQNLCKMPGVVTKPFEVLVVSAEFVTDPFSEKIKTKRMITVDFGDHAPSLQYEPGDAIYFCVPNPVEEVNFILKRCGVLPIADQQCELSIDSKTEKINAQIPGHVHKITTLRHMFTTCLDIRRAPGRPLIRVLAESTSNPQEKRRLLELCSAQGMKDFTDFVRTPGLSLADMLFAFPNVKPPVDRLIELLPRLIPRPYSMSSYENRRARFIYSEMEFPAADGRRHSRKGLATDWLNSLRIGDKVEILGKEPARFRLPPLGMKRNQAGALPLLMVGPGTGVSVFLSFLHFLRKLKIDSPSDFVDVPRVLFFGCRDVTVDSIYMNELEQFLSEGILTDLIICESEQKGKRVQDGLRKHLDKVLPFLTPSSESKIFICGDAKGMSKDVWQCFADIVANEQKISDLDAKKKLMDLKKTDQYIEDVWG >CRE24950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:47135:55269:-1 gene:WBGene00062261 transcript:CRE24950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24950 MESRLYLRRIMCNSMQFGGTASLTDEEKRRTIISLQGGKTFGRGSKNDVMFVDGADGSDEALKSPQYISRIHARVEHTSDDDNFEQYFLYDSSENGTYINDKKLEKNKKHTISIGESIKFGHRNGSQHVAGETYDQPNAQFAFIVEMISNRDPLYEELGKMGATKVKVLTESAVTRVMTGDTREPSPVNGGGCPENGIPSSSSAAVPPLPAPVPVHPYNGITIPGQMPSTSRTINIPLIPKRAPHDARFDDPLTCYVLNANRYNPKYTEAVTAQSMRFQLRLPALRAVKDILGNFLDVHVAYEDMKSKQQKIAVIDNFCKTNHQKEGIQILHSQMDGLADLLIEDEKKTDELRDLLVKLSTKPKEPIPSENSAFERTYPQPGQIFRPVAIHPEHSETDYVNYLRFIAIAEAQARELARRRSEIRESELKMRIEIPISDLILNGIDIGRYRQFPYPPYPLFWGNYLSPFRQLEMCPIVAPTVSVITTAPRAAEAAEPVAPAVQDVADVAPPTPRPTPRPMDPAEVDEERHSASSGSTTENSFRQRTDSVESEIVDVVSTDDDKTAKDEEQEEKGGTETDTPTPSPEDSEALRNVEEDPRKSSTPRIDAQSTSSAVVSALPTPMSSPVPKVKTQKASAPEAASPEVTAPIHVETAAPATPSTSSPKIATPKAPMIASPEKEPSPDSDEPSTSSKLVDEKKIKTKSAVPSTSTSMPSTSDASKTKQKEATGPSRRMKQLDESSADSESEDDDKSKRQKKVRRSTRTAKASSPIPAKRKKVADDDMDEGSEEVQDESKATPRGNGNAVRRKTLKRANAEEQKPPRRSMKEKVEAETEEPPKKKRGRVRPQTIKKAEPVAVEDEEPVDPDKEKCGVAGTFCLCIKYEKRKNLQWVSCSDCNQWFHVWCVRLDNVCYGADDKFACCGPHASPEAIECLQGDVHRKWWAMPGKRPVPQSPEPE >CRE24898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:6755:23486:1 gene:WBGene00062263 transcript:CRE24898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cam-1 description:Tyrosine-protein kinase transmembrane receptor [Source:UniProtKB/TrEMBL;Acc:E3MHY0] MEGTSTGQRPYIRLTSQLRNATKSSGDEVRFKCEALGTPPLKFIWLKNKGAIEKSKRVKIRDKENSSRLVITQLDVLDSGYYQCIVTNSAASVNTTSVLRVNNVPDAVKLSKQQKGGGSSHHSTKHIAFDEYEDYELMDRGRLPDEEEADLYRVPDGAAGANFAPVAVSERWLDGIKYRVGDCVQYRGEACRQYLSNKFVMMTNESREEMYDIDRNLRAAMLFINGAPTISQKCRQLSQAVACHHMYKVCESDTNNQIVSICRHDCDVIQNDECPTELALAAQHELVGDTPKALFPLCSRLSTTSNCIPVVSTAMQQNNPLVPEIPRGHLTHWCYVNSGTQYEGTVAQSSSGKQCAQWIDSTSRDFNVHRFPELQNAKNYCRNPGGKKSRPWCYTKPMGQEEYCDVPQCPGDMYPHLNDANNNKKVEGSTKGGVGESVTALWDSLDPTSQVALVGGGVLFSLILLFLFCCACCCRAKKKSQKSRHQNTHCSNAPSSVINSAANSAYYRKLNGTSTPIMGRGPPVEMTSLLPTSHNFGPPPYPMDLGGGGQHLQQARRFPSQEPIDENSYKVFEITPSQLSVREKIGEGQFGVVHSGIYTSGIYAPEPLAVAVKKCKQDATNADRAQLEQEIRTVATFDHPNVIKLLGVCYIDNQLLAVFEYMVHGDLHELLKVRVPPADHDMGGINEANAEFLYIATQIALGMEYLASMSFVHRDLATRNCLVGDTRTIKIADFGLMRTSYGSDYYKMIHRSWMPVRWMSKEAIEQGRFSEASDVWSFGVTLWEIWSFGRQPYEGASNQQVIELIANRHLLECPHNCPTNIYSLMVECWHENIERRPSFSEIRSRLQSWSLASPAHSILQQQHHGNRAGSHSGSSGAGRPQPPHHHQQRGYPSQKLHRRGEGASPLMKRHDANYAYSEDGDSD >CRE22585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:5078:5476:-1 gene:WBGene00062264 transcript:CRE22585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22585 MSPRPEDDDLVIEPADDEGLHYGNASNRWRACGAYRADSRGLKSLATMSAVFPTRSQTVNHFESVTKSK >CRE22586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:23351:25964:-1 gene:WBGene00062265 transcript:CRE22586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22586 MPIRVEDYLLGYDSRNMGIFEREQISRSIINLEWTKHIEMGSQKESIGHQKDISALDIDEGDQMIIMASHCGQVSVANYKYLGREKWKKPMALKLDYNALQTIKWCPLDLRLFMVTTKSNWMRVCDSTMEKVINGAQFEGDVYFHWNEHNMTNSKVAVADGSRSMKIVDFRVGMSLPQNIRWDDVPIDVVQWFPSRHHYLYAGRRDGKVGIFDVRSTRSVLAEKTIHTAPIFGIRVSKDGRRLISADRSGRIHVADTWNFQTKFQYRDEFDLHPRRRPVFEILSGKDLFVATNFHRLTVVKFDESRRIKCTESVEIAPRVLKNKPIVFRESSYELIAGHTFNYLNVLSLAKSRQYVDEEEEASGLL >CRE22561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:26422:32533:1 gene:WBGene00062266 transcript:CRE22561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wdfy-2 description:CRE-WDFY-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N377] MAAIINQRVDQTGESSMGGAKPVLLHKIAGHVARINDVILLSKDEGVWTASDDRSVRLYLKRDNDQFWPSIHHFMPVAPTCLYYSEETYKLLVGLINGNVYEFSVSDDFNSMTESRKWTCHAGPISGLGFALSAELIFSCSRDKSIVWHCSENSNKMGSYLLENSCTSMVIALPFVFVGDHGGHVTVLRIIDNQPHLVSKLSAHTNSITTLSWDGNKQVLYSGSSDHLIIMWDIGGGKGEAYELNGHNGKVNALCAAPAAKRLFSADEHGKLMCWDMECKRVETPEWKSTDCCQKCNQPFFWNLQAMWQRKVVGLRQHHCRTCGAAVCGSCCDNWTTYPPMGYETKVRICNECAAKMKENPHNFNLTPLAICHEIRTGITAMHLQETLGLLVTSGQNRVVMIWDVRSVCSAPSGSGGP >CRE22587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:32833:37552:-1 gene:WBGene00062267 transcript:CRE22587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-39 description:CRE-RAB-39 protein [Source:UniProtKB/TrEMBL;Acc:E3N378] MESNFIGDDYGPLFHYQYRLIVIGDSTVGKSSLLRYFTEGKMAEISDPTVGVDFYARMIELRPGYRVKLQLWDTAGQEKFRSITKSYYRNSVGVLAIYDITNRESFEHVENWVKEAAQNLGGPSPGKCVFQLVGTKCDMDAQRQVNYEEGEYFAKYHKMKFIETSSRNGDNVNEAFHMIAQEIQNRVDEGELRPVDGWEGLKTGIMRSQSVCLSERSFPQNGTGGACGC >CRE22562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:39325:40077:1 gene:WBGene00062268 transcript:CRE22562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-175 description:CRE-TAG-175 protein [Source:UniProtKB/TrEMBL;Acc:E3N379] MEPKPIAASSSQSRPWLVVLIFLSFAVTLYTLYLLFPEVDNDEKLHLKYPRNLEDAKQLGRVLSKYKENNYTVVLCSVVVVYIFLQSFAIPGSIFLTILSGYLFPFYVALLLVCTCSATGAAICYTISMLIGRAAIFYLFPERITKWQEDLSQHKDNFLNYMIFLRVTPIVPNWLINIASPVLDVPLAPFFWGTFLGVAPPSFLYIQAGSTLEQMTHTSVAWSWTSVAMLTGSAILSLAPILLKKKVKAD >CRE22563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:40964:57303:1 gene:WBGene00062269 transcript:CRE22563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eat-3 description:CRE-EAT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N380] MMRVATRRRLLPQIGNQNLIRISSATTVPLQNGHHNFSTIYGNNGGSQRRGGLLLQKRPTVINLRSERAFIGIAAGAARHLLKLRYFLATGVIGGSVAARSWYEEWKSNLPDLALPEWFDANGSWNEFSEKMRGIKDGFGKSGIDGKQKWAEWMAKFEEFKQQQKDKKDENNEGGGSGGGGDVPGKEPLVLASLMSAFSSKKDEKDEEKKDTTTSAEERIQKLQEEMLKTQSQYQRELERLEKENKVLKQRLLLSDDKAAHRLKRLKRSLIDMYSEVLDLLNEYDSSYNTSDNLPRVVVVGDQSAGKTSVLEMVAQARIFPRGSGEMMTRAPVKVTLSEGPYHVAQFRDSSREFDLTKESDLQQLRNETEVRMRNSVRDGKTVSNEVISLTVKGPNLPRMVLVDLPGVISTVTADMARETKDDIIRMSKAHMENPNAIILCIQDGSVDAERSNVTDLVSSIDPSGKRTILVLTKVDMAEKNLANPDRIKKILEGKLFPMKALGYFGVVTGRGNSSDSIDEIRKYEENFFNSSQLLRDGVLKPSQMTTRNMSLAVSDCFWRMVRDSIESQTDAFRAAKFNLEAEWKNHFLRIRQLNRDELYDKARGEILDEIVNLSLIGVEEWERLLQEKLWSEISSHVFDQILMPAYASSSSGSFNTTVDIKLKHFADKQLAQKSIETGWDTLKEVFFKQINQDARTRKDHDPVFDPLKEAVIEEAMLTHGWDDKAMDYLRVIQLNAMEDRAVQDKRSWDSACNFLQKAATERLNAVKNQLKEDRGPGWTSRWLMWKTPTVDNHYSCVIQDELQAILTADPEHKQALTDDDITVIRRNIETKGVIEIPTESIRKQWKLVFKKHFLERIISSSKDCQSMYQMYRQGMIEGQDIDCQTIVLFYRIQKMVNLTCNALRQQITNTEHRRLEKEIKEVLDDWSQEPDIKKKYLTGRRVELAEEIQQVRRIQEKLEEFMAQLQREKV >CRE22564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:57669:60646:1 gene:WBGene00062270 transcript:CRE22564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22564 MSINTANTPDGLGVLLYNGETIVIFARGVEMTLGTSQNQNLEGRRQGTIYLTSHRIIFMPDAGGWLKSFEIPFNNMQDVNLNQPIFGANYLCGTATAVQGGQMRGEVPWRMTFNRGGCIEFGQSLLQAVERASRMRPQNAPPAYSPPIGDFYSAPPAYYQPSPDQGPNGFNPTTDSFPDQPNPSSVFMSSAPPPYPGIGPERGPHPTEELHVAGQFEAPPAYSGGETAEGLRRRN >CRE22565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:61833:66911:1 gene:WBGene00062271 transcript:CRE22565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-3.F description:Eukaryotic translation initiation factor 3 subunit F [Source:UniProtKB/TrEMBL;Acc:E3N382] MRFFINSINFSRSLTLFDSPPTPPHFQGSGVQFTIMASNLTVNVHPGVYMNVVDTHMRRSKNSQKAAGQEKCMGTLMGYYEKGSIQVTNCFAIPFNESNDDLEIDDQFNQQMISALKKTSPNEQPVGWFLTTSDITSSCLIYHDYYVRVITEASARRESPPIVVLTIDTTFSGDMAKRMPVRAYLRSKAGIPGAAGPHCAIFNPLRVELAAFPGELVALQLMEKALDSRRREATLESGLEQLEVSTAQMIEWLERMLSYVEGVNKDGEKPGDAQMGRQLMDIVTSSSNNMQPEKLDTLVKNTLRDYVMVSYLAKLTQTQLQVHERLVSA >CRE22566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:67572:79284:1 gene:WBGene00062272 transcript:CRE22566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scp-1 description:CRE-SCP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N383] MNDQMKRRWKEAKERIGNAYHDYGRLCAAHPKTCLSMSLLTMIVLSYPTITRLRLPVSTPIDVFWSEHLHVNEKIAPFWINENPASYIQQFIVSTTVTPWNATEMTPEQAVRAAVATSFRIRNVLLAEPSVEELCLRLANQRTDSTWPFRSKSLCVVLSPATIWFNNLQRFREDDDVIETVFNEQCKTTFCMRDLLLGAPVAATGIKQKYQTNRKRKIEFAVTIFFARYSKRVIQGLREKLQKEFELVETPPNDERTFVQVYFHPLKTFSSYLPLISTYFVCMLYVYYSCRKIQMVASRWGLAFASCFTVASTLLMTTGICAHLDLSTTTWGSEVYPYIALIMGLENTLCITRSVVYTSPSLDVSSRIAHGLSQEGYKLTKYYILELVALLIGFMTRISDIQEFCQFSVICVTVDFYMQLFFYAPCLTFDLQRLGLEEKRKFAEILLYEEIPRLKNYAPISCPMRKVWPKLFVMKKMQKRRLSDSGVDDVRKDSTQQRLLMDSKDDGDVPIPRPEDSVRMKIMYFITRTRIVQRTILVVFAIWTVVLVFFVGSRQLGMEMNLTNQSIESLTRSHRILSTANLQYGNWQRRTYKWWPAVAHEYNISLNSRYVTFLPPIVINANIHPSDILLQKVEKSLESSKNPGEVTEEDAPVLRSRIDWLEMQLKMYLAAFWILLITTVISFFAYVFLSDRWKVGGVKQLKEQIPSGGEIDGNSGTNGNGAGQTQKNFVETLPIVYQGHRFPIESVAIDELDTSSFVSCCQEGIVFVWNTQTGQRTLRINRLRAVPEKGKEIPQAPKIWALAKRNDIIILGCCDGSIEIASISRNKLIGLYTKSTIGVSHIVCRNDDVAVVRLDGSIEFLTVDYDEAEGKVVFRKTSKHFQLRVRQIELLKSLRAHQKPICRVATWQSQSQLITSSFDRSIKMWNWNSEGDASRIEMSNVFLAHNSPVVNLAVDESQSIMYSSCEEGVICWWNLSTGELIRTIDNNCTWAFQLATSSEFLLGFYGSSQLYMWNVENGQLACRVTDALGDGTSEDTLYTVGSSGVVSFDDQIAATASSDSVTFWDLKHRAIIGKVKLNGKISSMRKLTTQSVLCGVDNSMYAVTVPLVRFK >CRE22567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:80086:85229:1 gene:WBGene00062273 transcript:CRE22567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttll-12 description:CRE-TTLL-12 protein [Source:UniProtKB/TrEMBL;Acc:E3N384] MSEERVDYPFSTFLDQHSGQLNASAVPPELWHSLYKKLADQTFDAGDRFQIICEMNEDDEKTLFVRALEDMHNNDEENIFLIDHFVSFSSESARKCVETTEGLAEKLASLFGIDTDSLCEGDDTTEKIETSIEKEEQEHARRLSDSDVTPGLPRHESIDARLSSYSVDDPKSELTEKVMKSLWKYAQTYSISYQLENGEIEKKHVWYVMDDFGSRVRHSAEPNVRIVPLMFLPQNCAYSIMFLTKPVKTDEEITMDWAANVITAQNPQWRKYIENPWAPMDFSKESMVPGAPTMEYFTSGRNPDFLAEGKEQQTAQSAIFTSLPILKKRKIKVYADDTQLTEHLKTHQIEYVDDWKKADVIWMIKHFHDYNQLSTENPCGMVNQFPFESCITVKDLLAACAMRDPVKNDWYQLTYNLNTQLPEFVSRFQNREKNGQHNVWIVKPWNLARGMEMTVTQDLNQIIRMVETGPKVRRVWVLGYCSFITKNCENSKIVCEYIPRPLLFPRPDNGNKVKFDLRYIVFLNGISPVTAYVYNRFWIRFAINEFQLSNFDDLETHFTVFNYLDKEKVLQMKCEQFIKTIEKTYPKIQWDNVQKDINSTIRKAIEAAAKEEAPRGVAPNIQSRAMYGVDIMLQHSPENDDVIKSTLLEINFMPDTTRACQYYPDFADTVFDTLFLDDIDPTKVTPI >CRE22588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:85940:88174:-1 gene:WBGene00062274 transcript:CRE22588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22588 MRLPVVILSLFPLAFGSVLDSFRANGIEFEVYGEGRLIPEKQHCVPYTLDLNEFVNSFNTNNMNEYSRGLLQKRIFTSFDAICRKFHIGVNAESPSYNLTEDRTQMRYLDYFDYNWNSLRFERDLKSLFLENKINNPFLDTVTEETIKRAGASDISDFAQKTTVFPKMCNVNQMTVDTMICFNISDIPQSGTIYALAHGGEFLHNEEVYAYYDIPQFVLITQQAVIPIELEKCKVLFGTYIYCFEEFDTQCDVRTLSDCPILAYKTDDDFVFRRNFGIGYIYATTESEVDLYHNGTRQVVPSRVFILRTSYGTPDSENGQPVMLPDMTPHQESETSQFAALLPESQKILKSDTPTRLFQTQRRGVNALSHKHNDHGAWDNIRDFFGF >CRE22589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:92735:96980:-1 gene:WBGene00062275 transcript:CRE22589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-2 description:CRE-AQP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N386] MVLDKLRAKFHIRKDLGRAFLAEFTGTFLLCLIGLSVVAQKVLPRPEVNEFIGVNVGFGIAIVFGVAVSAKLSGGHINPAVSLAFLSIGQITIVQFLAYFVAQFLGAFFGAAVVYAVYNDAINAFDGGVRTVGGLKDTAGIFASYPAPHLGLLNGFVDQFVATAVFVFLIAHIVDKRNSYPTWLQPILVGTGFVAIGAAFGYNCGYPVNPARDFAPRLFTAIFYGGAVFTKWFWVPIVGPFVGAVVGIWFYYFLIGFHTPQDAEEKYVVLTGNQELKPLTAKE >CRE22568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:118067:119219:1 gene:WBGene00062276 transcript:CRE22568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22568 MPSFHILVILLLLATTIVSSFILQDLPLERFERSGGHDELFAPQQFERHSRSGHVYRPGGNFWQRYRYRMMSG >CRE22569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:123137:125272:1 gene:WBGene00062277 transcript:CRE22569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-13 description:CRE-GST-13 protein [Source:UniProtKB/TrEMBL;Acc:E3N388] MVHYKLTYFLSRGLADVSRQLFHLAGVEFEDERLNRDQFLERKESFPFKQVPVLTVDGLEIPQSMAIARYLATKFGFAGKTPEESALVDAFVDQFKDFYAEIHDYFYTMLGFTQLDGEEQKEKVLIPARDKFLPLLAKFLAKSKSGFLVDSGITFADLIIVDDMTTLINWWPQYADGYPEILAWRERVMNYPKLKEYIEKRPVTMA >CRE22590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:126144:128520:-1 gene:WBGene00062278 transcript:CRE22590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22590 MCFWNIDTSIPKQKPFLIMKLPLVPFKLVTEFMDSNEIIKLSMCSYRLECFLRISKYKLKKLNVHLSDHRLRYDLTQLNNRSRIFFRKQKSEKQLEPVSKMQQLCERYYGEDNTILNIEYFSSNTVFDLFKCISALFSCPSVGWNFYLDQLSTDTMMHYLNLSLAEKCTGFSFMRCSLSTPLLTELMDKIPVSKLLEIGSDIPIDFKHPNALKYYVTRYHNGRWITLNDLKSVRNVGWIELKSTIFNCSDVNQFLRYWVNCEEDMLELLELNLREGAIIDEDVLTDQLITVHVEGASSTDFFIIG >CRE01605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:685819:691912:1 gene:WBGene00062279 transcript:CRE01605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01605 MCFWNIDTSIPKHKPFLILKLPLVPFKLVTEFMNSHEIIKLSMCSYRLESFLRISKYKLKKLTVHLSDQRLRYDLTQSNNCSSIFFRKGKSEKPLESVSKMQQFCEIYDNEDNTFLWIEYFSSKTIIGFHECISALYSCSSVSWKFYLDILSTETMMHYLNLPLAEKCTGFSFLRGSLSTPLLTELLDKIPVSKRLEIDSDIPIDFKHPNALKYYVARYHSGRWITLNDLKSIRNVGCVELKSTIFDCSDVNQFLNYWVNCEEDMIQLLDLNLQEGAIIDVDALTDQLITVHVEGARSPDFFIKAKNHKNRKFALGHLEIGNNKLIRFSSWEAIGDRHLFGILESLERKKELEKEIAMIEKRGNQSGISNFSEEMRQNNYKYEELRRLKISLNEQDHVIEILSNNKYNPLHFFRYRFRRTSKSEMSIIDGLPISFESVTVINEDLNPCTSVVIVQDKNNKFTITSRQLYFKQDTIVPLLNYILEKGHVEQFVVRSSEKDEVFSNGPNLEDSVAMKWELSRFLREMKQTLHAMEETLKPQTNWSDLPSELKMECIDYMTLMERWNLRQTAHVERDLVNSQRLFAETVIVDEKFFSFTTKSGIGNVFISYEYDPDKLRRTCPFILFVFQKLVANVLKIDDESYRMMSTLTIPQMETESLELNTIIVFHNSFIELYCWLSMLKKCVNVVIVERNEEGLDIFPDFPAVLNAETIQYIDAKNIGVLESFLNAWIEQPPKLNSNFQMRFKSFESIESLREMNSCIESRVGENEGCDVIVLATNDPKKIVIVVQETKQREVFVCLLSENQELSTEFFTWKQFVKH >CRE22571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:137361:140299:1 gene:WBGene00062280 transcript:CRE22571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22571 MWPTITIVTIFVVAVDSGSVGLCRTECIEQNARKIVRVHLKDDLVMAGLCNNKTDASEGSIVTPYVCHKNVGLWTLDELDEEGVVSFDKLCPTPEQYPYELRSTCPRINENRDETPMALDVDEYPASSGDTPLSLA >CRE22591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:140669:144561:-1 gene:WBGene00062281 transcript:CRE22591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22591 MGYVFFWGWVFIITTTLVLILKREVDKSVPSTQNVPPGEEEEEMELGVAESYTVLYKIMKLKPVLWMCAILLTGKLAFAASDGMTSLKLIDMGIPKDRLASIGVFLTPMQILLPWMIGKWTAGPRPLNIFLLAFPYRIFIGGVFAALVWWTPHFRLADGKFEYSVYFVWITGYIFHQLATYSMFVSMMAFIAQISDPRIGGTYMTMLNTLNNLGGNWPVTVVLSVTDWFTYKDCVVKGTKDVLYSCNTKLLADQCSNGGDVCEVAIDGYFISVAVCSFIGIVWYKIFYNKIKYLQKIPRSQWRVFPSRSTPN >CRE22572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:145566:146674:1 gene:WBGene00062282 transcript:CRE22572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22572 MNIRLQLLIPKRHLHLSNREIPSLPRIRITFSLFRCIFLFIFALSRSSRSKIEINSLLCLWTLRVFSRRGLCIAYKTRKKGKKLSFFLLFSKREKKSEFVRLRPFRFLCFSSLKKTKKEKGKPISFHISIFRRQMNIGMPTRLVALFLLVAVISTAVAMRAKRENCKSEKLRELVLETVGIYPHQYSYQAKYLKQQAEERFGFWWSAVIVSERGGYGMSAVYDQYKNTSCEMLLFDTYYWIGRTC >CRE22593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:164057:169020:-1 gene:WBGene00062283 transcript:CRE22593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22593 MRTILLLAISLTATCLADSSVLRPCFERYSNHRLVNLRPYHSEWRMRTEDMCLVFCAQSASRCRSVVYDTVQHICHYFSDEGVDQAILSAKMTYLRVVSQSCLQENSEAAADPNMTPPDAPPVLLPSSAFPQSNDIQQELAIPQPPMEEETTTQEVVTFEPATSPSTTPEVVVTEAPVSNDEDVLVSASMPEEVTVAPESQNPDDVKIDEADLDAELKSVMEPSQFTGSFSDSETQIDNNGVYRDKTSSERRWMDSMEKMDSKPSGKKTINEKLEDLKTEDKEKYEKLMGEPSEQEKEIQELKNNLRYGAKVIKFDEEAAFRKQSELRRKPVGATRRPALKMVTISSTSYIQKAKSFLDDLNEEEETNGNEIITTGKPDQNLIFLQVRFFPECQSDDTDVWIAFENSEQADESEDADVDSNVASRKDCQRICREKFGDVGGGDRGDDASEGQTGDLEMFYVELPLVHLLRKNAYLSPEFQIRWSLLRAPSSGDFTASTSTKFCYPRTFSVFDGCSNFVAFRDYSVRIEAVEEFDGLPRGYEGMQLCVELCVLSTRYTCRSATFNPITGKCRLLTEDSLSSPDDFKYDEFQKDLYFENGCTNAGNDHNDSTDRNVEVVAIKPKKLKKRVRKVKKLRRRH >CRE22574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:173481:181252:1 gene:WBGene00062284 transcript:CRE22574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22574 MSLVTTRGGLIFLLLLATLATPAKVKVVDEDTIIYDDYTPGMGNTASAKQVADQVAYGIIACVTVGVLLVMLYMCIFGQRCRATAIRWHVLNCSVWGILHLISYCSFADKAPWPNYITNQDWRDTAKQVECFTRSVFPAGMVFVYLEQIILTIAPKLANSLIFNAIFFLLLIPFLNGLMIFLYFAHYMDVVWWYEPIDLFNLATYLIFVTFTFIYFIFCLFGTCLCCATMTSKRPSSRTTGTFADMWLLFPYGLIPSIMYGPSFGMTSVSFALKHLLTWVMESGLLTGGGGNSSGDSMIDMNLLMQVATNAILAMPWFVLLFPLVQSILALVCVRMFREQFFFMITCGRFFEGKHHKIGMTKDEWNVTSIGPPPLYPNSLSSSEKQEA >CRE22575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:183162:184104:1 gene:WBGene00062285 transcript:CRE22575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22575 MAPPDLDEEALLDENPDENDPLLVLNGQRQDPPENHLDEREGEERIERWQMVEMMADGVEIQEEGGDVRELEDDDVRHEEEEEEDIDWVTPLTRKYSKPFSKCRRCGIELFLNKKMKQVFIRKVWLALPLILE >CRE22576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:186798:191097:1 gene:WBGene00062286 transcript:CRE22576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22576 MTYKDIPNRLPVRDDEPAGTDWFGDDRESLSDLAKFYNSAQFSDVNLKVGEESYPAHRLILSKSSDVFDRMLSQRWNGDKVDLELVEDSMCQTAFSSFLRFLYCNHVVLHRDNCLPLLVLADKYNVTTLKKYIQVCLDFAQSEILPVIDLKEIFSVWFSYATKAYHPSLIKSCMEAISLDFETLLSDEWEKDWQELHRDQMVEILKCNELQLSNEFKLWESLLKWIQAPNHSERRGNTAGPLMALLLPLIRFPYMTADELSQVEKSSVSEMNPKLFQPPLLLAYKFQALPLSSRMNAKDFSKKQFLLRKYRDIRWDRRINVSKSLLSLPCVDHAFTFDTRSSTYPNSEWKWTLKLTGLSVPNPVKKDVLRILLIAEAMDQPRSIEYLLQIVDDKKVLFSTSGKKSFTKTRYYSELDMEKKIEFDELLNDESLYSCNREFIFQVLLRPID >CRE22577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:191575:192848:1 gene:WBGene00062287 transcript:CRE22577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-78 description:CRE-COL-78 protein [Source:UniProtKB/TrEMBL;Acc:E3N3A0] MSDLDEFQSGYSYNDPVLYRPSPPPPSPPEPPKCCKCSQGPVGPKGDKGADGKHGSDGFPGKPGHPGNDALERMITEGYVDFCFECPPGAPGVPGETGVKGTPGPLGPPGNQGKKGKGLPGPVGPPGTPGKHGAIGAPGPDGKPGQVYVMEGPPGLPGISGPVGKPGPPGPPGPTGADGKVGIPGPRGEPGAVGENGQPGKEGPQGVYGPKGVDGTCEHCLPARTPPGY >CRE22578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:201410:201784:1 gene:WBGene00062288 transcript:CRE22578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22578 MFFLSWSIALLFSAFPPHLISLDFWNPPSEYTLEKLSSVATSLSLPTRNVLNSSQCTPLDTVDSNPNYSYFTNSLLAHLTSPSLTPMSDSQTLPEDPCLLSIYLLYLITFSLLLFRFGMPLPTM >CRE22579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:210944:214307:1 gene:WBGene00062290 transcript:CRE22579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rhy-1 description:CRE-RHY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N3A3] MSSSLPPATLLIMERISSEVRMYSTSPMSSNNVTSCLTAAFTPDTVRAAVSPLLAWITLVIIGTLAPISVFSGLSLKRSTKELLSERSSKLDVLDIFRFIAILWVMLNHTGSEGRIDILERLPSADAFKSAMHDHPVFGALMGNSALGVEIFLVLSGMLAARSWLRKADEPFLQHWKSFITRRLLRLAPSMFIFVYIAAGPIMQALLPRYSSSMVSACGFWGILSHVTFTSNWQSTPTCMGYLWYLGLDMQLYMVAPIFLNLLHKSPKRGMALTAATIIASMFIRAGYCTAYGTCNKSDVDIPFISYPGQDAETLKSIYAGLWDMYSRPYTKCGPFLIGLLLGYITTSSQYIMPATTSKYLFRSSLSVAIITIYAILPEYWNPDAGNTVYNTIYTAVFRSVFALAIAGMIAALYFRQEYRPTHPIFAMLAKLTYNAYLLHMPVVYIFNWLPFLQTATSPIHLLLVLPFVATLSFIAALIFYLFIEAPIGHLTSQYATRLGL >CRE23970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:9340:12779:-1 gene:WBGene00062293 transcript:CRE23970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-13 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3MG80] MFFLDAFLKGLHKQGDDDAIDRLNYYWTPMLLVIFALTLSAKQYVGQPIQCWIPAQFTGAWEQYSENYCFVQNTYFISPDKYIPDSEIDREGAEIGYYQWVPFILGLQAILFYLPSLFWRLMNFNSGVALKKMLFGAKKADRVDEKARHEAAKATGAHLFESLTLQSRFAKYSSSFTYGGNYLSLLYLFVKFLYLVQIVFQFIILNNFLGTSYTFWGLGILTDILNGREWEESGHFPRVTMCDFEVRVLGNKHRHTVQCVLMINMFNEKVYVFLWFWLVIVGIATFLNFVNWCRKLLLQSARKAHIKAYLQVENNVSDDDSRSSQVLDKFVNSKLKSDGVFITHLIDNNGGSVFSHDVIVDMWDRFLQEENNRSKKDEFNEF >CRE23971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:14620:16036:-1 gene:WBGene00062294 transcript:CRE23971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-12 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3MG81] MNVIQNLLSAVSPQPDGDFVDKLNYCATTIGLVLSSAFITGWSFVGSPIDCWFPAYYKGWWAEYALDYCYVQNTFFVPFSEDKAERSYNWEHLIADKQNTTSLKQTNQIGYYQWVPFILALQAILFYFPVVIWRLFYGMAGQNVTSLCNTCTATEGNEESRKSTISTIAGFISQKRNRNLVVKQLSGFQNRANGSAVLTSYLFMKVLFLINVLLQFFLLKRMLGVDSYFWGAEVTADLWAGNEWPETGNFPRVTMCEYEVRNLDNIHKHSVQCVLMINMFNEKIFVALWWWLCFLAVVTLSNTFYWFWRASGTSVSKNFIRPYVEDIDPKVKNNRGKLQQFVSEFLSPDTVFLLRLIELNNGKTPVVELIRDMWRRFNTAVPPPYSAPPLLVKDAAPLLKNFQDETEM >CRE23879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:23008:24814:1 gene:WBGene00062295 transcript:CRE23879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23879 MDREYSVWYTMSRNFGHEFLTEISTFGTETALIWRDQEISFENFQKLVGKWKNIIEKQKKNYLNTLRIALFCSNSPDILSIIIASQLSGITVIPLNPSFKKYEIDKYIAESNANFLIVSDDVDCEKFEEIEFHTISELLSAEDNCVDIDESPASSHSGAIVFFSSGTTGPPKLFEYSQKILCSQIDQLREIQLDSRFFSPSKTDICYGVLPFFHAGGLITVFSMIFSGCTILINERWNEQEFLSNCQKYRVSVLFLVPPVLNFFANHPLISNFDLSSLKTIYVGAAASPPENFRKVSERLPKLENLIQLYGTTECGVLLCSTGKGITNGKNVGLPYPLVELKINSKNSEILVKSKTGVEEGFMETGDLGCLSYKLKELMLIGRMKEMMKVRGWQVNPNEIENVIRKVKNVIDCAVYQSTIPDKLMAKVIGNPGTKNEIISVVKENLASYKQLDDVIFVSELPKNSSGKLMRHLLQRDI >CRE23973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:25577:27471:-1 gene:WBGene00062296 transcript:CRE23973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ric-19 description:CRE-RIC-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MG87] MASQFYERNTSGMNADRFMARLTDESTVNTMQRHYWTARQFIRTKLGKKEDEHLEASDNELDTCLNLYRSVHGTSFQLLNNVDNYANFLLDETLVQNVLGKYLKEKGKIDKTEAVGRILIAVGRSLLFSSHRLNAARMGVSTFYNKLSVFVERAIGDCSQTIEAVQMCRTEYRGSLLWMKKTSEELDPEVDGSMDKFREAQATVKTNKERLDRLKTDTLQKVDLLSASRSNLLSYVLTHYQNELFEYYSKTSRAFETLAENINCYNNYDFEILSHLATGTKPEKERKEEKEESTRTTQPKNNEEELKNLLFGRESPQFGMEDQEESRSQCDSPLIEDVDGERRESRNQDLLDMESAASIAFPIGPLATLFDTSSFVPPILPPPGPSNKPTSDDILSLFDENKTTKASNSSSTLVGFPKDKTLKFSFFFQNWQSLIDNFDRENEDNLL >CRE23880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:27977:29208:1 gene:WBGene00062297 transcript:CRE23880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23880 MGKESPRERRNRDRSPERRRRSRSRSTDRYNRRDYRKDGSPRVKKEIKEEAPSDSESPRRRQEDRNEGKHGDRNNDRKDNRRDFYDRRDNRRDFDDRRERSNRDDRGGRHHDGQRDPRDNFRRPEREEQMREDGKRYGLEKKEENWGKSEEPAGPPKEKEKVNLGTSGALTEDTNTFRGVVIKYNEPPEAKKPNARWRLYPFKGDEALQVLYIHRQSAYLIGRDHKIADIPVDHPSCSKQHAVLQFRSMPFTRDDGTKARRIMPYIIDLGSGNGTYLNEKKIEAQRYIELKEKDMLKFGFSTREYVVMKEREITEEELAEGAVKHEDSD >CRE23882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:31704:32551:1 gene:WBGene00062298 transcript:CRE23882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tppp-1 MSAAAEFHWDNADVKKKWDAFTKFGAATATEMTGKNFDKWLKDAGVLDNKAITGTMTGIAFSKVTGPKKKATFDETKKVLTFVAEDRSRQSKKPIQEELDAITEKLAKLEAPSVGGAAKANAGGVYSRLTDHTKYTGAHKERFDAEGKGKGKSGRDDSGENTGYVGAYKNKDTYDKAHGK >CRE23883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:33161:33955:1 gene:WBGene00062299 transcript:CRE23883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-13 description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:E3MG91] MAPRGNQMLGNAHFRKHWHKRIKTWFNQPARKLRRRQNRQAKAVEIAPRPVAGFLRSVVRCPNKRYNTKIRLGRGFSLQELKAAGISQVEARTIGIAVDVRRTNKTAEGLKVSANADRLKEYKAKLILFPKKLSAPKKGDSSAEELKVAAQLRGDILPLSHTITFEEPRQISEAERKVEIFRLLRKERADKKYRGKREKRARDAAEENK >CRE23974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:34420:37598:-1 gene:WBGene00062300 transcript:CRE23974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-2 description:CRE-PTR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MG92] MAWDCVERRVASLFRQLGFIVCDHPLPFLVFPLLFTAAMGVGLLHLNPLSDAVYLFTPVGAQSKMERMSIHEKWPLTDNNYIPGRAVTQSREIQVTALARNDSNILESKFANAVYQLDKYIQTRVRVLHDGHYYSYKNLCLQYKNGGCPSNKHVHILSDLYNHGFNITYPYFRFGSEGGYIGSSLGGVTVMKGENETDILASAKAWFMIYHLKFHPEEMSYISGEWELELGRMLTEYPEDPYISITYFHSQTLADELKRNADTLVPRFVISFTLLIVFSTICSLCFIDGSFYIDWVLSKPILSILGVINAGIAILTGIGCLSLMGMPYNDIVGVMPFLVVAVGTDNMFLMVAAVRRTSRTHTVHERMGECMADAAVSILITSSTGECSIIRGRNDHYNSSSSNLLRLHGSCNFLCICLSGMFTPLITNQFSVNLQFAYCKSWFKNFFRFQITFFAACLALAMKHEAAGRNSFFLVESVPIEKKKSQSTLQRIFNLGSVPDHSASNDVKQPLTARFFGEWYAPVLMHPVVRLIAMVWFVIYLLGASYGCSRIKEGLEPVNLLVEDSYAIPHYRLLEKYFWKYGQQVQIVINNAPDLRNHTSRDRVHAMVLDFATSKHAIGMESVQFWLFEMERYYQKELQVQIIDSSFYGLLQHFLASKTNNPLAEDIYWGPMPDDENGTMVQSFRFIVGMKDLVTTMDQTDATMSFREVATRWPEFNVTTFMPIWMFTDQYVIIIPNTVQNIIIALLVMIVIAVLFIPQPMCSLWVALACASIDFGVIGYMTLWGVNLDAISMITIIMSIGFSVDYSAHIAYGYVVSTRDTAAGRVQEALSALGWPLFQGGMSTIIAVSVLADIPAYMIVTFFKTVVLSISLGLLHGLVFLPVLLSIFVRGCCIIPSTPHGHHSAQKIEKQMKVATITSTPVHLNTVAPLRASSPISFPHRFEYTDESPTVHNRSKTSMKSEHLD >CRE23884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:38813:40710:1 gene:WBGene00062301 transcript:CRE23884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23884 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MG93] MGDSNIDGNINKRSSGETTTEDIPDKRQEVTAVNEDKGSDRKEEKGSDRKDESLINKSTYIEKDVVEDEELYKSLQAMPFYHGFVPRDDLGVIIRNEGDYLIRVSEITTKKEGTTGIKRDIVLSVCSSDGVGKEADQNNTKDAKSENHQSERKMRNLVIRRYDGKFGIKGSNLFPTIEALLANHHVSNTMETKENRFLKTPIDLQGWEYRHSAVKLGDKLGEGAYGEVRKGVLQRKGKKANVAVKLMKGGELNKLKIREMMNEARLMRNFKHKNVVRFFGVAVVEQPLYILLELVNGGGLNSYLQIIDLFQKNKNISVIELVGMCLGAAQGLQYLHANHCIHRDIAARNCLYSVDKVVKLSDFGLSVIGNQFKLHASQKLPIKWLAPETITTLFFTPKTDVYSYGVMCFEIFSEGDEPWEGVTNTETKRNVVYGKHLEMPEACPEKFRSFIHEKIFVTDPKRRVVMDDVVRFIEPIINDIHNAAAVNAIIVERSERYTKTVDCNRSVMASVTGGSTPRNASNSSRKMKNKVNRKKPTPAKTTANTGQKAAKKSTHNTVEK >CRE23975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:40830:42005:-1 gene:WBGene00062302 transcript:CRE23975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23975 MAGRLVRPSSTLLKTFQSWKGGSIRVEKNDKLKGRLDVVLDRPEKNNCLSGEMMREFGEQIEKISSDENSVIVVYGIGKSFCSGADLGLIKDVSSESSISANGIKNLQISDQNLGVQMFEYMSTILSLLNSSPAISIAKIHGHALGGATEICSATDIRIAHSDARIAFFQSKMGIVPSWGGAEYLEKIMGRGRALAAMGRANVMSADEAKQLGYVDFVYETEEEAESFISQVASGGNVVTRAQKAMLNAVKKGSQEEQKKILEDVWNGETHRNALKKQLEAVVKK >CRE23976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:42579:45587:-1 gene:WBGene00062303 transcript:CRE23976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-11 description:CRE-UNC-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MG95] MQTIEKALHQPMPFTTGGQTISDRLTAAKHSLAGSQLGKTICKATTEEVMAPKKKHLDYLLHCTNEPNVSIPSMANLLIERTQNPNWTVVYKALITIHNIMCYGNERFSQYLASCNTTFNLTAFVDKSGGAGGYDMSSHVRRYAKYIGEKINTYRMCAFDFCKVKRGREDGLLRTMHTDKLLKTIPILQNQIDALLEFSVSSSELNNGVINCSFILLFRDLIRLFACYNDGIINVLEKYFDMNKKQCRDALDTYKSFLTRLDKVAEFLRVAESVGIDRGEIPDLTRAPASLLEALEAHLIHLEGGKAPPPSQQQAAQQQFAGGFTFSHPQPALGDAERQRYIELEQERLRQFEDQKKTINSANPFANDVAAAPAPPTTSAQPDLLDMFQPSTAQPAASVQSDITNPFGNFSAPNAFPPNNAPHGAPFGVPPGHSSAPFYSNIQQQPPMSQPANEPENPFVTPHAVPPHMHNAPPVPPPPSTASVAASHVPQPAPPGAAINPFADPGVQMQPFGDAGHGATPFGYPASHPDDLARMTAQMSLNQQSAPAGWNTTTAAVSSNPFGTAPAQPMYTAPMGMYQQPYGGQQMWNMAPYNQQYPYVQQGHVPPQQQQTIQMVHQAMAAKNAAQAQQAQAASSDPFGL >CRE23977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:46972:54896:-1 gene:WBGene00062304 transcript:CRE23977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubr-1 MIVDLVQSVRQGEWTQVRQLLLKHWLVQVPQVFEINGDMPWDNTGVNEKLLGSPGELLFSPIVSAFLLDVRNTKSSLEGMNEIAGVDPANKGKICGHVFKNGELTYTCLDCATDGTCVMCLPCFEVSIHKSHKYKMHSSTGSGYCDCGDVDAWLEGYACANHEKKDGEETFTLAPELKKRSEQLIEIILHFALSMITHKDDLQLPDFFEKLKTESSTDNQQCLTVLYNDETHTYESVINVLELYIHCTKDQAMLVATIVDREGRSAVKLGNKTDCTRTKEDVQKKSSRGQNVIRRSSTHHLPLSVKVMDTTLFALQSFSISLLTWLNTQMDVFPPLREIVGEVLLNSNFPLKKKYLQKVVVEDSNIDNADMNNEIDANEEAELFRLAIEGRMDVEDMIGVEDEDEQMEVDPDEEEEGSNSVTTTVEESTIESSSFTILENILLQDTQMWKAGRCILHQLLMRTVFMIYNQKVRFAKAFMKHYNEIYEDFIKDDHEMDVSVVGLSVQFMTVPSLARKLVAEDEAFSVISKAIRVQTDKYIKCKIIFNDHSEQKFIFSVNADEKVARFDFASRSFPADLRRSLQITRDMAYILNAVPSETDWTRELIDGFVSGFADFLVFVQRLQGMDEVKRQAVEHQVWESEWETAFNILLRLKDAITLAISWAETNEEVHNRVLLMCLELMNNMPPVYTKADDDSKEVTITINGESCKITHFDVLKSATSIHQPVVRIIAGLFTAQNHAMFLMRNDCGNEIQEQIKTILITNEDTNLYELSLRVLVLCAQSNASLWRRNGFSLVNQIHNYFSPLCRNEMFDRDILMMQVGAAMTPPLKFIIHLLQRFRLDKWATIEFEQDKATAAQIKPESEDLSKTMVTIAEEFFQCLILILCERYAHGVGKTNPIDGLKREVIHILCTGSHTFSHIQQKVSHDSNAKRISLHDAVNQVADFRKPLSTSAGQFHCKESSLPVYSPFFMHYSKSDQSAAEQSQARVRAKLDKNIRACAPPVLPDFLSFFEQIPMLLKSGILIHVFRLVIDRATRRSRFSSDRLFHKVLYLIGIALNEEEKCSSFGFTQKAEESVGLLALLEGLIGKPESSICPILLEVTVDKYRKLLKSKTGPSEPAPAADQKQPLHSAEELKAKRAARAAEMRQKAMAKMSNMQSKFMKKIEVEDTKDESQPSAEKSDGVVKNEDYENKQFFDEDVVKQIGHDFPVCIGRNKWQTEVVKPRTLTCILCQEDEVIAPQQGKPMVCAAFIQQSQLFTHKNKNGELMTSSSGTISTRDLLTAPATLQYGVDVSTCSHSMHYECYRSLSESNRSRDSLRARQVGQHAHKMVDTESGEYQCPLCKRLSNAAIPILPAYQLTNQIGFSTISGAAKENFESYITRVKRNLDMPLSSESVTKKGHSRKRSHSERSLLDLEKLSQTSKEPDATNMYSGVYSFSAAHSDASSTTQLSLSTANESQMVLNITPSPDDVDFYNELAALFVDPEPNTVTSPTTVSTPVSGSTPRSSDTVPNEVVKKPLSSQIQHALYTLIRPFPSLMKSRICSTSFEGFEDPIKDLGKNMIKYRRRGNELKINFIEKHLKGYVISTVTWQSTAHVARAISAYLHYDNKPLFGALNTRQRDCLSAMGRLCASLSHNMQYLLHAVSDMLRVLLCDPPKAKLAQTPGSPQFGTDGTSSPGSSTLPLPHSGTNFTFLVQLFNPAGPRKNVNLNILQVDILSLAISLMMTIGWTWHNGTQSMNSSTHQKSRLLTPDGSVDEAYVLRLALLAYYFQVFFEMFSLSFLITSLKIIATHQESDGDDFPMEDDEVRPDIDPAAVEIIVKLHSICHPEEPTLRRVDALWRKIEEGAQSLLRPIALLYHFITLVDPPEALKDPSINSSEALFRYLGLPQKIEEQVHGSLVESLFVMWSSAIPREFSLRQDLVVQPVRPNLLVELPERYSQLINQVATFKCPTIPIEESTSNVPTLCLVCGTILCSQAYCCQKIINKQSYGACRYHMSQCSGSVGMFLRVRDCSLVLMTTRKRGCFRPAPYVDEFGEVDQGFRRGNPLHLNSELYQKLKSLWLQQGITEEVVNYNEIDYRNVQYDWAHF >CRE23885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:56006:58707:1 gene:WBGene00062305 transcript:CRE23885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-11 description:CRE-NPP-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MG97] MFGNSAPKPSIFGGATTTTASSGFSFGNTATNTVNNMFGASTTTSGGNANPFGGTSTQAPVSTGLFGTGSSAAAAPATTGLFGNNTSAAPANTNVFGSSVSNATPSTGLFGATAPTANLFGAGNTATSAPATGGLFGQSANTSAAPSSNLFGNTTTSTSLNPGLFGSSTGTVTSGLFGNTSTPAAPASSMFGAATNTAPATSNTGLFGSSSTVQTSGGNIFGTATSTSPAAPPASGLFGSTAPAPAAPGVGLFGTSNAAKPAATANTTGGLFGSNTQTAGGLFASTTPATTTTAPSTGMFASPATAAATVSTTGGLFGGNANTLTSSTGGLFSSTTQTNVPAATTAVTSSGQSNTAAVPTGGLFGTSSTTAPVPASAGNLFGATAVSTASASTLPSTGGLFGTKPSTTTTTSAPSSGGLFGTTSAAASSIAPSGTTGSFGATPATTSTATSSAAPTGSLFGATSAAPAPALTLNLGLPPTTASTTASSIPNASLNPPVVSTPKQAETTSTGLGLTSTPLAKGSGWAAAGLGGLKGAATTTASLKVGASGSDSLSEEEIKAGLGGTDSKAFFNALQEVVNSYHSEIAKQERVFNNKILELNAYDRELISLEPKVLGLYTQMDELSGSCKKLNFNIGSMTSVLNDIEETVAELEKKLNLPDWTNLEYKFPLDSRFASRHDVQRVQIAQMMLNVDAQMKSADFDLDQIDQSLNNMQTSVLKTKTDIPLEKTEAIMKKQLQKLMELSGQHCITREKLNKLKDDHNLRLNNSKA >CRE23886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:60146:63542:1 gene:WBGene00062306 transcript:CRE23886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23886 MVVEVQLIGWKVKIFAPLQSMKLERTSNSEKEGGVAAGVATSEVGTWDDHRVIPPTNACGAGEASTVIHTQPKDASGVSPSIIQNPTETGGISGVGSDANLIVNQLKEIGEIRDLKSLSDIDGTWKHQLLGYTEKPVKETEEDNGKDSGPRVLTETARDDCNVSASANKDRIATIDEETSEDDKTRAFPTARKNLATTWDAVETIQAEQSNNLLSRTGQRNQAIFEASRTSQLVDSPSTKIKCLERKVSETCLCSGVVDMVGKWDDHRVISPTTPTSPRARSLPSNRGLRPQILHTPVVVRQQGVSRDASAADKDLSQRLIDASEGIMENSHPPMANILTPIRNGNPQSEEEKDESILHLDNTVRYEVYVSTPNQRNEGSPNPEDVQAAVTASGGTTSPGSTPSLRLSNGNISKIQEAVASEANDEDEDEANQVNQGRAENNEEEGSLPHVMAPSFKSAASLPAHEDINNSARSFRDHLVAINPDASQEDSRRAFLIVLKYRARRRAESMLIEKPESTLNELVQGLKEMLERTSQVQRNKTHPRPSKQLPGESSDDPLFHRTIKLATQSYHEYQKNTEYQKEDVTLEKFLEGLNQSVKSLAIREARPITDQTRNTTLEGEARLAPNEQPLEPTQLPAQSEASLANTATDHGDRDDCRDYRSERQGRDEDYRGRSSEIDSQVSRREIFLTFTGKCHYCGKVGHMARSHNLKQRSVANQQKSKDPASNHQTIQVDAEEEASCLREMILWQDLRIHELKEWNDRLRRDNSSSTSRDQTSQADPPSAMALWTIFGQKNKFPRSKFNWV >CRE23887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:66528:67619:1 gene:WBGene00062307 transcript:CRE23887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-108 description:CRE-UNC-108 protein [Source:UniProtKB/TrEMBL;Acc:E3MG99] MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDGKQIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRDTFNHLTSWLEDARQHSNSNMVIMLIGNKSDLEARREVKREEGEAFAREHGLVFMETSAKTAANVEEAFIDTAKEIYRKIQEGVFDINNEANGIKLGPQHSPSSPNSPGGNATGGLGGGSGCC >CRE23888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:68299:68818:1 gene:WBGene00062308 transcript:CRE23888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23888 MGPHRLLYQALCKAGDKLVYPVLPAFAKPAWNHAAGPKTVFFWAPTIKWALVGAGLADLARPADKLSVYQNSALFATGAIWTRYCLVITPVNYYLSSVNFFVMCTGLAQLCRVAHYRYQNPDWETKEIMETH >CRE23889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:71797:73422:1 gene:WBGene00062309 transcript:CRE23889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23889 MFLAGLMVRRRLPSSTYYTPAGEPEWSRETFGEQSIAVLSGAYRNPIEMARHVAASCGSQQPFFVMDVAAIERRLEALRVMLPRIRPNYAVACNADPVLARVLSNNIDVNFEVSNSAELELTNLYSEPTRSIFCSQLMTRKAIKTAVLSGCMTFVVENEKQMTDVMNASPEAEIVIGICLSYSSGEVPFGCSVDELEEILEMGHLLGANISGIYLELGVRASLHDYIHALHDASSMIVTANEKFLSLRYVTFGNLAIPTAEDGSVNNNDFISFCNAMNETICELFDDSIEFSANIGRFLVTNAFALCTNVIGKRALDAKFITNDDFDDGVGFVYQTNDGVYGSFGCKQMDINPLCKPLDVQKESVDEQLHFGTILGPTLDCTDVAQRITKCRQLRVGEWLVWEQMGAFTIPADSEHSVPPVYYYSGRECWQKLIHKDEQRRSPSPIVIDDNEDMGSDGEGGYASSCEDLDMAMVLEPLVEDPNEF >CRE23978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:80066:85366:-1 gene:WBGene00062310 transcript:CRE23978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glh-4 description:CRE-GLH-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MGA3] MSFSDDGWGIQESEVKVEIVPTKVIEQPPVVENPRVNTIGRQVPKGEVAERIHPKMETILEQLPKTESSEVFRGSPPPVFLNDEVPAKRETEKPSNNDIDACSDDGWGSPTKKEEFRLPTVSKSTASKLPPARALQPIVPAPIDDTLDIESPRELSPAPPTERGVLLTTTSSRKGSDFNKPNSNFGKSFGTQESVRSENDNMIPKGPSPVVQGDGRTQKSFGSQKTNEEGVQKSSLLVQDKISKDIPPVGTVGSFGSQAHADKVPQREDLISDRLKPTGFGGSFGAPKAATFGSIKPSGFGSGNPTSFGSIKPSGFGGSESTKKATGKIENESQDKSTGFGNSDDSKHERTDTSAEKTTFGFGEVRRSFGQAKAQEPEVSEVEPNTETKRSFGFGKPTDFDSGSNQSKLSFGSTSQKPTSFGTQSFGFGKSADQGFKTSGATSSLSKQSAFDIGKENTGNVGEFKTESINNGFGLQANNDFGKTTRPDFGTKPSISFGGKKSELSNTGFGVSGNTGFGGKSRSGFGETSNNGFGEKSNNGFGTSSEFTKASFGSLGQLEKDGGFGEDNTNSKGGGWGNESRHEDAERPRGCHNCGEEGHFSRDCDKPKQPRFPCRNCNVVGHFAKDCPEPRVPYGPCRNCQEEGHFSKDCTKERVRTEPTEPCRRCNEEGHWSSECPSRPRDLQGNILVSYDVVFTPEDEMFGDAVNNDDKINFDQKVFASMGEIDVPDMASFDAFKVLPQDVHDNLKRMKMNRPTPIQRASFFPILHGFDVVACAHTGSGKTLAFLIPLVINLLEDRSHHHDVTDEKPSPRLLVVAPTRELASQTFNTARQLTYQTGLKCGIAYGGYSRSANLQLLRSFDQLGILVATMGRLHDFLESDEISLSKMKFVVLDEADRMVDSADFGEEVSKIIGSPETRTQQTILFRYHLLWLARKYCIYFSASFSENLQAEDLPKFVKEGYTMLQVDKFGTANEKIDQRILPVPRTEKRSELYKLLGFDENTMSVLPDAPIEKEKTLIFVNSVKFCDTLASNIANCGVPCTSMHSHQNQEQRDRTLDDFRRGKYKCMVASNVCARGLNIAGLDHVINYDMPDKKGFDEYVNRIGRTARAGFTGVSTAFIDEESDREIIPSLINVMTEANKKVPEWLVNINEGAGNADEGQDEQW >CRE23892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:90860:96364:1 gene:WBGene00062311 transcript:CRE23892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-59 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MGA5] MHGAGEQQQQNSQQRYRFPGRNDETQQPTTSSQQSNRSMQQMQPMQVSLMCTPTTPTTSTAATSSGAVPANLRYQSAVKRLGEPIKFGDSILEPAGTLVFQQADNTVRGHGRRTTIHNNKNAVVANLFQIGSAPVLTMQPQIQGSNGNWYMNQQSASSSHNVISLVQMTTNQSTTKSSATPDSGIQSVPTSPPSPRMELLNEQEIVDKSRYEDNDDDDDDDDPADFTDMPRLKPVDEDDEYFEEPSTSSASFSTESNKSIRTINAVDSTTSTVLPSREEIPNGMSAEDILTFSLAANMDTNEIVRRLIGFDPEKANSIAVLIKKHNADKLKKKKDMEAEVSSTTPTTPRARGSRKRKKSATRSTNSPDVTTSNLPAETSTSLTEIIEESEEVERREVRKGRARRSKRKKTCLEEEPEELGTDDNDLKTDLQDQGSLAVEATCSKTEPTLLDPVLFRLKVHEMVERQLEQLTQKMSEDMAELRLSHSTTKPTSGKRKESFFRQLAEQSKKLKKLGTVVPPAGKRMRFFMTKPEDEVGMKPVESKKEIKEEEISLNIKSRISSRRSRTENSPDYALAPVEEKFNGEYTEIAKSVPYSDDIVTLWRAPSLSCGCTKGACTSDMECLNRALRVQCSNECTLPYCSNRRFWKEDCGHKLCVSNGPRTKRALKTKVARRAGDFLCEYAGEVITFERAYSKFTENQDAKIIAIGSQLFIDATNRGNIARFVKHSCNPNSRLEVWSVSGFYRAGVFALFDLSTNVEITVDKDGLLPFDTSCTCGATNCRKIIKGVKSGVVANGDEKEVIETRRFLLRNRRRTIRKSRQSGLPYILLRPDNGSELLLKMRKTLAAFSFRVRRIDGSMARSMLPYYSSILTFLKVDARNPNLAEFVSLLRKWVAAIDDDDLERAFSAIESHYLSSSLLASTQKTKKSNENPTQARPVSSSGLSHVTSKRGDADLSYLESLYPIGSYDPDDAWETYRANASDNAVRCICGALDEDGGMVQCDKCHFWLHIDCCQYPVREEHGKFGKSKTKSDDKGSDNEENEYICEFCMGTQSGQRPCADVKLPDQPDVRFENCDYYRSLMNRRGIQVRLNETVYVNRKFPDDHKIMLRNLREEKKGLKHKEPNKYVFPEADNTPLLPRNVNRKDARIFRVERLFVCPGNNRFVFGSFYAWPHETISDTGRVFCKKEVFATPYYETLPLDEVIGRCLVADVPTWTKGRPKVPKFKEEDVFLCEMQIGKNQRMFEKVPPKNRYPINTQPYVFLKFPQPKKIIKDFRPYDYSNPSPKPPRTLVTPNPITSCTDAQTSSGALPDVDMRKLSRKNIQKILKRLVKLDSKTS >CRE23979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:96930:99400:-1 gene:WBGene00062312 transcript:CRE23979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23979 MKMEIDNKILIFSSIFLLTESILESSFPLTVLPLKFGEKNDSRIYYSDKSVLDSFKYYGYTSSDLSIPSPFGLATPDIDCEVIDTLCPQMIQVFHVSVNGSPRLVSWNDLKENETMEIIDEAGYVGSWPGYCGTSNGALVEMFSPLLKEFQYATTNEDILKARWNEDRHFWHPTKVIGYLFNATDPIRTVNYPFNAIRPLDNVVFNKILLRLRPIIRAKDSNGLVAFTTSLKENDYLKLYKFDKVFNISLIDQMTNVPVISDACGKMMLIYEFSNPITRTYRLKLRDSDPNEEMVKRAGYVFREESSARRCLGDIQPIYEFQNPGKQSDIHYVSTPEEINEYNVTKRWTNLGLMGFSSWGNFAFAKR >CRE23893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:100659:102004:1 gene:WBGene00062313 transcript:CRE23893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23893 MDSAQIILHKTIIEVSLYSNMKVDVYFQTMQKQTDFKCGDFAGPFTLINGEKSLNITVGFPKLSENLYNFLQISNFTGEKMSLEIEDTEITRRIQSVSTPLLANCVLLQTGKLIQILFTKDIMISEPLRAVLVADMNHRQEQQKQETDKKYECSKCHMAKFNSLQNLKVHEEMYCTKKEVQVPENKKSCFENPQNLILLPMAYHDLLQQNAVQVMGPVHSLIPVAVGRKSTLVNTSPIFVHNKTNLEIPTNLNISNSKLSINIPVIDLDEKIPSTSTVLDLSSSSQAASIPSLSPSCSPTSKSSSSEPFYPDRPFSCTCGVSFSSQTTYDAHRQLYCSHTVGETSSGGPNRNDSSRKVTSILPFTHFISTFDLSESFSDYNLELWP >CRE23980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:102414:103531:-1 gene:WBGene00062314 transcript:CRE23980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hus-1 description:CRE-HUS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MGA8] MKFSSLLQDTAAIDVFISKFADLKILKQHLFPEILTAASKLSKKRCCVKIEKEALNFISSSGLHDGGAWFSLSVPFCSQLFRKFDMVGMNPRNPEQNLIFFEFDIDSLVRILPGGHCYLKLKLSKSQNDEPILGVEVRNPEADIVSHQIPITIVLSKYWNTFARPTIGHRKMSISMPPPKSIARFIHAFRNMNARVIKFTASSSGDLRISTKIDNGEIDASFSDLQTNPSESDSQENTANVQLMIRNISTLFQSFANTKSRVKMNIISNRMAEFNMHNEDYLLSFIVGNVSD >CRE23894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:103911:107293:1 gene:WBGene00062315 transcript:CRE23894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msh-2 description:CRE-MSH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MGA9] MSGGKDEVSDKALLKLLKAKSPNTIAIFSRGEYFTVYGEDANFVATNIFKSDVCVKTFTLCTTESQQMKYISVNRGQYEKVVRETVVLLRCSVELYASEQGEWKMIKRGSPGNTVEFEQEIGVADQAPVLAVYIHPGEDDVCKKICSNRVTLCAWDSGNVRLVTSEFIDTPSFSQIEQCIFGLCPTEYYLINGALASPRIKKLSNMFTKMDVHCKQALKPKSEWQNMLDCIHQEYREEAEKQSNSVKECLQTLHANVADEYRNSEKYSIFNYGTHGNMQIDSCAVDALELFQLNYNYLEKSNNLTLYNVLNKCKTLPGEKLLRDWLSRPLCSIDHINERLDVVESLLGNQNVRQKLRDSFLARMPDCSQLARRLMRKCTLQDLNRFYQAATLLESVEMQLIQLSEDKRFSASIDRLLKSEVTAILKKVERFQVLCDEFFDFDYEKEHKEIRVRVDFVPEIQEISEKLDQVNKIAEKLRKKYSAKFECDSMKLDKNSQYGYYFRVTLKEEKSIRKKDVHILETTKGSGVKFTVGELSDINDEFLEFHLKYTRAEQEVITMLCNKAEEFIPLIPAMAQLIATLDVFVSLATFSSMSSGIYSRPELLPLGSKLLELKQCRHPVIESISEKPFIPNDVILEKNRLIILTGANMGGKSTYLRSAALSILLAQIGCFVPCSSAKISVVDGIFTRVGASDKQSQGISTFMAEMLDCSAILQRATENSFVVIDELGRGTSTFDGFGIASAIAQDILNRIRCLSVFATHFHEMGKLAEQPGAVALQMGVQIEKNQIHMLYKVSEGVAQCSFGLKVAKMVGLDESVINKASELLEGLEKKVIIDNDKKKELLESSDIRQAILQLVN >CRE23895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:107627:110052:1 gene:WBGene00062316 transcript:CRE23895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ipla-4 MSRRRSLSRFGRKVMFRNRSKSNDRSKRQNSKERGRAERISFDYDLSNVCNHLEALMNAARYGNTELLYKLYIHHIDLRMTDETGNTAMHVAVMNGQQKIVRMLVVIRDDLNGLDNPPSPVPDGFVDVDSHYNNLLVKEKKLWKDEERVLLSLDGGGIRAVITIQMLIHIDHMLDGKLVEKIDDLAGTSCGGVITLLLSTNNRNIEETRKLLLEMRERVFIRGTDKTVPRYSSTGMEYIARHVTTWEDSKMSVIKRHRAIVTVTDTRMVPPQLLLFRSYRPEMPEDACEHYKFLDPSKVELWKALRCTTAAPYFFESFNGLSDGGIIANNPTLALMSDFLLTNKLEKSFARTDEDRESKGNWKIGCVISLGTGVFPTEKIDGIDLIVAHAVSEHNVFYVSPINIQKNPIQFAKSCYKAVTSTRNLLHVLVKECTASNGQPVKYAREWCHSIKTPYFRFSPHLSQGISLDEIDLEKVMQVMWETELYVASHQNQFVKLVNFLATKPKREGFDSSTNMPDSDSMEQSISEMTETTTTTTLTTTTDTT >CRE23981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:110119:113537:-1 gene:WBGene00062317 transcript:CRE23981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23981 MSNDGENLPKSNSEAIIADLLNDLKSSEKTFSVDKLAGCDETNVVGEKRKKHHKHRSSHRSKKSKRERRRSRTYSGSSRSRSRSRNRNKERKKNKKRKSRSRSRSRLKSIKVEKNDSMANSSSSGKEKPQLLSKENEETKQSSDFVEPSKKDQSESLTIEKEFCTHIKKKETKLEITIKSILPEAEGISSKPTNEIEDGEIEDIVYGPPGTSFAENETKDSSEKKELEAEEDKKKKKEKSEKKRKGSLSSHGDRKKDSKLSRRSRSRDRHPVRRHSPLPERSQRDNRTRKRSESRERRARSHDRPSRWRSRSRERRDYDAYKRRRSRSREVIDKEKLLAIAKTKRAEMMSNGDRDNASIEDFVTYCKKLQNRQEREKRREAGQAVSDHESDGETVRYKHPYAMPKEPIRINIVTATSANLAQKALTGPEEPAQLGASQLRIVYPVSSGAVHKENAEWIPVEKEEVPKSCSVEQKKHVALTSLDIDRCRAQGIPIAPVSVPKFLTSILPPPPNPPQFLPNPIDPFRPLTPPPLPSVLYVPTKDKMILKEPKDIAMPAPSDIGKVLKQRTEAQRKVLSTPNDFDAHRALREANEQISLWAALKSLPGEYTGTTGLRLLSVDELQPHNPKFHAWVKKDQFRNAAPATTGIGRMMLEKMGWRPGEGLGKDATGNVEPLVLDVKSDRKGLMAEEEMSIKQRNKANAQNNVPVDLSSKNPISLIMELCAKRRWNAPSFSCEESGADHMKMFIWTVAINNVEYRPMCGSKQKKEGKAVAAQVALQALGVLPRDADLPVYM >CRE23896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:114140:115242:1 gene:WBGene00062318 transcript:CRE23896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-8 description:CRE-RAB-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MGB2] MAKTYDYLFKLLLIGDSGVGKTCVLFRFSDDSFNNSFISTIGIDFKIRTIELDGKKIKLQIWDTAGQERFRTITTAYYRGAMGIILVYDITNERSFENIKNWIRNIEEHAASDVERMIIGNKCDIEERREVSRERGEQLAIEYGTKFLETSAKANLNIDEAFFTLARDIKSKMEQNEMRAGGSSSNAGRVNVGGTGTQKKSFFSNWSCSLL >CRE23898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:121766:122506:1 gene:WBGene00062319 transcript:CRE23898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ftn-2 description:Ferritin [Source:UniProtKB/TrEMBL;Acc:E3MGB4] MSLARQNYHSEVEAAVNKQINIELYASYVYLSMSFYFDRDDVALPNIAKFFKAQSDEEREHATELMRVQNLRGGRVVLQDIQKPEKDEWGTALKAFEAALALEKFNNESLLKLHSTADGHNDAHLTDFIEEKYLDEQVKSINEFARIVANLKRVGPGVGEYVFDKEHFSD >CRE23899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:127824:130775:1 gene:WBGene00062320 transcript:CRE23899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smp-2 description:CRE-SMP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MGB5] MLFLIFHLLLVSSLGSASFFSPDTNVSRVDVSHLTRTLSFPPNEKLVVLHKLADEFVLLGGRNRVYNVSISSMNEMNRYEWSSSEEARNNCASISQNPAMCENYIRTYFELTNDTFILCGTHGLQPTCAEFKKGNTKPARLISAVGMSPIDADSTSPFIRSNDNIITVNVAELSSSEPLLIRRNVIKMWKGIENDVILRTPRGLSSFEQANFLSMHKVKKEVLFFFSESPMETEGCGLHKVARVGRVCEDDPGGRLSYSKEWSSYEKARIECSIEENDTDTFYFNQFAGVAESPSSFYGAFRSQLAGIGASAICKYSKKQISKSFSGGFKENLSDSPDSCARANDLEELSKLRLRPLIKQKISSNPIYIFHGKDRFVHVLAQEDTRDLNNRAFDILYVGTNLGNVLKIVVSNSDSTSNVTGRHAVTLKVLPSNSKIVDMSFYSKNGLEELVVVTEQSILKMPTATCHLATNCAECLAHGDPHCAWADGIECIDIRTDQRKTASHDSGTCDVVSFENHKPVVLAPLKNKESSKVPVCLCETDKLRKPCATEVIQKEIVLSGSSEPWKYITVFAVGVLTGSIFNYCYFYFSRSLGGKTVSSSRSSSSMTRPITTSSSTRLPIDAFTTSSIADEMFSSTLSSNANRFNTVSMHSSIRTYC >CRE23900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:131171:131938:1 gene:WBGene00062321 transcript:CRE23900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23900 MRPPTKREPERRSIMHRSGPPSQYYDAKNTKYVESESQSSTVSTESTTTSEDTDEKKETKPFERTENEAKQAATPNPLKALEGLSQADKTKKSEEMLRQLWKRPHSSWDKITTVTNTVTERTNTDGQVTEEVSRELDYNIDKIIYDIPLEYCLIRVPRVRTARSCPDPWRRHGAHVKLCEVGGWMPETRNKNAKVTPVRKGSIPPPPPRTKPLRTVDDYLKMIKK >CRE23982.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:132025:134412:-1 gene:WBGene00062322 transcript:CRE23982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mex-5 description:CRE-MEX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MGB7] MKAASSSVSSSGGAMSPTKTQLPPAPPSHHPQQQQAIYDPQMQYYYTAAMPGQQMPTYVQQNGSSQAYAPSNQYFQDASGQYVQVAQQSSMSAPQPIMVPGQSYIYMAPPQQGPQQVVQPGQPQLIYYQQAIGQMPPQTAPVYFHPMQPTSAQMISDQMTVMPQMQPTLQQQTHQHRPMGMEMSNPRAAPLTSSTPLPTSAEYETMQRDTHARNRNIQLRYHRVMEHDELPIDEISDIGHDDTMSAEKENHMLAQHGEKTARRGFKNAEEQPPNYKTRLCMMHASGTRPCDMGSRCKFAHGLKELRSTDAPARYPNNKYKTKLCKNFARGGSGFCPYGLRCEFVHPTDKEFQNIPPYQRLLVDDQVLDQDVVPEDYVVARHQPRFLRSSGRSTTPTKVMLKHRNVAGSMMCLSNAGREAAAAGGDFSQADASPEYLPPHLRRGRMANPPTTKRRTSLSTKWTSEENLGLHGHY >CRE23982.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:132025:134558:-1 gene:WBGene00062322 transcript:CRE23982.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mex-5 description:CRE-MEX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MGB7] MKAASSSVSSSGGAMSPTKTQLPPAPPSHHPQQQQAIYDPQMQYYYTAAMPGQQMPTYVQQNGSSQAYAPSNQYFQDASGQYVQVAQQSSMSAPQPIMVPGQSYIYMAPPQQGPQQVVQPGQPQLIYYQQAIGQMPPQTAPVYFHPMQPTSAQMISDQMTVMPQMQPTLQQQTHQHRPMGMEMSNPRAAPLTSSTPLPTSAEYETMQRDTHARNRNIQLRYHRVMEHDELPIDEISDIGHDDTMSAEKENHMLAQHGEKTARRGFKNAEEQPPNYKTRLCMMHASGTRPCDMGSRCKFAHGLKELRSTDAPARYPNNKYKTKLCKNFARGGSGFCPYGLRCEFVHPTDKEFQNIPPYQRLLVDDQVLDQDVVPEDYVVARHQPRFLRSSGRSTTPTKVMLKHRNVAGSMMCLSNAGREAAAAGGDFSQADASPEYLPPHLRRGRMANPPTTKRRTSLSTKWTSEENLGLHGHY >CRE23901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:135055:137584:1 gene:WBGene00062323 transcript:CRE23901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rec-8 description:CRE-REC-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MGB8] MVLYTEVVRSNPVFHAAWLLGTGDTKSLSKREIMAQDLSEICVQIIRMMPDKDRSTESKAALYLLSLLTYGTVLIHRTQVEFLQRDAEKLKELIRKKSFILLMAEKFDREQEIRKHTENRAKLRSTPIACLEDIDELVNLADLPMISARIGNSIYFSFFSQKSDTLHSTFVEGNGSNEQSREKRAATVFANFHENIVSKHGNEHSPNARKRPVAVETEKETEWIDESKAGQNQLEAGAVLDHIEEPQRLLPAQLDDLDLVDVPLDQQAKVTAYLQTILSLSLDETNLPPVPQDLNLFEDILSPPPKKSRLETERNGEEEFQDREVARRRQSSRPHTPINQNNLTDLHSTLKTDDQTSRIEDPAVTTEQGQTMRADKPTTPMEDPDIQVEIRGTTDTNRTGENSSPSLELDSQIQFIPPQRKRSRRNLPFVHGDDMEIDEVVQNVLQADWSSLVRKKEDVLVISNSKKSDIPLLMFNPAPNFGRSRNLPEELQEFFKSRVFRGYTGYAVSETDEESIREEPEKFAAMRLLTPNRLDEPIEFQEELYQRERFNDELMPVQIDDQTKLMQEELSVTKRLENIENDQPHEKLVESASQNLSYAFTRLLTPEKEREAAIIEELGLEPLPVTHNYAHLNLSNPNNETMRPSDPYESIRTEEDVENVRKRQKSSLGVGHQRTEDLEEDAFEVQRRLRAFDREERMRLAMEAKEDEIFFYSSGSLLPNNKQIIHDQLLR >CRE23983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:138126:139020:-1 gene:WBGene00062324 transcript:CRE23983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkb-5 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3MGB9] MRVILLCLLVAACFAEEEQKLQWKDEDGLEIKIIRPIKAEKCPIKSQEGDVLDQWYKLTDKEGTEIGSNFNKKPYTFTLGKGQVIPGMERAMTGMCKGEKRKVVIPGNLGFGAKGRERDNIKEDQTLYYTVQLVDLFRAVPGEKWTTDEGIVIEQTHKIEADKCKKSKTGDTIHQQYVLHLEDGTFVDSSFSRNAPFIFKLNNNEVIKGMDIAMTGMCEGERRQVIIPSDFGYGDDGRTPSIPGKARLYFDITLEKLIQRDEL >CRE23984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:139928:141333:-1 gene:WBGene00062325 transcript:CRE23984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23984 MRFPILFICVILVTFIQTFAEDKNSNSVEQLLSDEPISSNNTDASDGKLTIEEQYDETNSTLTNGTDVLSTRGSSIPTSSLGSDVKTTTPKSGKPKAPSFTVWSFFIGIFVACLIIGLAAFALKFFCQRRTPGNNVPYSAYQ >CRE23985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:141572:143635:-1 gene:WBGene00062326 transcript:CRE23985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-magu-3 MINIEERKMSEEDELAQLRSNADNLSRCLHVLLEKIESIEKKNGKEQDGDSTKSSGTSSSNKDGKEKFEQVEIEEKTEIDPKTGLKKRTIVTERVLTTKTFHALALDGPSPTMTPILRSSGNKNHNYAPIHQSAILLPQYQSRQTTVDAGQLSQVEVEKHGGQLVVTKINEKANYDLKPGDVITQVDGKDVYFRADIENLKGNVELTLEPAAIHCAPAVSQTQRFHINFFRVQDNYSSREDVDRPCLWLDLEVSPGDVIQVLSKDEKWMQVRKLGDLTQVGYLPSSITMKKVSMLCPFGRRTLVLLGGVGTGRRDIKSMLLRLAPHYFSTIVPLTSRAQRPGEVEGRDYHFVRKEDIYQKIRDGGMVEWGEMDNQLYGTTADAVRAEVRSGRMCVIDAATQSVDYLYNAEFMPFVVHIVPPPIEEFIQLEAVKRTHRTQEQLRSICEESAKIGFQCKSRIHLTLVNRNIDVTFKRLVDALETLRYETQWVPHNWMC >CRE23986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:145720:148638:-1 gene:WBGene00062327 transcript:CRE23986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23986 MTEERGKSSKPRTTSSAYILGGSSSTATLFDFDGVYILDGGQPEKTPGFLMTVKTVSAVILSAPTRNSLGATASLLDQGKVVPVFMNTKPLKTAKPDSSAEIVKTIQDANSKLICDTPLLFDPKYPAIVLCQSGVVGILSLYILAGDTKDGEVITKALASGNEAEVERAAANHGTIGVLLWRPASADSSVIRVLIAGTSTVSRIQQSLDKAAKYLPFLNAATVKSKDALKVTSVPTISRPIVSSVGKLATGRPTARAMTSGPSSRPTSTASSSREPSYRAPTTTRPVRPIKKLPQNPVPPKTTQASAPAPARSQARGSAPPKPLSSVNSTKSATTSRAAGRTTEPPGQNKKLVKIREAPLSKPMQKTASSKPTVPVPISEPTEATELAVTGITASVPQSQNSTIELGDSTYCESNKSTQSHGLMFSDISSETPVDSAMKLMGHDSVTPTHHEGRKESSKTKNDVPSNSGLEEQGRDKIVVTPKVSLHETDCSTDLLLDYTPQTVDALKAPERGHSNLGGALDESEISLQENILTSTHNLESRIPPNPQEEVNKQSEICNEINRTAETSSGNTTVVGDITRISGELQKLGLDEKTEEYISQMSSQMVEDATLPFTNALANTIATSNGFTAPQQFAGQTTNPIPSNGAPKEVPRTDLMQSRASVVESDGTTVDYEKTDPALDDVLNACVSESERVDSATVPTAANFETLNMPSVPGTKIAPKPVKFARPYYFEFVTAPRNENMVTPISAASLQEFMSKVRSKYLLLASKGITEEQLQGLLTGKQTWCESAHPCTIIPTHTSSTLRDFCEKNESHFPTNHLTVNTSVDRNRMNVNSSLVDFQFEVAHMFFQ >CRE23902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:152329:153118:1 gene:WBGene00062328 transcript:CRE23902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbs-4 description:CRE-PBS-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MGC3] MAGMHFLVGISTENYVILAADKATFAYGAILADSENDKEYRLGKKLTMMCIGEEGDVAQFGDWTKRNLQLYSVRNGYEVSPACAHHFVRRSIAEGLRSKDHYTVDVLIGGYDDKEEKAFLGSVDYLANGLAQQVFPYLFRGFCGRFCYAIMDREYKKDMSEAEGLALMNKCIGEAKRRFVANIPGYKVVIIDKKGYRKLDDVLF >CRE23987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:153302:155967:-1 gene:WBGene00062329 transcript:CRE23987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrrf-1 MIRLAVVRLTQSASRRQFIQHQHQLSTSVVGYAKKKAENKKKSPPAVFSNLDDNTVVQNALKEIQRVETLLVEELTRHFSLKVDIRQYEDIMVKLENGKEKPLSMVARVTLKSPLMVMMNFQDNPTAIKTAKLAIQKSTLNVTPQQEGAVLYVNVPPMSKERREKMASDAKGRILNEYKKAINEIYSTSDKKSSAEFSTRPDEAKKTREALLTMKHAAEQRGNLIIEERRKQLLKQVV >CRE23989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:157385:159273:-1 gene:WBGene00062330 transcript:CRE23989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23989 MITPNLSRLPRDTDRTQIGGGPSGYGPPPPEKDYYSRTTVPRMTSSGHTDDRLKNRYEACRSGMHELDVLRAKHTLLIQNLRSRLPVIPGSLDDVQAREKKSRDGFLDRFSLQSVDSGISSQNTISSTRSSTPEDFKTSNRHSNIYENSSTTMNYSTFRKMSLESTHCNVPHNNNNDNEYMEKTAFAPPVITSRSCHRGAWKTSPQRVRPKSMFDSTTSATEYLFSKPPRYPIDLTKERRSAFTVINQSSKRHSSIEPTNLPSSLSQLSLNDESKTPQKAEVIRWNKQVIRRRFLIDILIKLWIQKADAMFRANVVYVSTPEQPSKPPLRFSPARSPPVRRALQMELKPNQHYAQPCKIRSPRLNSKNVFAAKPIAVRPNVSPKVAQRSTWLQSQPL >CRE23992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:164233:171346:-1 gene:WBGene00062331 transcript:CRE23992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23992 MEGGERGVLRSVSPSEAAEEKPSCMVCYNDFHSKSRVPKILPCGHSLCQDCITTLLSNDGFMIRRLACPTCRQTHSCSFGNSNTFPTNFSLRDMLEKQEASDKLEKGNEYSCRHCKKEFHEKNLRKCDTCCPRIQPEESLDFMGRSKLFLFCSDCITNHHVGHDFDSDATVSARWENRILFDKFLKAANAENIRVTRFVHTMTTSIQTAQNFKNNLLETVAQIQQNADHIDITSILQNFLDALPSSSKFIDMSTECVNRFTHPTAPQNNLLGKALFRLNNEDQKEYQLPEYGQLLSKLFNDADTTGSTARTRIWVRPTATRRPNTQLNAGNQNPNILNVAPAFPPNPNLNQHNGANVANFFQRFVQQIPEMNQQMQQILQEMQRPMQLQPLPPDLQLPFLHVNFLPLNLQQQAQNINQRIPNLNVWPHYEFGETRFNFGVFQNLPGLQPVLPAQQQQAPVQQQRAPAQQQQAPAQQQQVLVQQQQVQIDLQRNPAQHLVVAEEQEMNLQNQDPAPQQQEAIMRPEDANRIQNSFHLPFRVFNPGYVGLYQETLGDLIRRFVNNVPGNAGWQEVNDYFFNEPYENRILTPRARFQAKIVLRNVLDILPPAHRIHATFTQLLDALREEEPDIIWLDDDDEDDEIEELDRLENGMENNLEFPNDQHIVNDGGIPNIFGGLEEIEFLLDNNAEFGLNAGPPSPIAGLVDAAEFLERDAERVVQVQPIVENENEPEADAEEFDQNEREAENDTGKVFEMDDFDMDFEEQLDRLNQLQDELRAEIHMNIELNVGNLDDQFGMDQLDADQPDGNVDQLLGRNRMVGGNDPQEDPGNIDLAGNGEERIPLGEHENPAPQQGDNPNGQGEAAVAGVLDAKSFKNKVKEELEGPILTEKGTEMRGFSCVICYDSFNTGKRTPKVFPCGHTFCLSCVKGLMTNRSFLSSSTVICPTCRQNTRFSTGLGAEKIPTNFSVLSMLEQRKEEKLAVLEEEMLECSECKIVYEGTEVTLCNEDNCQDVTDKERLKLVDNIKRSKCHLKCRLCIEKNHSRHNFISFDKVATQYEACRKIRNAETSLTKALKAADDALINMELAKTEIIEHRRRMGNALVNIRSEADHPLIYEYLNRFTTSVKASEDLFKRLIGDLYHFDLKSKARYYRAFGNDTLRPISVVQRDEMIKPKIEQQDEPNNLVPPRDRAPQAINRLNRNGRINIFGPFPAPRNRPAGGILADGVPNMAAMIDHVMQQLEMGGENVQLNVIPPPLLHQVPNNPILRDPVAGEAPIEGGDPVAEEAPIAGGAAGELAVAEEVPALREARAAVRGAAAVAMRAAAAAVRGAAAAAGGADLADPDVPRDPRDDFGFAHRMWAVRGPFVPRRFRRMENPRQIRGVRAARLNLIEAREANPALRDVAAIQQAVDALRDREIGGHIEPILGRENNLQGENEGLARALERAEDQLNRLRQRLDRLEAVDAQQPVPPQQPAEVQPVQEPWVDQVIDEPLPQLPPIEGYEPEVIQRAEIAELVQRVIGMVRNEAHAEAAANGAQVPDHIEMPHLLNAEAIPGVPDYNQLLPIQVAPFLAELRLLELEWIQRGRDRRARAAVGVAALNPLAEPVIPDPIEPINQIANDVPIEPENVVERDLHRRAQSPIPDEPAAKRNRE >CRE23993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:173090:174096:-1 gene:WBGene00062332 transcript:CRE23993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23993 MTTRLDFTTADLITCLICIREFDTKTRKPKVLHCGHTVCEECSENLKDANSPSLSVRCPTCRQFTQRMQSQTCNTNFQLMGYLAEKEKMERERTAKGEKVKKTDQHFQFRVGRSNKMEIHIASKKDLNGTEMYMRKDVDGATHLVLAGKTGSPEEIIKFINDNGICIETGKLTPQIVHDEGRTKEAEKFAKVNSMATGQSAMKCAKPPAKLEELEFQGSFELKATEVNGSIKLELIQKGDVPKNGFGVLGGCMTKPTTKRIKELTAACEPSTSSKADVSEAPQEPLPKRRRGRK >CRE23904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:175946:178292:1 gene:WBGene00062333 transcript:CRE23904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egg-4 description:CRE-EGG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MGD2] MALNSEVMFREQITAMRLQAGRKRATSLQSFCSGNNDDSSTESMDNMEHMVDYPQQKGVSRMRTRFNSDTTLSKSFRRKVKRLAQKDRRSKQSLNGDSEDEIEVARGAPTAYAAPSKLRKSKALDCLISEKVKEDRENVGGIANIEMAKEHFNNVRMKVFAARTAMQVEPGLVVKTKKVIETKSTVLGNDQSPGAFSLHAAYKIASTPDSRVGSVTQSNKKITKEAMANLIRTSYDDTEITQDLLFSAKFDTKWKSRYTDIYIRRDENGRKPKRPVNGQGWVMPLKSICEKFGINSTFFTDHRIDLQSARDQVLLMRLLSKDQTSTWISDIHPEAVKNETMSEYLLHDLDSSTMQKRVQAFKANVLADRDRVRVAGQFYNNIRIGKRMFGAARKAKFVSTIIGGMERRFEILENSVNHIPFTHVASDANQDKCRNSRVHCKDTTRVSLEFPHNQYFGDFIHANRISGKPLFNEFILTQAPMENTVNDFWRMVWQEEVPYIVMLTSRKEPERCAYYWPRTPSDPSIQIPGGVRIENFGVYQAPDPLFRVTHLRLIGPNHEERHVEHWQGDVNNSSNMYSPLNILRLLRNTSKPVVLHDHLGISRAACLVAAEISICSLLRGPTYKVCRFLNFKRKILLQYPVQRAVQFLRQRRPFCIETPMQYVFVHRLVAFFFRDIIGSAKELDMDYERWLQERSERMFLDDIAAPIAGYRLLSPKADPDIVRMVGRPERPNYRR >CRE23994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:178840:194992:-1 gene:WBGene00062334 transcript:CRE23994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lrp-2 description:CRE-LRP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MGD3] MLSSRYLAILFIALLGLNIVKSSDDWEDHSLPSPPCLPPMRECDRLTESGPKCYPEQWYCDGYPDCQDGSDEPSTCRRPCLQSEFVCKTGRCLPPGYLCDGQPDCGRFPSGEKDLSDEAPEMCNQPLHCEQNEYACLKSAQCVPLFKFCDGKLDCSDGSDEHAMCHVEDPTTADKCEYGAAMTMDGIKCYCPGNKILNEKGKCEFLDYCAKVKNGLPPVCSQGCSDHKNGTFSCSCFDPRLSLVNGTHCVENVKASTPTIAILTKLKLYVIQNNSKRNYTSMPRPLGKPGAITLVTQHPDFPEATVPVICLTEHPTSNDSYITCGNAEGSEPATKSYAIDFELTAIRLLRFDNFGNNWIFSDGSYYIFICKHDSVRISKCHTFVAGGMGTLQDLKYDGLNGQLFITDSDYFQQGIWRIDVSSGKRYRLTTQPITAPALAVDPFTETLYYVDNTANSIKAIDYNSNYSRIVVSGRIAKKIKKMDYAEGKLIITLKDYRVFVANSLEKDSDSMLKMWREITPPNNGGPNTIIVDVTHFRKNEHAWKNKGKNICKAASCDDICIGHIEKKFSCICRDGLIQKENKCQAINGKDLKDKELLVLAQLRPARVKVLGFNSNYTTENVGFPDILPVKRPSAITFDPKGPRILMFDLKRRSLVIQKMDQSNATYHGLTGVMNCEGMAYDHTSDNLYMTDQKRKLITVQRLSNLSIQKIVVSGNMSNPRAIAIHIAKSYLFWGSWSEENADDESIPAMIERSKLDGTERKTLVSKNAMWINGLALDLKNDFLYWCDAYRNVIERIRWNGSDREILIQGVDKISHPYGLAFFDNHIFFTEFKRGAVKRVHVDFPEEVQEIFQHSATVFELAVFKNSPPNTTSPCSTSSNNCEHFCFASSCKGTVGCEPVKCGCADGMKLISNGKCVRDEKWIDPSACDDETEFTCLHSKKCISRQNICDGDDDCGDGSDEDPNAVCSKCFTSGLLDKKLIFMFPEDFKCVGHRFQCDGINCIPPSFLCDGKTDCADGTDELDHLCKKAVKQECTADQFRCSKTKCIDLLKKCNGVKDCDNGSDEENCQQKNLCGPEEFRCGTGICIPQLKVCDGVSHCLDGLDERNCDEEKCIKGREFRCSNGKSPCLDAIFQCDGVADCEDESDESPEFCKGNTSSTCSKMNQFMCADGKCLRSFQLCDGFPDCLTGEDEKECPASMCNSTTHISCKNGNKCISKQLACDGVDDCGDKTDELNCKNIRLDAANLRCKAPMYKCDSEQFECISDKQLCDGKNDCPGGDDEGIWCSFKCNATNELGCSHTCRATPSGPICSCPDEHYLDKDGVTCSKKDPCRFGQCSQHCIPHGSRHLCYCEDGFKLSNDGFSCVSDDPQKPYLIYSNRHEIRMIQSKYPGSSPMISSLVNAIALDFKYNTNGSVSIYWTDLSSDKIYAGLVDQRTILYQRTIVSYGVYNAEGIAIDWITGNIYWIDSYLDTIQVISEDGSKRGTVVNENMGNARSLAIDVEEGLMFWTDWEEANPRIERCSLAGKDRAVVWKISNVAQAGWPNGIALDTISKRVYWVDAKSDSIHTITYDGRDHIIVFRDPERLGHCFGIDVYEGHVYFSDWRTNTISKVDKWVGGNVSIIERVSTQPFSLKIVHRSKQKQQLKNMCNGFECSGICLNNGKNKASCQCTNMQSTTDDGCVDIQQTLLISTKNGVRGYSTIPPHPHAFPLVSGKQFENIRAVGSFNNRLQILDDMSTHVSLVNLTGEADDQILAVGSDLYGVSGMAIDPVLGNTYMTMSTDGIGRIEVISADGKSKKVLIDSDSLSGMRLPRDILFMNSTKRLYWFDSGTSPLTIFTMSVNGNDAKKLKVNSTLLTKVYNPSVDQFNSQIYWISQGKIVQFNPANEEIQEIEVPGGDKNVSSIAVDPSNGDILIGEFVRIANQTTIRRLGIKGIKTTQRGSVMLVPLHSSVQFFLSIVDTSPVIKRVPDDCKKCESLCLSLPSNQYECSCPQGFFMENGKCRTAEKRVFYVTDDGSVHSVGWYKDQKAKEMSQKIRAHSLHSDVAKKRIVRVVADSKKDVIYVVTRQNEVWKCATNGSFAHMVYSTSSHRIAAITIDRGTGYLLVSARESVSARGIIVLIDPERYEEGMYSTIVEDEEKVPYEISVDPPKGKLFWASAHCIKSSNYDGTEVKCIVPKSSIATIAVDEQRSRLCYMDSERAAVDCVDYDGENEQRNVAMFKAQGLAEVVSLSFNGDEMFFFDKFNSSGSIVRGLVQKDGTVVLVDSIKKRLPRQKLRVIDFDLMDTTNSILYIACSNNNGGCEHLCITTPTDAIVKKECICVHSITQEDGTCGETYSFIAFTRFTSIEFLSPTPGHLSAPHEQITSNRCVMSKIGAIAADISRRRIYFADYDKFRISAVNYDGTQCVVIAEDVGVVPSMAYDEVNRELYYVRANPASIWRIDVSDNDLESYPKEPRVVLTLTIRDRPRHIAINPCRMLLFFTNNAVTGSVIERVFFSGFKREQIVQEDLHDLRGLTIDLDSEKLYFSDSKDFKISRCDYDGSHREIVVSNSDIPSIHPFELAVYQDEIIFTDWVRRSVVGINKISGVENRTLNRATEVPVGLVVVDMEKEFCEFSVSHMFYAIKHCRRLHEKSNSTNTYFTDIDDKKCAENEFKCLHSDLCIRYEDTCDQFNDCPMFDDEDAKYCSTRVCRPGYFNCGNGLCIPEQKLCNRVNDCTNFADEANCTCSGNEFRCTSGTCIPRTARCNHVQDCNDASDEIGCPFRNCSVLNEFGLTGLINCQTTSQCIHPSWKCDGTNDCYDGSDETDCLVDFDFNKGSVSPPRSCDSETQFACLATRNCMPKRWRCDGQPDCADGSDEKDCEEKACNSFEFTCGFSKKCIPLEQKCDGRSDCPNGEDENSCETECDSKDANSTFRCTNHRCIPMAWRCDGTDDCLDNAKSLGSDEIDCAPGKTSYHVPSRCTDETCVVACELTAVVCDGIRDCQDGFDEENCASLDRQCKKNEWMCDSGQCIDSSRLCDASVDCIDGSDEWVEICSLSEPPKRGCPNGWSCVLKNGTIGCLQEKQLCDGKKDCMSGLDEKCDLPQGNCSSTRNSCEQPWNCHRHAGFETCSCDEGFHLSPYDKKTCLRSPSCPKANCSHFCIDRRDIGHQCFCAPGYILADNQRDCRRNDTIEPEILLVYGHRLKLFTINGHAKATLLSNLTNGVALDYDVKSDLIYWTDVTNSGNKAGIVSMSNQPNTYRIINSLPTKGIDGIAVDWLGRNIYYTDRNHDAIAVCDMRGRFNRILLKGTPLNDPRAIVLDPIHGLIFWTDWGASAHIGRMNMDGTSEQIILEDRTIRWPNALAVDTPAQRLYFGDAHRDYIASCNYDGTKRRIVLRKSVRHIFALAVFEDYVYWSDWHNHTIERVHKITGDNRKILIQDKQYRPMGFKIVHPSLQSMGSLKTAKHPCSQPARCDNLCIPANSPEEFTCMCAQGFRSEGRSCVSECKPNDFVCTKTYKCIASWWRCDGQDDCGDAEDEGFFLDGVCPPFPCDPGQFVCSKTAPNATAQCLYASKLCDGSKDCSGGDDEEESFCENFECTEAQFKCLDKKKCIPLTSVCDNKKDCHDGSDEKECDIKSCKPDFFACVNETTSQITKCIPRDFYCDGEDDCPNGQDEPDTCFGIGECTHEQFQCDSGKCIPKRRRCDGEIDCKDGSDERGCKKECAIVCDNTCIPVNDLCDGKSRCTDGSDEDEDACATKKLFDKPALRRCGGFTCDGIVDCEDGSDEKGCPDLECHLSSNESLICDGKIDCESGKDEQNCQSYGGYSNPLFQCSRQTVKEWQVCDGRWDCADGLDESPEMCATRKAGCFRIGFCDDKKQCLDVSTALCDGIKDCVDGSDEAPAHCRDMCRDKFKCTNGRCIDELARCDGRDDCGDGSDEDTCGLECHHFGTCPQKCWIAYNSTARCHCAPGYARTKHDLNGCEPLSKSTEMFLSNGKQLHLMLVDNASLRTVFNYKMDLIPGRFDFGYDMYRTILMYSVGVGNNRVDIRSKKWSETTLTDRWGERTDDSTAGLIAYDFMHNNVYFTESSKYSPGVLFHTQENIYISRSKNTNTRTLVVNSTGSINSIAVDPIERLLFWTTVAPVPRILSAHLDGTPLSQPFKTGSQPHKALVERNIFEPRSLVLDSPNVRLYWIDGFKRTVETVSFDGKDRRTVRKFELGDTPVSMDLLGGYIYLVTNQGYIQRMHKFTGKTNKYQQRIRNVSPRIQLLIAHPAKHTVSHITHQRNPCKSDYCPAETVCVPELDANKVLIPKCLCGAGRFFEVSTKKCLQLREKDQEASQCGDYFCYNNAACSSLKKCICPPGFYGRQCEINECSERCWNGETCAIREIGSTRNIECHCPANYTQIDCATNVCEGVCGPRGSCKTVPCTKAEPHCRSYSFCECDKGWTGPHCRHKENAKICYGHCFSGGQCDGDNPSNLSCDCKPGLTGNRCQNCNNYECFNGGFCAYAHSNTSAPHCICPSGFTGLHCENYLCKDACPYGSKCSYDLTKPLDPITCSCEKNAAAHNSDCSPICQKEPNWCHNGGKCIDMPGYPGKCKCLPRFTGPRCDVPVHCDDYCTNNSKCVETNGTHFECECKPGFKGLRCEQDTKCSECENGAKCIKKPSGTVICQCPQGLGGEYCNKITAKTCKELKCQNEGYCLESDLDVNREHPSCVCRPGFQGILCDYHSCDNFCHHDGKCTLDEEFEPQCDCYKAFFGDRCQYRVKGSSIIIINDKDDRWAKPLVIIAIIIITIVIVVGILANRSHRFAVFRQFRHNPLQNHGAPVDQFSNPAYLIDEGGIELVSQNTSLVSSHDRGSFNNPVFEQEMVPIYNDTVENHELLPNRSSN >CRE23995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:199111:200393:-1 gene:WBGene00062335 transcript:CRE23995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-17 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3MGD4] MKIETAFYSKTVTPTYDSDAIDRLRYFATVIILSACALFIMTKQYVGQSIQCWAPKQFKGGWEDYAETYCLIENTYYVNMNDTNLPTEGVRGNKELRYYQWVPFILFGLALVLYIPRIIWVILQSVIGINISIVTSYLRQNAIGGFTSDGEDIEKKTKQMQSKKKADSEKTNGEFWGSKLTVCLLVTKVFATIMILTSMGFIDYFMGMGPFYGWTVTKDLLEGRQWQESGTFPRVTFCDFEVRELGYVNNWSLQCVLMVNMFNEKLFIALWWWYVVLAVLSIYDIFRFIFRFTVHHQVSFISNILSCTGDSDISDTEVGEFNRKILRIDGVNLTHLVYANATIFEAAEFLKPLWHSFKEKQQ >CRE23996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:200829:202139:-1 gene:WBGene00062336 transcript:CRE23996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-16 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3MGD5] MLSNIKNYAATVSKLSDHDDSSIDRLNYVVTTSILIGFSLLLFAKNYVGEPMQCWTPNQFNDGWESFAEQYCFIENTYFVPMQDSNLPAADTREDREMIYYQWVPFLLIIQALFFCVPRAYWIIFPSYSGLTIADMITAARANGKKLESAEKALEQVALANWRTERQKGHGSRIFNCYLVMKLLILINIILQFFLLNSFLNTAYTFWGWGIFWDMFNGRHWQESGHFPRVSFCDINVRELGNVHHWSLQCVLMVNMFNEKIFIFLWFWFAFLLVATTCDFIVWVWRRFDKQSRIGFILDLLNQEGIDHSPQKANELYDNVLRDDGVLFLRLLDSNSGRLNSEELMRKIYNISVGSKLYSLHFLLSIPFHLTVPFSDSTNLSTPIEEEQ >CRE23905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:202629:203988:1 gene:WBGene00062337 transcript:CRE23905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-15 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3MGD6] MDQILSLVTQYTDKRHEDDFIDRLNFQYTSYVFALSALIIGYNTYFGTAISCWTPAEFKKGWVEYTRDYCLIENTYYVPIEDPNMPPERYREERELTYYQWVQFILVFLAFLFYLPYLYWSTVNWWSGLQVKAVVDEACKLDKTDVQSREKQIERIANHLKKYIDRQGRKSPIPFIPNAIGRNWVSFNYVLTKALFVVNLMAQMILIHFFLGFDIDDFLSLRVGFGSNWIANGIFPRQTMCDFEVRKKGSIQKYSVQCVLSMNMLNEKIFLALFYWLLALLLLTIWNLVSSFEHFFRDSSRKAFVSRMLSAGGHGSTDDDAGSQSDEKVPLVERPTNYTNDYNRALSINRDVVVVLHLIAKNAGDNVCQNVVSVLFKKLSEKSQ >CRE23906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:204300:206824:1 gene:WBGene00062338 transcript:CRE23906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23906 MFSFSHIFLLSITTSTLFSALSNSKTGIFSVSFFHFPTGNSEFRVCCQVGTKSENCTSYSEPRELIGVACCGSHVYNTSSQLCCEENVHNRDRGGVMAHSCCGTQPLRLDQTCCKGVIHNIIGGDCCGTDVYFQRDTTFLCCDQTLSVKTTPDDVCCGNSTFDGGVQQMCCGNSVFESSEYNSCCQLINGTARPYHSSTHVCCDGPLEKSSTVRACCYLRNEDGKFRDTQYDKTKQCCKYPYDKIYSMGKNKSC >CRE23907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:207573:207870:1 gene:WBGene00062339 transcript:CRE23907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23907 MKFFSAAAFVAALVASGNACLGGGGGGGCCPPAQPSCGNPCGGGVGPAPIGPAAYPVAPPPAYAAPAGGYPTAGK >CRE23997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:208882:209150:-1 gene:WBGene00062340 transcript:CRE23997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23997 MKFFSAAAFVTALVASGSACIGGGGGSQCCPPAQPGCSNPCQGAGPQYAAPPPPPPQYAAPPQLGGYPTAGK >CRE23908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:209599:209960:1 gene:WBGene00062341 transcript:CRE23908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23908 MRFFVIFFALFAFASACLGGGSQCCPPAQPACGNPCGGGIGPAPIGPAAYPVANAYPVAGK >CRE23998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:211105:211373:-1 gene:WBGene00062342 transcript:CRE23998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23998 MKFFSAAAFVAALVASGSACIGGGGGSQCCPPAQPGCGNPCQGAGPQYAAAPPPPPPQYAAPPQAGGYPTAGK >CRE23910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:212829:213807:1 gene:WBGene00062343 transcript:CRE23910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23910 MLKIVLVVLLAFLVQYVFKTLPNDIVATGDNSFLVSNDGGAQTVLANAIEMLTGFFKGGLVYYDGKKTQYLLENTVANGIILSRDRKTLFVSHINQETIGVFAWNQEKVSIRKISEIETLTGCDNFYIDQENNLWSGCHPVLKDAVGHLGDATNPSLFSQSQVLRFKFSSDLKTAEMVEVFSDDGRFTSASAIAATFDNGKQLLIGTVFRDLTHCDIDVPLDF >CRE23911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:214493:215793:1 gene:WBGene00062344 transcript:CRE23911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-poml-2 MLLKALFVLLLGLSVQFVFKTLLMMDINKRVYNHRPGECRKVEGPSQGSEDFEVIDEKRIAFISSGVVYLPNSQSDVTWKGEIYLYDLTKRTYKAELIPILNLEDEEGFYPHGLSHWVMNDGTIRLFVVVHSKTFKHSVVILDYDDRKQHLNHVKTIRDDTFIRPNDIVATGENSFLLSNDGGAQTELGNMWEVISGFYRGGIVYFDGNKSHYLMENHVANGISLSRDGNTLFVSHINQETIGVYSWNQEKISLKKISEIETLTGCDNFYIDKDNHMWTGCHPVTKDVLAHFGNRSDPYLYGPSQVLRITFSKGFEKAEMVEVLADDGRLVSASTIAFPFDDGKQVLIGTVGRHPVHCDVAVPLSLY >CRE23999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:215881:217241:-1 gene:WBGene00062345 transcript:CRE23999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23999 MTYCHQFLSNLSSLTRFRLHTGNVNGYTQLKVRRFETSAEELSACLDLQLSSNPIAADFKENSCLLLCDNDHMDNYERDEIKITMKVFLSAWDVQQIDQAVTSLKEQLKTKDIEVLILSFPELDLIDGESEDDEHRRWFEKVKPLYTYMEKLVETSEIASIGVSDFSARQLKEVLEHFDVKPSINHVRLDGCCQVPPELQALANDHDVQLLVHNDPTPFPTNNIFKTFCEIDSGCQKAVCSPLFETTWLSRYSVWVRKRSIMTSKGYIVQFIRKHD >CRE23912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:218703:220395:1 gene:WBGene00062346 transcript:CRE23912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-akir-1 MLKSVNSLFYSGEIMACGLALKRPLQHEYESFLTDESYNGEAKRARTQCPPFRAQMGTIAATLPSTSTFAQKFKEQEESVFQAATIMTRLSRNQLKTYLCSEVKNLRKRKALPRGNDCDDDGEQRGDGCSSSYSKAYRAPCSPKSESDSEGEAPSTSVTERTSNKREFTMANVQMICERLLKEQEIRLRNEFEVVLTKKLDEQHQQYVQFAAEQLNSKCVSTGDDYSYSYLS >CRE23913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:220565:221797:1 gene:WBGene00062347 transcript:CRE23913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23913 MQVVGLISGGKDSCYNLMCAVREGHKVAALANLYPPKSSKTDELDSYMYQSVGADGVELYGEAMQLPLYRREISGQPKNQKADYVKTDGDEVEDLYELLAEVKKHHPEVRGVSAGAILSSYQKVRVEDVCRRLNLTPLCFLWEKEQNSLLAEMIENGVDAILIKVAAIGLGEKHLGKTLSEMAATMKTLQDKYGVHPCGEGGEFESFVRDCPLFKKRIVIDETEVFIRSPIDFFSIQLFQTITHQDDPVAPVFYLRLKKMHLIDK >CRE24000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:222075:224665:-1 gene:WBGene00062348 transcript:CRE24000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24000 MMDSDDDGDRRRDKFARERRDDDSYRRNGGGGNYSRYDNKRPAGRRDDYQVKRSRGDEGDDGFDPVNRGDRSGNGADLPSESESIYSGPLLSFKKFLGNQEDDISEEDASKKYNEYKGEHKKYQLERFFRAHKEEEWFRLKYKPEEAKKQKEAHLENVQKRLQIFNELREQGQFDKFTLDFGDAEAIIRMLDSVVVKLENGSDEELKAVLSQKLDDESLADVKKESNGSDKTEEEPAAIVETAPKEELEEGAIEDGTEKASNKVNIHKTCSVFLRNIPPGLTYEELENLCKRSPGFLRLALTDGIAERRFYRRGWATFKRDVNIKEICWALNAHRLRETDLNAIINRDITRRVRTNNGIAAHKQVAVNDLKLAVKLTALYDKKIGLFNAADEPENDREMDIRMGVDLVGASTNPVIKEVKSLVPKDTLNDISEEEAELLGASNGGDAQPEKVRYERDDAILKALDLLIVYLRIVHSIDFYNHGHYAQEDSMPNRCGLIHVRGQPPSGASITTDEDGTLVVPQKFVNDFISGFNTRIDKGLIEKQYVSEEELVKMGKKDGEKEVEAFIMKNTVELAKDKWLCPLSGKKFKGPEFIRKHLQSKHEDKLEEAKAEAEFFNNYLADAQRPVDLEPKQISRDEHRGGGDRGGYGREREDDRGAGGGRSSFGNGSYERRPQFPPRHSLGGRGGRYFDDAPRRQPVSYRDLDAPDDIP >CRE23914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:224930:226919:1 gene:WBGene00062349 transcript:CRE23914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23914 MSDISLDYEGSSNSSRASSRENKKRRSRSRSDSGCDDKPMWKSKKSSDRSRSSSRSSRSSSRSQSSSPAVRSPSPKRKREPIRAPSPSPQRKHRDSPRRRSRSPENRRYRRSPSPQRCRKNSRSRSRERKRVSRSRSPQPARRRPYRSRSPPPRRHNSRSRSPPPRRQQRSRSPPPARRRDSSRNQSPGGRSPSRRNYRRQRSNSRSPLENRRDAEERKRDEMWMARRTERVDIQAAGLNSIWGHSPSQAEIQDTYKLRVAAEEILAEHIKKGEELREDRRKAKKREMRAVRNVSEDDSSTSSESDDSDREVRKKKKVKKSKKSKKDKKKDKKKGKKMSKEKEERRARREQRKKERAELKRKINNRSDTEEEWEEKQPERISGTIGTWKEGSMSPTPILPEHIKQRDQGGAFEIEKPGNYGKDLLKGEGAGMAAYAARGERIPRRGEIGLSSGEIAEYEKVGYVMSGSRHKAMEATRLRKENQILTAEEKRLLSGVSMEAKKKKEEAVLDQFRSLIRNKNMRN >CRE24001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:228237:230100:-1 gene:WBGene00062350 transcript:CRE24001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-44 description:CRE-LIN-44 protein [Source:UniProtKB/TrEMBL;Acc:E3MGF0] MRALFLDFYFRSTALSTFFILCSLATNEIPRGGKIVQPPKPNILKQGCPSDLLHSRALRAIQLACRSHPSTVISAFEGVQEGLQNCANRLRFQQWDCSEAGNIMHDPPLLRQGFRESSLIWALSSASAAWGVATACAQGWIDDCACNNHMGQNEYEFGGCTHGVQHGITASRKLLTKVGAVNSLLRKVEKHNLKAGRLAIKKTLISSCKCHGVSGSCQQKTCWKRTATLEHITDYLVEKYARAKLYTDDSVVKTTDLIYLEASPDVCKVVLEQLSSVQLIFLQSKSVAGRVCAWRNETHTQGDCDRLCCGNGFSIRHEVVRVKCDCEFVWCCNLVCKDCIQHRWISTCNGTPPKSLIFKK >CRE24003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:233932:236448:-1 gene:WBGene00062351 transcript:CRE24003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-delm-2 description:CRE-ACD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MGF3] MNSSISSQYKNEGFENDDKYLVSFTAGSSADSRTPSPASTPQCNLRYNESRSHMIIEVPVSQLRKMKKAEGTVSVNRETQHFCETTTMHGPKRIFKGKRWATLFWFIMVSCSLGLLITQVVILASEYLSKPTVSDVSFLINEDGMEFPLVTVCNLNPIRKSYINEMNKTGDVYPEMINYLMQWFTEIPTLLGTADRQTLHQGNEELGLYQKNHPDFTADKFFMEAGFECTEVIKLCTFQGELFDCCALATPILTPLGKCFTIDLSKSPKSFMHKQTEPGIQAGLAITLDAHLEEQFDGTSNSMEALFTNSFVDGFRYFVHPPNTVPHLASDEFTVSPNSVAYSAISSDRVSWNYVLLPSHQWGNCTEDYPSGIESNLPYSSGNCLALCKAKYYSDHCGCTPALYNIENTTKECTPYETFTCVDTKLSHHTQGRLEFQPPSCTRCAQQCNSLVFRAYNSYGSQFSAGAFNWLRAKNSNWTVGHMKANFQMINIFYRDMAYTEYNQVQDASITQLLSDIGGNMGMFLGMSVITITEICLFFSKVFWIGFSKKRRGYMYSKKISEKTHEREVCETVEKMKVISFHGNLSTLANTTGGASFKNIPSNENVEFRINLKDLADQLDSDSGYSRTEEGKSRSSIQRY >CRE23915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:232066:233686:1 gene:WBGene00062352 transcript:CRE23915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23915 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MGF2] MSASNPTPPPPHANSTAPAAPAAPLPTAPPSTPPPSAPSSTPPNAAAAAPSQGRVEPCEANRWTVLEADEMSFKLSDMIKKLLTKGTAKAVKFDFKEVHILLNRVMKYYQSPPEKKGLAAVPPPPAGSVSATTSITPPKSPAQPLLELQAPVNICGDTHGQYNDLLRIFNSCGAPTKHQYLFLGDYIDRGRHSLEVIILLFALKLAVPKKVHLLRGNHELKAINKNYGFFGELKARFRTGVQQAESLYIHFNQVFSYMPLAAIVSKRILCMHGGISPHLRSLDDIRAIPLPLETAKSHLLACDLLWADPEKTANGFEPNKIRAISHIFGKKEVDELCKRLDIDLIVRAHQVVEYGYAFFADRRLITVFSASRYQDELHNYAAVVIVNRMLELSFVQLKPEEFEKRREEQDKAGGVNQEQVTANTTEK >CRE24004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:240274:244479:-1 gene:WBGene00062353 transcript:CRE24004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acd-2 MYIDIPRPSSLPPDSDKEPPPHLSGSDGCEHHDTVRTLRSLKGWGSSTKHLANQLVIEVPVNSYKHVKKIKGVGSAHRETKHFSSTTTMHGPKRIFYGKGVARAFWVLIVGLALAMLIFQIVVLLQMYFSKPTLSQVSFIVNEGGMDFPAVTVCNFNPIKKSYVRELNASGDLTGATLEYLLQTNMDAMFVFSNLDRNNLKQTHDEAETYFQNHTDFQIIKFLRTAGYDCGEMFLTCYFGGRRFDCCKYMKQKVTSLGKCWELDLQNLAPEWMRKQISPGSESGLQMIVDAQLEEELRGEDGDANAIFSDIYENGFRYYIHPPGANAELTSEGISVSPSRTVYSAIKTISHNLLNRKNWGNCSEHWPERYDTFLSYSASACRALCIAQYFNDTCGCAPFTYNVDGKKKICAPYESITCMDNHMLRKLNGTDYLELPDCTECHMECQSTSYTSYNSYGDGFNRGSLEWLKKISNKTETHIKNNVAVINIFFLEMFYTSYSQVQATSLTEILSDIGGNMGMFLGMSVITITELTLFFSKIFWIMFSKRRRQYMYSKKAHEKEKEQQLDDAVKEFQERRSRRNSRENISALSNYSNRIVPLEEFQKKFAYKNGNSMDNLSSTSLDSVIELKFDINELRRQLNQQSSDGIARIRLPTQTTRPNSTNMDNYSSGPPIFTIEPLSRKSSQTPSPPIRGPFYQSSK >CRE24005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:247095:257412:-1 gene:WBGene00062354 transcript:CRE24005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24005 MRLDVRVEGEPFPELKWMKEWRPIVESSRIKFVQDGPFLCSLIINDPLWRDSGIYSCVAVNDAGQATTSCTVTVEAEGDYNDVELPRRRVTIESRRVRELYEISEKDEKLAAEGAPFRVSEKATGREFLAQLRPIDDALLRHVDIHNSLDHPGIVQMHRVLRDEKLALVVFDNANSTIDGLSSLTHPGVSIAELKGVNRETCVRVFVRQLLLALQHMHDLRIAHLDLRPETILLQDDKLKLADFGQARRLLRGLITGEIKGSPEFVSPEIVRSYPLTLATDMWSTGVLTYVLLTGLSPFHGDNDNETLSNVDRCQYDSSPLGNFSYDAGDFVQKLLMEIPAHRLTVAEALDHPWLNDEKLKNEPLSADTLREFKYQHKWLERRVFVQQTPSEQILEAILGPVTARAQQNEPVAPEGRRPAEIYDYLRIQPRKPPPTVEYIPKPKKEHPPFIDEFGQLIDGDAFDKPEGTGFEGPIRRQPTVPPQPQRPNQPSHDSRRFEQPGQPQRTPVDQYRRPIDPRYLNDPSHRPSSLDDAPYYVDKYGNPIHLDEFGRPMAPQSLEKRKLIPQDKGETPSRSKKEKTQIPVSTPILEPTNNEQQQQQQQKIPMRMIRGERREIEEEIANRILSDISEEGSIAGSLASLEDFEIPKDFQVEASEPSTPTLTPEVTIRETIPKPTPSPSTPQKSPVPPQEGTVIPPKVSYPDTVLAGLPEADKKILEDAENDPSIPVGAPLFLEGLHGDLTIDTTSASGLIKVTSPAVTLSPIPKSPRRSTPGTKSPVMLSPRQEHSMEVLIATKRGKPGFLPPGELAGEIDDEDAFMDDRKKQVKPKDHDGEDDFRDEKERLERDKNRRAVNLDDLDKFRPGAFYKEDNDFGHPGYDIDDSPWDSHYQVCLFFTECFKCCSDFQIGPDTYLMAARGAAFNSRVRNYREELFGVGAPTVKQGFLGVRNRDITVRERRRYTDILRESTQGLEPKSHEHSTALLQKAPSATAIERIKADIEKVTPCATKKNEDGTFAPIFTSRLRDVYLRKNQPAIFECSVASSPSPKVVWDFQGKILESNDRIKIEQENNISRLIINNAAPYDLGEYVCSATNEYGTDKTSCRMISGETPSRPSRPEAELSSDTEIFIQWEAPEGPTYLEGITYRLEYRVAGPNDHGAPWITISEKIDDESVVVKHLSPLGIYQFRVTAQNGFGLGLPSLSSRIVQTHGKGAPKLQIDVLKSEIRLNVVSMPQKSTSQLGGISEESEEDSEARTANDDMKSNMQLQTSDPLGRFQIGGVRFKGRFSIIRDAVDSTTEGHAHCAVKIRHPSSEAISEYESLRDGQHENVQRLVAAFNFNNFLYLFTERLYEDVFSRFVFNDYYNEEQVAMTMRQVTSALHFLHFKGIAHLDVNPHNIMFQSKRSWIVKLIDFGRAQKVSSAVKPVNFDPKWASPEFHIPDTPVTVQSDMWGMGVVTFCLLAGFHPFTSEYDREEETKDNVINVKCDPNLIPVNASQECLSFATWALKKSPVRRMRTDEALSHKFLSSDPSMVRRRESIKYSASRLRKLAAMIRQHQSTRPVSDELESKYGN >CRE23916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:260757:261672:1 gene:WBGene00062355 transcript:CRE23916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-89 description:CRE-CLEC-89 protein [Source:UniProtKB/TrEMBL;Acc:E3MGF7] MRLPEAHAYCHKLIPDGSAHVLRVECGGENDYISGLVKGHSDKVWIDARARFDIVDGSAGLFGPGFVYRWPNGKMVRYSNWADGNGLEEIGTSDKCVFIKNDGHWINANCSSTAAVICEKKLHRPYSKFCPKHWVYNKETQSCYRTISKTNMTILEADNKCFDYGFEHRQDAMLTSIQSESENQFVMNLAKERDANFEFIYLGGYGRSRNGNKWHWMDGSEFNYLNWDRGMPFGRRALAVLVMNKRGKWINHYADKILSQYNAVAVCKFKS >CRE24008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:277588:283477:-1 gene:WBGene00062356 transcript:CRE24008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24008 MVLKTLYIIELTDTEEGGLELVDPSWCPEEGGPPRKKVKSPPVISPTGSSTSIYSGGSSSIDWTTTGTTLEMQGTRVTRTQYGFRTLQESSAKMCLKVTGYPLPDITWYKDDVQLHEDERHTFYSDEDGFFAMTIDPVQVTDTGRYTCMATNEYGQASTSAFFRVLKVEKEAAPPAFVTKLQDKECKEGDVIDFECEVEGWPEPELVWLVDDQPLRPSHDFRLQYDGQTAKLEIRDAQPDDTGVYTVKIQNEFGSIESKAELFVQADPDKNHVAPEFQATIEDVECDEGEEVRFKSVITGDPNPEITWFINGKPLSESEKVKFISEDGICILTIKDVTRHFDGMVTCQGSNRLGSASCDGRLKVRVPPAPPTFNKPLEDKTVQEKNTVVFEVDVSGWPEPTLTFTLCGKELKHGEEGVEIVGHDGFYRISIANTSIDKHDGEIVAKAQNEHGTAESRARLTVEQEEEESRSAPTFLKDIEDQTVKTGEFAVFETTVRGNPNPEVTWFINGHKMDQGSPGVKIEAHNHDHKLTIDSAQYAGTVLCRAENAVGRFETKARLIVLAPEKQKKPPKFVEILVDKTETVDNTVVFEVRVEGEPKPTVTWYLKGVELKQSDRIEIREFDGSIKLQIKNLKIEEAGEIKAVGTNSEGSDETRANLTVQKKPFAPEFDLRPKSLTVEKGSEAVFTAHAFGIPLPTYEWSVNGRKVRDGQEGARVTRDESTVDGASILTIDTATYYSEVNHLTISVVAENTLGAEETGAQLTIEPKKESVVVDENDSKKSTSEVQKSSESMKQRTNILVGKTDRFYST >CRE24009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:284089:294013:-1 gene:WBGene00062357 transcript:CRE24009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24009 MASRRQKQFDRKYSSYRKFTATEDVNYSTHSSRSSYRSESVTSRTDGRGRSTSSEVIAGSESRSYPVYIAIQDYTPDKEDIEAIPLEQGQIVEVLDKKNSIRWLVRTKARPPRSGWVPGSYFETPTEFYKQRRRTREIENVSLSDEQAALVKRDQVYHELLRSEEEFVSSLRTCVDDYIKVLDDPEVPEAVKKHREELTLNIPELYNFHANVMLKGLNYYSDDPGKVGQTFVRLEKDFESHVEFYKQYADTLKLLEEPDIKRFFEGLSAKNDAGASSFIDHVKEIADRMVQYQNYFKEFVKYSARAHGSSKSIQKALELVTTIPQRVHDLEFTNNLKQHPGDTGKLGRIIRHDAFQVWEGDEPPKLRYVFLFRNKIMFTEQDSSTSPPSYTHYSSIRLDKYNIRQHTTDDDTIVLQPQEPGLPSFRIKPKDLETSEYVRKAWLKDIAEEQEKYEDTVIQMFSFTT >CRE23917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:297652:299634:1 gene:WBGene00062358 transcript:CRE23917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23917 MAKKPTRLTKKRKRSSCAPKQNEQEIADTNVYEVESICGQSIQGNTIQYEVKWKGYSEDENTSEPEFRLNCDDKIVNYVTDLIDCTNYIEKIPKSIEALDKLKANKKAILEDIAPIAYLAQTTVHGGVVKFAIVDTISNILKYSRYIISSDQLYAMYAAYVPNDEKNENVGNVRKDMEQWQMELDRLQIHFADYPKLKIQVPDTIRSVFYLPPPLSHLLFEPVTEETFEKRKVRMYHFPPKANQLSFHGIQMFIKPSHLDALEQNKFERAKETDRLDFRKSPRFRVPMKLSQHYARGYILTLGEDVEQNTPLMLMAGVIRPQAVANQCLKNDGERVAFSSFIEIPNSNMCLDRREFHDFSKYIPHSCEPTCGVRLVNSGAEFPDLVVYSLHAIDASYSHAITLDYYKMFQKDVKQYFNKNKPSSGKIFSLYDQGIDFLHCQCFMEKCPEVLYIARSKEECTPPLKKKAKTAKNQESLDFGGLKLADSDNTYMIIDGEFEE >CRE23918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:302195:327062:1 gene:WBGene00062359 transcript:CRE23918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-73 description:CRE-UNC-73 protein [Source:UniProtKB/TrEMBL;Acc:E3MGG2] MTMKAEDILHVLRDGIAILHGGRCRAGQAVVVCPPREQVVNQDHLRNVLLYLFEITAKDAREKGFLVVIDMRGKQTWTNVRNILKALTNVDNSSKIQVFIIKPEKFWEKQKAQMSLGTWDFEVEMISFESLIKIIDASQLPKSIAGGSYPYDHDEWLEIRIDLEKWIWNVTEIMETLESVRRDICQGENPIDVETAEIALKKSQLAKKNIFNIPVDSIEAEGNKIVNRILQPSKGVKNPDLQATTPYVSNLTDSLRLLKGDVHKNWDSRQAELVKVYHQKLFERDVEIMIESLAPYKRKCERSMGDVGGCVSDVNSLAEDFEKFQLAVKGMEVSVKRVFDQGNHLRTSGARNQITDHVTEQLTIEWRVVKELMDRRSTILHNAREFFKSAQCYFAEIPSWTAQPGVNPNDVMFSQESLEIAIRTHDSFWAQVEEIYAQAYDDALNLMKSLKESDTEDNVAKEHSGRLQRAHKQLGEKWKERQVLLHHMLAMIAFETDVKLVVDWLQLHGEPYLRRNIHIGENMNQARTFQRNHTNFQRVAANTYGNVQKLRRVYQDVTNSGSQVCDVNKMQELMTDLTAKIEKFTTIEQSREHLLRQSVLFHTHYTELTDWYAKMREKYNDKRIDLTVQVCDKNKERFVLETDETAQAYAMTIDEGKTLVEMMHKATRSFDVDYAASIAHIQILISDIEEKNTLISSEWGPRRTLLHIASKFAMFEQNKFEVLEQIQGWEEDMREMIESPSFHEKADNVMPYHIENQEKVREAISSIQKAAMELSQVLYSHKLTDLRDKRDRIVLEAIRGHVRELELSETRVMSYANETSSRINAVREVVDLRKVSDGVCRLIDTQLKALTTLGVIPHDYSDTVQKQDELRAFRDAVTNRLRDPYDNFVVRFRELMENPLANRDVVVQYNEVIQTKYRRLMALCEERNKLLKSAHGCYKTYETAVLPILNQLESEYHSPTVTDWCAGCTSTVHADRAAYIDDLLNKHDDYKERFGKGCTYALRNGDFLLRYIKRANVAPNERKRHENKIADMKNNIRERQSNILELWLQKKALLQGCKLFICIEARAAQLLEFMNGEGNDKLKQFEKRGRGELNDDDDEFAKFKSEVKQKKTDIQTFLMLCTDDSMSRGVHTGQIDRCIEQVKEKFNQFSRRVGDCEVVLRGENGGPSTSKDEFSLDRHSDTAIFNERTINQQRAENRKTLEPMRELIQSERDYIKDLERCVNIYVKEFDQAVKNGTVPTLPKYEIFGNIEKIFQFHHDKLLPELVKYENQPEAVGASFTVWIDLLNELYTEYCVNKEQKNYMLATPEAVAFFTGIREKHGLEINNEIASLLIKPVQRITRYRLLIEQLLKSCNDKAYDLKEAYEVVCSVPRKVNDLIHFNCLELKNCNVDELGPFVTQDTLTVWEPRAYFKGRGKERQVFLFDLSIVFAKRLEISPKNFKYVIKGKPLPLSEVSIVEHVEGDSCRFGLRVGTVSSNDNRTDLKASNENTKVKWVLKIRELTTGMLPLGLGVSPALSVGTLSSARSVSHRSGASTSSGGENRQSQDVESLLAHRYSVHSVDSEQSSEVWIVTSDFDGNAEGHLTVRKGDRVEIVEDQATDCAEYIQVVLCDQPSKHGLVPVSIIVPPESGSVPDRPDDTNASGSAVSKRKSLRRIFANSSKERASSSNNNSPATRQSTSTSSPVTANGHTAEPIGVLSSGESSTAGNSNSTPSASTPTHRATPSSSPTVVPVVVSEEEKVEDCLPPPMENITINNSMEEKDMNEESVAASDVAEPEVPEKAEKTPEETARFKRSYVLMELVETEQDYVKDLTSVVEGYIGNLEKMDLPADLVGKDKIIFANISQILDFHKTCFLKEIEKCQNNYEAAGAAFVKYDRRLRNLYVTYCQNKPKSDYLLAQDDFEGFFADTKAKLGHKVALCDLLIKPVQRIMKYQLLLKDILKFTERAKDRPDVLKKALQVMHVVPKACDDMMQVGRLQNFDGNLNAQGNLIHQGTLQICESVAGNTQKPKDRRIFLFEQSAIFADHIPPKKDFGNPTYIFKNQIMVNKMVFEPHVADDPLRFVIKSSDPTQPTSFIANAQTQEEKDEWNKKISEQLDQQKRLLAALVDPKRFMGGNDDMSGGMGNMSLGGNDKKASTSGSDKKASTSTAKPSSSTSKKSTESPKKESKSKSLFSFGKKPAKSPTSPPPLEAAASGKFNKVTDDQVNLDTDEKVQVLEVKNGYASVKKADGTIGKCPNYFLTMSEIPGNNFAEQIQYRREWQRRVDETEVEYGPSAFDVTTSSAENFDVLLELSTCERPVVVEEMKDLEVVEGDDVEMSPVISSHTDFNVVWHGPAVDSKRARIQTNQLNSRLLIKKVQKCDSGAYSVIAKNSFGVTSTVAFLSVIAVPEPPTEFTVKVTGDHEVRLKWKAVTGLKYCIEYRALDGLSSENWQIASTNIEKTHVSLRNFARNSYSFRVFAYNQRVRSAPSNCICVHFDGNLKENS >CRE23919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:327314:327678:1 gene:WBGene00062362 transcript:CRE23919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23919 description:Cyclin-dependent kinases regulatory subunit [Source:UniProtKB/TrEMBL;Acc:E3MGG3] MDDIYYSPRYEDDQYEYRHVILPNDLAKQIPRDKLLTEGEWRRLGVTQSLGWEHYMIHNPEKHILLFRRQRNFTKEDEERAKERLLRELDAYERFRRNQMGRLNYE >CRE24010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:327869:328870:-1 gene:WBGene00062363 transcript:CRE24010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24010 MPSQKKSNPTEVHIPTSRDLVQRTLVFRNTTGKDFILKLVASNEAVSFPTNVFRFPPASHRVIQFRVNSSKISQWDKTKLTIKGFVLPVYAKNLKQFIEQKATAGTTCQEAFSLSVKFTDQFSAPQTVINLPGTATCIESTDHPVDVEELDTSTAINIEKDVTTAAPIGSMMGFVEEYKRGQKNKGCWLTNYVCGGPAAEKLPEKQSMRSRRSSRSSKSGASAKSCRNQARKKNKDVNVCLEATPCGGSTIQA >CRE24011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:329737:330830:-1 gene:WBGene00062364 transcript:CRE24011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24011 MNHRMPVGPFTRQYPQENQYYGGMNQRERNYSYDMRRQNEQMNRQLRWEQPNPQPFFQKNQPPSWADVQGPTGSGVNPRHQHHQSPTKFDFPQAESWGEVQTEQDGNDDIFSTMTHWIPPSQWKKANGQRQIQNQNQIQSPIFHSPPAPAPQTPVQKDDVFENMCEWKVPSASQMSIDLMTPSFPVYSNRAHSLESPTTSMESSEEKNSWKTLEAILNPKSSRSPSVSESEFSTQIDQIGYWNTSNLPQF >CRE24012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:334814:335610:-1 gene:WBGene00062365 transcript:CRE24012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpn-3 description:CRE-CPN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MGG6] MANRTTAGGIGFAVRQKQESKFIKEEAALLLEWIKKVSGENISTSGERDNFHNLLKDGTLLCKLANGLEAGSVKKVQKPISTFACMENINAFVEFAKKQGVPNEETFQSVELTEGRDLFSVCITLLSLGRILQKAGKSHPF >CRE24013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:336707:339850:-1 gene:WBGene00062366 transcript:CRE24013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aars-2 description:Alanine--tRNA ligase, cytoplasmic [Source:UniProtKB/TrEMBL;Acc:E3MGG7] MKHLTASEVRSTFINFFKEKKEHTYVHSSSVIPHDDPTLLFANAGMNQFKPLFLGIADPNSDLAKLKRAVNTQKCIRAGGKHNDLDDVGKDVYHHTYFEMLGNWSFGDYFKKEIITWAWELLTTVYGIPSERLYVSVFGGDEATGVPADSEAREIWRSVGVPDERILNFGMKDNFWEMGDVGPCGPCSEIHYDRIGNRDASHLVNADDPMVVEIWNLVFIQFNREEGGSLKTLPAKHIDCGLGLERLIAVMQDKTSNYDTDIFQPIFQAINKGSGVRAYTGHIGDDDKDGIDMAYRVVADHIRTLTIALSDGGRPDNSGRGYVLRRILRRGVRYATEKLNAQPGFFASLVPVVISILGETFPELSRDPETVMDIINDEEKQFLKTLSRGRVLFQRAVDSLPAGTKTFPGDVAWRLYDTYGFPADLTQLMAEEKGLSVDLTAFEEARKKAIETSSAGTGKFRDTLDLDVHALADLQQKGVPTTDDTPKYAYSFTGEGADAVYKFEPCVGKILAIRRDGKFVDELVAGEEGAILLDRTNFYAEQGGQIYDVGVLTKVNDESNEFNVSNCQVRGGYIVLVGSSEGNFKIGDDVNQRFDEDRKQLIMKNHTGTHVLNYALRKVLADSDQKGSLVAPDRMRFDFTNKAAMTSEQVKQAEEYAQQLINTKGQVYAKNSPLADAKKINGLRAMFDETYPDPVRVVAVGTSVEQLLQNPDAPEGQNTTVEFCGGTHLQNVSHIGRIVISSEEAIAKGIRRIVALTGPEADRAIARADRLEARLVEESKHAKNQELLANKDKFKELQKKIQEIVDEANGALLPSWRKDSLRKKAKDVQKDMDGYSKAQQAAVAEKVLGEAKELAAASEQPAVLVHVFTSNANSKAIDNALKLLKDTKAVMAFSVNEDTGKVLCLAKVDKSLVSSLKANEWVNEVCTVLGGKGGGKDANAQLTGENVDKLDAAVELAQKFALAAIN >CRE23920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:340702:342701:1 gene:WBGene00062367 transcript:CRE23920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23920 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MGG8] MGTQEEEFSARGHDKKEEKTVDNRKKGARTVDQNEKEPKTAVGKKGDKCGLKQSPMSPATPTPKGTPNKIKCEATKNEASNKGKKNNSQMEQLKDRSQMSTYGSANPKTTTHDFQWLEEYITKMPCFHGFIGREDLMCLLKNVGDYLIRISVQANRQEVEKRKKNQQDVKVLVNLSREKCAKKEKEREAKEAKLAAIGDVGRREFVISVYCKDKGTQSPNKPVYTPIRNLVIKRDNGLIHVEPLKKFKTLPEFFAHYLKNTGICKEVFNLFFKEKRLETVNIFFQTDFQLLNPIGLSNWEFVHEDVDLQAKKLGEGAFGEVRVGKMKIKTTKKMVEVAVKMLKNSDVVTREQVSELLHEARVMRIMDHKNVLRSYGIAVVREPLYLMTELCACGALREYLDENKDTVTLAEKLSFVLGAARGVEYLHSQRTIHRDLAVRNILLSEDKTPKVSDFGLAKVTDRYEMKEKCKIPVRYLAPETLEIFIFTPKTDVFSFGCVIWEIYENGHQPHDGKNAQTIRNLTKKSQFLKLTNSAPAELRKLVGEKVFTADPENRCSMTTIVQCVENIEKPAAGAK >CRE23921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:342989:343522:1 gene:WBGene00062368 transcript:CRE23921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23921 MNFTGNGMTHKWGSVRQETIRKENSEDLQSCIDVGQIMEKVQRDNYIRQQKAIEQTEGVSNNLMNWKKSNDMDMQSLENQMELLKKRREQIRQNSTEMTILASQLRKRLNQIDEMKNESRQLFRDEEEMQS >CRE23922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:344615:346469:1 gene:WBGene00062369 transcript:CRE23922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23922 MTNGDNVSIVTLDPVADGIKSTHTVTIYPEALVNAEPTIEAPSPPPLPPPIQKSAIITLDPTNILQDEEPKIKKEKQKKKNNNKNDKNEKKMYDSPRYQTTTTVVETTEYISNDGPAVRIEFPRLDCEYIRTLGGIMKIVCIVLCLLTFLFVMMGPAYYTGVGWATFVSSVGIFVTTSLLTLYLFRVVDSLPSINWIVCEMVYCFAWAVFFFIAACVLAVASAQFKGTFAWAIAAFFGFGAMCAYAFDCYLKFLSWQNNERATGGSNPVVVQQQRRNNFV >CRE24014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:346986:347771:-1 gene:WBGene00062370 transcript:CRE24014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24014 MTAATANGNHAIDDPVVVKVQSASPIEETTLFEELYQMECFRTGEFYLKSGQMTPIYIDLRRIMSSPRVLRMAAQAMCDKLVAKNIKFDYVVGVPYAALPLATLVSDILNTPMLMKRKEAKAYGTKQLIEGVYQPGKTVLLVEDVVTSGESIRETAEAIRKESLKVTDAIAVLDRQQGASANLAEDKLNFMSFLTMEGILDGLITKNEMTEERKHQIIEHLAKPF >CRE24015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:347957:348795:-1 gene:WBGene00062371 transcript:CRE24015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-6 MPFYEVSMITRSLSKADLVKALTRAGNTLLDHGAVIEKVESLGHRDLPYKRLAKQTNEPVYASNFFLFKAHMSREARQKTKSILTHDLDTVQVDLIQVDTLPALKVECNLEEILKAPAERQAVSDLRENQKMGHFTRQMIYKRTEKEWKSIPKSYPIAPPRP >CRE23923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:349307:352216:1 gene:WBGene00062372 transcript:CRE23923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-8 description:CRE-RPN-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MGH3] MAPTNKDGGVATAKAVDVLKQKAGAHHCLGNVHANLPVNKVTVHPLVLLSVVDHFNRVSKTQSVKRVVGVLLGSMKKDKTLDIGNSFAVPFDEDDKDKNTWFLDMDYLESMYGMFYKVAAKEKIVGWYHTGPKLHKNDIAINEQLKRFCPNPVLVIIDAEPKNIGLPTEAYIEVQEVHDDGTPPIKTFEHVPSDIGAEEAEEVGVEHLLRDIKDQTAGTLSQRITDQLMGLRGLQSQLESIEKYLHDIVRGSLPVNHHVIYYVQEVLNLLPDVTHPDYVVSQNVQTNDQLMCVYMGSLVRSVVALHNLIDNKIALQKAEKEQETSESDKKKEEKDKKEKKDEKKDEKKDKDSKTSTPNTPKK >CRE24016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:352412:353671:-1 gene:WBGene00062373 transcript:CRE24016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24016 MSKFVFLLGVPLLLSSSVIYAEDDFVTCYSVLKLMNANDGSRLHSHDVKYGSGSGQQSVTAVKNSDDINSHWQIFPALNEECNRGDVIKCGDKIRLKHLTTGTFLHSHHFTAPLSKQHQEVSAFGSESESDTGDDWTVICNGDEWVESEQFKLRHVVTGSYLSLSGQQFGRPIHGQREVVGSDSITGGSAWKVAEGIYIKHQQKDL >CRE23924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:354011:356883:1 gene:WBGene00062374 transcript:CRE23924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-suco-1 MKLKQLLIFCVLLVLPNIHANQDVSFVKHWKDILLTDGDNGSMCYLSVDECTRAAPYNITKKVIKTSGNTPSKAIHFQIIFSVNASEKESVPEKSIESFDEWTKKRRDAVANQNGQHQKTVEPTPGTTIRHDEVVISLPSISRPARNFASRECGAKVIAANLEAENAKAVVNEKDVDDYMRNPCQSAKEKFIVIELCEAIQIKKFAIGNFELFASRPKTVHVFISERYPPLTSWVSLGTFNLQDHHKQLQTFEVPNTNIYAKYIRINLEDHYGKEHYCIVSVVNVMGSTLADEYDKEEAAAHLLNVIDEKKDEPVTTPPPSEQKVQTQLPVPPKSSNQNNASGVKAFNFRQLKSICSQCSAGKVSYLICHLLPRQSKPIKLNPTPKPFSAKPPVTENKNLTVELGLWAERSRQSNFEQSRRRNMATIQRLLEKKNALEPETFPPSTMTFTEPSLSKIENGEKPAEKVAVPEEAKSSSQPAVQPPFQEQPPPKSKTEHILPAGGSTSQREMVLMKLSKRIAAVEMNLTLSTEYLSELSKQYVSQMSGYQQELKETRKASRKSSQTVEAVMHSKINNVKRELRDLRHSVYLLQQLENNRYKNAQNEMSRNVFMSSCHISSNVPPSPTLARLPLVIPSINSKFENFTNFEERVKKIYQTAKSVMFGSITWNVSRWLKTTEVLRKKIQTDHLIVALISFNVLALSFLFAGVFYIHRRNKERCEETQVIVKNELRARIAKIGADNRKMISKGMRRAELAVTAAVSSALKVEKTSSNRKTMTELETALANLFAAQQIRIEEQFEQNQKILRDALTTGQRSSADDTLSMEGSESSSETEQSKEDTPTFNQD >CRE23925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:357393:359449:1 gene:WBGene00062375 transcript:CRE23925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23925 MREGRADSNDISNRPSTSQEPAEMFDIKKSPNKYKNIHFGNTVLQQLGNLRNQDVLCDVTLVCGWKRVNAHRVVLSSCSSYFLSMFTSQMSECYMKEIPMEEIEPPTLEALVEFCYTGSISIDDSNVQDILPAAGLLQLHEVQSACCEYLKRQLDPSNCLGIRAFADTHSCKELLSSADEFALKNFSSVIGKEEFLLLTVESLTTIIRSDKLNAASEELVFSAVIQWVRHDIPKRKCHLSMLFQLLSHVRLPLCTPKFLVSVVSEEILVKSDPASRDLVDEAKNYLLLPVERPNMQGPRTKPRKPLQVAEMMYAVGGWCSGDAIASIERIDPIKGGTTWKCVAPMGKRRCGVGVAVLENLLYAVGGHDGQSYLNSIERYDPMTNQWSSDVAPTATCRTSVGVAAFNGSLYAVGGQDGESCLDVVERYDPRKNEWTKIASMGSRRLGVSVSVLNGCLYAVGGSNGPSPLNTVERYDPRVGKWEEVRPMLTRRKHLGTAVYDGHIYAVGGRDTTTELNTVERYSAERDEWQPVVAMSCRRSGVGVAVVGDKLYSVGGFDGQTYLKSVEVFDKESNRWRTHSQMTYRRLGGGVGVVRMTDIPTHGMDASTKRRD >CRE23926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:360950:364162:1 gene:WBGene00062377 transcript:CRE23926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppw-1 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3MGH7] MENEIANLVISENPSKTSAKLGLVPLAAKKPRNADRGKKVTVETNIRKLSIAPNQPIFKYAVEVNFVYQKPDGTECTIEMSKSTKKGTEHDYDKIKCQKVYEEAVGRYEALRKGGPFFYDRQASLYTLTKLQLEVVEINKNSYISSLQNIAFDVTQGISKRPNFKKAQFILKKVDESFQSTSNDIKKTVNPCPANADRTLLEAMNMVVSGPAFENKNVITVGACVHYLIDLKNIDVQSKFYEEGALYSGVGASKSVKTLEGSDRNAPSIFMTTEMKTTLFHPDDWPLLDLLKSYRGFTTNLKANTPAAQRIEKALVGLDVQLNYGPHVGLGADGIVMKIRKFSTSAKETNFLVDGKNTNVAAYFKSKYGINLKYPDLFTIEAKGKHGKIHFPPELLVLCPNQTVTNDQMINNEQADMIKMSAAQPHIRKSTTDSVVKQVGLASNNIHGFIKVEEPVKVDAIVLNKPKIIFHGNKFANLDDPKSRFPTDFNRAGAYFIAKDLANWEMVFVQGEEVKGLADQLVSEMRTNGMKTNPPAVSFIVHGDLNTVFQKAKAAKRQLLFFVVKSRYNYHQQIKALEQRFDLLTQEIRLETAEKVFRQPQTRLNITNKTNMKLGGLNYQIGSESFNKPNRLIVGFETSQRSGGNPDYPISVGFAANMLDHHQKFAGGYVYVKRSNNVFGSIVKDTLVKVLETTKKNRGVPNDILLYFNGISEGQFAMLNEEFSQHVKEACKFMSPSYQPHFTIIASSKTHNERLYKSDKVISSIPVFGRIVNLEPGTVIDHTIVSPVYNEWFHASAVARQGTAKATKFTLIFTTQPNEPMWNLEQLTNDLCYDHQIVFHPVGLPVPLYIAGRYSQRGAMVLNENDGPIFANGEVDLVATNAQYGYGNKALFNTRFNA >CRE23928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:366352:371472:1 gene:WBGene00062378 transcript:CRE23928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23928 MVLQGHGGEIYTAQFSRDGNIIASAGYDQQIYLWNVFGECENFAVLKGHKGAVMDLKFSSDSSHLVSCGTDKSVRVWDMETGECVRNFKSHTDIANAIDVNRRGPEMICSASDDGTTMVHDFRSKEAAKTFIDKYQMTAVTFNDSSEEVICGGISNQIKVWDMRRNDVKYTLHGHRDTITSLSVSHNGNFLLSNSMDCTLMTWDIRPFVPAQRLVGTYKGAVHNFEKNLLKCGWSPSDNYITSGSANRFAFVWDVKSRACVYKLPGHLGSVNSTALHPLQPILLSAGSDKTIYLGELDLEDY >CRE23929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:371868:375270:1 gene:WBGene00062379 transcript:CRE23929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23929 MGDDIPSTSSYQWRNGSFYHEIENATQEQLWFGIYGREATSVKEAKQLLIRFRGELNLVRNQIVGKIQKREMRQRPLCVYISEFLNDLTHSLCKFETRFFPMEWVLPDNCCIPHEFSKSAPEGKYTMIISIRMQPLSDVLQDPESSKIAQHISEMPDQTSQKSAYDRASTFFRKFVNDAVEHLYGYNIKWVIENDPPEPKRVIEKRRQLQYELYVGSLLKYETAEKRMRIDDSQIKTKTDENKKRLRKVEEVTEFIPIEPKNAFERVSGDNDSSSVNEAQGEISGEPEHSQLRMPSYFEKNPDKRNEISQILAKVERNREKLNDSVGLIAGQSRVYNQEGSMHRTSFNSSLIYQESTKDSQVGAVLNKSCPRDSLDVHHSRENIGRLIKEANIILNTHEPSSHESILSIGSKYPSDDSCLTSSTCSRSERVTDESNGRHGMNSSCDKPTMKTISDVLTIMTDAEEQKIRHVRYTPPSSSPRLNIVNDDSTNASPVSKTMLSTPISWSPGYLDDKSIDSRIKDTIRDLELMDNETSLDISPTSYQEKNEEMDGCPLLESDESDEEYGDFIGYSEAHASQIPTRGQNFDVSQIKEKKSDVHASYVTVSTEETDEVIVENLSCPSEENNYSDIKEVNRSEISGNMNNSEGSLVENPTQISLKFPQKPQWNQCAVAPRKEEKSSKSTSTSTFKHNTNISSPFQNKLSTPSVTIKFAKKKLSPLVAKGFGFNYEDVTSETLHLLPKHIQLMSRMKASENRKYWFNQIIDKYELFGEYGILCKNEETKKLMKNVCSQLSSNQPTISHGTTSQFSSFFNTKKEEPVDHHQLKKFLKNNIKNRFRLDSGQFKYYIPNNWIDHLCQLVSGKSASFNFINSLGDEDCALWYIARHLPAHAEREMKQRNFELCMKLVFDLVRTVLILKIDDNGDHHLFFDGTLDFKTPPTYANSTSSSPLESE >CRE24017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:375527:376945:-1 gene:WBGene00062380 transcript:CRE24017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hop-1 description:Presenilin [Source:UniProtKB/TrEMBL;Acc:E3MGI1] MVRTRRVYSGATLMRVLYPVALCMIFVAINVNLSHPPIKRSPKVLYGLFHSYDSELSGKITLFLMGFLIVTTSMGVFCYRMKFYKAIKVYIIANSVALLVVYSFFHVHNVMKARSVPVSLPTAVFLVLQFGGLGILCVHWKCHRKLHQFYLVMLAALTAIFLLNNLPDWSVWLAIISISVWDIIAVLTPCGPLKMLVETANRRGDDNFPAILYNSSSYADTPDTTRSNSTLLTDIPHPSYSSVLQSDSLLTTPLTPRRQTEVREVEGSIRLGMGDFVFYSLMLGNTVQTSSLSTVVACFVSNLVGLTITLPVVTLSQTAIPALPFPLAIAALFYFSSHIALNPFIDSYTVKLILF >CRE23930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:377398:379803:1 gene:WBGene00062381 transcript:CRE23930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acin-1 description:CRE-ACIN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MGI2] MSDDDIMIDGRPLSSLKVAELKEELEKRQISIKGVKAVLQDKLREVIASQGDTNVETLGLEPKRQRTDSDASGTNVSQNDSSPTKKSDLSPTKRQTPRRSQKTSQSSADNEPVEAEPEKELHQKIDTETAESNTGTEEDNGPSGKPEASDLPAVEDSRTIIENNGEVNVPAVEQNETAAGDSVAPVTIKTVEAQAMNSESSNEKVEKEEATTDEVADEKEEPKKGKKDEDDDELDYGDDEEKEQNEDSMDAEDVKEEKEKVIQIDRNQGKKDDGVKKEDKVRGSVSHRRVSSPSSRHPVSNIVHIRGLTRPFTERQLRNEIERHGGEIVDFWIDKVKSHCFAQLNTDADAGKVLDAMHDIVWPDGNPKKLAIVFDTEDNMIKYKEGRESTIIEPATIGGRADRLSTTSQTSMLGGKASLQITLQNVIRDNDKLDSERKEKRGTLASRLTRVDDKDKGKEERKRKRSETPPFSRGAGFMDEKKPKHDEIDRGTRRDESSRRVVEEEVPKKSLDQCFKKTAALPPIYYLPLTDEQVAEKNNKKKTNEPEKAPERVERPEIDRNRGERSDHGDRAARVDRGGDRGDRGDRNDRGDRGDRGDRPRRRD >CRE24018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:380169:384524:-1 gene:WBGene00062382 transcript:CRE24018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nab-1 description:CRE-NAB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MGI3] MTTASELLSDDARARFSHTKALFEQLERQQDVPSFYSPRLQRQPPPPLPPKPPSQCPPSPMSQVERNFSELAADLDRIQSSPATSRFIQNKSSTLPSYYSDSQYSFENTVATSQYGIPHNNNNNSFEPYWRNGSIYRRQFDKPFGEENEANIGIANGVKHTTYAVVKTPKEAESETTSENKGLMETRRGLSPERPDADITNRRKVSFSTAPIPVFSAFSVEDYDRKNEDIDPVASCAEYELERRLERMDLFEVDLEKGAEGLGVSIIGMGVGADSGLEKLGIFVKSITPGGAVHRDGRIRVCDQIVSVDGKSLVGVSQLYAANTLRSTSNSVTFTIGREQNLEESEVAQLIQQSLEQDRMRMMGDEEDEIEEPPPPPSQMPQMSQMSQMTSSEQDRSTSSMISKEEAEIRSKIAALELELDVTHKKAEHYHEVLSSTKSHCDQLEKQNEQANHMIKNYQEREKELLNREENHVEQLRDKDVHYASLVRQLKERIDELESKLEEAEERRHSIQNLELIELREKLKEKIENRNEGLAYKPGGELPHEDKAVMANLETITTTNKKDAEVSVGSSWTEEYSSPCESPVPRISEPASPALPHKLTHRKLLFPLRKKYAENEFWRATCQPVGLQALHWTVDDVCQLLVSMGLDKYVPEFTINKIDGAKFLELDGNKLKAMGIQNHSDRSLIKKKVKGMKNKIERERKQLERESRTRVIAHTIPM >CRE23931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:386303:387151:1 gene:WBGene00062384 transcript:CRE23931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23931 MDKIPPYDYALYAFAARSAVITCSIFELLQIFGSLEETSSFAKFIYFLFAGSSAAASAFNIAMSTDGRDEIRKVVGNPDCETRGKAAALVLSPVLAGLILFVCVSGNALFSFFVLIHVLISITQFGVEAYEVSNTGDEAKSITAKSNLWKPPPQQPTFLQKGSGTASNNPYAQLANPSCGAPIVSEKKATSQFTEPLQDVKPVKDEGKSKVQTPVVQEPIYHTLERVQKEAEKKK >CRE23933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:394261:395550:1 gene:WBGene00062385 transcript:CRE23933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23933 MHHNEYNWYSTNSTKRYQRTLGGQPPRATQFSDEGRFPLGSFSDPENVEMRKKIIESVSENTLIEWVEHQKGLRDKCLLRKRQLGIKQPDEDERYRTYPEKRFMTEDDLENDTQGASDASQPSRNDRNDYDRSKYVLIRKVNPIPTTSAQPSPRSAADSDQIYVDDSQPSTTQARLIVSGKENHRDRQVTSSNGHSKKSRNGSAPAELSTRKPVTAERATTLIVTVPSSSSNAVEECILNKYGHCFVPGICPFAHNGVVKNIAGKKIAAPAWQRKLPDTSICPGYLNFQCWNYQCPDRHIVYPSDVF >CRE24019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:395717:396997:-1 gene:WBGene00062386 transcript:CRE24019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24019 MDSDEEIMSSYSSVILTASTETTISTRDSASNAVPAVVDINDEEVFEDASSDISILTKKQETLVHLDRNKMRKAVHQDLCTHTQKVYEPLFLRKCEPQKARQRTAPPDLIPIQKVGLMEANQKMSGHSALLMSLNTPTYCVRYMAANAIQKPKNVLVYASPINFVRFVARPEPQRTTQSVFEDPSETVHLMMSPDESIVARRLLPRWQTVTFTLAFGKNMAVKYRIIETRKQPVIYVKAKVDHVKRVSTSTLYRTEPEQLQRVEYWTCFKANDLKLSTVEKNDPQIVKKVFFETAGIASSNHSINIGTGDMENKNLKKRKTVKSYKELNLMWQRTDEY >CRE24020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:397082:398903:-1 gene:WBGene00062387 transcript:CRE24020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swsn-2.2 MHSQQRPGQMGRHAYGTVPSGHIRRPGPHSTQQQQPHGSRAPPPAAQKKRRYADKCIHPKIRELEPDAENYMALLVSEQKLDATLSRKRLDIQEALKRPAKIRRRLRVYISHTFIEEKQPDREHDAASLPMWELRVEGRLLDDQITAPPVPGQRQLPKKKFSSFFKSLVIELDKDMYGPDQHLVEWHRTPQTNETDGFQVKRAGDRPVKCRILLLLDNIPLKFKLHPRLAKVLGIAADTRPKIIEALWHYIRTHGLQDNQDHDYINCDAFLKQCFGVNRLRFMEVPNKLHHLLQQIDPLEFNHIIQRPRDGQDQVSTCYDIEVEMEDPVKQYMAAFVHNPSFATDIQMLDQKCYDIIEQLNELKTRRDFYARFYTDPTGFVKNWLMSQSSDLKMLNDVNGDVEAERFAAAYTGPLTEEGVQRYMYQKVNQKRHELEQSLGVRPN >CRE23934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:399557:400396:1 gene:WBGene00062388 transcript:CRE23934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23934 MSFREKSPRRVDEENKTDEDLGDALAVCHRCDECREMINYESWAERQDAMLECGHTFCMKCFRHSIQAEHSLDKCPVKRLKCPFPKWYDSERPSKSEKKKIDISRKSYYSRSKLWNFEYKGRPIVVSLRRDATYGDLENRLALLLAVDLKTHFILINLPSSYNGGDANYFMQADDSLTNGPYEKDTKLRYLRHPRIAALSLEITEKKNPSSEPPSKESLQSPGTNSESKNKN >CRE24022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:401762:403988:-1 gene:WBGene00062389 transcript:CRE24022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exoc-7 description:CRE-EXOC-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MGJ1] MTTDNNAAESIAKKLAQEEEWLLNFEKNIVKSGQIRQGIEKVVDKFDQRLSSLEKNVLPMHISNGKLQKKQHNIQRLINTIDATLQFYGKTSTVENAINNGNPTVDLDPYLENMESLQQAIIFFETHPNYKSQTENMRITLDTGYTMLEKAYKTLLLKHSVNVDPTPFVKNELTPRLNDVKILTDEESIIKIGVWLLKQPRVPHHFLGYYSEIRGAQILKNIKNIAEAQKTMQMASRNKLSTVRKPIQRSDKTDILADVDSCHIMCSSLLSLLELEEKLMMKAIPDTSKRAQVFRELVSRPLIYVVLQTQKVVNEKDIGIVPLLPLLHLLSQNSARFHNLATNSIGDVQFDSLMRQLQVKCSSYVNEVIENLNEDTTKFVPPDGNVHPTTASTLNFLSSLTAHRLTVTQHVLALTAPQGTSTNLLLPKLFARILSALGSMLKKKANLYDDPTLATVFLLNNYNYIARTLADEQDGLLPAITEMNSNILSFYHEEIATCTNEYLKSWNGIASILKSVDRIGEDKQMAKHIMSVSRNCNFKSTVLLQTFVRDFDQVLAQQTDYCISDPKISANVQSEVKARIWKNYSLLLDICQRLHVFPQGIKYTENTFEMAIRNLFSSARIN >CRE23936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:406078:408354:1 gene:WBGene00062390 transcript:CRE23936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cogc-5 description:CRE-COGC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MGJ3] MSGRVDEQLDDFLWGKTDVKDYLKKVAECDSTVADSLNRKVAGLHDTLDKQLRKGVETNLPRLLEQVPALQSLEDTLHSVQMRMTSVTNESNRLASVCDDLTMKLREQTRLLEATMSKRNMASDAQRCEELFESLEKRSDLVKKAEITAEIKEILNDNKNLRKIGWLKNMVDTKLKVAENEVRRSGAEELKRGLASLNSSMVISARRALRTLNCLDSELEVLLSSSVVDLDKKLIELSNASPEIANKSLPVVAATIQSHLEQASMLGDAYKKKFSEKLARLIRSRVPLDTPYALRFVQQLGRVFNSKGDNSPALNDSLRPLKNSLLTHSLSHLHKLVEENDFSTAHANAFVDLINSAMEEEHRKVDWDADLSQKMSSNIEKCIEIIAKKLEANLHLQRDDLLLGDRLTANQMVNYRLIQTADGIMKRWPSESKSVAAVQKETLDAIVTEVKNSIRDIVARMHVETRGMTKSVAPYMIELLTYINHIDMHMAHVSRAVCHSHILSQIAEYVIDSFILNATLVRSHGSDERQLIVIDFSRLLEAVRSMEWPSKYGDTSRLLDLFGSDVDVMLKVEGVKKSVLVQLLVSDSPSELLLPNQSVKWAPEEYVKWYEEHTEMEVLAFLNGLITSYNSSVISRGQQHYVENYPRIMQLLQDAF >CRE23937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:408490:409098:1 gene:WBGene00062391 transcript:CRE23937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23937 MIILLCSFLFSFLIFLDFYMSAEEQLPSEMTDDEDDIKEIHEEDSTNEEEDESDSEDWEEVTMVVTVNGILDADLVRDAVERDLIKLRYGDTDVPVLQVIFIIFPAFGIDISISSKLHMSSVQTYFFQINNSLYTAAWNQDLGTNIVLQSKGKEMEVVSCTSTMMAAEKALLTSLSTEGSTIAAKAEVAPRAALSRTQPRGQ >CRE23938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:410252:415708:1 gene:WBGene00062392 transcript:CRE23938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-met-1 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MGJ5] MVQTRRKTAAAAQDGAVKENKSMTPAPQTPTKKGKRGRPPKVKTGTRKRSEADKSKKISEALTSSNPSTSDHVDSSNSYSDEKLQESTLFISCNQGSETPPTNEAALFSIKKENDDEYSNDSESRGQKNSLKETISSLTIDSSSKRISIDRLKIKEELDDSNDNASPSDVTPCEVKMPNHISSVSPMHPDDPQPSTSFNVPELKTEPTDPESDLKPRSSTPIMFEEASPPVSPGAESAVNADDSESMDIANSESDGDNDEPSEGSDNLLNTSSSSIPLPTSPPPTNSIRGEIHIDVDKSAVNPLSSPTMSRPRIHFLHPAYSSFASDTLTSPQPPSESGSVREKAENGASVGFKMTFKKASANPLMKSSALENTTSSLSPSVSATSISSSLGTDSTVSPLKRSSNFGTSASNELPPPQMVELPKPSFFNIPAMSSVFSSGSDSAPEERVISKLSTSVPTSSTKMSESEIQALKAKAEKVAQDIARRHQEEMRLKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEERDDYDRRRDDRDRRYRKSDPDRNDQYSRTRQREDDERRAREKEREVTKRHDREREEERLQKMKEEERKKKEEEERKQKEIEEKEQKEAEERRIEEKRIKEEEMKIPEYITIAENKYFNRNANKKKTDSLICECSRLGLTCSDNNCVNRAMLTECPSSCPANCKNQRFAKKKYASVEAFHTGTAKGCGLRALKDIKKGRFIIEYVGEVVERDDYEKRKKKYAADEKHKHHYLCDTGVYTIDATVYGNPSRFVNHSCEPNAVCEKWSVPKTPGDISRIGFFAKKSIKAGEEITFDYQFVNYGREAQQCFCGAPSCNGWIGTKLEDSDDDDDDNCSTSSRHIEMDDETEEKLEELNDLEPHQQIVLIDRMLQNLYSSKKDKKTERKVITIASRITDHNQREQLLRKLFSTATDFKSQSYYAREGMTTLMAEWLDADDYSLANLKLVQVIFQTLHSDIFLSCAKTDRLLLEVLTRWLNASQGDWVDIHAVLNSLVICTEDPTKNYAEVGNESEKEVIANFNRVKDMAYRLNHHWFNRSVSFKIPKKKRESVTKEIGRQPATIPPSHGDESERNRNTSPVTHRHNHHYSNPYYSERESHPRFFNNGNDVHQYRFTGYHGNNYKANYLPRRHTKETYRDRRRSGRRSRSRSRSYSPQSHKRRKVDDRESHRGRSPSTRERRCTSPDQKTPGSGTSGDRGVQRQRERHQTTATEEIEVPSHAYPHEQLAIQPMPAYPVPGYEQYGVYDPTTGMWFQPPNHGYYQQSYQSPHILCTIETLPPKAHLEELYVKASLEQLNERLVAVGEELELLQGIISEKRAENERIEAERRRLGEEEDARARNTMKYVWAKAKTESGEVYYYNKITKETQWTLPTAEQGLLEPEGYKCPFTAALQANTQTVKNEPAAEVQNGAVEGVDNEQYDQNRQSKDQQSVRQRSSTEKSSVSPKSHRDRERREGSHSNNRNGYRESSVSERRVRDFKQELERSIRSVVRSHSRLRQSQEATNDKTTWLIKLIAKEMYKRESSQSKFDFQFSENTDKKVRNYTKSLIDRKLDSNDLWKGYNGR >CRE23939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:416184:417747:1 gene:WBGene00062393 transcript:CRE23939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mus-81 description:CRE-MUS-81 protein [Source:UniProtKB/TrEMBL;Acc:E3MGJ6] MSKRVTVKIEYSRNTFFEKLLKLQHQEQEDRKLKYVLHKAHENLKKCPFEIQNIVDLKNVQGIGENIASKLEETWNVACREHKEQILTLKKIKKFTSEDYKRFQNNRKSTTELMKEAKRQEKQQKSSSSKVVDDDEFVSDGEDDGDQNILTKRTKTTSLQISRSSSFKRPTSVNASLFSNSGSVPSSSSDPLSFRVLTCKPFEQPTVYLIADNREHRNNPRIKSVIEHLIKKEDIRVDIRSLSVGDYIWVCRKIDGSEIVLDWVVERKTWDDLQSSIRGGRYDEQKARLGMAPMKNRVYLIEAPNRGDVACEQAVASTLSNGGYLIQRCADTRDTAAFLKEVTVRLQNKAAVEEISGVPFSQLQNLLQKKKAETVKDAWTRQLMVCPGMSQSRAEAIADRFPSMPALLKFFRANGDDAPIRLLHILPQLTRPITRNLFKFFIQ >CRE24023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:417876:418557:-1 gene:WBGene00062394 transcript:CRE24023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24023 description:60S ribosome subunit biogenesis protein NIP7 homolog [Source:UniProtKB/TrEMBL;Acc:E3MGJ7] MRPLTEEETSLVFAKLASFIGDNVSMLIDRNDGDYCFRNHKERVYYCSENLMRQAACISREPLLSFGTCLGKFTKSKKFHLQITALDYLAPYAKFKVWLKPNAEQQFLYGNNILKSGIARMTDGTPTHAGIVVYSMTDVPLGFGVSAKGTSDSKRADPTALVVLHQCDLGEYLRNESHLN >CRE24024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:418831:420672:-1 gene:WBGene00062395 transcript:CRE24024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-6 description:CRE-CDC-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MGJ8] MSSTPRSSRRNQKVESSVGEPATSRPLENNETGRRRSSRISTKVVPTVNKKPSSKSTIRRPEKVFKTTNSEFSDSDDDMPAPKKKSDKTKELLMSPTSVLAEQVGTLEISTTDDTKKRNATKPKTLKPTNLKNRLVQQRTPEKKMRSRTTSESTPEKKSRQESDSESDSKAEKPVSSSLTEGNGALSGREDEFNTLKSWILESKSKKTSLSMYVSGQPGTGKTATTLRVLTALGKAVRSCIINCASTNTKTALFKTIFESLDLDGKPSVESFEEHVKHFTVPLVLVLDEIDHLANRKNAALYAAFQWPETLSHKIIILGIANSIDLTERLLPKLMLTKTPKRLVFEPYTKDDIVKILNDKMKKEKVSLNYLPVIQILIHVIQFQAVIDAKSIELTARKVAAMSGDLRTALHIFKQQKSRLMPMDQESGPPGTPVNGCREVLGIINNVYSSPLARAKLPLQPRILLAVSLALSSSKKSVFDRNSLYRAYYKACTALRMPPLEDDDLHSAFQTLESQSFIRLLNGGKLVLQVDAPTAKSAISDNALLDQIGILQF >CRE23940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:421208:422479:1 gene:WBGene00062396 transcript:CRE23940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23940 MVKEENTGNRSEDVSENQEEPEELSPKTKAFAPTLEKDYKLKFDRILGSGSYSRVARATFGDKKIEVAAKVINITPTREKDDYIKKFLPREKEIVKLLKHDNICRLYEMISFPDHIIFVTEFCAGGDLLRKMKDIKTMNEDEAKFMFRQFIAALTHLQAYNIVHRDLKCENIFLDKYENVKLGDFGFSRILKPGEKSATFCGSRAYVAPEILRGREYSGNAVDVWSTGVILYIMLTGSMPFDDRNPQKMIERQLAHKIKFPKSCTASTSSKALILEILQPHAPNRPTYKAICESEWLRNQPYYMKPDEPPKPSTPAAPVTPSTTPVTTGNAKPKDSKRQHG >CRE23941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:423027:424535:1 gene:WBGene00062397 transcript:CRE23941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23941 MTEVKHTDNGTVELPKGKIVGCSWQVIRKLGEGGCGSVYLVKNLEDETEAAMKAESNTATGGCVLKLEVAILKKLTGKQHVCQFLFAARLQDFSYVIMTLLGESLNKIVKRVGRQITVSSQVRIAANILFCLKQIHDIGFIHRDLKPANMALGYKSNVEECRFFHVLDFGLARQFIVANTDEPTRLMMRRPRERSLFRGTTRYCSIRMHDRAEQGRVDDLWSMIYLLAELRGPLPWSAQNDKRVVGEMKRLHSDEVVLQNSPMEFLEIARHLRSLNYFNRPDYHRIFLLLISVMQKGKFQWSDPFDWEMIPPKPSKSTSASPLQLSKETIKKNGGSREILSKENFSKEDLKTAQKTETTSKEKASKEKTSREKASNESAEKISHSGEKADEEKKELMKLLPFDAEFFASDPIGF >CRE23942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:425592:427946:1 gene:WBGene00062398 transcript:CRE23942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scpl-3 description:CRE-SCPL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MGK1] MNNVIPPFVWPEDDEVNEEQLEEHEGPERMDYDALVMLANLPPLTEELLSRSPALPVKTRSSAEYTLVLDLDETLVHCSLTPLDNATMIFPVMFQDITYQVYVRLRPHLRTFLRRMSKIFEIIIFTASKKVYANKLCDIIDPQKTMIRHRLFREHCVCVYGNYVKDLTILGRDLSKTMILDNAIQSFAYQLDNGIPIESWFEDKSDTELLKLCSFFEAVPSAGRDVREILRRRYRLRDHIPFYSIIHQQEGPGRMPMIMPIHIAPAQEVEENHVEEQLITQVAQKPLQLVQG >CRE23944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:431873:434731:1 gene:WBGene00062399 transcript:CRE23944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23944 MSFKAVVLVGGPQKGTRFRPLSLQLPKPLFPIAGVPLIEHHIDQLCQLSGLSEILLLGFFPTDLFTEFIDRCQKTYRVSIKYLEEPNPLGTAGGLVSFKATILSGDPNAVFVINADVCGDLPIEDMGAKIDSISGSSMLMLTTEATRQQSVNFGSVVTDSEGKVVHYVDKPTTFVSTNISCGVYLMKAEVIRQLDLPLNGDGIWLERDVIPQLAASGNLYALHTTRWWSQTKTAAAVLYANRHYLRLYKKRYAARLCRIGAQIIGDVFIDPSAQVHPTAKIGPNVSIGPNAVIGKGVRIKESIILPEAVIEENACVLQSVIGWRSIVGMWARIEGIPLEPNPNLPFAKMDNKPLFLPDGRLTPSLTILGSDVSVAPETIILNCVVLPYKELTCSYKNQIIL >CRE23945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:443757:466849:1 gene:WBGene00062402 transcript:CRE23945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-anc-1 description:CRE-ANC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MGK4] MSSSPPARPCCVCFRFRPHEDEKAQKNTFTRWINFHLEEHSSSGRIEDLFEDIRDGVLLCHLIEVLTGEALAVHKGRVSKRVHHIANLTTALTVLRRRGLELINNNAADIADGNPRIVLGLIWQIILHFQIETNMILLREWGWAATSTEESTSSQPQVVVTAPSPTLSLKKSSSKTSSLSGSKTSIASGEKAPSSPLRQRIASFLTPTKKTPKLTTHPVKQSVEQVFLRWINSEIGDLVGGRTVENMDKQWRDGVLFCALVSRWRPDVISMKEVTNANPRDNLELAFNLAHQHLGIRRLLAVEDMMIEKPDKRSVITYVSQFVRMFGERSPMQGREQHAVFLTWLEATYLLCSRHDLNSQECSRIRREFIEHRPLFNTIIVTKVNYDVEELVEIEKKWDCIRETLEKYARRSERELPEPFASIATWLAGAEHILSRPLDLDANDAKKTVTMLQKLISEHQKYLEELPKRREDFEEAVRHGGLGGRPVAPEFCEPLRARFIQIEEENEPRISTLRILITHYILLQYLQHIDEKIILWRTADSVTLLLRWIKEYTQLNAENPQAKCAGYINKLTLTMNNDSSSKLDKEAILKISNERTAETLQRFESLYIELKLLKVEWVEWETHVSHLEEIVEERRRNGIAPTQEDEQALAVITAGADQLAPKLGASARLSNNQRLDVLTHSFKKLHKTTIKIGGRLVVELEPSTSEQASKISYSYQASDELLKVEMQLRDRIQRDADSSDREPLEYRVEVFRNIRDKLIELERLNELYDKHSRDQIDTADRNLIRNDMGTIIYGLQAGEYASFVDLSCYAFVYDEYNQVPVNLTENVLSADYVREIINRKRNILIRREHNQDEIRESIRDMEECDRIIEGWQSSEIEELRATWNQKLSEFESWHEMMQQVDVLSQTIQTRLDVTVIQTIWILKERSYEIKQSELGGTLRESLEQLATTSETSVHRHLQNLELSNEQDCPDAIEFLEEVGRESVTKLSEAVDERYIYTLHVLRTKMELFRRLQNFCDAVKILRSQNTKWNAIKISQIDQVQSEIDNLIVRLDEEWTQDANQLRAELASIHGSFFQLEFDRLNEKLNMLIHEKDKLRELMVHRRHYLTAANELITDAKTDLTQRATSSDHPDDILRATDEVTKSLEIKGEELRRLGELAEMNITDLVVVALILSFRRRQLGSDAEPDVEELRRALREIIARPISEPRDVSPEAIVADILRMKDEKKRDEKTIDEIQATTLTDEQRASFVPLIEDYRRRADRHRIVFERLVLIYLDWLSREFDELEEEIGMTIQTSRADDLRRMNTTEWNQWKTDLTEIERQVGPETKKALSAELADLHRKQDSMEARINKYLTHSAKINAKLSQFEKWLKAIEEDIEQTERQFEDPERSHRFGSLLEVALAKQRLVAKLERLNVTNKEEVLRLCDRYHTIMQKLTPFQSAVGLPLHVSTNLDRNGPFQSQISVSSIASSELERPESVMSLTSSIGVVPADVAELSPYEAKINKLLQKIHTIEDSYLKGPKPLDTVKEDVKQLEKYRSRGAEILQQLSTSKIEDAEKEGLKHRFVLMLNNYDDLLKSIENEIRDDEELTAKNKEILAELSNAEQTLQNSPLEDLDISAELDRLQMQLDLVKVMCNKPRKYVECELIDSSREGSPQEKRRRKKKVMVMVSNTITTIIHVVEERLEAMDAPQNPALQQKLVAVKENLRELDTATLTPHPPSIMSPVGTENRNDLEEVKRLAAEIDQAIDTVSSMYEDAPTDEDALKSALHLLDDQKVTLNHLHNVLDGIPVEQEQDKIEAIDIASSVGEKLGNVKSAVEEVYEELMTPSEAPKEDQPLQHIQEVQAVPTERSNWDTDEFSRQPPVLSDERIELKTDPSAIDKFEVRTDEDPVPKIIELFGQLQTAVDEASPLACEGTDDVDALQVASDKLTKQDRTMRKIHSILETIDDQEQKPAILESLNKIKSQIDMARDNINRQIDNLNYNQTPVVAPKKSTKTPLEDIEDAIKQASNVVYDDLCNTEKLLNARQILTNKLLKKHVCSRDEVSTHMTTSCQRSCQTTLKMSLLKCERCSRSIARAHEKSWRISKCRLKTRIEELKNPEPVEEDTSASDEILSELNVISDMPSITIDLAMLDAIEEGLVTLPEGQAEKIRFKINELRHKKEIADQSEQILADFNSFSKLPAITVDLDLLKSVEEGLVALPAEESEKIHLKISELRKRKENADQAEAVLQELNVISNLPPSTFDLEMLQGIVDNLTNTPVEEADKIREKIRELREKKQVADQTDDILRELDVIGELPSSSIDLDMLKGIEDNLPTIPQEDADKLREKINELRSQKECSDQVESLLQELNIISEMPSYTIDLDMLKGIEDELNTLPAEEASRIRAEIDFLRKKKEGADAFENATQVLEIQFSQPAVSINLEELKKIEDSLIGVPDEETIEIRDRIAKLKTEKALADHAENYLIELKKIEAMPISSVGDNVLATIEDQVSQIPTEYQSRVQDTLNKLKSLKKEEEVLSGVFDDLEKISKLPAKDYSNTLLNTIDEKLEALPKQQGVPVQDKIEEIKITKADIVAQIEVLDKLPVNEIDENLLNSIEEKLPTIPSDSSDQLQIAIGKLRDKKKENDEKGNKILNELIEIEKMPAESLDESVLKMLTSGVDQFEPELSQKIEYKINEIRAKQNKFEDEKNNAENILSQLDRLSQEPPLTLTEDKLAPFLLNIDTLPACFVDKIRNKISEIKNTHEEAVQDEKEEQKEQLINKTREITRIPVDTIDFDSLERLVEQITNSLKPEEAEPLLAKIQEIRDAKRANDEARSAAHDSLVSLEKEAEDVTAKESAKKKKKDKKKSPQEMIDELSAKVAEAKALIPKIEEAAKNAHLPADDKPKAEQLVSNLESFVKDVETQVSEKQDELDKLNYANDAIKRLGDALDDAEKTVVPSNVTALNEFKDRIAPHIATLVEAVKEVPLSVEPSAAALRDRAAKFVSDLEKTSTRLVTMKSVPKIEERCXXXXFLSINLLMEKVFQLQHAIDDKKQAVNKAAAVNEIAPKLQLVSQQIQSVPQEVPSSLDEQKQLLEDVENQKHNLENLLANLPENDPAADELKQKSQWDLSRFKDLLKQLGFAVGDKLAAFPNKRAELEQAIKAKAEEKSLHDVVDRIVSRLVPLVRDAEELRHNAEAVPTQYAPKAEELKKEVEAAKSIIANAPASDAHVQQLQQAVATAETLIPDLEERARLWDEFLVARNEIDALIEKLQQPLDAVVAKPKRSAAEAAQDVENLKQSAQQLGDLDNKITNLQRISELLDPLESAYADVRFFDVDAEQTRHQYDDVLNDVAAELEDETLLKQSADQVAKEIDDISKMIDSTDPEKSILDTIAKSDIPALKAQINRIKDRIVNADASRKHVTTDPKIAEDLDNKLAKLEAELDDAIKTSDEHDKEQLIISLKLNISQFEQLPLDQLKPDDLKTAEKEITNSLKPEEAEPLLAKIQELRDAKRANDEARSAAHDNLVTLEKEAEDVTAKESAKKKKKDKKKSPQEMIDELSAKVAEAKALIPKIEEAAKNEHLPADDKPKAEQLVSNLESFVKDVETQVSEKQDELDKLNYANDAIKRLGDALDDAEKTVVPSNVTALNEFKDRIAPHIATLVEAVKEVPLSVEPSAAALRDRAAKFVSDLEKNIHKTGDDEKRAEELKNDVGNAVNNVDDVVSKYHNQSQPLDVAKEDANKLKTVVEQLTKLAESSDKIDPQVAKDIKDSKTKAKELLQILEKSIPQEDVIRREQAEIQDRLNNLEKELTKVDEFKPEDALPIVDQLAADTTTLKAVTDSNNEKAVAPSSLISHDDLVVGLPEKVFQLQHAIDDKKQALNKAAAVNEIAPKLQLVSQQLQSVPQEVPSSLDEQKQLLEDVENQKHNLENLLANLPENDPAADELRQKSQWDLSRLKDLLKQLGSAVGDKLAALAAFNAARKNAEDALLDITREDGTDDSKSPDELIDDLTKKEETVAKLRETVAGVKPDELDEKERAEYNDLLARLATAADVLKVRHHYFQCLYSTFINFLKMSRFEKSRKNHLFSNFRSTFPIFNLFFCRTSVPSSSKQSRPRLRRNPFTTLLTKEVEAAKSIIANAPASDAHVQQLQQAVATAETLIPDLEERAFIWLRFVKSKDDLYDDLEKLENTVSDVLNRPRISVSQAQPRLNKLKVRLLFRIGFQQSYLLFQEQSYLLDRIRNSKVDFDDLGEALLPLTVAEDELRFMHVHVESIERQYEDTMDKLNAEITAEIELLRTLDILSNELSQCKEDINNPTIDVDELSRATMLNDAIAHLENQKVVVARSEKDRKFVESSTSTDLEQLLAEAKRLLKEIEPRLQLAQPDHDNEDEEDEDKGSEEKPYDVRAAAEVLSALYPDEHPHNVLRNIGFEELPSDSESRSEFDSLDSRSDGLLSPIPDDSTLNEEQLRRQRSRWRRVLRTALPLQALLVLLMGAACLVPHCDDEYCCQLLNNFAKSFDPSLEFVNGPPPF >CRE23946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:467505:469909:1 gene:WBGene00062403 transcript:CRE23946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-6 description:CRE-ACR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MGK5] MQSTQVSLFSQIIPIRIPQFVFSIREAMDVCILLFYFLIQFSNCNVYKNLFETLLSRYNKIAFPSGQHNNSLTIEFKLSLDQIVDVHEKDQVITLKGTLVHHWFDFRLSWNPSDFGNITLIHFPGEMLWLPDIILYNNAHGSPWVSETTQIHVSHDGRVTWQPPVVYDAFCNIDIEWYPYDLQICELKFGSWTYGGSQLNLVHLNEDRANESQDSEGENVWKVERGVELSNYQESVEWDLLSVEGIRHKKWYPCCDYPSIDITYYLHIRRKKLFYTVNMIIPCVSLASLTLWVFYLPCESHQKIQLCISVLVALTIYFLMLIDIIPPTSIVTPLILKYLSFTMVMVALSVTFTVLVQNIHYRAHYQPMPRFVKKWFIEKLGKMLLISRKTEQAQYHRTAQHEKQVNALSAMNILERQFQKTLFELEIATMAKKNTPQPPKSVNSLFLELPMLNRSVSVKIRKPSVKAVKNRMRRLLSIDMTDDVRGLRSYILVSRFKKCCFRKDVEEISPETVKDTDPDREKLRKAERNIHFIAKTLTDERKDQEAEADWQFVSLVIDRILLIFFTVTITIGTVLTIFSAPTMCDDREPIRIN >CRE23948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:472311:473948:1 gene:WBGene00062404 transcript:CRE23948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdp-1 description:CRE-PDP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MGK7] MLKRRVFHEFRRHNRSSRWNADAHLRAHERSANVEDDAIMRVDTCQLAANNPIEDFYSAAKCLSSRAFLFGVFDGHGGQQCSRHISTNLYPYLCASVLKKHEIGNYPPDQRLEWLFSSSDGHLPNAFKSRETRNISEYHKEFKKNANAYTGTVREALKLAFETCDRDLGDNALPNAKGVIDRHAAMVAASGSCCTLAHIRSRHLHVANLGDAAAVLGVVNPNGSVTARQLSRAHCVDNADEVHRIRIAHPASESQTVLRGGRLLGELFPLRAFGDVRYKWPLDLQKVVLEPLGHPPPQHLYTPPYLSTSPEVFYHKLTPNDRFLVLATDGLWEWLDPDTVVRLVHDHTLGTITQQPYVPKSGTTLRQVREQLKERAKGEQRTKKPIDENCATHIIRHALGGVSGGATKQYERLIDILQVVPPGRARNYRDDITVIVIHFNETFLDGHEEDEE >CRE24025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:474293:475160:-1 gene:WBGene00062405 transcript:CRE24025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24025 MSSVHLPPALRSNAADHQSTVQKLLDENSRLIDIIREYQNQSRADEAIKHQQLLHRNLVHLSNLADPFLLNQLRDDSSPQTDSPQGYAVPPNQPGSSGPPHHRQQPQSQHSQQNHHQQLPPAAHHHPQMMPPQSAPSPSGPGVPMQSAHGFAPPPMNGPPDMMNHQAYQAQLRAQQQQQQHQQQNGPQPQQGYPPFGQPAPQMGGQRMPYPYPPQGMPGYPGVPPPHGYEGYPPPGAQPPQGYMR >CRE24026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:475268:476044:-1 gene:WBGene00062406 transcript:CRE24026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpd-5 description:CRE-LPD-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MGK9] MLRAGATRCFTAANTALRCFSSGKDNLPVTRSHDAKKIELNDILEKPSMKTPVKVASDETMDIGGVPLEHQDARTARIFRPARETPQSAWGNTKSWTIELDNRQRWENPLMGWSGTADPLSNVGMDLKFATKEDAIAFCEKNRWEFDIEEPHERKIKPKNYGQNFSWNRKTRIGTK >CRE23949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:476634:480325:1 gene:WBGene00062408 transcript:CRE23949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cec-10 MNQDRDQIDREDEDLEMDVEGDGDTDGEAGDEAGINEPTWEVKAVKGIRFKQNRIEFSVVWQTDNSMTWEPKESFPDELENEMIQDYKMKNPEKWERVMRSEQIKRFQPQQDFTEMNQSHNHWSYVLQSDEDRYEKPDASAKREARMLADPNRLEDPDEKFLRTYGCGIEGRASRSKTRVIKQTINMSSAESSVSSQRATSPKEVTEKKKRGRKKKEDQDEPSTSSRKKSPTVKKQAVGKRVNEESSTANTERKKKKKVEDKKNEEAVEKKEDEKKTMTRPIQKTANDSWKKKKREQKLNSSDSSEDEEGSMLDEQSAYTPAVTLPGSTYTKRIEGGANSPSVSPAVETSSSVAAGSKKLRKKKMVFDDDDEDDEDRQEKQTQQNERKRSVIPADTSSDKQSAYQFPTKDPRVVYVDDGNQRDLDIENAFSDFGMKITKGNPGNRLLNDFNKRKAMCPRVATVPLERAILQLDPVVTELCLINMGPKKALEVIQNSKSFHEVSFFENSCGSLKPSFYHLQIMIATYEQISRGAASEEIIDERRRKTEKLIRAALPYLPISILIDQQDDTLNTLLHCAINTESPSLVEFLIKMGSPLNIRNVHEMTAFEACLVVRNAALLNIVIKNGGTFHHVIERRRLDGLDHERLFVYLQKPIFARHTSLLSIVDQMKMRIQTACDKVRKQQISNRLTEFESGPIVSFPRGNVRWYGGQQTVQFMIPDKWVDDRNAKFMLVVMPMAYNSTKKQFCGSSQKAPLKSVELCGTKCESMTTRKGIFYRATAALQRHFANVKRGVTMENFYEIERYKELKDREESVKKRISRPLNSKICSITLEFDSSAFSFVTCQLIRYVPNKTVPRSFTRPHSVGNSSSPGNGRPAPSQQRPGPTHYRPESTSFRSGINNPSNSHGRRGHQYTHQRYSNGAGPSNSRPYNRP >CRE24027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:480877:482513:-1 gene:WBGene00062409 transcript:CRE24027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24027 MTHVILTIFCIFLYLYDVESINPPSAVLDLSDKFLDVKDEGMWFVEFYAPWCAHCKRLHPVWDQVGHSLSDSNLPIRVGKLDCTRFPAVANKLSIQGYPTIIFFRNGHAIDYRGGREKESLVSFAKRCAAPIIENIKEDQLEKVRILISRNFQNIIFQVKLSARSQPSYIFFGESSGPLFDAFNTAANAKFSVARFYSVSSLKEAATFRQRVVVSKDNEEIEFNGEIETLTDWVTRERWPGFVQATASNLAEIGASGKLVVLIVSSESQKLNNTSPIREFHKTAEEATKELRKHPALWNRFQFAWLDGSDLASQIQMAAVSEPHLFIFNYTSYEYYLSEDEPSKMTIKSILTFLEQSAEGIDKSTIIAFGGRHLLTRIKRMIFELYWNVAQMFATQPLLSSCLFGVPIAFLSIICYSICSADFTVDRDEFYGEEDELVDDEEGVENEHPETDDDHEKAE >CRE23950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:483128:485764:1 gene:WBGene00062410 transcript:CRE23950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23950 MKRQRVIEKDWILTNSLASFVEKAVKELSGYRAVRLTSLFQDGCENAYIARYREDVHGGLQPEKIRKAKDAYLDALQLNAKVTSAITTITPKIAGAVAKQTVKERLIQCEDIDEVTDICKEFAVGARKTKANIARDLGLEPPATSILHGNFVDFNKFLSNELKSVKDVEEHMKVLVADLINKDIEVRKLALKIVKLNSRVPLQVSAKLTKDAEKNREQLEEKNLLKKYDDYIGKRWSAGRIKSYQVSALNRGTEENIIMWKVDCQIGDAKRDHPFSNKKVDSGMQSFFAAALKYSITAYFIPMVERGTNFFFKNSYRRFLTRMSEDRNIVVFGENIEELFTQEGVHSKYIIALDPGHTVKTAFLDPSGNVIATNQFLIRDSTFENRGTALLKSWSSQTKGKDLVFAIGNGSNTHNTQKAVSKMIANNDFFEEIDVCFCVVPEHGASKYSCTPAALEEFGEDAEIKHISAISIGRRLIDPMSEYVKIEPQHLGKGQYQLSVDDKLLKEKLVAVVRDRVSLIGADLNLASEHLLRYICGLNQTTASGIVKYREKYGRFKSREELKNVKGIGEVTYQQCAGFLTVSRPDDSDDGPAAKRFKGSSESWSPFDVTTVHPEDYGVARELLEKIGHSLDEIVSGTSAAPSNLTVQEKRIYDLLKSKPELRPPPLMMKRAKEIKDLERDQVYKGVVTNRTDFGVFVDIGVEKDGLIHISCYPIDDASTKPSQTNKNSYGKREYEHKSHETRKGVPSVGTVLDVRVNGIRDDRISLKPV >CRE23951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:488657:503184:1 gene:WBGene00062411 transcript:CRE23951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhc-1 description:CRE-DHC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MG41] MDSGNESTLAIQQNLKTAAEGDVKEYIVQVITSLFGLSPRDQTTLDVELSSTVSYLTDFINEADKNVLVVDRVVAREQGDQTAAAESGGEESVPATFQVHDGLFVADRGQAMVFVKQSNVIEADKKIASQVSAFPLNGGSAWEQLHFVMSRILNPYCKSFISQSGRGERDGDKLAPTVQKCFTEAEAALLHLQQNIDIPEINLVINQSILDAIEKAGKENRRAKIEDLGDIVEDTNFLNALQAGCNRWVKEIRKVTQLERDPSSGTSLQEMTFWLNLERALLKIAQKRDGEEVTLTLEALKCGKRFHATVGFDSDTGLKQKLAVVQDYNTLMKDFPLSDLVSATDVPKLMHAVTGIFLHLRKLRSTKYPLQRALRLVEAISRDLNSQLLKVLSSYSLMHAPIAEFNDILSQCQSLFSKWDDEYDKFIALLRDINKKKRDDPSKLSWKVTAVHKRLEQRLGQILQFRKQHEQFRTVIERVLRPVGNASSRTKEEFMVDSTEGEKSPDEQVDIAYEFLKNVDFLDVDSPAWENAYKRYEDQIGVVETAITTRLKGQLESSRNSNEMFSIFSRYNALFIRPRIRGAIYEYQTRLINRVKDDINKLQERFTKARGEQGVKIMQTAGLPPFSAKIMWIRNFERQLQRYMKRVEDVLGKQWENHVDGRQLKADGDNFKVKLNTQPMFEEWVETVQSQNWILPTKILTVDRAQIDGKMQLQLKVNYNLDSLVLYKEVSHLKTMGFRVPLKIVNWAHQANQMHPSATSLIEATRTFASVNAALASVQGVDSLLASYKRDIQVQLLEGLFKSMTSFQCQFRATLGWDSYKVDQYQLRLAETVNTYQERCEELLNVVRIVNADLNVLKSCRYDKETIENLLSSIQKGVDQLSLGNYSNLAQWVNTLDQQIETILARRVEDAIRVWTLVFSQSEEVEELRERQIVLPHVKNVVVELFMTAQTLYISPSIRETREKILEQLYEWHSICTAQTRISGKRFQMVMNEEIEPETYHNILNVMPEGQACLEKAYDCVNGIMSDLEEYLSEWLSYQSLWVLQADQLFEMLGTSLSKWMKTLMEIRKGRLVFDTQDTRRAIFPVSVEYGKAQQKILFKYDYWHKEMLVKFGAVVGDEMQKFYNSVSKWRNVLETQSVDGGSTSDTIGLISFVQSLKKQTKAGQDAVDLYRSSQRLLNQQRYQFPAQWLYSENVEGEWSAFAEILSLRDASIQTQMMNLQTKFAQEDEIVEKRTGETLAEWNKNKPVEGSQRPQDALNVITAYEAKLNKLTEERNKMRKARVALDLSDSAHAPSERDKLSVATEELSAMKDVWKALQPVYTGIDESKEKTWLSVQPRKIRQSLDELMNQLKQLPVKCRTYQSYEHVKTMLHTYGKMNQLVAELKSEALKERHWHQMMKEMRVNWNLTDLTLGQVWDADILRHEHTIRKILTVAQGEMALEEFLREMREYWQNYEVELVNYQNKTRLIKGWDDLFNKLKEHQNSLSAMKLSPYYKQFEESAQSWDEKLNKINAMFDVWIDVQRRWVYLEGLFSGSAEIATLLPFESSRFATITTDVLALMKKVAASPRILDVVNMQGAQRLLERLADMLAKIQKALGEYLERERSSFPRFYFVGDEDLLEIMGNSKDITRIQKHLKKMFAGITAIDINEEDRSITAFHSREGENVNLVKKVTTKDVRINDWLQALESEMKHTLARQLASSLAHFSKMNIQTMTTEDYVQWLDNYPTQVITLTAEIWWCDEMEKTLADGKGSEVVEQLVVKTLELLADSVLKEQPPIRRKKMEALITELVHKRDTCRQLSSMKIRAANDFGWLQCMRFYFDPKEVDPIRCCVVKMANAQFYYGFEYLGIQERLVRTPLTDRCYLTMTQALHSRLGGSPFGPAGTGKTESVKALGHQLGRFVLVFNCDETFDFQAMGRILVGLCQVGAWGCFDEFNRLEERMLSAVSQQIQTIQEAVRAGGDMSVDLVGKRLNVNSNIGIFITMNPGYSGRSNLPDNLKQLFRSLAMTQPDRQLIAQVMLFSQGFRTAETLANKIVPLFILCKEQLSAQCHYDFGLRALKYVLVSAGNIKRDKLDKIGSAALEDIAEQQMLIQSVCETLVPKLVNEDIALLFSLLSDVFPGIHYTANQMTEFVCNCNSQINEILPDFVNNFQLYMGSMWLDKVLQLYQITNLNHGLMLVGSSGSGKTMAWKVLLKALERWENVEGVSHVIDAKAMSKDSLYGVMDQNTREWTDGLFTSIVRKIIDNVRGEADRRQWIIFDGDVDPEWVENLNSVLDDNKLLTLPNGERLSIPPNVRIIFEVADLKYATLATVSRCGMVWFSEEVVTSEMLFARYLSMLRGVPLDSDSAVSFSSSNAPVNLVKDNEAKPTRAIEIQRTAALAIQAHFSQDGIVPGALKYAVSELEHIMPPTPQRLLSSFFSMMSYSVRKMVSHDEGLIDDSMELDQIQNFVLRSMLTNLVWAFSGDGKWKSREMMSDFIRQATTISLPPNQQACLIDYEVQLNGDWQPWLAKVPTMEIESHRVAAADLVVPTIDTVRHEMLLAAWLAEHKPLVLCGPPGSGKTMTLLAALRSQQEMDVVNVNFSSSTTPELLLRTFDHYCEYRRTPNGVVLAPVQLSQWLVIFCDEINLPSPDQYGTQRVISFLRQLVELNGFYRTSDHSWVSLERIQFVGACNPPTDPGRHPMTSRFLRHVPIVYVDYPGQTSLQQIYGTFNRAMLKMTPAVRGLADQLTNAMVDVYLASQEHFTQDDQPHYVYSPRELTRWVRGISEAITPLESLSADQLVRLWAHEAIRLFQDRLVTEEEREWTDKLVDTTAERYFGNSCRLDEALKRPLLYSCWLSRNYVPVTREELQDYVSARLKGFYEEELDVKLVLFDQMLDHVLRIDRIYRQSQGHLLLIGTAGAGKTTLSRFVAWLNGLSVFQLKVHSKYTAADFDEDMRTVLRRAGCRNEKLCFIMDESNMLDTGFLERLNTLLANGEVPGLFEGDEHTTLMTQIKEGAQRQGLILDSHDELYKWFTQQVMRNLHVVFTMNPSGSGLRERASTSPALFNRCVLNWFGDWSENALYQVGSELTRTMDLDRTDYEGSVRLTPSCELVPSEPTYRDAVVNTLCLVHKTVQKFNELETKKGHRVMACTPRHFLDFIKQFMAVFHEKRSDLEEEKIHLNIGLNKISETEEQVKELQKSLHLKRKELEEKKEAANLKLKEMLGDQQKAEEEKKFSEQLQKELAEQLKQMAEKKTFVESDLAQVEPAVAEAQTAVQGIKKSQLVEVKSMSSPPVTVKLTLEAICILLGEQVGTDWKAIRQVMMKEDFMTRILQFDTESLTPKILSQMEVYIQNPDWEFDKVNRASVACGPMVKWARAQLLYSTMLHKVEPLRNELKRLEKEAAKKTDEGKVVDVRITELEESIGKYKEEYAQLIGQAENIKQDLSSVQEKVNRSTQLLSSLRSERDRWSSGSAGFSQQMDSLVGDALLSSAFLAYAGYYDQMLRDEIFHKWFNHVVNAGLHFRHDLARIEYLSTVDDRLQWQLNSLPVDDLCTENAIMLHRFNRYPLIIDPSGQAVEYIMKQFAGKNIQKTSFLDESFRKNLESALRFGNSLLVQDVEAYDPILNPVLNREVKRAGGRVLITIGDQDIDLSPAFQIFMITRDSTVEFSPDICSRVTFVNFTVTSSSLASQCLNQVLRSERPDVDKKRNDLLKLQGEFAVRLRHLEKALLAALNESKGKILDDNSVIETLEKLKNEAAEVAQKSAETDKVMAEVDAVSAQYQRLATACSHIYHTLQQLNEIHFLYHYSLDFLVEIFTYVLKTPELTSTTDYAKRLRIITTSLFQTVFRRVSRGMLHTDKVLLALLLMRIHIRSNPAAAAYEKHFDLLLGRSDVAKTDDSDNSIPPGLDFLTMENKKAIAKTRKVQGFENVFAKLQSNSAAVTAWSTHDNPESNVPVIWDDADGKLTPLCIAMNSLIVVHALRPDRLMASAHRVVSTAFDDHFMQQDKVVDILSIVDNEVAPSEPVLLCSATGYDASGKIEDLAVETSRQLTSIAIGSAEGFNQADSALGAATKSGRWVLLKNVHLAPSWLAQLEKRLHSMKPHAQFRLFLTAEIHPKLPSSILRASRVVVFEPATGLKANLLRSLSSIPPQRLTKAPTERSRLYLLVCWLHALVQERLRYTPLGWSTAYEFSDADLRVACDTLDAAVDAVAQGRPNVEPERLPWTTLRTLLSQCIYGGKIDNQFDQVLLDCVLENLFTAKSFDQDHVLIPKYDGDESLFTPNMSKKDQMIGWVEELKNEQLPAWLGLPNNAEKVLLTKRGESMLRNMLKVTDEELAFSEDGKEEVKPQWMAQLGELAKQWLLLLPKEIVRMRRTVENIKDPLFRFFEREVNLGSQLLKDIRRDLNEIAAVCRAEKKQNNETRALAASLQKGEVPNGWKRYTVPKEVTVMDWMTDLNERLKQLMRVGGSDNLKRETFWLGGTFSPEAYITATRQQVAQANTWSLEQLSLHIHIGRTDNTDVFRISGVDIRGAKSVGGNKLELCELVKSECDVVEFSWKQEAPDGTRLPLYLYGDRRQLISPLSFQLSSATVFYQRGVALVANSTL >CRE23952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:503342:506075:1 gene:WBGene00062412 transcript:CRE23952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23952 MSCNNVSIFILLALIYFQLLSTQKLTENENNLRKETCGKGLNCELQCVTPNLMILLVKNSYGRKILNGMQAKIGDAPWNIAIEVIHEGGGLCTGTIVSSRHVLTARHCFALFTENGYVWTSGNEKIDGCQNENENDLVLNGNFSNMFKVYAGTDCGFRRNCPGSKYTSIEISKIILPKVCDDKQLEFDDFAILELSENLNFSKRIQAICVTHDDKYTFENDVQMKLFGYGVDPASGENSAGRLRSETVKAEKCFKTTEKSFCTKSLSKNQLACMGDSGGGVVRMIDDRVTVVGVIYQGVTCEIATINEKDYVSSVAFYSNDICKYTGICSNIAIKENHQNSRNITLKMDIELLQSTCAVTLAVCSSVSLMLIGCHTADRANARRKTGNSSRRGKKGKKDKSQRSKRGKKDGSKRDKKKPLGQAASAPPGGAAPSKPLGSKDPAGGSQRDKKASTEQSQKPSNEKDKSSRGGSKRDKKEKKEGSKREKKKETEKKPDAPSPSPSPAAKEDDDMHTAREQLSPKTAPATTTPTTPTTPVETQAEKEAIMSKYVNDVEGTAPQSLGPKKSNTMLEGDEKKADSSLYK >CRE24028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:512472:513260:-1 gene:WBGene00062413 transcript:CRE24028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24028 MTEPKSPLETKDTKVEPTNVREIDLNDGLGFTLDESILYSRLKSIGKVIMKLIVLTICVSACCALFFYEAVTVSLTTRKSHSNLFWTIRVFSAILTLEEMKQLEDEEARMHLKCFILLLWSFAVYTLITEMMTIMLLNDKIRILESMRHRTKMEAILSLIRQKSYYDADAVEFILENAASYKTDAVSDEMEVKDMVYHRVHGLNPHRSFYYPDH >CRE23953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:515007:519165:1 gene:WBGene00062414 transcript:CRE23953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23953 MNIFFLFFFFFLIFFVFFRYVYSTHSTIHNHVFWQRLLPAKFFWILLYRWADGRTIGFNTLSFKDTSLLISQSTNTSAFNMFQHSFSASVSRIATFEGDSIQPCDEQPETSNVSLNPLSMVFNYKGSPVTIDLLECFACSSEAENCVDIAHANNIFRVIVSAHAHLFLIQALNVSSLLLARTTSHLVAISYLARQNEKIQQKLISLLETSESSDTASREDIRQMLLLKNVVVTQRLNSLQQIPLPGIEVKKKKKKKKHGERGRQVQNTTNENELLTGFLEYRLSLFLFLRFCQSDKSFRPIKLLFYIHSLQQIIRSNSFSQPLLKNLFWENGTEHTNRIWMLFLWNRCVELIFLQ >CRE23954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:519851:522198:1 gene:WBGene00062415 transcript:CRE23954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cgef-2 MNSFRIWRKPMEIRDMNTMNTSMAATSTSQQYHQIPIQIQNQNINTISSSYQSHPSQQQQQQHPVDHVTTHNGTTTFHSTIPLKPRLCEGSLAPGCSLAEASEQRKREMAEQKKELAGTSSAEDYFVRKTNGRLGLTIYAHNDDGVIRAEVRGVTSFAPRCAQVGDSVVAVDSELISSVRNASDVEKLLRIGKVIHLRRKTPLPPKAPAQLDATNVNKKTGCAKLAMALQELLVTEKKYVNDLREMNDVFLCMRQVRDIMHAAIRLYKMQTSFVDSIEEAIGDMSRQDISVAQIRDSVMRVCAVFINKCADFKIYAEYAAGYHLLQHEIKSKKELLSKLEAVNSTREQHCSWESRMIKPVQRIVQYPLLLKNIADALPKDARERIQVETALQKMQTSAEYVNEMQRLHEDYAQYMETVRKANENMLTEKGLRLDNRELLVFAHIKWRDAPAEHYVVFVFHSLILLLPSYARKEIKMKWTRVLPINEIDINEMPNDTLNLKLYHAAFEGPNGQLSHSNPNTVYNIECCQSQLKQHLIKNIKKARAIFSRESHRPLSGSSQSDGGYVSEVSKDHRNSK >CRE24029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:522375:523391:-1 gene:WBGene00062416 transcript:CRE24029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24029 MATVCELVQLPVGSECGKWTILKKLGEGAFGAVYLVNQKGKTDVEYALKVEAESDPLGLLKMEVAVLLEVRKQKIAGRHFLELADRGNLPGKFYYMVMTLVGKSLQKYNYISFIFFQDLRKTAPFNKFSMGTAISVARQSLEAVEDLHNIGFLHRDIKPGNYTIGRKEMHELRKVYMLDFGMARKFAREDGTLRNPRARAGFRGTVKYAPLACHIQREQCRKDDIESWLYMVVEMTSGRLPWRNLTESDDVGVFKKECKTTRQRCLFGGCPREYMEVFPILDKGKFFDAPEYKTIYELLEKALANTKANEFPYDWE >CRE24031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:525558:529164:-1 gene:WBGene00062417 transcript:CRE24031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-marc-6 description:CRE-MARC-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MG48] MEVEHQRPPDDGGNEDESNQPSTSSENQNDETPNNDSKPASSQTEQPVDDNDDHLMCRVCRGNEGNLYYPCLCTGSIKYVHQECLVEWLKYSKKEVCELCNHKYSFQPIYRPDMPKALPILEIMRGVITSGAIMVKTWLVYTFVMATWLGIVPLTAARIYNCIFYLSFHDIVNAPFQLFKTENFLPDILKGSLLLVVFVCTFISLVWLREQIIIGGPQHFLNIEAENEAGDDVANEANASSDDSDEDEEEDDDDDEEEDDDDDEDDDEEDDEESESDEESEGVPEFFEEVSDGPPEGVVYINGDDFLNHRQAVARGFPGALAFEERRRDIYEARHGFRPRMPDVGPYDDMRDDENEIVEDGLLMHVRGNPHANLDQNVAHPNDRIPPPMEPEPIVPRAAARANRRIRRQRDNAQAEEQAAAADQDDNWREWDRFGDELTWQRLLGLDGSLIFLEHVFWVISLNTLFTVTFAYIPYRFGNWLLGVIGLHGKIAYFPSIVAMILGYIQIAGITFTLHQLMHSLKMKLMYRFLGVMFLIIKVFLLVFLEIGFFPVMCGCWMDVCTLPLFNITLSQRVATFSSAPFMSIFLHWMVGMVYVFYSASFVILLREILRPGVLWFMRNLNDPDFNPIQEMIDLPFTRHFRRLVVSTTLFFSTILLIFYIPLNIISSILPSLLPYNVSMSAETPLSEISLELLILQVVMPAILEHANGRGFIKYGVRIWCKIVGTALDLDQYLLSDNNNNLNQNNNNNNNNAGNLGGAAAGNAGAAAAGAAGGGLAAEHQALLLLREPRAYEPYNRPSLFGARVTILLILMSATTTLCSVVVFIVPASIGRLIILVISGQMNVHEMYTVSLGLYVCWMLGKLGAIVIKFIRGGPHLFKRAVVHYSYLGMRLFLVAIPIIFLLPLLLGTYFQLVFFAPMRLGYQQSALMFPYQDWAMGVVQMKIFGVVAVMGPDWWLKTELDLLVQRGIENFAALHVFVRIVVPCILYLSSFIAFPVVVIKLYAFIAGADLEWTMLLLRFSYPAFLFITSAVVFIRWQIAKFSELAEKIKNDRYLVGTQLVNYERNGVRPAAS >CRE24032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:529974:532556:-1 gene:WBGene00062418 transcript:CRE24032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24032 MPKGSQATSAKRLKLKDGSVTEYSNSTRTSCKSRGKMTRSNDRVGSLPIKEANDIARKLTQYHHIDLTLPYRCEEDAASATKWIATFDEDDIVIQRKLCLICRRNPMDAFFKTHVAQNHPEYSPETSEIMRRLSDVRYSRFCQKGHISCDIIQESFPSISLVELINFLASLGFTLCRKQSSQLKPIDFDKKFEEPDIRGVRKSIALEVIKRIKSPTIQFLEELQFSAEVVSNSRMMDPSTQTTVHCHVCDKICNLKNFKRHMEVHKNNWVERFSDISLSDATEIFKRITDVRTALYYAAQPVRNTMIVKLSEDVAVQNKLTYFLLDSGFVVEDSSVETTRHPTESIKEKIVALDPNSRDMPSLLKYGMEENNLKEQEETERDTPDLPSLEVFESEESESGSSLKSEYGSSRRRSSSVTSGNSSTSTSSSRSGINISPSSSPSEEPFPYCILNAIPEHLEVEHALYDLLEAMTDDPTFQLTQAQYGFLLGYTMYCLVVSNGSKHNVISRLTLGDFRAAKVDEQSGLHYFSFSTKTTCTQLDIREHICADERIWRALEIFEVARERRAAEMQWNVMNDDTAPFFFSYVSPNFILKDTNYWMSQFLRMCEIDWPCRSNAICSAAWSLVAKDAKKTEHPQYAITYFKLLREKERSQTQFLDNGISGHPTYRKVSTIVFSKVDRTKMTPNERSRKKQKRYMTPERVGKPNKPRGGDHKSKEFLIRTGRVISSDSEETQIKNQIKKQDSDDEPGTSEPLKREENEYSEHVNFDDTKSHWPDLSPSSHLAGNASSTVYPQYREVKVEGNLTMACL >CRE24033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:532807:536471:-1 gene:WBGene00062419 transcript:CRE24033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24033 MGDKRVILNKDHFFQRAERLYEHWESGEEGLDSVKSLAVVYGDSDNPYTKSSALHSWLFGHEINDTALLLLKDHIYILGSNRKVDFFGSVIGDQFHGRVPPVSTMLRDKTDKDAANFVKLIDHIKQAGGELGAFVKEKFNSDFVNAWNDALKAEDINKVDVTLAFTHMFSVKDDKEVELMRKSAQVTSASWTAARGRYVEIIDQEKRVRHSVLSSEFAGYMKDSKVQQSMAKYGAETCYEPIVMSGGNYSFKWNHESSEAHLHSQFGTIITSFGARLSDYCTNLTRTMLIFPSTELEAAYEAILSAELAVIAALKPGVKLSDVYKIGVDTLTEKNPKLTETLNKKELGFATGIEFRESRLSISAKCEETVKAGMVFIVYIGADNIPNKNKGEKGKPAAIAISDTILVKAEGENEILTEKAKSRLKSNVIKFKEEQENREAEKESDQKKMLGRGQRSVVLNDQTRNKTTNEELRKERQKELGKQLNIDAKARLSKQDGGTDEKKVKKSNVSYKNEERFPQDADIQKMLIFVDRKYDSVIVPIFGIPVPFHISMIKNCSQSVEGDFTYLRINFATPGSQVGKDNGQFPHPLAHFMKELTFRASNIKEHHSDASPPSSNLSTAFRLIKEMQKRFRTEEAEEREKDGAVKQDKLILSQNKLNPKLKDLLIRPNIIQKRITGSLEAHTNGFRYTSLRGDRIDVLYNNIKHAFFQPCDNEMIILLHFHLKNPVMWGKKKYKDVQFYTEVGEITTDLGKYHHMQDRDDMQSEQQEREMRRRLNTAFNSFCEKVSRLTNDQFEFDSPFSGLGFFGVPYRSATTLKPTASCLVNLTEWPPFIVTLSEVELVHFERVSLQLKNFDMVFIFKDYKMKTQMVAQIPMSSIDKIKEWLHTCDIWYSEGIQSLNWAKVMKTITDDPDDFFENGGWSFLDLESDNEDANEDSDESDAYDPEEEDVSGGGSSSESDEDESEGEETESDDDEEGSLDSDESEGKDWSDLEEEAAKADKRREVEDLHGGHDRDRDRKRPHSSKAGPSHKRRK >CRE24034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:536924:538812:-1 gene:WBGene00062420 transcript:CRE24034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24034 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MG51] MPPKVKKSPATGRKKNSKASRRPSQTNLISVENGGVPRGRGRNTERSVMQTLEQEETTSNDAGGNQKRSVAQPPQPMSQFQPVPPQPTKAKEMATRTMMLPPPMPVQQPPPPAPIPAQNPQPPAQGSQMPMMAPPVTVMPAAPRPIQPNQPPVVNSQIPQMQPPQPAAPMPPTPRPPPGVVNQSSPSPTPVPKATIPQQNGVVQQQPTPPAVPEPIRRPSRERVDQSVDCGVETSEKKKRAEVINSWILAVLQGGVEGLKKEYHASMNDGPMEKAVDFLDNPTKNRYHNIPCCDATRVKIADDPHFYIHANLVSSGPNPRRFICTQAPLNGTIEDFWKMVIVSGLEYIVMLCELVEKGKPKSAEYFPVKIGEVMKIGKLWTITKENNVDIDKNLAMSTMRITKQGDTAVARTVKHIHWHNWPDHGVPDNFLSPFRLLSIFKNCQKPVVVHCSAGVGRTGTLALILIILEALCLPDFLGVPRLLTKLRDERFKSVQTEMQYLYVHRCILEYLVWKKYTYSKEDYAKFVKDYEQALAGCVEK >CRE23955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:539110:540145:1 gene:WBGene00062421 transcript:CRE23955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23955 MVKKITIYTAFGHFLQMVERQAEEEKEQVKELDTDRCPMDHPLSDYQNALQSTQDLQVRSCPVDQSVQIDEIMKKSASCPSLPFNFSHPIDPIQMTISPVPTSIESKKLNEATEMMMSFWKVHVTSRKPKSEREEQISSIFEKLEGLFAVSSTTSVASMFGKFEEYIEEMKREELPKKDKSLIWNSPDQPTIPQLPVFVKSPVDSLKFYKGTHTQTAEMADDGKYPIGKYNILLFCFTELSELTHYASANTSTVSSPTTSSGSSSSSGSTTTIIARPNRLQTEIRLQQAVIEEQKKIIDGLYSLQTLSRRLKNVRK >CRE24035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:540390:543381:-1 gene:WBGene00062422 transcript:CRE24035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24035 MLKRQTFRIKNVRPFEFNDLNLRSTCPYQLLFFLSSPWRTPFLLGVYTSSFFRLSKIFHFTSYFNRSSLMSAVATFLVFQGGFPYIVQLFLFLFTLTSLVHLNHGSFQMETNSTLLEEDDSTFFSIAAILDSIEGSESFAWIRTNLRHLLPVFCFIGILGNSMALILIRTNFWLKRLTSNIYLCTLSVSSCCFLLTVIVSWADTYLGLPLFSESEFGCKFVSFLAHFSDFICVWMISLISCDRMIVLYRPSIRKWVCTKKFARNMTIGFVLCSMILYSWLFVLAGLQMFKTADGVEKPFCGLSEDVNLFGYQVDQHYFMFTLMDTALCTLLPAILIIIVNTFSTYRYRQCMKIYSSGVLRVRFVRAPTTQQQQQQLNNDTIQFEETTVKKYLLSTDNTNSSVPTSSTQSRNCGKLRSSDLQLSRTLIIVTSTFVLLNVPSYAMRILQSIVGSTGSLFNFVYYITYLIYYLHHAVLFYMYIFWSPQMKKQLKPTAMRLLECYCLKTVPDFGHRSTSTQG >CRE24036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:551666:558054:-1 gene:WBGene00062423 transcript:CRE24036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24036 MSVIIRLKNLPITAAASDVRTFFSGLKIPDGAVHIIGGDEGEVFVGFASDEDARIAMTRDRSLIHGAEIRLLLSSKSEQNSVITARKNGTYASPESYEEYVPTAPSQPSAPQAQQSWNSQPAPNPYAATSGYEASYPPQNSNAVRSNFNSQNNYSSRVDMPQLQSPGKPKETFGYGYNNGYKNTHQQQGPPSHNVNQRFGSNPSSSKLTEPLKSRPTPPVQYQQNQAHQEPPHQNGPHQNGPNQNQRFSNYGIKGGNHSGNRTNNFHEPGNEAVPGGDSWRDNTYNQPPRNDFKQGYKGDYNSEYREDFKKDFKSDFNGANKFNQQLPPRNGPSFDGNQQSNNRFPPQNGRKTLMPTPQVPFQNAPPAFSSPPQQQGNAVHPGNKPFQNGPPPVMNQQRNIPPIFPNNAAPPMQNNMNRGPPANINRLPPVPFQNPIGAQAPSVPAFNQAPVIPVINKPATVGNPLEKFYIELTRIPTDLLRPAALEAFIRPTLPLTLSSVKTVFGPGGIHMHTIIRLDSIADYATMMRRNGEQGIKITQSDKKSFDSAIDGAPIPIAVSSVITEKRDDDDSKKLKRSRWETDSPQRSPRRSPPRRNIRDRSRSRSPARRRRRSRSPRRLDEHTDPTRWCIQVTNVPFRMKEEELLEWFAEKVRPAKLVRTFYSDGNASDRWVAEFSSESLMRRSFSIRTLCVGRTLKLSYIDNEKADEILKIEDVYGEERRHKNEEARMQQEEAEKSNPPSFFNAPPISRPPISNPIQPPVMLQNPAVAPNGHNGPPLNGMNGSIAPAFNGQSQPARGGFIPRGNGFPPTARGAMMQRGGHGGNQGFHKNGGNNFNAQEPQGSFGNQGPDSFRGGYRGGFRGGRGRGGFNGAFRGEEIQPSRNEFMELVKSIGPRGTVLTCNGFPKDVTLEDVVDFFIDYEPDRNSIRIRRGEDGVMTGECMLACMSPENARRASVDLDGQKLRNSPITVRVL >CRE23956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:558296:561172:1 gene:WBGene00062424 transcript:CRE23956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23956 MENSEKSTRTKVKIEKRHATRRVASASPLHEKQEGLMSLKNKLGYQLNYGIKCTFSTSTHALKRSSRVLKKQPKNKISLAPAFTESLKKWPKLLDAEIDAQFEKLDELIRNKSDWREIEERGLCIGNLIITSKHHDVYNGSVVSLTGDSIIKTRWLKNGIPVLLNRIDSDGRLKKITEGHVIDTTNGILKLCIYCDEYIDFSTTNYVLIPSRNGGATKFLQSILKDPSTLSSDSVHLINLAYRAITMPSIHDRKLTNLPETLNSSQQAAVSAALNTQRNLLCIQGPPGTGKTRVIAEIVHHLLKKKKKVLVCAPTHVAVRNAMQATMKRMLLEIPANIVEAQVCTLNSLRDEFQQHQFFPKLLAANERLAKTNKNDSEYKKVSREYYYLWLSIVRSIYSPRQAIYSTLGTSSIQKLNEYGWKADVMIVDEAAQCTEPATWVPVLTTPSCKKLILVGDQKQLPAVVFSEKAMQENMKVSLMEKLSSEFASNNINILLNEQYRMNEKIMNWPNEIFYDNKLTAHSSVADITLRDICPDIPEGFVLNNPILMIDMEKFENKSQEECLEPFSYSNTGEINVIKDYVIRLVTDVGVDPKDIAVIAPYYAQIERLRSEIPFRVDINTVDAFQGHEREVIIFCLVRDNDDGSIGFLRETRRLNVAVTRAKRQFVLIGSSRMMMRRNKDLRKLYKYLKSEKVVFGPEIFDNFVDAGLPSMNSRSD >CRE23957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:561454:562003:1 gene:WBGene00062425 transcript:CRE23957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23957 MNHQQIVILCLVFVIFPISEAVLKCYTGYSIMKGSTIGTETKACGKETDYCYNGTADISSFSKFQKAGCNTVICQFHANKCFDQVVSGQALTFCCCNTGDLCNGGAVTDSGSLFDRGLSVLKGLVSAGKK >CRE24037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:562054:563179:-1 gene:WBGene00062426 transcript:CRE24037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24037 MFSYRIIALFSLVATAFSLECYTGFTYLKAQSVGTSKETCSSPNDFCYNMTADLTSLNNVKKGGCSSTRCIIARNKCVTQSIGGTQIQFCCCNTGDLCNSKLTNMSFFEKTKQRAKDFLDMLRG >CRE24038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:564106:566623:-1 gene:WBGene00062427 transcript:CRE24038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24038 MRRPSSNATISSDMLRKPVQITADPRVYKGSVFANRRLAHDRARQAEQVESKEKKYRVGVIREQLNTLLPRVPVPRKVHQTTATTSSFLPPVPSKTRSTSTYLPDISTRNGSALNTSIDKGRGSKSFAAARFMPVSSTKVGNGDILGKTQPFAKESSSTQFPVKVVVNFERSLPSDIYGTGGSYIRRHSRHVVLSQTATPPSSSRPVYPIVDSGVQTDDNFDQKFEGLVKELANSAIEMAAINLEAENTIRAMRKTAEATEHELRAVARKQNLESADWRKKMIEKNNELESWKLQEANAREAQRLAQLTCEEVTRKTLENLQIIERNSKKSIGLDNRDMVQYEEKRALVTAELEKDFFPWLLHRAERLFKNQMARGHLDHSMMNELHYRRKMEKRRLISLNSYSL >CRE23958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:566820:569931:1 gene:WBGene00062428 transcript:CRE23958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23958 MMRSSICGAGADWSLLLLIFYFVGNSQSTSSFLAWQKASSQQIEAARIIGFRARYVYTQAIKSEGLTAKDRKLLYLDGLQKCNSIDDELISGIDKNVVRRSYRKSDSDVLEKTGLNKFFAAFVTLPPVEMKKVVNFACAKHEQQLECGLQYEGEERTKQRIAELMEDGGNKQMFEHECVDEDYATRVYPCLGRTENWIGACEKEISEYSVLREKVNNKINSVYNSAIQTVKSMKENREQVFTDTMKFINYAEGSKCLSFKKVNLIFLIFFELLPIIQMRICLLQQLVTVCGVETARALNTSLSVGYLTTERSPRLQLDFDNFSYPAHPFCEKL >CRE23960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:576396:579199:1 gene:WBGene00062429 transcript:CRE23960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csnk-1 description:CRE-CSNK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MG61] MTNTRGSNSATSASTTNSQGVLMVGPNFKVGKKIGCGNFGELRLGKNLYNNEHVAIKLEPMKSKAPQLHLEYRFYKLLGQAEGLPQVHYFGPCGKYNALVMELLGHSLEDLFDLCDRHFSLKTVAMVAMQLIRRIEYVHTKHLIYRDVKPENFLIGRYSTRKQHVLHIIDFGLAKEYIDCDTGKHIAYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLKERYQKIGDTKRQTAVEVLCEGFPDEFAQYLRYARRLDFFETPDYDFCYNLFKSVLDRLGASYDYEFDWTPKLNNVSTPSGSLHTSESKDVKRTDRGELKVSQAAAHAQFGSTQVINSNAGEAVEESRNTEGRTAAGDNLSGEVKCCCFRRRRRKHNNATPATQK >CRE23961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:579947:582976:1 gene:WBGene00062430 transcript:CRE23961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-12 description:CRE-CED-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MG62] MAFHMPVKELQPVDISLPDHMVKGAVVIDKELTTWNRRAVIPSNALHTVFLTINRLEQKQSDVVKMAAHEMNLPENDSYGLMADGPKRFITDENLNQLGSGFILTLCASPDHYVKRITEILNEGSNILQMENAVKTLDEFSLDPALIEAFHSCSSLELLFSLIRDDRVCMSSTLLSTCLRALSSILELAVGDFTWKSVPRDVVVSIAALVTGKAKREESNTLLAALQMIDQLVVGDDMTRDWCLEEVPIETLIRHVEKSDERIALSALSLMNSMIRRCADDEKRLELIKSLEVVPFRNAVHSSLLRVGNATNPKALEQLVEVQRSLISAYDTTPPSEAEIQKVLDIESAEEHSEENVEVWRKQIGEHRCGRLATLAMTQFAEKSPQDLRMLISENTMRIEGGKWQLIPMWMRCCDITAEIFGLIPGREELDRLISILFSSDTPFPAVFACIVHLFHRTWREMQAKGGEMEKVASVVLEQLRHVLKKKEMQDVEELAADLETFSYKAMQEIWREEQLGKENDQLHSQAVISLKAKLKPKMEELVRMNHLNFLKQGAIFRKPMKSKSLAKAAFWHWKLDVSEKMLTITGCDGDHFVEGVPRDDIRQVWIKDISDVTNNDEIDRKASSSRFTSSPSTQMLRGIRVQLKPTSDFKEGEVLMALTSDEKQALIWQESLVYLVGNPEMRSLTNGLAMVERMLKMELRVRLLNVKLSNPEEKPEIPPIPEDLSSFISNF >CRE23962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:583238:583959:1 gene:WBGene00062431 transcript:CRE23962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23962 MSVIKEVKAELRHFMKTCLEKVSAEETKQQSEAVCRKVTDSKWFQDSKRISIYVSTTGEIQTDSIIDTALKMGKEVFIPQVRFVFNLRIKLEFQFTKGSTAMEMVRLPDQKSFYSLPSTLWGIRQPDPSWTWESYHISGPLDLILAPGVAFTPDGLRCGHGKGYYDRFFSTHSSNFPLKSPLRIGLALRQQIIKNIPVSETDIQLDDVIYEGEKIVTDGFMEISK >CRE23963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:586182:588408:1 gene:WBGene00062432 transcript:CRE23963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aha-1 description:CRE-AHA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MG64] MAQDVFMDPWQSANFAMEDDDMGMPSGKYARMEDDMGENKERFARENHSEIERRRRNKMTHYINELAEMVPQCASLGRKPDKLTILRMAVSHMKGIRGHSAQDETSYKPSFLTDQELKHLILEAANGFLFVVCCQTGKVLYVADSITPVLNLKQEDWLQRNLNELIHPDDQDKIRDQLCGSEVSVNKVLDLKTGSVKREGASTRVHMSCRRGFICRMRVGALEPLHRLRNRRPLFQHAGQNYVVMHCTGYIKNAPPQGINAPASSCLVAIARLQVASMPVCADPTSTNQFSVRVAEDGKMTFIDSRVSDLIGLSSDQLIGRYWWNLAHPADEKTLQDGFIALLSDQPMRLNIRVRTSTDYTPCTVSAYKFMNPYSEQFEYVVATHQIAPQEDINNWVTGSATNQPQATGFGELGAPVAAGDYGQSSSGWRPEAQVPPPAQWQWDPMNGYNQ >CRE24039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:588637:589378:-1 gene:WBGene00062433 transcript:CRE24039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-34 MPSVPRVDRLKPIYTAAKALNFGWRFSDFLKIPAYNGISRYTNQLHRITVRFCKQSESSVGVRNFIENQIVEIGRKNPSVVIYAQPVRNSNPSIRAEYGNGRTLQINAKNMTETEVSKDFHLLFSRSGEPVVKLESRQSALCPSVQGQWTPITWLPTAMNTESLPAKQFSEHKSTATSATEYILQNQPK >CRE23964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:589791:591112:1 gene:WBGene00062434 transcript:CRE23964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lid-1 MTVASTKAALSTIANWMSFTDETLEKLKRVESRLLESCDVQYESKYVPVRFKKGDVYTLTVKPREGENPNGEAIVLIPGLGAGVAMFAANFNKCNKNHSVHSFDPLGFGRSSRPKFSDDNAISELEMVEAMEDWRKFMGIEKMYLVGHAFGGYLASAYALEHPSRVAHLVLVDPWGFAEKVDANEKLIKPYAWMSFLGGVAGYFNPFSPMRWMGPYGTPAIVQKLRPDLVIRFPGLRDNDIYKYVYYLNLPNPTGETAFMNMTLPVGWAKRPMIRRFNGIDKNVGVTFIYGSKSWIDPGPAIDIQSTREGAYVDIKIIRGAGTHVYADDPAAFNKIVGDIVEGRLAAPSNDFELEECFHSD >CRE24040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:591376:592546:-1 gene:WBGene00062435 transcript:CRE24040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttbk-2 description:CRE-TTBK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MG67] MTAPPPELVQLPPGSMVERWTITKKLGEGGCGAVYLCTDATGKYALKVEGISEQMQVLKMEVLVLGELTKRGSRHFCKIEDKGRYGSFNYVVMTLVGKSLQDLRKGTPQQSLSVACSLSCGIQALEALEDLHNIGYLHRDVKPGNYTIGRAELNELRKVYILDFGMARKFTDNNGVIRKPRAAAGFRGTVRYAPIACHKNQELGRKDDVEVWLYMQVELTVGRVPWKEITDMNAVGQAKQAIRNTPEKMFLPPCPMNELKEIMKMVDSWDYFADPNYSECYRLMKQALANCGKPEFPYDWEPGMPLNYMVK >CRE23965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:593024:596216:1 gene:WBGene00062436 transcript:CRE23965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dao-5 description:CRE-DAO-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MG68] MSSELYANAVLYSEISQRNPELVPKMFNEAARKKLDAFLKDHEAPKLKDIVSDNVFQKRKRTASTSGNEPPSKKVAAKESSSSDSSDDGAVKVFLKMSSVYVSITVNIQKNGVQKPAIVAAKKAATPAQKKASSSSDSDSDVPAKKLTPAKKPAQTPAQKKAASSSDSDSEDEAPKKTPAATPKVSQKKADSSSSDSSDDDKKKPALKKAVTPATPATPKVAAAKKAESSSDDSSDDEKKTVSKPTPVKTTPKVAAAKKAESSSDDSSDDEKKTVSKPTPVKATPKVAAAKKAESSSDDSSDDEKKTVSKPTPVKTTPKVAAAKKAESSSDDSSDDEKKTVSKPTPVKATPKVAQKKESSSDDSSDDEKKTVSKPTPVKTTPKVAPKKKESSSDDSSDDEKKTVSKPTPVKTTPKVAAKKAESSSDDSSDDEKKTVSKPTPVKTTPKVAAKKAESSSDDSSDDEKKTVSKPTPVKTTPKVAAKKAESSSDDSSDDEKKTVSKPTPVKTTPKVAAKKAESSSDDSSDDEKKTVSKPSPVKTTPKVAAKKSESSSDDSSDDEKKTVSKSTPVKTTPKVSAKKAESSSDDSSDEEKKPAVKKPATPATTKASAPTTKKPVSSSDSSDDEAPAKKTATPAKKVATPTKVVSKKGSSSDSSDDEVPAKKLTPATKVGTPKPVVKKQDTSDSDSDSDDSDDGKSKKSCFTKATPISAKPAAKKVASSDSSDSDSAKKPVAKTPAKATPGKIAEKKAASSSDSSDDEEKKAPAKATSKATPKSVVKKPTSSSDDSSDDEPVKKTPAKTSGTPKVVAKKANKESSSDESSDDEKKPVTKPIAKMTPKLTAKKADSSSDDSSDDDKKAKKPASTPAKATAKALKKEASDSSDDDEDLPKPSKGVTPRLKREDSEDSAETEESSSRTPALKAKPLATSTEKPAYESRKRKSSPFRRVQMTKDSVAEKFRNNQHDANFDQWGHRANESLSKVQGKSFRHEKTKKKKGSYGGGPINQSINSIKFSDSE >CRE24041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:596476:598116:-1 gene:WBGene00062437 transcript:CRE24041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsa-1 description:CRE-RSA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MG69] MTTTATNMQNTDQKSIYPSLINAMTSRRSDEALNDFQRDFNNRVMGLFADGRSTSVEQFKTDTDNFLRALKTEFIRGESGSKRYVTLKRLMKILTESPQSINELLPHSTVTVFVKITNFNLTIDITLIGHINPMVTIFTRFIAEELAKTVIHAEETYIKLLPYSENNTEISSYSLQDFVAAHYVPQMVEEPERADYYSAYAVGIIFFLLDARRRENIYLKDLLSSTLLMHLESCINAENNCLEMPEIDVFTVGQFRATLYEFRCLDTDRNGVLSPAEMTFFRDGYFNAMFLQRIFDISMIYDRCLDFKAFVDLMAAIKFRHTRASAKYHFEALDFKNDGVLDEDEIRTAASFQAQVVPEYAPNEDSVHIDIVTAELKDMLRLKKTGLTLEEFIDNRMSSTFTGFLSNYSDFMKYERREQ >CRE24042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:598476:602270:-1 gene:WBGene00062438 transcript:CRE24042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-iars-2 description:CRE-IARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MG70] MRFTDYSFEFNDEAIHFSVTSHSDKDLVHFNTTGRIGQVIEVIQPPELLSSQLSEQQRVEYELKSLLGNPELPGVELFIRRFVIHFAAKKQRRNTLFVFGMREKYNFIHYFVIGIDLDGISNECIAAALRALEESHQVCALMKFISSLNSSNITSSEEPKRKKSTVFLPSSSFTNHIKSTERSLSDQKASRDGGLNELYSWQSQNRSNAEVFELLDGPPYANGEAHTGHAINKILKDFVVKSRIGLGYKVRFRPGWDCHGLPIELKIGKQQGNLKQRSPLEIRAAARIVADEAIGKQMNAFRRWGVTADWENPYITKSSKYVAAQLDIFAKLVEQKLVYRSFKPVYWSPSSNTALAESELEYNEKHQSTSVYFRFKLINFSSSDVEWAIGVPSKLTQFFALTWTTTPWTLPLNNAICVSPTIKYSVIQLEDDINMPTSSFYLIASNLIAEFEKSSERKCKVVGTVNPEILIGKRYRSCWLVDQYCLKVYIRYSRHNELALPIYEGPHVMDNVGTGIVHTSFAHGFQDYDVALSKKERVQSFVDARGCYTRHLGHDLDGKPVLGEGQKETLRILKNDIVYTAKHIHSYPYDWRSKKPVIIRSSEQWFIDVEEIGKRASKMIDKIKVSAGDSDLRGSLKQLISTRKSWCISRQRVWGTPIPALVDPNGGSYTSRKLIEWVANLTRERGNTDVWWEIDVNDILDNKAVRDSLDILPDVVSQLSKNTDIMDVWLDSGLAWHAAKENESERDNLTDVVLEGVDQFRGWFQSLLLTSVAVQNKLPYKRIIVHGFCIDEKNNKMSKSIGNVVDPTLLTDGSLKQKAIGADGLRFWVALSGSENAGESKIGPSIIEDVDKKVTALRNGFRFMIGGCQGFHGNQIESQLKPLDLDMLEKCDNFVKKSIENYEDFKFRTVANDLTQFMQRNFSANYVKYVRDRLYCDKLGSDSHISAQFTLHRLAHNLSHTISPILPHLSAEVLQHLPGCHEKRILRQKLENLHSGVLSPDPKLSTLMKIIFEVRGLLEASAGPTVDTSKKGLELYTTPEYSTILRDYFSELPELLNVSQVILEEVQTSLSVKLLDSSLRYCERCRKHTRNTDDALCGRCTKAALA >CRE24044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:603568:605055:-1 gene:WBGene00062440 transcript:CRE24044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24044 MGIGADEKKPLLVQLNGQYYDIADFASKHPGGAKVLNRLAGEEIGEYIRGGKRIMGVRHEHSEAAYNMLERYNVTTIQKGDPLIESKTGMLFKVGSLGSEYWHWIHQPYDGTLRLFDSDLLESMTRTAWWVVPSVWLPIVALFSVISVVSFSSSADVYNSILLWSAWFVIGVLTWTLTEYSLHRWVFHWKPSPESPNQILLHFLAHGLHHKTPMDGDRLVFPPVPAAMIVGIFYLIYSNTFQWPVFCAFGAGKLFGYVMYDMVHYYLHHGSPRPRSNLHFRKVYHHNHHFKNFDVGFGISTSLWDYVFHTLGMGPL >CRE23966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:609306:611260:1 gene:WBGene00062441 transcript:CRE23966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23966 MNRNRRDGQRDIKRERRSRSRSPRRSRIGAAAGNNNNGNASSNNRMVYITNIAYEARWVDLKSLVREKGGEVVFCELLEDGNGKPKGNAVVEFETREGAEKCVANLQKFDWKGRSIIAKEIRDPTAFFRTIKTETGIDYLSRTGGGASGGARAPQSKDLDRPARTGTFDLFGLNMEFLRQHNIEPPLCERIFIANLAFNVGTDKLYEVFGMAGKINWMDFRIDREGKTKGVCVIQYTHPIEAVQAISMFNGQRLFDRNLVVKMDRFEKEPEHKEGGLPRGLESIGMGLGADGAPLANVHGMFPGDVPPQFNVQAAPFISGGPQVGGVAGFPQGVVDQSNFARNVMTNAPFGNNSASGTSFSSDVFGGSGTGGIVAAGAQPTRVITIRNLPTDYTWQIVRDRVRNFGEVDTVDMMAPGAARIRFASFQDAERARAALYGTTVEGRMISVDYA >CRE23967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:614178:614847:1 gene:WBGene00062442 transcript:CRE23967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkh-10 description:CRE-FKH-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MG74] MEDILRQFLSSNSLKDSPFPLIPLPLDTSILSSPECQQPKPQHSYIGLIAMAILSSPQKKMVLAEVYEWIMTEYPYFRSRGAGWRNSIRHNLSLNDCFVKAGRAANGKVSVYTFVSNQRILFQGHYWAVHPACVRDFERGDFRRRRAQRKVRRHMGLQVEDGDSSDEEGSAGSDTSPPIFATAFWNLTCIPRTPIKSFTIDAILEHN >CRE24045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:615538:616401:-1 gene:WBGene00062443 transcript:CRE24045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24045 MTSGFVSTSELDQEKSSRQEAWEKVRKPTDAALAPEPEYCNKTLFEQLKDNKDAKQLEIDEAKKLKNMVRGIDEDESTFLSDLDSTKRVVKLQMKREEQEVIKEMTVTQHLAANQSSVPRFILKPSTSAVGVAPPKSKQAAFLSTAIKRKSTYVMPSEFLSLSFENCSSAGPEDKKQEEAVPTKVSKAEPVIKQVGALQALCDYAPSSDSDSDASSDDEPETVPILVTATTGKIQQSGCQ >CRE23968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:616840:618425:1 gene:WBGene00062444 transcript:CRE23968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-72 description:mRNA cap guanine-N7 methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MG76] MSADVVADHYNKVKQTGIQDRKESRIFFMRNMNNWIKSQLINDAMKLVNENNVRNPRVLDIACGKGGDLRKWDISGAKHVVMADVADVSVQQAEERYKQMHKYPDNIFGAQFIVADCTKENLESRIESKEPFDLVSCQFAMHYSFVDEESARQFIKNAVGMLKPGGVFIGTLPDADRIVWAVRNGEGGKFSNDVCKITYENVEELSNGNRPPLFGAKFHFSLDEQVNCPEFLAYFPLVKLLLEEQDMELVFVRNFAEAITNWLEPGRRLLESMKGLETFPNHNLSGKSDDEYLEAKAKIETLGENVPKYVGTLSKSEWEAICMYLVFEFRKKKTPAAEGETDAPEAKKLASVDQESEKKSKDIEEKQNPEEEVHHQNQS >CRE23969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:622234:627315:1 gene:WBGene00062445 transcript:CRE23969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-11 description:CRE-LIN-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MG77] MHSSSSSIITSLEEEEEKKPPAHLLQYHQHLHQQSIEDVGSVTSSATLLLLDSSSATWMMPSSTTQPHISEISSGNECAACAQPILDRYVFTVLGKCWHQSCLRCCDCRAPMSMTCFSKDGLILCKTDYSRRYGHRCAGCDGKLEKEDLVRRARDKVFHIRCFQCSVCQRLLDTGDQLYIMEGNRFMCQNDFQTATKTSTPTSMHRPISNGSECNSDMEEDAVDACDDVGLDDGEGDCGKDNSDDSNSAKRRGPRTTIKAKQLETLKNAFAATPKPTRHIREQLAAETGLNMRVIQVWFQNRRSKERRMKQLRYGGYRQSRRQRREDIVDMFPNDQQFYPPPPPSNVQFFCDPYSSPPNNGEQMQMTPQFAVPPEAMSMVPEPYTEPSATPPDFNEDAFACIYSTDLGKPTPVSW >CRE24046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:627714:632655:-1 gene:WBGene00062446 transcript:CRE24046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24046 MDVLNATNDLLPLLPEVQDALSEDFDGVECSMGAGVVLAQAPFRMTFAHMGRQVTFVPDTSCLEEFDGAKLHRINLGPVSFTILLLLLFCLPPPLKLVHSASHDLKLYSKVCVSRDSRRNEMSNKSSHCLLSEPIVDLVNGQQIEKITDKNEWTEFFECSMKIDIFVLSGWISCFITRFFRFKFHIPSQKFPLIHERKLYNEKSEKSAVFTDGEPGCCMELILGAGKDWKTPLTKLFTRIYPNKWAFFITELESGQASYHVLSSSHGMVSSPRREDTPDSTEQSSMVSSPTASVSDATNYSLWIVPVTKVFAIPAPKKVKVIRKVIKKRTPVDGSVNGSVIPNGTSNGTITTNGHTNGTTEDGERKVRYSVCKWKNSIQMTSFQVRLVKKKPVEKLESSTDREISPLNDIPKAEFATVSSSESTKTVVNGKTEKEEAGPSNDSSDGSASELRKKLTEKMMEQKFMVNGEQRALNGSASSRAIGRSISRSSMTPDRRLDPIFEDGANRKQSISSAIAANRPAITRNSATPPSTPKTLVSHPSKPNIPVIALQAVLTNWFNYEHLGRLREVHPHWDEIAGQLLNSGYYKLLERSDKLLMALQRKVVSDPGLHYATSVLTNIQVHVLNPVDIMRAVIDEGVCCFPYGVILDKTFLLLDRVEAMLHGSYEEECHWEPVAKLAKKAASHYRGNLERVMEERMGENLRLKAAQRIIRLESFVVDAQVAKLEKESNKAKEDVRWEIDQLHQKNSQLRKDNRELRANQMRLEARVDALEQKFKTLARLLS >CRE24047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:634007:636688:-1 gene:WBGene00062447 transcript:CRE24047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24047 SYEKLPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSTAHSDYPISDQYDGPLDSTHRAENLQGVPLSHEVASYPSEFSYEKLPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSTAHSDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPEHVVPVVIEEPEKKDEQSLKSKITGLFKKSTAHSDYPISDQYDGPLDSTHRAENLQDVPLSHEVASYPSEFSYEKLPEHVVPVVIKEPEKKDEQSLKSKITGLFKKSTAHSDYPISDQYDGPLDSTHRAENLQDVPLSHEVVSYPSEFSYEKLPEHVVPVVIEEPEKEENPALPNTDIFSSSHDTISHEKYVAVPRADVVGESASGVSLLKFKDSGLFGPSDQSHVYKAEPAVSTIQGSRPSRNIYTRTETDMFDPQHSTSAVFSHTEINYQNTDNDDLTQVDIQTKDRLQHSSFVDDNSQYADEPHKRTGYMWSKYETERSRLSRRDAAPQQDSVPILDPVSLSKHHLYSYSQTETSQRTPISKSSPPGASIDKQPEFDVSFKVIPQEPKEDIGFSVSISARSPLPPLTIDESPQLLSVENLIHSHTSPNQRRLSPHTWTTVRETTEVSYIKRVTVTSSTDYGRDQTTLRRNRSPIQSVARQVHSFPSTSQQAASTTCRWTTFDDPALQVHHPIYPPSQSLYKSTPKTERASYLTVCHSKNSRFIFKQFNFQNGESSNQRNGGSNCHNGNGTTRSYGEVREIHAHDRTEILRDDEVRRLRREDVFTVGTPFVMGRVEADERGNEYLRYERYEDLFRYNRHDELPMIEDGAMECRRSTVRARLREMDEVRLVGEREEIERQRRPIRRARQRVRNICTML >CRE12720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:448814:450816:-1 gene:WBGene00062449 transcript:CRE12720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ima-3 description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:E3M7F2] MSSNRQAYYKNNAKEQIGKEKRNEEAVTIRKDKREEAISKRRNINAHIEDDSETSTTPPGPFDANLLRLTVAAAQSTDPAEQLTAVQQARKMLSTDRNPPIDDLIGSGILPVLVQCLSSTDPNLQFEAAWALTNIASGTSEQTQAVVNAGAVPLFLQLLSCGNLNVCEQSVWALGNIIGDGPHFRDYCLELGILQPLLQFINPEIPIGFLRNVTWVIVNLCRCKDPAPSPAVVRTILPALSLLIHHQDTNILIDTVWALSYLTDGGNEHIQMVIEAQVVTHLVPLLGHVDVKVQTAALRAVGNIVTGTDEQTQLVLDSGVLRFMPGLLAHYKEKINKEAVWFVSNITAGNQQQVQDVFDAGIMPMIIHLLDRGDFPTQKEAAWAISNVTISGRPNQVEQMVKLGVLRPFCAMLSCTDSQIIQVVLDGINNILKMAGEAAEQVTSEIEECGGLDKIENLQNHENEDIYKLAFEIIDNFFSSDDEAGNVEGAPNQFGGDVPPVPDAPNGGWDFGK >CRE12520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:452520:456183:1 gene:WBGene00062451 transcript:CRE12520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-180 description:CRE-CLEC-180 protein [Source:UniProtKB/TrEMBL;Acc:E3M7F4] MRPSILVGFFLLTLSGIGAVSVAKSTDNDIVLKVSTDKQTSRDGHHFTGEWLESPWGDLYQFRAGDQNWLVAREHCLSLNADLAAIRNVEQLDWILSHYAPLSSRFGQRLVQIGLYAPEGQTHEWKWLNGNEINKTLQWSSGEPYDHSMEGRERCGLLNVEKRLLDDVDCESTSPDHHAQRYICQRTSESHKQQQRSNNYIWQKIENLFSFFGIGGSPTPHNATVTNTNDYEDEVVKNETSTAKPAVKFSDSEETSSEEEESVAKTLAALPKIEGSGESTSLKELQEPEGSGQIVDKKAIEAADLIPGVDEKKLDKMIDKMEEMIKSIDDLTVPPAGLERTTVSTIVVKKEEKFEEKKKTDDKKEEEHKKDKELNDNKISESIEGDFDHEQSKDMPKADIEPPKEEDCDEEDGSGSGEEEAAEEEESGEKLELAPEKEDKIKEFLGVLRLFLDRAEHGDLRKLLDDQSGKTLLERMKNAVREANKREFEMLEKLEISKKKGEQDEFVTKKDQMSTEEQKDLYKKISSAVMKAAKLHKIEEKVQDEQAMEKFNIAKVKADSEEESEGTLEVLKSAREGKAEKKEKVGDDDYYGDYLDDNNVIKVQNREKKDAKKGSKEKNASEDKKESGEKKELKKKNEQSHDEPKKEEVEKKKKEKKVEEKVESEEKKKEEESMKEEKPTEELKKDQEVNAEEKNVLKQKEEEEKTTEETTTMTTEEQEKETTISVEQSSSVSSIKPAEAEEDEAELEASGQEDIVTTTESTTVAIKEVPSEEIEKIAKLEAKQLVEDEKVTEETKEEATTSIPSSSTESEKTSPSTTTSEATTPTSTTPVTTTKPVTVAIKTVSPEEMEKLSKIEATEKVTLLPPLPTFTFPTLAPFTFPTLPTLATAKPTPAPKVPTLEEILGNLNDQFKKLLSPPKPLPPK >CRE12721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:456603:459925:-1 gene:WBGene00062452 transcript:CRE12721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12721 MDYDKVDHFRDVIKDLNYLARCQITSSSEPFQINMFHPHYDLLNVCLFLLAPRRGQPSEAGVWPPKEIAVDFNRRNGVESDENDDSGADSDFIGDEEEEDNGWNFEDNSATYLRSFPPATKVFRVYEDGLQIERIENEWLIYLTNDSMRQKRQEAEEYLLAQVNSLTALPEAWLAFGTACAVSHEGAIKRAAICGIEEHSLNLLLIDYGVLIESSTTEVFSLPNHESVNIEPQLTIISLACFNFIHCSLVEILHNFLPPGTPIHFERERRSKDIPTKGALSLFDGTPIEKLVNETISLHNLDLECRDVLPFSETSESINPPLTNRVNVPFMYKYGHSVIYKKSKLVTSKF >CRE12723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:460565:462305:-1 gene:WBGene00062453 transcript:CRE12723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12723 MARQSHGTPSRSSERSGKQTSHFQVVHDKKKRSKRDKKNSSVKSKKSSGSNDSGFKKIFDHGLYNSHYVEYHVLLKNGKKIKAVEFDFKGNEQMLADYKFKITNQEDKPGEEYLVEKIVAHRFVKKSNKLLFLVMWRGFPNPVSHTEMWESELEDCKDLVDQYKDSHDMTPPKKTAKNKYAKKSKKSKVEDPASSDEEEDDDDEEEADKQKASKPGKKRGRPSVNKQKSKSARSDSDEEDDGDDDEERETEKKRGRKSRDGKKSSKIYKEAESSDGEDEEEQVKEKKTKKRPVSDDEDEEPIPSKKNKKSSKKTKAASTSDEDDEEDEERVKKDRRSSKKSKRPASSGEDDDEEEVATKKDRRSSKKSKRAASSDEDEDEEEVATKKSSKPKRSHAKSATEASDSEEGDNSGSDDESDNEEASSSSNGKKKSRR >CRE12522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:463231:465944:1 gene:WBGene00062454 transcript:CRE12522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nol-10 description:CRE-NOL-10 protein [Source:UniProtKB/TrEMBL;Acc:E3M7F9] MEVSTVNDVKIYNLSAGKSLPEWMSSRARRKLEQRNTDVRQRIQLIQDFEMPDVSNTVNITPDGKYVWASGNYKPWLKCYDLNNLSLKFERGLDADVVKLIPLSEDYSKVVILEEERWIEMHAMLGRYFRMRMPCQGRDMALSEESSDLYLVGSKSEVYRFNLEQGCWLTPFEATAPLNCVQVCTEHQLVICGTTNGVVEAWDHRDRSLCGTLDTGASVNSYIRENKSIAVTSLAFSDPLHIGVGTSSGQIVTYDLRARRPLIIKDHNNELPIKKIEFIKREDGDVVASMDSRMLKLWYEEDGAPMAAIENTCPLNDFCRFPNSGMFFFANEAPKMLQYFIPQLGPAPKWCSYLENLTEEMEETETTVYDNYRFVTKKQLDDLGLSGLVGTNVLRAYMHGYFIDARLYNKAQTQMQPFAYEKYKEQKIKSMIADEREESAVKKKVEKLPAVNKSLAARLRDEAAAADKKAETKKEKKKSKKSDAASTLLADDRFKKLFESEDFEVDETSEQFLKTASIAQKMKAKGPVEVDSEGEEESGEESGSEVDSEVQASGSDDEESNSAVWMEEEVHGTDMTSDLESASSDEDVDQSLKKKRAKVRAARKIERNIQKSQKYDERKEAKKVRKEQRLATKPSKFVLHSIGSGETTRKFVDETVANKADGPSEESMALGDRKMASNRRDRKAKKVNEDEVPFGGREMTFTISKRDKDLRAEAAKSKQAAHVAERKEVTRRPNALVTKGLKKLPGNLNVGKRQNTF >CRE12525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:497579:503223:1 gene:WBGene00062459 transcript:CRE12525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12525 MEDVDYADLARMYDSQSQTMRRPGPVYTITEDESEDDGTLSNSESRLVAREKRLMDKKTADSLMAKYQKVRKVEAKPTTSSSSSTTATATPAYAIINFTDPKTSTRTTDSKVYFETTRNIPPKEIKDPTKDIPPEISASIDKTMAEVDALLGQVYTNEKAKPNLLCFDQSKFTNNPPTSTASTSTSDDLILLKNNNSTSPSFLLPLQSSVLGSQLDSVRNDNERNENETTSPRGLKRSPGMLLPSPTSSNIFPMPPTAAESVGATIGATTSSMFGGITIADPPSTLDKLTNSYKWLQNIEDDGNLANNEMGRRKLPSIPPNSSAFPPPASTSINVINTHTSIPSTSSAPPTSSFVDDYNVTLPPDPIVAESLLKGGSLTRRHHQQQQQHQAPVYITSSASRPQSAAGNNIFQESRPTSSLSMYQNDVILSRPGSAASNYTTASTINPTILAGASYSSKPSSHIRQPVSVRSAGVQIGTLTSGSGSISNSIRSSIGSSSVTARVPNTLARVLLKKELKDVLNQRKQRLEATEIEANQRHYKVQKMLITGLLPEKTEDDIPNIVKCDLPADLVRGVHIAMQPPSPAASAFSPRRYHPTPSKRTTVISQSSPYKSLSKSIACQADELPPVKPMISLRTQLDMERRPQLMTYVGKRSAETQTEMTNYEAITPTVRYGSMPRSSRERSASRRYREQQQQIYNQMPQNHNDLLEITKKYFEDYDRQLREFGERARRHSRRRFDFHDDDDQDGMRKNQVMNELARRKERMCASCEVLSTTDPIGTALNQNVPMSSDYSSHIPHYGSLPRIDYPRGTRTDLRDFTYRQQHLPQQPSINYAYNYGSLPRNFERYTSGLPPIEIENEQSFGAPPRHRSNLGYESTSMFNLSDPVYLGYDPISTIQQQQPRIYDQIPNGYAQDTTNLNNLNQGIRGSDMVSQYASYLNSQFQSGLQQSAQLPQPMMPITRYDAPMSDPYMSSRMRQSEQMSQGYNPDQYHRHMTLLAPKPATMTHMTPTYNQLVQQQQALSMQNTQMDPLMMSSRTPPASSQVYSRNEMNYGSRPAQSSLFEYGNRRQYGQQVAPPTYDVPNVSSDWRTNQGSIHQMPMQPMQQQQLQQQLQQQQLQQQHNTFDARWPKEDALSRMYATASRRRAQGDSSPSFLIDGR >CRE12724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:506370:506881:-1 gene:WBGene00062460 transcript:CRE12724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12724 MQYVPTLIVLLSAGVMIAECQKGGGMAELKSITGDAPYDGVGNAPAPIPQPMGFWGRPRYSPPGAGFDGPYGGPMMGGGGPLPYSPYNRFTSMGSSPYGLGTWYNNFQ >CRE12725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:508156:509709:-1 gene:WBGene00062461 transcript:CRE12725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12725 MKYNQLFTIHLAVTIIANDVHCFTIDSKDKIAPEPGTIVVSDKQVFLPLGWPAKLLCDSAYKLEDINFQRTKPPTWKVRFPSGDELVAIESGSKELDISDSSLNISPATQGLDGTIVECIVDRSGNTSLLSRYRVETQDCKSQSPEDTNAYNLHNPCAYGKCQVVRKVVECLCFKQYTGRHCDIEKPSSTLLDVLPYLMAVAFPILYFVITFVFSLVQEDRKQEKLMGISELIGHSNRSTFQHSTIYPFVRKLRRCEFSESEDSEEEDESEEQFMDTVQNAYQLIRDNVFSDTDSKGAALPENPAIKATNADAGNTTGGTTVDVSTTGSAMNTVSGTISGTISGAMSGAATSKILDAAGSQGINSRRTNKKKGKEPKSKAEPAIEPIPEVVDIPFGNFPEDSVIG >CRE12527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:510976:511985:1 gene:WBGene00062462 transcript:CRE12527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plp-2 description:CRE-PLP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M7G6] MSDGSVERGTKRVEDSLATHQLTVQYKRYYIDVNENTRGRYIKIAELGANYKSRIILSIPAAKAVVNEVSKMLPLLEEPSTGEHAPKESSLIKSETLNVDGRKFFIDLKENDRGRFLRIAQMPTNPRQTRQQIAVPADGVEEVYKVLSDYLVKFGEGHDQENTNTPKVTAENKSFLFHYGKNDRGEFVRISEIKLNSGYRNAITVPMSALVDFRKELDSIIANQGKN >CRE12528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:512181:513097:1 gene:WBGene00062463 transcript:CRE12528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arf-1.1 description:CRE-ARF-1.1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7G7] MLRNLSTTNGRSLESEQVFHNVFFLNWEHVKPGQLRHQHVELRCRIKENEIYITFQQNLFRRKNFSYSKMGVLYSKFSSYLFPNIECRTLMLGLDGAGKTTILYKLKLNETVNTIPTIGFNVETVTFQKLTLTVWDVGGQQKIRALWKYYFPNTTTLVFVVDSSDIERLPDAKEELFNLLAEQELADAQLLVFANKQDMPNAKSPAELTHLLDLGSIKNREVLFLELFFRRKKDFQWYICGTNAHTGQGLYDGLMWVKKQMKA >CRE12726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:513196:517319:-1 gene:WBGene00062464 transcript:CRE12726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12726 MDRSPRRIASPVNRTPSFEIAILNSPPNSPHHDLPPMESLPNSPEPPPPPPHSFSPRRKVHESIVREVREYHLHSTTRIPFSPPPTIQIHPAPIAGPESTSSFRTNPEEGDRDDEEDNVEETGQEIELPPTEERAAEPETPQQIQVESEVEIVEDDHQKSTEDGLENRLTHQLEAPADRRIIFPITPFYRRKGTCSKCSIWLGALCALFFLLSIALFLVWVITTDGFRTIGTKATNVCNSRQCIDVAFRLSSSIDDEIEPCENFYRHSCQRYHSQTADKQLNFLSQLKDESLRTMQSLFSSEEDPRSLQKTARLSKSLYSACMNAVLRSSNAPTDLLTFIRNFPCGPLLRDCPNFHADSYSWERHSGMLDWYAGNYNLIVYDRDVHPQDRSKIILQIKPPDLSPIVGPIERDLIALAKPSSTEFEPLLQLSLRQNLLSSFSRDNLLRDPRDVQSQLDEVARLMVDLYISARQSASLTPNTTYLTIGEMFEALPQLYLREFFDAQLSNIYKWTESDMLSIQDYDYFARLSDIMAQTSRQAVANYLLTVTIWNLKQYSYSPRDQFGWRECVDQISSLEIGSKMYVDKASQSMNLPKTLEFLQNLKIDFLSVHRSTPLQYLSNINRLGFYVGFPRRLQNEELVWRPVSQLSLNETDYFDSMIRVGKAERDYALSQIGTYLDSDDTTNFPITQPTMLYNSHVGAIVIPLAFIEPPIYLPGDEIPMYGIYSSLGITALQMISKVFWQGLDKSSQLECLDNLFRGFLDANRQRSPSIEPELLATIELADAFKSTLYSYAKWQNEHHIHHEKTLPAFDSTDSMRSLMLTFSTVQFKIMFCSGEGSEPGSDYEAMINTVAANSRMFSIHFNCNNSSRLYNRRTCL >CRE12727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:517704:518258:-1 gene:WBGene00062465 transcript:CRE12727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-20 description:CRE-NLP-20 protein [Source:UniProtKB/TrEMBL;Acc:E3M7G9] MQATLLALLLLIVPFFAFAASPYSDDEAELLNNNERFARDLELRKKFAFAFAKRSAGDADVLIEARSGPQSLAHEGAGMRFAFAKRRAPKEFARFARASFA >CRE12728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:519859:520672:-1 gene:WBGene00062466 transcript:CRE12728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmg-5 description:CRE-HMG-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M7H1] MFAITTRLFASKGAAPRAAAVASAQKFPTGMKISPYAMYIKENFKNDANVKNTELMKDLSVKWKDMTTNEKNKYSELSQKHNQKKINDFLKLSIEEKSKLVNEAQEKKAEKAARRQAKERREKRKEEGRPTVPPSAYALFIKEKLSGGGVDVKDKMKEAVAEWKTLSDAQKKKFTDEAQKLKDAYQVTIEKWEAEKKEKASK >CRE12530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:525474:527895:1 gene:WBGene00062467 transcript:CRE12530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cima-1 MPHTPPPNKKLSRATSLDSPPEKTIFRIDTVDLDLPSTTNGPISTAVDEQSQDDEYSAPSVLFPSMRLMLAAMLCCCFITLSISSSNLAVALICMTSCPVHGYGGTLEWQSQQEGLVLAAQNAGSLVMIVTGMWADRLNGKWMVFVGLLLCCIGNLMLPLLASKSFWFAVLARISIGASDACLMPAVNSLITRWFPQAERPAAIGLISGGRQIGTLFILPTAGYLCTRKDVLDGWPAIFYLSAVISVLVTVFWIPFGADKPAKQICISSREKEFIETRIACESIGKRTDRTRRVPYGAMFRSKPLWACIFALICHEYPLVIMLQFLPNYMRDVLEFAPTKNGIIAALPILCLFLSKTFSSSLSSYLTTNMHLDKTFVCKCFNATASAGLAICIFLVPYFNKESAFLAVFCLCGAMIFAGLHTPGVITAMVQIAPAFSGIITGWSFFAVAWFSIGNKILTKYIVQSGSVTEWGMVFRVSALVAALPVVVFTVWGSADREWWAAPSSKCSVASFHRPSIRDRSREMSRATSSTSLGQQSRVSSSR >CRE12730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:529852:530844:-1 gene:WBGene00062468 transcript:CRE12730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12730 MASSYSTKSSRAPKSAVDEVGFQKGFYGITCPAQNKGISLLKKKKKKMAYENDIKAACKNMDHYKESADKLHSALMLVLVESKASAETLATSTIPSTIPVDPGYQHCSDFLVVYDTFQRHGLKELKLDTLEPTVKASKKLAEEQEKYVRNQLETLKPLTKFISDEYWEYAKARYTYHIALEKFENAISGTKSIRPGSMEPSSSGLNVEKSKDDAKAKMMTVLNKILGKKENHATCVLKFAKQASGWHKNAGEILSSFNSKTTDEYKPKVGEGVSPVHSSRTKKVHRKTSKENSSR >CRE12531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:533817:537959:1 gene:WBGene00062469 transcript:CRE12531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12531 MRLIWNCLLWAALVISLTSAGGNQVKCFCDRDSCDDNLLCQGDFCFIGLHRSDDRDVPRLRQHCGTIDELPFLNAHVNCDERQDNWQEVCRCSEDFCNTFAFLRSSIDVRPDPKDSVQFVKQDAQYPIEHRPQPEVVYRQHNSSLIVLLVIIPLSVGGFAVCLIFLNYHCKMC >CRE12731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:538260:546370:-1 gene:WBGene00062470 transcript:CRE12731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12731 MWLLYLLLLKPIVSSSVDLLPANVPNVCPVRPTNCNLLCPYGYLRDILQQCICTCAMDPCQTTICGPSESCIAIGVKARCIPKFGSNREECPRLTGGICALRCEKDSDCNGRLICCSNGCGRECVAPMAKSFPISGEKPIQPVSSSSSSSSSSSSNIPGSFQRLIKAVSNIGSTHFNITPIPPTIRNLANSLFPTPSPIRPLPTTKVGQCPSITGNKSVCSEQKQCGNDLDCGSVDKCCPNACGSTCMEPLKATGCIHMVLAISKLKDRRLPNEYVPFCERNGRFSSIQCDIQFCWCVDVHYGSEISGTRISREQRRMDMCREPRLCTKKCHNQCTHGHVMDIFGCPIPSCGCVDICDKVKCENSWEKCQLVEPDCANPPCLPVPRCKRFLFHIFYSNLWIPGLLNPCRHGPPSRLANGITALCSNDKDCPDGTCSKIGYNGLGFCCSGPASSTRDGRCPSQSADKLKCLLYPENSCHSDHECSEDEKCCFNGCTLSCTQPEDYVVRKPVKPVTEPIMHYQPGDVEKYNKGHLSSLVADCADVIVSNVSCTTECHSDSQCAGMKRCCRQGCSTNCMYPVRSTPCFHLALTAELYSLRNAMKCDRAGNFEQYQCDDEGCFCVDIATGEELPGSRAIGRKPNCESRNPCEPLVCKVACPFGFEKGPNSCPTCKCKNPCEEVKCPQGSVCVMSSVQCYQTGNCVSQPRCVLNFCPTGEPYISSIGNVESCTKDEECPSSTHWCHRLGLASGGICCPSPARVHHAGSCPTVPISLDAKMCRVSCKVDDDCNGHQKCCFDGCGAACRDITTPLIEISKEIFEKQGSCISEQRVLCNRLEKNTCEYDTDCAGVAKCCDDGCVKACVYPLQTSKCLVRKTNLQKMGQMDLIKCRPDGTFEQIQCDTEFCWCVDEEGNYVDGTRTGEDITPNCPGKQTLSLCILFQLITSLEPCEKLECGPIGCEYGHKKDARGCATCECVDPCEGVSCPDDSLCVPTTVPCVTKPCPTVCSIWLTSHIYSFQIPRCVINPCPVSESIKNETTFHLKKCYRSNDCFDPMMTTHCSMITQDFGFCCTGESELQFLNVLKLAIVSAPEIHSGSCPKVTELNTAKVEKCVQECKTDSDCDQTSKCCWNGCGLTCVTSSATHRQITSIQQTASESHFGDCLNVAPLGAFCLQRPTIADCKNDDDCPSLYKCCSDGCVLRCTQPNRAPLCIHQRIAALTISENEGSESNEKDVGVFIPDCDSSGNFDEVQSHFGLMWCVDRFGQEISGTKSTRVPNCDNPRPCPTRVCAVNCPYGFKSDNEGCPICDCISPCEYMNCPAGNVCRMLPVKCTTQECRPIPKCIPNMCGIGEPLALDNALLATCSSTSPCPAGFHCKTSGYADVSFCCSTPELAQQSLRCPVIPVMIASVDGSSCVVGCRHHYDCTHSSCCFNGCGTSCQFETRQSAIKPIKPSSSFSKPSKTTRRPILSSLKIEKKASKIQNVIVEHHAVLPVSPVLAKLGTCPKLLINPGCTEQCNQDSECHGFLKCCTASCGTMCSAPRIATACIHRLIAFESNIAAEIVNLIIPPVQCTPEGLFRRYQCDARINQCWCVDVATGIEEIGTRMFTMGLQPPDCDLPKICQTKCIESRCPYGIRTDGSGCPANGICECNNICASFNCPLNMECALRRVECTSNPCPDVPFCVEVQCPIPQRDLYRNVILCETDGGCGKNSKCVTNPKTERGICCHQKATQSSVLNLISPAIVASVPDVVETSTIVERGNHPMIVEAIPMSLSTNCTTMRIALEYLHQNGAILKSPLPVCSKNGNYEMTQCDNKRCWCVDELSGEEIHGTRKKKTKNACKSQSMCLSKCSASLCPYGLLLDSIGCPRSECICKSACEHVDCPNGQVCILRRADCPDKWCLPVPTCEKSPCNSGLRPLIETRTRQQYSCTQNTVCPMGYYCTAFDDNMHGVCCPGATSIKSIGEHNGLSCPHGDPFSSLSDGTPLPCTVLTNGCPATHYCSTMPGHKSGICCVSKRYVCNLQRDMGPCTATVTRFFYSSLTHTCAPFDYGGCSGNLNNFATRDDCDNFCAGIGLDLSSPYDHASAPIPTESYQIAFSLSGGKIPSSKMEDAEIQLINMLVDRFKIPRGSIEDVVLRDDNTVKFTIRDVDARRFAREVSEKVSTGELSMTLAGQKLIAEPHTLTALHVSNDHGTRNTAQVILYAILLASSIFLLCVICLVCFSCFCYYRNQPKDRVHTPTNTTTVTESRGRQSGLRRVFSRDDVSVCSDFNRPPMHDVSIINRERERPPRSQSWLSIG >CRE12732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:547378:548703:-1 gene:WBGene00062471 transcript:CRE12732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12732 MSAPATNQTIQPNSNESVTDIQMLLPKCLGTVGTDAKSVELRVAFDAPTSQTTFQYSLVWEDARHGAEEKYRVSITEANIIERKRMRQRQGRISITKTRDYHFHQTFELILNKEFENIFSEKSQETSCSFSQSLDSTPMKQSSTSGSSASERSRKKTQTSSKRRPLKLESIGSVGEDEKVSVKVVVIEKPGTRGKLKPISHIQTTMSTQLKTVLTNVLREHYEGVPIERMSSYNILFAFRDHKNAQKLRRIRKADVDSLKMNHFPTLYGSVVIVMDLINYVEKGKKIPIKIDLDED >CRE12734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:570800:572676:-1 gene:WBGene00062473 transcript:CRE12734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12734 MGQAPAKEEDFSWAYTEEPHASRRKEMLAKYPQIKELFGQDKAFRPVVVSMVIAQIVFAYLLRGNLTEVILNRKIIFPDSDWFLILLQAYFVSGTINHSLTLAVHEVSHNQAFGTSRPLANRIFGFIANLPMCIPMSISFKKYHLEHHRNLGEDIIDTDVPTEFEANVFRTWFGKMIWMCLQPLFYGIRPFMLYPKSMTDLELINVGIQLAFSTFIYTQFGPKSFFFLFGGLVLGMGLHPCAGHFVSEHYVFKKDQETYSYYGPINMVVFNVGYHVEHHDFPYITGSNLPKVREIAPEYYENWQTHESWVGMMADFIFNPNMTLRKRIKRKYAKPDQFSFYGTGPYETSHVYKSIANVVNTLTGFGGRKSVVKCD >CRE05356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1932:5402:6379:-1 gene:WBGene00062475 transcript:CRE05356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05356 NKVVHVLAFVSNKGLEDRPLSEILACRNLHYLLVYLAVCIAGLVIHPMIYCALLFDIIATEETLQNVIASVTRNYQSIVWTGLLALILLYGFSILGFLFFRHDFYLDVDPVEADSSATISSGLPSETCPSEGCPGLKPTGKDGDDDDDKKIKSCETLWMCILQTMYLGLRNGGGIGDVLRNPAPWEDMFVWRVAYDMTFFVVLIVIVLNLIFGVIIDTFGDLRAEKNEKEQILKNNCFICGLDRSRFDNRSVTFETHRETEHNIWHYLYYIVMLQSKMKLNSLDRKSYVLMCKGPIWTGSQMPAYHVKI >CRE12735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:573613:575005:-1 gene:WBGene00062476 transcript:CRE12735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-1 MLSLVKQAVSATGSSMLRPAAADIVQIRGRKRALKQTITRQQKLERRMKREEKEAARKQYSFMEKINIRRMKNLLSPSQQFPGRLNIDDEVNLPEKPTLDVFIKSHFKIQYYTVAEALDMHRELQSPSIYNNPNAPIRLRLELNMTTERQTKMVTGSDEIVPVPFPFNHNEKRTILAFANDLKLQELAVESGAEMALGQDTIKKIIKGQFRTDDYDFCVSHSDMGSSILPLRGILKTRFPTRANGGLGENLPELIEKFKNGVKLNIKGDPVYPIWGLSDCVVGRLSMSNEQIEANIATVVSAACAHRNPALGPFINRALLMTVPGEENYAIDVKPWLPVPTEEEIEKIEKRKNKKKKKDEKKTEDADDVSPAIAAAL >CRE12533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:576108:576775:1 gene:WBGene00062477 transcript:CRE12533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12533 MLRYLQNVETHISHSYRQISTTSTLHKNRAAKTKSTSNRTQLLTYEMAQKPHHIGVRKSWLTWHSQNLEEFRQTQPLVVAQDEVVRRFIRGFFPQNLVVSGNEIVIKRRGNVLIVAGFLQYSRRLDIRRIYWMFGFAEEFLSILLKQPVKLELAFVESEEEVAYNYI >CRE12534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:577199:579396:1 gene:WBGene00062478 transcript:CRE12534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-8 description:CRE-NHR-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M7I4] MSSSPLMDDSRRSAVPPKEPTGRICTVCSDRANGYNFGVLTCESCKAFFRRNAAKHKEIKCPFSDSCQITSASRKFCQACRLNKCFGVGMNSDWLNDLKPKTTGKFKRKKPELKCQMKIEIEDTEEDIENEEEEEQIIVPKALLEKLISKANEKPKDHCICKCQCGFYSTTQRLTAYIPKEDAVSTNSPLSSAFTQPHSDSFSYSSSSSTLSPMSVISCAPSSHDSSSYSTPQLIAQSPSNYRVSPSSMAGSITEMSPQMTSQYPPMFSPFQFNLMAQMTSSPNFLNFPPMPERSWTPVQAVQTETSISTVPSSLLEKIHISEYRELTTLKSFPISEIDKYIGVLNPEEMSMLEELHIRNEPLNAPLIQWHNPKSIDGVFKIIEEALRRIVNMACQLNLFRELHVEDRKNLLKSGFGELLIVRGLMAYDKSDNSWNHSFGVGGKMEVKVDVLKNPKLEEHYKAHINLLETFGDDVRNNEHLMLIFNAAVIFHPHVSNLRESNRVHTTQAKYFQMLLKLLTFEYGKERADIAYSNLLNQVVELHRVNRTLLRVFYGLDIAQLDPLIRELCSFE >CRE12737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:579549:582582:-1 gene:WBGene00062479 transcript:CRE12737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12737 MLFYGPFVVHLYFRDKEYLYLVFQMRHLLVVFPALVAVSLAQDHFIDVRSLVRDTIDAIKEVPEMMKSQNQTSTSVQPDVSTPEPEKVVKARSDVYDSLLKLPADIMSQLARDAGFVSEKEQKKEVEVVTEKKQEEVERVEKKKEDEESYSFKTVSKQTEQSMNIREEHIQLGVDDVKAEQQNELNERPTLASKKIEDNEDIFSISKLISATGNAIREAQAAQAAQHPQKSQPQQEPMITLPPLVPLPPVPLQMPALQMPATAAQPATEIMYRPVTKDGKTVYEQVVVLKDANGSVKILPNTLLPQLPEVSKRVEIKQVKTASDAAEFLAPTFPPMVNLFTDPTTTTTTTTTTTEEPEVTTTEETGKPRRKSLPRRKIHYLEKKTKDMHSRQFGAKTVQMSDPIEIQAQEEQEPVNVLQKEAEKVEEKEAVEEEQEETTEPPKKKRKMRKTHKTSKKEKKEIRKFPDLYDEQWKTQVAPSVSPPSEEFAEQFDGVDEVQDSPRRQEMVQDEPQNDTPMNDAMEQRSSKVQMFTKEQEEELLNKEIRKRVMQRIRLSKVKTTTETPTTEAPEEKEEVVKTAAEEEEEEGDDVIEAEELVEDEEEEVTTTTTTTEAPVTRAQRVKKNKKRAVVTKHQCLNLRSFARQFLFDTVEEFAKEHCYFIENYYPALTCARSHIYVAKCQKWLKEE >CRE12739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:586707:587537:-1 gene:WBGene00062481 transcript:CRE12739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12739 MCSFKILIALVVISAAVMAAPNGNGYQQQGGMQRDQGGFGGQNGGFGGNQGSMGGGQGGSQGGFGGNQGGFGGNQGGFGGQGGHGGHGGQGLPMPPFLQNVTEQARREFMAIVTNSTLTIAEIEEQSSTWAQTNGVSEQYEAFSANVTAKLEEMKQNVTSVLTNLSSVLNSLETILENEDQTLAAQRQAIEELRQESPSEVDALFFIAQEVARPQGPGGRNGGNNGGFTGRSTFSTSSTTTPSG >CRE12741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:598781:600269:-1 gene:WBGene00062482 transcript:CRE12741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12741 MFTLKYIVASSLLLLVSSQSWGHQSYGNSNQGIFRPLWRPILFRRFLGFGQQQQRSFGSSGYGQNSNQQGFGQSGQGYGSNGQQGFGQSQGSSQQGFGGNSQSFGGSQQQGYGQNPGTNGQGVGSNSQQGYGQNQGSSQQGYGQSQGFQQGFVQQQQSRPQQGFQSGGFSSQQSFGGHSGSNGQGGFGGQSGFNSQTGFGGNNGNGQSGFGSQSGFGGFSGNSGFGGQSGFGGNSFGQNNNNNQGGYSNGFQSQSGYNSNQGGHSSNSGFGNSNSGTYEPYPPFLSNVSSSASQDYFNIVNNQSLTTNQINEQATNWASTNGVQVRKNYFKTEYTQYQTNRTAVHNQAIQNVSDIINNLATVQASIENIQSNKSSSANDRQAAFQQLQQAHPMEYSVLTSLLNQHEQVQYNRMNGNGYSNNNGYSASYGNSNSFGSNTNYGNNGYGNYYAESSTTSSTATKKKTTKASSR >CRE12742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:600737:601762:-1 gene:WBGene00062483 transcript:CRE12742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12742 MRAIFVFLFLFVALTTADSYDDVKAKIQDLIDDGFGKDNRASSNGKPVSDDDRDSYSQEKKMKKKDWRSDDYFFGSDDEDDSYKSKREDKNQRKRSSKNEDESVSSHSRSRSSGSDFNSDSGSSSSRTSSEHAASSDDEYKKDYYKNGKKKGIIGKFVEKVAKKLKSIPGKMAAGAAILSGKVVSSVASIPGKVVDGVKSIPSKAKSVLPGGNKKNGDITLNDYTQGSSEQENHFHVHKDKHYHKEQHRHQDDSTNLNIYNDWDEDDLEKKYKSAYDTFRSKRSAEVNPLNRRPYRKLHKKEKPSNRLKLIVF >CRE12536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:603984:604972:1 gene:WBGene00062484 transcript:CRE12536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12536 MALSYSIIFAALAFTAVVIAGPGGRHGHGGGGYGGPQLPPFLQNVTAQGRQAFMAIVTNTSLTIAETETQVDAWAATYGVTTQVNDFKTQVETKLNEIKANVTAVVNNLPTVQTQLEAIFNNKSQTIIQQFQAIGQLAQQYPEEVSVLFFLVKPKGGFGQQGPFGGFPGNNGGFPGDNQGGFPGNNGGFPGNNGGFPGGNQGGFPGNQGGNNGGFPGGNQGGFPGNNGGFPGGNQGGLPGGNQGGFGGNQGGNQGGFPGGNQGGFGGFGGQQGGRGGF >CRE12745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:606527:610380:-1 gene:WBGene00062485 transcript:CRE12745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12745 MDFWKTQLLLKRSFSFRTSILTISFHFNLFHYFISSLSQKMMKIMSPEYDDIDSYFAMDAHHMGRLFFTLSTCLTLAGLYFAAHPHFLEESWNSNRTYWVMENYKTFPNGTDYYTVLENDGTYSTGHLGPWFRRLLNGEWRYDYGNSFYNFCRPRNPPRREWMTSILRSMNHLVIVQVFFRSAVLLTMTHSLFQCMLINFVSKANHSAKLDRIIGFPLVTVEFVHNMCLFVVSCLQYEQDKHLIQLSRIALILLTISTVLKLIFVAIIQTNQLLQVICVLIASAVILSHNTALEDFDNFLIDTHCDSMSKLFSVSCPSSSCLISAFPSVAIAQLIYFLAFFTTGYLQYQCLSGIRVVTCSTQEEIEHRKRFCEEPIDLISN >CRE12537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:613281:615379:1 gene:WBGene00062486 transcript:CRE12537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12537 description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:E3M7J6] MYQDDHIGSEHSTATTNISHLCGLRISERPQKTRKTGVICTIGPACSDVETLRKMINTGMNIARLNFSHGSHEAHAATIKTIREAAENAPFPVAIALDTKGPEIRTGMFANNAKEVQLINGKSIRVSTDPSMEFSATASNIYADYKNLPKVVSPGSRIYIDDGLISLIVETCEENAVICTIENGGALGTRKGVNLPGTVVDLPAVTSKDIEDLTFGVEQGVDIIFASFIRNAEGIHKIRQVLGEKGKHIYIIAKIESEDGVINADEIIEASDGVMVARGDLGIEIPPEKVFLAQKMLIAKCNLAGKPVICATQMLESMISKPRPTRAECSDVANAVLDGVDCVMLSGETAKGDYPVEALAMMHNICKEAESAFFHLKHFEELILHTKKPTGMTHTTAIAAVSATITCRAVAIILITTTGRTARLCSRYRPPVPIITVSRDERISRQLHLHRGIFPVHYPKGRIDEWDVDVEERVQYGVNLGKTRGFIHAGDPLIVITGWKQGAGFTNTMRIVMAS >CRE12539.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:617969:618675:1 gene:WBGene00062487 transcript:CRE12539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12539 MVAVMLPTSRKPVEQKKPTIIDQNWPCFVIAFSMATIKLLLSYTGIEDYKVPGFNDVNVFFLMELGSTPLAIINPRVWFAMFMCSLAYMSVETGYPTVAIVTIVSMLFIAYAMEYSDYKRKQENQKTAVYQILPLVDEKKLKEQEMI >CRE12539.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:617973:618906:1 gene:WBGene00062487 transcript:CRE12539.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12539 MVAVMLPTSRKPVEQKKPTIIDQNWPCFVIAFSMATIKLLLSYTGIEDYKVPGFNDVNVFFLMELGSTPLAIINPRVWFAMFMCSLAYMSVETGYPTVAIVTIVSMLFIAYAMEYSDYKRKQENQKTAVYQILPLVDEKKLKEQEMI >CRE12540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:620423:624054:1 gene:WBGene00062488 transcript:CRE12540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12540 MRARRPEEIISSCAPSTSTSPRKKSGGNGASKSKNHSNGIRMEMYDHFYKKFIRPPMAPVYYPTAAEFADPIEYVAKIRPDAEKYGVVKIVPPKEFKPPFAINKETFTFKPRTQKLNEVEAIVKEKHTFIERLINFNRFSGLQFEFPLDRDGEIVDLYRLHRIVQNFGGCEEVNDEEKWRDVAREYLPKEQMARGVPSAFINLIRSHYNNHIEPFNRNLRDKEKKMDDESDEEEERKHMYQHNHGTMRSEPEIPDEKETKDEEEECPMSMQSGRRKSKNKKSAVTKRNSGGTSSLKNNRGKRIVKTEDDEEEEDDPVDEIICVTCKKGEEEQYLILCDIEGCPNGLHTYCCDPALDEVPTGEWRCPKCIESEDAKIGCDWGFSETDTEYNLNSFTEFANKWKCDYFNVNDVSEVSCETVEREFWKNVISHENPVSVKYGADLITSKVGSGFPRKEDKHTGPDSQLKQEYASHAWNLNNMPVLRESVLSYFNTGISGMMVPWVYVGMCFSTFCWHTEDHWTYSVNYNHFGERKIWYGVAGDDAEKFEDALKKLAPGLTGRQKDLFHHMTTAANPSLLRSMGVPIYAVHQNAGEFVITFPRAYHAGYNEGLNFAEAVNFAPIDWLSKGRECVESYSSVGRFLVFSHDELLFKMVAAMDKLGLSTILAACDELRKIMQILQNNYFHDMCRTSRSSLQSMYSERYKYQFRYEMDYLNHLLSELEKRTVITLDGKKSQKKCWKNRKTRTGEYRRVYDVAKQNKYPQTDQVHKLTTISHTVKTAIEKTSQLLHKKVRTRTKTRCQRADTRMDIVDVKLLIEQMVSMDCNLSSYTGQLEQLLQRIDAWRKKAEEVMDREQEYTKEDLERVVEEGEEFDIKLTEIEELRKLVEMKEWSERARKVTSWTPTSNMENEFDFECKKRLIRENILSLIQEGGRNPSRQVNDLLLKLQQMLSQASAREEEAQEFFKNPSLSLLQTVWNSLRNSDWLSEQCLFYSETFS >CRE12541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:626029:631448:1 gene:WBGene00062489 transcript:CRE12541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbr-2 description:CRE-RBR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M7K0] MRARRPEEIISSCAPSTSTSPRKKSGGNGASKSKNHSNGIRMEMYDHFYKKFIRPPMAPVYYPTAAEFADPIEYVAKIRPDAEKYGVVKIVPPKEFKPPFAINKETFTFKPRTQKLNEVEAIVKENTHSLIPLDRDGEVVDLYRLHRIVQNFGGCEEVNDEEKWRDVAREYLPKEQMARGVPSAFINLIRSHYNNHIEPFNRNLRDKEKKMDDESDEEEERKHMYQHNHGTMRSEPEIPDEKETKDEEEECPMSMQSGRRKSKNKKSAVTKRNSGGTSSLKNNRGKRIVKTEDDEEEEDDPVDEIICVTCKKGEEEQYLILCDIEGCPNGLHTYCCDPALDEVPTGEWRCPKCIESEDAKIGCDWGFSETDTEYNLNSFTEFANKWKCDYFNVNDVSEVSCETVEREFWKNVISHENPVSVKYGADLITSKVGSGFPRKEDKHTGPDSQLKEEYASHAWNLNNMPVLRESVLSYFNTGISGMMVPWVYVGMCFSTFCWHTEDHWTYSVNYNHFGERKIWYGVAGDDAEKFEDALKKLAPGLTGRQKDLFHHMTTAANPSLLRSMGVPIYAVHQNAGEFVITFPRAYHAGYNEGLNFAEAVNFAPIDWLSKGRECVESYSSVGRFLVFSHDELLFKMVAAMDKLGLSTILAACDELRKVIEKQNKLRNLITRLGVAPRQMEQVTFENIPDEKRSCKFCKTTIFMSALVCNKHNKRTCVEHHDHLCKACTPKDYKYQFRYEMDYLNHLLSELEKRTVNYTGWKEESEEMLEKTGKPELESIEECIDVAKQNKYPQTDQVHKLTTISHTVKTAIEKTSQLLHKKVRTRTKTRCQRADTRMDIVDVKLLIEQMVSMDCNLSSYTGQLEQLLQRIDAWRKKAEEVMDREQEYTKEDLERVVEEGEEFDIKLTEIEELRKLVEMKEWSERARKVTSWTPTSNMENEFDFECKKRLIRENILSLIQEGGRNPSRQVNDLLLKLQQMLSQASAREEEAQEFFKNPSLSLLQTVWNSLRNSDWLSEQYLNSVRFEVAQVAKIKSMIDFAVPSLSGFEVKDQLQRLPESEIILSQAIDINKNCEISKTLRHSREHSPLCDMVNKMLSFTERLTKLFKPINAYHNLYEILSERDDLTPLTEGQMMQLYYQGGVVHLADEWRQIKEFESSEQLLEHQSSLHEIQFRIFEKLRRTNSASGLQSCCCLGANTSEAPDSVLTCIMCDSQYHVQCCEWSPFLQNLPQGCYLCVRCLRGKRPVIADVSAALNEIPSNFLETNLVHNLIQKSRLITQSLMYGANKRQLGDPEGEELCKKALFDWLSCEILNLNGLPIAVELISEFYAAHLKKNASATFELQQRPVRAKPITLLFDSKTVSKRKRPHNTHKECSKKARKRHCPMSPNEYVEEEGEAKKSCQAQKCYKPYEGHGARWILCEAGCKNWYHFVCADLTLAGLIVMQSNYVLIH >CRE12746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:632210:637671:-1 gene:WBGene00062490 transcript:CRE12746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnc-1 description:CRE-DNC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7K1] MSFEIGTRVKTSSGNGKIVFIGKTSFQDGEWVGVILDTPTGKNNGTVQGVEYFQCEPNYGVFVKAGAVEPEDGAKRSGLKAPTASAIRKDSSVMSRSAGSKASPGSSPGISPAASSEKLTGRQTGMGPRSVSKLADQNEPKMVREFSNLSQSSVASSRNSASASKPRPQFAAPDAVSRRQSLAPPKKTSTATGPPPKTSSLAKPRQSLAPNKPTGETPQKPPPAEVEVPDELPPPTMTESGTELPTESVLSRAKKIDDTGPAAPMSPISTTSAAAHPRTTSISSSTVDHSTELEYLRIQVKELTDKLETTRGKRKEDHAKLLEFERVSIEHRTLQDVKSRLNDKVVELERQLLEERRVTEELRIWKETNKDTISEHTDIMEMATIEKELAEEKADELQNQVDVLTAELEEVKEKFNILQEEMAGGGGSVQVGNSVQMKQVELQNDKLKDALIKLRDLNAQATIDRQKAVEEAERLKNENSELVRVAEALKRQAEIAESKIAGFQEQIDATMGAEAMVTQLTDKNFNMEERITQLEETIEDMEEARDLDEQLAEVQKQQEKDFMREIEQLKIHIHELNGRIRDEQKHALDLSQTILKFRERMANLNSQIQDQKDQILNLEEQVHGQMTEDTDRATMVNQLQITANRNFSEVVERQINAIEVEFARRQAGYLKAFLPDNFARVGGENDSILLVVMLPRLSAKTRLFANLAAQCYPQVPGGMRREHVTKSHKGEQWAHVSRVNYLANSIIAAVGKLESAVGETTVESLIKLTESYGEMSTHEKIVDQYLELLKTSRFDENTSLDNFVRPLVYFQNIFSLHIGGDGFNAAQWISAISASLTAGLAYCRVNTQRITYFLQESITAGEVYNLLQSLNDEFAACESVILKASRLLPNSGAEQQKIIKLESEFTDELMNAVTQLDKLASALQEVCTNGALNFGGISETEGFDDKRVKEMIHSVVAKSSGYIAMENAFDPIRAMVKSLRDSLERVNSTLESAKMEHVAPEKKAFPPLLDRAHHRKQAAQEAEGLRWQMEKKDNEMLELRKQVKARIEDVSNYKLRLEMAESRLNSTDKAEGDKVKHMEEKINQMVADHRRKQIEFDESTDALQREMKEIELENVELKQRANKISKEALWKNIHAMETRSAGAPPAVTSHVGEEGGASRVEVVFLENQLNKQTDARKRAELEIRKLKGELAQSGSKRFSAVPGLISGPVTLESQTRQDLLLKLIDNLHDESLRLRREEVNHQTYIPSNPKISSEKMMKEIEEFNVKRDQFYDKLNSVNRRLRSVWFDAWGEDYPFDIATAARPIQTFSEPKKMEEVSAKWGIVW >CRE12542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:638265:639184:1 gene:WBGene00062491 transcript:CRE12542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsm-7 description:CRE-LSM-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M7K2] MSKDDGKRKKESVVDLTRFLDKEIRVKFQGGREASGVLRGFDQLLNMVLDDCREYLRDPQNPSVVGDETRPLGLIVARGTAITVVSPADGLEQIANPFAAQDE >CRE12747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:639453:640887:-1 gene:WBGene00062492 transcript:CRE12747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgg-2 MSGNRGGSYISGIVPSFKERRPFHERQKDVEEIRSQQPNKVPVIIERFDGERSLPLMDRCKFLVPDHITVAELMSIVRRRLQLHPQQAFFLLVNERSMVSNSMSMSNLYSQERDPDGFVYMVYTSQPAFG >CRE12543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:642512:644327:1 gene:WBGene00062493 transcript:CRE12543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12543 MLLANIKSNYRTITEDVMSVRRRTHSDDFPYPLERTRLSSKLNVLRENPPQPVQQGYSLTTVMFVSIVVSIICQHVIPHTFSYLNQIIKNSPKRRAPTHSNLLNIGFISTNPPEKYAPAVQKPTFLVDPIYDEKWKGVQTAVPVMTTESEEKRDNDHAKVKEAILAAKAASRSRRDGNLERAMTIMEHAMALAPNNPQILIEMGQIREMHNELVEADQCYVKALAYDPENSEALVLRARTTPLVSAIDRKMLRSVHDLRNEFAHLQHSTALRRMMRETYFLYVYHTVAIEGNTLSLGQTRAILESGMVIPGKSIREHNEVIGMDAALRFLNCSLLSKGHDEISIEDILEMHRRVLGNADPVEAGRIRTSQVYVGRFTPVAPEYVMEQLADMVDWLNDESTLAMDPIERAAIAHYKLVLVHPFTDGNGRTARLLLNLIMMRSGFPPVILPVETRAEYYASLHVANLGDLRPFVRYVAKHSEASIQRYIGAMKTSSDNTINGEDSSLSPEDSEVSEKIEAECRSGN >CRE12748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:645235:645915:-1 gene:WBGene00062494 transcript:CRE12748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12748 MADIAAAFAQHEITPKIIENAPKQKLHLCWDGIQVEPGMTLQVRNLKNAPRWALPGADPESIYTVLMIDPDNLSRKNPSVAEWLHWLVCNIPASNIIDGINGGQHQMAYGSPAPGPRTDLHRYVILMWEHQGRRISVPKPSSRAKFNVKQFIEKNKLGDPIAGNFFLAQHEG >CRE12544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:648197:649868:1 gene:WBGene00062496 transcript:CRE12544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12544 MYTYSDVTVDVNPPTILLKFYTIHGVFALFFNVLGVFLIMKNPRIVKLYRGFMLNMQILSLLADAQTTLLMQPVYIFPIIGGYTNGVWWNIFRMSSHLQMGIFVLLLYLQVASIVCAIVTKFHVVSNIGKVGFMKSGKVSENRFQSSKRPLLFWIFVVFYHSCAFLIFGIFCVSYLTKREAVDLVKTKFPNAMNVLSLENVEIYDLEVNKWMIGTTSLIASMLVSSLLISLYFSVRLLKKLRSKRLIISVRSFRGHQIAVTSLMAQATIPFIVIIIPIGTIVYFFVHVVPNAQYISNAMMAIYSFHSSLSTTVMIISTPQYRKMIRRGFRSPTAAISPQMTRVVPNSANSATFRMKKLSTPDL >CRE12749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:650004:651750:-1 gene:WBGene00062497 transcript:CRE12749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-3 description:CRE-AQP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M7K7] MLSDSSSDSSDRSFKFPFDTTDALSIHELAVKDLPKPDAENPFSVAMHSPPGSPPFAVDRKSVDNSVVAVTDTPFEFAPSQKSSQHTNRPPPFAKSEEENMTMNLVERLRPKFTIDNELIRAFIAELFCTGFLVVGFFFSLFFLLLFLLFCCFQFGGECVNAQYVLSQGKNNEWIGISVGWGLVLMMAVLMGSRISGAHLNPAVSFFQVTQGKMNWIRFLVYVVAQNIGAFLGAFGVFCVYFDAINVFEGGNRTVTGHTATASIFATYPGPFLGTFNAIIDQIAGTLVLCLGVAAITDRRNEIPSFLQPAWIGALLAFLGMSMALNAGYAINPARDFAPRLFSLCAGYGWEVFSYRDYKWFWIPIICPMIGGVLGAWIYEFFIGYHIQDDAALEAESEKQLQSILDEVADIENQLPAYTDKKQLSDVASIHQDPSFRNI >CRE12545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:653265:654559:1 gene:WBGene00062498 transcript:CRE12545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12545 MQRFDDRMPMNSISYSMIQTERSPEYSDDSCTCGHDEMAFGDGLSYSKRVVNHKHFLQNFSKVESNFNYGTATEHELNAPYPKQVADRYEAEYDYCMRWACDYKYVAARVIPFQSNFYSVVRLMFHGKSWALDCANFDGQYDYCGWLPSVSGPQNLKKSVLTDSSELSHLRVEAGRTLQSSDTGYGLQSLKTDYSECSGLTKDPIGDFQFSKCIYCLVSDSDVYNVMGIVSECEEIPIKQSLHSTFENLSPILKNEMAHPSESIISTGSSLKEVFHDLVELDHIFDQQVQEAQTQQSSLEDYVNCLTTAHSFSEVSLHSNYDSTQSLSIPPVVIDHVAPKTQTMLSQLICLTGKKFSVAFWKNWSKSKN >CRE12546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:664927:667005:1 gene:WBGene00062499 transcript:CRE12546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12546 MFAYDRLALDLAVFNLKDVDSGNSSSSERSSPPTRIMSQAQNINDESGSSGYGSPTRTPLASPSNDIFSSVLPLQVQRAFSAQSPEDIARHFGVSPSPQTVKPVPEVSQQTQTQAALSAAQALAAEDGFSLAEIAGFAELLSSPKKTPPPRYQCHICYATGHHYISDCPQRFNSPYDELTPYQGRKKCYGEFTCQLCKRKWNSQNSVANEPQSCIKCHVPVFPHKQLPVEKAVTLGLIKAQNVTKVAPIGHGRPPARKHEESRRH >CRE12547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:667614:668758:1 gene:WBGene00062500 transcript:CRE12547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12547 MSPTESPGTQVELHDCRDVIGSGSSIHSIKFSEFHMFDANWKLKFRADWVDYLNTYVVSLRLRHRRNSVDDIWSLDTSVKIQFDEEWLKGGVLFDTNFSNRKREVEITTMDIAVEKVKEPNMQVRMKIIMQVKSAAGIQLRNFLDFSRPLPIFSDTIVRVEGVQFHVNRMILSMASPIFLQTFMDTQNDENNGVEICNVSSHDFRRILNAIYPPHMPPKQWIKGNFSFFLIDIFSSENDVKKQLDHIYHFLHIAKALQISIVFEVADKWLVKYGRFKLEDSLLLAQTFGLRELMGSKLAKIESIDELRKRRGEISSLSHKTKSLILDHILFSL >CRE12548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:670316:672781:1 gene:WBGene00062501 transcript:CRE12548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12548 MPQNFKKWFIFLLLHSFFVFINSQKLAVVITDGLAANTFYKFSHLSVFRTFEEEGVWSTKVFPVFPTFSISNRHSLMTGTLPRRHGLIGDHIYNWRDNLKFQNFTADSDFSRDWWSIDPIYVSALRSSASVAMFFFPECDVDWDVAPQICVPPRTDGKTFADESQAKRVIQATKEHDLTLIYHPWIGEEIRRKGVHHTNEKHSKEVLRFAQSLERLTAQARERVDLNVIVVSTHGFIDVPRKNIRVIDEYIPMELIETTVGSGAMKQLQVKKGKTHQVYSQLHDHHPIPNVHVYYTNPKSGDLPEHYHFKKSDTVADLILLADPGYAVVTKDEKKQVPKPKLHEITAAIDGYNNELPDVLGVFLGYGPAFRVGFRKGPIQLFDVYSLMCSLLSIEDSCNHTPGRILRIDDVLTSDARVSIRSSTSFVSSPLLTVILVIMLSVVKLFY >CRE12549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:676773:677606:1 gene:WBGene00062502 transcript:CRE12549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12549 MEFSQELISIAVIVLVCFILSICCICIWMCRCRQDQIRASEDKHDRAVQLQNFEQGQAKNGTVQASLKPVSRLSVNDVARSTDNMRSHRDESARNHETIGQYEERIAGSSSISSNSNSRTGAAAGIAQRFRDEETAKHKRLDTISEIAMSNDSLQRNDSKTNVFNNIEGSRKTISPMEVDLNPGLSSSINLEKI >CRE12550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:678384:678835:1 gene:WBGene00062503 transcript:CRE12550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12550 MMLDPRKMEEFIEQVRLTPAIWRKKEFGVSREHLNEIWAHFGHTFDISAEEAEKQWEYLIRLHRFMNKEASKEKFRFGKSEDVDSWNDADSSVADALSSFLKTSLDELLLVSEPTETSV >CRE12551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:683151:683695:1 gene:WBGene00062504 transcript:CRE12551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12551 MSYQPYNQPPPYTQQPGYPPPGSAGYVPQQGGYYPQGQPGAYYPQQPHVVVVEERRGGGRSGGSDNCCLVALLACCAGCCIADCCDCC >CRE12751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:683687:685119:-1 gene:WBGene00062505 transcript:CRE12751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndx-9 description:CRE-NDX-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M7L6] MPTIRSNNVVSLTTSPIKNMSFVEKVRLLDYWKIQDSALQNEFPRSHLVLMVDRRLLVTKDAPEVRMVEHSYGELKQLLGEYGLQFDLSNSCLLDALPADNDMIPLFGTSIEAADPPEDSPISKKDVLKQLGNSLGGRFTDIRMAMLTMREERQRNLLAKFQSLTKWAATYRRCPKCAAALKMRSSKSGAECVTCQRVYYPTFSPVSITLITDPTNEHALLVRHRGSAGGVFTAVAGFAHTGESMAECARREIAEEVGIEVDSIRSLDMSQPWPMPDSSLMVAHVAVANMDQKISVCPDELETAQWFSRHQVRQAMETTLADPFLKKLPLTLDDRQTLHYIPPAGAIAHQMIRKWVDGKIDCHNSRM >CRE12752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:685645:688042:-1 gene:WBGene00062506 transcript:CRE12752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12752 MPPFLAEFTENVFRRFRRHYSNEKSSISSGKMSSYGAIGVDDNDTDPLLDDEPPRRLPPAGGVPIGRRRAISASQIGSAPSQSVSRQPYLFTGGLGLRDESLLSLHSEDDLHREHNNALRYRLYNRLDPGGEHLTMPDHVLPPNLFSILPFEELKDVSGKQGSIVTIFSIWNTMMGTSLLAMPWALQQAGLVLGIVIMLTMAAICFYTAYIVIESPKRLQDLSVDPLLAEFSDVCKSLFGRVGEYCAVVFSVCVLIGGIIVYWVLMSNFLYYTGAVVYESMQPNSTTIPVMENKTFTCDVYCPEQTSQWTIPQWEKQIFDAVGEFEGGETGDDSWSFDKFWTLRGTVPIYLAVALFPLMNFKSPTFFTKFNVLGTISVMYLLMFVFSKLLECGVNMDFSNPKSMHYVQLANMHFPALSGTLTLSYFIHNAVLTILRNQKHPENNARDLSIGYCLVAFCYVFIGFTFFAAFPVQRSCISDVSFCSYQINLKILFQNFLNNFGAGDVLSSTARLFLLFQMITVLPLLMFLVRSQLFYAIFGQTWPGAIKVILLNLILISIAVGFATFYPNVGSILRYVGSISGLVYVFALPAMVYLKQSKAAGTLTPLKKYVHYGIVAIGVLNLIAQFVI >CRE12753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:688618:691331:-1 gene:WBGene00062507 transcript:CRE12753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mogs-1 MAPRHRRPPRELETPSATIRYEPIVEPEPWFSCSWDIAIIFLLLGGTAFAVVLHLYLYPTVDKIHPLPNVDLENAPYTWGTYRPHMYFGLRTRSPASPLFGMMWYEQPDTIQRPHIRHWCNQDDRLPGYYWYEADGRTFGRQNISETHKGVIQTDWINEANGFAARVKLNMAQGRRYNVILYLSAQELSTRFRLGRHLKDIFYGYNDLLGAFTLSVNLKDGTKLHSSHSAMLTDERIQIDKYQDFIVDNTVNNTVFQQAINPQNQPLHYLLNEKKNSDEGKFIAVQLNLGSQAEFDIVLQTEKLKAMKSEEFNNILRGRSYNFNKKYENAFQLSGKNYTNNQQKMAKVALSNMLGSVGYWYGHNRVFHNNLLHPYGPHSFFSAVPSRPFFPRGFLWDEGFHQMLIRKMDPKMTLEVIVSWMNAMDTSGWIPREMIVGTEAEAKVPAEFIPQKSDVANPPTLFYVMDKLIHDDKTVARYAGILKLLYPRLEKWFTWIRVTQAGPTRSTFRWRGRNSTILSELNPKTLSSGLDDFPRASHPSDNEYHLDLKCWIALASRVLARLAKSYGTEVEYQKASKAMEELNNFDTLTKDHWSEEYQGFYDYGKHSVDVALAPVPAASSPRQFEYQRVTSRAPTYQLVTNVFGYNNLFPMMLKMIPSKSPALKAMLDKIHDPEVLWTKFGLRSISRKSPYYMAKNTEHDPPYWRGYIWININYMVLSSLRHYADQPGPYQEQSLTIFNELRANLISNMAIQFQKTGFLWENYDDRTGQGRGCHPFTGFSSLILLIMSDNLDT >CRE12552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:692275:693045:1 gene:WBGene00062508 transcript:CRE12552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12552 MSRTETTTTTTTTTTKAFENDYGDFSQFKKGKPTKQTLGEHIYLQYLLVSGIYMLEPWEQRLFNWIIIFALTTFFGLITYFVV >CRE12553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:694073:697147:1 gene:WBGene00062509 transcript:CRE12553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12553 description:10-formyltetrahydrofolate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3M7M0] MKIAIIGQSAFGVDVYKELRKNGHEVVVVFTIPDKNGREDLLAIEAAKDGVPVQKPARWRKKNPETGKFETLPEMLELYKSYNAELNVLPFCTQFIPLEITEAPPKKSIIYHPSILPKHRGASAINWTLIEGDEEAGLSIFWADDGLDTGPILLQKKCKVEENDTLNTLYKRFLYPAGVAAVAESVELIASGKAPRIVQPEEGASYEPYITTKPELAQIDWSKPQRQLHNFIRGNDKVPGAWAILNGEKVSFFGSKLWKPKKLPDDAVEVAVSEVPGGKVLVEDRGLLLPGSDGKWVIVDTVKIGTKMIPASKYGQGADQVQELVLTDEEKETVAKLKKIWAGILKSQVSSDTDFFESGASSADVTRLVEEIKFNTGAELESGHIYSGPTLGENIDIVIRNLRGEGGLSVSYDPIVLNVNNMELKFPHEQFIDGKFVGSSDGRTFQTINPATEKPICALPLATVADVDRAVRAAKKAFERGEWRQMSARERGKRLYRLAELMEEHKEELATLESLDAGAVYTLALKTHVGMSIDVWRYFAGWCDKIQGKTIPISNARPNKNLCLTLREPIGVVGLITPWNYPLMMLSWKMAACLAAGNTVVHKPAQVTPLTALKFAELSVLAGIPPGVINIVTGSGSLVGNRLTAHPDVRKIGFTGSTEIGATVMESCAKSNIKKVSLELGGKSPLIIFADADLEKAVKQACGAVFFNKGENCIAAGRVFIAKSIHDDFVKKLVEEAKQYQIGDPLDRSTNHGPQNHLAHLNKLVEYVENAVRDGAKVEIGGKRLEREGLYFPPTILSNIDDENFAASEESFGPIMCISSFDDDDVEDVLRRANDTEFGLAAGVFTRDASKSLRVAEALHAGTVFVNTYQKTDVAAPFGGFKQSGFGKDMGEEALNEYLVTKTITIEY >CRE12554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:700072:700789:1 gene:WBGene00062510 transcript:CRE12554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12554 MLKLALFLALFTTVVAWNRQNHFGNPCYLCSCFTVYTDRDVAVPLRPYAMAIDGYDTTEDRCLATCQRDKRCRAAVYGMVGGRSVFTCEFYERFNTKSAPVYTTYVNMYMKRSSECQLSISHLSSVEMIPADNSSLKRRAKLEKLIGRKNPFLG >CRE12556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:712716:713595:1 gene:WBGene00062512 transcript:CRE12556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12556 MGVRSLNEDIIRFKFNALLQSLAFETVEHKIEQLKFLKLRFETVAKFRHKTASSSVHMFTSLTFSFLEYQITVTLLQFQMEMKWSLCCHINVRIAYTICGIIIGLFWACVYIFAWKVNIQSVSLVIKLFLFQNWVALATCLVATSFAFETFFFYFSIKKDTILKWKPTTFQILFWMNLLVGFLSIGGMIAAIVLAATKHQGVSNTDQHGLNWWSTATWFLVMLKWTWQNAFIARLYSKKLEKSIIHPEEPDDPSRWKF >CRE12557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:714464:714984:1 gene:WBGene00062513 transcript:CRE12557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkb-1 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3M7M6] MKTAVIVGLLCLMALAYAADEQKIDKLQIGVKKRAGNCVQKSRKGDQLHMHYTGTLLDGTEFDSSRTRNEEFTFTLGQGMVIKGWDQGLLNMCVGERRILTIPPHLGYGERGAPPKIPANAVLKFDVELMKIDRDGEEL >CRE12558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:716727:723744:1 gene:WBGene00062514 transcript:CRE12558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-144 description:CRE-TAG-144 protein [Source:UniProtKB/TrEMBL;Acc:E3M7M7] MVQTLRRPWQEAASSAFAVASALPVTMNSTQIAELFEQVEHGESELRCALTAEVSTLRNANGESLLIVAVRVGNTLVAKQLAQLDPESIDETDNEGWSALLNAAHGGYVDIVRLLLETGAAVDQPDLMGWSPLMWAVYKNHLDVVDLLLNSRAHVNLIDEEDGLTPLIVASGRGFSQIVERLIESDCQVNACDKFGSTALIWAARKGHLPVVQLLLNSGAEVDAVGMYSSTALMLATRGNFIQVVDLLLTREPNVNVADQNGLTALGMAARDGYADICESLINSGAFVNQCDRFGNWILTSAVRSGNAAIVRMVLDKFADINCQDSEKRTPLHLAIDKSFNDIAYILLEKKPNLELKNKDGETPLLRAAKCRHVHLCTYLMSFGAKLAAVDNCGDNALHLALRARSRRLTQALLCKLSFVSPFSYFFPSANPSDSRLLYRPNKLGQTPYSIDLSNPQPILPLIFGPIDAEDKMDTAMGYDVYSNVLADIVCEPSLSLPLTIGLYAKWVFPEIGLMEYHSREFHSSLTVKLFFFSLSFALFFAIFTFIGLFSLTFTTIIAISNSITAYLISWSIFLFIFIVLCSLIIVVYYGDRKNWYTSMDIANFFARVFSRVRLVYNILTLHAPMNSEDSASMPVSFLFADYHRLSSIGGEQALAKIVATLFEAAETHFGVLPVRLFCSMKPPYPGIHGSLRRHCGVPHVILLIVAIFLLTMAQIFGTIWLLSDRDPNSFNLFIAIAFFCGFVMIAVYPIGLIIMYSWTNVPRRRVNAAARNAHKLRFEGLMQKLQTEVDLLADMIRSLDAFTRSHTRLVVVVDGLDNCEQERMVQTLDALELLFSARKHRPFITIIAVDPHVIVSAINHNMHSALSGTELTGHDYLKNIISMPFYLHNSALRQLQSKLREKRESMAEWKERFKRQDTSYGSHLSLREADGRTSRKKSTVPNMNSTSAVGRNLNDGILGEDYFSNMNPRAMRRIVNALTLTGRLMRAFEIDFSWMSLGHWVSLLEQWPSRMCWLIDRALEVHNNQLLLSEVYHQLKDHIPAQDDLMQLDRNLENFEGFLDSKGIPLAERLTVGHVKKFVPCTSSLDPYLRKLIRERSKGLVDIEAQVGSAGMAIPPNARLLFSDELTWMSIETPLVEMKLDAIANLVRKLDVPSNRLDTILERFYKLNLCGLVLATCPLPELKESMTLPLGDWTLVRLLIETLKVFGSSPPGLRVDKRKALTLREEDEEEEIEEAAEAALNSERERAPLLGSVRAEQRRRSTIVQNATELSIDHKCLMEKLSGMDLSKFFLAETEGDVNEMHFSHFSSSTDGPSPMADGFLPASVSAAPSVRFDDNINDLEREASDADSTQSRYDSKENLLEEERSHSPPAHVDLMRFDSGNTSSDFVRSSYRTSSKYRRMSRGDLMRGMHQNDTIRSSLDQGTGAAPSSSSLMASDEDLNQQTVQRRGGDDFERIQLEQLFNRQSDA >CRE12559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:724960:725304:1 gene:WBGene00062515 transcript:CRE12559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12559 MKTPTILLIFTLFVLSVFCEESSENVHISGSFRIAGEGEEIPKSAGIEQEHPFFQLEGMKIARAYLDRQYAKERAQREQQFGV >CRE12756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:725447:725960:-1 gene:WBGene00062516 transcript:CRE12756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12756 MSLKLKLFLICLNISLFSCASNAVERYTKKFSPKVLKEGDHISRKYPKHLMEVTMSFGMTEEKVLFIEAVIEDNFTDRFDTDSLNKIQEVTEEFISIIFYIHFQTVQKYLGGYWSIQFYDDPYMFFSTSFKRSPSFIVLDVNGKGVAVVKDR >CRE12560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:728837:732357:1 gene:WBGene00062517 transcript:CRE12560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-3 description:CRE-LIN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M7N0] MHQFFLFHEVTFVLNIIFYLHFFRYHRLQLIKMRNLLLFCILLLFMPHFTESCLPSWFRQERSAPEKFQSSENAETSGSPPTDSSRNDLETNEIGDAPSTTSDVETTTEVTSVTSNQKELEEEKKLQEVVKELTDKEAEYEDEYEEEQEEEDAEEALKYNEEATRDATSTLKPSVRKEIEKLKEAKCKDYCHHNATCHVEVIFRDDRISAVVPSCHCPHGWEGTRCDRHYVQAFYAPINGRYNVRLSTMSSTAQLFVQGPVKKPKVFIVHPSNHTVTSTPSRDDSDISSVFSGLYDRILQSSTSAIPAFAFLIVMLIMFIAIVIYAYRRYFHLFIDGSTIQYMYYRMSKRTDDMTYTMSHMCPPDAFNVLKTPNGQHIPVHQMTSCPHSAGQSTVIPSTPHPSSAPGSRVPMRQQAVRNVDQARNNFFSILRSQGTIPSRSINDDDTPKHYKSVPRVEVSAINYSGHIDFSTISFQSTDSEVSKASKTCPPPTHCVINIEQESVETNFRSPSRSSGEPGSPTTCEPMIPHMHL >CRE12757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:732439:732963:-1 gene:WBGene00062518 transcript:CRE12757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12757 MRSLVVCLLLAACVLECTARLQNITVKGVAVCNKKRLANVQIQLYEKDTLDPDDLLATKNTDAEGEFSVYGEEDETHTIAPYLLITHNCNPSKPNCVRVGKYLVPEDKIGGTYDMTYVTLDIKVHGEKEKCK >CRE12758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:734472:735085:-1 gene:WBGene00062519 transcript:CRE12758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-17 description:CRE-TTR-17 protein [Source:UniProtKB/TrEMBL;Acc:E3M7N2] MSRLAIILLLSLAVFEISAKLQNVTVKGIAVCNKRRVANAHVVLIDKDTLDPNDELAQIHTNKEGEFELFGEEDEIGKIEPYIRIHHNCNTQPGCERVSEYQIPQEKIGEVYDMTYVTLDIIVHGEKTKCN >CRE12759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:736609:738413:-1 gene:WBGene00062520 transcript:CRE12759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12759 MVRYKCNECLKTFDLKRYLTKHELRMHKNKSRSEESKRSVEPLKCSMCDKVFPRLSHLQRHQMTHLNVRDYSCDYCDEKFVQKAHLTRHLSRKHSNESGVEVNWIACDKCGNLFKTTYEMKIHRRTVHELHRCKRCREIIESGNDGLRQHYIRCRDREKMCEHCGASFSRKADLTAHQTSCLKKVAFVCIPCESFFKQRVEVESSSVNSVSTLFQLDRHIKKLHFRSVKCEKCEHISESPVQHSRHSLECLKINICGYCNVENPDKDHVAEFHWKRLKRAVPRRVAEKMIKKKEMVPSTSDCVVKTEEDSEEVEEDFEESTSGQMETEVEPDGNQEMKKRDSKEFDDPLFNFSEASTSQLDFCSTAFTEEDDSPEEYLTFSICPNDTDLSFHLRGRLPEQLVSLFPELENTSIILLNSVALPRCRMTVRVPVTIPKSCDNEMEMRKWLGKSINLQED >CRE12760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:738663:740953:-1 gene:WBGene00062521 transcript:CRE12760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-7 description:CRE-ATG-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M7N4] MATFVPFKTFLDTAFWREVNKKKLHDWKLDESPKEIYSQLSLYDTKGSECALSLSHDSFTSSSEASNGLSISGGLILYNTRESFKAAATTNSREELMELKATKIWDAVQSRSWLQNPQLLNTFFIIAFADLKKFHYIYKTCVPALIFPKEIQQEIVPLTAYNANESNLFTHHEKASSPVFLLSNVSNEILDLPQLENNNNPDEIIIVIADPCPVSSSAGWLVRNVLAAVAQLHPSWTYCNIISLRSAGSVGFKFSWVSSEGLQSGVPRSSGWEMHYSADLKKYFDPKIMMEESVDLNLKLIKWRLNPDLELERYSNLKVLILGAGTIGCNLARGIVPWGVRHISFVDNSTVSYSNPVRQSLSEFEDARLGRGKAETAVAALQRIFPSVQATAHRLTVPMPGHTIDEKEEEQLQKDIEKLEQLVKDHDVVFLALDSREARWLPTVLACKHRKMAISVAIGFDTYVIIRHGIGSRKDSVSDDSNSEAVPYSQLSCYFCSDVTAPGNSTSDRTLDQQCTVSRPGTSMIVSGLAVELLASVLQYPNPLETPASLDDNTTLLGAAPHQIRGFLNRFQQIHPIVKRFERCVACGDSIADQYQQNGWKFVRDVMNSPKRLEEVTGLDELQDSVDAIDIDFDDDESVVSN >CRE12761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:741061:742171:-1 gene:WBGene00062522 transcript:CRE12761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-70 description:CRE-LET-70 protein [Source:UniProtKB/TrEMBL;Acc:E3M7N5] MALKRIQKELQDLGRDPPAQCSAGPVGDDLFHWQATIMGPPESPYQGGVFFLTIHFPTDYPFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLVPEIARIYKTDRERYNQLAREWTQKYAM >CRE12762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:743791:746125:-1 gene:WBGene00062523 transcript:CRE12762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bcc-1.1 description:CRE-BCC-1.1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7N6] MKMTSCHIHFPDSNKYSESNKSDQVSISGTPMNVYEALKHLRVRYKFTVMTSQNVKFQTMCPLTVYMKLPWYNPGSPDLRPLMSQMDLEFSVEQNIYTLAIKMTGSQDASVLFAIRLVLHHFLLTEEYLNISTTVLAREELNYQLENIEEHRERLREVCNKNNVTIQTFPETQSISVVGPPAGVLNVRKMLIGFANVTVQFDCNVMDIHYPVQQLEQERGLQIGCKRKNGDVMTITMKSTESKLAEVLQSRELLLALPPTTYSCTDDYDPNPVMNISGPSLTPLQTEMASGVRVFLTPPIESPRSPDPEDSPLAASILKGAKDISKNSDIWKKKPKADRGEMLMKATQAIFDDTVLSSPRYPTDLWSGYGFSSSLPADLLKGMLDLSTNDQATSSSLLMNHSQRGLCSVREEDEELSDFSASSTNYGMSRVFEQPSRNVFSASTSVFDSNSLPYDLQWDINYFTDPSMVLAQLGCSEYMIQLRDQEIDMHAFLLLDEQNLKDIGVSTIGARKKIHHAILSGFLFCLVLAAREHNPHFHITMTTFTELRDSARLNGYAF >CRE12561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:746458:747724:1 gene:WBGene00062524 transcript:CRE12561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12561 MEHQAGAQAMLPPGTRCDQFILQKMLSVGGFGQIFEAGTIGNSREKSVVKVEAKTAMVQCYSEIQTIFLDFQFQLLYNECGCIKSLNSAYNPNGLLEATPFLRFHGYGTIDGYRWLAMEKCGSNLSDLRKATPLNRFSIPTSLFILSKFIEALQMMHTIGWLHRDVKPANVCIGLHSPRHLYLLDFGMSRIYVEKDGTIKPRRVTAPFRGTLRYVSVNIHRRQDASRWDDIWSAFYIATENMVGYLPWRRMGDTKKVEDTKVISDLSRLKYGSESARPNCMRVIEDYLNVSQINPSYFYVSPPYDLMRREIDTDLRLRGYSLSSVRLDWMTVQYAPTSQYHMSPYSNQNRMMTPQKIQKKVDRMEREYERSVCFY >CRE12764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:748752:749744:-1 gene:WBGene00062525 transcript:CRE12764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12764 MSHKLPSPTDKYYFEVLQNEQKSEMLKFLFESFRVDEPLNRASKISREEIEKCLNGALDRALKTESSILAKTKDTHKIVGCMLNSVWRRDESTSTSEEGDDKDFEFHTIRKEVAIVGEILNELHESFWSLRPDQDVVLHFEISSVSVNHRRQGLASKFMNWSENEDFLKSLGATGIATEASSLANQLLLTKRGYQTLATTLLETKVDSETGKPVLVCDDGTDRVNLMFKEI >CRE11562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig817:8371:9287:-1 gene:WBGene00062526 transcript:CRE11562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11562 MNISRLFFTFSRRLSTVLPQATEKYYFETVKNSNRNEILEFLNKNFRVDESLSRAAGMTESDIQICFEGVLDRVLRNEVSILARENRNDEVVGCMLNSVWKRGDAKKNENSEEEEFQFGGDRKGVVTIGEILNELHESFWKLRSNHHTVLHFEISSVNRNHQRQGLASKFMNWTENQELLKSVEASGIVAEASSLANQILLDKRGYETVAATLLNSRIDSNGNQILVCDDGTDRVNLVFKEFQ >CRE12767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:753373:754066:-1 gene:WBGene00062527 transcript:CRE12767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12767 MNCFVAMSFNTSLFILIVAFSSLALCQKDEKKSGPPGHFLFGPSYLANLTKEEKQEYYGIFQNQKLTIKQQEEQRLAFAKKHGFEQAIKDDIKLKEENHEVVRKERPELIKNLLTVHNELMKIFDNKDQTLTQQEAAVSALRDKYPNAPATLYYISRLITGQDRRAKPHHKHH >CRE12768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:754993:755684:-1 gene:WBGene00062528 transcript:CRE12768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12768 MSTKLIFSVLLLSVMVLARPDGPKEHGKPEGHKGPKGPEGPHGHGGPGLPPFLANVSAEGKKEFEKIFKNDSLKISEVDTQLAALAEKYGVAAIFKQFQANITAHLAEIKKNQTAVISNLSSVSDKLQTIFSNKDQTRAQQREAVEAVRKEHPVEVDALRFIRSKLEGPKGRHGGPHGGPHGGPHGGPKGGPRKEEKEKAASVSDEDSADAQE >CRE11566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig817:14373:15070:-1 gene:WBGene00062529 transcript:CRE11566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11566 MARNLIFITIALIAVVVAGPGERGQKGPGGDHKRGGPGGHHFLPPFFGNVSDEGKKEIGAVFKNEALTLAQVDTQIAALAEKYGVAAAYKDHKEKEAARHAEIKKNTTAVISSLSSVASKLSAIFENKDQTRKAHHEAIEALRKENRVAVDTVEFIGKRLGGFGGGRHGGHGGRKGGHDGGNKKDFGGKHGDKKGPHGGDEKKKTKEAVAAPAAAH >CRE12770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:757910:759503:-1 gene:WBGene00062530 transcript:CRE12770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-4 MRHVLLIFCLIIGAQAVLNTGLQLKIDELFDTPGTVMSSHTNNWAVLVCTSKFWFNYRHVSNVLALYHSIKRLGVPDSNIIMMLAEDVPCNSRNPRPGTVYAARAGANLYGSDVEVDYRGEEVTVENFIRILTGRHHPATPRSKRLLTDHQSNVLIYLTGHGGDSFMKFQDSEELTNVDLAYAIQTMFEDNRYHEMLVIADSCRSASMYEWIDSPNVLSLSSSLTHEESYSYDVDTDIGVYVIDRYTHYTVNFLSKEVKALNSSANMQDYIDSCPARKCLSNTGVRKDHYPKDVKRVRVTDFFGSSRIFQHLSEEIVLDDEFWA >CRE12771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:759714:760552:-1 gene:WBGene00062531 transcript:CRE12771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12771 MADNWGTENVVIDAAPATEAPEVALFGKWSLQSVNVSDISLVDYIPVKEKSAKYLPHSAGRFQVRRFRKAACPIVERLASSLMMHGRNNGKKLMTVRIVKHAFEIIYLLTGENPVQVLVNAVINSGPREDSTRIGRAGTVRRQAVDVAPLRRVNQAIWLLCTGAREAAFRNVKTIAECLADELINAAKGSSNSYAIKKKDELERVAKSNR >CRE12563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:764242:765124:1 gene:WBGene00062532 transcript:CRE12563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12563 MASRGRVCCIGLSTTLAIISIIILTVCLFSASFRYNSDALGGLKNYGLFRFCYTPESQSLTRDDDSNSKICHLRNYINSAYCQDRTNSNHEKSTRNCFGDFDLATIILISASMGCCILSICFSVCTIFTSFGALAQSVVLVAAAICSLSGFLVYTYFYELKDNQYELVSGYQYQIHYGWAYYLFGFSSLLQWVSFVCSLFGSAFVLVHKNKKRQSKVTSTSL >CRE12772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:765530:767101:-1 gene:WBGene00062533 transcript:CRE12772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-imp-2 description:CRE-IMP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M7P9] MAEATTNAPPTATNATTFTFEEQATSSLALYGMSIFCIIIGSIHSAKYIRKQIDKKRLIEGSITMREARKFPLSASIVLFGLYLFFKPAHERFTWIAGVAQTLRVPDEYVQKINSTIISYTANTTASNEPAEPLLVRLSAKLPLERIPEAVQEIALWVYGELPTVGKTECMVFLTFLICFEGVNAFASLIKPLITGLFKKLPLVPSCLRFNPPYLFSLKKGKKEMEEGDIEEAKNKDTEYLFKIDFDRHDIIAFLICSPILISHLYKRHWISNNIIGVSFSILGIERLHLASFKVRILAGALLLCGLFLYDIFWVFGTDVMTSVAKGIDAPILLQFPQDIYRNGIIEASKHSMLGLGDIVIPGIFIALLRRFDLRVVQSTAESKAPPASQKGRYYFLVTVIAYMAGLFITMAVMHHFKAAQPALLYLVPCCLIVPLLLAAIRGEVSALWNYDEGKHVDNEENRKRVDSGKKNN >CRE12564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:767648:771126:1 gene:WBGene00062534 transcript:CRE12564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12564 MRFLFVGCVALLAVSAFIPHAYAEDEIEEVPTKESKEEIREEDTIKLDGLSVSQIKELRSKAEKHEFQAEVNRMMKLIINSLYRNKEIFLRELISNASDALDKIRLLSLTDPEQLRETEEMTVKIKADRENRLLHITDTGLGMTRQDLINNLGTIARSGTSEFLSKLMDTATSSDQQQDLIGQFGVGFYAAFLVADRVVVTTKNNDDEQYIWESDSSSFTITKDPRGNTLKRGTQITLYLKEEAADFLEPDTLKNLVHKYSQFINFDIFLWQSKTEMVEEPVEEEPATTEDGAVEEEKEEKKTKKVEKTTWDWEKVNNVKPIWMRKPNQVEEDEYKQFYKSITKDTEEPLSHVHFSAEGEVSFRSILYVPKKSPNDMFQNYGKIVENIKLYVRRVFITDDFADMLPKYLSFIRGIVDSDDLPLNVSRENLQQHKLLKVIKKKLVRKVLDMLKKLDGAQFDDFWKEFSTNIKLGVMEDPSNRMRLAKLLRFQSSNDDEKTTTLAAYVERMKEKQDAIYYMAGTSRKEVETSPFVERLIAKGFEVLYLTEAVDEYCIQAMPEYESKKFQNVAKEGVNIDDGEKAKEAHKVLEDEYKPLTDWLKETALKDLIEKAVVSQRLVKSPSALVASSYGWSGNMERIMKSQAYAKAKDPTQDFYATQKKTFEINPRHPVIKELLKRVTASEDDVIASSTAKLLFETATLRSGFSLQDQVGFADRIEAVLRQSLDVSQDAQVETEQHIEEAEPEAEASEETTIEEEHSEL >CRE23242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1198:5543:7201:-1 gene:WBGene00062535 transcript:CRE23242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23242 MNENVLVYDESSINESVGPKKNHFDIIDIQIESQQSANFTDSEDSDDSFINEETLPQKEQAIRKIEFALADINDQIERNEKTLILRTSYEKSHFSIRYSSHRKKKLDRDLHCLHQVYDLLENDKKSTKRELFYEHKAIYEAQRNLDSSIRSICELLNESRSNLNVLACGRGILRGAITFLVEDVGVIDARVQDVLITGKINANNMFPDSLLFSDQVSEAEFVLVVEKDTTFQKLIDEKFQIMFPRGILVTSKGYPDISTRNVLKMLSEKRKFPIYGLFDADPHGIEIYLTYKYGAAKETAEGRGAFVSSIQWIGLFPTDFKRFFIDPSQCLPLLRSDFVKIENMIPRSIQLGECLVTRELDWMIQNAFKLELESINMCGPEYMGKYLIAPRVRSWKEPMFERKPYEQMMEQSLNTISPDSQNLEFSIRRGEAAEIFKDCYIDSDTERLIDDVIDNDSD >CRE12565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:773543:778208:1 gene:WBGene00062536 transcript:CRE12565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12565 MSGRGFEQSTALPEQIGEVTDYTVSSNLLATLSKLESVISTMEVRGLQNDPRHAKARSLKQILQAGPPPSCSGSMSSNSSIGITELTPLQLKQLRAQVAAYRHLARNENVPDYLISSAVKLPPKQTTLLPEPYKYPGEAENGEKLPYDLMKVLSIHQSRFNRQTELQVPPGVDPFKMLTQRENMIQNRIGLRMKSLSNLPADIPEHIRLKAEIELRALRLTNLQIHVRNEVTSFMIRDTTLRTALDPAIYRRTKRQTLREARLTETLEKQLKMDQEKRRREKHSNLMHSIVQHFRDFKEYHRNNAAKISKTQKAIKLHHQKYEAERKKDEARNEKLRMQKLMQEDEEGYRALLDEKKDQRLVYLLQQTDEYVDSLCSLVRQHQTTEKKKKKDDKKFERSQMDDEARVHVREISTGKIITGDHAPKPEEVEFWLETHPGYELIPRDQLSDDDEDDNETHVVEERVEEKDDQYAGMDDETKAKMIIEKARNEEDEYDQRTKKQMADYYATAHRIKEKIVKQHATMGGGNPNLQLKPYQLKGLEWMISLHNNNLNGILADEMGLGKTIQTISLITYLMEVKQNNGPYLVIVPLSTLSNWQSEFAKWAPSVTAVIYKGTKDARRRVEAQIRKGAFNVLMTTYEYVIREKALLGKIRWKYMIIDEGHRLKNHNCKLTVMLNAHFHAQHRILLTGTPLQNKLPELWALLNFLLPKIFSSCGTFEQWFNAPFATTGEKVELNQEETMLIIRRLHKVLRPFLLRRLKKEVESQLPDKTEYVIKCDMSALQKIMYRSMKNGVLLDGKTSSGARSLMNTIVQLRKLCNHPFLFPTIEESCRTSWKVNHVGGLDLMRVAGKLELLDRILPKLKATGHRVLMFFQMTSMMTIFEDYLNFRNHTYLRLDGSTKPDERGDLLTLYNAPDSKYFLFMLSTRAGGLGLNLQTADTVIIFDSDWNPHQDMQAQDRAHRIGQKKEVRVLRLITANSVEEKILAAARYKLNVDEKVIQAGKFDQRSTGAERKQMLEDIIRADGEEEEDEELPDDESVNQMVARSEDEFNIFQEMDIARRREEAHQKNRKPRLLEEEEIPENLVKLTCDYEEMEKAREEGREIVEETPNQRRRRKEIDYATDLMTEEQFMQRVEEIEDENERTIAERKKQRKRKMAGLGENDDSMDDIVMQQRRIKPDIELQSKVSQMLAVILEYTDEDGEVIAEPFQTLPTKRELPEYYNEISQPMDFDRINRKLQTGRYATIDEVNDDMILLVNNAQTFNEEDSEIYDNSKIIAKMWKEQYDKVGRLFSESTYYCFFQVKSASKPAVVIKQEPQSSHTVPSQKTNLGSPSEAPPLKRIKREVHDEFMDEEQPSTSEYRAANRNPNERGDYDYE >CRE12566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:778685:779735:1 gene:WBGene00062537 transcript:CRE12566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ard-1 description:CRE-ARD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Q3] MAALRSTKGLVALVTGGASGLGKGTAEVLAKAGARVAILDLPQSKGAEVAKEIGAIFTPASVTNEDEIKAAFAKVQSEYGRLDALVNCAGIAYAFKLYSVQKKKHVDFEKIRQTMDVNVLGTFNVIRHGVALMGEHEKDANGQRGVVINTASVAAFDGQTGQSAYSASKGAIVGMTLPLARDFAGDGIRFNTIAPGLMDTPLLSSLPEKVKTFLAQLIPNPSRLGHPHEYGALVQHIIENQYLNGETIRFDGALRMPA >CRE12774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:780017:784857:-1 gene:WBGene00062538 transcript:CRE12774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12774 MLEDEAERLFRLECLETLNWPPCAPIPAHITKQDPKQEFHNVADNLDYLLQPIDAPAKIVASVDSNGKIIGFIEHERTVVGASNTSMSINRLPFKPNSIQNSAGNSIRGSPGNVPFLPGFLEELDEMIDGNKKNAVGEEQKYLDFENEETLISSVPTIGQIKIPDYAPVKKQIATAPELIDLDDLDVFALLDMVNTDIPIVHTRSENSSILIKRSKKKIAPAENIPEEIKENEEIDKEDLEIPNFPKIEEKPYIFGEVIEKTQEKFEYAIRVALSVEEEQEYKRLLPTMARKYPFSLDPFQQSSVLCMERGESLFVAAHTSAGKTVVAEYAIALCQAHKTRAVYTSPIKALSNQKFRDFKQIFGDVGLVTGDIQLHPEAACLIMTTEILRSMLYNGSDVIRDLEWVVFDEVHYINNEERGHVWEEVLIMLPAHVKIVMLSATVPNCVEFADWVGRIKNRRINVISTERRPVPLEHFLYTGQDGKTQKDLFKIIDRNGQFLLKGYNDSKDSKAKIYEKDKVVGPGGAAGRGNQRGGARGGGASSRSNQGGNSGGVKNWPGKNDKNIYLNLINFMRCSDQLPMVVFVFSRKRCDENAQMLASMNLTTEVEKQHVRTFFSQCIQRLKGSDKELPQVLTMKELCLRGFAVHHSGILPILKEVVELLFQKGYVKILFATETFAMGVNMPARCVVFDSVTKHDGSERRLLNPGEYTQMAGRAGRRGLDSTGTVVIICKDQTIPLPDVLKNLISGQALRLESKFRVTYSMILNLLRVEQLKIEDMLQRSYVESDSLRESKDKQKMLKELKKSLEEMKLVECETCTPNSQLRDYHDALANYVLKRESIWPKLNDENVINKFLCSGRFVIVSNAQFQLHNECVLIIKELNNKSLQVLVVSKDANETTQKIMDAANFIKQPKTVSIFHSMYCHVHLFQDINWLSEQNSILCTTKFGTRGAAFCPTNVRSFRLCDIPLSNIVAITKKVIKNIQSVDVLQEFSMLQIPRFRDREVSDNVKKLLQQVITTAAGVASKEVETYTWKELRGYCQNLDLSFDADWMESVESELNAPRAFPARHCTRFEDHFAIIRERIRIERKIKTLEYDLSSDALRLSEEYQNRLKVLESLNFVEKKMVSLKGRIGCEIHHQELLITELILDYKFHKRSPPELAALLSTLTCQYNSGRELQFAPDSIFGEIRESVNSVLSRLEAVASKHKSHISDLGSEIRFDLMEVVYEWAKGTPFYRIMEMTDCQEGLIVKCIQRLDEVCKDVRNAGRIVGDPALVEKMEEVSASIRRDIVFAASLYTTV >CRE12775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:785583:786752:-1 gene:WBGene00062539 transcript:CRE12775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12775 MRVSAKSSLNIYRAMEKITKNSVLIRTTEAKKQMIRSFPFIRLLVLDFALSIYLWYKWKPDWDYTVDIFWKQTGHVADNLNGTITWLRSNPAGLKLNTPVNDTLAWFFTYHIYLWTTFIGFLRSDAFFRFITYSLIGGVSTFSSIVYDFSQIFFLHFNCFDAYATKLCYLCYYTLTVLWSLVRGKKWNPLRERMDTVILDTRQQFLATSLFVILLFILPTIFVYFVVFRSLRLAVSALQTVIYFFATWPFQLFALEKYFKEKYGKQKTSEGNDAASTE >CRE12776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:786755:788455:-1 gene:WBGene00062540 transcript:CRE12776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12776 MTSETEEKALPFIARAKSPHFQNGRMGVVVILCMWIIIYFWGVTQLVDGLMDWLAYGSTQYIARVAIGAATTVASAYEGQFYFESQDLSLITAGQVEFGTGKYYAYCAFGGVLSCGITHTAIVPLDLVKCRIQVNPEKYTGIASGFRTTVAEEGARALVKGWAPTLIGYSAQGLGKFGFYEVFKNVYADMLGEENAYLYRTSLYLAASASAEFFADILLAPMEATKVRIQTAPGAPPTLRGCAPLIYRTEGLTGFYKGLPPLWMRQIPYTMMKFACFEKTVEALYQYVVPKPRAECSKGEQLIVTFVAGYIAGVFCAIVSHPADTVVSKLNQDSQATAGGILKKLGFAGVWKGLVPRIIMIGTLTALQWFIYDSVKVALNLPRPPPPEMPASLKAKLAAQQ >CRE12567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:789505:790203:1 gene:WBGene00062541 transcript:CRE12567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfd-1 description:CRE-PFD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Q7] MADEEISKAFRDLQFKTNETRMRIVQGEQNKKINYQKMRISESTKKNLIGLDENLKYYRSVGRMFLLTDKTAEISRHETEAKQSKDKIEAIEKQKEYLEKGLVEAESNLRELIQSRR >CRE12568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:790395:793180:1 gene:WBGene00062542 transcript:CRE12568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12568 MQRVPGVLRILGSFTQRCTASSTSTPSRFQTMNSRRKRNSVRKAATIEDLVEPRKVKHVTQAAAGMEEWIGALNNTNIHMSLDEFMRRPMVRQLAKENGINDKLFMRSFKSFREYCTPDDLSSVDPALLILLSDISKGVKDCEMLYPFFLDHSKQVFPHLEAMDDLRIISDLTRPHNWYPEARSITRKIFFHAGPTNSGKTYHALKRFGEAKSAVFCGPLKLLASEVFTRTNALGIPCDLVTGEERRFAKDNHHPSQHLSSTVEMLSTQMRVEVVVIDEIQMLRDEQRGWAWTRALLGAAADEIHLCGEPAAIDIVKKLLEPIGETVEIRYYDRKSPLTIADKAIESYSNIEPGDCIVCFSKKAVFFNSKKLEENGIKPAVIYGDLPPGTKLAQAAKFNDPDDECNVLVATDAIGMGLNLNIRRVIFNSCTRQTELLPTYAALQIAGRAGRFGTAYANGVATTMRKEDLGTLKTILAEKVEPIANVGIAPTYDQIETFSFHLPQASFVRLLDLFVSVCSVSDHFFICTVYDMRELAVLIDQVPLPLKVRYTFCTSPLNTDDKRTAAVFVKMARRFATGQALTYEWLMDMLEWPPKPASTLSELSLLEQNYEILDQYMWLSMRFPDMLPDEPRVREASKILDTMIQEGVEGFMSLLAIGSSESSDPKIRKTTKSLEEKLIKSSEKSETPAKKSSILEALLKRADISEEDLEQLREELNKKKK >CRE12569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:797660:801393:1 gene:WBGene00062543 transcript:CRE12569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-67 description:CRE-NHR-67 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Q9] MMTAVSQMTAPSSRILLDVPCRVCQDHSSGKHYGIFSCDGCAGFFKRSIRRHRQYVCKNKGNFDEGRCIVDKTHRNQCRACRLRKCLEIGMNKDAVQHERGPRNSSLRRQQMMFEHGSSPNSPEMGSESDALIIPPTSLDRDSVTGTAARIFFALVGYCQNTLSGLPREQQLAIFQQNWATLLLLHATESRAITSRQIRNETNSGSSKQRNEVAAAFEVMEGLRLDNREYALLKMMVLMKETSNGQQIAYQLMSMQAMTHRTDQLRFWKCYTVTTTTPTSAIIDVLFRPSIGTASMSRLIEDMFKPPKPPVSLFPMANFNLNLLRKQEKTEIEEEEDDVEGDEDEDDDARSSNQFDDDSSAEDRPINELDPLQLLIKLNVLSQSSSSSSPTTTKPRHSIRSITELLAIKEEDSVKTEVIESV >CRE12570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:802476:803447:1 gene:WBGene00062544 transcript:CRE12570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rap-3 description:CRE-RAP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M7R0] MILMTLPQFFCYQNSKVPHSLSSFRFSISHLTSNVRFLEVLLNFFLISGLKQSKMKEFKIVVLGNGGVGKSALTLQYVQGIFVHNYDATIEDSYRKLSKVDAENARLEILDTAGTEQFTGMRETYYQTAQGFVLVFSLAETSTFENLKQTILEIMAIRGEEVPMVLVGNKSDLAETRQVEESDAQNFARKLRIPYIETSARLNQNVSEVFEECAVLIQKSAKNHVERRRWSSESEIRDKKCKNWFKSCCCCLIPCCPTD >CRE12571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:804290:807222:1 gene:WBGene00062546 transcript:CRE12571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpt-2 description:CRE-CPT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M7R2] MLRSSNLCRSIAATRGKHALSGDDYQYLEKSEIPSYHFQKSLRRLPIPKLPDTVNRYLASSKAVLSPDAFAKTEAAIRSFETNEGPKLQESLLEYDKAHRDTSYISEPWFDMYLRARVPVAVNYNPFMMYAPDPDPKFNDQLTRATNLAISYARIKRSLDENLLGPEVFHMNPKKSDTKLFRTVCKTLPPSLSWFGAVAFKAFPLDMSQYKSLFCGSRIPKKEKDVLYLDSTQKHFVAFYKGVPYSVRIFDDSGSLLEPEDIHASLAWILQNGKEAKPEESVGSLTSLDRDSWAEARIELENAGNGEHLKKIDGGLFAICLDDLKTEEHKRLVQSLLIGDDARNRWFDKCFQTIIDANGQATINFEHSWGDGVAVLRLMEESFKDTNKNHFVSPDDKPKSHNPAHVEALNFKLTDSLKARIQEAQKTHVSANSDLDFATMEFEGLNRDLIKKTKLSPDSVMQLAIQMAFYSLYKEFVPTYESCSTAAFLKGRTECMRSATAATRTATLAILEENRKDVRQLLSDCSSQHFQLVKEASMGQGYDRHLLGLKITAQRLSQPIPDFFQDPGYARMGHFVLSTSTLSTETIVFGGFGPVVPDGFGIGYNVVASKLGAVISSNKSKRDAAAFSNALYKSLDILRGHLLESK >CRE12572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:807342:808266:1 gene:WBGene00062547 transcript:CRE12572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prx-14 description:CRE-PRX-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M7R3] MSDPTGTSTRPDMVEAARKFMLTPKVRESSFEEQRNFLLGKGLTESEILEARSSIPPEQLRSQIGAEHGVVGNGSNQVMMAPQQNRVLAVAQSAVVLGAISYAGYRFIRSYILPRFFDIPDPATEETRQLQAQVNDLQNSIKFIMDSVSQTTQQLATQQAEISRALYSVANRDADLSRVESGISTIKSLLLSQHNFAPIVTPSVTSSIPSWQQSAIPTSATSMTTTTTSEAASGYATPPANFKEASLADELADIESIE >CRE12576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:813661:814617:1 gene:WBGene00062549 transcript:CRE12576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nuaf-3 MKRSTSKEFEKEINYSFSGVLDGYHINPLGESDMTDRSRISMLSTEMLEAKQIGVRGLSCYGFRLLDGSFLYGPIALFPKTALSWRVATPDDITPRSLSLFAALEPKIDILVLGVGDKKNIDRVRSAVAPFLREHKIGLEIMDTEDAIATFNFLNAEGRYVGAALYPPDDMVVTDKEYGRALALLKGWDTVEENPLLTGLSDTINQAEDLVKRLWSGDEKSWQSARQKVLESPSQREERMQLEVEGKEKKRIE >CRE12577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:815496:822379:1 gene:WBGene00062550 transcript:CRE12577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gtl-1 description:CRE-GTL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7R8] MDRKRGSLVPAIHKAVEDSLRANSVTVEENETERETQRKKRRKKQRSTSSDGAAPLNSAPRHVQKFDWKDMLHLADISGRKRGNSTASHSGHATRAGSLKGKNWIECRLKMRQCSYFVPSQRFSERCGCGKEKSKHPEAVIERSQGKGHPINHLTLPGIQEVDTTDVDGDENEVNLSPGRWSIQSHTEVIPTDSYGNIVFEGTAHHAQYARVSFDSDPRDIVHLMMKVWKLKPPKLIITINGGLTKFDLQPKLARTFRKGIMKIAKSTDAWIITSGLDEGVVRHLDSALHDQGTHHAAKKHVAIGIASWGMLKQRNRFVGKDSTVTYATNVFNNTRLKELNDNHSYFLFSDNGTVNRYGAEIILRKRLEAYLAQGDKKRSAIPLICVVLEGGAFTIKMVHDYVMTVPRIPVIICDGSGRASDILAFAHQTVSQNGFLSDNIRNQLVNIVRRIFGYDPKTAQKLIKQIVECTTNKTLMTVFRFGESSREDLDHAIMSCLLKGQNLSPPEQLQLALAWNRADIARTEIFANGTEWTTQDLHNAMIEALSNDRIDFVHLLLENGVSMQKFLTYGRLEHLYNTEKGPQNTLRTNLLVDSKHHIKLVEIGRLVEDLMGNLYKSNYTKEEFKNQYFLFNNRKQFGKRVANGNGGRNDVVGPSGDAGRERMSSMQISLINNARNSIISLFGGAGRKKDSDDEDEFSNLEEEANMDFTFRYPYSDLMIWAVLTKRQKMAKLMWTHGEEGMAKALVASRLYMSLAKTASLATGEIGLSQDFTEFSEQFSELAVEVLEYCTKHGRDQTLRLLTCELTNWGDETCLSLAANNGHRKFLAHPCCQMLLSDLWQGGLLMKNNQNSKVLACLTVPPLIFLLGFKTKEQLMLQPKTAAEHDEEMSDSEMSAEETESSTDTSSDSDDSDNDGDKGRAQSLGADQPLSIQRLVRDKLNFSEKKKNEMGISRIVVAPPIVTGRNRSRTMSIKKSKKNVIKPPACLKIETSDDDEHEQKKATEMYINRTAKRGSVAIALNHDDMYMDPSEDMETEARLKSSREYSTSRNETVHVYTVRVLFSLESHRLYFQQRPLSWKKKIMEFYKAPITTYWLWFFAFVLFLILLTYNLLVKTQRVASWSEWYVFAYIFVWTLEMGRKVVSTIMMDTSKPVLKQLRVFFFQYRNGLLAFGLLTYLIAYFIRLSPTTKTLGRILIICNSVIWSLKLVDYLSVLQGLGPYINIVAEMIPSMIPLCVLVFITLYSFGLLRQSITYPYEDWHWILVRNIFLQPYFMLYGEVYAAEIDTCGDEIWHTHEDENIPISMLNVTHETCVPGYWIAPVGLTVFMLATNVLLMNVMVAGCTYIFEKHIESTREIFLFERYGQVMEYESTPWLPPPFTIIYHIIWVIKLIRYSSRMFERKNLFDQSLKLFLSPDEMEKVHTFEEESVEDMKRETEKKNLSSNDERIHRTAERTDVILHRVAHLTQMEFSLKEEIRELEHKMKTMDTRHKDQMNIMLDMSKKLNKFVSGKYKRGSFGGSGSDGGGGNVSSDHSPIEQKNSLPMITIDGPTPINSRRSSGQYLKRDSLQMKKKSMEQSKIPSIQLEVSDVTEHEDDDNESAVEYVEYSIPVPQMRVRHVTDSDKSDLSEDDLMTREVEPPTSMNLPRGPRRHALYSTIADAIETEDDYYADSPVPMPMTPIQPDDGSYFGETVNFFYSKNVNMDFQDSRYPRDESDFE >CRE12578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:823475:824624:1 gene:WBGene00062551 transcript:CRE12578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12578 MLSKLAVSLFFCSFAVEGISVSGTEKKKFDPNDCYEPGTIFEENEKNLGFCPCKYGYFGPECFDIFDCVLGELRIENCTREIQNDYDLQWRCAASNVDIVKICTCPDGFRGETCEYIMENTLSTYWKTVSQSQRYFEVIYNKSDSFLKITGSKIGQTIIEYRRLIMFVIFVLILEVAWRYTKRQEEQKEQLELDCQLAEKNKKIHLDNFKNRITYFPLNIHPRSLEEADELPVKKTIEMDSISQFTEISEISEDVVVEENTPKVYRPHLHI >CRE12579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:825244:829719:1 gene:WBGene00062552 transcript:CRE12579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12579 MSFSVERTKKGSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLECSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMKENGVETVEELRELCENGVEMVPGGIVENAKKAQDELALLQDAWNKERETLFREVNKVKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQKSDPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVEERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDREKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLRPRRETSEGPDRKYQRYSCKNRCRALERGGERASTGGLGRRVPVSKGEEKGKKESEDSGAVILIKTITGWDIQSFNGGKMTEALVALLNNPIPRIETPRKVEIVKSFLGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWPSRDSDDEFSMHSRRGEFWDSERSLGEDWKSGRSSRYSAGNEVMMGMVASMGRIMKASALPEPKTFDGTGDFNEFKRAFLLKYQQVTDEDDELVAILEERYLKGAAKSLFKSLGGRQERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQRAPGQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKERMGRLGDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIRIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLVNAKENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRRASTGDVGEVFKSEGEEKEIKKVKIRASVAVIGPRLEARGPGVLEYRDKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVDKVAKQWKEWLKTSTNVEVVDPLRPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNTDRRPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPHGITEVKGNEYSRGNRKTYSTS >CRE21055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1034:7552:10213:1 gene:WBGene00062553 transcript:CRE21055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21055 MPYNYHYDLATSQRKVILKLIFKWKGSIWKAIYQDLLIWCFCYGIISVIYRYALDRSQQDTFERFMQFCNRRLDYIPINFMLGFFVTTVINRWMTQFANLGMIDNIALFTSMYLNGNDERGRILRRSIVRFCVMSQTMVFRDIHIGVRKRFPTLETMVAAGIMTSADLKKYNEVESRYAKYWLGFNWSFNLLNEARREGRIESAYTQNAIAEVRDNFYWGLEIRTFRSGLSLIWTYDWVPLPLMYPQLVFLAVHCYYLVCLVSRQFVINSDAKMTTEIDLGVPFMTIIEFIFYMGWLKVAMDLLNPFGEDEDDFDCNFLIDRNLTVAMGIVDDTHDDGPLLEKDMFWNDTVSPLYSKAAAQRNVNFYFGSATNADSQIPDDVRQITMVPHPFNEKLDQMYGKRTNRPPVESVVELKRDQRFSSGNNRKQTVEGRISNKIGAMFQKRHSKSLTFSPEGFNTKARASTDIEKLPTFMTNQKPCYSNPDCIVEVDEEEQPKTSEETKQEPPRKRVNISNKRESVISMGRSRSFNHFGEHVDYHDYYDFDKE >CRE12584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:849191:850778:1 gene:WBGene00062555 transcript:CRE12584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12584 MSPLPENTLIGMCNPLLDIQTTVEKSFLDKWGLKENDAILCDDKHNDMFTELTRDFSVEYIPGGAAQNSLRVAQWILNNPNRTVFFGAVGKDQYGELLASKAKEAGVNVQYQVNETVKTGTCAALINGTHRSLCAHLAAANTFTQDHLQKEENQKIIEQAKYFYVTGFFITVCPPAILQLASHSAEFNKTFTLNLSAPFISQFFFDKLSEIIPLVDVLFGNEDEAAAFAKANGWETTCVKEVALKAAALPKKSSKPRLVVFTQGPEPVIVVEGDKVTEFPVTRLEKEEIVDTNGAGDAFVGGFLSQFIQGKGIEASVTCGSYAAQEIIKKHGCTVPSVCKYH >CRE12585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:850989:852107:1 gene:WBGene00062556 transcript:CRE12585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12585 MHSNRTSLYLDELLNEMSQLSISPIDFRNTRILLSKEISKVFDEMNQNEPTRPMNRYGSEDHKNLFYSPQLAASPSPFTPTYSLPTFPQFSTPYSSSFSKSNPFETPIKQLKSRTSQQNLGDWTNGNSSIDQSPYVLQTKIYIPEPPKKGFGEKEKYNYIGRILGPSGSTAQMIENQFNVTLLIRGAGSVRGLKSEEEEKKRKKKEPHLNEPLHVLLIARHNNKQKCKEILDKAAEKIESLLVPVHDDLKKEQLVRHAIMTGSYEPRTGKKYYGDRKSSIKTQETPE >CRE12586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:852437:853370:1 gene:WBGene00062557 transcript:CRE12586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12586 MEKILVTISIGAASIAVLAVLFTVPSLYNTINEVHDQVFDGVSVFRVETDSAWTEMMDIQVTVTPPTKPRVNPFNSVFRQKRQTFSGLPAWCQCEPTKPTCPPGPPGPPGQPGAPGAPGAPGPKGEDSTVTYAPIHCPPVAFDCIACPAGPAGPAGPSGPAGPAGPSGQPGTPGQRGNDGFPGAPGAPGDNGQPGFPGQDGAPGQPGADGQRGAGTPGAPGAPGNSGPAGPSGQDGFPGQDGAPGPAGPAGQDGFPGNNGSDGQPGTSGGPGLPGNDAAYCACPPRSAVFLSRH >CRE12778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:854709:856026:-1 gene:WBGene00062558 transcript:CRE12778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12778 MEKILVTISTGAASIAVLAVLFTVPSLYNTINEVHDQVLDGVSVFRVETDSAWTEMMDIQVSVTPPTKATCQSIQLCFQSKRQTFSDFSCANATLRNDAAYCACPPRSAVFLSRH >CRE12779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:856401:858325:-1 gene:WBGene00062559 transcript:CRE12779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-7 description:CRE-NHR-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M7S9] MQSYTNRVCAVCGDTPAKIHYGVLACFGCKGFFRRAVKDGRNKYVCRFERCCEVTKFERNACRYCRFRKCLFVGMNPDYVRPDREKGKKGKALLSKKKSLSRSMSNRLADPADWTSLLSPLSRKQLTEIGKLAETCSTSTNFDGIANFSLKSLIADRSLARKTGDSEAMDCSDSPRQSSEQFLSIERIVQSVDYIDRFLNMLEEEHCRKFSVEDKSALISDTIIHLLLFESTSRFVVKGPSGVEDLKQSMLQLPVCTTHLTQKVADVFETYLGKPPSIIEYSVLKAYIVTTAESTVLSNSLNESLSLARENLSELLFKVIKHSRNKTSVSSASSLSAILHFIFESKNLATSIRQSQQPFYVRDSDPKIPFHKILTDIINPEVSDLLLTTASCRKQSNQTMGPPLSTVPPVQQETVPLFHFSPPSLSPHQPPQQPQYTDYSMPSTSSYPTSSSPFQSPYRPNSLSSFPKLPLQMTKSIEEFLRPSGMTADEMNKPLEKNWADGFRLTPVFNKDIVSQFFPELSNTNHHHPF >CRE12587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:862423:868132:1 gene:WBGene00062560 transcript:CRE12587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gtl-2 description:CRE-GTL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M7T0] MMNETSKTNGINGETISENEEEEEEKEGNDDRHHSSSFLRLLNAPRNSVCNANTIHSISSFRSDHLSRKSTHKLLDNPNLFAIELTEKLSPPWIENTFEKRECVRFTAQSKDAERCGCGRSLSAHSSASRLFYTLPYHLLEKEQEVWTIANNTQTSTTDAFGTIVFQGGAHAHKAQYVRLSYDSEPLDVMYLMEKVWGLEAPRLVITVHGGMSNFELQERLGRLFRKGMLKAAQTTGAWIITSGLDSGVVRHVAKALDEAGISARMRSQIVTIGIAPWGVIKRKERLIRKNEHVYYDVHSLSVNANVGILNDRHSYFLLADNGTVGRFGADLHLRQNLENHIATYGCNGRKVPVVCTLLEGGISSINAIHDYVTMKPDIPAIICDGSGRAADLISFAARYINPDGTFAAEVGEKLQNLIKMVFPESDQDGILTKITECVLHDDLLRIFRYGEEEEEDVDFVILSTVLQKQNLPPDEQLALTLSWNRVDLAKSCLFSNGRKWPSDVLEKAMNDALYWDRVDFVECLLENGVSMKNFLSINRLENLYNMDDVNSAHSVRNWMENFDSMDPHTYLTIPMIGQVVEKLMGNAFQLYYTSRNFKAKYDRYKRLNQSSYFYRKRKIVQKELFKKKSDDQIDETQEEEFGFAYPFNDLLIWAVLTSRHEMAKCMWIHGEDAMAKCLVAIRLYKATAKIAEDEYLDVEEAKRLFDNAVKCREDAIELVDQCYRADHDRTLRLLRMELPHWGNNNCLSLAVLANTKTFLAHPWSVFEKKLLLKSLIFSCQILLAELWHGSLKVRSGSNVRVLSALICPPAILFMAYKPKHSKTARLLSEETQEDIPYPRESITSTTSNRYRYPKGGEEQKETLLEKGNYAKKVTIISSRKNSGVASVYGSASSMMFKREPQLNKFERFRAFYSAPITKFWSWCIAFLIFLLTQTCILLLETPLKPSKYEWLTLIYTVTLSVEHLRKLLTSEGSRIYEKIKVFYSKWYNIWTSAALIFFFVGFGFRLVPIFRHSWGRVLLSCSNVLFCMKVSQLGFQSEQNSKFQTFEYLSVHPLLGPYIQMAAKMVWSMCYIVVLLLVPLIAFGVNRQALTQPDKKEWSWLLVRNIFYKPYFMLYGEVYAGEIDPCGDEGIFCYPGYFIPPLLMVVFLLVANILLLNLLIAIFNNIYNDSIEKSKEIWLFQRYQQLMEYHDSPFLPPPFSIFAHVYHFIDYLCNLRSKRPDSNRFRSEHSIKLSVNEDEMKRMQDFEEDCIDTLTRTRRLKLDTKEPLNMTDLTELTCQRVHDLMQENFLLKSRVYDIETKIDHISNSSDEVVQILKNKKLSQNFAASCLSLPETSIEVPKLTKPIIDYHLSPVVIEERLATRSPLLANLHRDHTLRKYDDANFQAGNLQRRTRLHSSSCSISQDMKEMRTSASARNLKRQDSGELTPSSHGGYDSDVSAPD >CRE12588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:872315:878189:1 gene:WBGene00062561 transcript:CRE12588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12588 MTSSIRLAFLATLLLLLPLEAQIQQANSANINQNIGQQEAGTLFTGTGNNLYYGVNLVPFGPDAGDQEVNPGLLTAGQTIDLHMYFPFYGGLYNYSTLSVNGYIGFATVLDQGPTLNVGPDMTDWPRHEDPAMIAPYLCKQQIPQSLNPGMRSGVFYRLMMRQSLFGRQSGSNTNMGQSSYQSSFFGQSASQACPGTPDSYVRCDTQADYFLEEMQRWLIEGVAGAAAFRADAALVVTWYNTASAISGRSDIDSGQLATYQVIWLTDRTARLSYVILNYDRLGFDAADFRQNSRSGRCQALFNGGNHTGLVPVDPTQDFKNTPKVLAQRSGVPQMVRGRYMFRVDDVVRPAGCSNKTGGTYPMLIYPNIVNMLGEMTVDVNAICLDKAQTYILMIEQRATATCTVLTSAIARCSLPTINDWGTKTVYFQPQSGGANDEKAFVGYIYFVPPTLDPMRLDIGNVYDWFKNPLPFTTMPLVWYPRNFTNPEMSTHMDQIRMNDDSLYSVQLGLYVIAYRERKDDKMKKFNPEHRVICRLATYSNRNTYEYRWKPQEERINLYQVEQWYMNDWERQNELYQYRFGYLKLSPLRTNQEQNPQLLLSGLVSSPISLHFLWTSNNPKFATTTYSVNDEASRTEYIKKKALEMCHDWYDEDGAQWNFIRDTETNSSCPCIEKQAIADIGRFMPHPRCSQAFRDITCTTSIGSRNCYMSSQNVMTTYAGDGRTYNSENTARFSTHYGQVCCYDDQGHLMQTSYQPVIKVTPDVPYNPGFPLRAYEFGTAPYMGQYQVPGLSAFHNDYMPYFLCCKFADFRCQMFYWRRPSSGCQEYQPPAYGEVMGGGTFNTIDNDKFIFNEPGVYNGIYIPKTLSTPEVKVQIRMERYPNRRVDFSLLGRYMAQQDLVQPTNITVVTGVVLESSGTDRVHVLARKDTRRFRYRTSIIVGNILRYFDTMRIQRFKGVMVYVNNVERGQPEIYVVLEEAQIGVRVRESYALDIDRLSEYQESMGILNVAISVPPQYGVRPDGDKTREQELRQRYNLPRVSGVFRPFPDQSSGSYLNTLTLNDVNSETYRQQIIQMCKLKGSLISSSFYIISDRVQGSGEPGSDQNINNQGNNYGMPTENMFTTSRDEDKKFEVFPEAHMRSEPIFKTSSKYETGVYRFYPITGQVMNQRLQTCRDMQQSNTINLQPLQSQLTADYGQTQCPDNPAAVIQDCGDSVSCLYDYSLLNSKLLGINVKNEWNTFTSDRFDASRQYNSCGVINIEYPEYLMKTSSMTSAYMQGDVARFECFQSHWIKGVHEYKCGIVVDRNRVNMNEYRFEWNKGEQPWCRSREKENFLIWLSIIAGILGVIVFVILIFLCCWIVKQKKLNEASETRRQNGFDMASRSSMTGSRGGKKYPINESEPLNEKRFDADTFRDDDFYPPAREGQYARADDLHGLKTSV >CRE12780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:878551:879966:-1 gene:WBGene00062562 transcript:CRE12780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mttu-1 MKIPRVVIGMSGGVDSAVSAFLLKRRGFDVIGVHMVNWDSQEEGTSNCPRSKDESDARNVCDKLNIPFHTVNFVKEYWNDVFLRFLENYKMGRTVVPDIDCNHFIKFSAFHKVAQERFDADFIATGHYATTSFGDFQQSRNASEEIRLFSGRDPLKDQTFFLCTVTQEQLKRAMFPLGSLQKTEVKRIAEQEGFHEVAKKPESMGICFVGKKKRFSEFLDEYIEPKSGKIVLKNGKKIGNHHGIHQFTIGKRINGTYLEERSHFGFFVSEINSETGDIVACEGSHHPNLYATRFLINRPSWISNSDDPLKSHPPSEILCRIQRTHPPVSCLVEYSDENLSVIPRIPLRATAPGQMCVFYTTKNECLGGGEILRILDTL >CRE12781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:880206:881379:-1 gene:WBGene00062563 transcript:CRE12781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12781 MKRLWKKVGSGVKNANYLKKSVEEVAKIEKGETKVTYIQLTTQIFNSQVVPPKYPTEKIREVSEEVKKELATKNEHLVENMNKMSITSTDPVERWTSTKDLPTRESEFFHRNDPMWEYGFYEPAVERIPKDKLMFREALEYLRCRQELLSDTSSEPQKKQAAQLMSDHIVTTRVNAEKLDDIYEYFRPFERKDKQKVVNRHALAELQDHLQGHSDERKILDEAQDVGKRIRQISDNAKFLDEYQRLEEEEKVKVRDAIAQLRQEEYDRLNKRLGQIGEMEKSANEAMKKAVEEKAPK >CRE12589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:882146:884430:1 gene:WBGene00062564 transcript:CRE12589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-1 description:CRE-DNJ-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7T4] MTADANRSESMLCMDKAREAIKSGDTEKARRMLLKAKKLDPGQNIEFLTKKIDEMTNNSSSSQSSSSRASEERSYAHDDHYDEPNLRNRKARSPVKKNGKTEPEPKPRSASRTPKLGVDYTSEQKELVERIRHCKDYYEILKVDKKASDDDIRKEYRKMALKLHPDKCRAPHATEAFKGKNSIQSLVYLESYVSALGNAYAVLSDTDKRRQYDQFGAEATNGHTPTTRRHGGGAFFEHDYAHGFEADFTPEEIFNMFFGGGFPSEQVRRRARYAQQQHFHHYEQQQSPYGPLLQLLPLIAIMVIGLLAQLMVGEPAYSLHQTSKYSIKRMTAELRVPYFVRTDFESSYRGRIRQVEQQVEDDYIQNLRMNCYKEQNLKETKLYRARWMRDEAMMRDAERTPLPSCVRLNEIYSH >CRE12782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:884917:887359:-1 gene:WBGene00062565 transcript:CRE12782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12782 MRSFTLLLVFLTYSQARVILDPISFATYDDGVARNTFFPLAAAAYSSNPQTCLSAKFTNSQLRRQLNVQCDAGGKNDICSAYTALLPDNKAIVISFRGTQGFLQLIEEADKSVFQSQSQWIAGGKVSKYFGDAFNTLWNAGMKDDVNNLIHKYPTFEVWVTGHSLGGSMASLAASYIVANKIVTGDKVKLITYGQPRTGTTPFAVAHDAQMAYSYRVTHNRDIVPHIPNEGMEDYKHHKAEVFYKESMKAGATFKVCSSADESNDCSNGLLITASVSDHLTYFTKDVSQWGEAGCN >CRE12593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:896135:897430:1 gene:WBGene00062567 transcript:CRE12593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12593 MGRKKKKVDKPWCWYCNREFDDEKILIQHQKAKHFKCHICHKKLFSGPGLSIHCMQVHKETIDKIPAAVHGRDNIHVEIYGMQGIPAGAYRGAADEEPDEKRSRMDSGPAPMPAPMPFPQHFPFPGMPPMPSGPPPPQMGYGMPPMPPGMMPPPPGMPGAYPPPRGYHQAPAPGVYMPPPGMPGAYPPPRIPMGGHPGGPAMPGGPQQRSRFDQPDGDRWAAPGRGVPKTPPYEDERETQDYRGTDEYHQGGYEDSFREGDRSGPSGGSRFDPVKTEPESNSAGSGPPSASVAAAAAVASKLGSRTRIVHPDDHSLSLEERRVKMIFEKRANAYH >CRE12783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:897808:899099:-1 gene:WBGene00062568 transcript:CRE12783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-2 description:CRE-DNJ-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M7U0] MRSAIGVPIIVALLFFVQGCESVGFAPELYCGLENCYDVLQIQREEFDKQKLAKAYRALARQYHPDRVKNKEEKILAEERFRVIATAYETLKDEEAKTTYDYYLDHPDQRFYNYYQYYRLRVAPKVDVRIVIVGTIIVISLFQFLSAKHKFSEAIEYATGVGKFRNMAIKDGIDRGLLEMDKNGKLKKIKGVDNDSVIKQIIIENLDVTGGYKKESVYDTLAWHTIIFPLTIFRYLKWTALWYWRFSIKKEELDEEAKFYLIRKYLGVSQLEFDQRFTDVDIDDLFEKECWIKANCEKWKAEKEAAEQEKMAQSGRYKRYKRYMKNAGTISFVDEE >CRE10768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1818:1881:2674:-1 gene:WBGene00062569 transcript:CRE10768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10768 MTTATLTELPTLFQKFQVAKNVLDRISIWNGDITKLAVDAIVNAANGRLAGGGGVDGAIHAAAGRSELQAECRQYNGCAVGDAVITSGCKIKHIKSIHLTLYVFIFEFSEIIHTVGPQVYGSVTDEKRDNLIACYRTSLDIAIENGMKSVVGANSEKQLLNSLISQAFCCISTGVYGYPNEDAAKTVTQFLTEFLEKDDKLERIVLVTFLDIDNQYYNNYFSKYAASKTD >CRE12594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:900167:901205:1 gene:WBGene00062570 transcript:CRE12594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12594 MSQRIIRTIAKKERQRVEGVVREDNTSEGLVSADADLTFLFQKIFFENLHASHIFSFFSSGVFSKNQYIISIFLRMSEHTKVSAEDQALLNKFARSYQQQNQLKAELKEAKTLVENINEASDEILLLDDEDSASIPCRIGSCFVHFNGDSLNEHLEGKKATAEKVLAQKTTELNTITAEMERIKKVLYGKFGDQINLDADE >CRE12595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:902805:904762:1 gene:WBGene00062571 transcript:CRE12595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gspd-1 description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3M7U3] MADKCKRHSVSDPLSKDLVECLRESMQRELKFETPYVFVVFGASGDLAKKKIYPTLWWLFRDNLLPVNIKFVGYARSDLTVCRLRESFEKFCKVRESERCAFDDFIKKCSYVQGQYDTSEGFQKLQSSIADFQKESHDREFSENHYHTVFRFSEAVNRLYYLALPPSVFNVVSTELKKNCMDNGDSWTRVIIEKPFGHDLKSSCELSTHLANLFKEDQIYRIDHYLGKEMVQNLMVMRFGNRILAPSWNRDHIASVMISFKEDFGTGGRAGYFDTAGIIRDVMQNHLMQILTLVAMEKPASLNAEDIRDEKVKVLKAAKVVELKDIVVGQYVANPEFDHPEASQGYKDDKSVPADSTTPTYALAVVHINNERWEGVPFFLRCGKALNEKKAEVRIQFKEVSGDIYPSGELKRSELVMRVQPNEAVYMKLMTKKPGMGFGVEETELDLTYHNRYKEVRLPDAYERLFLEVFMGSQINFVRTDELEYAWRILTPVLEELEKKKIQPVQYKFGSSNQTIHLVFSRGPTEGDDLMQKYGFVFTGTYKWVAPKL >CRE12596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:905280:905864:1 gene:WBGene00062572 transcript:CRE12596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12596 MSGQTPNPNVYTDGSCRNQGTANAQAGYGVYWGQGHANNRSGTVSGHQDSNRAELRAAQQAVKTASSQGYSGVNIHSDSHYVRDAINNSAQFQRAPAANRDLMQSINSMKSNVSVSAHHVKGHSGHQGNSQAHSLARAGANSGKK >CRE12785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:905976:907697:-1 gene:WBGene00062573 transcript:CRE12785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-leo-1 MSSSDENSDASAPGTPVKSETPSSRGSSPASPQQQEVTKRKAILSDSDDDSDTRPAPRQLSDSSDDDMVPRDGGKSATSGGGNLFGDVSGSSDDDSEGENKQKKIETRAMLSDSDAESRGSMNDLQGIVMANPEEIEDKEKEQVHDTEMISGRISLEYAEDPPFYVRMPNFLSVATHPFDPQHYEEDEDDDQAKLDDEGRTRLKLRVENTLRWRIRKDAEGRDIRESNAKIVKWEDGTSSLYLGTEIFDVTLMPLNANNLPHLYVTQPNLMSAQSVLTHRMNFRPHSTDSQTHRKVTLNMADRSRKNAQVKVMDAVGQNPEITRRENARKEEESLRAHIRRTQMVRNNFKVRRPVYGGQYSDDEDMPTSSRKGKKKEAPIIGASSESEDEGDHVKKSSDSDSDEEYRKRKQQQKKQIISSDEESD >CRE12786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:908013:911072:-1 gene:WBGene00062574 transcript:CRE12786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sart-3 MSDVDMESGSDGSDMEDLDELIEKTKKELLENDRDICCANRLLTLLSKNGDFEELAEKRKEFVKWAPLTPLNWINWIKDFQNQQPEPSVEDVEKMFEEAISDENFVEIWAERVMYAKKCADDETKSEDYAFCRQVCEKALTAIGSRYDSGGHIWLLFLNYETNHLEDFLESPDFQRFADQVTNLFQRALRCPTDQLEEVFSLAEQFCSEFNQGDQLPALKKQYDATMRQKEQLAKFQEMIDKKETKRQGLKLFFEFEKKSGMPTRIQMAHERLVSEFSDDEDAWCAYGAWTESFLKLPQVSVDVYKRALRHCPYSYVLHQQALLALERARKPHQEIDELWERTKNTVINAAEDGRGLYRTYAFLLRRRIALSGSSDYSPMAEVFDEGAAILKEWFSMAWDPTGEYRQMQAYFYASLMKDMEKCRKIWNDILASGFGRFAGKWLEAVRIERQFGDNENARKFLNKALNSVSDNINEIYLYYVQFEREEGTLAELDTVLEKVNTQAAHRASRPQKKLPEKAAAPQKDHKEAVQKRSAGGEPIVKKVKSADGGEWMEIIGITVNFFLGFKAPHPPSMAKKLPSPSGSTTATAPSGSFSTPKAEPGTEDARTIFVSNLDFTTTEDDIRQAIEGVSSIRFARKAHTEETHRGFAYVVMENDEKAKNALQKDRVPVKGRPMFISANDPEKRVGFKFSTGLEKSKLFVRNVHFEATDDEILALFSKFGTVTSVRRVTHRDGKPKGVAFVDFESESSAQKCVASGDKLILREREIEVAISNPPSKKEKHGKGGFPSKREEAREEDGGPRKGHAAKLQLVPRALSTKTPQITARLDAMDVSETPASSSSGTSSSSSTPLSNDQFRKLFMRN >CRE12597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:912303:914121:1 gene:WBGene00062575 transcript:CRE12597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12597 MAPPHPRDPSTASNYEQVSVSHYVVKWNVDFDKKCIAGDVKLVLDVKQETSEVILDTRELTIRSVSCASNGETKEVEYAMKDNGALGQKLIVSTALLKAGDKPVLTVKYESSNNAAALQFLTAEQTTDKVAPYLFSQCQAINARSIVPCMDTPSVKSTYEAEVCVPVGLTCLMSAIGQGSKSSECGKHTIFSFKQPVSIPSYLLAVVVGHLERKEISDRCAVWSEPSQAEAALYEFGETEKILKVAEDLVGPYVWGRYDLVVLPATFPFGGMENPCLTFITPTLLAGDRSLVNVIAHEITHSWTGNLVTNFSWEHFWLNEGFTVFIERKIHGRMYGELERQFESESGYDESLVRTVNDVFGPDHEYTKLVQDLGSADPDEAFSSIPYEKGSALLFTVEQKIGDNARFEQFLKSYIQKYAYKTVSTEEWKEYLYDTFSDKKVILDNINWDLWLHKAGLPPKPEYDSTLMRACKDLANKWTAEGSEAPTDGEVFEKMSNSQKLAVIDAIRVNKGIFGDRMPSLTATYKLDQAKNAELKFSWLMLGLETKWTPIIDASLAFALAVGRMKYCKPIYK >CRE01414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig360:2444:5812:-1 gene:WBGene00062576 transcript:CRE01414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-12 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3NJX4] MADTCVQVALRIRPQGNREKLEGSRVCTSVLPNDPQVTIGGDRSFTYDHVFDMQTEQHVVYESCVEKLVDGLFEGFNATVLAYGQTGSGKTHTMGTAFDAAVTQKEQDLGVIPRAIQHTFRKITECKTQAIEQGLLEPAFEVSVQFVELYNDDVLDLLSDDRSMSSSIRIHEDSRGEIVLHGVEQRSVFDMHGTMDILKNGALNRTVASTNMNEQSSRSHAIFTLHLRQQRVAVNPLDESGEQKAGELEMEMLCAKFHFVDLAGSERMKRTGATGDRAKEGISINVGLLALGNVIAALGGANGKVSHVPYRDSKLTRLLQDSLGGNSRTLMIACCSPSDSDFVETLNTMKYANRAKEIKNKVVANQDKSSKMIGELRSRIASLEGELLEFKQGRRTVDVDGHEVVNDQYHENVYLTGEVNHLKFKVKALNETLDILRTENIDLKAKQELSAISSISSSGAVGEIDAVQSTFRKYLEELERTKSLLYESQSTCDQLRKDNARWKALGASRGAGGASEFNSQKLIEMAKQEVEKQRKMMESVNIGGENVSSEYSSMAQDEDGTSNEAEEILDEEDLDEDEEETAAEKEQQAETEALQIDLNEVMIELDIKEKLIDQLERAERQNQQIRETYENKLRELMARIKDTETERDRVLNEGGKRGGNNEQMKAIKQEYELKISDLRKELKKIEALDKEHLKVIAKSQRELQEKTRLKSEVVDLKKAKVELIKKMNEDKKKQKAQQLANARAIATKEKQTRLQANKIRTLEMKDKQREQFLKKTTQEVNALRKEKAVAAAVARQANRGGPARGGAAQTSSPARRVRGVVGGVQAIKELAFSAKASKVKWDVIVRKIEESTRRRQIVQKMEAELERYLNERHAVMVEIVENEKQFTQSQDVIYRDGLLEAIDSAKEKLQYVQDQITYQQKLICDVDEVRGLIFHRS >CRE01413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig360:1286:2127:1 gene:WBGene00062577 transcript:CRE01413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01413 MTSNNESRSNKLNEKRTSVQMKTSFSQEVYKTPIKQILTAPSQSHSRITPGGQLFLCVMGLLISVAISIWLAAFSRESGWRRAVFSSAAAVCAILFVLLTIMTYRRAKNPPEPEPLPDLSQRRSTIGARVSKRSIAAGMSADDCPTQVLVTGTRGSIPS >CRE01416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig360:9269:13387:-1 gene:WBGene00062578 transcript:CRE01416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01416 MSSKLYSRSGVFAWSPRGIQTKGLIVADFAQFFDPNATSIEQKIDFLSAEDVYEKGNLTPTVSFPTNYRFNELAWTSMCSDAHPNGIVAGGTEDGTVVFFDAEKFLSGNALEVLSARKDHHGHVLTIDVSRDGRWMASGGGSGQILLWDCANLKTPFSPGSPNFPDQVKLLRWNLKNESVFASISSRRVSFWDLRRNGSPVLEFAEIPGCDWSSLSWNPSDASQLIIASQSQHASVIQKWDSRFTSTPVKEYRHHNMGITSVDWNKADDRLLISSGCDGQVIIWNHETSEVLGGVGSLQGDWIRNVKWNEEEPAQFAIQYFQHPVQISSLTSLGTTQPGAEVLAARVSDQFVPAWHRAPLIGASISLGGRLATFWKSFDVMSQQVHHNVEVETINIGEDIGAEDIAQYLHIKDDKRNLGWYLHERAYSHSTDTTEDAKLQKEVWLILLALQEGAGRTKMLHYLGLVESQDDEVFSDQNQTTITNSLSNTHPTSTTDRSARSTSIVSAAETDIDVPEISFIEKCAEVNWSSLDTSGWELLVNTMRKDHLAVIRALMSNNQHVAAMMYSAQHDTEHLTMILEDYNRKTNNTNTLSSLIMALSSSSSAHRAETFEKEQVENNAKIQRINAISQSFPDVKWREMLGLIIAHETSAEDIRLAANIIGTKWLNEGSEWCFHTVGSFIFHSESTRACIAFLLGGDIDQFLIANVSFSQVDRLKQALILHQISKATNYSGGLEKLIIAFAHRLLLQGAGATAWNLVKWSQNGSAEMQELQWTCYNVAGGRDCTGDQPPFNPYTVSLPGTQQHMTTQPVHHFPSQHPNVASPPNLSNGYNSYHPSRSQPIPPPNDCSDNRRNSNIAPMPPSSTFYQTPSWDHKPPPPMPPNMPPPKPAAPVTPGWNDPPPMALKPLENSKPKKNVMEINWKPVETAPAPGGMMMGQQNGFAGGMNYQNGISHAMQGMAIQNPQSSAPSSSFPSPTAYQQRPPSVASVVAAPPPIQLSPEDEKLVEPINALAQFIVENSRTQAKTEKANDLRSRIQTELSPRLSANRVCELTSAGIHFYKLIIFQLSAETKQHLSHLAYFLSMRQIREAQAVVAQMARNSSDFTEISSFLPALKSLLSLALH >CRE12598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:953350:955326:1 gene:WBGene00062579 transcript:CRE12598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12598 MRIESPFRLFLLLRRQNFQKQLIMRTAFFFFLSFTSFSATFSYKTILLKSSFLKWFLFPEDVMLTSTMIPLILDALLDNSTSTLSTTQSPISIEVLDYVKRVKSIYHWLIPMMIIILLIAIIGNGLIVISAKWLSSPVSPYLKLCISLAAADTWAAFLLILGELVFEFYEFSFEFFSGLIVNSYLPVIWGYQKNSMCFEALLEMFRISGMLTSDMHLFALAINQFFGTMYPLKYKIMITTRRTRFIIFCLWTIPLMFVFGWFIAQPDDGLRHPTCSFTFYNRFPFRITIFLIFMLPLISTLIIYGCILVKLLKAKVEFETYCNDQQMEISQNNNYNKNNKTPATRSYSTRSTNVYSKLKLVWTTLLIVSTFSLSWGLCVLYFVMVCADGCIIIYRQNIGLYMSLFLSSTVNLLVMVKLASNPFIYTLRIKAIRSSVDRFLNKVRRRPNDAKSYFMTSQVHTSAIEPTAI >CRE12788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:955916:958612:-1 gene:WBGene00062580 transcript:CRE12788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gem-4 description:CRE-GEM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M7V0] MNQPSALGLNESRPKTNVRLTISAKFVYFSHLKFHDFHTYSNLMDLDVFSVSDPICIIYEKTSGRKSTTTDPITLPTWRDRQWTERGRTEIVWNNLNPQFKTTFLLPYFFEETQLLRFELYDVDSKVVGTDLTAHDFLGRFECVLAQIVSYSTFRAHLGDKEQIGSQVKPKSVLDFENSFKFISTFRDKNSKNGTITIRAEEDEKNEKIQFDVCGEGLDRKDFFGKSDPYLNFKRRFDDGSSHLIHQTEVKPRNLNPRWATVQINTQALCGKNLDRPILIECFDHEKFKKQDDIIGTSQTTLNELLTEGGKTGSTLQIPLINEKKKTKKSKYRNSGILKIWNAKIIIEPTFLDFISGGTQLDFSVAVDFTASNGNPSTPSSLHYMASGVPNQYEIALRAVLSICQHYNSSKTFEAYGFGAKLPGHHLVSAVFPLDLQSKNSSVVGINGVMHAYRHALQNVSLYGPTNFSPIIEQVAKKAQKMINSTARYQVIKIKRSFNRFSKSNLQILLIITDGIISDMYATINTVISASGLPLSIIIIGVGNEDFERMHELDSDDALLQQDSRIAQRDIVQFVTMRDFLSRTNGGYLDADTVMENLAREVLYEVPAQLTGYMKQRGFTPRTSDDPWQRDSPPDEYDPRMDNIHNIRASAPPLYPQVN >CRE31566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig447:11810:12648:-1 gene:WBGene00062582 transcript:CRE31566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31566 MTCSKFILVTLLVCSALAAPQNLTTKQGRNGNSQGQNQQGQQSRNQQGGNQQGGNQRGSNGQSGFGGSSQAGFGGMQGGFGGGNSQGGFGRFGGQGGNQGGFGGGSQGGFGGQQGFGGNSQQGGFGGFGGFGGFGSQGGNQGGFGGQGTQSGFGGGFGGQSGFVGGFGGQQSGFGGQFPSQRPAQQNEFGGQQSGFGGNLQGGFSGQQQGFGGNSQQGEFSGQQGFGGNSQQDRLSGQSSGFESQQNGFSGGYQGGFNGNNQGF >CRE31565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig447:10692:11024:-1 gene:WBGene00062583 transcript:CRE31565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31565 MSYSTVVLALLVSIVLSGAEDQVGQIGGMEGQMGQQGGENGGQFGLGGQTGGVQPGVGGFGGQPNEAGQLSGFGENGQGMAGGQPGQPGQIGQPGQPGSGVGNNAQQSGI >CRE12599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:960161:961114:1 gene:WBGene00062584 transcript:CRE12599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12599 MDVISGVPQGSVLGPLLFLLYINDISDNVESNILMFADDLKLFSPHANLLQNDLATISDWCSQWQMTVAPNKCEVIAFRLSTRNLKSKTSPDFHISGLKLPFVRHIRDLGIFFSDDLSFTHHVNIILRRSQFRVNMLFNILKNSTMEVFIRCYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTFIPSVKSLRRHPFYLRCNIKNSNKSSSQFLTNRTLNCWNSLPVSSFPVKSSSRSFKTNLKHVDLSKYLTLSPLNY >CRE12789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:963397:964502:-1 gene:WBGene00062585 transcript:CRE12789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12789 MIESVFDVVFLPLADFAVQFVIPGVLLALLHVGFIREPEIDMGDLTKHNRFGRTPRDQTRILITTVTISFLVVQVPTAFITTLSLTINHFQNNNALMVLALVTGHLQPLLSITTMAANTAALLTAYYVIVKDDDEDVGDSRTSISDNECQNELLLRAQQSTSRRGTRQVWNSIRRISRTYLSVSHSFDTGSLRSFSRKGSAIGDDIL >CRE12600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:964668:965497:1 gene:WBGene00062586 transcript:CRE12600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12600 MTCSKFILVTLLVCSALAAPQNLTTKQGRNGNFQGQNQQGQQSGNQQGGNQQGGNQRGSNGQSGFGGSSQAGFGGMQGGFGGGNSQGGFGGFGGQGGNQGGFGGGSQGGFGGQQGFGGNSQQGGFGGFGGFGSQGGNQGGFGGQGTQSGFGGGFGGQSGFVGGFGGQQSGFGGQFPSQRPAQQNEFGGQQSGFGGNLQGGFNGQQQGFGGNSQQGEFSGQQGFGGNSQQDRLSGQSSGFESQQNGFSGGSQGGFNGNNQGF >CRE12602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:967451:967738:1 gene:WBGene00062587 transcript:CRE12602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12602 MFPTKLAVLLVIIGLVMSAPQGGQQERQEGGQGGQGGRGGSTPSGGIGGFGGQQGQQGGQGGFGGNNGSSFGGQQGGQQGGQGGQGGFGGQPQNN >CRE31561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig447:6583:8182:1 gene:WBGene00062588 transcript:CRE31561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31561 MHLTTIDGDEDLRIHNISILPSDQCATEDQRAAKCCCGDGFFFDFPRKKCEVSANVGFMFGRLNNGWSHMAVYGFAYPMILLMLVAPLCAVMLGMGKREKREGDRRFPNPLYQMIWLLTFCGWLSVLSPLPFTVWYYFVGEGTRSLNQSLAMCHLFRTSMETIPHMTDTMMTLFSVLLAAARFLTQYHRNTLKLRTVERFSRAIWVIIFVCVTLAILRFFEHDTKVYQFCMDTEPTPQWAGRCMVRDGALINIVNRSFWKVSISFVGNIRKLYPGGKTRRSQMIMMNLFKRKFSVVISTQNQFNYFVVVHRNLPDLLVLPVDLLAVLRNSIHYFLRNLPVHLVVLVVLRTLRFLQKALILHDHPVLLVHLLVSLVDLLVEQTLRVQ >CRE12791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:973730:984718:-1 gene:WBGene00062589 transcript:CRE12791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-magi-1 description:CRE-MAGI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7V7] MVDQTAELHLKIGGTEGCEEEKDDFTLEIEKLQATLQEMLDCTSSPSTSLDSTDKSPAGLSARRLIRIASEHHHISTTSSCHSDSGNSSSRDFVTPFTVHGGASIGRLILIDIVRRDDLIGIVSPNDILLTIENIKVSGMVRSEVNRILEDMMREHDQIAIEILPAGSISDDICELLADRKSSGELQTIIRENLYQKTVPYTTRPPREGEIDGEHYRFVSVDDFNKLLDNGDLLENGTYQGHLYGTPRPVECYADDDMMLMGSEGLLPPNWETAYTENGDKYFIDHNTGTTTWDDPRELPPGWEQVDDQNYGTFYVDHINRKTQYERPYGFGGSTATIDQPIKYGTLPSSANHNNMYSHYNSGTLKSSSSPRDSGFDSSPTRYRKFGDPPETAASSADYDHHSKMFSRSSNPLFTTDPSRLGGELISTKIVKGAKGLGFTLIGNDASSRGDEFIQVKSVLTGGPAAANGVLRSGDILVRVNGRLLLGATQKEACDVFVAIPIGEAVDIQVCRGYELFIDPTNRIITENVYAAAKNRDLHEIDIYKGPEGFGFTIADNLNGQRIKKILFPSQCPNLMEGDTIVELDGRNVRPIPHTQLVDMLRERPIGYRGKLVVRRGSPKTRSRTPSAAFRYGEPPSNMPDSAAPVPGRSKTPAGRQSSRAEEEQTFRNTLQRQPAVTSEWDGMNGSAPASRMRPSSTTLGFATPNYIPLSQYNQKPSDLITVSLIRKPVGFGFRLLGGVESKTPLSVGQIVIGGAAEEDGRLNEGDEIVEIDGHNVEGASHSEAVVLLEAAAQNKHVKLVVRRSTRIDAARRGSLNSAGPSGSYDVLLHRNDNDGFGFVLMSSHHKNGSTIGQIQPGSPASRCGRLSVGDRVIAVNGIDILNLAHPDIIALIKDSGLSVRLTIAPPDTAGPVLPVVSATLGRNFTMNGHYESNNYGLPPPPPSIYEKHPPPTYLPFEGLSINDRIPMDGNLIDVSLERGTKGFGFSIRGGQEFGSMPLFVLRIADDGPAKADGRLQVGDQLTTINGQSTKGMSHDDAIRIIKQHAIVNLTVLRNRLP >CRE19599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1549:1698:3316:-1 gene:WBGene00062590 transcript:CRE19599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19599 MVDQTAELHLKIGGTEGCEEEKDEFTLEIEKLQATLQEMLDCTSSPSTSLDSTDKSPAGLSARRLIRIASEHHHISTTSSCHSDSGNSSSRDFVTPFTVHGGASIGRLILIDIVRRDDLIGIVSPNDILLTIENIKVSGMVRSEVNRILEDMMREHDQIAIEILPAGSISDDICELLADRKSSGELQTIIRENLYQKTVPYTTRPPREGEIDGEHYRFVSVDDFNKLLDNGDLLENGTYQGEQFEPWSHFYRAHN >CRE12604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1001173:1002985:1 gene:WBGene00062591 transcript:CRE12604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-20 description:CRE-DPY-20 protein [Source:UniProtKB/TrEMBL;Acc:E3M7W0] MEGHSNTSVILHTYQQQQTQLIPSIIPQNLPDGYGIPTTISQADFSANNNFINTNPWSSTNFQNYQDNQFTNEGSVLNYPTIYDDFSKESTTGTLSDPSLHGSNSSSSTSDVGSSIDCSISPEPILMPSVKRGRPTENPCWAYFHRIDDQLVKCRLCTKILQVVRSACATNMTKHLERHHTDDYQKVTGQLKLFRMNDAGIRSKMHYEISENPLTNIPVLATSYILPKMDSMETFDASQYYPIQQQQQQQPQQDSSINPQQFVQFEQPQTSSDLQTWPLTHFWQNGATTTQTNMMGHLGEPSTSSIGASVIQEIHKLSINPEQKNIQLELEQQRNLIIAQKAEEKGRIIRQTTKPYQKRNRKTEHPVWAFFKRTGDGNAECIICQGVVKSPCSSNFMRHLMRHHSTEYNDVYLKWIEKRNVTHPGVHCTSVPPPLSFPNNDAPRQEQIFSVT >CRE12793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1003190:1004976:-1 gene:WBGene00062592 transcript:CRE12793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12793 MRTSANRRAYIYGLPALLLAFVVLWNESWSYWWLSTQWPEHEENGRCDRIIIVADPQLIGYRNEKYGAIARWDSDRYLSTGYGYAKWRFRPNAVIFLGDLFDEGLESNDDEWHETYERFVGIYTIDAGDNSIYIAGDNDIGGESEIISESRRNQFNNYFRNNVTDLKNRYSFSETYLFENQNLKHLTKAQVSIAKIMLTHVPYLVEGYKHTDVGLNMDLILSAHDHTTGIYEYQRSAPRAVLFTRVSDASPTYIKTIGPSEPLIELQTPTCSYRMGVYSMGYGALSICRLNDGYRSTQVQYSVMWLPSRFAQLFLYLFTVISCASWIIFQTKCRSRRYSWLM >CRE12605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1007900:1009025:1 gene:WBGene00062593 transcript:CRE12605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-60 description:CRE-LET-60 protein [Source:UniProtKB/TrEMBL;Acc:E3M7W2] MTEYKLVVVGDGGVGKSALTIQLIQNHFVEEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEYSAMRDQYMRTGEGFLLVFAVNETKSFENVANYREQIRRVKDSDDVPMVLVGNKCDLASRSVDFRTVSETAKGYGMPNVDTSAKTRMGVDEAFYTLVREIRKHRERHDNNKPQKKKKCQIM >CRE12606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1009908:1012009:1 gene:WBGene00062594 transcript:CRE12606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12606 MTRKRKNVESEEKPPAKNAFEGYGYTEQEPVEKKEFKTFPVKSEGFGVGNGGGGGNRGGGRGRGDGRFRRNDRNDRGGNREFRASDFEKQYGRVGGNDESSSQNDYSTNNNTNQFNDSRPDGDGREFPKEIVGYLRSIEQIKKKEGKIEDFILEKCAEEVAGQEKVLLEWTEAAVVVESVFGSCPQGAALFLSSISRLKHKTLADLMFGGASARTIENLIYSLCPISDPEHVDLLQKLSGILLDNWADAVTVQPSSFLIRAIVWVCCGLSAKPKVGEEKKRIYKGQDMKSSLKRVYEKFALLAFDENLNQNAMNSQSFVTLFQDFIEADGLWGDKRGDEYVKKKLEKEDYDGISKAWYSQNGSRVWEKLMETCSEDARSLLWIDCCSKNVAELTDNKFSNFPLQKMINSSTSLEMVTEIIEAMSPKCSYLIEHQNSGVFYAVIRCAARYPSSEKTMLQQLRKYFRSASEAKKGHFLLNVLTLNGYDGSNFDSQKFTQKGTMLVSELVNFSKTKTLSAGFEQLTEQQIQEMSCNKYTSRLIQSVLASKTMSEEVKEKIIGAFDKDTWETLITDTYGSRVFEKIWDFVDVKRKQEIMKVLVGIHNTSKFWKFAMLRCDLYMFRKSRKDWIEKMKKAKD >CRE12607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1013526:1015854:1 gene:WBGene00062595 transcript:CRE12607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12607 MSSERSGPNGSLEDNGDYLPLEVIGDESSLDENHVCEDVIMSITNKHVQCLARFSNNELLAKDTIGQNALHMAARVGDLNILKYLLDRLPELRDIQSANGETAAHISAAHGDMRALEMLLGGPLKTTMNCAMTRDINGTSILMASVARGDTEMAIWLLRKFGKGLALLDNNCKMLPVHVAAAQGNIEFLRAAIKFDNQMVNARDEFGCTPCVYAVQGGCLGTVRFLVEKARSEMGSVSNRGQSLLHIACLCGHEHIVRWILNRSGSDSILWTTNDRANAIHCAAYAGSVPVLSQLLSAFSKKKRHYVMTLRDTRGNTPLHLAAMNNHLDAALYMLENGADPALINSNGHSPQSIAALRHHREMERLVAAYQGKKRKSKSKKKSQSMHDLSQFASLQSGPLSPGGVTSYSSNTNGFETTERRAFSPRELSSGYSSNGDVAESIKSEAEIVRHRLRFIEDDVDSLRDTGAQTDMDLLQTHVKVLDDKTWAGLGLSAVEHIDRVLDELEFQE >CRE12794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1016262:1017751:-1 gene:WBGene00062596 transcript:CRE12794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-8 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3M7W5] MFSVPFLTSLTNHLGTTAIDDASDSLSCLISAFLFIIASILTSAKTYVGSAMECWVPQTYSGEWGEFAENYCFLKDTYWYPVKEEMIEIPDYHKERHRLSYYQWSSMYMAMAGIAFMIPKFLWKMAQSYTDMSLIYFCDTANTIRTETAEKRREKVKEMATFMHAKLTSVHAPSCFTTIPMYIVYGIIKVLYLVIACVQFCALGYFLGQKKDLFWGWTLFWNLMNGVTWETTGLFPRLTFCDFTVREMAGNNREETIQCVIGINEFNEKIFLFLWFWLVFLLFSTLVAHIFNAVQFSKSYFINSLLHSTRKNDKSINKALFQQFEREYLTKDGKLILSFVKSQSDLVAQEVAVEMFQDFLKTKPSRLRIHDVTKKDLENGIDNLKDTIAVGV >CRE12795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1020227:1022528:-1 gene:WBGene00062597 transcript:CRE12795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-4.2 description:CRE-ATG-4.2 protein [Source:UniProtKB/TrEMBL;Acc:E3M7W6] MSNPSEEDFEKVPSTSQPNPKYCSSESSLSDDEREQIEHVLKHGAPSEALTPDSERMVVLGEDMVHSAPGSLVPTGNSSWINRIKTAGASMMGSIRPSSSSQEAIGETERHPKKKWKARLWSTWNNIKYSSTWMSDRTDEYGGEDDVVFLGRRYSTNKDANGVSSGFEDFCSDYYSRLWITYRTDFAPLLNTDTTTDCGWGCMIRTTQMMVAQAIMLNRFGREWRFVRRKKSYVTINGEETDFDREKIKEWMILKLFEDKPSSPLGIHRMVEISAKEKGKKAVGSWYSPSEAVFIMKKALTESISPLTGDTAMYLSIDGRVHIRDIEVETKNWMKTLILVIVVRLGAAELNPIYVPHLMRLFSMESCLGVTGGRPDHSCWFVGFYGDQIIYLDPHVAHEYIPIDMNFNVNMTDNKKSKKCPERSYHCRLLSKMHFLDMDPSCALCFRFESREQFDHDMRQLNLSQFIDIDQGEEHGMKRVRDPLFSVVYGERRRIPSYEREVSESDLQQADKHGFEML >CRE12796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1022665:1024533:-1 gene:WBGene00062598 transcript:CRE12796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12796 MSWAVKARSALTPNIWFTKKSGAEDGVKQEQHVIVRSMDSDVKPEEGCWPELKEFCRAHVIECSAFGLFLLFGFFCIFNAFGFLGTNELKLRYAHYEELVNEHCQFVENRNLHGSEGKTNHPNATLASILAVVRHGDRYGLVGNEKDCQVLTEEENQEFDEYLATIEKQNLKSVLKIPENMENKILTPKKDKCDSSTLSPRGAIQEFSMGRFLSNQYRDTSLFSTSETYLNVTLTFSRLQRTFASGVALISGFIERNQTEFELPIEFKEGSVYYGCTDSGCNCDETIEILQQMTRTERKSLFRLEVDEHTQHVAQKLLAEKNYTQLNMDPTDIIDNLVARYACQRKPLPCNDEFCASYLFFGDIFEYFSKQSEKLFDLNMGAERQYRVLNSFPILRYVRLMTESNGKQIQFFASHDTIIGSILRVLTDSGKYIDWQVFAARLIFEIYETTENTKFLRVVYDGEDITTSVKFCKNLEYGLCPVSDLKLFMEKGIFEMAGFETFEQICTDHRKEFNFV >CRE12797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1025099:1026835:-1 gene:WBGene00062599 transcript:CRE12797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12797 MPRRIRLVDWVLLVVIIIAVYTILKYDSDFDSEKTELQSEKPTWNKEDIREMCQFLEHEVTGEEGDQWVKVSFEKGNEYEKSLRLRGITIVFRHGERSPVSKVDDDIGCLPYRDSDRKAFAAYKELAESDELQAFLKLDPQLKQFPRVPLDAKCVSGMLTAEGSLQHLKLGKYLRHRYEKTKLFAEENQRIVTDVVSSKYNRTVQSALAFCTEFLYKQRGFVAPIQIKASNFSYHCVDSFCACPIHKSIRKIYEEEHLNQFNEMKSDDVAEEEKKLHSFNQFSLSFDPFQMIDVGLGRYICRRKALPCHSEECITLDSFNKMINLTTIRGSRMFDDKIGVSRRLQSMEAYPIITYLKDSVSKIRKFPHSNYIQVSTQSNISILLKIYRFSPVMTSQLARSYVFLEFHLWIHLIIHLE >CRE12798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1027645:1030061:-1 gene:WBGene00062600 transcript:CRE12798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12798 MGSKHQIGILKRDGLGKQRFDMENILTRSLYRVLNSTTQVPTHVASKNQNVDKMNFSSRPQPIAVARPNNHQIGNSSSVDIDMQMKLLAQEICGRVERKYERKLDEVRERDRKDTERRLASIRSEFEAKYQLQLGKLEKEKNRLLDQISERERTLEHRMEMRSAEREHDLAAKQRTVENRAIELTLNKENFEKTKEEWQRRMEEDLEEMRLERERIEVAASKTTDRRRSEMAVEAEVSMWKKRTAELEHDANETRKRLGDLMEDNFRLKDQTGAISQVKRELDVTMTALNESRDELAASKVEIRRTGDYDQVKEENDQLKIEVSFIQIIETVRKLNLQNIKQLFLFFQIERIRLKTTQRVQSAVEETIAEYSAKEAKWKRIAGLSQQRIAVLTEKLKDLEIERDVLRQEIKTMQKMVGRGSSQKLQVQNLTKHQSKIMFRSVSPSESTSSLSDGELEILNIRQRIQNLDDIAKELDASVEHFSTSGISQKVFDKNESNVELYDDFCRALHRSVIDDEGSPINMTSSPQKPVKKSQKIIESPVPSEHDSEEKVKKPESDSWLKRGMRVVSPPARKKSFEEVRTTEVVSEKNSEQVRNRIEPVKPVEPELSEFEKRLQARGAAKDQTDRRNRILELATTPEPEPIQTIVQQQVQESEKPTSADNPMFAGVDPVMAEYMKKVLAARNQNPTTSNPVQPVESISQTPQLTTEPPRVREVEVTLADELDLELDQPRANADDDWW >CRE09877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:21659:22711:-1 gene:WBGene00062601 transcript:CRE09877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09877 MGNQYVKPQRTGNSPYTRSGVPPPALVKTGDKPLHRVNDRMSLELHMADERVRASGLSPAEREWRKKWVHDQHLHADEPVVVDAVHRQLNPIRTAYRLPWDKFYLHYLKPTLGVYYGTAVRVTVPKLLMAFVVVQTAYYYWKYEVKDWTHLRGLESMPQKEVIVNAQTIEEKFPGLLDKGLANPSKDDYYTPTFNKRTAYLDVGETKRPW >CRE12608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1031570:1032706:1 gene:WBGene00062602 transcript:CRE12608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-3 description:CRE-ACL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M7X1] MIVSTFVLNNFSKTVRISSKMSGTMRDKTNDKQGFRFAWPFPKKPSFLYRIKSYMTMSLVTACSKMMFLGGSNKLICHNKDIFVKLLQNEKQPLITVSNHRSNIDDPLMWCILKFREFWRYKDRHRYTLAAHNICFTKQFHTTMFSLGRCVPCVRGEGVYQKGMDYCVEMLNDNKWVHIFPEGKVCVAANEPLRFKWGVGRLIMDSTTDPLILPIWCKDMEKVWPIHPPYYPKFGNSVEVYIGEPFSLSELKKTISSKSLTTEEMRKIITDEVQTRMYQLGEKIGDLPKGSALEVLRKNPPVEY >CRE12609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1034245:1034683:1 gene:WBGene00062603 transcript:CRE12609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12609 MKSSYDQQRKMVNSRKQSIVEAAEAHFDKNTLILIVNVVILTVLISLLYMVATSAMAASGGPHNEN >CRE12800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1035431:1036759:-1 gene:WBGene00062604 transcript:CRE12800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prx-2 description:CRE-PRX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M7X3] MACRCLRVDQLDSHVLDSEIRSIAQDSVDDVVNQMPVWVSRIFEKLRPELKITLEAVLWTHRFSRGVSPGQEQMDISYSGYTPKVITGHFLVEVFIPYLSRRVTELSGRLEIMRMYAKVEAIFELGSLLHFLYFLRSGGHSTLTESILSLRNWNNNQPTISSINYDTQNRELLWHAFRDVILLTYPFIEKIRQRVVKKQKLNRKFRSTMEGFDIECIVCDKPSVIPMIGQKCEHVACYTCIATSRKMICPLCLEEKEEQQMEFLAKKLKESSSILIDQ >CRE12610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1037395:1040268:1 gene:WBGene00062605 transcript:CRE12610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12610 MSTPGNKRSGCKKGPKNGKKRPGGTKEGASKMTGVSQISGLSGSSGLNKSNRSKEGKKKQSKGKPSAVSSAASKMESKMETNEEDDDEVKKKVKKEGPKNTFQTKDGKSYRMIEHLRNPVNYGEFYIADEHDKKYILRLEPAKRGKLQFTHEIITEMAKLFKGSDKIPVIRCVEFANDEKLGANYIVLSPYSFQLYEILKVMTVFTPGCAFNVSLQCLEAIQYIHQAGFIHRNIKPATFSIGYDDQETKVMLTDFRLARAHFDSHKKVRAARPRVKYGGTARYASIAALKEQDQGRKDDLESWIYMFYELLDPEQGMSWRRIPRCNMLIKEKENFKAHLLPNTYQKVPVEFKKLVDMVHAMKYDSTPDYAAFKDIVESVGKSKNLDLTTCDWADKLKSDMFKTAIKQANDKSTGNRCSGNDDFEFKQKPVRKIMNPDDVIKNGQFTWKVVNLLGSGGFGDVYKVHDIKDKKKHYALKTESEEGKKVMLRLKVEMQVMMAIAEARKSGEKPVIPGRNDVNSHFVEFVDRGKSEDLKCKFIVMSLVGPSLDDIRKKYTVKLHEKHNPYVISVQSLYAVQDLHNLGYLHRDIKPANFAVGFGPAEPTIFMLDFGIGRSYLDPTTKQHRAPRKSVKFLGTLRYASRACMKGLDQGRKDDLECWLYMVHDIFDPVNGLPWKNVRKRDEIAAAKTGFFSGTCAFLFPTEFKFLNEFTVKKNKSEAPESLSAISAYIDDLKFQSTPRYDFIVNSLKSTASDEGVTLKELNNVGGWVGHLKEKDLKKEKKVERFSDSESDKLSESTEGE >CRE12611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1041355:1042054:1 gene:WBGene00062606 transcript:CRE12611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-50 description:CRE-TTR-50 protein [Source:UniProtKB/TrEMBL;Acc:E3M7X5] MKSIVFFALFSLAAAQFGGYGRGRGGPGGQGGPGGFERGPGGFEGNTQGNELTYEAKGRLICGLTGVDKVRVVLWDRFRGRENIVYDETYTDVVGNFRLRATRNGFDGNLIQPFLTIYHDCDDAATPGLRKMTVQLPPQYTNQGSLVLKSFDVGTWNLETSFAGEELEIQGGGLGGRPIGGAFQEGNRGQFGGNREIYAHGGRTDFGESSITV >CRE12801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1042191:1043485:-1 gene:WBGene00062607 transcript:CRE12801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12801 MYNDDEDDVPMGPPAKQAKPMLNTQMAFLQSQLAQKKAALQQKARQTVKSSAPPPPVIDLSARNRPLSSAVTPKPFQPIRANPVTENISFLPKAATDESVMIFGEEHIKCEYYPMVPNNFEVLAKEINDRKQREKTAREVAKRLQREHEEEDKKRSKGAAIAPPTMLIEPEPEVIKINDEVQEDKPQPSFKPPSFLPAFGKATSRGLGIAANIMKKHGYREGQGLGKSEQGMSTALQVEKVGVRAGNIVGETPKAPTFATNSMEAVQNATKVLQLWNLTEVSEVSNEDGKKEFADEIKEEMEKCGQVVNVIVHVNGSEEEEGRRVRVFVEFTNSAQAIKAFVMMNGRFFGGRSVTAGFQALDGYNNRTF >CRE12802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1043848:1045156:-1 gene:WBGene00062608 transcript:CRE12802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12802 MFLFQKIQKMGKSNRNKNKDKDPYVGPAKSGREDSDSDDSESSAFTYNEDMQSIMGDVEDMNDIYDQLVDNMERAQDKNLTIRHDGLHRVNLALRAKAVPEFINKYKETLMTMVSKMGNKQDTEAQLLATLVGLVAVQTGEEISDLIDEPMAHMRTILMDSSRCVFLRTVCANSLAIVNRICCSEDDDVSANAKACRFAWSNTKVSGSSNDVGHAKLVATSLAAWCIITLDADLNTINEAVADQPKIVTLLASSQLEVRLAAAETLAFLHEFMQDARPGYRFPNKEHVLELLRKMMNDSSKKKTKKDKRAQRYAVRDIISFIADEDDAPVVCVKIGQQTLSLDSCGIKIFYDMTCDLLHGGLALQLMHNEVLRDVFDLGAVPLAPESSVNKQMRVSCYFFFPRLRYIFLIFTACCSRCR >CRE12616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1057107:1060587:1 gene:WBGene00062609 transcript:CRE12616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mars-1 description:CRE-MARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Y2] MGYDLAEVKKKFAAKTVNYVEKKDPKSILPQAGKRNILITAALPYVNNVPHLGNIIGCVLSADVFARYCNLRGHQTFYVGGTDEYGTATETKALQEKCTPRELCDKYHTIHKGIYEWFGIDFTHFGRTTTDHQTEICQDMFMKLHQNGYTSTQSVDQLFCNNCDKFLADRFVTGTCPYCAYDDARGDQCDGCGKLINAVELKNAKCHMCKSAPEVKQSTHIFLSLDKLQEKTAKYLDVVMNDNPDSRWSANAVGITNSWTKLGLEPRCITRDLKWGTPVPLEGFEKKVFYVWFDAPIGYLSITKCVLGDDWTKWWKNPKNVELYNFVGKDNVAFHAVMFPCSQLGANDNYTVVNHLCATEYLNYEDTKFSKSRGTGIFGDAAQGTGIPADIWRFYLLYMRPESQDTAFSWDDFVLKVNSELLNNLGNFINRALSFVSNFFGGVIPEIQLTDADAEFLEEVNKECEQWDKQFDRVHLKDAVKSILNVSRLGNQYMQAQTPWVLMKGSDEEKKRAGTIIGVAANIAYHLAVILYPVMPSISATIREQCGLPRLPIFSPFPINYLKSGHKIGKPSPLFQKLDPVQIAEFKAKFGGSQDAQNASPVAEKPKQQKKQAPTKDKKGGDKKKMASTAAFVELEQGAKMISQLLAQNMKKFEQAKALFARNQLQKLEEENKQLILDAKSLQHHLIELETAAGIKQIPKPVVSCTPTPTSTPASGIIAETPKSAEPAPAPAAEPKKAKEQKKGKGGGAAAAPVDDSIDVGRLDMRVGRIIKCEKHPDADALYVEQIDVGEASPRTVVSGLVRHVPLDQMQNRLVVVLCNLKPAKMRGVESRAMVMCASSPDKVEIMEVSPDSVPGTPVVCPPYTHRPDEQLNPKKKIWETVAEDLKVSADGFAEWKGQPLLVGNATKMTAPTLRGVHVK >CRE12617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1060687:1062730:1 gene:WBGene00062610 transcript:CRE12617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12617 MGKKKSGKVASGLNDERFSRIKSDPMFSGLKSSEKKVVIDKRFAAALTDERFSTRAKVDMRGRKQKKVVGNNMLDLYELEEEEEVNHQKPGKTEKKLSKSKKVEDDVDSELDEFFDEGDDEVAEDVEEADDEEESDEEVEPEKTGLNGFKKLDLARGEGNVDSSSDDDSSDEEEADHDDKTDGIELDLANLDKDVDQVEWTSRRLAVCNLEWDNMSCEDILMLVKSFTYVNHYVVIHFLIYFSPQDGAVVSVGIYLSDFGKEQLDKEEKTGPLLKLSKPVDEYKEDEMDDETRTAVREYLVNRLKHYYAVITFDSIPSAVAVYEECDGFQFEETGLKMDMRFIPDEMDFEEDRVKEFLNAEDVNMAKYKVTKKSKSAIISTGAKITWDEDDPMRKKKFLEAFNGDEEAGKDLIVDSDDSDDDETNRNTLMALLNTDEQKSKLDIDWEGEEKHDDESDSSDGEYVKVDDDDEEIGVKNKKKNSDDEEEDEKKEEEKLTGYKAYKKKQKAKLIENKLKRKGTSGGAEANIKTVAAAETIANDDRFSALFTDSAFAIEPSSKKFKGSLLVSKQAEQKLKGSLASSEQKPSSKPEDLVQKLKKQADKWNKKKSAKN >CRE12619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1064569:1065305:1 gene:WBGene00062611 transcript:CRE12619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lys-10 description:CRE-LYS-10 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Y5] MKLLVSLILLTASVSAARNGIDFIQPVSVATFQCIKKAGYSFVIPRVFTSVGTLDHTGINNVKNARAAGITDADGYIFPCLATHCPSAANQVKETLDALKSAGTHVSTLWLDIERLAWPANHASNRAFIEAMVKEAEALKQQVGIYSNYYNWQDIVGLDYHGLSHLMLWWAEYDGEKDFGKFRAFGGWTRPTIHQWHGTETGPCGVSVDMNYVP >CRE12620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1065925:1066957:1 gene:WBGene00062612 transcript:CRE12620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dsl-5 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3M7Y6] MKLKLIVFICLFGLISCSGYLELHLQSSYKQSANVNISSSSKNEKSRIIQVELSPKKRFNVTRIPIKFDRIYNITIKCGESKEEGDIKCDRISNFSFSTGLTETTIVSSVIPNLGLLSPAAVARPLNGIRVIIGCDENWFGTKCDIFCDVRKTKKSGKSCNFHGISSCSNEFTGPKCDRKIDSKTCKCENNGKCIDSSPKPVCECSRAFRGDKCEKKQLSASLSSHYKGDFWNFRMTFWQLIFSNLVENQLAEVKESEAWETINKILGNSSETPFANRPSDTAAFPFTGSVDLDATNIE >CRE12803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1067142:1069472:-1 gene:WBGene00062613 transcript:CRE12803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12803 MSSQRSFQEMYQPPARRSGGGSSNGETPPRNNRPPPFEPINCCGESEDSFVDSPAKSTTNSLRPSNSNSSNWRERPELDVKRTSLDLSITDKCNIGRQKHNSSRRIPVVTRTAGQSRSNSSLEQERNESYVKEENFNVQYEMTLRKYHSTDVPYIDSHCHTDFIFNMLHRKYPENHVGITDWVDKYPAAFPKSFAGFIANFIKPGLFVNDTESSEYDMEWILRELEESSLYIGTTWGCHPHQAETWWKKGTFWTTLDHILSNVSKYKVLAVGECGIDLHRCESSLESQKVVFDKHVALAYKHKLPLVVHCRNGKQGNSEDECLKILKEQMEKNHPFLKIHRHCYTENWSTAQRWLKQCPDVHFGFTGAVLSFNEGQIEAIRRIPLDRILLETDGPYFKPKCFERIAPSKVCLPGMAIATAERLAEIKNVPLEEILRATYNNARRVYQVPVF >CRE12621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1069865:1071093:1 gene:WBGene00062614 transcript:CRE12621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12621 MESDLDDDFDDYRYGIDEEDDYPDSRPTVILMGHKRSGKTSIRKVVFQKMSPNETMFVESTARITRDTICSSFINFETIEFPGQMCPFDDSLDPVGVFQKCEALLFIIDAQAELQEPIATLVEYFCRAYKINQNIKFEVFVHKADGLTEEARVETKFNIYHQVKETIKDQIDVDLQVTYHLTSIYDHSIFEAFSKVVQNLVKQLPTLERLLDVFNNSSKVTKSFLFDILSKIYIATDSEPVEMSIYELCCDMIDVTLDLSSIYGVAENGSNYDERSSSVIRLKSEQVMFLRQVNKHLALVFIMKEDGNEKAGFIDHNFGVFKAGIEQVFKVKNRGVNF >CRE12804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1071603:1072181:-1 gene:WBGene00062615 transcript:CRE12804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12804 MSEQTERAFLKQPTVNLNNKARILSGSKKTPRYIREVGLGFKAPREAVEGTYIDKKCPWAGNVPIRGMILTGVVLKNKMTRTIVVRRDYLHYIKKYRRYEKRHKNVPAHCSPAFRDIHPGDLVTIGECRPLSKTVRFNVLKVNKSGTSKKGFSKF >CRE12805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1074281:1080757:-1 gene:WBGene00062616 transcript:CRE12805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-38 MNFEEDDWFNYNDANRPDASPGAGNFYEPDNIANIPLNDDPLNDDPGQELEFNIAGGSIEDYPYDIRESPGGLNGHHHPGTSGSNDVGGQFQNMHIMQNTDSFDPHMQQSGSVPYNSFEMPTVISGNPEGQYQDFGIDDPQSYYASQQPSTSQGNDIIINDSYEMMGSSTSYMPQMDHMNPSSAGNPPSYSGMSQQQDMSSSSQVQQQPPKPKPKKKAPSKKKPTPAPASDTVGSILTKANKMTQQQNNENSNNETTKVETRMSNEDNMVLTQLMMELSRLREEEAKGHDRQSEIADVAGKVALIFSKSIQSNDTSQSGNKSIILNEINSMTCSNGPSTSTQQPQQQLPPPPRRAPPKKKTNNQNSRNSQQQQQQQPMSHSEVQMTPAKVIMDPPTATMVPSNSQMTNVYNDNGPENYVYQTMDEPGTSQQQYSDYHQPESNDSMQPQHQMQGHQQVIQTKLVPTISQRASSSYQQPIANPLNSNGPGPSPLLHQPQSHESLHEQMQDQQYHAQDHQRPQSQQMYVPAHHQSDGQIYQQQDIEITLGDVLRQTHGRYQGPQDAPHLRQQLISNVNATTSNKIVQISQEPNPSPGNYQNNNIQHQQYGEPFQHHGSYSDSQDMQPHSHSQQGYENQYETTDEYYKGPIPDQDPQMIQSHSGLQPQNYVPEEVYVPVESQEAIMEPEIEYPQVPVCPIPQSKEVLEEKLEEARMIREERVNNFMMEQLDRLNAPLDLTPFKGKMDILERLLPYHHFSTSEEPVSNFESTFQRAMMNAISHADLLGNRVRNIVLRDTMRTSTEWEENMILFLETESERRKLEEDRKLAEQDPHTFLENSDIIKNVQNNRLNLEWSKQAAPSIPSHLKDLNLRGDKLTAHYKEYEFDSYDENRPRGSPLPFVYEEPESESEPEPEPEPEKEQHHDFRSDLSPIVGFPQVSPVPSPTRYRNESESTFDWKDEDESPLMSPETVKINKANEQIANELFGTQEELDKPVMFPFEQISQAARKHQQELLQHPHVSVSSDSSSSSSSTGNSPQRTQLHPRITSPESLASSAPRDVFSRGILNHSFDSASGHGSIRNHNIDHDRDEGSPEIDDDYSMSPPESPVSTVEVPQISLPHPVHMIKKEIDDHISKLKLRVPAATIEKGKKPATSDDEDEVQEVSPQTRKPLRLKLNLKDVKREEPSPDHDTSKKSLLSRIATPAAMLSRAETSVMSTSNAKSLEKTSLKIQLTPSTSMKNVSETTPVFKTPLHAPIKTTPADSRKRRSEKIDDTPHEKRKLEVPTTSSFVTPKNSITREEEGAEIGRFLRTMTDGRKIVMKIGKIPRNINHFVTPRRDSKGNIHKNLAATEDTRLKMKFFKRNGELAVEVTERVPDDIDSEAIKVSSTSNGPSSSNSIAHSVKGRPAPASRKPSIDLAGKDKKPNSNRSKMAFSNRFNIFATAPSSKPSTSSLAPPSAPAPAAVRTTVPTNGRIPTTSTRAPSLPVHMSAPVGIQNRVPKTIATASMNLIKTTPIVPKITVTSVAVAAGNLVKPEPPVEVNSKTSSMLPWMFDKAESSEQTPKTTKIQPVSAFVSSAYPSTSRDLLQVKTEPSEAVMVPSQVIVSQESPRASSSLSMSFFEDDRGFSFLRSPNRTNEPLPVVEFSDDEEDEQVHKTFSHATDHLLGTSNMNNVNGAAPILPWTTDP >CRE12622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1082050:1082646:1 gene:WBGene00062617 transcript:CRE12622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12622 MSGTDIDKKSIVAKVDMELKKGGTFDKLRKQVTEQLKNSEMLQRIEKEALDNVDEIVNTSNLTREEIQRKIRGYIDSHHKMRNDINRQTRDELNKPSVRDKLREEIEQKVTKQLEDMV >CRE12623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1082764:1086441:1 gene:WBGene00062618 transcript:CRE12623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12623 MNSKLFIFILLVALVSSIEDDEEASLKLLGSYNIDYNSAVKDEYSRIIVEDRTDEVSVQLGILATYFRVLKKLVAWGMEENGFEHQYPTPEYDFDLNIGTECKKGLEICLDTIISNISEIQPWILQDNIELDELALTKIIDNQRLGMEISASQILCYLTNSRIKAFEHIPYCAYRIQPLGSRTMVWTGKTFSFDKIIDEFVGKPFECATESFCPDPCCSRVPSVVRSSPKYAPLCKMNTCQKKSTCLLKHFENDDLSKLRLNQFNINCGCDKPGTIYRPDIGKCVHHNPCTKQSLCREYGQECLNTATGYKCVCQLGFFRNGSNSCAHLKLSASAYHGFSFSESMEEL >CRE12806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1086692:1089461:-1 gene:WBGene00062619 transcript:CRE12806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12806 MTTTTEPSQPLQPINKTEGAESPDSVTAPKAAVGFDTTDQKLRVITAEDVIENKEKGDSVDVDGRMLTILEFCDSVESARASCENHVNGMNGMGLSSIKYEHEDDNDTAQETSTSGMGEKDGDMDMGELDEDVMDDEVNGIDGLDGTGFGGVAALGFVADLQQQIDELKSTVRDAVALTMNDLQHDMDRIQMKQEALVKFYQKFVGTGRDGRLMEPFSGLLTFNQILEVIIWFSEKFPSRPFDGPHVTSQQTGKSATETVNSHFGELEKLWGNRQVETEKFVRSEILSNPLLMGMKAKSKPSISTPTVNSAKRPTEASDNGELEYTFGTNGTQGKRIVNGIVKAVDKLYYPFITREEEEECLEAANGQAPIYAQLLAKNLFADTIMMYFKDQDTAKRNWLHDAVDYRFPTLDKPTHVSKWKNCSFFINKNMREAVIASGNPMPRAPCGPRGPIGPKDKFKNDSKGSDDTSLQGDEEEDEVVAVRTPKPRSMARVNRESSLEGIDWDYLDSSYEKECYEECRGDQYKYAELMALRLFSTSLAIKFKEQDQVRKEWLRTCVETRFPIDDRERNDQRWKICGQTCNRNRTKIVGADGREERYPYFDQSVEEECFRQCDGQVSVYAELMNAKLFPNSQHMFFKDQDLGKRNWLHTILDKRFPSTDKVTQTSKWKACTNAINKKLFDGTVTPITKEALMSSTELDNSKISIQEEETPRSSVARFERRPQSEVSTVAGSLKRKADDNDTPIVPAAKRESIRNKQKKEKELYSAYPYVSEEEEVSIFEACNGNPQVYGRELGRILFSDTIKNYFKDQDADKRQWIHEILDFRFPSKNQLESKQKWKNVTAAINRNMTTAVVSRRSLPR >CRE12807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1091389:1094496:-1 gene:WBGene00062620 transcript:CRE12807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rib-1 description:CRE-RIB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Z4] MSECFDFTRCSNSRKVYIHPMEKRFEESPQSVIYSKILKHFQEREHYTNDPNEACLFLLGIDTTDRDVRSLNYVKNVNEYIESLDQAVWNNGRNHLIYNFYHGTFPDYDDHNLNFKTGEAIIARASSSENNFFKDFDISLPLFHENHPYQIESQRLHNEPKEEKRRYLASFKGKRYVYGIGSGTRNLVHHLHNGDDIVMVTTCKHNNDWQVYQDDRCQRDNEEYDRWEYDDLLSNSTFCLVPRGRRLGSFRFLETLRSGCIPVVISDSWILPFSETIDWHSAAIVVAERDALSIPELLMSTSRRRVKELRDSARDVYDGHLRSIQVISDHVLKILYKRIDNHFINIQ >CRE12809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1100580:1107476:-1 gene:WBGene00062621 transcript:CRE12809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srgp-1 description:CRE-SRGP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Z6] MTTSSSEGSAGGVHEETSMTLTSSSTADRRCSQDVRKHSQHKQEKAIVHDLECAAKEFRGKMSDQLKCLGDRTDVQMSSLSELQDYFRKRGEIETEYACKLEKLSKSIAQKHKSERSRREGWPQHTSSTVWHTLVEQTKEEYKKRQSLGELYGKQMTASIETRCEDLAKISKRCREIGSYSHNELNRVLTELQTAMKTYQLCYAEMCGIERKKRSAEEDVKRYEDSNPGKYEGSRRHRSLVKYFRRREEKYEVVRLKCTKARNEYLLCVKAANAALHRFFAQDLSYLIDCMDLGMDFWLRALLEKVIDERKKITQHEMDSLASLSTLRSSVDVKADKQKFFEANHQLFMLPKQFEFRPQLGDDVMEVSAEQSLSADLLQRQLQIEKRLEGLQFEVDEVWKSLEASEKQLLQLYNNQFEGDAGKWRNDLHVTYQYYLKKFEHFLLNGNLMERLEARSSSIGEALGKHGISLKSSSVGSASEKNGSFSTDNGMNQNHYLLEERRSKAKRIGGIVVKSPDDRPRPKLFGGSLDEYVEMTGEEIPLLVQSAIAYLSRYSLRNQGLFRVSGSQSEINRFREAYERGEDLFQYLDDGSDANSAAGVLKLYFRELREPIFPIFMFEQFCDCAKAESSTEFVKRARELISKLPLSHVLLLRFLFAFLSHLCEFADENMMEPHNLAICFGPTLLPIPEGKDQVFYHNYVNELVRNLIVHADDVFPRDLAGPVYDKYAMQRYTDGNFIEENDLVSEDDDAHDKLSLPHGRHMIDSTYESADRILFSSPILSHANTATPNGISPANGAGSSTHNEIDYAPIPSSRSSNRSKADSVDMAIRSEMPHRIANELNNIFKNSSLSSDGSKGISVLRHSHIEPSGNEHREHHNLRSMSTDPDDEREYVSPPPPLTSTQYMSAASCSISPAIRNTEITERRDSREAMYAPMVKQRAATIPANNGSTTEINATGVRPVGKSSLRDQLQLIRKENSIDIKPSTSLNGSIKKADTDTTILDARSTDKSTESSRRTSLEDDRCPEPFAQPDIINSARPRGKSPTLDDILNSLKVATSLSP >CRE12624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1111420:1115402:1 gene:WBGene00062622 transcript:CRE12624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-24.1 description:CRE-SEC-24.1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Z7] MAYQPPQFNQTPNNPQQQFYQNQQFQQNGFQQPGMQKPAQALGEFAPPQPSVPQQPNGGVYQNGATNGAHPYSQPPGIVPQLAQSVNNISLGSRATPTQQFSESSSRASWSRLSWRSFDCSSTASITKTANSTGTSVQWFITERRTIPTRYCSFLTSQDFSNLASSGAPGQQAAPTTGVPSANPLFTGLPGGAPQMGHPGTPQPQAQHQIQQPTPLRHQAAGFPPIPGTSNFQQTAGPPLVNSGNFQGAPTGQQQANAPRNFAPGPNPPGAFPPAPGSLPTTQGTFPPAPGAAAPSAGAPGNFQTNGPMPGAAFPPAPQAPTGFPPGAPGAFSQGPGLYPQVPGSQGQYPQAPGAPGAFPQGPGAPGAFPQGPGAPGAFPQGPGAPGAYPQGPGGPGAYPQGPGGPGASPQGPGAPGAYPQGPGVPAMPGMPGGYPGGPPQQRQQRLDPNMMPSAVQVIDDDSTRTGIFPTGYPHAELPPLVSTFSFAQDQGNCNPKYMRSTLYTAPQTNDILKASQIPLAVVISPFAPLNEYENEPPVVDLGPQGPVRCQRCKAYICPFMEFQDGGRSFRCPFCHARTPVEDAYFAHLDHTGRRTDIEMRPELCLGAYDLVATKQYCKNGIAPKEPAFIFMIDVSYNALSNGMLPILCQNLEKVLRNLPRETGQLESSIRVGLATFDQAVHFFDISSAAPKMLVMSDVQEPFVPMVDGLLLPYNEALPGLRAALAEIPKIFAQSKTTETILQPVVQAGLDALKCADRAGKLIIFSTVLPTYDAPGKLKTKNDRSLLGTDKEKGALVPQEESYTKLGEQCVKSGVTVDLFIFPNAFIDVATIGQLSAVTGGSIYKFQYFSVVIYFSFSKKHKMHFQADKDGVRMLNELERHVSKKIAFDCMARVRTSTGIRPITFSGSFYMENSTDLELATIDESKAFITEIKHDDSLKDPASLIQTAVLYTSMTGQRRLRILNMCLPVTADYNQVYRLADPEALTTFMLKQAVQLNRDKGSSEMKESLSSRCAQFLATYREKCSEGAPLGQLILPESLKLMPLYVNSILKNDAISGGSEMTVDDKVWQMELIRGMRTEDVMPLIYPRVMPVSDLQINDNEEMKELPKPVRAGSDFLDNSKAYIIDNGVILFVWVGSACSQQWIQDVFGVGAANQIDTETGTIPEKDNSHSRALRRTIQLLPRGIRHRKTYVVVEKSGLEPWMKKYLVEDKSGAANMSYVDYLVDIHRKIRDLIS >CRE12625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1116145:1117758:1 gene:WBGene00062623 transcript:CRE12625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12625 METAWSNILDYKNISDRYVLTEEDKKGAFARQYFEVYEARVNALKPRIIEIAERDIGKGKFKHISLSDAKQDEEIFVIGVIVKRIAARPSILKSLLNEDKVAYDDYEGDEEDDVKRYAGSSEDRIELESEKQTVRLDGAITMDECATGCCVGVLGKLGKEGVFHVNRLIWPSSKISKKSSAEGTIVFVSGLELTGDMEEDQLTISGLEFMSEWMNSEALGENQCPPIDRVVVIGPIVETKSNGCDVQSVVRTLTLSRTEKQSSAKALITVDKLISSIAEKPLVNTVDVTPGVGDPCSSMWPLPPIHRVCLQRCAMSQKKVNLVTNPYEFEVNGLRVMTMSGENVTELLRTSHKWTGADVLANLVKWQHVAPNCPDTLDAFPMAERDPLVMETTPHIIVCGNQPQAEFRRVPIDGSDSTCLVVCLPKFSKTRVACFLNLKDLSMKWQNFDHQY >CRE12626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1117991:1119460:1 gene:WBGene00062624 transcript:CRE12626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-128 description:CRE-COL-128 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Z9] MWLHSIAVLSISFSCLLIILQILYFPYLISQAESAHELVKSKVDSFKSLESDITRELSDYAFLHITRKREVISCEPGYPGLPGANGRDGQPGIDGPNGIRGLDARDIMEEIQANQECITCPQGERGPTGAPGDRGETGDKGDKGVAGIPGQDGLDGEQGEEGPVGPPGPPGRRGRKGADGKSAMGGIGEPGPKGVPGAKGGPGLQGPRGKRNYIYGPPGPMGQPGPSGLDGVSGNPGDRGPKGPPGDRGADIKFCPCPLELELLKVKHSKANRPSPPSSSTSTTPPTTSTSETTTTVFPNTEKNSDDTTTLEIIGEEETTPEDITATTTIETITFSSQDGESSESKNSRYSENVFGSAPGNEGIIQAETLNFDDGEMQTVELPKDYENDEQPRGPIITVEEDDYPEDTTTTRRFRYVTKRPIRFE >CRE12627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1132458:1133184:1 gene:WBGene00062625 transcript:CRE12627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12627 MDFFDVRKFQTSHRDFVVNDGRLHVVSDYSLIALLPVILVGILITTIFCVYRFRRWKHNKKQLAELNAFYEIVESSPCLASGKSYLIQHCSDIEVIKQEKTNHFSYFQKSECDRITRAVCVPTQSSIFFQPIPPKAKSVRCNSDVLKAVNASEVIFHFAQLPTIEKANNNHKKSLHFIHRV >CRE18297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig670:14043:15499:-1 gene:WBGene00062626 transcript:CRE18297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18297 MSVTVELCAAVVTGFEKVAAKEIAEKLPVISCEWNRGNARFSLDLKKVPDTLKLRSVDNLYVVFYENSIEGLTKMNREEALKTIQKQISFCNWKLAIDAYQLARDKEINGGSERIVEQMRQFIEQKVNCQVTGQSPKFRVTCRRCGEKEIHKFSSMDAASKFGAEINNAFGWKCSVKQFDIEVVLRIEKDNITVMMALNEESLFKRNICSYGPTTMRSTICYCMLHLADIKPGDIVIDSMCGGGSIPIEGANAWKHAHFLGGDNHEMAMERCKQNWSANSCSARSNCDFFTWDATKLPLRDNSVDALVTDLPFGKKIGSRMDNRLLYPMLLEEWKRVIRKGGRLVVMSHDKRSLENSLLKDRSSWHIKASHTVNMGGLLCLCLCLINTKSF >CRE18298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig670:16153:17318:-1 gene:WBGene00062627 transcript:CRE18298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18298 MAWERPQMDPTILHQQQQAALQQAQQKKHQQQLLSQQQAAQAAAAQLLRKQQLQQQQQQQQARLREQQQQAQLRQMAQLLQSQVAAQQQQQNRGAANLNMNMNQNLIQAMQAHMRRSGQPSTQAMSQMLSKQMAALKNQQGAAQLQAMLAANLKSQAQKTPTAPKVPKALPTVPTLPASLSLAGSSSGATFVCEICDTTVQEKEKYLNHLQVIYFKLIIMYPRQLPSREFLKTILQSLHKQMVGKTLQDMSQGAPLACSRCRDRFWTYEGLERHLVMSHGLVTADLLHKAQKKEDGGRCKTCGKQYAFNMLQHLVADHQVKLCSAEIMYSCDVCAFKCSSYQTLESHLTATHPKGEKKTTPTKKEECITLDD >CRE18296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig670:13076:13801:1 gene:WBGene00062628 transcript:CRE18296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18296 MDFFDVRKFQTSHRDFVVNDGRLHVVSDYSLIALLPVILVGILITTIFCVYRFRRWKHNKKQLAELNAFYEIVESSPCLASGKSYLIQHCSDIEVIKQEKTNNFSYFQKSECDRITRAVCVPTQSSIFFQPIPPKAKSVRCNSDVLKAVNASEVIFHFAQLPTIEKANNNHKKSLHFIHRV >CRE12810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1133426:1134882:-1 gene:WBGene00062629 transcript:CRE12810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12810 MSVTVELCAAVVTGFEKVAAKEIAEKLPVISCEWNRGNARFSLDLKKVPDTLKLRSVDNLYVVFYENSIEGLTKMNREEALKTIQKQISFCNWKLAIDAYQLARDKEINGGSERIVEQMRQFIEQKVNCQVTEQSPKFRVTCRRCGEKEIHKFSSMDAASKFGAEINNAFGWKCSVKQFDIEVVLRIEKDNITVMMALNEESLFKRNICSYGPTTMRSTICYCMLHLADIKPGDIVIDSMCGGGSIPIEGANAWKHAHFLGGDNHEMAMERCKQNWSANSCSARSNCDFFTWDATKLPLRDNSVDALVTDLPFGKKIGSRMDNRLLYPMLLEEWKRVIRKGGRLVVMSHDKRSLENSLLKDRSSWHIKASHTVNMGGLLCLCLCLINTKSF >CRE12811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1135536:1138589:-1 gene:WBGene00062630 transcript:CRE12811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mep-1 description:CRE-MEP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M802] MVNADETVLATATNTTSISSEPMEPKSSDESTDSEADKIEIMKAGQRDVFAESTISSEVNGNEENGHSETAVETAVETAESSVTEITTVETNSAETTTLETTTVTEEAQIGENGNSATTPEPVVEKETTEEEIQDVVMETAANEENGTELMEVTESTKTVENGTKPEENKETDVVVVLDDDEPVPELISADPETATTNGVDASKEEKEQSGKGVKRPVELIQLDDDDDDIQEVSAPAPAKKPKVEEDQKEVKPDLKVADDNEKAQMRLLDKLQEYVKEQKDQTSSKGRKVLDTLLGAINAQVQKEPLSVRKLILDKVLVLPNTISFPPSQVCDLLIEHDPEMPIAKVINRMFGDEKPKLSDSEKRERALLKQHNPVPNMTKLLVDIGQDLVQEATYCDIVHAKNLPETPKNLETYKQVAAQLKPVWETLKRKNEPYKLKMSRCGICGFQTESKLVMATHKQTLHYNGSKFQCTHCKETDTNEQRMKEHYFEAHLIIAKSEEKESKYPCAICEEDFNFKGVREQHYKQCKKDYIRIRNIMMPKQEDHLYLNRWLWERPQMDPTILHQQQQAALQQAQQKKHQQQLLSQQQAAQAAAAQLLRKQQLQQQQQQQQARLREQQQQAQLRQMAQLLQSQVAAQQQQQNRGAANLNMNMNQNLIQAMQAHMRRSGQPSTQAMSQMLSKQMAALKNQQGAAQLQAMLAANLKSQAQKTPTAPKVPKALPNVPTLPASLSLAGSSSGATFVCEICDTTVQEKEKYLNHLQVIYFKLIIMYPRQLPSREFLKTILQSLHKQMVGKTLQDMSQGAPLACSRCRDRFWTYEGLERHLVMSHGLVTADLLHKAQKKEDGGRCKTCGKQYAFNMLQHLVADHQVKLCSAEIMYSCDVCAFKCSSYQTLESHLTATHPKGEKKTTPTKKEECITLDD >CRE12812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1141859:1142905:-1 gene:WBGene00062631 transcript:CRE12812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gfl-1 description:CRE-GFL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M803] MSEIVERMKKKSVVKPIVYGNTAVPLVHKRDNDQHTHQWTVFLKPYLAEDPTKWIRKVQFRLHESYANQTRIIETPPYEVTETGWGEFEIQIRIYFVDNNEKPISTFHYLRLFQPTIELPSGNQIVCTEFYDEIIFQEPTVPMYKALQAGEGKRPDKQAFFNDIEQIKNRTRELGEVAQKEIAAEIEDLRESLKDAHKLILKYNAEVNREQD >CRE12628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1143125:1145525:1 gene:WBGene00062632 transcript:CRE12628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12628 MRSIVLLSQSIRPVLSSSRLIHITAPVSWQRFENERHFEPGEDVMKRVWHGLTYDFRRWKRRFQEARKDAFKRRHPIAHMKHGTLDNEVFPYRAEIIIIGGGLTGSSTAFWLKERFRDEDFKVVVVENNDVFTKSSTMLSTGGITQQFSIPEFVDMSLFTTEFLRHAGEHLRILDSEQPDINFFPTGYLRFAKTEEEVETMRAAWKTQIERGAKVQLLSRDELSERYPYMNVDDILLASLGVENEGTIDTWQLLSAIREKNITLGVQYVKGEVEGFQFERNRASSEVHAFGDDATADENKLRAQRISGVLVRPQMNDASARPIRAHLIVNAAGPWAGQVAKLAGIGKGTGLLAVPVPIQPRKRDVFVVFAPDVPADLPFIVDPSTGVFCRQSDSGQTFLVGRTPSKEEDSKRNHDNLEVDYDDFYQKIWPVLVDRVPGFQTAKVKSAWSGYQDINTFDDAPVIGEHPLYTNLHMMCGFGERGVMHSMAAARAYAERIFDGAYINVNLRKFDMRRIVKMDPITEPCKL >CRE12629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1148820:1149174:1 gene:WBGene00062633 transcript:CRE12629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12629 MSIPLTLLLCVVASTALVQLPRSNRYGLIASYDAPSSQDAVIVEQKRYDRNCFFSPVQCMLSYNDESRLPLVVTRKASKRFDPLFADFRNQK >CRE12813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1149591:1153151:-1 gene:WBGene00062634 transcript:CRE12813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-25 description:CRE-TWK-25 protein [Source:UniProtKB/TrEMBL;Acc:E3M806] MPVVELCPSQFERDNAHMYSEPSISMHNGSVQMPRSPTQPLRSASAFSKEIQRLKMQTEDINEEAESCSSTSSKSSVAENCVSSDETPVGSDREKENVPENIMVTRLQRKSAEAEDAVSGKSSPKSQKSCRIRTGSMSEDSKKQIKSILRQSPFISKEDVSQSNESTIHRQFRKSRASSLTKRESIKNDRTEPGNHDAIDNYYAKNYYTVTGIYQKNTNFPRYYANGYAEGDDNEEDDEAVRRNYEKHQKRTLSMAQSTRSMPIVPVNNRFHKSFYWFAHHHKKIGFRHVCMLLLVLSYTLLGAALFFSIESRHEHETMQLHKRKLERVIYEIAQTLELEILDPMKLTNITQMEYFITVNKPLPSIQSRDLFSPRAYVKLLNAEDLYSGSTFYKHEDPKNLKWTYGSAFFFSMNVYTTTGYGSIAPASSLGKALVILYGLIFVPLTAVVIRDLGQWALLYLTKMYTILIDNFRRVRGFVDKLDEDEIISLPIKFSVSVMIRKISHICYQNDHLITVYLLSATMFIYEYDELSGPPDSGISFFHAFYFSFISMSTIGLGDIMPNNVTFSPLITIMFFFGMPILKVVNRVTYICLENGVFGTMTVLENRLDTIWSRATVIPTGQHTPEQQSPPQPADVVSRKTSMLSEGMIPDENDGSVPNEYLNNFTIRSIATFMKANGDVYGGAFGRVNIRRGDLRNVPDNQATVRSTRENNV >CRE12630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1157128:1169062:1 gene:WBGene00062636 transcript:CRE12630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sms-1 description:CRE-SMS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M807] MSVTVEIVDEESRVIPATDKDVEAPDSIVDAVKVQENIEDTTKIGRQIKTTPSEEKDENGEQEKEEGSESAAENVDKQHDGVQDEEEETDGVASSRNSHQNEKKKTGEKMDDEDIITSARSSRRICGSAASSSDSETADDAPLLPEEGPSHAVRLDMPGDKPASPHDRFPKTPLKTLVAFLMLVVAAAGNTITLSWIHERYPLTPPLPDIVFELTTKIPWGLRLCENLMIGSFVSLLILILFHRHRWIVLRRLCFIGSILYGMRCITMMVTPVPKADEDFQCSPRLGANATFSLIVMRGIWSMFGLGLNLFDDQKVTLCGDYIYSGHTLVLVVSALFIGEYSPRRFYILHWLSWLVCAVGVVFLVLSHGHYTIDVILSYFACTRVFWAYHTQAAHPSIRLSVQNHQAKEFWFPMLRWFEGDIRRPVPRRFDCPISYSQVCSAFRRVRPRGSRTGSARPAFE >CRE12814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1169691:1171237:-1 gene:WBGene00062637 transcript:CRE12814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12814 MSNSEDRRKSSKKLINEVLRQVVSNEKIEQIRNRPQKNATEKFKENHAVAEELKKQIAELSHIRKTETVAARIQKIDLAVATLQEQSKPLRKALNSGLYSTDTELMELVAQTTRETLLEIGSSAAIDPVEVGMKISVALKQVSAAAKHYVPDKTEWCDEWIVDEDMEQVDELYNDMYRDTNISESHRFAAPLGYFHRYGRELHLFSKSVLPDSKQHREAIIRPAVYPFNVDVDFILQLVDVDRAEKQKKVREKAEKGSRKKEKAELVKVNDKVNQADNVQSEEVSISKELKHVLSVFKKELKKRQTDKLSYYEFVTNPKSFSRTVENMFYVSYQMRDREMFLVEEDGVPILKRPGPWANDEERKQAEKENSTHGVMSLSYEEWKLLSRGFKSSIIEPLD >CRE12631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1171786:1172569:1 gene:WBGene00062638 transcript:CRE12631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mbf-1 description:CRE-MBF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M809] MFVFRTLTLPSLHSNFKMSKYGCPASDTDPNTVTMITKRGPVNKTLKSASQLNAAQRAGVEISTEKKTMSGGNRQHSANKNTLRLDEETEELHHEKVTLSLGKVMQQARATKGWTQKDLSTQINEKPQVVGEYESGKAVPNQQILAKMERALGVKLRGKDIGKPFTTKPAAAAKK >CRE12632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1172625:1177126:1 gene:WBGene00062639 transcript:CRE12632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-catp-8 MLYSRTVSCGSKSLLTFLNRSLLYLQTRCSHDFNIGFQGSADPETMGLDQLVDSITPYNIRPIASHLYVPPFAVVTAIWTYVWLNNFGYDEYYELGMLGYAVIFVLLCLVLLFCHWLMPVRCFFMCSKQKDVQKSSHVCVEPTQNNGWPELVPLMRTKRDQQTKLWFEFQRVHYTWEDESQSFQTKVLDTSKPMEFFQKSHGFDTEDQVKEAKYLLGDNKTEMVVPQFLEMFIERATAPFFVFQVFCVGLWCLEDMWYYSLFTLFMLMTFEATLVKQQMKNMAEIRNMGNKTYMINVLRGKKWQKIKTEELVAGDIVSIGRGGEDECVPCDLLLLRGPCIVDESMLTGESVPQMKEPIEDVEKCKMFDIDTDSRLHVIFGGTKVVQHTAPGKAADGMVKSPDGNCICYVIRTGFNTSQGKLLRTIMFGVKKATANNLETFCFILFLLIFAIAAAAYLWIKGSIDETRSKYKLFLECTLILTSVIPPELPIELSLAVNSSLMALQKLGIFCTEPFRIPFAGKVDICCFDKTGTLTTDNLVVEGVALNNEKEGMIRKVEDLPLESLQVLASCHSLVRFDDDLVGDPLEKACLSWCDWSLTKGDAVMPPKTSKGITGIKIFHRYHFSSALKRMTVVAGYQASGSSDTVFIAAVKGAPEVLRNMYTNLPDDYDAAYTRLTRQGARVLAMGIRKLGETRVGELRDKKRESFENDLVFAGFVVISCPLKSDTKSMIREIIDSSHAVVMITGDNPLTACHVANVLKFTKKSLPTLVLDEPEDGVNWVWKSVDGLIELPLKPKTTNKMERIAFFKSHEFCLTGSAFHHLVHNEHTFLRELVLHVKVFARMAPKQKERVINELKSLGKVTLMCGDGTNDVGALKHSNVGVALLTNPYDAEKAAEKEKERKAKIEEAKSLVRGGATIPPRPNAPGAPAVPNTSRRDAPPGARARTAPSPMVNNPAQARLESLMKELEDEEKALVIKLGDASIAAPFTSKYTSIASICHVIKQGRCTLVTTLQMFKILALNALVSAYSLSALYLDGVKFSDTQATVQGLLLAACFLFISKSKPLKTLSRQRPMANIFNAYTLLTVTLQFVVHFSCLLYIVGLAHEADPKDGPTDLEAKFTPNILNTTVYIISMALQVCTFAVNYRGRPFMESLFENKAMLYSIMFSGGAVFTLASGQATDLMNQFELVTLPETLRNALLMCVSADLVLCYIIDRGLNFFLGDMF >CRE12815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1177531:1179942:-1 gene:WBGene00062640 transcript:CRE12815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wht-2 description:CRE-WHT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M811] MTTETCSLLSVGQSEYGAAPSMISALEAVDPVTLTWHNISIQAQKSGKQILENVSGIAKPGQLLALMGASGAGKTTLLNMLLSRNLKGLSTSGSVKVNGHELGRGITAISGYAQQDELFVGTLTVKEYLNIQAKLRVNGDADKRRRRVGNVMAQLGLYKCQNTRIGAIGGQKGISVVFLGGEMRRLTFACELLSNPSVLFCDEPTTGLDSFMAESVVQVLSNLAKSGRTVICTIHQPSSQLYLMFDRVMFMAGGKTAFLGSPRDAIQFFEDAGFACPRNFNPADLIIHTLAVMPNEEDKCRQRIEVICTKFQNSSYGRTLKIGVEKTEEGQRPSERKKTGIATQIGALLERSAIDTWRNPSLTRAKVIQKSIMGLFIGLLYLQSPLTSIGISNLNGALFYLVCELTYSTIFGILNFLPTDFPLVSREYHDGLYPVFSYYVARCLSYLPLFTADGLIMLLVSYWLVGFSNSLTQVLFACLIAFLIEQSSSACGIMLSCISPSLPIAMSTAGPMLTLLSLTGGLYANVGALPSYISWIQYLSWFRYGFEAFAINQWSSVNEPNTTIWTDAKRDSVLSQLSFRAEMFYPDLVIMSAFIIVFYTIGYAGLAYRVSKAR >CRE12633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1181155:1182589:1 gene:WBGene00062641 transcript:CRE12633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12633 MSSSFSTDFDASNMLKPSSSQMFGPIDIHLPRKDSRHHHHLRNLRARLAPERLCFGTMKPQTAAYLICIAALHEITFGTILVFASDYGEKESWYHSAQWLVILTCRLLQYPSCLIALFGIRNNNPAFIVPFMLSQVSLGSYADLHTYIQMVSKCSSSAPLPTTSPIVLIVIPILVYAGLLMFFIYNMYMVVKCITVIRGDSGRGLDGPQPSERSINDDLFL >CRE12816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1182671:1185122:-1 gene:WBGene00062642 transcript:CRE12816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-3 description:CRE-NPR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M813] MEDRNNCVTVQQWQPEYNDLNQIRAIFSLLYLLIWVAAIVGNTLVLYVLTFNQVSLSVRTVFVGCLAGSDLLMCLFSLPITAISIFSRVWVFPAIFCKLIGVFQGGTIFVSSFTLTVIALDRCVLILRPNEEIVNFPRAVFIVFCIWLLGYSLALPVGIYSDIAVYDGICGTFCEENWPDFNPDTGRSGIRRAYGLSVLVLQFGIPALISSICYWMISQVMSDQLERRRGHNIRPESEEKLVNRKTRANRMMIVMVVGFVLAWMPFNAVNLYRDLFGISTTKWYSSVFALCHVCAMCSAVLNPIIYSWFNPQFRTSITTLFKGNNESRLIKKKPQEKSSNKMVAYPTNFSEARRDTEIQSNKTKITLAENEYRDGDQLL >CRE12817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1201794:1212857:-1 gene:WBGene00062643 transcript:CRE12817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-4 description:CRE-KIN-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M814] MSPTFRTHHNSDSESDTGASVAGAALFASSSSASGLVWKGSGSLMIPPRRSFHRPQGGGGGNDSSDSNSVTNVERLLKRNRRRTMAIHGESETNQTAASTGWSSASMTNLCRIRSSLGHSDPQLSSSSTNSFNTSTSSLHPATKSSTTALSSFSTSSANRSPANRPSLHSSTSPVTMQRCRSPMRVPQRSTSSSHNFGAAGGAAPSSSGSSVHSGAITLRTYSARNGSSLMAPVQDTRRWSLASLPSTSGYGTPGTGSNSGVSSQYSSSEQIGEMLEQTRVSGPTRQNSSRFDSNESYDDMASQQQVAAQNAFLNRPRSRSLTSPMKFLNEYNLEMVNRTSVYKERFPRAKLQMEERLNAFVAENGPLSGGISQQSARDNTDETEDILTKQSGSSGGLKAKDSVEEGVMRSRRSTVLEAESYADRSLLRLIGDGATRFLHHQIVEIALDCLGKSKDDLITCSYFCEMSQRLEETLNEAQMKTSPESLEYLSKLVKKLLMIVSRPARLLECLEFDPDEFYHLLEEAEGVVREQLGSGTARVPDLPQYIIGKLGLDRDPLLDSTEHVDTSEDAPAASTSVASISKGPGGTWQETNRAPCEDDFDTIRYVSVQLVSNGAYGAVYLVRHRETRQRFALKKMNKQTLMLRNQVDQVFAERDILTMADNPFVVSFYGSFETRQYLCMLMEYVEGGDCAALLKSAGTLPVELVRLYVAETILAIEYLHSYGIVHRDLKPDKYVFVMFYLSKLIIFSLLITAMGHIKLTDFGLSKIGLMNRTTLVAEGYDAVAETQQFQDKQLCGTPEYIAPEVILRRGYGKPVDWWALGIILYEFLVGIVPFFGETPEALFSKVISEDVEYPEEEEALPPEAEDLCRRLLEKNPAERLGTVNGAAQLMAHAFFILLDFTSLLRQKAEFVPQLDNEEDTSYFDTRTDRYNHEAESCGEEEIGGPSPASSMMFHSFSTASPRHSIVSIDPAHLPHVRSLLTKRVQSIANIFQLLSTANAAAKEIERSHSVSVSSRSEVRPERSYSTGQAPPDLFNIVDDNTSTALNLRRRFSAQRHNVSTTSSSGTGSGTCFATAASSTDSSIDASTLPIFQPPSRASIAERGSRSPLPKFSISCEGEAGSSATNEDNRDDEDSRNSTIVSNASSDGGADETVRFRRQSSGRAPAAQLQLVIPSISSSQAQQAAEPARETCYVMYPSGPPTSVGSQLSPGGASVSSASSSNDVGSPHPILPSQHEHSLTSQDGAITSASTPSKTITIRKGPFGFGFTLKSVRVYLGEHSEYYTIEHIVTAVVEGSPAFEASLQAEDMITHVNGHPVHNLTHPQLMHRLLSNGNELILRLVPLASTSIREGAARRTIGKMARKKPKRPQRRVVPLEKKARKPSALLRRLSGKRATNDIVPGSSSQKQAFMPRSASSQDGSILTHLPGTAKSDSQGSQEPSTSSQGIVRRSVNEIEANRPSSLRSTSTSHHHHHHPSSSSSVASAPAAIPSSSTSTTPRMNASSPSSSASNSGTSSPAATSSATVPGISVVKQKSQSIAVSPLARDTRMRSPSPSHLHHQRPSSSSYTTRPDGGAIPTASASQSNSGFGQDPTSSRNSPNLSARRRSYQPTTTTSMIVPPTTHHSRGFSAAAQSAQNLLNRLLPRQDNNDRGGTGS >CRE12818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1212949:1214495:-1 gene:WBGene00062644 transcript:CRE12818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12818 MQPTSSYTYRTSLSPQRSPRLKRLSEQLSHIRISLDPPSPPFLSPSPLGDIREYGEPRMHYLQVPGGQSDENSPEFRGGGAFLFGNSFASRFLSSPPPDRRTSFASTMSDTNSSITIPMSSSYQNLLSPMWSTMKYSSDDNETPPNEPSPRRSKSALVSE >CRE12634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1217576:1218969:1 gene:WBGene00062645 transcript:CRE12634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12634 MNEMIMNILLFLSVSVLSYVSSETKMMVVWGEPDSVESTIKNSSISWNSCIELCLLSQECIMAWQSTGTCYIYNYYAITSVSQTTSEYGSVVAWKVNSPYHQCPVGMNPPTFDNQNATGSIFVDYDPSDWPMYIDYNIYLDGNKWKMSYSVNRSCSGEFQDIITHQDGSAMCVTNWYSSNDGDFSFDRGIELCRENTAMLATLIYPEDFAWFQNDVLTNLRSKLFEPDSYSRFDGKRKVSCQATPSAPECIGVEGFDFINTPVPTFEHYNWVTNSSAQDTPDDNCLVVVFKGDEPPKVDVRGCSDTTTPLKSRLVFCSNVAWKLDAVDYD >CRE12637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1223459:1225378:1 gene:WBGene00062646 transcript:CRE12637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12637 MAVPRRSNAQSMRRLMEMKKEKEQKEEEDENRIPTLAEMCSTSFATTLFRNKIRWRKLTDLAFELRYFSDELKLPKPIQKILLESMENVLAEAKRWDAKHLEMFVEPSKSRRRALPKSELLRTFYSELIWKRDSCEIDDVATCSHLIKTECKNWPLMQFQFACLYAKTELIYDDWKFDNYRLSCFRKQVGDHPVYDFWLTMMATINKDRLFFGATRRFPNQKVAQCFLFAIKNGYYQLVEYIWNQLTDEHRESLGMIEWRNMCYRARDGQAISFLCKHLCELNPVGTCLNAWQPFFDSFQHLIHDEKSDHLERNQYRRKFIFLLKHCCQTLRTRLVKHSHFRLICDAFRYNEQEIFSLLLENMSSEDIASAREYIDRIFDRNKTRTGDRLRRTLIRRQQTVQ >CRE12638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1226048:1227274:1 gene:WBGene00062647 transcript:CRE12638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12638 MTSDFLPDNESYYQFYKEQAELNGYYKYSCHFIPFITLPIYAEACYCILYKCKQFSPKYVTILQIHMFLHFFGEIYWTVLFLPLIVLPSIGISTEGFLSVLRISSSWQIFIMCGILQISTATMIHLLIYRLKFAVPPDASRAIKIGADLTNGFYYFTAIFCTCAIGFMDEDQKVAKSRIIHKYLVPPPNLWEDNYVTTDRENPNFKYYVYITVTEIMILIINIIVVPTVSFHFLSKNRTEKSEKLAQAHKQTLQVLVFQLAIHCIFHVVRYFPDKKHCTPISWITHLGIAWCCMHSFFCCQCFKSKPKTPTFGQRRESTVIQKIDFSN >CRE12819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1227251:1228168:-1 gene:WBGene00062648 transcript:CRE12819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scl-18 description:CRE-SCL-18 protein [Source:UniProtKB/TrEMBL;Acc:E3M821] MHCIKLYSSLITRRFFFLFIFTLQFISGTDSSFNQKGRQDVIDHHNKIRSQLALGNFVTKRHTKRASGSNIRRFLWNSTLEKSADSFAQTNPSKHSNINDIGENLFWHWSTKPGDFNKYGPMATRSWIQEFQDKYWDSNILTTALFGSGVGHATQMVWADTYQIGCSVANFKEIHKKTGRPITKICVVCHYWPKGNYLNEPIYLEGQPCSKCESKKCDKKTGLCI >CRE12820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1229004:1230835:-1 gene:WBGene00062649 transcript:CRE12820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12820 MRSNSSSRLWVGPLMLVIIIALIYLLSLMPTTPPHLTNNGMIVNDAHTEYAMKGQAQVDWQEIYKNHPSSEENLGFDPCRHGGITNETDGSCVCTRWYSGDKCETPVCMNDGYFNHTLGRCVCTSNWVGEHCIFRCNSGVVNKTSGLCECLFGRPCTIQKCINGHFFDGKCVCYDGFTGPACTICDGSVPSIQCDEVIRKRGSVNSRLTLSGLSFCIITIGLLCVGAHRRRSAMNPMAEETWYRVFHPNNNRPFRCRHDYMCGGSWVPRDRALIVAPGRVSMSSTTPRVHRLATPPPSYTSVDDLNTTESQNPPTYEEATRIEIENVVAEEMESEEAKDEVVREVTIEIPTSSETVPLDSIEEETTDVTVD >CRE12821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1235837:1238906:-1 gene:WBGene00062650 transcript:CRE12821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lips-5 description:CRE-LIPS-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M823] MLFSSSILLLISTVLCQDIPQYGPISANFDSWLDRNGYGKYDFSRLDLGAAGSFGGMSSEMQYFDTSKDPVIFFHGNSDAALTANNFSTGWTTTVQYFLNQGYTLGHLYGTSWGDTNTTAAVERDHDCVTVFRLRKFVEAVMDYTGAKQINIISHSMGVTLARKVILGGYINADDGSCNIGKPLGTKVRVILGIAGANFGLCACVGHWLGVTWPTCNDDNGLWPGETCYPNRMDGMCAQSPLPSPCNGLTYSKFLMDINNSRFKPAQHIFSMWSMGDDLLGDGNMVWGRPTSEIPLSDGNKIYKNYTHMETKLNTAADQFHIITQLSIP >CRE12639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1242546:1243411:1 gene:WBGene00062651 transcript:CRE12639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12639 MISFSHILSLFLLILITLEVVFSFCYPKIPLLDLQPAQYNGSWFVIARKPSNSRYFLPKNINSSMISLILNSDNTSLSFSEYHTVEQKCKSLTGNMTKHKGGYMLEVKQEAGTNYVEKIFFRPIYHGYSGEQNEEMNMVLYGCLDGNDNGGCKIGDELVLIMSNSRHPQTLQLYKSAQVIEDEACIDILHFTALDTYSNRISDMECILTGPPNLSLLFA >CRE29876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:145225:148611:1 gene:WBGene00062652 transcript:CRE29876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29876 MSRWSAGSTPGSVKPVYGARYPPLHHASPTKGTDIPGRMNKFQDYENSVSDAWDTRVKVDESLASASAAKVLAAHAAGKTHRDEEIMPPVSAAPTKNSRQQALQRLAIQKEPLPSSVSATPQTANPIYPKLPEISDQPRSAAPMASAPPHGRKSILSIPLCNLVIEGGDRDQTRFARLRRLFSNGKSSGRSSPPVDVDLDKLREDCWMGIPHKLRPQAWRLLSGYLPTNAERREVTLQCKRDEYWHYVEQYFHSRFDDQNADTFRQINIDIPRMCPLIPLFQQKMVQEVGTVKNAQLENNNFQMFERILYIWAIRHPASGYVQGINDLVTPFFVVFLSEFIPQDVEVGSFDVSQLPLEQCQLIEADSFWCVSALLDSIQDNYTFAQPGIQRKVLQLRHLMSRVDRPLHKHLESNGIEYLQFAFRWMNNLLMREIPLRATIRLWDTYLSEPDGFMQFHNYVCAAFLRTWSKQLQAEKDFQGVMILLQNLPTQSWGDREICELTADAFSLQSVFDGARRHLSAQAASP >CRE29875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:140533:142964:1 gene:WBGene00062655 transcript:CRE29875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29875 MLRAVQKRCASTAPLIRPIQRVLVANRGEIAIRVQNTARKMGIETVAVFSDADRNSLFVKKADKAFHIGPPLAAESYLNMDKIINTAIRSGAQAIHPGYGFLSENAGFADRCAQAGLVFIGPPAQAIRDMGAKNVSKQIMEDAKVPVVKGFHGEDQSDANLKKKSQEIGYPVMLKAVYGGGGKGMRIAWNEAEFDEKLASARNEAKKSFGNDEMLVEKFVEKPRHVEVQVFGDHHGNYVHLWERDCSVQRRHQKIIEEAPAPNMAHETRLKLGESAVRAAAAVGYVGAGTVEFIMDPRGEFFFMEMNTRLQVEHPVSETITGTDLVEWQLRVAQGEKLPLKQSEIPLNGHAFECRVYAEDTRKGAFMPTAGRLNYVDFPEDARIDTGVVSGDEVSIHYDPMIAKVVVWGKDRNVAAAKLESALARTRISGLPTNIDFVRRVLAHPEFAAGNVYTDFIPDHHEELFAESETPAEIYVESAIAHALSTLKKSDAGVFQNLSFFRINVAPKYVFKIGKIISNFRTYSKCVISDGKDVTVRFDSENRLTVSYDGNSYETLLNDIEAIGDNEFKFTLEANGRRWSTVVKDLPETLMVNGVGQNEYATPAVYEAFDSLAGGAASHSAVAPMPGIIEKILVKPGDQVTTGQALIVMTAMKMEYIIRAPEDSTIEYIKCQAGKNVPKNAVLVQFA >CRE29872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:125572:126317:1 gene:WBGene00062657 transcript:CRE29872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29872 MSFSDILDAKPKEPKLYQLLGCDEKSTTDQIIAEYRARVRDFHPDKVSKNDDSTGSTEKFIEIQNAYSILTSESRRKAYDSWLHSPFPVSFEEFTKSQDTYQMSTHWAVPKTQPSIKSVPTENDNVKSERNEKQKSRWSEGDRYQSSAASAFRNYKL >CRE29871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:123771:125373:1 gene:WBGene00062658 transcript:CRE29871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29871 MMDDEDTIEKRRQRSDEARRAAMKLKSQQKNERDRQIAADIANVDKKATKRIVFESESEDEEEEKTAENKQKSTKSSSSSSSKRPKLFEDSGDEDGQEKDDEFEIKNRHSGPKGEQLMKMEARFNSDPRFKLDDKFAESDSDDDGNEAENAEKQEMKIEKDKNRELLSKILGKSVEEKKPKTAETATLKARPFTRFDPENPEHVAWMKEFEASKNPHKAKKTTETEDKAKDSDDEEKEDESEKNAEKEAFEGENDVESGDEDFEKAEIFFKMDEGFTKEMKERKETGESSAGGFSFLSMMGRKYEDEVDEEEVETVIEKNELVEKKKSKPVDKMEHVEKALLKVKVSTKFFVDPLSDEKIKSLAANFRRTQTVEKVIDKWAPHRDAIFKLWKKQRRDAVKKQKESFFTNGKRKRKAEDENGEATVNS >CRE29870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:119991:123677:1 gene:WBGene00062659 transcript:CRE29870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-1 description:CRE-NPP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MLX3] MSLFGSSTPQKPAFTFPTPSAPATSAGSLFGNTTPAKPLFGSTTQASSTPSLFGTTTTSTPSGGLFGKSGTTTTTTSSAGTLFGAAPTTTTTPSLFGSSTPGLFGTSGVNNGGLGGGGTSSGATTTSKPSVATKMDAFRSGNLGSKTLSQTTSFASPSTSTTSAPSMSLLTPSSGNLSISASRPPSSNFNPGGSTGFGSTGTTGGSLFGSNAAKPASTGLFGSSTAKPAPTGLFGSSSSGFGVLGTTQQQQPVIQQQQVVQISNRIHMFQSYHPFVRACGDPKILGNDNDGTIAKLNQVSASLGVGKAPYKDGNQIQTFSMEGNLFEKFKGIGYNKISERTDDEGFVTLVLRHPIENLNTEDRREKILEVVKTILASGPNVEVRYAPGTSLRPLPEGFTEICIIAKEGGFVASAIKLAQALNDAPKLAQLEAQLQVDKTRVLPKVGMSKAQRDRYLETVPDGYDEQIWKQAIRENPAPNKYLPVPVRGWEELRDRQRAQVGESKLYNEAIQSLSDRVEFTAVGSYSRSMMHLSLPLQNEHARAIVAMENIRNRHKTLSYRIIRVLLAQWIAARFSRQIDTDENIIEGRCDTLLAQLNRDYQIKFYVDKFYEVLETKPDKLQESMWTTFDMTLDDEHFARKTLTKFINLSCNLYEMAKDQRVTVEAMKNALEG >CRE29869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:118263:119583:1 gene:WBGene00062661 transcript:CRE29869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29869 MATTAAPVDTSLQVIGYAALLLSCCAFGTMFVPLRRRNCKDGFFVQWIECGVVFIIGCIFYAARGFPKFEPIACIGGFLYATGNVFSVPIVEGIGMGIGFLIWTSLQIIVGWGVARFGLFQWIAPQTVKNDVLNYIGIALTIVSGVLLIFVKHVEPEPENEYDVTSKETEHRDEIEQTSSESERSSFDMPGVAKKVPYIIMAMILACLHGLMMSPIEYLKQKNPPADSFQVFDYIFPFYTSVFLFSTIYFFAYCVILRRRAYVERNLVIPSIGYGLLWTAGMTLWFVSSDKLSQVVAYPCTARLPPLISGALDVFVFKSIQGTRSYATLAVSSVIGVISVIMIALSNQI >CRE29966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:114075:116839:-1 gene:WBGene00062662 transcript:CRE29966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29966 MNSFFLFSFILIFNFTNGQDFDDSVRKLITEKCIKDIDTWKKSLRKLSAELLQCGKLQACQDFKKQTLHDNIYSLYQLDSFAKLPPNLLGFNKVWSGDYDECLEVENPNDNTYQTQFCWAHLNLPIGKILAKSGDAVKTVSTTCGAGKPTDVKMSICMPRSCSEHDLKLALNHLRILPMTTKEYLNHSIVPVDDDKFVCDVTCRPVNFEPDYLFWIVTVILGTITFICVVATIADYYQEVENEKMEMSLGEKTIKERGVSEEGLFKYFLAFSMLSNGRSLMRISKNLNNLKGVECIRFISFTWVVSGHIWGTWKNADNPFKIIDILKTRSYEIWLNAFFSVDTFFFLSGLMLSYSFLPKLSKRKAMDPMVWAVFYLHRILRLTPAYLSFIIFYATYGPLTDFGPNELVRREDMENCKKYGWKNLLYINNIYEPRKNCLSISWYMASDTQMYLFSPLLLVAFLFGPIPGILFSITVIVLSTFLNYWLFLHYDLPLTLIQAYMTGDEKIIHILQDFVYEAAYIRIPPFIFGIVMGYVMLKTRDIKIHMNTVSLFSGELSTLSEFQSVVFACWLVSFILSLGSIFTIHSYNRGDYWTPMQRASYYGFSRIAWSISLSWLIFAINRGQSGLIGKFMSLSFWTPLGKLTFCAYLCHIMIVNAIFNLERSPPHFVGAFHTYLTKVIPSVVASCVFATIWTLLFEIPFAKLESYFILKLVSRKSSEKKKECTKI >CRE29868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:110018:113892:1 gene:WBGene00062663 transcript:CRE29868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29868 MDFFDEVADEQKVPISLEDEETAEDPMKKLEADKKRKAREETESLLARMATDDGGGMFEETVAKRARIEEEEEENTDDRMENIVVHTIQTNNENCTHEVAIPPNSKFRQLEPKNGEPAKYYPFQLDAFQKQAILCIDNNESVLVSAHTSAGKTVVATYAIAQCLREKQRVIYTSPIKALSNQKYRELEEEFKDVGLMTGDVTINPDASCLVMTTEILRSMLYRGSEIIKEVGWVVYDEIHYMRDKERGVVWEETIILMSSNVKQAFLSATIPNAREFAQWVCSIKQQPVNVVYTDYRPTPLQHFIYPVGGEGMYEVVNVKVCLNIFLANTMNCVQGEFREDKFNDAMSGLATAGDSAGSFHKRRTNGTQGDSNVLKIIRSVATNDGLNCIVFSFSRKECESYAISLKDMDFNQAHEKGMVKSVYESAISQLSPEDQNLPQILNILPLLKRGIGVHHSGLMPILKETIEILFGEGLVKVLFATETFSMGLNMPARTVVFTSARKFDGTDNRYISSGEYIQMAGRAGRRGKDDRGTVILMVDSAMSSDDAKQIIKGATDPLNSQFRLTYNMVLNLMRVEGMAVSWIINNSFHQFQSYSKIPEIDKKCVQAEKKVASFKFSWETDMCTYLDVQNQLEKTRQDILKIQREPKHLVGFLHSGRLLKVKSGDRDFKWGILNQFRKEINPNDKNDTIYVCDMIVAVKDDQKLDPTNPATLTPGFDLSRRKWIRVPMSTDRITAISAIRLKIPAHIESQDAQFRLDTVMTAAMKRLGGDVPLLDPITDMDIRNPEIHVLVDREKTLKSRLENHRMSNRADLEDCKKQYEVKLDAIKEFEALKAERKGLKSTLHLEELDNRKRVLRRLGYLRNDDSLELKGRVACELSASDELILTEMLLKGLFNSLDVAQTAALLSCFVFQDNCSAPKLSSELQTCLSELHEQARHVAKVSNECKMEIVEDKYVSSFNPGLMDVVSQWVTGASFNEIVKTTDVFEGSIIRCLRRLEEVLREMINAAKACANSELEQKFEEARKNLKRDIVFAASLYL >CRE29964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:102674:105981:-1 gene:WBGene00062664 transcript:CRE29964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-catp-6 description:CRE-CATP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MLW7] MKSLLCIFAIVLRTAYSTLKGQLVRSIMYPKPVDFRFTKDLFKFILFLACISGCGFLYTIVVMIMRGNTLRRIIVRSLDIITITVPPALPAAMSVGIINAQLRLKKKQIFCISPSTINTCGAINVVCFDKTGTLTEDGLDFHVVRPVKITKESTGEENAATGPDVIDFMEEMTELTSREGLPYDGDLVKAIATCHSLTRINGVLHGDPLDLILFQKTGWTMEEGEGDIEEETLRFDNVQPSIIKPSDDTTAEYSVIRQFTFSSSLQRMSVIVFDPREDRPDNMMLYSKGSPEMILSLCDPTTVPPDYLTQVNAYAQHGFRLIAVARRPLDMNFNKASKVKRDSVECDLEMLGLIVMENRVKPVTLGVINQLNRANIRTVMVTGDNLLTGLSVARECGIIRPSKRAFLVEHVPGELDEHGRTKLVIKQSVSSSDDVIEDDESVSVSMCSSTWKGSSENDGFSPTNTEIEMPNPVTSENLGHLIASSYHLAISGPTFAVVVHEYPELVDQLCCVCDVFARMAPDQKQLLVEQLQQVDYTVAMCGDGANDCAALKAAHAGISLSDAEASIAAPFTSRVPDIRCVPTVISEGRAALVTSFGIFKYMAGYSLTQFVTVMHLYWISNILTDGQFMFIDMFLITIFALLFGNTPAFHRLAHTSPPTRLLSIASMTSVVGQLIIIGFVQSVVFFATSQQSWFVAYQPPVGDEQEDKRSMQGTALFSVSMFQYIILALVYSKGPPYRGNLWSNKPMCALTIISTLVSVQDPIQFFHHLSFVQTALGNVELPDLYFRIFIIILAGVNAFISYGFETFFVDFFLLGYWERYKKKRSIETIVDYVPTANSDHIRRPSTNGIPSSRTETTLLSSEGQQLHMTKASKGTETSVSSLLNHHRVTFFTANSQALSSNELFLELEANRLGLFHLFHRKFPKNRPNPKILTALFRITSFIDVEF >CRE29867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:106231:107379:1 gene:WBGene00062665 transcript:CRE29867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-123 description:CRE-COL-123 protein [Source:UniProtKB/TrEMBL;Acc:E3MLW8] MQLSSATFVASSLSAIALLSCLFVLSTVYQNVQDYWTELDEQMVEVRKDLDDMWREIMHIQSNALGSVFHARRQARGAYDEGDYKEAPPPAASYPAPGGYEESAAPAHDVAPQAPAGPAPTSPESCQCQAENKCKAGPPGAKGFPGLPGPNGVPGVDGKPGHDSLDVEPQAQNTGTCFHCPQGPPGSPGPMGHPGARGMKGADGQPGQSGKDGDPGKPGEIGPNGFQGKKGPDGIPGDKGADGRKPIGRPGPKGQRGEQGHPGPQGTPGHNGPTGPAGDAGPQGGPGPQGAQGQDGGPGEEGKLGRPGPDAEYCPCPPRGSHGGSSGGGGGGSTGGEYKATGGDSYRKKKL >CRE29963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:99019:100958:-1 gene:WBGene00062666 transcript:CRE29963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-20 description:CRE-EGL-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MLW6] MNFLIFQIFLFVLLVYAQHTPLSGTQSSPQVPYNWLTLAFVGSNDYLPRPHYQSSDRDHFKELCRRLDGLNPNQQAMCAENPFSIPFVARGVREAIRECENKFKFERWNCSSRDEVTETRHGKFQDILGKTLRSANKEAAFLSAIMAASIVHSITKGCNTGNLTECGCDSKPGMQRYQADSDSAMSRDQFSWGGCSDNVPYGIRYARRFLDEWESQQFDETKNVAHLVRRHNNFVGREAIAQNIRRQCRCHGVSGSCEFKTCWLQMQKFSQVSDLLKKRYDHFAVQVTRKANKRLRRKERTERKIPLRGNEMAYVHRSPSYCEKNLTAGILGTAGRECIHNSYSSESCDLLCCGRGYNTRLEIRQTQCECKFVWCCEVKCKTCTEEVAVHTCK >CRE29866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:91393:94008:1 gene:WBGene00062668 transcript:CRE29866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fat-3 description:CRE-FAT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MLW4] MVVNRDASGLHMKLDQRWLYLSEEFLKKHPGGAVIEQYRNADATHIFHAFHEGSSQAYKQLDLLKKHSETNEELDKLIESRVDKTDINVSTYDISIEQEKKMVESFEKLRQRLHDDGLMEANESYFVFKSVTTLSIMFFAFYLQYLGFYITSACVLALAWQQFGWLTHEFCHQQPTKNRPLNDTISLFFGNFLQGFSRDWWKDKHNTHHAATNIIDHDGDIDLAPLFAFIPSDLCKYKASVEKAILKIIPYQHLYFTAMLPMLRFSWTSQSVQWVFRENQMEYKVYQRNAFWEQATIVGHWAWVFYQLFLLPSWPIRVAYFLISQLGGGLLIAHVVTFNHNSVDKYPANSRIMNNFAALQILTTRNMTPSPFIDWLWGGLNYQIEHHLFPTMPRCNLNTCMKYVKEWCRENNLPYLVDDYFVGYAMNLKQLQNMAEHVQAKAA >CRE29865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:88465:91010:1 gene:WBGene00062669 transcript:CRE29865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fat-4 description:CRE-FAT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MLW3] MVLREQEHEPFYIKIDGKWCQIDDAVLKAHPGGSAITTYKNMDATTVFHTFHTGSKEAYKWLTELKQKCPTQEPVIPDIKEDPIKGIDDVNMGTFNISEERSAQINKNFDSLRMKVRAEGLMNGSPLFYIRKILETIVTILFAFYLQYHTYYLPSAILMGVAWQQLGWLIHEFAHHQLFKNRYYNDLASYFVGNFLQGFSSGGWKEQHNVHHAATNVVGRDGDLDLVPFYATVAEHLNNYSQDSWIMILFRWQHVHWAFMLPFLRLSWLLQSIIFVSQMPNHYYDYYRNTAHYEQIGLSLHWAWSLGQLYFLPDWSTRIMFFFVSHLVGGFLLAHVVTFNHYSVEKFALSSNIMSNYACLQIMTTRNMRPGRFIDWLWGGLNYQIEHHLFPTMPRHNLNAVMPLVKEFAATNGLPYMVDDYFTGFWLEIQQFRNIADVAAKLTKKIA >CRE29961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:85978:86599:-1 gene:WBGene00062670 transcript:CRE29961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29961 MSSSSSSSEDELPKQEVKQEKKSKKRQSEATEEQKPKKAKAEEVTGRMKDSDGNEMFEFGNLRYATVSNFKGKEYVNIREYYVDKNSGKMMPSRKGISLNKAQWANLKDLIPEIDKKF >CRE29960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:82875:85879:-1 gene:WBGene00062671 transcript:CRE29960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pis-1 description:CRE-PIS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MLW1] MSDPLAKDEEIQHRPESPPLGLLDPSRIQNPLANEQMHQMQQQQTPNASGFVMPEQPRQQLQQNWQRTPTMSPANIFQGSGTPGSQRSSSGTPNHQMGGPPTQQQFFHGESPIHSQGAPMTPQNTGPPPGSNCGTPQSAPNSGGAPYDYQAAQMQQQQHAQAMAAAAAAQQQQQQQQQQYGGPPNPQQMHDSPFRQHPMMGMHQHPGHPAQYPPGYPPPQWQQQMYARQAQAQAVAAQQRAAAAAAAQRVPYPQGAYPAGMPGAPGPMTPVYPPQTPTGTRTTPGSAGPGTGTPGTPQRPQYPQGTNPQQPPSQFAYPPAQQMTPTGQPPGYPGIPGTPTYPSTPHPMYRQGPPTAPPGMRQYISPQAGQSQPTPGTPHRFGPQIQPGATSSPHFAAAPGAVGPDLRSPSLMSPNQQQQQQPQQHTPHTPVLPRALHNDMQPLGMRPVALPSQPLSQPQVTPQQPLSSSSHPPQSAGANQFVYRQGIPNQMHHDPTAFPMQMNADPELFLSGFHFQCFDSEKLFEDRLDRKTLEFMIRVRQIHDMNQLIQLKFQFHGGEIEFDNTRFLDNVHLVSHVLIDSCRNPHVRQSLEHRKRIISMQWVVDVIQREKADIPWKLAHLPAPFNDNFRPYLGKLFSLSGYDESERGAISFMAEAMGAKITPFLARQNDLLIAKAPSEKVTKAQEWKIPVVNYQWIAEAYVCGSAQPHERPNVENPRFQVGQPCHEVNGSPTLIEMSSNEFAAMICEFTSSFYRLESVFVAGCWKQPIIIGDQAYDKATLNRKELENDPYFFPPRRIL >CRE05360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2212:1154:4276:-1 gene:WBGene00062672 transcript:CRE05360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05360 description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:E3NUJ8] MSTTTSISEPILHQSAKINLTPPGKNPISSHQKKMSDKSDEISMFRSEPMKLYQMILVKEAAFECVAELGKHGNVQFIDLNAKLSLYSRSFVKQMRRCEEMERKLRFLEKQVITCKPGLDPKSIDFGDLSAPTQAEMIQLEHKLDQLEKEFLDLNNNDYALRRNLNSSREFLHVMKLVDEFFQVEMFSKSFGFGGLPSSNELPMTPLLGADDNAWFVAGVLPLDKKESFERVLWRACRRTAFVRTSDSHFLVNDPVTLEPLPKCVFIVFFKGESLRLIVEKVCDGFNATQYPCPKSSKDRKMKMSETEGRMNDLTVVIDTTQTHRYTILKDLSYELPIWLKNIQIQKSVFGVMNMFTVDTNGFLAGECWIPAAAEENVRQALHDGFKASGTEVEPILNELWTNAPPPTLHKTNKFTRVFQSIVDSYGVGQYREVNPAPYTIITFPFLFAIMFGDAAHGAILLLAGLFFIKNERKIEAKKIRDEVKNINLFVKLKIMNFQIFNTFYGGRYIMMLMGLFSIYTGFLYNDAFAKSFNVFGSGWSNSYNTLGPSNFFHTYSETQLDWWIARAYRKKREFALELVPEKSFDYEKTYPFGVDPIWNIADNRLSFLNSMKMKASVVIGITQMTFGVFLSVLNHIHFKSYIDVITNFIPQVIFLSCIFIYLCIQIIVKWIFFNVNAGDILGYAYPGSHCAPSLLIGLINMFMFKKRNEGYYDQDGKVYRNCHLGYWYPNQRLVETVLISIAVACIPIMLLGKPLWVRFVTSKRHKLQETKSLKSIKRNGTTVSAPTSPVVETGPPRFVS >CRE29956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:59094:71351:-1 gene:WBGene00062673 transcript:CRE29956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29956 MESRDERTLSLLHLRKTFSEYLKIPVSGSRSNDPSRLLPLFHKVMSMYTPQQLAAEFKEVVHFATFLCSVLVKEVRQRAASTGTIEAAQSIAEFLRPGTELKGFSILDAIRFLLSSEDEIMIDAACKVSLPSTLVKTIYLFFDLPAAATLTPENTENSSEAEEEMRRNNEKLHEMISQIMEGLCRFKCVSEELVRKDDLLLLFVGTTSQVAETNNCWRKLCARLLEVIADKSITNAVIKYVIVKKCVRIFVKNLSSAPTNSHSDAQKTAESIICLSCFLKNSAYLTDQLLDEFYEADGYTVIKDFLLRNEADNEIVRNILLMAISLVNSGKFEITPQYSSGLVQLPSFQLPIPAGNGLSVRNLNAFALLYHVFLESTSESTSCTVIDILHSIYTCDPANYFILDREYPLSIFIDQLERKPHAVRVKLLELIEFAVFQLSHIPCRELISLCVLLKTEISAGKTAMCTIIVQMCFKLITVDAIIKDAFREVGLLDALCYIIRRMYEMYEKNIQSASFTATSTCSRTQDQLKLSLLTTDLLTIIIKNNTENGKLFTECFGAKLLVEIVCEVNEDWRSSLLQLVKQLLIVAPTDQYIVHLVNTLQDRIPIENLEVMFSLLKALLGVVRESHKVRIQFRKSGGFLALSALLLGLEKRFSDVQPTDDGRIPREQCQMLEFVHIIFKVFTLSMRFEPSNAKYFATEITWDSITSLLRLTSIFNESTIISIEESEWKTLTHTELASEISACHEVFRLDDNIEAGNVPKGMPFNIYFGCYVCRLIFNMALDNYEKMTTDIKWNEDGASLEESIVSWTSSLLVHPGAIISMLCLLPSISSSTSTSSSTQSGGMKWTVAAQYYVSLLLKAILKSERNQQIMCQVDMPKHLLRIAGKLFLTENHVLLQSFYYLLERLSYQSLTPNQLRSFLRLDSPLCCRSLDDDDDDENGNEVANNEGGPVPLQRVKALVSMMTPRDQYIGTAPSFVEFDMSVEGFAALYLPSLAPMFSTTKTERIFPPLNGFSFCTWIYLDSLSDKKADAHPIRLLTITRAVGPANSDISAKKSSQPAHLACFQCQLSAFDRSLLISTEESDQPGADLEKIANFQTDKLIRITLADQVRCGEWFHLAVVFNRSVLKSSQVSVYLNGRHISTQKLMYVAQNAGAAATQLAQTYSVNAAVGTLPALRRPSRLRFRLASIFLAEEPMTAETVRSVVQLQPHYIGNFQTASAERTPLFHEEKIVFSLSAAATQELTLAKIRTMYGKMDAEILSQHLGISPNDHSTPLRVLCNTISHAPGAGRTFGGVVVGYLGMRTFTPRPVPSLLDSIGGFASVYGLIAMAVDSEGVYASLKSLVSAIRSQPRLLATWNNNRAYQILAVLLEDKANMLNSHIMHMVFNVTGTADTSREHSPTISNPSAFEDLLCDLKVWKGAPSELHKMLLEHFYELITDHQLNNLQVVRRSSLLSRLLLLIHDESSMIRNTDEIIFNLISAIIQPQCDSRSILKIGQCIAATLPTSEAECYEDSHLPFHISEIQKMFLESTEENCSEALHHVYIRNRLLNIIANFLSNSNAQIQQQMCEQLVRTLGFDWLFALMSPGVHSGTIYLALRILLLILNQPSLLTRFKEGSANGGWLSEADSVVRNRAAVVLGFSVSAHGGAVGSKIDINPELSNCGGFAALEHLMAAHADKPYPYYAMLSVLVGQPVPALRFCDQFNMELVWTHVFGLSSTSSVFEAINSANFCFDALIPLLAMIRSSIYNQSTIHQQWTITNPSTVIQMITFMYQNSPAFFNVAHSDEFILALFSTLIEDTNAMGVKSEVANRRSQDGTPDAEFFQAFLAQPNVRIVMDLLKKICSDNLQVNTSKNDTIIDVILDNISESGITRKTQVACLTALLHSVLEHTVSTDLLASSALPPNTQSQNLVQIVSNISVMSSRAVDAFWNGLVFGNESMRMLSTLYHLQVIASKKVNKAVNPEPITGCIMRMTLFILSRPIDSVPVQLSVLDALSTLVSKRYLFLASNEAWFFASLTHLIFMLSVTPDVLFQVGHRIVLIFLFSSIQDNSSDLDRTSAQVAMCACRVWSDVICAKQGLIEETFKKQSVADINAARALLSHSAGVYWQQFVDSQLRSIQTGGVASHNSAVTAKDIIQQQISSKLNRVASGITRFAAKRSMSTTTALPSSGPGSVAAWKNTSSDKQVIFMWLRVHVSLIKELVRAQSTRYTEWHAHVRKWCLHDWHQWEAELTRERGIWGPERASKLEKFKLDLTEGPTTRMRRKLIPNRSFYHVYPFRPHLEAPSAKAQRAKVAISLDSKLYYEACLIHRRRTLDTRIIDSSSVSVTSPDDGSGSSSNLLGYSFTDLSQINSSLIRRLSTTAPTVSAQSVSGTSLELNEEECETSERKDDDDSTSSISEKIPLEANGVSVQSTSQSATSASNNNSSGTSAKKEERKEEKKAGPDNQTLLRLLEQGEQLHSMFRCARIQGLETAEGLLLFGRDHFYVVDGFTLLKTKEIRDLDFLSQEMHDPIVPYPATGATQPPKSSRLCSKFSYNMIREVHKRRYLLQPIALEVFSSDGRNYLLAFPKKIRDRVFDKLTSMAINLSSGGSDSLGGQKSNVAIETTGRGASLLSSLIGQQSVTQRWLNGNISNFQYLMHLNTLAGRCYNDLSQYPIFPWVLADYTSAQLDFTNISTFRDFSKPMGAQSPDRLEQFLKRFREWDDPSGETPPYMYGTHYSSAMIVVSYLVRLEPFTQQFLSLQGGHFDLADRMFHSVGDAWTSASRNNMADVKELIPEFFTLPEMFTNTNHFDLGVKQNGIAVNDVLLPAWCHGDPREFVRLHRQALESDYASSHLHEWIDLIFGYKQNGEEAVKNNNLFHHLFYEGSVDFERIDDPLTRNATIGFVNNFGQIPTQLFKKPHPQKKVNILEGFSNTPGVTTSRLFYHAIHNMTPPQTPFKELRSAVGSIHQNDKIGVVALEQNKVRYPKLENMYNIQPIQVFLGTNRYITWGLPDRSVRMGQIDTDKSVCVHEMCEVDEMTCAAAGDETTLFCGNTSGCITVWKVNNKPLSMKKLSVLNGHSDAITCLVSSQSHAVLVSASRDLTVLVWHLSEMFLIRQLPKHPHAVLAVAVNEATGDIATACSTLLHVWTLNGELLAVLNTCDVAPAIDPQQMIISLSFSTMNEWDNDNVIMCGTSDGIVKIYSCVVFENDGSVSERPALENANPVFNMNSETSDRSTGSALIAARLEKQRKKLKNSSTNATSSSVSGSGSVSVTSSGPASPSSGSKATKSEEGGSQFVRVLVQRTALTMHTAFNRPDNVHPAPITAIAPSRDHRSLYVGDGIGRVWCWQGGEGGGRADHWVQDVMRQRCDDCEHKFTLADRKHHCRNCGQIFCSTCSRFESHITRMNISRPVRVCRKCFLRLQQSS >CRE29955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:57207:57743:-1 gene:WBGene00062675 transcript:CRE29955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29955 MILKWTVLRRTFATPKQKLKGYKFPEVRKEDCEQKYISGWGPGGQKVNTAQNAVQLTHLPTGTVLKVLRFFFTISFNFHQVHESRLLPKNIEIAFERMKFVLDRQINGENCYEEQLKVRNELCSFQILKFQRLQHTKEEKANKRREQARKEKEEYKKEIEER >CRE29864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:54330:56839:1 gene:WBGene00062676 transcript:CRE29864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29864 MGDGPDNPHHFSMFVAQRAFLDANFEEALKHFFVCIKMLPVERRGLYEEQFAASIHGWITTDHDNAGRAVRLYPQIRELFPLTIPTKLAIIRAVQTTEQEKWLLNCLPICKDAMELATKPEELVALRIARVNLTTVPFPQWHIRMINDMARNEAYAKALSVSIKSRSSVVFDIGSGTGLLSVMAAKQTNLVIALEENICLAMLSKEVLKRNGVEGRVSVECVNSTQFKTCQKADVIVSEIMDCCVFGERIIETFIDAHIRFAHQKTIFIPNQATVYVRLFKCREIFDIHCQDYGGVRYRSEYVKINDSTNEQPYWCTSPLDYSDFEFLSDPEEIHSADFTTLVELQKSINCSGSLTVLPIKKGVAHGFAIHFTSDLTGKGDILNSAESRSWELGIIPFKEPCLVDCGQELDISWKLAYNRLDLYNNFYDEGLQKNEENLRYETASLEQLQKLRDDSYFKAMMSEIDEIDTAYTIDTSSSIPAQCMIETAVPQIPPRVLITSLNRYDGSLDQDAFFQIERYLGYRDNIKKIVPTRVRIFGRLFYSDRINSQARIDPTAHCQVDLATVRSFHLREMRDIRLSQRKDIVMNSDEFLIFDFDMNPERFKQDDYTTMNREIEVQPKGRISDGVIYEFEILGSRNTKLRPVAAFLFPERVYTQEEMTIMVDLHVGDMLISLKDL >CRE29954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:42665:52021:-1 gene:WBGene00062677 transcript:CRE29954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29954 MSHVFYYRLFLSFCIALVQKQYCTSHHFIPKFHFQFNTKMIRRDNSDFLRQKQAQWEKEKAESESRPEWFPFGENLTPGGGSPLRKFVPKEPIFLKESSTRETCEDASTSMHHFNSTTSPDGKQSDLNTTSSPSNPHHQQYHVPPMPSHHPHSHHSHHAHSHPYSYPLYTFPYPIAPAYHHQQMTSSTSSGANGSSEQHHQQYFAPQYQVIPAGAIPVSTINIDPSQICPVDQMGMWTLPPPIGPNGMRYGIPIPAPLVLQITSAMIDSSKMTSSMNNAILNAMSTSTSSNDSSSESGVSGVKEAKENKKQKRNQEEAEDKICREERQSTSDYTDDDPIPLTRRSTTGSITYNYADQIAERRRFEQEQEDRERQELLEVERQRRILEDRRNSEEETRRKAEKERIEEEQRRADQFAAAMEKAKKEAELLKRAKLYKHVLLGAEEEGGTEQLSELEQKLLGVDIETGRRLLKEVSEVERHKKQDEVEMKKNAPQPDKTSHNSSTISVGNQSNRGRSSSISSPNKMENSFTRNSVGRRSVRTTSREKQGTVVENKLETNKSHRSDPHLPLQQPSAAPVSQPIQPPAQHLQNDRHVAPSQAREVHLGNRTTTTPTKSEMMLKSGGYYCPMNRDPLSQREESRAIQKPTHSTGFQHNNHIKFDGSIRRHNETTQSALFGPIATRSSIRRGTMKTGLPSPASVRMTQVHQNERTSSFEESSSSGEDGGSISPDLNHKHFESPLPSSTENVHPAPCSPPVKAHEGFEFKTPTRARSALSSPMSNFLNGGDNDSPTCRPASRLMQRLEQKGTIRRDDEFISQEIRRVAETNLSRSPSLASLRGSIQNLSLRGSHLNLAGPSGELPANLTKFASVNSPARQSLSSMKKTTDRQAAVLERLGRLRESLHAGKNYTPSRSGLSSSRITTPAQTPPISPRPVM >CRE29863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:37947:40704:1 gene:WBGene00062678 transcript:CRE29863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29863 MEETLVYRAFDIQIVSEETAYSIQDEIIQCRKGTSTPKEPRVFPSAALIAMKPTTGVKRNNATANQKGTGGNTAHKKSDVNSQEYVTIPPTFSENSNAWQTTYPHLLCTTRQKDAVLLDSKAGATGKRPLFYFYIRAKTWSPTGDYIYVRTLSLPFTISTRRNQDCQVQRMNSSYTATCFWMYGTCSINGLLLNWSEKPLTWTQFKNLTRRYFMVNGEVNRTMEESDYALLEDKMHCEQCGDDSNDFDGQPGEDCISFKNILCSHLRFDTDTVQMRFSVWRGILEVLQIFQDPKAAVKTLWEHYLMHGFLDTQSVVNLLMPRHNCMVIRLTYILGGSVCVSYRNLCGEIIHLEPLELKKLQAKSILEYISDIAETAKIDYLLTYNLEYMPVSSVIEKFFPVDEVKRVRGVASNITDKGPLNHVTHVKLVLRFKKTIRTNITSRFTPLRVAVVACREQTPRPSPRPSESHDIPCSSASTSTPSDCLFAAPNLIRRYDNHQNQNHDPILSMMMTSTSSSTSSSTETTPTMMTPGPTDQSFENQLEALMKHYGKTPQEVCEIVSYAARPNHTHHHNHPLLLPPNTSLRSPSLGQHHNSNFEDLMDDLNNPNGDYMSSLDFSHTNHFLRV >CRE10335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1270966:1271690:-1 gene:WBGene00062679 transcript:CRE10335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10335 MQYPKQSFSLLFLALLNIASASIFTSSDDVTSEVTSSTTTTAVAIRAKRQCGGMGGCCGGMSGCGCGSMGMCGQTCCPSAPPPCSCGTPGCMQCVQQTVLVPVTTTTCCKCCQPVCTNACTNGGGCSCGCTRGGCSRKRRSLLAIASEEMSKRGDVMV >CRE22246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1711:3676:4816:1 gene:WBGene00062680 transcript:CRE22246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22246 MLRTYLLSPFVFLLFGLIIPLVRAKAPYLRDRPRGLGLLQLPTGMSDERSGPILPGLYIAGNKVNEKPQTVPDVHLPGQPAVFSGRSAFNPFTHMVSAVYAEDLSDGWGAGMAVNGVNNHGLNVRKNFDSYADVPLNLNDGMYQPFISAFTVGGEYDPSKIREVSGSLDLPIPGINELFDMNGRIMTKHALIVNGALEFPLTLSDPNERAPYTFKYAVWAPDRHMAYGHVMPNVNLFVVGKDKIMERLMQNRLNPTMIG >CRE10333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1265646:1268582:-1 gene:WBGene00062681 transcript:CRE10333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbd-1 description:CRE-RBD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6I9] MTTRLIVKNLPSTCTEQQLRKFFEKYGKISDASLKYTKEGKFRGFAFVGFLDEGSASNAIAKSNQTFFNSKRLTVEECRPFGDANKPRAWSKYAKDSSAYKRAHGDDGNGKPGRSDDSEEPSAKKQKNDPKLDQFLEAKGVAVEKEVKLSKDKSAEAKKLMAELMDGIQGDTSLSLIFSGLPSSAKGKNIKEWLNPIRVKAMKIARNEDVAAAFVTFNRPPDVRRALQKDGQFLGGFKIGIEKVPGFLFKILQIETPEPEKETVEEHGAEFESREKEEESVREKILETGRLFLRNLPYATKEDDLQFLFKKYGEVSEVQVVIDKKTGSCKGFAIVEFVFPEAAVAAYSALDGYVFKGRMMHIIAGDEKRDKPTEEDAETVPDDPDNPMKATDKKEKKKKTFKEEKQDQKKADAGKTAHSWNALFLGANAIADTLAQRLNVKKSDLLTSDQGESAGVRLALAETRLVRETRDFFLENGVKLDAFSKPAEKRSDTVMIAKNLPSGVETEELKRMFEKFGDCQKVLMPAEGGVSALVIMGNPVDAKKAFRALAYSRFRSQPLYLEWAPFDVMGATVPSTETSEKTDDSTKPKKSKREMTYEEKKKERKNRQQGITEEEEEKVEKKSDEEEVEVPEDKKPESSETKPKKSKQPEKEIESGSTIFVKNLAFDTTDYGLETLFRKRYGDLLKSAQISKKLNPAEPTKPLSMGFGFVQFYTAFDAKTALKEMQGELLDGHSLELKISHREVVDKGALKRKDVQKKDQGECTKLLVRNLPFEASIKEVETLFETFGAVKTIRIPRKPGQKQQHRGFGFVDFISADEARRAFDALVHSTHLYGRRLVLEWAKEDETVEELREKTAEKFAGNKKGSKKSKAQIEEFQQQLQIADDEKD >CRE10149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1261192:1265375:1 gene:WBGene00062682 transcript:CRE10149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10149 MKRWFLFFSTIFILGKFGNSQPQQPQQLQVCLKDIVEDVCRQREGYDPECDKSCVALYPMRIGSGCRSRPGTNIPSITNYILCFPGQLLSFFGIQSFVCTCHLSPIACANPQFAVHPYHTLVVQQHSHLTVIPQLPQSCDSPKPPSLSCRDPSVCSTWQYSREFEWYFGRPNGLPRPSESPVDEFVAGTSQQGATWATISTCDGICSSSSVNVTARVWRPPTVIAELCFKEKQTTMCAPIQAKDGALIIEVIPETNHFQVMIRFSNVTAGEMILLDDLNVYYQPCQKKVLNLSQTIHSTNVSTVPLKSGGLPISLINPNKGDSRMVQHRMCQDGECEHKPHHSTFHSSTAKMCVGRPGLAYCRQKCRALDASESSAKCLRQRENPLIKKCICHVRRSPVKRIEGGIVDQPTTVSREVEMNRVLADDVTSMTNFPPSTTTVTEMPSTTTKFVMMDIENEEKTEDETAKVIVLNRTKNKFKCEETNCNFEKDTKCGWADLRMLSRHFNNISVAMKRGDENRYGISRLDAKSYSGLLYKASLIGPIQMSIDVYPSHEIDVRICVQNLRKCQTQTIGPRSWNRISARIKVQSTEKIFVLFYNNAMETKSIAIDNILVKNGACI >CRE10148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1258733:1260179:1 gene:WBGene00062683 transcript:CRE10148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10148 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3M6I7] MSDTCGDKCESLEWIRERIHNKKHFTARFIGQIVDASGMEAELVVKVLAGVLFAILAFSDHAHFFANSLLVIVPALLIFVFPAEKPTDDSLFIYFSLFGALTVLDRSLEKIPCYYILKLALFVLLYLPPYVLHKRISELIQDQLKPQKSSENVSEMTRSNRTAPKTNQSTRTAVSAAPLPPPPPQEQPKPVEQAPAPVVVPAPVPVPVEQPAPPPQPVEQPPPPPPVEQAPPPPPAPAPEPTPAPADPAPKLPSSRSRTDLAGGSQRLKSGPNPMQSNSFYNLKSEADGFNSRNAISPGSNLNDMIFRPTEKLVFNAPFDYDNLTYHMKITNNSHHRIAYAVKGNAVPRVMANPAFGILNVGEMRIVAVSVQKFDWNDVDYQKDRIAYDYVLLPDDNNEKTFSMAMFQNSDTKRRKNIMIEYNP >CRE10332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1255300:1257207:-1 gene:WBGene00062684 transcript:CRE10332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-6 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3M6I6] MASQVGAINSVNALISRVFVQPKGDLADRLNSRITVVILAVSSGLLLSSHFIGDPITCWTPAQFTKQWVDFVNQYCFVHGTYFVPLDEQLSFDEGERKKVTIQYYQWVPYVFALQAFLFYIPRFVWKSLIAYSGYDLAAAVRYVDRFWNAIRDKDDTFKSRLAVFEGRPSVYIWDGIRLARKKRSRNMALFYTLSTVWQAINAWIQFYILTQLLDSPLYSAWGPSILGDLIQGNDWQTTGHFPRVVHCDFNRRRPASVQMDTVLCVLTLNIYYEKLFIFLWFWLAFVAVVSTINSARWVYYLCNTSKAQKMIRNYLATSPIKSPISDDQFFDALGPDGLFIMDQMALNLGDIPASYLTISMRNICQDFIESDEYIDDERTPFVKSIKHT >CRE10330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1232362:1240714:-1 gene:WBGene00062686 transcript:CRE10330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-31 description:CRE-UNC-31 protein [Source:UniProtKB/TrEMBL;Acc:E3M6I3] MLGASSSEEEDDDFQDDHDSTMPMAQAKKRSLLSGAMTPRSSSPAPSDSVSQTNSLKRNNSNMGRRKDSVHSQTPARSARTMSSVSRPIMQNSQEFDGEDEEEGECSNTIIAVDDSGVALSKEEQERIKAEREEEEHKKNLQMYVFLARCVAYPFNGQQTGDMARRQMKVNKQELARIRERFQLFLKGETNIAADEAFTKAIQSYTEVFLKSERVQKVVHAGGFSQHDFREVFRLNIEKRIRSLPDIEGLSKDTVLNSWLAKFDAIIKGDETDQNRNARGRPRNPQNTVSADAVLGKEQLYDVFQQILGVKKFEHQIIFNALQLDNPDEQAAAIRREFATREEALKDPIKMKRLTPKFVVKDMETLYMDEVRMSINTLIGNLETVPVTTRGQAVGKRKDKSRLKRRTSSGSLNKGDSDDGDVTLTKSDVSLALTMEVVVMEVQGLKSIQPSKIVYCTMEVDGHKLQTDHAEASKPKWDTQGDFTTKNPLPVVKVKLFTEVKSIVSFEDKELGKITIQPTPSCSRTPEWYSMTSPKSNQDQNMKIRVAIRIEKPPNLKYSGYCWCMGRNAWKKWKRRFFCLVQVSQYAFAVCSFRQKKADPTEFVQLDGFTIDYMPESDPELAAQGGKFFFTAIKEGDELKFATDDENERHLWVQALYRATGQAYKPVPPKQSTIAPKAQGFQDKASKHGMDALIQADSINFDHDHLYSDIQKLTLDFRINEPICSLGWFSPGQAFVLEEYSARYMVRGCFRHVTLLSNLLDKADDGLLIDPALIHYSFAFCASHVHGNRCMPDRQGPEGVGTVTQEEKEKFQEIKERLRVLLEKQITNFRYCFPFGRPEGALKGTLGLLERVLMKDVVSPVPPEEVRAVIRKCLEDAALVNYTRICNEAKIEQRMGIDVSPAQRIEDMIRVTEFCVDLLKENEEHHGEAFAWFSDLLSDHSEIFWSLYSVDLDSALEVQPHDSWDSFPLFQMLNDFLLSEPNLKGGIFHNKLVQQFQPLVVRYIDLMEHSIAQAIDKGFSKEKWESRKEGCATSEDIYWKLDALHTFVIDLNWPEEDFRKYLQTKMKSLTSDMISKVSDCTFTAFDGWMQRAKKSTDYMLPSEVCVQINVMFSSKSRAVRVTVDSGEYKYQSKLDETLETMLKTMESCIQEKLIGVLESVLSRLARYDEGNPIGAILNIAPKPASIFNKLKTMAGDTSVQTAAAARQPLTAQQSSGQIGNSYVTFFHGCTELLRQVIIDEIWVNGLFEHWYDNQMKAINDWLTERLQQSLSATQYISLSNIVKKVYQDFALQGIDEERLNSKTYQSINRRLQLEESNSHIQEGIRRLR >CRE10329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1229699:1230663:-1 gene:WBGene00062687 transcript:CRE10329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10329 MRVIPFFTLLFVLNTVSLVGTQQAFGSVSYAAIQRIIPHATNANAFALAQGLNQNVGKYAAMRTLVNQFFTQNKAGKPNPKTLSLYDNSTYSRQKLVALVNNRQAIANWWSQDLTPGFTKIYNAATATTYKNLYAYFDSRYTNSFTYTVVYWMLTVLMKDMWNEVTYNATVDLISDTMNTQVEKSPTLVFEIYTEAFEANHNQTYMKGKW >CRE10328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1228283:1228678:-1 gene:WBGene00062688 transcript:CRE10328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10328 MNIQAIVCSFILAIGLVLICTSTNTVDEDTDFTKTTYTVLFVIGCVMTVVGGLGYLYTIYEVLMRAHQKFKARQLRKLEQQVVRRRTETMARTRTIALTMEREKAMSMNGGGPA >CRE10327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1223937:1227534:-1 gene:WBGene00062689 transcript:CRE10327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10327 MTTSAKITEQRNSGKRNRCCERCKDQFELDEPYFLLGSASWHMRCFLCAQCMEPLVATTYFQFENRIYCEHDFKTLYAPVCAKCSTHSTLACCWTAASLVRVSAEYGQVVHSSNYSFHFACFTCDDCDTQLNSHGAYRYHGKILCFSCNQKMPKLKIYNCTKCKQRVEDEDLLMYQHEPYHAYHFKCTTCKKVLEVDARTVKDELFCPRCFDFQCEVCFDCKKAIDPQIEQSLFTMNKHWHIHHFRCATCSRPFNGHEHYEKNGKAYCRDDFLELIGHHCFICDKNVTGAMVHVFGKAFCPDCYRCRGCDKILHYKDKVMELDLMPLCKKCIGHKNFQKSLKYKSI >CRE10326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1215063:1218260:-1 gene:WBGene00062690 transcript:CRE10326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10326 MGDPNRTVSASRRPKAIVRLLDFQQIEIVLHSRLSVEDVLRLVSEKLELSFSDSQYFCLGFTDNLNHFHWLDGSVILYDLVASTSNPKGTLTLSHHVRFFVDTIYEVQCASTAKLFFFDIHNQLTRHELLVASEDYFELVAILVSVFVPDCQEHTIAEAISRVMPLSHPPYILLKTDQSDVEKKVFEKFRYYRRLPVGTGMISFIKLAEKSESYGYRMYEALNENNDKCILSIGYKGIYIYRRSRHQNLITPYLAYPWRVIDNLYYRDKKFSIEIREPKKTESSENVADDVILINDRQLSEAFSHPTTQVSCGRRRSNNQQPRVLLFPFTCATPLVCRTVWMSAIAQHRFFLERKELKK >CRE10325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1203421:1207657:-1 gene:WBGene00062691 transcript:CRE10325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10325 MSMRIHAFKQSILDLLIEAYSLQKTHHSRNAVVDHQELTEKLNRLTSTSSLGSSLPSLSSLHSNSSIGTMPSAKIGDGSTSSLPPVLSSNKPISTQTSEVPSDAQDMNETLHNKSVEEFQRDLERCEVLKARKADLEARLRQKMQELKAVCVEEGDITGEMPVEINDVVLPGDDFPRLKRRVGTAYSIPDELIKADKADKMSQLETDVELHRRIVAAASRLATDKNTNKSVRKKRQKDLQAARLRLNRLEQGLQQMRHSASKPDISSLTSDSSSKLKCRRSLKSFIFSDSWSASNSSGALLTMAMTKSCPTTPRGSVPDLSRNDMGDEKDEFDENVEDRVSRRAPSAISRHSLSQRYSGVSSSSTSSGSDSMGLGLPPRPTSRKSQQQQTTPFEDQLDNPMMCDVPSTNPLLVTTSLHTLYENVGYRSTSYRSSYRQAHYPTIQDEHTQRKRAQSAHSISTDNGANGRTSTYSVPLNDAAQKFRDYDYEDEEDSTPTMDLPGHLVNVRRLTSSISCQAGFATASLDRRYAKTNGGRMSSGCSDDIIRQNVTTRITTFPVATPAATVILSGKPYSSSELPRFQPPPPVARVTHLPRGSLPPYTPSPLGMQSPQSNGIAPPNGKLSTNPQMEALLSYYKQQQHQSQPRSNPSQQPKTATIV >CRE10324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1197949:1199864:-1 gene:WBGene00062693 transcript:CRE10324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10324 MAEALMRWQEGLDRIQATPFHLFAVDNNTDVGAPGTAGAELVAPSYWGFINSIFLPTVVFYTVLWFAVYACVQYNCWLSWQEGIKRKRLLNLTTSLIHSTVGSFLYSISGLYLFAFFCYNTKLMFAAPLHYYSYLDSQIITLSIGYFFYDGIDLVLNDKLSISTGVLLFHHVASIYVLSTAVLSKKFLLYAYWAMLMEVSSIFLHTRSILHISKLSTTSMIGFSKVISYLNLFSFIIFRGFVQFFLFGWAWVNYDHIHFVFKCIAFGGGFCFAVINVSLLLRILHSDGFLLSSVVSQDRLDALLEDNEYSNSSESVAKSEKKELLDV >CRE01481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1360:957:2521:1 gene:WBGene00062694 transcript:CRE01481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srf-3 description:CRE-SRF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NRP4] MKTAILIWLTLQNSIHTLLIRYSRAREVDAMFVSTVAVWLTEVIKCVICLFLVAQEETPRRFVHALKTQILEQPYDTMKVCIPAMIYIVQNNLFYVAASHLDAATFMITSQLKIFTAAIFTVIILRRSLNRTQWFALAVLFAGVSLVQLQGTKSKEIAGESPFIGFVAVVVACCLSGFAGIYFEKILKGSAPVSLWMRNVQMAVFAIPSSFLAIYMQDAKTVNEYGLLYGFDSIVWLTVLWYGIGGLSVAVCIKYADNIAKNFATSVAIVLSTIGSMFLFDFIPSLTFLLGAALVIFSIFLYSSHQSMVAALSRLRGEIPSTKEAFCL >CRE01482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1360:3414:5073:1 gene:WBGene00062695 transcript:CRE01482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01482 MAPSKGDTIKYTSLVVLVVQNCSLVLFMRYAMTKDRAKFLKTITVFFGEIFKCTVSLLLACVEEKSLVKGLKRIHHEFFVNWKDTLKVLVPAAIYTVQNFLLYVAVDNLPAATYMVTYQLKILTTAGFTVLVLKRRLSVQQWISLLVLFAGVVVVQYDQKMSNEREAAARANISTTVAPSTVPPFSNLTSTLATVVTTASTSGITENSILGFIAVLIACVLSGFAGIYFEKILKGSNVSIWIRNIQLALPSIFFAFLFASVIYQSTFKLIIFPIQVKDNSSLYAGGVNPVSIWDNMLQGFDWAVWVTVAVNAFGGLVVAVVIKYADNILKAFATSLAIVLNCIAAYFLFNFRPTILFLVGASGVIAAVFAYSLYPYKASHQALPTDAPKETELQPLETNKA >CRE10320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1183321:1187419:-1 gene:WBGene00062696 transcript:CRE10320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10320 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3M6H3] MLKLSVFILLLFDVSIRFSNSVEEVPELKENGEKSSYTQFDSGTKLEVNREHKRVIKIGHIGATGVMPNDARILNISKESLIEEGLVGDDIEFEIVSRQACSESFEGVAVAAELYHVHQVRAFIGPYCAAELEAVTKMATFWNIPIISYSSVPNAVSDRSVYKTLARVSSKNTNSIAEATIALLQHYKWLKVAIATNTGSTAFERVSIFEEIMHRVGITVVKKIMFDENTDANEMVNSGMLGDLASNARIVLCLFSSTKELSKEFMQATYTLGMNNAEYAYIIPWLQSGTKDSTPWIGADGEMLQRVKDHYANAIIVDDVNGFDDTIVSSFVEKIEKHGLQKNDIDTSNINGYLHLFDSLKLYALAIRKVLNETGNEAFVTNGQYIWNRMRRMSFEGVVSRASSEENKDAGAIGTVLMDDVADRAPIFSAFFISPNRDKVMKMVNMESELIGNCDGLKNKSGCFQLKINDVISGFWPSEDGLMPLDEPVCGYRGQRCSYLLEISVGSLIILLIIISVIFFFLFRYCENRQLEKMPWRIFHNDLQFIDEEQVRSMMSVGSVTTKLSNIKTGQKQHAIIGVNTHTTYHKYPQRRPIKFVKEDMQLLTQMKQAVHDNLNPFLGVAFNEKEEMLVLWKFCSRGTIQDIIYNMNVVLDEKFHGAFVRDITLFRKVGGSQGLEYLHASPIGYHGSLTPWCCLIDRNWMVKLSDYGIANPLERWEKQGAISITALKDSDDKSQASQTTSILYMPPELLKNRETNKRRGMDQSWVKQSMARRQAGDIYSFGMVMYEILFRSLPFRDNLIMRLNNFYMFSRTELIDYLADGSKQVTPEIQNQMGLHPDLNALLRDCWSDNPEIRPSIRRVRLNTEMVLKTKGSLVDQMMKMMEQYANNLEKLVAERTGMLEEANVRADQLLTQLLPAYVANELKMGRSVPPKLYTSATILFSDIVGFTTICSGSSPIEVVTMLNGLYTGFDECITRNKSYKVETIGDAYMVVSGIPEENGNEHSKNIANTALDMRQYLTGYQIPHRQSHRVRCRWGFHTGSVAAGVVGLTSPRYCLFGDTVNVASRMESTGTPGMIQMSEDAHSHIRSYHPVFSCTKRGEVQVKGKGTCSTFWLEDRVGDKSTTNYINNAEGV >CRE10319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1180774:1182841:-1 gene:WBGene00062697 transcript:CRE10319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10319 MLKIMIVSHFYVIINNEFESQFVINVTFFRFKMTSMDLIGRESGKDKTLIYLLSQTAIKNKIKCHTNIIKNRKQHQETTLQDHFNIMILSLSLFILGFIGLSETATCRYPHEKFIGKRCYSFVSKRHPYAAAEEYCHSHGYALATVDTAITANFLASTAATEFGSNNGQFWIGLSRKKDYSLFYWDDGTNVAYTNFEAGFPNTKDYVAENVRNGRWQTLAEHKELEFVCSYDPLSTTTPAPTTTPTTVKTTTVTTTTTVKKTTATTMTTPKPTTTVSTTTTTTVPPTTTAKACPRGFTLFEPTQKCYIVLVYGNDSDYPVVPDDAPFLTKENQRCAKYGATVATVHSSGLNDILRSLIYERFNDTRYATIGLRNIDMKTIGGKWAWFDGSATDYLNFGNMFPKTGDFIAQTSSQGFWVTYTHTAPNEGVVCSVDF >CRE10146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1179191:1180710:1 gene:WBGene00062698 transcript:CRE10146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-187 description:CRE-CLEC-187 protein [Source:UniProtKB/TrEMBL;Acc:E3M6H1] MNLLFLIASLFSSFLIVNSTVTCNYGDTEYDGYCYTFVDQQLQFPDAQAYCVSLGGVLVRFRGNADGRWLTSTAATKFHATYGNFWIGLHYVKNENNENKTLIWDDGHDVNYTNWSSGSPFSGYDYVGARLADTKWVSLLAETPLPFICYYQKGQNRNQPTTPVPSGKQSLSQSYLFEFEFSELPTGICDGAELLLGHRCFFFNPTLLNYDLAKQECEKARKTLAIFDDFSQINFVTSTAISKFSMTYGSFWISLRKNSNDENDKKFYWADGSVNTLNNWTPGYPFQNQFVVSLQVSNSKWKTSDNSTYMPSVCSGYVQ >CRE17697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1584:3549:4399:1 gene:WBGene00062699 transcript:CRE17697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17697 MTAMTSFIFRIDTTNMEPYFLMDPSFRVDLQANEAGEFGMRIAWYKVNPTYPTSWQVHPNSTPLTLFAGDFDNSTVIEAETRVNLLALPSTLSITDLNPYLRNTQVFDGPSINSTHVGNLHQVLRNGQRYISTGKYLTLWSLFAGLNNVGNSVILQDYFDVKDFKTYKPISCIFDFIVCDVSLDARQGTAAAIRYNPSYFFVRDISMPDTNKLSVYTDFVTDAHRLSDYT >CRE10144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1170170:1174657:1 gene:WBGene00062700 transcript:CRE10144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10144 MKFPFCILLVSIGYVTSSGYTCQGPIKLSPPNDLTQLVNWPSIWNDSMPPIPFASQQSCSWEISVPDGMYASVVFYKNAPSAVSISVVYPNGYASGLNNNDLYPYIFTSPKVKINLGKSKYEGSFSFNVLWSKYDPSITHDNIHLIKGEKPSAMIPNSHLTTFTAQTTVSIVGFSLKNQSEYVLLRQSAVFDGESTSAPFLGTLYSIMMSGKEKVSSGKYLTVYTWGLNNQFDYTLYMVQDRTSEQIMNSDLESLNALSSDEQDFFTYRGVNCEDGFDCKFQILANYGTAVLVTSGSQAEYIKNIEVFPDKSTLKVYEGAISDATLVTTLTKTNYKNRLPMDLKNTIRQYVLDTGTVTGFTITHDTSSADWNVMYDGRKGFIHSAFHGVVSDQQETDESFITDKKKQLYFNYIVRDADFTGDKTSLTVKVWSNGNQVTSDVYNSTNLPLVTTKSVLGDMYEIIYSTQGTSTKGFRIDFSSTSTVYVAGTSTSSSKPTVSTPGKHETTSFSSTNGNSATTATKISSQNSTQTTSSYIASSTTPDSLKTTTKTVCKGEHLVPAPADITTAWYYPDTWRESDQPLRYAANQTCVWKINVPKGFFAFLTISAATNSTKLTVTDSVGNEDWVLPIVDQDPYFLLDPQFTVFLNSSEEGTFGMKVIWKRIGTLNPVSEQVLPNVVPTVKTSIDCDNGLIINSNTKVSLTSVRPPIYTPEVIMYMRNTVVFDGQSINDKLIKNLWQVYQSGNHIVSSGKYLTLYSFMPGIKSDSYALIQDYQSEFLQCREILYVAFSDVKQFGNYQGVSCFNPDLCPVILDARNGPGAAVRMSTSPHFLEEADLYNFSNMAVFTGIVDQSHKVAEYTNEHKLSVAQKFDGLFTTYVIDKAMGIIYLSNDNLDSNWTSIINGRTGIIASKNYGTLSKDQNTLETFTSPSKIVYDMSLVFKKWDLQGDATLTITSSAGGKVISSSTYNSTHLPPDVKFTFGDKLVIKYDSNGAETIGVLINFSFDLGQTTAIGSTSTDIPTRTSRAQPTTPVTTMTAVTHFTITEISTSGATDMPGGTTTTAKSLPIVPTTIVGINTGGTTQRFETTTKSGTNHSLFFPISLLFISMFL >CRE10143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1167237:1169551:1 gene:WBGene00062701 transcript:CRE10143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10143 MLSLLFLVSTIIVSASADGYNCAGNYLINPPLDLNEPYYYPEGWREGMEPAKYAGSQMCNWQINVPEGLYATVTFYKNTESESGINCVYPNGQQEYIEDKELNPYIFTTPQFQVNLRVSDKQGEFSFKVVWSTYPPACKINNELTDSGALSAVPSDCVSTYTSPNKVMLIGFTLKDDLDVLLRQSAVYEGDSVNGKYLGNLYYARYQQIMSSTNKLTIYTFGLDKVFNYTLYMGMDSHAVGDVQQFTGMNCPSNPAKDCFITLNAYYNITAIATIGRQPDFLKQFFQFPRESTLKIYEEKISDSNLLATINQSNYLNQFPMEVKTSLKIYHLDTGRLSLPVAKNADDAQYSTVYDGRYVNIHSFDYRRTSYTQDTLETFRTEPNQKMYFKFNVKYFDVNGPTTLDIKITRDGAVVYSDSFTGSHLPPANTLKVFGDNMSVNYQTYGNYTKGFEVDLLTTKNDDVSSPSSPSPSSTLSTVPPSSTTSISTEIVTMSTRTSSSSPPPTSYSSTTSATAKTSLTTSRTSGAQPTTPVTTMTALTHFTIAETPTTGPTDMPGGTTTTAKSPPFVSTTTVGTITGGSTGSTSAITRGTTQRYETTTKSGTNQLDLVPLILVFISILL >CRE10142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1165068:1166431:1 gene:WBGene00062702 transcript:CRE10142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10142 MNYFSALVTIALLISTVSSAGNVCKTGNVLNRPVSDQPVYWPATWRENQSAPALEAEQSCSWIVTVPSGYYAKLIISGKMNDNISHFQTIDSAGNFVQTTHEGEQPYYFPSPKFTLAMSNEKAATFGFKIVWGKYPSGLKYDMGVSRSPVVVNITKDIFAAEYSGTSGISLFAFPANPKNYFSLRSTLVFEGKDFNGRYISNLYSLYQSRKQFLSSGLVYIVNLEASNVLDQLLIQDSAYTNNLQQYSELDCPINSTCSVTINGGDKASALVTVGEKHQVLLDLVMQVSAWVTVYYGSINNFGYYKSYAGESILSNLPMTFDSDVVHYVISHGKASFTFQMNP >CRE10141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1162767:1163932:1 gene:WBGene00062703 transcript:CRE10141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10141 MIRSIILVLASFVLATSCYECQNGTTVINPPSDLTQPTYFPSGWTEDQPLPQMDSDQSCFLNVNVPSGYYASVTFHKHMDLPGGYVYYSNRKISILENDDFNPFFFTKPYFKVSVGTNTSPGLSGFAFKIVWIPIPDVQRKVIEVTKGQPPVAVSPSTDFITFRGDSSSMLSLIGFSLKDPSTNYLLRQTALFGGDTFDDDYIGTLDQIVNSQQILTTYGSKISVYTFGLNTLIDYPLFMAQNNLDAKGYYIYKGVNCPSTGNCSVLLNGNYGNSLTVTDFNGSEYIKEFNTFPDTATINVYENSVSSTTRIASLTVDNYQQQLPLEVKGTMKFYELVGYGKYEMVVTRDVSRAARL >CRE10140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1160026:1162058:1 gene:WBGene00062704 transcript:CRE10140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10140 MLIFLIACFIVSTVSTYSYEPEDNGNVQCDRTTDIPNFQNSEPYYFPSFWNENISAPIIARSQHCCWTAYVPVGYYAFFAMNASIGGDSLLNITDYNGKSEIVMSSDLQPYIFVGKFTIDLTVGSAQNATSFGFRVQWSKIPDPKTAQYSINKTSDALYFDEMLDENSVTVTAETRVSLTAFPSDYPDLTPLLRLTLVFDGPNITSPYIGTLFQAVRCNKPMVSQSNQMTIFTFEDDYIVGSYFVIQDYYNVQKLTQVKGITCWSQSSCPVTLNAANGPVSAMTLNFDDGDEFVKRLDLSPDAILKIYIGARREDDDSNAIASYDLQQSKTSIPQKFNGQVMTYYLENGTALVELAEDSNYSRIGTARWNDATVGRDGFITSRQLGSLFYYQDIYEIIGGLESNQTFLFKINVTYVDVSNDAELTIGFGTGSGVKEYKFSNETSQPSFSFSEIASNMTVTYFTNETLTKGFYLDFKIESAISSSFYASTFWAFLTVLIFFLMQ >CRE13171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig740:13967:14640:-1 gene:WBGene00062705 transcript:CRE13171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13171 MWILFIFLLGVSSATIQKSFYECPEHQTITPKYPINIDTIYTFPTKAFTQFPSNSACAWLINIPCNYTVIVQLKATIPDGGHVTVTQTPHANQTEKFIKDMEVTRFFYPPSFDIFWYPGPSDDGNLKFSLQFQPIDNDDT >CRE10318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1125132:1145201:-1 gene:WBGene00062706 transcript:CRE10318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mbk-2 description:CRE-MBK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M6G1] MAALASFTRNSRSYGQQPIDVTQQGQRDRSVMSLDAQGRSVSHECPTSTTLVRQLYLPQIPQSASFAAAPTSFSGASSSSSNHHHPVYHSQNSLPPTLIGGSPHSASSNSLVQGHRNPALGSGNTLTRSYHQPSSTNSSTNNLYGPLGSISRDLKQAIRDISPPVINSSANPHLVNYIQTSSFDNGSYEFPSGQAQQQRRLGGSQQHLAPLQQTSSSLYSNPQSSSSQLLGQQAVRPNYAYQQSLPRQQHINSHQTQAFFGTVRAAPVNSTNIVTPLRASKTMIDVLAPVRDSVAAQATTGALPSVGTSSSNGSSNSSSGVGSGGSGSLMTQSIGGPNKHLSASHSTLNTASTHDSMMHAKIPKSPSNESLSRSHTSSSGGSQGGHNSNSGSNSGFRPEDAVQTFGAKLVPYEKNEIYNYTRVFFVGSHAKKQPGVIGGANNGGYDDENGSYQLVVHDHIAYRYEVLKVIGKGSFGQVIKAFDHKYQQYVALKLVRNEKRFHRQADEEIRILDHLRRQDADGTHNIIHMLDYFNFRNHKCITFELLSINLYELIKRNKFQGFSLMLVRKFAYSMLLCLDLLQKNRLIHCDLKPENVLLKQQGRSGIKVIDFGSSCFDDQRIYTYIQSRFYRAPEVILGTKYGMPIDMWSLGCILAELLTGYPLLPGEDENDQLALIIELLGMPPPKSLESAKRARTFITSKGYPRYCTATSMPDGSVVLAGARSKRGKMRGPPASRSWSTALKNMGDELFVDFLKRCLDWDPETRLTPAQALKHKWLRRRLPNPPRDGMDSMGGLADHEKKTETCYKELANIDSNANILMRKKF >CRE10134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1114308:1115824:1 gene:WBGene00062708 transcript:CRE10134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10134 MVHINLTRLAHDCELHMSEQEVDKIERRHARLDKSTDSILLMTPIFSIISFVFSLTLLAAILYALLGRKIPSRKYSIIVSRTVADIFSSVLIAAASLFANSYSASYMVLALFLYICTFGVIQLTSSHIAVIILRHISVTRPYGFQSICSIRRLSLVVGFTWCLSIMYAASYAPMTTVIVDASKEDRVCPFHSCQRPLIITAIAIIVVSMFTVICSYGIVVAKMAQIAHSEKMHNEPEMTRKRMHKFFKFGGHLALYTLIVSLIFVGSLFILHNAEDYHQVNRMIAINCDVYDYLNIKLRLETIAGGAVLLWCVRIIFDVVFSYLSEIRLLPWIRLDNLQALDSNRIVSVRHGLGWQKGCVNCPVFNIENRLHALDFKN >CRE10315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1110120:1112101:-1 gene:WBGene00062709 transcript:CRE10315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-amt-2 description:CRE-AMT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M6F5] MMNHSQPTVRMKPDKQLDCNLSQDDGVWMMASSFIIFTMTAGFGLLESGRVSSKDEVNCMVKNVFDVIFGGEFLFDWNQKRAIEIEFVGLAYWMFGYGLTFGDSKHQLGRFFGFGDFFFDPERVSDDDSTDEKGISYSLFIFQMSFATTTSTIVSAGMSERIHLKSHCFISFAITLVHSIAGHWVWDQEGIFRTMGVVDSAGCSAVHLVGGISGLVATLYLTPRRNRFAKNGLRTVSDPTKAILGFLMIWWGWLAFNTSSNYAVTHGQWTEGMRSAVGTILASAGGGLILFRNIQIEKKQFSGVVTVVITRYATKKIQMDMLIDGMLASLVASTGGCLYFTPWQATLVGAIGSSLALAAYPVTEWLKIDDPVGVFPVHVVGSIWGMIAPAIFVYRRPMNFGPPECNFQTSDEANGLLYGGGFYLLFLQSFVIVVIATYSAICAFIILFIMHHSPIGLRVDKYQEELGADLIEHGLAGVNVMTYTLEKKLDTKTLSAVLMIIVRWRAKAKLGAMRRKQVHDSGLVTPKPTENVEMNVIHRRH >CRE07147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1294:343:1289:-1 gene:WBGene00062710 transcript:CRE07147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07147 MRSILLFPAVSSRQRHFQSFFPFALFSFFSLVFSSHSVVLYSMRTVLLLLFASAIGMFFLFYSTQTFLFLSCFLCEEFSEQGKLNLLNAHNEYRSKLALGQFSVRGVKKPAASRMRKMFSYSYFSKMEYFKGTQAPQKWWQEFETNGWDSLIYNHVAQRFQIGHAVQMAWHETSKVGCGYAKCGMGTPDQTLVVVCRYYKKGNMEGESIYEEGATCSKCPEEYQKCPFGLCEKEGVDTD >CRE29274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1239:644:1399:1 gene:WBGene00062711 transcript:CRE29274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29274 MNFYFRLFALVATVLSEFSETGKDFILTRHNELRSRIALGKYMTRNVTKEPATNMLKLNWNATLESSSQLFSSGCPAGHSKNRNNIGENMYWWTSPVITETDSDSLGNRSSNLWESEFQRYGWTENKLSQEVFNTGIGHSSQMSWATTSSIGCGVSKCTGGSIEGTEYVVVCQYYPAWVSESISLEFFFFRGNYIGLNIYESGETCSECPVGKKCESSTGLCI >CRE29275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1239:1916:2717:1 gene:WBGene00062712 transcript:CRE29275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29275 MNSLFIILTCMTGKSLSITFFLASLPGVYSQFTAIGQQEIVDAHNKLRSSLAKGTYVAKGAKQPSATNMKKMIWDATVATSAQNYANTCPTGHSKGSGYGENLYWSWTSGKPSALDTYGTVASAAWEKEFQDYGLNSLTMDNALFNSGIGHATQMAWANSNLIGCGVKNCGPDSTMNNMNRISVVCQYKAPGNYMGETIYQQGSTCSKCADSAKCDTASGLCA >CRE29276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1239:5057:7306:1 gene:WBGene00062713 transcript:CRE29276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29276 MFSRAQIACQLNLFSLPSFRTRVHPLKRNYRVGKLEVFLFSVSVKWLFSYLHFFPDCSDNDSSDNHAEVQEVAECPSVSSEKELTLKTAAVESGNLETVQPNEECSTNISKENEIASTSHNQSEENEATSNGATSINRKAETSMTEMLDEAEEEESCQPNQEDTKYAKKRQRTKSPETMCHGMSTVMTMQNDQGMSTVLTMQNDQGMSTIMTMQNDQEEQHDYMQEVEKENAVQKRGTLQPQQRQLARESRVSRLSVMSAASSCHDSINSAMEDMSLDQKYLEETMGDDVGRSQLFESRVDSRNVPTGMTIHNEDPSLLPFYLADGTFEVAPSTMGQLLHVAGQKEAKTWSSLPKTALDGRRVKKLGEGSYGEVFSTVWEGKPVAIKVVPFEADENNRLYTGEYHSERMQTADQILPELIVMKELNQLKNMTSLHSTPNFIELIAAEIVTGNYPKGLLKAWDTYTASVKESENTRPDIYSSNDQKFIVIVSANGGVALEDFVLKSENEMLSILHQLILSMLAAESLLEFEHRDLHLGNVLIDRCGVEELDYMIGGHKIPLKAHGVKVNIIDFTLSRISKGPTTVFLDLENDPGVFEGTGDPQFDVYRQMRANCNGNWIKFENRTNLMWIEYIAHCLIDTEICPEGMLTKKRKEVQYFQSKFKIIFPIQELRQLFKQLGQFESCQASLMDTDFYEKFYIGYFGDVAKPQEDIYSDSE >CRE10309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1098439:1099171:-1 gene:WBGene00062714 transcript:CRE10309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10309 MNTITLLLLATLQIVVYAQFRATTQDFIVQIHNTLRSKIALGTYVAKGTTKPAGTNMLKMKWDATLATSAQTYANKCPTGHSNTAGVGENLYYRWSSLPFSGLDVYGGAASVAWEQEFQTDGWTSNAFTQALFDTGIGHATQMAWANTGLIGCGVKNCGVDATQNNYNKVTVVCHYKTIGNVLGQDIYKSGTTCSACPTGTTCETATGLCA >CRE10306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1094028:1094753:-1 gene:WBGene00062716 transcript:CRE10306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scl-15 description:CRE-SCL-15 protein [Source:UniProtKB/TrEMBL;Acc:E3M6E6] MRTILFLTVLCVGAYAQFSKSEQNAIVDAHNKLRSSIAKGTYVAKGVKKPAGSNILKIKWDPTVAASAQAYANKCPTGHSKGSGYGENLYWRWSSAAPKNLDEFGTRASGAWAGEFEKYGWKTNKLDRALFGTGIGHATQMAWANTGGIGCGVKNCGKDKTKNNMITVVVVCQYKGPGNYMGQDIYASGKTCSACPGKTKCEKATGLCA >CRE10305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1091971:1092747:-1 gene:WBGene00062717 transcript:CRE10305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10305 MHSQFILASFVFVGINCQFSSNTQQFIVDIHNSMRSKVATGTYSVNGTVKPTCSNMRKMSWDSTLATSAQTYANTCPTTHSNSAGIGENLYMRTTSANIGGLDVYGGAASVAWEQEFQKFGWASNYFDMPLFNTGIGNATQMAWAKTNLVGCGVKNCGKDASGMNRVSVVCHYKPLGNYLDQVIYVSGFTCSQCPTGTACDQTTGLCA >CRE10304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1090831:1091551:-1 gene:WBGene00062718 transcript:CRE10304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10304 MQTLLILALVCVGAYAQFGGGGRDGILNGHNNLRSKIAKGQYVARGTRKPSGSNMLKMKWDGSLESSAQNYANSCPSGHSTISDIGENLYYYWSRDIGNLDRFGGMASAAWESEFQDYGWSTNKFTMSLANTGVGHATQMAWALTDKIGCGVKNCGPDGSKGGLTRVVVVCHYKIQGNYIFKSIYNEGSTCSSCPSGTSCEQSSGLCA >CRE10132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1081195:1082964:1 gene:WBGene00062719 transcript:CRE10132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10132 MSSVSPKQSEEGDEEKKTNTMENIKHIPFFFIFLCTLCMSTIYANTTVYQSTINFLHEFRNSSESDFYEEVDNQYDPEKQSSRDVIVKYVYYLSNPQKESLITVTSVGTVLAATPITYAYNNMGFRRSFVMCAFISIIPALFFPICVNAKTYLLAIILRILQGCSLAAFIPYICKLSVFIPFDHFSVPVIVFAYSHISEIFVHPIVSHMASSSLGWHSAHYGAVITIVIIFFVFVLIHFDEDFKERAGTIGFCNAMFEYERTRSRTFDLRIPYLSIYQDFRIWVIFFTSFAYGCALQLFYQFGPTFFHKVVGHSEISSAYFTIIAPILNLITSCSSVYLFEKLA >CRE10303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1083859:1085299:-1 gene:WBGene00062720 transcript:CRE10303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10303 MCITRNILFFTLLAGVHASTASDPIDSISSQGADILNRAKLTGQTIRQCSCTEQRVCVEEMKIQAKDCTVPCFQKFSSITSRPNDLKKCFDEKDNILEDFLTCFENRVEACVSDQHGPQIQKTDIRGIFKVTEKSIATQTNTFQTMIKPIKHILDATGDFALCVKDCFLEKNKNGFCFDRKGCQPLVAETKARQSFRACTKQMHWKREAGELCECSVNAGVDSRELRQYCAMFKLMGRRAPMRKSRG >CRE10133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1088196:1090730:1 gene:WBGene00062722 transcript:CRE10133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10133 MSSVSPKQSEEGDEGKKTNTMENIKHIPFFFIFLCTLCMSTIYANTTVYQSTINFLHEFRNSSESDFYEEVDNQYDPEKQTSRDVIVKYVYYLSNPQKESLITVTSVGTVLAATPITYAYNNMGFRRSFVMCAFISIIPALFFPICVNAKTYLLAIILRILQGCSLAAFIPYICKLSVFIPFDHFSVPVIVFAYSHISEIFVHPIVSHMASSSLGWHSAHYGAVITIVIIFFVFVLIHFDEDFKERAGTIGFCNAMFEYERTRSRTFDLRIPYLSIYQDFRIWVIFFTSFAYGCALQLFYQFGPTFFHKVVGHSEISSAYFTIIAPILNLITSCSSVYLFEKLANSEQNKMRFFNTISFGTCGIFLFALGFFDPEHHKYIVTVMYILASSLLGCSYAGHLKMNQLRSGHLHLFLLVNIFIVNSAAMFFSSLLNVLIAKNTDYSSWSTLFIVHAIFLIIANIIFFFFCSSERANWAKEGYEDSSIQPYRDEPLPQFPL >CRE10301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1072810:1074435:-1 gene:WBGene00062723 transcript:CRE10301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spin-2 MVNSQQDYISVTVLFVVNLLNYVDRYTVAGVLTQVQTYYNISDSLGGLIQTVFLISFMVFSPICGYLGDRFNRKWIMIVGVGIWLGAVLGSSFVPANHFWLFLVLRSFVGIGEASYSNVAPSLISDMFNGQKRSTVFMIFYFAIPVGSGLGFIVGSNVATLTGHWQWGIRVSAIAGFIVMIALVLFTYEPERGAADRAGGEAKNAVLTTNTTYFEDLVILMKTPTLIACTWGYTALVFVSGTLSWWEPTVIQHLTAWHQGLNDTKELDPADKDRVALYFGAITTAGGLIGVIFGSMLSKWLVTGYGPFKRFQTERAPPLVSGAGALLAAPLLLIGMIFGDNSLVLLYIMIFFGLTFLCFNWGLNIDMLTTVIHPNRRSTAFSYFVLVSHLFGDASGPYLIGLISDLIRHGSTLPKDQYHSLVTATYCCVALLLISAGLYFVSSLTLISDRRKFRMEMGLDDSQSKPIRTSTDSLERIGTNDEVASSRL >CRE10131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1071568:1072636:1 gene:WBGene00062724 transcript:CRE10131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-132 description:CRE-COL-132 protein [Source:UniProtKB/TrEMBL;Acc:E3M6D8] MSNNLICAAVSVSSILVVGYITAGLLIFADFRTFYSTALDDVAEFNRFATEAWDDMIEINRSVVRQKRSGESKCGCMNKVNNCPPGPIGPPGEPGAPGDDGWNGDAGQPGPDFPLFDQSLIYQRPPCIRCPAGEPGPPGPDGEQGEQGPPGPPGNDNYEVGKDGAPGVVGEPGDAGEPGENGEQGVDGTPGANGTREIGMPGAPGAPGLAGIEGLPGKDGEPGIPGPEGEEGPTGAPGVNIVIQDGITKISLFQDQKDHQDLLDQTQRTVVAPFVRLVFFSKLFVIFIYIMKLSMSKLNNQMTIVKESETNYDRN >CRE10130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1069880:1071354:1 gene:WBGene00062725 transcript:CRE10130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10130 MGLVDYAGSDSESDQEEETQQKVCPNCSVSEKIVFQTSAAPKKSGLLDEDSFFDNSGNKPLGSKCIEPLVPVNSSAADVYNAKNEDVLEEIVQPKDWEKKLAEKARRKLEKKALKKAQKEEKKKEKKSAKKAGKSNKIPKISSGVQKEKGKIVISAFGALAGIAGNNSSDDSDTDEKEVSPVKQKAGLGFLSNLPAPKGRDNRSDGANVFDKSLIPHSVTKKTPVSQPIFTPAPAPKIVEESDEEDDDSTDFFGFSSAPIRKEEISSEVPFMATNSSMDVVGPSRPTEEDEIDPSEMYQMPEEDGPQEGPSASNAWLHRKITDEQAHKLLMRFSHDIGSEERRSINEMANSIVDVNVDDALGPDVKTNIIKNLGHRAFVEATSAPIPQVQTQGQTSRRKHQITYLASLVTIFYLSIAVAREEQLKDQWAEQKQSKRMARQKYGF >CRE10300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1068164:1069659:-1 gene:WBGene00062726 transcript:CRE10300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10300 MPAKSRVLAEEDVRKMTCDKLRKELKSKRLSVVGKKSDLQSRLVEYLNNQTDEQQNAVINEEPEEDHHQQDEVANIDEPMETEDTETPFNRTFTVEEAGDETQNRSIHERTPTNAVGLVLDEDAKHKMCADLGIDLQNFEVKSRPRTHRASSRKSLRAATTSGQSSEQLEEPIENREATPIRQREASTGRDRFALLHAKEMEKEETLGERRERMQKRHEDLTTNVPDAFKRLATPKSVKKREPLDRSANRSAGRNWMVQNPAQMTFKFGEDEVSDFASVANSRKETGECSSSSTANVTKKPASRARARVDVKQLTRIPGPSRNAITPRRGTSKNIISSTVPEDDTFTDYISTPKGSTPKRVPKRGGYTPHTSKKVFVDTTQLTDREYTLAMEEGLIPGRPTTMSKLESRQLENKKRRDDIIALKRKMNIK >CRE10299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1066704:1067898:-1 gene:WBGene00062727 transcript:CRE10299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rfc-3 description:CRE-RFC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M6D5] MALWVDKYRPKELIGKDGVDFHLEQANHLKFLSGDCMPHLLFCGPSGAGKKTRIKCLLRELYGVGVDKTQLIMKPFTTPSNRKLEIQTVSSNYHVEMTPSDVGIYDRVVVQDLVKEMAQTSQIEASSQKSFKVVVLCEADSLTRDAQHGLRRTMEKYANNCKIILCCESLSRIIEPLQSRCIIINVPAPTDEEMTSVLMKVIQKEKLEMPQNILQKIVEKSEGNLRRAILMTEAIKMENENGISTNAQIPVPEWEIYLQETARLILQKQTSEVLLKVRERLYEVLSRLIPPNIILKVRIVITASLKSKFNFQKLLEHLLPSCPESIVREVVSEAACSEHRLVMGQKAIYHLEKFVSSFMDIYLTNASKPK >CRE10129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1064778:1066449:1 gene:WBGene00062728 transcript:CRE10129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dli-1 description:CRE-DLI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6D4] MSPTAQPQELDDTANAVLPVLYSSTDDEVGIWREVLASKKTNTNQGSTVIVLGDNRCGKSSLLARLDKSDRTVKPKSLLGYRVLHVQNDARDASYAYQLGTAGANLNPSELLQIPVWSLDSNESCASLLKYALPATPSEVIFILSASIENPNLIHSLKRWANVCTEQAQKHFSKEDLKAGRNQQERMWQEYVDPVQSQMSTSVVGNFADEHSLLPLDQGTLTENCGVTFMVVITKSDLGKEFTDSQFAKINIQLRKLCLSLGATLIFTSTKESKNIQLLQKYIVHRSFGTAFTSAAQVIERESIFVPAGWDGEKKIDIIRESIPDADSALEPTRDKLRPVAKEQLIEAEEDQAFLKRLADTLQTATTSSGPKQRTMQEEPADKDSPLANFFSNLLKDKPNKPNAPATSTQPMDSAATQAQLDRLLKSAQSSKAQPPRDSDA >CRE10298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1063203:1064486:-1 gene:WBGene00062729 transcript:CRE10298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tin-9.2 description:CRE-TIN-9.2 protein [Source:UniProtKB/TrEMBL;Acc:E3M6D3] MNSIQNIQQVLNLQIRLFIPRFQLREFLTVYNTLSERCFNACARDYTTSTLTKDEGSCVSQCIDKQMLVNRRFMLVFAEQAPKALFKQGEQSPTEAIKSAKPEQTPASQTTETPTTMSIISEHGFRIDGRRPAQIRNINTRLGLNRNAEGSCYLEHGNTKVLCAVYGPYEGKASKRLEDRCAIVCQYSTTTFSGLERKNRPRGDRKSTEISRLLEKAFESVILTESFPRSQIDIFCEVIQGDGSNLAACVNATSLALADAGIPMKGIASAATCGIVDAKPIVDLTSREETDLLPRVTVSGFSSIILLLHSKFQLATICGRDEVILVELQNRLHIDHLSVVMDAAKATCADVYECLAVVAQQHLKACAPILGH >CRE10297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1060852:1063009:-1 gene:WBGene00062730 transcript:CRE10297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10297 MWSRGLTFVRNSLANQSCRFSVAASGSTTEIDDFLHSINTTTVQVPSKNTRTLETATEFFRWLDQNPVKSINHFINAAQMSITVRADDQKKVFAMVEKMANNILPTCYDKFGVLEIVDSLRFLLQINPKSKVVNDVQKLFLEKGITSADLQDVPFNTIVMFIRYSDSSVNEKILNILADALIRRIEKELGNSSDLLAILAGKGYEKSKWFHNAKFVAAAEKLVRVMGTPEKCALLKIMGNHKQRNKLLLNTIVNSISYSNECLSVPQIVSVTSSCSSLTFYPPKIARKISEDLEKNSNVLSAWDDIVAIADAFVRMRMGDEKSWSLLVRWAAENVKQANVGQLGRFVSGLARVGEPSGKPLAAALKPLLAREKTSTPTSWLNIVYSLAYFHELDAKHADSVLNQSFVDQIMNSTMEIHDRLRKAITLLMISSAAKIDMQGEYKGPTVKKETFARFGINFDAKTIRNARQLKYSSDNKECDEIFLKTLFKIAPQDTHCQLPNVEECGAFVDVYVMPDPETELLVSTTQWGSRKPRPIFFYGWMQTKQNTEMESSELKILGQEQLGLRLIRANGYDPVVVFKSEFDYCATDIEKVNMLRSKIHKTF >CRE10295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1058580:1059123:-1 gene:WBGene00062731 transcript:CRE10295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10295 MSRAEQFPEAIQKFIVRGAPPTMIYIPNWIDEDEENLYKSCIENAPQPKWRVLANRRLQNYGGVVGKSALIPTDDFPVELKYLMTKINDLGIFKNPVNHVLVNEYEPGQGIMPHTDGPAFHRIVTTLTIGSHCFLDMYEPVDPQVELNSKFLFHRNNTQKITHYP >CRE10127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1057062:1058129:1 gene:WBGene00062732 transcript:CRE10127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-2 MTINYNKEIMTSHPWTFFLLLFKWKGSIWKAVYMETIIFLICYGIISVIYKTAMGESSQRVFESVVRYFDKRLSYIPLEFVLGFFVTTVVNRWTKLYQTIGFIDNVGLMANLYVRGATEKARIYRRNIMRYCELVQVLVFRDMSMRTRRRFPTMETIVAAGFMNKHELELYNSYDTKYNSKLGTKYWIPANWALCMTYKARKDGYIESDYFKAQMEAEIRTWRTNIEWVCNYDWVPLPLMYPQLVCLAVNLYFLVSIVARQLVVEKHKIVDEVDVYFPVMTFLQFIFYMGWLKVIDVMLNPFGEDDDDFETNALIDRNITVSDG >CRE10293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1050146:1053130:-1 gene:WBGene00062733 transcript:CRE10293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-30 description:CRE-UNC-30 protein [Source:UniProtKB/TrEMBL;Acc:E3M6C6] MDDNTATLTALHQQQQQSHNRFSNSLLCVGSLDHQSLLPEQTLSSSLAPLSHNPYAFNYSLPLPPSDLASKLPKLELISLDVKQEHSDDHNDSTSPADSSGNGSTSGGKIQKPRRQRTHFTSHQLTELENWFARNRYPDMACREEIAVWISLTEPRVRVWFKNRRAKWRKRERNYVIDNGQGTSKASGQGLVSTQSLDPLGSLQNTFPPNLLQGSSQIDESAVASSSFYGYGGTWQQNPYYSRNNQFNWQIKPQEQFQFQAIPISPSTATNRFSNSVSTGLTPLPAAQVAFSSSSNDKLKLMDGLSNSLSTSIGQSYQTCQYSGPL >CRE10292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1044591:1046143:-1 gene:WBGene00062734 transcript:CRE10292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10292 MDQAWLEIIDRLSVEDAIRIRRTCTKIDNLVSKSLKSKKHLDIELDCPSAIRNSNAIASVIAQCSYNLQSLDLKIRPANAKYAIVSSDVEIRRRIMQSINENSKKLKRLHIDRCRISAGAIGSFGDLPDTIEEISITNSMIECSEWDVATIIRKSFATLFQKCTKLKYFEISGRSLNNSHFHVDPSILQFISNSIEHLAIAVGNSLRIDNLAFLKDKKLKTLILQRSFISPCDLEHIVSMSDSITHLDLSYSPNLLDCQKIAKLKNLKHLSLINNRDGLRDDALSFIIKECSEIEELSLDNCESLTIKSMIFLGNLKNLTKLSLSGVINVNDAVCQQISNCSKLKFLDINYCLKIQTRGIQCLLSCLTSLIHLEVLGIRAYSHQLLTQLAYYPKSIVSDSVHSFTFSIPPIPPSSLVK >CRE10126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1043296:1044370:1 gene:WBGene00062735 transcript:CRE10126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10126 MTKCLLTFLLLIPYTTSNGNFSLSSNQKCKSLLDCPKGFANCSKVADLDDKRCIKDVREICLGGIPRNPVKSCNRSRDCYGKSMNSGGYIRWCDMGTHFCCKVLSNSTEELMCPDRVTPLYGQDKCQDANETMIYSGRSRQNGGFCYKGYSCPPKITRPNDLTFGSRTFQTNMDCNANEEVDQKFDFMFCHNDTGNLWVMGQYNVNGDEVIKHWTHCNTNNDCGEGLVCVKEDLCRYRCYDDPTLAVNYGSIVAQILAMFFVPIIFLSALVVLTVKYLD >CRE10291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1038502:1039450:-1 gene:WBGene00062736 transcript:CRE10291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10291 MLTPPTSHLFVRSYFLICLPLIFSFSHSFSFSFQLIFAILLGKMSTRWFVFIALLAVLLSSHTSEAMPRPGPMSLSNTRNCFFSPMGCVFVPKMSRIRKLSADFRNEIPPPDYI >CRE10125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1036040:1037996:1 gene:WBGene00062737 transcript:CRE10125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10125 MSRILFLVAVSIAIASQKEIAIKNEKCRTCNFLVSTFDEGLKKTARHHFAGGDTAWEEKNLGKYKTSETRLIEVLEGVCKKSSLPNIDKFTGISELEFKCATQLERHEETIEEFYYNQQHNNMSIWLCVDELKLCCPHGHFGKNCEKCPGLSDGADACFGKGSCHGDGSREGSGKCKCEAGYTGNLCRHCDNEYFEESRTEQSVTCKKCHEGCLGICSSDSPKGCSKCRHGWVMTEGEGCTDVNECENESACTKDHEVCVNTVGSYRCDCKEGYKKDDAYNCQFDVEASPDRPFMPIDQQLKMIAFSSLVIIITFVVWHGSLVLYVLTGIAIVALILVDLYVNPDTIPDEAKRFLGL >CRE10290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1031428:1033197:-1 gene:WBGene00062739 transcript:CRE10290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-14 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3M6C1] MRLLYSFLLFFACFVSALSVGVLPIPNEHATNIKAKFDDYAEHYLLPEDFHNAETAPVKKPTDAEIESMQNSLLFEGDIMGVPEIEKSDILKRLRDDPLIDDDEIFRKPFKKNILQFHSALNLVTYPDKLWPEGQVPYMLEEGMTNDQRTAIAQAFDEYKTKTCVRFVPKTDDDFDYIYVKRNVAFGCSSYVGRAGGNQTVSLEVDKCFSKGIIAHELMHALGFFHEHSRTDRDDFVDINEDNIRPGMMRNFEKYPRKIIDSLGMPYDYESVMHYHKLAFSRNGKPTIVPKDNEADVGQRYKLSEMDSKKVNKLYQCGEYSKTSSTTTTTTTTTTSTTTTTEEPTTTTKEVEENSKNKKTSSTTTTTKKPTTTPSTTTTTTTPKPVERSRNKKCEDLNAHCGMWEQLGHCQHSVKYMAHYCRKACGLCEVEVTTTTTTTAKPLPRGKEKENKSSTSSSATSSTPRTTVTTTTQPKEKCEDKNLFCSYWAKIGECNSESKFMKIFCKASCGKC >CRE10124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1027995:1031133:1 gene:WBGene00062740 transcript:CRE10124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lev-1 description:CRE-LEV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6C0] MPSGGGGGRWKTLIFVVFLTVCLPTKIIGEDIDAEDRLMVDLFRGYNSLVQPVRNRSELPMIVKIGMQLVLLINVDEKEQVMHTNVWLTMKWDDFQLKWDPRDYANITQIRVAPEKVWLPDIVLFNNADGNYEVSFMCNVLILSTGTVLWVPPAIYKSSCIIDVEFFPFDDQLCSLTFGSWTYNRDEIKLDFLTADRVDFSEYSTSSIWDMMDGPAVLTSDRSRIEFQIRIRRKTLFYTVVLILPTVLMAFLNVTVFYLPTASGEKMGLTMNVLLSIVVFLLLVSKILPPTSSSIPLVAKYLLLTFVLNIITIMVTTIICNIYFRSPITHRLPPWVRKVFLDWLPLLMCMQRPHRKNVIQKSHRKLLETGPSVEENPMRSGEHHPLCRHTHNPDSCRKVRIQSDELDDELSPEAQRAIDAIEFITENRRDEEITKQFRDDWKFIASVVDRFLLYGFFGATVGGTIGIVFSAPSVFETFDEHAQLAKLKQLYDMGLANDTVLGLF >CRE10123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1024003:1026790:1 gene:WBGene00062743 transcript:CRE10123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-3 description:Cell death protein 3 Cell death protein 3 subunit 1 Cell death protein 3 subunit 2 [Source:UniProtKB/Swiss-Prot;Acc:P45436] MMRQDRRNLLERNILVFSNKLQSEQILEVLIAKQILNADNGDVINSCRTERDKRKEIVKAVQRRGDVAFDAFYDALRDTGHHELAAVLEPLARTIDFITPRDLECPMSPASHRRSRALSPSTFSSPTRVHRDSVSSVSSFTSTYQDVYTRARSTSRSSRPLHASDRHNYVSPSNSFQSQPSSANSSFTGCSSLGYSSSRTRSYSKASAHSQYIFHEEDMNYVDAPTIHRVFDEKTMYRNFSTPRGLCLIINNEHFEQMPTRNGTKADKDNISNLFRCMGYIVHCKDNLTGRAMMLTIRDFAKNETHGDSAILVILSHGEENVIIGVDDVSVNVHEIYDLLNAANAPRLANKPKLVFVQACRGERRDNGFPVLDSVDGVPALIRPRGWDKGDGPLFNFLGCVRPQAQQVWRKKPSQADILIAYATTAQYVSWRNSARGSWFIQAVCEVFSLHAKDMDVVELLTEVNKKVACGFQTSQGANILKQMPELTSRLLKKFYFWPEDRNRSSAV >CRE14438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2102:789:1625:1 gene:WBGene00062744 transcript:CRE14438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14438 MRLILLLVAVVLIAFIDGASGEEFELKVEAKEECDAGEKTDQNLKINGRQTIGNKKYRIVEAYIYWTNSNGKVIGSTACNVPGSKKCVALNPSPKNAARRYLVVAVTLNKSSFEDQNIHYQYFDELFQLSKIPDPKKLPTADIHNLNNFLYIANASYTTSAETLRLVIDNIQHLIHKFIRFTGINCRENRAKSLISGHGYFITEFGGKGAWDNLYFLPQNGQYITTPN >CRE10120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1018232:1020397:1 gene:WBGene00062745 transcript:CRE10120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cho-1 description:CRE-CHO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6B6] MADFLGIVAIVFFYVLILVVGIWAGRKSKSTKELESEVGAQTEEVMLAGRNIGTLVGIFTMTATWVGGAYINGTAEALYNGGLLGCQAPVGYAISLVMGGLLFAKKMREEGYITMLDPFQHKYGQRIGGLMYLPALLGETFWTAAILSALGATLSVILGIDMNASVTLSACIAVFYTFTGGYYAVAYTDVVQLFCIFVGLWVCVPAAMVHDGAKDISRNAGDWIGEIGGFKETSLWIDCMLLLVFGGIPWQVYFQRVLSSKTASGAQTLSFVAGVGCILMAIPPALIGAIARNTDWRMTDYSPWNNGTKVESIPPDKRNMVVPLVFQYLTPRWVAFIGLGAVSAAVMSSADSSVLSAASMFAHNIWKLTIRPHASEKEVIIVMRIAIICVGIMATIMALTIQSIYGLWYLCADLVYVILFPQLLCVVYMPRSNTYGSLAGYLVGLVLRLIGGEPLVSLPAFFHYPMYTDGVQYFPFRTTAMLSSMATIYFVSVQSEKLFKSGRLSPEWDVMGCVVNIPIDHVPLPSDVSFAVSSEALNIKTPNGTPAPPPHPNLQPSDENTLLHPYSDQHYYSTSSN >CRE10289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1012942:1014046:-1 gene:WBGene00062746 transcript:CRE10289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10289 MIYISGFLLIIRFSILFCLDVDVISIENPIFNAKHCDQVQIREGQNYDPLIDHLIEDECKYPVRQNTLERNFNEIMYGNRKNESVHERIRMIVEQGFIDWEKFKNDHLEVPKEFSMFDGYLFVEDINPMCSKDESLFLRICFSKNPTRRFGKPDVFPTSDGTLFQFIVEDRLLSTSNCVISKTFGRCTSKPMSIVFKLQQKRESSSETSPTTSHAPWTLIGMIFALFIFAFLISICIFLYYKSRNRENVEETDIHVEDHQLKNSLPKQELHLDFDFIDDSITFDI >CRE10119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1011317:1012844:1 gene:WBGene00062747 transcript:CRE10119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10119 MEGVLMVSDLSWIQRGVAKEIPDKIKLNDEELKKLIEGAIPESTDNDTDGEDGEEESDHEKPKGIRGKDVEMKDEESEFEKKYMQGYNEKETDEEAGEDGMKGIAMYSTNKEDPYVTEQVDSDEEEEKEEIMVRKDDNMVAVAKIDKGDYTLECYVYNEADSDWFCHHDYILDAPPLCIEPVQHDPGNEETGKGNLIAVGTMNSEIHIWDLDIMNTASPFLTLGKKEKKSKGGRKKRDNSAQGHTDAVISLAWNKLTPHVLASGGADKTVVLWDLDEAKPAQIIPDRGGEVQTVRWHPNESTFLLLGTMKGHVQVVDCRDTTGNASAAWKFDGQIEKVLWNHFNPFTVFVSSDDGRLRHLDLRKPGECIWEGVAHDGPIGGLTMSAITRGLLVTVGEDEMMNVWKVEDSNGCIEKVHSEKLTIGELHCAQFNPDVAAVLSVGGTTADLIRVIDLTKYEPVVKAFSE >CRE10118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1009349:1011198:1 gene:WBGene00062748 transcript:CRE10118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10118 MATCESMCPSTEVKFRSRNGLINQLEATASSRNGPRYKYVADPQKMVKEYSRSAADTHKYNKPDLLRPFPVLMRTVDYLLELYYALGNRRQEASSNQFSSIFSFVSDRLRSVRQDMVMQNLDGKSTVILMEKMLPFYIETDGLCKMMVVPSYNPKLHDFQLEECFGRWHDEIKSSGDITPNSLISAAFFFRQLHRKPTLLHELFIFRPKLSQDTFNLIRSICSSFYSNNYYRFFCQFKSLDSLLRYSLSDSVFTLRQSAMRIISVAFRTSVARLPSKLLADWLGFPVNIDIFNVFLHLYNVIPDDQGNILVSAIKLVEIPYENLPSRQY >CRE10117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1007948:1009165:1 gene:WBGene00062749 transcript:CRE10117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-12 description:CRE-RPL-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M6B2] MPPKFDPTEIKIVYLRCVGGEVGATSALAPKVGPLGLSPKKIGEDIAKATQDWKGLKVTCKLTIQNRVAKIDVVPSAASLIVKELKEPPRDRKKVKNVKHNGDLTIDTIIKIARIMRPRSMAKKLEGTVKEILGTAQSVGCTIDGQHPHDIIESIANGEVEIPAQ >CRE10112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:982325:984926:1 gene:WBGene00062751 transcript:CRE10112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10112 MVNLIGWFPDSHNADPGTSYLNNFIAPFGPIKKKEKDYPAARSGHRCFTDNDYLYVIGGFTHQFRNGSIFKEFWSMSLATFEWRRYEVIGDFPETLASFSIVQMFPFSKTFILFGGSGTDFGQTSSNSFYFIRINNDNCSIESQKIDVQGTIPPPVYGHAMCAGEIPGKFYIIGGTEGILYNFDVHALTMRANSEAKNDNEKFSWHCELVTKNLNFAGRYRMEATYDEVKNVLIFFGGGNNEEVIGFNKMVVLDVQSRVCSEVSTHPDSVNGFPLPRRCHTIVRHGRKVIMTGGINHTTNVNEIPLHSDVWIFNMENYSWTKYNHSLPKSVFFHSSAITEDGWMLIFGGAHGIATSSPRNNRLLGAWFGVPRLQRFALETLRKEYPNMFIGLHCGNLRLSGVFEVFNVFCKKGRVEEKEREIIEKGTIKFREKENRSRYYLNANAQEFAVRHQADIQVLGPRPQRRRRPPRQPPQVNDPIQNLQNVQQQVRRLLEQLFEHRFNNDENEEDDDSDLEVEAGDHENDPDAPFDEAAEDLENQLLELLQRPHRNRNPE >CRE10282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:980104:982149:-1 gene:WBGene00062752 transcript:CRE10282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10282 MLRLQFSRSIQKKIAKQFVIVRSCASSPTKTNESAKQQDSLKNIDPGENYTALGNLRQKKDVFHYTDRASGTGYSHYSNSVYQHRPYIWPPLRKLYNWNYALVIAGMVILMSDFEWLKEQIKGASAPFRPQASQIEEQKESISEIEVVKEKPKKKKLGFRERRIIEYEDRLRLYSTPDKIFRYFATLKIIDPNDESGRIFEVFMTPEDFLRSFTPGVMQPRRWGLDSFKAYNPEKHKRHKFSDPNSIFYKLGENGLINFSDYLFLMTLLSTSHADFALAFKIFDVDGNGALDKEEFTKVQQLIMSQTTVGQRHRDHVTPNSSFRVETNSALETYFFGKDGKGSLSSEKFIEFQERLQHDILKMEFERRDAMDSLDGLITEESFAQLLLLHAQIAEKKQKHMLKRVKRRFKGEESKGVSFEETKAFFEFLYHIDDVDIALHFHKMAGMSIDAKLLKRVAVKVTGIPLSDHVVDVVITLFDDNLDGKLSHEEMVAVMRRRMRRGLERPRDTGLFRLFDAVLECSKRAYHASPLPFY >CRE10281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:979423:979958:-1 gene:WBGene00062753 transcript:CRE10281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10281 MSLALRKTLGVARFSMRTASFQAVPTNAGKTPPTLEQFDPLNPGEWQLGAGGKILPRLPEGTKVGNLVMGKYGLYDPVLKKRVDTYANALLEGKKSEEAGPFDAAVSKIAKVLSYICFVIAIYNLISLVVGKPLPPLSHVKAPGS >CRE10280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:977801:979293:-1 gene:WBGene00062754 transcript:CRE10280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csn-6 description:CRE-CSN-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M698] MALNSPSGSCSKVLLHPLVIMQMSEHYSRTKVQQGADVKKVFGAVLGKQNGRQVEAVNSFVLKMESEDMSPSITFSAEHLLLRAEQYLEVFPELQVIGLYCAGEDDELTTEEKTILSKLTTAVRNAEKAGQIDATLFLKLNSLTAGSTRKLPLFAFETDVADAEKYRQIEWVLVSEESERVGVNHIAKLSTKHGKDETSVGKKHAEAQDAAMSMLQNRVDLIVAYLEKIQDGSLQPNFEILKEANLLAQKLKTIDRYATEFTDSFEKEEKTMTVFSLMPKLTTLLGNMQSVWAKLSAQRADLLSDDGFHGKSSGSRWAHPLRFKSQHMGRAQQADVSENFIMCIEKYKLQEDEYFDEEDMENEMSGPRRKIHAADSPAGFRRRRAPPRAMNVSRNAPNVLAPTGTDEMELSGQEENFGPSSRNYVPEAPRPSVLGNNESDESSQAS >CRE10279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:975178:977630:-1 gene:WBGene00062755 transcript:CRE10279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10279 MLRSVILRHSRTVTRNNWVASEWKRTFRSDKIEKQTGLFGNTELKTSESLNKLPAAVKSSTDSLIQELLSPSSNPRTSIEIVDDISNEICKSADLVSCMFQDSKLKFYLFQAECVRQLHCESEFREAAENASRKFCELVESLNTNTALYQKLKSSEVTEASRLDDVDRRTLTLLLDDFEQSGVHLEDDQKSKFVQLSSEIFEAGARFQENCDRIVPVGKFDQAKYGLPSQIYSPVSNSLDRSKRKMVYNTFYRHDDQQEVYLRKLVSSRQELAQLTGFESFAHRAQRNSLLENYDNVRNFLWGVVEECRSAFEKELAVLIDVSTQCSQQDRNGDNDVSTIAEHDLGFLMHLYRESAYDIAKVSHESSQFFTFSSVWKGFSTLTQRLYGVRLIEDPINHGEMWNTAGVLKLKALDDQNNELGIIYADVSIRPEKAVGDCHYTVRCSKQLSNGTWQMPILVLSLGLVDGHSTAWKDSGISFHSAETMFHELGHAMHSILGRTKYQHVAGTRCPQDFSEIPSNLMEYFFSDLGVMRDIIRRPNQPNEQLPIESAATLLASRHSFTAIETVQQAAYGLYDLEVHGPIAAPQIASGRMTTTELFYDIMNKAMPHVQRSPDSAFQHRFHHTVQYGAKYYSYLVARASACLIWQQRFQNEPFSRKWGGCWAEVQSHGGGHPPAILLEKILGFRPTSKDLTHALSKESQHLANLDAVTV >CRE10278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:971867:973310:-1 gene:WBGene00062756 transcript:CRE10278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10278 MVAHSSEGLSATAPVTGGDVLVDARVSLEEKPPRLDSTQQSTEEERVQLPTVDAFRRAIPPHCFERDLVKSIRYLVQDFAALAFLYFALPVFEYFGLFGYLAWNVLMGVFGFALFVVGHDCLHGSFSDNQTLNDIIGHIAFSPLFSPYFPWQKSHKLHHAFTNHIDKDHGHVWVQDKDYEKMPLWKKLFNPIPFSGWVKWFPVYTVFGYCDGSHFWPYSALFVRDSERVQCVVSAVCCVACASIALAIAGSYSNWFWYYWVPLSFFGCMLVIVTYLQHADEVAEVYEADEWSFVRGQTQTIDRLYGFGLDETMHHITDGHVAHHFFNKIPHYHLIEATDGIKKVLEPYFETQYGYKYQVNYDFFVRFLWYNIKLDYLVHKTKGILQFRTTLEEKAKAK >CRE10277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:969438:970741:-1 gene:WBGene00062757 transcript:CRE10277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10277 MTIATKVNTSKKDLDTIKVPELPSVAALKAAIPEHCFVKDPLISISYLVKDYLLLAGLYFAVPYIEHYLGWIGLLGWYWAMGIVGSALFCVGHDCGHGSFSDYEWLNDLCGHLAHAPILAPFWPWQKSHRQHHQYTSHLEKDKGHPWVTEKDYNNRTAIEKYFAVIPISGWLRWNPIYTIVGLPDGSHFWPWSRLFETTEDRVKCVVSGVACAICAYIAFALSGYSVYTFVKYYYIPLLFQGLILVIITYLQHQNENIEVYEPEEWGFVRGQTQTIDRHWGFGLDNIMHNITNGHVAHHFFFTKIPHYHLLEATPAIQKALEPLKNTQYGYKREVNYNWFFKYLHYNVTLDYLTHKAKGVLQYRTGVEASKKAH >CRE10111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:966598:968211:1 gene:WBGene00062758 transcript:CRE10111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-74 description:CRE-PQN-74 protein [Source:UniProtKB/TrEMBL;Acc:E3M694] MARLLLIIGCATLAAFASCESKSGEETPQYWRAILGDICQLPSFPRATGDPTRYVECVRQSSFAADRKDLGIWLLRECLPGYEFVASARRCKTVRSVNKQQELCESANATEYNFCPTASAMQFLVEETREAPRQCACPNGEQNCVCPSPEILEPISAAKKVRRSAQQQPLRFSQYPSCPCPQNQPACTCSTNNQQNEQILVSISCCQQDVATTQAPVQMQPCQCTPTQIQQNCQTTTTQQQYCPQQSAPVVQPQPCPLVQGSGVQNAQYQGICSWMIDPLATDPQSRTHFLQCQPAPNNLFCGRWQRMPCAPGTVFDVSSQVCVWDTSSQPGTLPTPAPYVSTQAPQNAQCGCTGGVQIGSCNQNYQCPGQSVCQVGQNNGQQVILCMVCCYFRKKARRF >CRE10276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:959367:961530:-1 gene:WBGene00062759 transcript:CRE10276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10276 MSSRRQLRVVLARNPPDAFANCPLFPTLDVIVQCPFPGWTVEVLKMLGDALKWDIIPVVTPTIVGGLDWGTMTGNDTWSGVLGYLQNGTADAAALMYQKTDLRNEFFDYSYPVNNVQPVFVVRKKTENLGSVLWNAFKPFTIEVWLCLLASLIVNLFVMIAISRVEVKLLFRDRFRPFEMLWHLVQLQLDEKSDDMLFYTLSGNIVLFIFALLQTGTLIELYKGILLTSLITSNGDNPFANADEMIKLIGAKKYHLTTNYMGNWYFDDLQHSDQQHFVKLRAATSSNPVIPAASVSAALDLVDTGKYIYPIQQDSLAMQMSKERCNYVYVSDGMPQVSSFFVFKKNFSGLEEFNRQIIMNQVFIQRTFNKYFNEEFKLGFIPKCEIAEETKSDASKPLDIESVIGVFTIGALGIAASFIVFVVEIYHYWHMRILARRARMRDPWNVRNLARIAQIHFTTSQQYSEIDVMRLVDALNASSLSQSSSDSSI >CRE10274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:956242:957532:-1 gene:WBGene00062760 transcript:CRE10274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-25 description:CRE-SRSX-25 protein [Source:UniProtKB/TrEMBL;Acc:E3M691] MNATNLVPAPMIYLTLAISIIGLIGNLTIVFVTVWNKRLQSRCNVLIGLLALFDAIVCIYLIHLRVLMILDMYMITSTKCFLFSSYGLFALNMQSSLGLVIGLDRLYNVTFPTRYSQLSNSVYTSFILMCVIFSFVITFSGYSYSSDTEIVSVCLPPTAYTDKSRVIWIGSNFIISILVILVYGGAHIRCRILKANHVHEQTVETVNRLLKSLTVVIAIYVCTWFLTISSLVVSQVITFSPLVTAEINRQLGWLVIINASLNFFVYFWRASEYRKAFLRLYGLSRLFRTESTSMEAASRVLKSSHVRI >CRE10110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:955411:956076:1 gene:WBGene00062761 transcript:CRE10110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-agt-1 description:CRE-AGT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M690] MIIRECVYEVAQSNQGEVLIAECTASGKVVAIYFVDDDLNLLIEDLNGAYPTVKFVPGTPTQTSIILRAINELDCTIKINMVHKSDTTFGMQVYTAIMNIPKGQTRSYSDIAREIGNPSATRAVAGACARNNLAYIVPCHRVIGTNGNMSGYRWGIAKKRALLQAEGAELRFSAM >CRE10109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:954625:955329:1 gene:WBGene00062762 transcript:CRE10109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rla-2 description:CRE-RLA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M689] MMQKKIRIFSIYYEAEIIDNFNFSIFYCSLFKWKLSETLDYERYHFTGNMRYVSAYLLAVLGGNANPKVDDLKNILSAVGVDTDAETAKLVVSRLQGKTIEELIAEGSAGLVSVSGGGAPAAASAAPAAGGAAPAADSKPAKKEEPKEESDDDMGFGLFD >CRE10272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:951367:953167:-1 gene:WBGene00062764 transcript:CRE10272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10272 MFIRLLVRRTRNVPFTFEARKFSSKPKLAIVGSGPAGMFACNGLLRKSDFSVDVFEYSPVPFGLVRYGVAPDHQEVKNVINLFDNMFEKNKDRLKMFCNVNIGRDVTFDELTQAYDAVLLAYGSYKTKKLNIPGSESKNVISGSEFVGWYNGLPNASTPNLSTSNVVVVGNGNVALDCARVLSTASSGSLRISDIPDDRKKRPGKRKFWDGTRSPISFNFVLFHSKPLTPFSAFCARLPAFIDSAFPPFLFHPSRFEVSFTIKELREQFKIEEWDSKLEISEEDQKNLIESLPKLERKKKRLTEVLVKNIGVASGPKQCHFMFHRTPNEVIADSDGNVQELIVENTETGKTEKLPCGLLIYSIGFDTLVLDGVPQNEKKMIDMKDHCRVNMGSASGAQVYATGKFGAFNTSLLYRFSGWCAHGPHGVIVDTQQQSIFVADQIASDFAHKMKSVASGVELILKEKKVPFISWDAWKLIDQQERNQGKLTGKVREKLTTFEKFMSK >CRE10271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:950033:951104:-1 gene:WBGene00062765 transcript:CRE10271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mau-8 description:CRE-MAU-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M686] MNLESRILDGKPAGYCSSSEGEEDDFKVVNDEDEHQANVMKRMGPSSNTGAKGVLNEFKAFREQTRLAYESRNQKLIEQAKKGMMVGSKEEREKAQKEDAEDEEDFEMTLEGLKAKRLREMRKIAANRVIEMTDKKQYSDAVDGSSEYLLCVLIYEPDSEDCEYLTRIIKILAADHSKTKFVRATSTLLEMSIAFKTNGVPCLQFYSHGNLIGNFVKVSSILGQDYDTKKLTKFLRGHHINLVAGGYATDSENETEEDD >CRE10108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:949209:950023:1 gene:WBGene00062766 transcript:CRE10108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emc-3 MTDLLLDPAIRTWVFLPIVVITFFIGILRHYVSLLLMNKKKVELENIADGQYLLRARLLRENGRFLPKTSFNARRQYLCAEETGYLSKAQQRPAKGPNPMDPSQMTEMLKGNMMNMIPMIVVGGWINWTFSGFVTTRVPFPLTLKFKAMLQRGVDLVSLDSAWVSSASWYFLCMFGLRSIYTLVLGSENAADQSKAMEDQMAMTGSAVNPQADPRAAFKGEWEALQMTQHQFAL >CRE10107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:948221:949031:1 gene:WBGene00062767 transcript:CRE10107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-19 description:CRE-RAB-19 protein [Source:UniProtKB/TrEMBL;Acc:E3M684] MDNDDGFDYLFKIVLVGDMGVGKTCVVQRFRSGNFVDRQGTTIGVDFTMKTLNVDGKRVKLQIWDTGGQERFRTITQSYYRSANGIVLCYDITCKQSFGSLQRWIDDVSKFAAPNVVKLLIGTKCDLEDQRSIETEEAEMLQRANGRIFFKRKPNPNWISGMFAMLETSAKNDINVDNAFLELATLLKRQYDQGVLEQGATGTFQLGSGGTTSINSPWQRCCQYT >CRE10270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:945999:946531:-1 gene:WBGene00062768 transcript:CRE10270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10270 MNSSSPSQPSASKQPLENPTKSPVNSPVVEARTNENTLICKICQTVVILKNMTTEWLDEERDLPLPRQKKGIEYAQTEPVHGYFGVKDIFAFENVGFTRSSEGKRYLVCGECEQGPVGFVDPATEMNYITPNRLAELPATTTSVKN >CRE10269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:944714:945824:-1 gene:WBGene00062769 transcript:CRE10269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsm-3 description:CRE-LSM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M681] MATEKKEVTLSATVEEPIDLLRLSLDERVYVKMRNDREIRGRLRAFDQHLNMVLSEVEETITTREVDEDTFEEIYRQTKRVVPMLFVRGDSVILVSPPIRAS >CRE10105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:943169:944567:1 gene:WBGene00062770 transcript:CRE10105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10105 MIRVALPTSAAAIPRSISTSASETISKNQEEEIRRIWRKAEAVCFDVDSTVCQDEGIDELAAYLGVGEAVANVTRTAMNGNARFRYRDALAARLQVMKPNNQQLEQFVNITKPKLTVGIRELVGRLHARGTHVYLVSGGFRRLILPVAELLGIEKSRIYANEILFDKQGNYHGFDTSELTSDSGSKETGKPAVIALLKKKFQYKTVVMVGDGATDVEAAPPADAFIGFGGNVVREGVKARAKWYVTDFDVLRKDLEHDDSDDE >CRE10268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:936561:940689:-1 gene:WBGene00062771 transcript:CRE10268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-31A2 description:CRE-CYP-31A2 protein [Source:UniProtKB/TrEMBL;Acc:E3M679] MHHWNNSIIAAESRNYVDGRIEPRTFSSDSAPWLSTLEVAITLKQGHPGACSDSAPWLSTLEVAITLKQGDPGACSDSAPWLSTLEVAITLKQGDPGACSDSAPWLSTLEVAITLKQGHPGACSDSAPWLSTIEVAITLKQGDPGACSDSAPWLSTIEVAITLKQGHPGACSDSAPWLSTLEVAITLKQGHPGACSDSAPWLSTIEVAITLKQGHPGACSDSAPWLSTLEVAITLKQGHPGACSDSAPWLSTLEVAITLKQGHPGACSDSAPWLSTLEVAITLKQGHPGACSDSAPWLSTLEVAITLKQGHPGACSAPYLCSKQSRDIRSSQMGVIIPAMLAGMVTVMTWLLLKHLRMRYRLKDINQPRSYPVVGHGLITKPDPEGFMNQVIGMGYLYPDPRMCLLWIGPFPCLMLYSAELVETILATGKHLDKGFAYRLLEPWLGKSILTSRKEQWRPKRKLLTPTFHYDILKDFIPIFNEQSKILVQKMCCYGQDEQIDVLSTITLCTLDIICETSMGKSIGAQLSENNEYVWAVHTINKLVARRTNNPLIWNTHIYNLTEDGKTYEKCLHILHSFTKKVIVERKEALKESGYKMEGRLAFLDLLLDMVQSGQMDETDVQAEVDTFMFEGHDTTSTGLMWAIHLIGNHPEIQRKIQAELDEVMGDEEDVTTEHLARLKYLECVLKEALRLFPSVPIIMRELSEDQVIGGVSVPEGVTLLLNLLLVHRDPAQWKDPELFDPDRFLPENSVGRKPFAFVPFSAGSRNCIGQRFALIEEKVIMAHILRHFNVTAMERVHEVKIGFDL >CRE10104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:935229:936311:1 gene:WBGene00062772 transcript:CRE10104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10104 MIRVAFYVFLITPVVIPQPLLFPHGWFESKNLRPRDAIMLNMMAQSNLEYGGVTLEELDKLDAVRKQIPRPEKLARDREEDDVELDREPIFTATGEKIEVEKKKEEESDDSKKKAPFRRVQLKLQKKRETERDVDTEPAVESANPFEEIATRSPPKDWMSMRQLRNRNRKNKKKRRYRKNGFLTRDDIIKSNKVNNPSVNVADRTMEKAEFDEKLRNHAQDTWERENKAAEKIREDMKSGRKEEKEEEHISPAMQLLAKENSDLDRRIAIAEQTMSRKVDRLPNNPYKHVDLGKPTVLTLPLPPFETLKEESQ >CRE10103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:933162:934953:1 gene:WBGene00062773 transcript:CRE10103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcl-1 description:CRE-GCL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M676] MGVSQSHSRSPSREPLPNDHQVMDVLEPTDKSSKRGRKRKVANVNGVEMKKVAKLNTCAYVYQKLFLEGEDSDITIAACGREWKVHKLYLKQTKFFESMFDGAWAESKTGRVDMEITDPNIDGDGLNAVLGCLYHNEIEIDLENIEATVAAASYIVLESVTERCAEMMIEALSTSNAVRYYELSTKYGLDGVRVKSLELLLHQFFKIMTDKEMLRELDHDLLVTLLTSPNLFIMEGEYDLYKTVRLWIYMKECPDCDIDQKPDTFSQNVSRYFRDAPQNSLFLKYSEIFSFLRIEQFLNCSETIKAIKADCLIPSSLITLMTSDLWMSLLENEETQKALEIDDDEFFSRCIRLGRSLDVFPKCWRWVGYNFGIDLLLHVNDYSVSIKRNCLNQKAPYSVNLKTKQVLHYRLVICESSGRICFDSGRTTWEMKPDEAKTVCRMNEDISTPISVHFQYLIHKPIDGTRYVNNFVDDHYSSDGDENEENDDDED >CRE10266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:930825:932502:-1 gene:WBGene00062774 transcript:CRE10266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10266 MEDSDTVDGLPEETMISFLRRETLQLICDILDTDNTWETIAPYMPGIQMRDVDGCRRFASYNQSPTKMLLRIWCSKGYNATHLYQLFAKTKLIRLMRLMRSEVDEKYHCLETKILNPARRFRPNIPPPGSQSASRSKKTETNEASPAPTQSSSSSTNDPLRVAIEGTLPVTYLELLEATNGFAASNVIGKGGYGTVYKGEIKATGGMVAVKRILAGNDSSAHGSKVEKERLKQSLTELRTLARFRHDNILPIYAYSLEGPEPCLVYQFMANGSLEDRILCRVSDYRITTVKKYLFQKGTTPLTWIQRKEISIGAARGILFLHSFAKTPIIHGDVKTANILLDKHMEPKLGDFGLSRDGQVEAEATEKCPLIASHIKGTLAYLAPEFITSKILTTKLDVYSYGIVLLEIASGQRAYSDSRETRGLVEYCQFNKELAARQNTRLREFLIDKRAPPLANEAEELFLEALIEVGLAGAYSDRRLRPSMAQIVEHLCKNTIPVIE >CRE10265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:925919:930611:-1 gene:WBGene00062775 transcript:CRE10265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10265 MSEVLRYECRDQNLEILIVKPGERLSIYGSCSILCLAGNASINDFVLPTVSWEPSNFIKISAPQRMDVPVILQVSNDCSAYKHARLKFRLKAVAPNNYETIMEGIGTTQPAVFVLSKILDIAEGTISGAISNFLIHCSIQKQIILPPHFFICRDDFRIFPQEQESQLKAHINRLSRLRSDGQKTSILPIGHKGAGKSNLMRNLVNRCLSNGYDHVYVLDCDIGQSEFTPSGCLSLTKVTSPILDKPYGHQKKTFENSYFYGDNTVTKLPLYLDIFERLFNKFKLISEPGSVCIINSMGWVVDVGAEILDSITKVAEPDLFIEIFRDQTEYRYNFLEQVDRNNVIEIFANNSLGVIGLPNQKRLPAALIRDLTVAGYFSSLLPRPTIASFSTVAPYKLKFQNVTICVPVDLLVEDSHIFSSINTQLVALCVKNIDLKTRKLCGKADMPLISVVDENSPSLQCFGFGIIRGVNVEERSVYVVTPVDLLKLEEPPLLVRGMRIQTPSMFFTADLKPSNFVGPIKSGNGILCDDHEKAECFLSTFSEVFVSDDGLTPSIEPRTTTLIDEVSYEPYVIEYVLSKLEPKCNNSPDGIPYIILKKLCTSIALPLSLIFNQSIRSGSLPDIWKTAIVIPIYKKGSRSDPGNYRPISLTCSISKVMEKLVRRSLIEHLTRNKLLSISQYGFRSRMNTELQLLTYIGLIIRDLQKNNPVTSVYIDFRKAFDTISIFKLASKLQAYGVQGELLRWINDFLSGRSQKVFLNGVCSNTSSVGSGVPQGSVLGPLLFILFINDIGDKLESELLLYADDLKIISPNAATIQKDLMKLSEWCQTWQMKVAPSKCEYITFVKSKRTNLRVNSKINIELDGLRLPQCDYIRDLGIIFSRDLSFDSHINSILRRAQCRINILFNVLKNSVFDIMLKCYKVFIRPIMEYGSTLYSPTLKFLIRKVESVQKSFLYRCSKKFNFEYQSYFDTLEAYGLESLELRRLINDLVYLYKILVSNEFYSPNHVYTSFTHFQSLRRHSYHIKSILSNKTKFGSQYLPNRLLSCWNSLPVHVFPVKSSSLCFKNNVKRLNLSKYLTLNISTY >CRE10102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:924001:924931:1 gene:WBGene00062776 transcript:CRE10102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10102 MDIVPETKTMPILKLPAVPLSNVLRNFNQLEIVDFSLASKKCQFIIKSIKFDKFHIGLGLQPKRDPILFYWDYYLYFEFSIDDLKKRSKYMNKGGTWSQLSNDENEMRFKYVTVWADYVCDLFRRDITFLYLNSNESLQEISAITEWMNTRQTCLEYCEFSGDDTNCDTFDLFFEKMKFSIQNLCYDLRHTHEIRQFNCGILDLNGLFARSSTVNYPVNWITEEDIITSNCVTIVIGVCYFNENNLNRVLKGWIDGNNPRMTILTLAVKRLDFELLLDGIEFEEKDESLKRTLKS >CRE10101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:919235:922012:1 gene:WBGene00062777 transcript:CRE10101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10101 MISRTFWKFSILLLFIPTIEACHPQFQKELRYLDLYLGIKPDNNGEIPVEEAVGFATYIESPDELNCDFETECLWRNAPTDNLLDTSDWWYFKKNDEKTFPVQIQPGNPSIPKGEHFIIAGNTTKNANGAVISSAPIACQKGFANLTFRSDILQKQFNSSKKENFSFWLYNNAQVEVIILRAMNRRRHLQVLMRPKMKCDFLGAANEECRVEIPPIKEPFRIGIRAFDLKDNTVGSLAIIDHIRYHGKICQQSPFPSSFSSLRIPPYDNSPLVRMTDLSCERPLRSCRWGNMAKSILSEWRVGRSIDRWVDMFEITANQSHPNSSFLFLAVDSFSPRPYSSLTSQVIPCSQRTTSLSLKYWMKTGTQAEICAVDEDGVALSCAYLEPNDSPGPITIDLDSYSQPFKFTVGIIAFDESTFGLLAISELKVLGLLCTEPAPPIVTTISPPIIQHIFGLQPGNGRYVPYDLSLNCDFTKDYCSQWVNYDGVVKYGVMPRDSDVFPIPKGVKGNVAVFLMQNATVSNLRSRMVPCSYNGRIDVEYMRSEDAQVRICALEQCVDGNQTNGKISIGVSSTTPFYVSIEASSRDDAVVLINKISTSGDFCPLKTAEQTVCDQLICNFKDTFCNYETIVEKAGDVPVLKTANGASVTLNGGGIRAVLLSPTFDLAYPSILTITLSQSTFGSRVLLCPDVTSDPTLCHELSGPRVLDSSDKQVVFVMFPLDIGARSFSLVLLHDKSIEFGPAIFNIKSMELRTVNNEEMCY >CRE10100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:915106:918836:1 gene:WBGene00062778 transcript:CRE10100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10100 MFAYSTSSFTGSTLSVRSFSSDTTISNAFFNLPQNHVRGRLHAKKRNSQQAKRRNKRAPLRTHPQIPRQMSLFRNFFGGGQPDDDEDNGAEFVETLVERVETCSAPEDRRDALRALKGVAKQYRLAVGTMGMNAYIDVLETDRLNSETMTLVLDTLATVLSADDDSSESDELGERLAEMMIKRKGFIASVLAAVDQFDFGVRRTSVQLLSNLLRHRGTEVQNAILQQPAGLSKLVDIIHDNREVIRNEAILMICELSRANSQIQQLLAYDNIFNDLLNIIETEPLDSIVIEDCLFVMLNLLRKNSMNQQLFRENQLVARLGGILHTFLYGHEETEEVDVDSAEWPKQRTANVIFLLQIIRTLVSPDNNSQNTHAAQKVLNQTKILEELIRVLLSEIGASVEILTESIIVVAEAIRGNYTNQELFASTVLDNGEDAPRSSLVVLLISMTAEKQTYKLRCAVFYCFLSYLFDNEFGKTRIIETLLPNSQNQNTLTTGALIMQAISSAESVQAWFGCVTLMHCLYDVDHLCEQLLRVKLTVVADQQPELSLLDHVSQLLISAGNRRPQTRAGLLMLLGVWLQNCPMAVSAFMAKEDNLLYLTTNIVDECGEGSESEQQALRGLMAFVLLSCLKNIQEKDVRTSIETLISRRVGKEVVLSALEGLSRTEQFVRAAQKQQPSENNKNNLFLDFNFVKLFKSLEGTLAKQLKPNGEFNGSSNDSIIQSFKELIKRQDGDIAQLKQENKKMSTEIEKLTAEAQNKETERELEQLRIKLEESTSFKTQTEVLNSQLAEAQRLTQQWYAEAERYKQWAQQWQNYQLAQVPNAAEVGVTQLQQQVAELEQQLGYGYQAFEQQSQTILHYTAENAQLRERLVKADASISELTSKIAIVNSQPPSNGVVENGDQKSDDELVKLKQEQEELLMLLADQHNKMSVYRRRLKSLGQPVTDDEDE >CRE10263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:913228:914433:-1 gene:WBGene00062782 transcript:CRE10263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10263 MLKFSIDVLLSTSPCRSSGIFPFPANPSLFADSSSGEDESNRKKFPCEICGKQFNAHYNLTRHMPVHTGERPFVCKVCGKAFRQASTLCRHKIIHTDSKPHKCKTCGKCFNRSSTLNTHVRIHQGFKPFVCEVCGKGFHQNGNYKNHRLTHEETKKFSCAICNRAFHQSYNLAFHMFTHEEHKPFTCQVCSKGFCRNFDLKKHLRKMHSTSMNPLTP >CRE10262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:909269:910213:-1 gene:WBGene00062783 transcript:CRE10262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10262 MHSFIHSVLIMMFLNSFVLFVAACMKTIPAEAVSIAGQLDNAPLRRVPVLNTQNDYSAHIQQFRQAKTFPSPSPTEPIPSASSVSPASSDSDDFSVSDKSIETLRTSNRESLKKKNARTFAVKTDTRSENSGNQQEVNHPEPAVSHRDDNNNVHDDRDLFEALQVEKPDICGSCPPIWMKNTAEMKDICSNHESVSSFFSMTLDKRDAQNVVDTSDLKECTRTITCTEPHMLVKWHESELCDVHAPYTGSLVTDTSNTFIYKCNGTQWTLHGFPLSSVVCAVSSN >CRE30305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1169:4537:9582:-1 gene:WBGene00062784 transcript:CRE30305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30305 MISKCEWKSTQDVEKKDDYKTYSRLEETCQFNLPLMEKLDGDTNCRLFTPYDRRHVPGKMFVSTNFVCFASRTERLVSVVVPLIEVTSVEECSPVSSSQTTQGILLCLKNGSTVIFSAVPDRDRVLSKITVYIERGKIERTMEKAKNDTEKGRSSTSSSSSSFDNLTWDYPLIEKYPFGADASEKCKEKWNKYLQEYGSGICMYRTVELHRLLLEGVPLQLRGQIWMVCSGAAAEMSLNPGYYRELLHKNQGVYSVALEEIERDLHRSLPEHPAFQQGPGIDALRRILTAYAFRNPNIGYCQAMNIVGSVLLLFTKEEEAFWLLVAVCERLLPDYYNTKVVGALVDQGVFSELVERLLPSVGAQLTRLGLDDMVALSWFLTVFLSAIKFDAAVRILDLFFFEGARLMFQVALEMLKENEKLICESRDDGEILMSLAKYTESIYEGDNVVERKSSAVTLGTTILSDLEEAASTMISFMDSDTIRTFPKIPIGDLLANSYQNFGYCFTNENIESLRLKNRLKVVQNLEDNQMRSIIKSIGKDCKFSNEELEALYNVVKEEHLLSWRHRLGVSARGKMAAVTERPRPDPCAQSQYRLDYDLFSEVFPRLLPWPVTNIFIIRVFRLLDISDNGLLTFRDLAINLSILLRGEATEKLALFYKCHLPPAFNMSDLDGLDASEESSPDRNDGEPELAMEATDLLGTPKKTACPFQEKRSYSVDIPRQSSDQELADSYRTRCSTSSAVMISGNVEERASDAESLIDMIAAKSIGSCPSETGTDRIQVADVASEESYSVVDESIEKMKQLRTKTLSSPDCTSTKLEMKMLPAMSQIQFIQLWKTFYDMLSGKETEQSVFHSLAVTGTLLLQLGETHRELQAKLEAQIADAMQDLDETLKGPEEENNTDEFEEDRKIDPIEVQRKRIGDMQTCMADDEWRVNLEQILASIMAESTLSDFFERKYSLDALIKK >CRE10261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:904026:907050:-1 gene:WBGene00062785 transcript:CRE10261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10261 MFSAASDPFTVSFLFQCHTISLQLALHLNFSLDSSKLSGISHGPLGTHFNCFIFISPKNQYTEVTIYLFSRSEVNRKRRTFLPCHTTIYGLYFYFFFLQMNPQKSSSFEDFAGQVVIYTEIGNAKCAKGRDLLHRECIPYTDVSLDSFPQHTQEIFDRTGTDVLPQIFFNNIYIGHETDLERVIFEKNKWESLIEHVRREKCTNGPIVPHPMNAIGFEEYDTENNNKCCEEVLWVPDEYSKLVRDMKNAQLIKNNRVKLKVYRNSFKGEQLVDWLMRTKGIRRSEALEIGQELIDRHVGQQTSKEAGMTFSPDRYYQLVEDDENKPLNAVPTGEEDESKKQTITVAECNEKFFRLVKPVFKDILTDHNQSIIYGGLSTNDNFTRYLQFSKELNQVSMEGSVPDDRLTFFINVYNMMLIHITLKHGPPIGIWQRRKLVNGTYYLIGGHRYALHSIVNGILRANKKGPGMLWKAFGKQDERLPISLPVCDPLIHFALCSGSKTTPPLRVYHPKVGESMNTHLFYLFQTIHQEMRENARQALTKSDKFLRVDIKKNVIHLGKTFKWFSDDFGGTNEKILQWILDLLDTDESDKKHNLQKLFFTGEYSVEYIPYDWSTNGRMDEKDREEPKTSVNE >CRE10096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:901416:901721:1 gene:WBGene00062786 transcript:CRE10096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-17 description:CRE-NLP-17 protein [Source:UniProtKB/TrEMBL;Acc:E3M663] MFNKSTILFCFLVLVLNVFATNYENDGEVMRPPFQTLKRGSLSNMMRIGKRQMSRQQEYVQFPSEGHVPCESCNLGTLMRIGRR >CRE10260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:899663:901013:-1 gene:WBGene00062787 transcript:CRE10260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-seld-1 description:CRE-SELD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M662] MTTINRVKRIVEGFDPVKNGLDDDFVLTKLTAMKGCGCKVPRDVLLQLLQTFKTDLVINNDEVDIGLDSCVIPLRHPGLRLVQTTDFFYPLVDDPYIMGRITCANVLSDLYAMGVSECDNMLMLLGVAVELNELQRDIIVRLFIQGFKDAADEANTKIRGGQTVRCPWLLLGGVATSVVHESEIIKVDRAIPGDVLVLTKPIGGQVAVNSYEWIKKNNGKIEELGLDTAKIKKAFKQACEQMSRLNRNAAKLLHKHEAHSSTDVTGFGLLGHAENLSRVQKQPVEFLIEELPIIEYMNEIVDRMIETGGEGFRLYEGRSAETSGGLLIAMSEENAKNYIAELSSLDNAPAWIVGRVLEKTGESIARIVPNASRRSITSSF >CRE10095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:895655:898325:1 gene:WBGene00062788 transcript:CRE10095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dcap-2 description:CRE-DCAP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M660] MASNNTNMKKVAKKAEEPSSVQKLLASLQQAQTKGNQDSTNEPSTVKPKKNDKRKKCVEEKKKPQSASVGARMQQQAENARQQTTKRPRQISSSKGAGRGTVGSDYSQHQQQQPTQYQQYRGPRIPADILDELEFRFINNMVECEINDNIRVCFHLELAHWYYIDHMVEDNRYTGCPNVGSRDFTVQMCQHCKVLRKYAHRADEVIAKFREYKSSVPTYGAILVDPEMEHVILVQSYFSKGNNWGFPKGKINQDEPPRDAAIRETFEETGYDFGIHSDKEKRFQRFINDGMVRLYLVKNVPKDFKFQPQTRKEIRKIEWFKIDDLPTDKSDELPAYLQGNKFFMVIPFVRDIQNFVQKERDRMRRRQTEASTTVSSRPTESSQSSILSQLFPASSETNQPSSSSTRPVYKRLTSEELFSAFKTPPTQDTNEISRPTLPEMSPAVNGLDSLAVLGLCTPLKPGASLNQFSVPSQNCPIISEEVGSPIEFSSNDEIGFVMPTDLQQPVVTTDHPWQHNKSGESSAPPPRTLESHPGWLDTQLVNTIMNSPNQPIPSSNSPATPTTVLGHLIGKPIQPQAILPQAATPTALGSAEKPKSSKINLSDNSAFKAINSSQKQSVPKATAPPSSEKIRSASLSGNTKPDTRKATHSLYNSVVSPVSSEIPSVQDDDPSMWEEGWYREQLAATAGTSISSLAASNQELSMINRDTPVEQRNQYMKQVYQPVPTNSKSDLVPLCQQWTKKIHLNAEYIAGPLEVWIQQFSINNQPPNAAL >CRE10094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:889654:895294:1 gene:WBGene00062789 transcript:CRE10094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10094 MTEDTQVRAIVLSVDETTNTIVCFSEPLESKINVKLPPNFIAKQGQRLTFGDHDYNNETYFPGSNPEWITIEDDPSVRFENNELLITTRAAFSFLDTHPTYSKKKVYAGGFGHVEIEDHLSEKMDRAIVYKVELSLNVLETKDNEKPVFKVTKVHELLESEDVEAFLNDLYEFDERTPAETGDEVVDNNQREIELPHVHMNENTESSARSESLTSYNRETLLTVAERSMPVEEPLEQETTKNVLIDVNNDASNENVTSTSTEETGLSSSSSMSLLNCQSIDLSAQKSDGSGETSPEIVPFQEDRFEMVQDGIREDSIVQSDSSSMDYPATEASSLGKDVRDSKPKPFSFGRMPTSFSERAQTTNSVGVNEVQKNDIEYCVIVLDNKNGAVIGFETNLLKLMTIVMEETDLKRYGTLRFISEREGNGKVYPKLGTVRFTEPVDSVIVNGATSIPARVMFSSNQKHGSYNKTVAFSDSYGLVRIPESNASLKHMAVYNTFIQLLVLFKLNIQSDKVRFRCQNEDKLKPIFISVSPLKQDENDPNARFIESMYNYEDNIFTETRSRGIASTRPPQNSWKSVGIQKGQKGNFKPRRVTLPNFYKALYVSDKQHGKLFGVLYTSVGDASLRVRPSIIDTGVMLNLSEWASVKLEAIGPEGREDFFVSKCSSFVDPLGCTTKFVNGEIVVEGTLTLKPVAKYYDHLYYKHGLLGLVQGDTDLYLGYENEDVHVQFTRLEPENYRKLTIHEYKAVNKKFDKTLDEWERVCWRARRLDRSSLDSSNRSREEDLSDCNKGKDFCNNSSSIPQDPLKTPEKMKYVESRNDCQTCEHPNHTPQRQNFYDNSYEESSDNGIPYQQSYQNFSQSSSQYYSPRPTDFNHLESERQYYNHSFVPSEMDTASVTTDSNNLISSIGMIVSRHKQMMVVYLDDFRRAGILRWKHFDSSFIKLGNLFRCVCKPIEIQEETLHASYEVIKIIELVNERNETIVKDEECQVLVNVNLFNQDPVFNDFTRKSGRFVLSSEDFGNVLMEDTVVNVTGQVVPSAGVIEEYRGLPGSDTMVGYCVYKKCLIPPMLIDSSCRETAEVVAYAWRLVKLVSTLTHYSFNQQDIKIKNLGEKASTLEKEDDISRQKKQAQIQFELDNQNRQGSSSVGFHQRPQSSRYEQSSSNYENFATPQGSVYNHRMESSQSYRTANEYRLGSQRASSPSLRSEITQYNVNMSPTFRSTPQPMQHYDLQADNEKILRMLEVLNERVSVFTRNPDTREVMHSLAPGHLAMLEEAMESSEKQLQEWRRSTHH >CRE10093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:881061:887968:1 gene:WBGene00062790 transcript:CRE10093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10093 MSSVASEAINAVSTVFWTTVYFVYYLFKGKQEWKCEKNSNPVIQYCCVDGTPCSDHQSNGVRFRKNAPSTTTNNYSNHVQDNHNSTPKSFYSENSGIRGFFRSVWNNVKKGSVKVWEFGKKAGRSVWNLVKCGVQFLWKVIKCVFGMIYAFIVSNNVPSQTLPSTPKPYKATPSSPNYNKVSFEYPPEKLNEAELRAVTKQEIEEEEPDEPTIYEDVSKKDEPVRKVPDTSESFYYERVFEEPKQEKHVPEPPREKSVPPPPPPPPPPKPVSREPSRARSMTPARERKEVKGGIGGFKSDIMDELEEHQRVRQERTSVNREMTQSCHPDMAQWKANETVDRSANSTPWRAASVGPTMRRLEQVVSRVEGDDQKEANFVFRPQKIVHSSEEYQNRNKQTSDNGFIMGRSVFSPVEEAEQMRKDINSKQQSYSSPQYQSGNWMEGQRSRNIHSRDDHVYDYQAQKNPFGSAAYKSSPYASHTARRSKTPIRNTEAEGGVESIARQWPPVSNATATIGNARDDWVGKSMKGVEENDDGLVMTMCRRVVEKKKDENWKWRDESGRLLDERHNNTWKGELDTLLRDGPNEGRHWSRTVEKLPTGDTRFQDVNRQYNKEYVVESIVRNY >CRE10258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:873711:880816:-1 gene:WBGene00062791 transcript:CRE10258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-qui-1 description:CRE-QUI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M657] MSRDDKESIISGDENMFRGGKESQMSTDNNNKTEKCLPHVLTIFVVGNDAEEFNIERRTLWQDVLSDFQNLAFQSNFDLEFCDVPLENGELSNSTAEHTLKLWKENPRSWMVILLGNRYGNVSVPTSLRKEEYESIRSSIFEENGNVRVFEKAYTINRNTSIEEYRLVPSAIKDKKQLAEIIKALQAGAKAAHEEGAINQVHEQRQNRFFSSPLESFVRSVLQVQPCRCLFLLRKFDQLVADPNSPNAFLEIDEQKSRKIEDLKNEITLKMNDRVMTHVLRPESIDINYFFNSRDGDKYREKIARQFNEKLKNHLTDLDPKIKPEPPTSPIELAANDMKPHIEYLEQQLSIGNLKRDYDKRLDELAGVKVNRGVFLIQGTDLCGKTQALCRLYNKISSKDAYKIIFFTNLTYSSNFAHEAWRTICLNICSVADINAKEVLEHFKLGEILKCLETVVQAADKPVCIFIDDVHLLKFGHLLSQIGRRTETAPDNLSLFMTSSNVSPVNAVFAVTQTVNVDVISENEVVGMVQKMAEKVDKKLTSEQVSAIRPLLASKEGILIAKSVAHEILLNGNSSMKGGIEGRLARIEKEFGKEAVGNVVKYIAVSSHGLTRLEVHDAISADRESLEEMNMPIVFSLLTLDNIIEALGPLLRKLVIDDRVIIAPSHAILSLFFKNRYLINSIEVRSTHLHLSDLFADLLVDNEQSPRHEIAYQTFAQGIKRDNGTPNFRRLRLLWYHCLHSGNLDRLKELSLCHFEYVDFVTRYYGISHLLSLYEECATQILHHDLQVLSEQVLVPALVTMARDSEQLAAEVIGRLRFTRTDNSHFLNSLVDQAMSWVDLYNRQPLLVPLTCWISPPATKVCRSFTLKDWKPGNTVLTLFANHQYILISGNQSDPGVIYAYHIASEQLVGTFKGHTAAVTCLCSSNDSSFFISTSADKTVKVWVFSQYVPTMSLTHHTAKVTCAILTSDDEYMITASADSSAKMIKVETGDVIRSFNDHTGSVVSLQLTSNNQFLITGSGDFVVQMWDVTNGKCISRMGGLMAPVSTLAITSNDAFVVVACEDETLKVFSTVGGQELHELMGHEGKVNSLVCAQDDCQLFAATKSKIFCYDIHNGQMIDVLDIAQPYPICSLKVSTSFVAWLHFLFQISSDNNFLISPCGPKVTIWNVTKRNHDAHDVHADKEGFLTAVALSNDDKYAACGTNNGIVALWDLEVCQCVYTTVQNKGDPITCIRYSIDSNYCISGNQAGCILILDAQNGGIVRELFMHSSEVLSIMSLVQNKMISCDIQGKMVIWELFGDDDTPEMVATGVKPPIFVPPTGRIMIGHCSLSNKEFVFLIVSRLTQPIFRMKIWAFPDEGPPVTRAKLSHGDEITCFATSPKGGNFIATGSRDQSLKIWQIDRGFLTQVLVGHDNVVTCCCISYDEKVVVSGARDQKIIVWNVQSGDMICTVNTTAAITSLSMTGDSTVVFSTTEDGWVETWSTTEGKLLSTFNAHRPIKKLINSYESHRMLLLLENCAQLPILCLHNTPAVGVEATRRRSARAQSVSSASNEPVASSGSGEIKKDPTSSSNNGGSSHPAARANAPKPTFDMLERSKSRTSLIEKDRTTTLTQSNAPPPQKSNMCIIL >CRE10257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:870411:872071:-1 gene:WBGene00062792 transcript:CRE10257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10257 MWADTLYWIIIGIIDVLAIIFNALLIYLALYRTPKMVRVYTTLIINLAFTDCCSAFLNFFVQQRMIPSGFTIGYISNGWCKLFGYRFCFLSHNLMAHFIVHSNYSLVLSFAYRYYILRKPEPRRKTVLIVLFITYLPSLLQLYKPCCFQILYQFAESDPSEIIQLLQPYHPQYNFTGLTVSGVSDIRSVYALYKILQMTVMTVPIFSTILFLRNKIINRLLYRGINISLNTKSLHSQLLMALTYQALLPTLYSINVIMYVLEQSGIYASPFFENFIMSGLVLIPFFSPFTSFFFFTPYRRIILGMVIKNLNKRPVATSETGHGSFPI >CRE10256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:863802:866001:-1 gene:WBGene00062793 transcript:CRE10256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10256 MKGIGLVSLRRRNRGKRSCVHLSSNSSRSLKKPKNLKPRTKEQKEIDVLLSKDVKNLTLQETYKLASHLRHTSQFTMRVKNVTIGGVLKVRRRNDENRRMSEDRDSNSTIESTYSQKWDVSLNIEDVKGETRKKIGKGFQRRLTEDSEIGCLPKADSLRKSVKKVVWNEVEKQIYYEQQLRNTIENDPELCGYYQRRFKCRIDKTVMKTNAKTLWRHFNEKDGKQVANGDQEIQFTEKLMKFIRNGAYNPPRNRLQFIVEKSKNWDTSALIFSDIPKEEPDNIKIPHTLLYKYTNRNVVNEKKCPELAAIKKEAEKKIIKCDCCTGGAIKRCWQNPNCPCYITNMKLRQFQQVDDVVVNEKTNFSTFNPVLLRGGNSFFDTIGFACSDECECAGKCTNNVTLLIEKDVHPLELYRKDEQMGFGLRANTFIPCGTPVVEFTGELNHGDVKKSEHDYSYAIFSEDDSFPTMISKVLGKNTKFVAEIKKQFEKDERWFVNPKHIGNIARTCCHSCEPNMSMVRVFQKGFSPAHCRLLLVTHEVIFPGNELTFDYGPGYIQETLGNKCLCNKAGCRCSNIYETFSKCSEKSLEKYQALRYHIGYAEFKRNVLDAIEKKQSQKVVAQ >CRE10092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:861207:863325:1 gene:WBGene00062794 transcript:CRE10092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10092 MKAEKDDLVHHVNDVPPIPTILLLGFQQMMICLSMLLVVPFLVSDMVCPGDKETEIRYISGSCLIAVLVMPLFGFTGIIGFLSKFIGPITIVPIISLLTISAVPDVEQKMSLHWMSSVEFLILVVFIVLLEHWEMPLPAFSFSEKRFHVIRKKVLSQFPYIIGIGIGWFICFILTVTNAIPINSSARTDQNSSIETLRSTPWFHIPIPGQYGTPTINVSLLCGFIASSFVAMIESIGDYNLCAQLSKQGRIPESNLNRGFVVEGIGCMLSSSFGIGTGITTYAENIAIMSVTKVASRITMQVAGVFLLVAGIFSKFSAVLAMIPEPVVGGVLAIGICMVNGVMLRNLLTVDLRLSRNLTIMGISIIMGLTVALHFENNPLKSGNQTVDNVFGTLLTIRMLIGGIIAFTLDNITPGATREQRGFRRFDESGDDDTLVENNGYALPSFMNRFFLKYRWLTYIPLVPSRDEIMDIEEKRMEIKYKL >CRE10255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:856938:858051:-1 gene:WBGene00062795 transcript:CRE10255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10255 MPKKRNDAQTVEELRKKTAEDIQKKLPGVKERQDAINENLQRLQEFRDNEPNPLGKGKAKHLAAVTSTHQAVETQQAAMRKILEEVRRAAEMDYRSQMDGDISRRDLMELIKIRGELLPLWISHDSPFPGEYVGAIAPQDGSKLNEGDSVAAFNKDGNWILADIISCHSNSRYECRDVDDDKKKLTVFSRSHLLPLPKWKANPASEKHALFAKNAIVLALYPQTTCFYKGIVHSPPADFRDPYQVAFEDDSYPSGFCPPMPVAQKYVVAFREVAGLTASTAPKKGNKKK >CRE10090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:855582:856816:1 gene:WBGene00062796 transcript:CRE10090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10090 MVLARFSKHFNLISSRSLSTVNQDFGLVHVEKQFEAIEPKKRDKDAFMAAIGTFKEKRGRTHVEFINTALKYVKDYGVHKDLETYKSLLDVFPKGKMIPQTVFQKVFLHYPQQQNCAVKVLDEMEWHGVQPDKEIHDIVVNAFGEWNFATKKVKRMLYWMPKLKHSNKYLDRRSVEGLSLTPSELAGIALKMMNRDPATSISLLKFSDSSDPKDKWMATAQSPSQQRLLSELSRGEEVFVDSGLVYVQDNKVPFISLTGSTKLKPLDEFKKEEMDDDYTNWFEDWKKQRIEAKRSYFLSVHQQDHETIFAVGAIFQNDNSTALRWIDQLQKTNPSLGNLQIRVRLDGKPIIS >CRE10254.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:854505:855148:-1 gene:WBGene00062797 transcript:CRE10254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-20 description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:E3M650] MPTKALGETLNEYVVVGRKIPTEKEPVTPIWKMQIFATNHVIAKSRFWYFVSMLRRVKKANGEILSIKQVFEKNPGTVKNYGVWLKYDSRTGHHNMYREYRDTTVAGAVTQCYRDMGARHRAQADRIHILKVQTIKAEDTKRAGIKMFHDSKIRFPLPHRVTKRKNLNVFTTARQNTHFA >CRE10254.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:854505:855333:-1 gene:WBGene00062797 transcript:CRE10254.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-20 description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:E3M650] MPTKALGETLNEYVVVGRKIPTEKEPVTPIWKMQIFATNHVIAKSRFWYFVSMLRRVKKANGEILSIKQVFEKNPGTVKNYGVWLKYDSRTGHHNMYREYRDTTVAGAVTQCYRDMGARHRAQADRIHILKVQTIKAEDTKRAGIKMFHDSKIRFPLPHRVTKRKNLNVFTTARQNTHFA >CRE10253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:853395:853947:-1 gene:WBGene00062798 transcript:CRE10253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyc-2.1 description:CRE-CYC-2.1 protein [Source:UniProtKB/TrEMBL;Acc:E3M649] MADIPAGDYEKGKKVYKQRCLQCHVVDSTATKTGPTLYGVIGRTSGTVAGFDYSAANKSKGVVWTRETLFEYLLNPKKYIPGTKMVFAGLKKADERADLIKYIEVECSKPLA >CRE10252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:851738:853402:-1 gene:WBGene00062799 transcript:CRE10252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10252 MIFPMFSLPLSHTRILACSHGNHEREGPLSASALCDMFLFSLVLISSLCSANIQDVCYPSVVRHRIDSVFPTTRLFCVAKRSDEWDYSDVEIVNDADQWNEFSKSRLKSEKPYSDDSLEGLYFGNPTEIRLFKLPASIIESGFCSGTQSVELRRNVSFTCKPLVTSDMISQCTENSFLNALSLFGNGDFIERTDNKSIQIPRLIPEQLRSPVWNGSACNSVLRSVILLFHTNETNINGVEVYVEYSNLPGNVDNNWFEQEFSVRWIPVIRNNEETNSSAPGIGYKAGEQSLCSGSLPVPFAVPTLGNCYSAEVSPSSVLFLRPIISVCTIPTTDCEDARAKARSFYEQVYPSEFVSSLSEDAPPAIVGRVNVTWEEVSSSSEYTKIRCLQLSPSSSSCRLPVSSLLQVYYSKQGSTKNHREIIVAGNVQLLLDDIPYKPGQSIRMPISISFTDVTSPPKNVFAALPYIDIRLPHDFFYPFISSSVSVSSSLSCLTVFLICLILLGV >CRE10089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:848896:851162:1 gene:WBGene00062800 transcript:CRE10089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10089 MYYCSSSFTETYWKQNSMKNPFIFKVTKVHELLESEDGESFLNDLNEFDERTPAETDDEVVDNNQREIELPHAHMNENTESSARSESLTSYNRETLLSSSVEEPPKQEITENVLIDANNDASNENLTSSSIEETGLSSSSSMSLLNCQSIDLSAQKSDGSGETSPEIVPFQEDQFEMVQDKIREDSCVQSDSSSMDYPATEASSLGKDVRDSKPKPFSFGRMPTISSEKGQTTSSFGVNEVQKNDIEYCVIVLDNKNGAVIGFETNLLKLMTIVMEETDLERYGTLRFISEREENGKVYPKLGTVRFTEPVDSVIVNDATSIPARVMFSSNQKHGSYNKTVAFSDSYGLVEIPESDASLKHMAVYNTLIQLLVLLNLNIQSDKINFRCQIEDKLKPIFISVGPLKQDENDPKQEFIESMYNYEDKMFTEPRNHGTSSENFFQVLSLLYRRMVLSGENETPIHMQHPLSCWGRNFLQSEVFPSAGERISMMWHLILLLYDALCLKVIGGSVSLLVTLYLVLSKIPAEFMVYISACFIAVIALMWLLEYKTLTVRNRFKVVYAMRRDLAKSAEERDIEPTDREAYAVSKNSDDKKKQRH >CRE10251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:847427:848486:-1 gene:WBGene00062801 transcript:CRE10251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10251 MEEYTREPCPYRIGDDIGSAFAMGLVGGSIFQAFGGYKNAAKGKKMVGMMREIRMRSTLTGVQFAAWGGMFSTIDCCLVAIRKKEDPINSIVSGGLTGALLAIRSGPKVMAGSAILGSVILAMIEGVGLVTTRWMGAMMDPTQPPPEALDDPRSLGQKSQAEPGLDQSRPFGIPTGLPNLS >CRE10250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:844329:847216:-1 gene:WBGene00062802 transcript:CRE10250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hoe-1 description:CRE-HOE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M645] MRRAVSRIVENRTLLTRFFSSTSPLFNNEDLIESIRERIARNRRILQKHSSSHLKAREVNASISNLRQSMASVQKKQKAAHEPPANSIVNIPSQVSIEVLGNGTGLLRACFILRTPLKTYMFNCPENACRFLWQLRIRSSSVVDLFITSANWDNIAGISSILLSKESNAMSTRLHGAMNIKHFLECIRPFQDSDYGNCKYPSQVEERPYTMESYEDAGMKVTYIPLSPPLGLKNKEKNSKVNNVDVAFLIEMKEAARRIDAVKLMELKVPKGPLIGKLKSGEAVTLPDGRTIQPDQVFSSHKVEGEKPILLVAECTTEEHVESLLNSSSMQPFFNNEKRLDYMVHLSKEELINTPSYKTLMNKLSDGDTTHLLINEANPVIPAVESVYKHTRLLRSISPSLFPALHPIDWSGIISQNEELSQKENEFIRVAPLQRYWMRKGNSLNEEPIINNLLASEPELTEKAKQLVTDFQKLEASIEKNCEFPKITFFGTSSAVPSKYRNVTGYLVEASNESALLLDVGEGTYGQMKAVFGEEGCKKLLVNLHCVLVTHAHQDHMNGLYTIVARRKEAFESLRIPYRPLVLVCNRNVLKPLKTYSICFENIENLLEIVDISRHPLTPPGSPNGPPGKRPRLPSPHLPPSRDILDDMPKSFDKNVWKLEELKAVQVHHTRMANGFVMRVAGKRIVFSGDTKPCDLLVAEGQDADVLVHESTFEDGHEEDALRKRHSTMGQAVDVGKRMNAKNIILTHFSARYPKVPVLPQYLDTENIGVAMDMLRVRFDHLPLVSKLLPIYREVFVAELFELTIKKEQRILKDKESSEKKQQKRSVKQSS >CRE10249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:841285:843576:-1 gene:WBGene00062803 transcript:CRE10249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-miro-1 description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:E3M644] MSDDETLADVRIVLVGDEGCGKTSLVMSLLEDEWVDAVPRRLDRVLIPADVTPENVTTSIVDLSVQEEDDNWLAAEIRQANVICVVYSVTEENTVDRIQKKWLPLIRLAFGDYHETPVILVGNKSDGTANNTDKILPIMEANTEVETCVECSARTMKNVSEIFYYAQKAVIYPTRPLYDADTKQLTDRAKKALIRVFKICDRDNDGYLSDTELNDFQKLCFGIPLTSTALEDVKRAVADGCPDGVASDALMLAGFLYLHLLFIERGRHETTWAVLRKFGYETSLKLAEDYLYPRITIPVGCSTELSPEGTQFVSALFEKYDEDKDGCLSPSELQNLFSVCPAPVITKDNILALETNQRGWLTYNGYMAYWNMTTLINLTQTFEQLAYLGFPVGRSGPGRAGNTLDSIRVTRERKKDLENHGTDRKVFQCLVVGAKDAGKTVFMQSLAGRGMTDVAQIGRRHSPFVINRVKVKEESKYLLLREVDVLSPQDALGSGETSADVVAFLYDVSNPDSFAFCATVYQKYFYSFFSALIFIFRTKTPCVMIATKVEREEVDQRWEIPPEEFCKQFELPKPIKFSSSNVSMNTLYLVYEKDVQIGQSSSPIFEQLAMMAVYPHLRRVFYLSDSNLLSKITFGAAIVALAGFLVLKNL >CRE10248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:839113:840741:-1 gene:WBGene00062804 transcript:CRE10248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-yars-2 description:Tyrosine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:E3M643] MYFSKRIGDVALKTVRERRANPFVDYIRDLSKRKPLQHSYPADLLNKYEAELKQLPPYVYAGFDPTAESLHVGNLLILVNLIRCQQFGLRPIALIGEFTASIGDPSGKKSERDLLGEDVVIHNSKKVSEQIRNVFANTCRSSEPPIVVNNNDWLGKVNSNIVNILYLFSHFQISLRDFFRECKSMQLGKMLRMKTIKSRLETGLSYTEFSYQTMQAYDWYTLSEKFGCRFQLGGYDQLGHLDFGAHYIKKRGNLSDNRFAAGVCFPILTDSAGNKLGKSEGGGALWLDPVKTSPFHFYQFFAQLHDDKAEELLLLFSLRGVEDLEELLQNHRNNLGKWIAQKELAMEVTKMVHGEDGLDSALRCTKAMFGSKKADLTGLSRSEILKLFRTTIDLKKEDISSMGDLANVTRQGNGKGYLLMQKGAFSVNGTKKVNPAESIEGVSSSLPALTDLTLVCWGKRDYRLVRWI >CRE10247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:837264:838631:-1 gene:WBGene00062805 transcript:CRE10247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cif-1 description:COP9/Signalosome and eIF3 complex-shared subunit 1 [Source:UniProtKB/TrEMBL;Acc:E3M642] MADTRELPVFAYIDDVKQLQELRQYLNKHESVKLDPTGPAETAKNLVEICSTLHVLPSWSQDVDLVLNSISSLIVVVPGEKCEPVVEEFIKNVSPKFYKGTGWGSHAGIAVRVLSNLYKGYSNFHTVQEKILMALVDMCAEARLIGELECNLETLNDRFNTWETPVEGQREILRAVHRALLADQRADQAAKVMTALLGTYTEKDAATARDDAMECVRTAVVDPKSFSFDHLERLSAVKALKTSDPLMFNALELFISGTLKDYQAFVAKNPKFVSEYLKVDEAILLKKIRLLTLMSLAEEKNEIKLDELAKQLDIHGDETLEEFVIDAIQVNAISGKINEMANTLVVSSYQHRRFGTEQWVLLEKRLKVLIANLKQTHNNVHDVNQRIEAL >CRE10246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:832361:835826:-1 gene:WBGene00062806 transcript:CRE10246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ogdh-1 MHRASLICRLASPSRINAIRAASSGKTPIATSPLIQQRNQSVAASVKHEPFLNGSSSVYIEQMYEAWLQDPSSVHTSWDAYFRNVEAGAGPGQAFQAPPTTAYAGSLGVPAAQVTSAVPSTRLDTNASVQSISDHLKIQLLIRSYQTRGHNIADLDPLGINSADLDDTIPPELELSFYGLGERDLDREFLLPPTTFISEKKSLTLREILQRLKEIYCTSTGVEYMHLNNLEQQDWIRRRFEAPRVTELSHDQKKVLFKRLIRSTKFEEFLAKKWPSEKRFGLEGCEVLIPAIKQVIDSSSTLGVDSFVIGMPHRGRLNVLANVCRQPLATILSQFSTLEPADEGSGDVKYHLGVCIERLNRQSQKNVKIAVVANPSHLEAVDPVVMGKVRAEAFYAGDEKCDRTMAILLHGDAAFAGQGVVLETFNLDDLPSYTTHGAIHIVVNNQIGFTTDPRSSRSSPYCTDVGRVVGCPIFHVNVDDPEAVMHVCNVAADWRKTFKKDVIVDLVCYRRHGHNELDEPMFTQPLMYQRIKETKTALEKYQEKILNEGVANEQYVKEELTKYGAILEDAYENAQKVTYVRNRDWLDSPWDDFFKKRDPLKLPSTGIEQENIEHIIGKFGSYPEGFSLHRGLERTLKGRQQMLKDNTLDWACGEAVAFGSLLKEGIHVRLSGQDVERGTFSHRHHVLHDQKVDQKVYNPLNDLAEGQGEYTVCNSSLSEYAVLGFELGYSMVDPNSLVIWEAQFGDFSNTAQCIIDQFISSGQSKWIRQSGLVMLLPHGYEGMGPEHSSARPERFLQMCNEDDEIDLEVSFELKIAFGGTFEAQQLHDTNWIVANCTTPANIYHLLRRQVTMPFRKPAVVFSPKSLLRHPMARSPVEDFQSGSNFQRVIPETGAPSQNPPNVQRLVFCTGKVYYDMVAARKHVGKENDVALVRVEQLSPFPYDLVQQECRKYQGAEILWAQEEHKNMGAWSFVQPRINSLLSIDGRATKYAGRLPSSSPATGNKYTHMQEQKEMMSKVFGVPKSKLEGFKA >CRE10088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:830271:832079:1 gene:WBGene00062807 transcript:CRE10088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10088 MSTEQNRLRGVRGVYLISDSFYHSPTDKNNENLVTLTINNYMLQFCGELVSVSWRIFSFSLFQISFSSSNNLRWVPPSAHEWKNSNIPKNIKVYWENRWAKSSYLKGCSEDNSLKIIPVYFWPGERVDLPCKMCQMSYLMNGRMKRWGYSEQIDEFLLNPTQFVRVNEIWKDVQNTQNFTENKEDVDSDNIIWYPHTLVTVESDKNPSFFSSKKEANFTSRSKEPPRFWQNDGKLTIFGADVRSQGVYFCYDEISRKQTVIFFVLIAMVPPVRFTTKNPLDYTDHCGNNLGKDSELIFPSHNWRFHFLPMGDFNPSPTCQLDETDSEGCKQKYSYLDTEKWPKNFAEDCSMDRCRARLYSPDNNIDLYIELRWDEFTSCKGDQPTKRREGHCYLMRGGGSINIESMKSEHKKLYSWIKNLETVFDRKPFDVKGIRLHSSLLTSAIFEKPKITGCYNKKDEEDKLYKKYDQVWRHVFLPTLGVPENGAVVQLGNPFESCIRYTRLAVEENKDAESENLVGTFSTQVDYC >CRE10087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:828217:830119:1 gene:WBGene00062808 transcript:CRE10087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bus-4 MKIGNHSFFEPSEADIIQKDQLNLISDSTTPIFQKNIRNLRSVRLCADEYRFSFIIVFAIFFFGAYFLYQVQYKTGIDLIPLFPIDDSGFEKYNEHLLGSVKVSESAKNQPKSGSLLCWAMTTSVYHKTRVPAITETWLRRCDAGHLFTNSDRFLNASTPYHTVFDGLPESYYKLFWKTRLALLYIYKYVSKEFDWYFKGDDDTYLIVENLQRYLATLDPNKPYFIGYRLSRRTETGYNAGGSGYVMSREAMRIFTERLFNDKEKCPYHEWEDYAIAQCLASMGIVPLDSRDEKGRQRFLPWRPEQHFYADLTRSFQMDPIQIWGPAIYHENLISMHHLYPDEIRLIDGLLYSIARGVWRKDDLEDVKNETTTVSTSMDDTLPPPTI >CRE10086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:822182:826390:1 gene:WBGene00062810 transcript:CRE10086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10086 MGFKLVALSSWLLTVRYITTLESIFALSIEHNAIGGGCIDLLCPDTASHHGLRNLVNEDTLKTDACSACSQCTENGYSVCLRPKDSRASRTAGGCSCAHTLAANCHTDLTQTQHPANLTMKTYTACYADALQRPPQPLAVNENSSVVVTIEPFWLEPYWRHLKIENVTLHYKFQVKAPEHCEGEVESTPAGIHTCVASVLVDLSESKEDQPGAKDDDDYDHSDNYDQYDDDLPPEVQFDRDVFSGAKELESYYTATIRRSRQIKASASKNEQIYDGETIMESEHLKFDLKPELYVNGESDVVDEPSDDSVVVTTTEEESATVVQEDKDEGDVSDETGGTNFFEEEEKKEEETKKEKESEEEDGVLKVNLEDIEPTKLKSQEEAKKKAEEEGDEEEKEEKEDDVETTTEKDEEKSGEDEKKDEEEEKKEEDEDKNDEEKKDDDDEEGTTAAGEDSAESSEDSEETTTVELIEGETDPSQQNFWQRFVNGENFFRVRNIFVACLTGFIIICAVALCCVYSKRCCKTKESKTSNGYRYTSANPPPTELKTVSRVPSGAAPEDEQRLLAEGEALEAFRNVVKSGTPIQPNKVELGITVGMGKFGPIHRAMFNSYNGSVHDVNVYLLKNVSRLSDDELVKLTSLLKSNISAGAHPNVVSLCGISPAGSDVMLMWEPLHQSVLRGVLRESRCARFGTEPFNMASFLSSERLAAIAIGCCNGVEHLLKKNVFPTHLSTSNVLLAERGIVKIGGFGLAEHHALDMTTESVSSIRHILHDQILFQAPTKLRWMSPEYFKRDHKMIHNEQTMIWPLGVTLWEIFSLGGTPFASLRQTQTFIDAMRDGSAQLDPISYCGEAVTQLLSTCTSHIPEARGDIRTIIKRLECISADAKTQINLSYREDFPYLPIVTPLEQQKEE >CRE10085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:816525:817295:1 gene:WBGene00062811 transcript:CRE10085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10085 MYSFLCSSIIIYDFLTLFSIFQVPPCSTSMFPSTTSHKPPIYLVEPVDESEDHLTFFVGIFMLMIIALAFSIGLSKMTKPKWVFSKILSIFEFITFRVSISRYNTSNSSYDILMWLSSNPTNRSSMLLLLRSMCRTPATPDSSVSVVSSNIPLLPSYQRASQSTSANTPPPPYSATSSLVT >CRE10084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:813800:814683:1 gene:WBGene00062812 transcript:CRE10084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10084 MAEEKIEIAPSALGTKDFWDKRYEMELTNFEEHGDEGEVWFGISAENRIVKYLIDSKTNKNAAILDLGCGNGSVLRKLRSKGFSQLTGVDYCQKAVDLSNATSRAEQEENEEEQLVDIRFEQLDITAPRNEFLSSKFDVILDKGTWDAMSLSEERENRLKAYLELLEQVMTEGGLFIIFSCNFTFEEMSEQFGRSSLKILCEVPATHSFSFGGKQGVTSTGAVFQKTS >CRE10083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:810376:813529:1 gene:WBGene00062813 transcript:CRE10083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10083 MDGNDLTAPNFGVSEKDQTDRASTSLECDSSQSSQQTSNVAENFTGTQPSSDDVNDINEIEKTSVDFAIESVLKQTREYNSQRKVHVFEDDIKFRPFDHPINTSSINEKPSNTPEQLKASDVVKTEILAEKQEIKEEEREVQRKLGIKLFGFLKGSSDADLSDDSKDRCQGCGASGNEKEEALKLERKKEKPMSKKKSHLAPGPDSEWIRCDSCDGWYHFGCSGLEQFEYHLYETFFCNKCLPAVGPCKMFEAVAPHRLRWFDKHQIDKPTEVGSRTWIQDFTTWEHNFPLPNEDEACVVENGFEFHKKFYEHGGPQKWDKVYLVKNPDGLKMTMPPHGFDIEEVVDLMSPEHEVDTIDVYNQSTYSMKLSTFLKKYRDPSPRNLLYNFLSLEFSDNQAFKELAKPPQFVQEISLVNKLWPDPSSEAYADLLHKELYLPEDSRPKVEQFCLSGMAGSYTDFHIDFGGSSVYYHIFKGKKIFYIARPSKSNLAAYQKHETSRSNSEWLGHKIKDEVKRVEINEGETLLIPAGWIHAVYTPEDSLVFGGNFLHLGNVEMQMRVYRLENAVRKSLNTPSKFYFPNFDYLHWMYMKNVITPKLREMTEEGTDMSEEDPNLWKGAKFIYETLEEWLRRDLADIATREARKNEVGWVEAEAEAWNDRSDADLTFEEKKRIFKTVQKLILDQEKMHNRKIGLSIPKKHKRKSHDGPDDDDDYTPTKMKKYSKQTKKGSGEAIPKVKKIKTDDVVDEIAINAASSVPSGSMKLKIIIGPTEDQKNVVHMFNNQHSSSGRKVKLNQSVAEYCGSHLDTRGEETPNKSTKTFEECNNELERCEAVYSGEKIKKVKLPKKPKEPKEKKERPAKKVSTSRDRLKKMLKM >CRE10245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:808577:809454:-1 gene:WBGene00062814 transcript:CRE10245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10245 MNRAILSLARNQQFIRRSLHKGVDSTPPLRFTSISEKVALYGLICVAFMAYPTSVLFRLDDLRPRPDNALAPEVQEEIDARAAARRK >CRE10244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:808082:808488:-1 gene:WBGene00062815 transcript:CRE10244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-21 MSLLKMVRQWSWRGTISQPFAIKLFKGVWNAHPRFATRTVMVQNNDVDGAFGLLNRLMDSEGMLKIIRRTQFYQKPYMQRKSLSMEASTAIFNEDMNRKMRFLVRKNRPDKHPGQVTS >CRE10243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:805807:807107:-1 gene:WBGene00062816 transcript:CRE10243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-111 description:CRE-COL-111 protein [Source:UniProtKB/TrEMBL;Acc:E3M632] MFSCSFPRTIQFSFHCATIHSSESRHRTCQNCFHLVIQKPSLSYQTGCSFSISSFYKDFSPSLCHFFSYTKMVVDSAVIATWTSVLVSVSCIAFCAFFATSVCDDINQFLDDSIISFKEGQGKTDDAWNEVVYLNDKRFKSDLVSNSATIRQKRSDLPAHCNCLTQNQCPPGPPGPPGPAGMDGKPGEPGVAGPHGMSGSELVKIMRNDDTCIKCPAGGKKSNSYLYPSSLLFPAPGPRGEPGAVGEPGPQGRDGIPGRSGNVGRPGPPGPAGDQGAPGPKGSPGTHGRQGMPGVRYLLGEPGPAGFPGPRGAPGKQGPPGVAEEGPDGLPGPAGPPGKPGMPGPMGTPGQPGEAGIPGADAAYCPCPKREALVESSVISQEVPETGYGDGGDKSKREKVEETQQEQTYDIFKFGQV >CRE10082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:804246:805715:1 gene:WBGene00062817 transcript:CRE10082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-psr-1 description:CRE-PSR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M631] MSLGKDRYSLPRTYKRVSYAQEKARSELRKFGWDTLGYAESFKLPPPKDTIQRIDGKTISVEEFRRDFERPRVPVILTGLTEDWAANEKWTLDRLSKKYRNQNFKCGEDDHGNSVRMKMKYYHDYMLNNRDDSPLYIFDSSFAERRKTKKLSEDYKVPKFFEDDLFNYADSKKRPPHRWFVMGPDRSGTSIHIDPLGTSAWNSLFVGYKRWVLIPPNTPRDYVKPMSHEKGKHPNEGITWFRTVYNRVRSHSWPQEYAPIECRQGPGETMFVPSGWWHVVINEGFTVAVTHNYCSVENLHLVWPKTVKGRPKLSKHWRKRSSCFRLAAERPEVLEIINSSSAAPLYNLNESSSDSSSSSSSSSDSSDSDTDDEDSGRCGIGGRKRRCDERTSGCPEKMHYSPNLMV >CRE10080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:801730:802934:1 gene:WBGene00062818 transcript:CRE10080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-9 description:CRE-UBC-9 protein; E2 ubiquitin conjugating enzyme [Source:UniProtKB/TrEMBL;Acc:A8IKQ2] MSGIAAGRLAEERKHWRKDHPFGFIAKPVKNTDGTLNLFNWECAIPGRKDTIWEGGLYRIRMLFKDDFPSTPPKCKFEPPLFHPNVYPSGTVCLSLLDENKDWKPSISIKQLLIGIQDLLNNPNIEDPAQAEAYQIYCQNRAEYEKRVKKEALKYAAEVVQKQMLE >CRE10078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:798407:800227:1 gene:WBGene00062819 transcript:CRE10078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10078 MSEETFDFSGSVPEEVQTLLTNSEVDPGLLSNETTTTMISDILSTTTIPPILIDYHPNPFRIGFLAFKCLLIILLLTISCTIRRDFFKFFVFFIIIPLFIETGFDVFTEIQASIAIFGTQQFDWEYFNLSPIANETVTDWQKHAVESYGQILQKYTTYQLYTKDQLFSIVSYVAGDFIFWSLLFSTTTVFHYAHKAIVRPEQITYDPICSSFFKIQIISVLFTAVDTLISIYEIPQWAYLFILFVLRITACVLTFIIVTQFFASLFLFCRRKDEVTKTSPYEHVRNSKLRLFLFVVFSILIHALSAPYLIWSGLTIASDVGHLLGFDWYLPMHFASEMFPLHLTFFIVRPYVFLVLTLFLLNPYRRRFVKFFCSCCRRN >CRE10239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:778813:781253:-1 gene:WBGene00062820 transcript:CRE10239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10239 MCISTYFLYKELQGRSVGRRRAPKLTQQMKSLSLDCQDMPPRINQNTRSPLRSKLAPRDYRKPGINGSSDFSDVERSCSPIVKSRRSSSSALHAGGYIVIHEYTPSNGSPLVLGEKVHVVDNGDPDWLHGFRLNDRTEHMITFPATCVAQMMAGEQAMRIQQNVFVAEQKLRMYRDQIVFVQPESLVEGKVTVRTEHNALAPCPLSYLALI >CRE10238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:777224:778324:-1 gene:WBGene00062821 transcript:CRE10238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-110 description:CRE-COL-110 protein [Source:UniProtKB/TrEMBL;Acc:E3M622] MTCSEEYSSHLRIHRFIAFSVASVAFVLTIGAMVTLPLAYHYVHQLRYSLERQFECNDVVRTIYEGVDELSTLISSSNHTRSIRGTKPRRRFDEDETIEGTCDGCCLPGSPGPAGPPGRHGRHGSPGAPGKPGNPGEPPRGPCDPTLPNPCDCPAGEPGEQGPRGPPGNNGLPGLKGRRGFDGLDGRPGRPGKTGIDGVPGPKGEDGTDGEPGIIDTSDVGPAGDDGDEGQSGEAGQPGNDGRDGKPGQVGPPGPPGKDGIDGENGNDGELGEPGIQGRPGEEGICPKYCAIDGGVFFDNGSGRRRT >CRE10077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:772750:777045:1 gene:WBGene00062823 transcript:CRE10077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10077 MSRVLCLLAVVVGLVLCHVSPPMVNGRPREGLVASASDPEGPVSEDKYMVYSEIDQVVDHFSNTTSATWRQRYQYNSKFYNKTVGYVFLMLGGEGSINATNGDKWVRHEAETMMVWAAEFGAGAFQVEHRFYGSKGFSPIGDQTTESLKLLTIDQALADIKEFINQMNALYFPLDKPIWITFGGSYPGSLSAWFRETYPEMTAGAVSSSSAVHVFVDYYGYAINTEKTYRTVSDSCGDVIKTAFQQMQKKAYNGPDSRELLKKTFNLCDSFDENNLSKSIQFFFQNVYGYFQGINQYTGDNRNNATRSGLGVPGACNILNNATLGDEITRVVAVMDWYDSWGSPGCRPNSYTSFIKYYSDTTMPDDDRISTRSWIWQTCTELGYYQTTDGGNGGIFGSTVPLDFFADQCIDLFGPEYTLDNTFKLIDQVRTKYGGADAYRGTNVCFPNGSFDPWQDLGHKATNTNNNVDSWLIDGTAHCADMYPARDSDKQSLKDARRRIHDQLSRWLSDAQAIRQGNSVVSSPLFGMKFAILLAAVCSVALAQNPGTDNCVSAQFAACNSRLSDFWRVDTSTAWKDLGTLDRITQSLLLTPYTIDSWINVCNGFSSFYGCLGQVQIRNCLGTVGLVGSGLALSDAYAYQGFMADWDFKCGVGFWTMYERQVLTTCIESTYVNYQQETAAALQTYTTATTQDPNNACKYAQNLMNAWQTTFQKGPCRTVNPAQAGWFGCQSAREWSNAQFKHCKHTTTCASPATVDPITRVNAETGKTEYQTLPFYEIVNEKAVIAQEAAWISE >CRE10076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:759352:772377:1 gene:WBGene00062824 transcript:CRE10076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10076 MGNRQSTVEMSRNKSIIRSSSSGSYPYPRTHTTLMVIPSNRPPPPPQPVKMFTGVRRRPPLNRACPPIPESKSNESIMSHASKVSEHQKAVTSTETTTDLVIENRNVVDVLHRLDANSNISATAPTRKSKDKKAKMSSTPRSPLMNMANSVKSKLTKITHLGRSSESSSSSNIKTSQSFSGIRQPKQVPKRIDQNANRVIKSSSMEVPSTSKVCIPQDPTPLIDDKIEEISEAGLISKSEMAPPKPMEHVCVKLEEDVLVVTKEFDERYPGQKRSASPDPPPVEESQTMSEVDQLPSEQVAETFSEELDNNANQKTPGPVRRVIKEITTTVTTTTFSEISPVPIIEKMEVLEKEEEILVPEADSQPEITTIHANIHSPVPIQEAVPSPKKERFTSPERYVLLVKTPEALRKHRTLTIGEKDPNEVKTEEEQEEKIQYTKLETIKFKEIKEKVHYEEPIPKEKFILTVKTPEAIRRHHTFTIRDKSPEEMKIVKSQSDVHVSKKLMKQTSEPERKTIEKDIFEEKEDVVPEPKFVIVMKTPECLRRHHTFTIGDRPKSPDYPYIEEGEITEPIATIGPPADRRKKEEEKEKKKKEWEEYEKPPKEKYILTTTTPLAIRKYQTFVVEAKEEKSIPQSMSADGVMMTKKGKVQRSESTDSKKHSYLRRLQFELFRGRSRERKTENQEKRQKSLDERKPESTQKYILSTTTPIMMRKYQTFAIVDGKPKDGETNNLQSIASAYQKEMSFDEEKTTSPVRTSDFYSITATTPLAKRKVVVEGNFVLRKTLRIILVFSENVTSSVQQLSLEDAIVSPSKKSISPEPRHLFVMGGRRSTTPQDERKIEKMHEPPRNLKLDTDRSQSDNAEFVEERKSTMSGDLTSPEEEIPMDTNLTPTTEDVMEAVIISRRIEGLMTSPIEEEPEGITSSIRDLSIKSLSERKRNSESERPAPVFTPPSPPKDKPFPVMTVPKSTSTSSSDSAHPMTSSSSSSRVKPNQLPIKKTIQVVDPMHIVELKPEPKSKKEALASKSLRNAGKMLTPSFLRKEKKGPKKSLYAKTTSTGRQHISEKLERERKAPLAEGESSVVANSPLVENLPITFEKWSNKSPRLSVKHFNETIIEEGLDANANSMSPQLEHRVIPTSHHAVQDNTGLIDDDIIDQPMLVGDTFSHSSSIDCISAHLRMNESIISIDRSIAQNESRSSNQNTQNNVNRNTYIESNLDRQIDAGRSEVQDIKSQLQKLNRLVNEMGVSGWEEELTRLRRENEQLRRELAERDATIAALQSQTVSS >CRE10074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:748864:750277:1 gene:WBGene00062826 transcript:CRE10074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10074 MRAKRLRRAIEESMLPTYELVLDSKEETRAVTKTLENIEKGSQLMLKCTMAQEHFENLVFRANNIEVGQGNGLSKTLTIDSFSRTHIGMYECGATRKTDGKYHSRQMRVKQKRDNNSNLPPCGAEDEGYCGMHGICLMDGSRKICHCDDGYMGETCDKVLMAAYDGMRLREFNIKLLKVVGGTTTSLNIICILLAILFALLFFKERKTVKRLRREFGKVTEECEMENAIYKETTTTSDESNEGGNTSFARNSIRKMRLALNRARLNGTSDSKLLEK >CRE10073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:743168:744902:1 gene:WBGene00062827 transcript:CRE10073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10073 METTSKTDDTDRPIGYASHLKRFGASLADHHSYSRKDFVFTLADCHHKLGIEWHEESLQTVPNEAVEEIEEFDTKIEWKTLECPKCHRRVEDTRKLTDHLVEFHGKSQDKLWYSEFKTIGSDVKRWFDKAVKRQAESSLVKKKFNNSNNMLICPADSKLAPFLMIKHLEVYFEELAAPATDGIALNIVVDEKSYPVIFSFHKVDKVRRRIKRSLVKKSFEKRLKVLENHCARVSILDEDVIFKKSRTAEEHKALAQALQLPETCSYEEIVNKITEMRAKHDDLNSVYSKSVKPDGQKMSAEEKRLISSLTNDMVTPVSGKRKTTYKIPSELGLGATKKRQRTTTPE >CRE26001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1366:22:948:1 gene:WBGene00062828 transcript:CRE26001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26001 LNKLYEEDEKLMEGITKPEENEQSTDRLLANLSIALNLTLLFTNLLASILSGSLSIVSTFVDSLMDVTSSLIIGICLKLIKNTNMFNYPRGRNRNTRRRGNFRLELVGVIICSILMGIANTLLVMESIRSIVGGDINPVMDVPTLSIMLGGSAVKVILCLICYRRGSSSTTVLAMDMRNDIATSIVAIVGVIATSWYGQALGHLPHLDGKRAEGDNLSRIGKMVLEHDLRIK >CRE10072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:735232:738273:1 gene:WBGene00062829 transcript:CRE10072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10072 MSNDHDDFEPIDGEVDVQIPQSNTIDHLIVEGNNNNNTGDTLSNVIVENWIFAPIAVTIQKMNVSKPIHVFNVAEKESKKQFLAEALIPFLFAGLGLILAGVMLESAEGSEFFQTLPDAVIMVPTLVGLKGNLEMTLSSRLSTLANLGFMESRKDKINVALSNMALIQCQAIAVSSLAVIPVLFIGEQAISFSDSLCLLLSAVVTASLASLVLSLLMVAVVIAARRYKLNPDNISTPVAASLGDVSTLYILLSVGTLVCHMRDLHITLLIVILLFFYAIAIVGAVMASEDRFTLEVLKNGWWPILCAMVITTFSGLVLKKSMQTYPPLAAFQPLINGLGGNLVAVQASRISTQLHRARKNREIEVKPLHHYINPVRAFFGKNEDASAAQILLGISIPSNIIFIFIIFLFGVGFHNTTPFTFSFVLVCAIQVTVLLYICQILVRAMWIMRIDPDNSAIPFLTALGDLIGSLLLILCFWSQWKYFGLPVSADQYTGHKFGH >CRE10236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:730729:731911:-1 gene:WBGene00062830 transcript:CRE10236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10236 MLVEKRFESVHKKKSGSIELFQLNTTLLFWVGFVPPLFAAVFAILTAITLHQDKITNYAWICGRAFLPSLSRIINLTLEGLVWQLCILFHIPFRLLELSVGWVRYGRLESKNNKRRFWYKMHRHLYLIFGVTELILLSGLSAIGEKEHGIWHVCFFYSFGVVALLFFISNTVCHSQSLYFLNPYGRISYHVKIGISICYFLSAPAIATFYALYWKACFTWAYELFALVEYLDVFMVIFYHGCCVYWDIQHKVVFTVRLNKPAEKNIDEDVTGKELTTEL >CRE10235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:729330:730403:-1 gene:WBGene00062831 transcript:CRE10235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10235 MFYKLSTQQLKEVLPFFKSKPKFALFANAATFEIEKRLPNHPCDFYCLEINDSKYFYVFRHDFIPDTSRPILMIGSDQSVNENDVIHGLEQIKSVEPDLGNIELLVATSALSAPGRKFFIKHFTRSEDYNVECNNFCLPLSAQAEIQKKIGGMTLPSDFSIGSTWLTDSEIVNSTWKFASPETILQTKEIIQRLPTSCIHHKDKPVAFEMIGLHGQLNHQFTFPEYRNRGFGGMIENTIVSKCFKEGIQVVKSVELSNKEVLKRSMDHKLWEVVREDGGEIIVFDYTQYHLN >CRE10233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:723180:726033:-1 gene:WBGene00062832 transcript:CRE10233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10233 MKHISSLLLLSSLFGTAVGCLPGLGLGGGGGGGCCSPAQPACGNPCGGGGAAPAPVYAAAPLAPAPFAAYPQAPPAPYQPQFQQQQQYGGANNFQQQQPQQFQQIQGGYAGAQQGGNYGSIQTGAVSAGGAYQAEPVHQVQPLPLLGQPVAYEKTVVVAPSQQQEQVLNTAQVLTKVMPASAVLDEHVVEPAVASPNNIVSSFNSQTSYGDEHQTSVQTHQTQPQQQVLHRPAASSSSSSSSSASSSAEHHATHQVRVPSASSSIESNEHRVVPESIIVKEIVQSFETTTPYPKQVALQTIAPFVPQPVQPIQPSQPEHIETVQPQISQPRVSQPHPIEHVNYLAPHVPSVPQTQTVVETVQPVHTVQPIQPAPVQQLPLQPAHVVQVSVPEATQAPTHFAPETXXXXYQPPAQSTAAPVVETTVGYVEPPKPKPEIHLQPVAPAVRVEVTTPEPTPTIRFELPIEVHEVVTPQVPLQPEPAVIQTITEEPIAEVTIQVLETSAAPVSQFFENITSREETKEEPAPTQPEQPSVPSPTANGNDYDEEKSVENKVSTETDVVPNLGEYGSKFAKVQGAETKQVSEPIQIETIENANPYGRKYWIF >CRE10232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:718554:720439:-1 gene:WBGene00062833 transcript:CRE10232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10232 MASFFLIALLATPALGCIGGLGGGGGGCCQPSQPACSNPCGGASAAGYAAPAAGYPRAPVGYAAPPPPPPPPAFGGIGGAAYPGAGAGGQYDTGVHGGAQGGYAGAQGAQGAQGGYAGAQAQGAQGGYAGAQGVQGGYAGAQAVQGGQIASQGYAGAGSQVSAGGAYNQGPSAVNGGYTSQQQGGAVAPAAGYGQGPAAVHVDTTAITSEGTVSQQGGSSAAGGYGEETGAAASVGEQTNTVVQTGETTEEGYGAQGGSAPAGGSEAAETEIVAVATSAPAPAPTYENVQPAPVAPTTTVEETHAETHVEEPQPQVEETHVEVEEPAPAPVPVATSAPIVEPVTEVNTEEYEEEIVEPAEPQVVEPVPEPQVVEPAPQPHVEPVQPAPQVTEPQVVEPQVVVEPAPQPHVEPAAAPAPETFETSAPVAPQVTEYPIQQTEAPVEEVYEEETEAPVAPVQPTESSSSFEEVTAEETAPSQPAPYVPPAPTFTEQKPGYEQTAPAVETKEESYDDEQPAEETHVEPEQPAAGGGYSATEAPAKPDGGYRTH >CRE10231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:715694:717127:-1 gene:WBGene00062834 transcript:CRE10231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10231 MSFLWDWFNGVLNMLGLANKKGKLVFLGLDNAGKTTLLHMLKDDRIAQHVPTLHPTSEQMSLGGISFTTYDLGGHAQARRVWKDYFPAVDAVVFLIDVADAERMQESRVELESLLQDEQIASVPVLILGNKIDKPGALSEDQLKWQLNIQHMCTGKGNNLTGDVSRNEMASRPMEVFMCSVLQRQGYGEGIRWLGQYL >CRE10230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:712822:714727:-1 gene:WBGene00062835 transcript:CRE10230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10230 MTSLFASLAHNLRGQVIQESIKTFQNLEFQLIARIISFGINMYLLRRIDNDVLGLVNVRLTLLYSTILFLTREPLRKAEIIRGSLPKFINLLWLSPLISTVLSVICVYLWYTFSSTTVDVSRTVVLSFPISAIIESIAEPFSVISLRLESTSGSLGQHFAIGQGMLICVKRIFVLAGLFIFPEMYHLDLFAYSQYFGAIAYLLFNFVAFYVYIRNKSIPELESFSTFSDLLPKINEGIDRDSVNAMSTMFAHSILKQLLTDGSAYVMTFTELLSLKQQGVYDAVERVGSIIVRTILSPIDENCNAYFSNTIRKESSVFNKNTDNHDDLVKNLSTILHVVGVLGFVACIFGIPYSSTAISLYGGKLLSDNGGALLLSLYSAYILVTAINGITEGFAMASMDNYQIYSHGKFLFVTSTLHLFINYVLCVYLNSAGFIVANIINMTIRIVYNWRTIREYLGESSPSFTTVFPSFSTSMFLGASLFASSFSYLIFATTPGLSHNLSHIAIGAVCLILIAQHTIQHDPVFASIIDSFAKKHRH >CRE10229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:707948:709049:-1 gene:WBGene00062836 transcript:CRE10229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10229 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3M606] MIAKAISSRFNWFRCAQNACIVCIISVFSSLNIIDPVLFKEHQDHHVGCWILICFGFFVGFAIRASLLKLKSLKPICLKSSRVGLAGMRICCIIQILVFLYMKWDPKTASTSTLVLLVFYLYFYSYYIFCDEKEIPFVRFDEEDWNYLSNICPFFVIAVSWTYMFTVRQPEFVLHCAYHVIICIFSMNLYKIKSDDRVSLNQIAMAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKPTNMKRLGVDPSCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE10070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:697920:700740:1 gene:WBGene00062839 transcript:CRE10070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10070 MEKPKKDTILQLMIIWIGLLSVNSLECYDSSYPVPHRRTECGSNMMCYSEYYAVNRSGTIRQYYDRFCVHESHCLYRGIDESCPTLAQLDHKMQSLFTKHHAKSQVNSIRFSEFCCCSTNLCNDVNHKRVYDKYGLQITFADNVFTDASSSRSNIVSLTLLFISIIMYFVSVF >CRE10069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:696000:697080:1 gene:WBGene00062840 transcript:CRE10069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trx-3 description:CRE-TRX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M603] MAQNFFSGTSLRLNDGSMVDAGEHLKGKIVVLYFSASWCGPCRQFTPIMKELYQQISATNQPIEVILLSRDYMRFQLDEYYEKQGCSWGVVPLRDPIIEKCLEKYDVKALPSCRVVDEFGNCLDANARHNVEKYREKRQMTELFNKWRQQMVQVRA >CRE10068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:688431:691329:1 gene:WBGene00062842 transcript:CRE10068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wapl-1 description:CRE-WAPL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M601] MSTQSDPDDPFSKPIVRKRFQATLAQQEFNTEDDILPSVTNAETPESHEAADGPGNHLSSPPLSLPETVSEGNPETNLSDESEPEMMSQSSTGSLSRKMEESAIEQAPRLTKKSSSRGFDYDATSTTTAPVHKKKKEEIDMGGAMFFPKQEKKHVYTHKWTTELDEEEEEASTSSSYRAPRKVNQPAVSAVPRKPVYSTSSSYSSSSSSMQRSRVRHIKEANELRESGEYDDFKQDLVYILSSLQSPDSSMKVKCLSAISLAKKCVSPDFRQFIKSENTTKNIVKALMDSPEDDLFALAASTVLYLLTRDFNSIKIDYPSLRLVSRLLRIEKLEMRPEDREKVLNMVWEVFSAYLEKQEIGGQKVSFDMRRECLSPSSLIIEALVFICSRAVNDEIFKNELLNLGILQFVVAKIEADVNLIADGSEDPFNILILNRCFRILESSSVFHKKNQAFLISHRSNTLIKSLAKFLQIILNKVHTMPEDLVKKYISCLALMCRLLINISHDNELCCSKLGEIENFLPNALTTFTYLAPKYGKEDGYDINVMMTSLLTNLVERCNSNRKVLIAQTVKMVIPGKEEEEVPALEAITRLFVHHEAQAQIVDADLDKELAFDEGGCVEDEEEEETESGDEDGVRKDGRLDRNKMDKMDQVDVVHALQQVMNKASAHMEGSVIASYHALLVGFVLQQNEEHLDDVRKHLPGNTFENMISQLKRLYDFTKTTMSKRVESNSGFRAIERVIEYLERLD >CRE10226.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:687170:687988:-1 gene:WBGene00062843 transcript:CRE10226.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-htz-1 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3M600] MAGGKGKAGKDSGKSKSKVVSRSARAGLQFPVGRIHRFLKQRTTSSGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLHLAIRGDEELDTLIKATIAGGGVIPHIHRYLMNKKGAPVPGQKPGAPGQPQQ >CRE10226.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:687170:688192:-1 gene:WBGene00062843 transcript:CRE10226.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-htz-1 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3M600] MAGGKGKAGKDSGKSKSKVVSRSARAGLQFPVGRIHRFLKQRTTSSGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLHLAIRGDEELDTLIKATIAGGGVIPHIHRYLMNKKGAPVPGQKPGAPGQPQQ >CRE10225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:685178:687057:-1 gene:WBGene00062844 transcript:CRE10225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10225 MTHKVSCLRTNCFHLSFQNLFHFKIFHIIFLMISKVMNWFRMPPRDAVPTSTQLSGSASDGVQNDTKVLKNRPKASALRQQKLPAWQPILTATTVIPTVFVIGAIFLPIGVFLFIASDSVSEYPIEYTSCSPSPCQLQINLPNSFDGDVYLYYNLENYYQNHRRYVKSRNDQQYLGDLTNVKDCAPFDYDPETKKPIAPCGAIANSIFNDTFQLSYQPVGGFPIPVPVTTQGVIWNVDKDRKFKNPAFPQGSNLCEAFKDTAKPPNWKKSPCEMGGFENVDFIVWMRTAALPYFKKLWRIVERSSNAAFTNGLPKGTYVLTVENNYPVQSFGGKKYFVISTTSWAGGKNSFLGIAYLVVGCLAIVLGVVFVFIHLKFGHSMNELSNVSEIHH >CRE10224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:679230:680325:-1 gene:WBGene00062845 transcript:CRE10224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10224 MSRSIDSSSSRMLPSPITNFVTPSSSFSSHQMHDIYSLAATYLQTPPPAYTPTTTFHPHSAAQLLSLHNMTAAVQTPEDPDIDVVGLTDTTNLVSLNDEEEEEKLDQTTTSEESDRISIATTEESPLDLTFKPASLDSPTSSSFVPFRPSVIIDHHIPKSHTSVRRSMSSVSSSASSTQEEVAAHFRRSLSGKWPKRCKVNSDESRNSPLRRRPSFNTHTSVSSLSVHSVSPTPPNPPVTQTIIVNNRCSDTSLSVADHFRRALFGKGIFDFQRKSDK >CRE19642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4788:7:1733:1 gene:WBGene00062846 transcript:CRE19642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19642 MLLLFLMFLCIPKSILASVSSGSCQDKSPYCNPNDCKVRPGYAMVYCRKTCGNCVDFCEDSKFITCSSERKKDCDEMLSDYCPKLCGKCYSKSKPDAKRIKTIPVTQFFKNPATSTTTTTTRSPSIRLKQPRMLPNGTFINPPLPKYEKLDDTTFTIPEHPTVIDYPIAHMEELIPEPQRIWPEPEPVRIQPINVYSPYAFVPLQQHSPNQYSPWSQSLGLTSSLDTSRRAPQNYYSSYSQYPQYPDEKMNSIAPSSPPATPFENPMQLVEPFLAPGQPDLSPKSMSSLINLLGCKDKDDMICKHVTADTCLSRPGYYLKLCPVTCKNCSGYQCIDSIKIDCAEVKAQGACKLSVASEYCPRTCEYCNPPSDLAQTMSDCKDELDTCEQLAESGACQHDFSKSALRLYCAKSCGFCKIPQFYFSDSPLMASVVSTRKLMKNSMNRRDRFLG >CRE10223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:673122:673884:-1 gene:WBGene00062847 transcript:CRE10223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10223 MRRLLIVLTTCAFADAIGTMLVQGRADTIRTLRDIVRHSSIPSHPSSHREIKHRNQEIPNNPEVIIEEPKTSFHSKKRNVRFLGKARARRHIGNVVVESGQCDHVDLMDCSDFETDEMTCMLTATGMTCCVCTGLLRAAKRSLF >CRE10066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:665612:671682:1 gene:WBGene00062848 transcript:CRE10066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10066 MLLHTFFDDSKTSVEETPRMFVARLFGSPVSTSVIPFEKESNNNNQENTKMPLDPMDSLWMSKAPQVSDLVVQPNVTFKAVTGGSAHSTSSSIPIAGADDSIRPDFDTDCLWKPPTRSGRVQKERTISMDSTDSEIGALGGRKFSTQEGSSPAPTSPIEAQPRARRMSISEMLFGSSPKSFSWGMDSSNSGATNTTTTTTAGGADIGERKMSITDDPRFKDFMKHQSKIIGDDGISAAGFKRSNYMKD >CRE22894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:711573:717870:-1 gene:WBGene00062849 transcript:CRE22894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22894 MASEMSRATNYCCECIASQGRCSADTCPCVAARKTCDEHCESTRYRGDCLNQAICKCSCEVDPAKPAKNACSKSERCDCLRIKEGCSKLCACKQICKNKEAPKKLAKVAKPTSGCQCAKGKKQCVKKECACRTVYGFCSAACKCGGDCTNGASKFSVPKHVQNCFLEHKHESSGLIVTLIGEDYVYRGDFYHESKGEPHVEEQLVAAIYDLISKYTVDLHEIQIFVSKSPCFHQDCEPKCEVVDECKSNKACAKLLGLLLSKVRKEIKKVDVKMTVKFLYPHLNRGDLYTKQGILCMLQAGIKVEPLLMKDWCAIMDWSPHVDHKGDYLQLWNNHHLDKAVAQSQLFINECRRALGLSMKFWVAEIHEIVKDTILHFSDLRTKCGDLNDALKQLDLTATPHKIRSTPSKRRSFIDLHELRDKLLRSSSSDGGKKAGSHVSVASEDAQAQAMVASFCGRSFDDCETDEIAQRIRRATANINLEIETLMEFLNHKGALS >CRE22893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:697192:705979:-1 gene:WBGene00062850 transcript:CRE22893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sulp-8 description:CRE-SULP-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MHE7] MSSPTFHMIKLFHTPPRGSICVYQPCTTTTDKDTKPISPIRKKLQEYIPILEWLPNYKWKEHFSGDVIAGLTVGIMHVPQGMAYASLAGVDPVYGMYSSFFASTIYMFFGTARHISIGVFAVASMMVGASRLRNAPERLIIANTSTVVPDEYYPLGEYIDPLVYTSALTLLVGVVQIIMGVLRLGFLTTYLSDPLVSGFTTGAAVHVFTSQLNKVLGVKLPRHEGIGMIVRMYRDMFWSLGSVNYVALGISIFGILFLDLGRTFINPIVKKVSPIPPPLELILVIFGVIISMIFDLDTSYHIKTVHEIPRGFPSPSLPQLHFLPALVQDAIPIAIVCYMFVMSMGKLFAKKHKYKTDATQELYAIGLASAISSFFPVYPVGASLSRSSVCEMSGANTQLYTVFSSLLLLTVILIFGPFLEPLPMCILACIVIVSLKSLFMHVKELPRLYRISKYDFTIWLVACLSTIFTDVTTGLVISLVSSLFTLVLRQQWPTFSTEVLHDETPRQNLPEGVEIVRFGGAIHFANVTLFLDKMAESIGRVPEGETLIDGKTLILDGAPIGYVDSMGVDALRDVYRDAQNCGVQVFYCGLPEGVLSVLCNDENFCSVVPSSTFFASIDRCILSIVQQQSV >CRE22892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:691401:695561:-1 gene:WBGene00062851 transcript:CRE22892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22892 MATVEEQLASLTQAVAQLVQLQTTQAQAQTNATAPATPTAPRLFDQISNRIPQFQYDPEMEKTFDQFYSRYKDVINKDGHQLSDEEKTRIVLSKISDSDYTYYSNRILPKLPVDFNYSDTVNKLKDTFKSTSSIFKKRQEFLRLEFDGTKLEEYTGTVLRKFTAAEFKKMTDDQVCCMVWISGLRDERWTDMRTKALQTMESKPNITLLELEGEVKRLLDIRADSMSITAPSTGINLVQKHSQRNQRQTKHQPNPKSETAPSKPCPGCGGTHWLKDCKNPRKVKCRYCKRAGHLETDCRTKQRNQNKKGAESTINSVVIQAATTSGSNRIYRTVVVNDKPIKMQFDTGADVTLFHESDWIKLGRPQLHKPTITVRSANNQPILVKGWFECNVTIGKDAHVLKAHVAETKTLLGIDWMARDQQLWNTLNGSEQINLVGSLTGSACDFLDGAREQLKRSLENSFPQVFQPGLGKCTKMKAEIKLKPDAKPVFRKCRPVPYATLSAVSEELDRLTLQGVLTPVDHSSWAAPTVTVKKKNGSIRMCADYSTGLNDSIEQHRHPLPTADSIFTSINGGKYFTQIDLAEAYLQMELSDDSKELLCINTHKGLYQFNRLPFGVKSAPGIFQQLMDQLINGIEGVASYLDDVIVTGSTVSEHDDRLMKVMSRINEFGLKMKLEKCNFLMQEVRFLGFIVDKNGRRPDPEKIAAIKNMPVPKDVSQVKSFLGLIQFYGAFVKSLFRLRPPLDALTKKDTPFRWSRACQNAFDQIKEVLQSDLLLTHYDPNKPIIVAADASQYGIGAVLSHRYPDGSEKAVFHISKSLNKAQQNYSQIEKEGFALVTAVTKFHKYLHGRSFILKTDHKPLLSIFGDKKGVPVYSANRLQRWAVILLNYHFKIEYVNTMSFGQADALSRLIAENADSKEPEDHVIAQVELDITDTFAQGCKQLPVNAHTIRSYSRKDTTLQEVYKAIQTGQWPKSVPKNSPLWEYYNRREDPYIVQGCIMFGERILVPPTLRNRVLKMLHRAHPGIVRMKKLARGFVYWCGMDADIQKMVQSCDQCAAVAKGPVKTTLCSWPMPTAPWQRVHADYAGPIHGKYYLVMVDAFSKWPEIRPTTSITTSATLKLFQHVFSQFGIPDTLVTDNGSQFTSSAFNEFCKSQGINHIRSPPYHPQSNGQAERFVDTLKRALGKLKGEETDDTALNIFLQNYRSTPCDASPNHVTPAENFIGRRIKTFLNQLLPSSAPVSSDYNHKMEDQFNRQHGSRTKEFAPHDKVYVKSYRNLSATTWTSGVIICRLGKTLYSVRVNDNTTWKRHANQLRRRESPPAAKTIPMDLIEGKNFEEPKLSQDVHTQQLSPPRATPAHHSNTVSCRRASPLQSSISPTRPSHHGPV >CRE22891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:684450:685928:-1 gene:WBGene00062852 transcript:CRE22891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22891 MSCFSSMLLLVLVASAILVESRVFRFEMPSDRIQEQKRDGADVSFDYDANQIIRNTMKRNRQCLLNAGLSQGCDLSDLLQSQTQARKFMSFAGPGK >CRE22819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:678079:682762:1 gene:WBGene00062853 transcript:CRE22819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22819 MVLVVENAFTLGYRLKLRDLRVVEPYQVTDYMLPPVYPSPSPSSIYQPQPQQPVYPEVKPAANTSSVILSSTTLPASIGSASLPNSVPPYVPSSVVPPIPAPPSQPITAEEVGPIPPPSVPLSPWITAEPIPTLSHTARPPPPATTIPPLPTLLPPSNISYVTSPPSQDSPCARALYGDATIMCEHQQEICPMGTFCQIGQGQSICCPIMEEPPCEQSIEEGVGGVLLRRWFFDPATRLCQPFYYKGFKGNQNNFMSYDTCNRACGATNVCPGGSPQMSIHTHLLSCNSESDCPYYHDCIQSTPHNLCCPKVLVAQPPPPLPIPAPVPQEPSNLVIDIIPAPQHPHPSNNLCDQPVDVGFGHQSEHRWAFSNGQCTSFLYAGQGGNMNNFLTRTDCVKTCQSSAPPPPSQCSQPAASGHGEQYLSRYFFSPEYRQCLHFIYSGDGGNLNNFESLTECLETCVANGIKFSSLANSPMSPVPPPPTLMPLPFPPQETFEPKFKPFRNVCPQGDPLITVDGQPIQCDPSKAAKCPGDHVCTPRGNEAYCCPSPMNFCLQSRPPISVCNGPDFEPVREIRFTYDPLADRCVRFSFQNCRGAAFAPTGSLNNFVSNSQCNRLCCNQGYNLVYKRRLLMAMNDEPMGDDE >CRE22890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:671238:676932:-1 gene:WBGene00062854 transcript:CRE22890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22890 MSNSPPPPLRPLSWSTQKAADRVVDGTKVTGQHLAAVKLAIGKDLTATWDAIEAIQAEQRTNSTLLTGQVNRAIFEAGRANRLVDLLASKIESLERKVSETCLCSGVVDMVGKWDDHRVISPTTPTSPRARSLSLNRGLRPQILHTPVVVRQQGVSRDESAADMDLSQRPIDAGESIMENSHPLMANNLTPIRIGSPQLEEGENESILHANLDNTVRYEVYVSTPNERNEEDPTPEDVQTAGTASGGPTPPGSTPQLRLNDGNIPNVQELITSEDNEDKEEEFVPYVMALSFKSAAPLPSYGGTSHEDFNSFVRSFKDRVVAIDAEASQEDLKRAFLTVLKDQARDRAESILTEKPEATFDDLVKGLKEMFECPSHVQRSKAQLRSSKQLPHESAEVFFHRVSKLAKQSYGSNISFQKEVTLEQFLNGLHPNIKTPVMLRSPPTPEQALSDALAVEACLAPAEQPPHGSQLSPELVASLTNMVIDYEQRGNRRDDSRRRDDSRDGRHHNRRGNSTYFRGNCYYCGKFGHSTKDCRQKKKDQEDGIIRQGTGAPRNPVSNHRVGGRAEVNTVGREDENTTLRRAIQERDEQIQELSRRLNGNGYYSSGGNTSVSMLYWPSQPSEQEPHVQQAVHNFQHVGSERITAQVPIKANGYTCNALIDTGANITIAGANTRKFLRIPKLTKTPFDHAYGLGGNEVRMEGYADIYFQIGSHNIIQRTYFTVGKCTPVNPHGYDFIFGNDLLSRLPTFFFDYNNACFHIGEDILPLGPSRQGTTVPVQFDIKTAEDTVIPPRSERIVKCTIPMELSRMSELTILAVPPRLPGEELLVAPSVMSSSNVAVMITNASEEEVTIQSKTKAVALDDDPEFRIDLSKTEGISAIEKAALQALLDSFSDVFSRNPYDLGSSKTEPVHIYTSTEIPVKGRPYRVPVKYQAELEKHINGLLRSARITESNTPWTSPIVLVKKKNGSLRVCLDFRKLNEVTIPDNYPLPRIDTIIEKVGMARYFSSLDMANGYLQLRLDAESSYKCGFITENKVYAYTHLPFGLKSAASYFQRALKTVLAGLEEDVMVYIDDVLIFSKTFEEHLVSLRLVLARFREFNLKASPKKCEFVKQSIVFLGHEISGVSYSPNQANIDAIAKLPTPTNVMELKRFVGMAGFFRKFIENFASIAEPLTRLTRKEQKFVWSQEQQEALTKLKTALTSKPILSFPNYEKPFHIFTDASAVAQGAALMQAAEADPKNFHVMAYASRTLSDEETRWAAIQIELGAIIFALRQFKPYICLSKVILHSDHRPLTFLLAKNKVNDNLARWLVELQQYDIEIVHIEGKKNTVADCLSRAKDEIAPLDNQELEDIIDFPICMSARPLVPSENQILTLAGNSNPINLITEQDMDKDILIIKTFLQNPATPIDRLSDQWSDVLELMQISSKGFLVIVFQGIPRIVIPRQLRSLIFDSFHTNVMAGGHLNWRKSLQKAQRKFFWPNMKADFFRWYSECVPCQQKRQPHPSTRQPQSVVITTRIFEKVGVDLAGPLKTTTRLHKYYINIICWFSKFVISVPLPDATAETVARVILEECVLKYGTPTEIVSDNGPCFSAAAFKQFCNMLSIGHHLAIPHHSRGNGATERTFRTFHQMTSKYVNPTHTNWDTILPCVTFAYNTAVHSTTGETPFYLMFGRDPTFVIDKILDPSPSGFTDEDVRDWATHITTTLRQAWKDAAEHSLKVQEQIQARANDGAKGSDIQPGDRVLMKNYESKVGLSRKLVLPWIGDYRVLEVSENEALIQNLKKPDKAPKRVHLDQIKKLVLPEAAVERAEPGAELEVKKMSEKTSTKPKSVKSAEDVVKPAGSGRQAEPTPTQPPVGRRNPPRKRNPPKRLGG >CRE22818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:666335:671047:1 gene:WBGene00062855 transcript:CRE22818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22818 MEDHKPRPCQPRPWLKEQKCPTGFWCHEGQSEHSFYCCPSSRKFANRCHLPPAVGYGKQRMRRFYFDWKTDACHELQYSGIGGNENSFMEYEKCEQVCRGAGEPPIQLPSNMKILPKEPPKEPTKEPKKLEKPEKDKKMVYPKEVGAPVSLLPPSVHTTTSVYEAFYTVSPKSTSSTPPPSTTLSTTPSTTTTATTEPPESPDVFDPNPCALSPDKGFPGSMAVNMWYYDATSTTCSPFMYLGKGGNSNRFETSEECIDTCGIGKLYIFVVDNFLIAFDQTEPCAFKNTIISGKPTRKSCELPPAIGNGPFNIPRYYFDRVTKKCERFFYSGRDGNDNRFYKKNKCERLCLRSEFFYSKFENINIFSLEKTKKKENIYEFTTTPSMPPVIYESTPMRIIQNLVPSETRAQSTSTYAPSTDTRMNTDPYVYATPTPQVHITVEPWVERFTETSSNVFEYSTTPHPTLIYEPRGETFPTITPPSTTTTPSIADHVFSSLMNTQQQELVKFVQPPPATPIVQKPETPRKFSSHESIPFGQIEQQPIPLTAYGSSKPTVNQFGMKVRSDRITLYTSHLLFEIVLDRPRNGLMDESGEAPSSTAWLGPRCCLIDTKCVAEVARAHVTRMSSVELPGC >CRE22817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:654921:657206:1 gene:WBGene00062856 transcript:CRE22817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbx-1 description:CRE-RBX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MHE1] MAQSSDSNAMEVEEATSQTVKKRFEVKKWSAVALWAWDIQVDNCAICRNHIMDLCIECQANQAAGLKEECTVAWGNCNHAFHFHCISRWLKTRQVCVCPLDNREWEFQKYGH >CRE22816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:650247:652274:1 gene:WBGene00062857 transcript:CRE22816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-9 description:CRE-ZTF-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MHE0] MNRPVLCIDCVHSESYMSHHDLEAHIASEHLNLYPYDCEKCKFAKFPTESTLTSHCLNDHGLQEFVIKCRYTAEFAMKRKELAQKLNQCYTMSRSMLTDPRLHDGDGRVKLENGDLPLPATLHQTPATIYTDNTTMQQYHNFLDETLSRHEEEKLGSSTELTNGCGPIKPKVQIQCQLCQDIVSKQRSSMVYHANTRHGKYELYECGICHRKWQTIAKSDVIKHIRSHHEREDGSIDESMVIDYRKSLGNKLKEITDKCFPEKKKARIGYTDATDSIPE >CRE22889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:642995:647719:-1 gene:WBGene00062858 transcript:CRE22889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22889 MFQMNSVPKLSKRLPNLSTTTIKTVGATPLITKALSPRTFSDPPKLARYLGKKVLAHAPGRFVVIEKPFGISCVGQLQQNGGVFGNSVRDERKTEKWAGTIRARPDEEVGVTITDSLKLLRKILNEPNLSFCTGLKRYLSGAIVLPCNERDANILKDCIRRMSADVEPPFMYNALAITLNSPPKSSGTITGFSTFRNVGKHVEYIFEERKVTKRAKTGKFAVEGHMSYRVLDTRNGISLVDFSVNKFARHLPRLMLTQMLSPILGDRIYWRRLMELDGVPELVSAGSRVPKYTPFIPKILAERFSMTNQELSTSLPIYCHVYNTIFEDVGGYEEQGLVAAVEPPAHFMAALKLLDLLPAYKKFKNQTEKPTEHVTVLGGITSGDAFF >CRE08759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:587388:587543:1 gene:WBGene00062859 transcript:CRE08759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08759 MPYYSGRLTKLMKVNKVIETEVRERKERSHIAWVFNLFSIEYSHPEMFEAS >CRE22887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:619375:623670:-1 gene:WBGene00062860 transcript:CRE22887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xbx-6 description:CRE-XBX-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MHD7] MSYNQQPYNPAYQNPYPQQQYQGQGPPPPGFNMGGQQNQSNQGGAYFAPPPPMHGGMFNQMENGQQGGGGDNADGKYSFQFSDKTIRAAFVRKVFSLVFIMLCIVAAVTVVPWVHDPTMKMVRRNTALYLGSYVIFFVTYLSLVCCEGVRRKFPANLIVTGIFTLATSVMTMVISAHHDANVVLLALAICIGCTLSIIAFASQTKFDLTAHMGYIFIISMCFMMFGLVVVVCSMFFRIKFLIMIYALGGALIMMLYLFLDIQMMMGGKKYEISPEDYIFAAVQIFIDIVQMFWYLLTLFGSR >CRE22815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:614375:617764:1 gene:WBGene00062861 transcript:CRE22815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-120 description:CRE-TAG-120 protein [Source:UniProtKB/TrEMBL;Acc:E3MHD6] MEQGYGATTNDDPDGKYNLHFTNQSVRAAFVRKVFMLVTIMFGITAGLCVIPMASEPFRNWVYNNFWVYFIAIVVFLVVSIALSCCANLRRQFPINIILLTIFTISAAVMTMFITACYNVQSVLICLCITTVCSGSVIIFAMKSKSDLTSKIGIAFMLSMVLFSFGMFALIFTLIFKWYFLYSVYSGLAALLMMFYLAIDVQLLMGGRKYELSPEDYIFAAMEIFLDILNIFLMLLNIFGRGR >CRE22814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:606864:611146:1 gene:WBGene00062862 transcript:CRE22814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22814 MERTSSLRRKTSDGVLAKASNFGQMLEDVRKLALSKSLWDDEMQRDLPKKWEKHGDMIVFPQNTFTHINWRYIGRELWAVVAQSLNVARVGRKRQIDDDRTAHVDLLHGADAWVDYVDERGVKFCYNATVRVFDNSKKAEMKRISKWACQGQTIVDMYASLGYYSLTFLVSCEAKQVVAIDWNDEILESLIRSAQINQVDDRLLVIHGDCRRVCPDQTADRVYLGLLPSCRAHWLAACKALKPDGGIIHINEILDMNAVKKEKAPDTVAVTEKVEKVEKKKKPVEKSDKENVPKKKKVLRQKTLPVLSAVHEDSKPDAESTSTPSDSTIPDQPPAAPESTESPESNGVSEEGVEKKKKFTRSASIVEEMENRVLPDVRVWKEYENEGWSRLGDRHKEFAMDCALSCTRFLNNIHLSDCLYSVTVVNMVRYGEVSKGKEHVVLELLCCQQDASAEHLISKFNSENLKS >CRE22886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:595693:600020:-1 gene:WBGene00062863 transcript:CRE22886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-188 description:CRE-STR-188 protein [Source:UniProtKB/TrEMBL;Acc:E3MHD3] MPYLEKVTGTNWKISMAYDHIRTFANGSVCIRRVLRYFGACLICRAEIIAHSVGCAFIVFRINHPDAILTPDYSSWVVLIYTAIFGASMALFASHFIYRYGSIDKPFGKRFTSGWKLAIILLFPVVYSLWWAAVVRICFWPNDDMKEYTRWRVLITDTHSNRQGNYRDLIMKTVGQDVENISYIGTMFYQQKTGNVDETLNPIAWVGVGQMFFMVGSSMTCVFGFGTLCYTRLSNQLSIVSSAANNLQKQLFYSLVLQTLIPLVLMHIPITVYFVCPMLNMDLDFASVFVASTITLYPAVDPLPSFFVIKSYREAILGVFQKIQGMPPRNTSVGVATSAVRFDSKIVVHII >CRE22812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:583395:590124:1 gene:WBGene00062864 transcript:CRE22812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22812 MDNHPHVQPVRRRLESEQADPPQSEISMSVVTDDTRLFSVRRRPTLYALNENKSIWCCPINVEPILFLVTCGFGLMATNNSLFTYWARCVQIAQEHKELSHNATYTCASIATYNGTLQDDVEKDIANTKIYLQIFGTIPTLIVSPLIGNWSDKNGRKPPLLFSLFGLVLNNFLLLCATLTYESINVYYWFFVSEVLLGLFGGGAATFSTTLAIVTDDCRHKLKPGSSTVPFRVGLASFIQSIGMLSGTLIVSILAVPAIISVERHALSYTKCAFIQTGLSLIALIYAIFFVRETHFPKREDFSYNQFDDNEEAVMDEEELPPKPRGIRQFTTYLENVFGVLTVRRPGLTRLCLCVSLAFVFIEFLSFDPALLLLLVKRLPFAWNDKLFSYFTVTRGLVSSLGMVLCPILLTFCHWLGKDSLLIIAALASSAATSFLTAFATRTEHIFYSKTGLTSALLIECVSACAFGLIMGGMQPAYRSFLPRMVAKEETARLLTVVSIIISFSPILSSLIFNNIFNATLTWWPGFAFFVSGCFQSSVFIGQIIIHMLMRPQWALDKQLRARRLDESDSPPDTSDFRVVGVPDVEERSISNTAVDSDSGSRREI >CRE22811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:573180:580373:1 gene:WBGene00062866 transcript:CRE22811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22811 MTAGSSWTVLLLLLAAPLAVQMVKREEFKTCEQSAFCKQHRTIKVRRGSPQNHHNWSLQEPTGYELLAASIQHDGAVWTAQLQNSQNTLKLSVVGLADSTVRIQIDEPETSIRKRYVPTPSFVALPEELAFESVENGQQEAKIVGGNRKLKVVITYKPFLVSVFNEFDELVAQVNRDGKLKVEEFRVKEEGKEYPEGFWEERFKSFTDHKQHGSSSVGVDISFVNFKTAYGLPEHADAFALRNTVGNTDPYRLYNLDVFEYELNNPMALYVSIPYILAHRANRSIGALWFNAAETWVDTESSVTSKGLFGKMLDKVTGASDNVPHFDAHFMSESGMVDIFFFVGPTVKDVQRQNAKLTGTTPLPPLFSIGYHQCRWNYNDEQDVAAVNKGFDDHDMPMDVIWLDIEHTDGKKYFTWDKHKFPTPADMVSKVAEKGRKMVTIVDPHIKKDDGYYVYKDAKDKGLFVKRTDGSDFEGHCWPGASEYLDFWHPDTRSYWKDQFSFDRYTGSSSNLHIWNDMNEPSVFSGPEITMDKESIHYGGIEHREVHNMYGMMYTSATFDGLMARTAGKERPFILSRAGFIGTQRTAAIWTGDNTADWGHLEIAAPMTLSLSIAGVPFVGADVGGFFGNPDEQLLSRWYQTGAFQPFFRAHAHIDTRRREPWLFSEQTQGIIREALRTRYALLPYWYTLFQQHSQNGVPPMRPLFYEFESDDSLLEEQKQWMVGSGIMARPVVEKDTFNVQVKLPRGEKKTERWFEWISGAEVHGESIYVDAPITFTPVYQRGGIIIPTWQRIRRSATLMKDDPLTLYVALDSNGNSKGEIYLDDGATHDYQSGQFVKTSFNYKTESSREAVLEGEHVDGKYAAKNWVERVVVRGVESSPKKVEITRVSDPVQPLEFSYDRDSKVLIIRKPEALLTSSFKIHIEF >CRE22884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:563205:566608:-1 gene:WBGene00062867 transcript:CRE22884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drap-1 MMASTSSGAASTSSSQAAAAVIRRRRFSTAKIQPTRIKKVMQSDEEIGRMVQSVPVSIGRAMEHFAEKFLQAAADATQYTSSKTLNPQHMKQAVMNTPHFSFLESLFKDITLPQQTTELSTARSAMTLREQQIYQEQQNSDLASAILANAQMQMIQMEQKTGAELPPYLGAGPPVPLYLQGIPPANYPVVQELAATIPLSPSTPTTQLVNGMMGMLKCNGKKGAEPVTAGIKRASTDGGDEDRPKRGRPKKLKAEKCIDDDLFEEASKNIAKVLREGESEDKDRELMPPPALPIGRP >CRE22882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:552990:555372:-1 gene:WBGene00062868 transcript:CRE22882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-4 description:CRE-AQP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MHC7] MATAYSDVVSDSRPPYMSSYAVEDSWGQQVTTPHQKTSSISRKKEYSLLTKCVAEFLGDLTFVYVGTMQAHLFPFADGILHAAFAHGLTIFILVTAFGHISGGHFNPAVSWAIAGAGKMPIWHLPFYVASQLFGGFCGAMLTASILTQTQLSDCSAGATLVSPGTQWWQGLIAETVVTFFLVHTILISAADTDTVTLAPLAIGLTLSIDILSTGSITGASMNPARSLGPSIVGTIFATQQTSYYWTYHYIYWAGPLLGSTIALCIYKLFESREERIVP >CRE22881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:551089:552446:-1 gene:WBGene00062869 transcript:CRE22881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-marc-1 MRLAAPPIFKAAISSNSSMSLKPTQVETPPKGLRPAWLFDSLTESTISASRRICRICQMHEGEMVRPCDCAGTMGDVHEECLTKWVTMSNKKNCEICKSEYSKSGAQFKPFKEWSKPKPDMKNVFHIFLIIILSILITYVCIIMEERYFHERIIAGEMLTRPDDTGRIFLIIVLALAILNNLYTLAMRIVLYLKKQRRIRFINKHSQ >CRE22880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:543432:550088:-1 gene:WBGene00062870 transcript:CRE22880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sta-2 description:CRE-STA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MHC5] MSSIISDQMDVESSSAFSPAEPVVDGAFVSKHNLSAEAYQTFKECADSLLSGKVPQPEQLSFIQRTLQICENTILNFEDEKQHLMSDVLLVWAMKQQKLSIATLHTQQLHYKELDLINLQFEYFGELLQQTLSGLDYLKQYYPNVGFEEVHSKVRNLTHYFLYYSIIVSRQPPSVIVKCGEAENHRRSRFWFNTEIRILGGSAFGIDTNNENSNVNCYLITDETAKQLLNNAYLDIFESEEFCIEPSTTTFQKKDTRGIKAKFDDMKVAKKVQLRRDSVATKRYCLCYNIQLQTNCGIELVGKKVSLPFAVLVGPKADVEAKLFLERSFADLVRHPLSDIPTHVSCAEMADALEMKFQAIIETPQKNTDGPSVVQPRKFNMQTKQHLVMRMKPNQQGFLPLDNFMKLPVAEEFQHKKSASSDGDWKLVPYYDWFFKLAEITNKYLYSMWYDGLVYGFCSKEDAENILRCIPRSVLLVRFSDIEYAKIKISVKNRNGEIRHHWYEHADLNARSLQSELLTNHKFSDVDLIYPDIDLEVALGGRNKPRVRLPRNLAPDEIYFDNQGAAT >CRE22879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:537213:541712:-1 gene:WBGene00062872 transcript:CRE22879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22879 MLPNLLLIASCLTVVVVVVGENNEVTVPAVRVVRLQVDYRNASVSDLQKIHKWNAIMRNSVLASLKFINKHWLICGGSPSDGSSTSNADCGKAQVTGEIVGDKHYRINVTLIAERDPVKNAKVGATSTVYAVAHIGLKGGIFQYTNALKTLGKPEPKLAFDEAFFCYRGATLVDTDKCRLCTPGTIYDEFDEKCIACPRGEYQDEHGRTSCKACPESTTTVGTGTQKKEQCIHVCPPGYFYDTASKMCETCGLRGYQPSSGQDRCILCPEGTVPIFQNSTSIAHCLDKCRAGMQRSSDGSTCEPCPIGSFKSADDMVCMMCPTGRTTLSKASKSLAACHIKICFPGTILDHSTFKCEPCDFGTYMDEYDGRICKTCPVSTTTYQQGANSAKMCEWTNQCKASTHNCHWLAACIDLPDENHKKMYSCKCKPGFVGNGFHCVDACEGFCLNGGSCLKTGRGETKCICRNGFVGRRCQTEE >CRE22878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:535753:536466:-1 gene:WBGene00062873 transcript:CRE22878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22878 MSLLFTTILFFFFLITTVSPTAHLRLDMTASNECAIRLIANILHSESFWLQGGAQRTTSFHPLAQHEHSITVIYSIDGGSPQERVYEMRKAGTTRREVLTFGEIAVLVEATVECDDGFHGSRCHKPIGSRVKTTVTTVTTSTTVTSDTPSTPEVSTSSEASTTPEVISPNSIIIVLSLITILLTLLAILLILCFFNRRRHRNIYIQPTVFTPQTPTDISLDSGIESSPGYTSKQLNC >CRE22810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:532350:535034:1 gene:WBGene00062874 transcript:CRE22810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22810 MDDDDDKPDRQVESIRLELNEEVMDKDEQKNVWVAQRMLGKGAFGTVYHVYNKSTKQEAALKIERMTAGDNLLKIEREIMEAMQSEKTAIHIFDNGIYNNYRFIVMTLCGPDLQKIAELMNNKFSDETVIRVSIRTLLAIKTMHEFEYVHRDLKPCNFAVDYNPNALHIYLFDYGMARRYARHYNNKWYLRRPRESAQFRGTNRYCSLNMHKRKELGRVDDIWSWFFMMMEMHRELPWHNLMNVEAIEARKEEQLHKVVSSDPFYSSFLPIYAMLSGYEYADRPDYAGIFEILLRKLREINGKLSGPMEYDAARINEAVAKLGENGRPKVVSKMEDEMSHLNFLKTAFYKVVIPGGNQYVIPEMVDFFGNLEPKKAKEEKTDEHKKQHRQQHRAMVPVVNKMTHNPHNKKKQQQQSSGDGNTNRKSVTIGSKSKTNISRSKVAKRHH >CRE22809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:530739:531479:1 gene:WBGene00062875 transcript:CRE22809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22809 MSRLFSTILFFFFFLTTVSPTAHLRLDMTASNECAIRLIANILHSESFWLQGGTQRTTSFHPLAQHEHSITVTYSIDGGSPQERVYEMRKAGTTRREVLTFGEIAVLVEATVECDDGFHGSRCHKPIGSRVKTTVTTVTTVTTSTTVTTDVPSTLEVSTSSEVSTSSEASTYLDAISPNSIIIVLSLITILLTLLAILLILCFFNRRRLRNIYIQPTVFTPQSPIDISLDSGIGSSPGYISKQFDC >CRE22808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:528058:529149:1 gene:WBGene00062876 transcript:CRE22808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22808 MDHIPFFSWLPALLLLFDSTLAFASLLYLDFTRENTTCYLRLVLSLVVLRLSCSVILVAELFLPHSDPTVSIPLIIVSIIHSTFSMLSSVQVHSLSYSNRDKLSEGEGIKMQQCLGSTTTLVTNVDDEISVDLI >CRE22807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:523040:525124:1 gene:WBGene00062877 transcript:CRE22807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nasp-2 description:CRE-NASP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MHB9] MTLADTTNTIAQEKEETITKIVTEVVGDTKTTVVEKETLAENVVDSTTSEEVKESEKTVEEKEAAPVEESVATVVETVVETITETVAEAVVESTTETTTETTVTETVVVETTVVTSEVVEMEANETAMEEETAEETAPEATKEKFDEQLIAGRRFMATNAFDKATEALSRAASMGAELFGEGHEDTFEANFLYGKALLELGKVEDEVLTNALTDIPKATEGDEEVQDDLVENPENVPQEERAEIKHNVEVALGVVSEDTEVIEEEKTEEQTEKTEEAVETMEGDDKVVEEEQKAEDIEMEAVEGETAEEPVADETAAAEDQEESDSEDNDDPIKLSWELLETSRCTCVHKLSALESEENVNAEAVKTWKLNHADVLTSLGEHGIADSKYEQAQKDLSEAIAIQAIHLPATSRLLANTTHLLAKAFNMDGLFEKAATHFSDAKNILIAKAEELKKKLETASEETKKDLESEIKELEELIPELDALIVDSRASAEQTEKIKESIKQEFETIAANVAKLEGEEAKDISSIVRRPTKRPASEEPAEEDIKKRKSGEGVEEAVVDEKAMETSEATANE >CRE22877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:521198:522019:-1 gene:WBGene00062878 transcript:CRE22877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22877 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:E3MHB8] MSGFDRHITIFSPEGRVYQVEYAFKAINTTNLTAVAVKGKDVAVIAVQKRVPDSLVISDSVSSIYQISKTIACCVIGIVPDAKFQVKRARYEAAHFKYANGYDMPVDLLAKKVADLNQYYTQNAEMRCLGIGIIFISFDDEKGPEVYRIDPAGYYRGMRAVSIGVKQGPATTCLEKKIKKKELWDTTHPAEIAIESLQTALGIDVRAKDLEVVVVSKDCIHNLTGEEIEHYLNLIASRD >CRE22806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:511320:518643:1 gene:WBGene00062879 transcript:CRE22806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-42 description:CRE-UNC-42 protein [Source:UniProtKB/TrEMBL;Acc:E3MHB5] MSDNLLNGGGGGGASTVSQFQEKVKDLGVSLHDFTAYYPSSLDVSASLRPISDPSDGAFKKIKSEGIGGGGSVFGSSIAGVTNTPARRRHRTTFTQEQLQELDAAFQKSHYPDIYVREELARITKLNEARIQVWFQNRRAKHRKHEKQLNKTINPQHSFLANPANTLMRQGMYSSALNRDGFWYQSYQRPMPYPTASPSYSNSFTNPIANFGHSIASFPADDEFYQKHLALRMSTTPSAAATATSLANINYQQQPQQNDSSANPPSI >CRE22874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:504942:508341:-1 gene:WBGene00062880 transcript:CRE22874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22874 MASSGPRWGLYPLLAVVAVFEFALGGSHIAYCSPLFFLLFPFINATFGLVTAFHAIFLRYPNRCDFYLQLTCSSIGFFFFFSSLIETYCINEFKYADETIKDGVCHGLKYRTIAMVGSCNDLLANLQLSILDKLGWEPKEREWIRFFTSISLTILSGTQLLICTFLTFYSAVETKIRLYSYHYQVVISIFLIVVSFFHLRYCCTFFFLHLPLAIGLFSLLQGVVSWRTKCHGSTTRAVNIVGAAFAVLLNATTSFGIFCWFNRNTVPHMITRHCHWLSHREAYCMRVVHFTHPYIDWLPQETEREIAAIQITVHTLLFIFSCIQFAISMRSAFSTKKQYLYY >CRE22805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:495644:504721:1 gene:WBGene00062882 transcript:CRE22805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22805 MSTEKSDEVKVMLRRLPKYMTEKEVMEQISPLPEEVVGTYFYPANFAFDHASYASLTLVFSEYSDSLIDFERRFDGYIFVDSRGNDSSAVVEAAVNQNFSKCDRGRMTEDTRVGAILNDKYFLEFCEKLNLEKAVPIMTLEQQIRKLNQPEDARTQIDRLETPLVKYFIDKEYRRRSDYDVRRAKRELKKAEKHKNVMEFLMKPPAASGAPTTSSGGSRRLQKASEDGGEKATNRVMTEKEKEKLEKIEARRKERNAIRKQKFLEEKKRKLDEAEGIQNPQKQLQKPPVEKIQKASRPPRGEKPPKKSMKPPRPSPAKTVGEQQGEDWIKKLTDPKAPIKKKHDVGVEPFLALELKCQLKGVTELRPDDTETFHWHLKLKCTNCGEAPDHWQYVVLNEMLDVPGSRGEANLVEKCKLCGRVNTLTILPDHFKSYNVDKNEQWQQIAVFDCRGIEPFDFNPNGDWIANSIETGKPFREIDLSEKEWVDFDDKAMEAVEISDFDAQFTTVRDPKKR >CRE22804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:487531:494278:1 gene:WBGene00062883 transcript:CRE22804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22804 MGRADVATTSSKFANGQWSVLVVHPKVRWGSGSASVLKQADRQLEEAVALVNNLPNMIAVDSLIMPVDYNTKRKSIWAAGNLEKLVARRETARATALMVNVDVLSPAQQEELFGIFEVPIFDRYNIVLSTFKEFARTDEARLQISLAEIPYIKHRIHALASKRLHSRPEILHVEQQYAEIEGGDLNEILRKREQDLRRDLKEATRRATEQQSGGSKHSSDAAVIAVVGYTNTGKTSLVKRLTGAESLKPKDQLFATLDTTRHVAKLPSGRSAVFTDTIGFLSDLPIHLIAAFEATLAHVKSADVIIHLRDVSNPDWKAQEEDVVATLKSIGVAREVMAERMITVDNKIDKDTSVDSPESSLSESSSIRISCKTGDGMAELIGVINEKVSIATKCKTIRLRLDVRSPVIEWLYHNEFVVVEPISDGNMLIFDVVMNESEIGRFRKKFAHLKRKN >CRE22803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:481554:486350:1 gene:WBGene00062884 transcript:CRE22803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22803 MIHVLLLLLLFPSTIYTVTQDDASHYELLPNSIQHDAAVWSAVLRNSQNMMMLTVVGMANSTMRIQIDNSETAIRKRYIKRTSSLTLPEELAFESVENGQQEAEIVGGNKKLKVVVTYKPFLVNVINEYDELVLQVNKYQKLKIEEFKSFIDHKQYGSISVGVDIAFINLGAGYRLPDDSSALRNTVGNTDPYQLYNSAEFTSVLYGFNYPYFMAHFNDRAAGFLWHNALKTVFSSYNGNRTCQHDLKKNAKNCSEDSVCSLVSEDGRADILLFLGPSKSEVLNQYYGVIRFDKRWMESWIELSASLVFTLVVTVAFIFSAIGLALVTLDVFLATTRSSAKIVPSTIAPARKDKFEEQLIAGRCFMANNAFDKATEAFSRAASMGAELFGEGHEETFEVNFLYGKALLELGKVEDEVLTNALTDIPKATEGDEEVQDDLVENPENVPQEERVADETAAADDQEESDSEDNDDPIKLSSWELLETSRCTCVHKLNALESEENVNAEAVKTWELHHADVLTSLGEHVVSFKNYEKTRKFLNIAIQAIHLPATSRLLVNTTRSLVKKFKKGGPLATLFSKAKNILIAKGEELKKEFGTASEETKKDLESEIKELEELIPELEAFISGPR >CRE22873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:468180:481002:-1 gene:WBGene00062885 transcript:CRE22873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22873 MTAGSSWIPLLLLFAAPLANSDKVFQRQNAKLTGTTPLPPLFSIGYHQCRWNYNDEQDVTAVNKGFDDHDMPMDVFWLDIEHTDGKKYFTWDKHKFPTPADMVSKVAEKGRKMVTIVDPHIKKDDGYYVYKDAKDKGLFVKRTDGSDFEGHCWPGASEYLDFWHPDTRSYWKDQFSFDRYSGSSSNLHIWNDMNEPPVFSGPEITMDKESIHYGGIEHREVHNMYGMMYTSATFDGLMARTDGKERPFILSRAGFIGTQRTAAIWTGDNTADWGHLEIAAPMTLSLSIAGVPFVGADVGGFFGNPDEQLLSRWYQTGAFQPFFRAHAHIDTRRREPWLFSEQTQGIIREALRTRYALLPYWYTLFQQHSQNGVPPMRPLFYEFESDDSVLEEQKQWMVGSGIMARPVVEKDTFNVQVKLPRGEKKVEIWRKMLKNTERWFEWISGAEVHGESIYVDAPITFTPVYQRGGIIIPTWQRIRRSATLMKDDPLTLYVALDSNGNSNGEIYLDDGATHDYQSGQFVKTSFNYKTESSREAVLEGEHVDGKYAAKNWVERVVVRGVESSPKMIEVPSNNRKKFQESQVTVQGECEFSKSEIADIFKKGDQQARLPERIQIGTVIMKTWYGSPFPAEFINVRQLYICEFCFFYARSDLIMQNHAKRCRLRAPPGVEIYRKDDVSVFEVDGRSQKSYCQTPCLISRMFLESKTVFYDTEPFFFYVATKNDAHGCHFTGYFSKEKYEPDVIDLRYALSRREGWNGGPEQPLSDLGKKAYGGYWKNTSAVSLVKMKDRIEFGGRGISIEDIAHDTGINSHDVISVVCSLGWAKIMTGISLCKPQKWNQHIIKIVTALLVIVKTVASSHHSENQNKRKEQRKSVLVINVTKPMKIGQTIHSTSRFRSRSGFFRRSFDAVSRPLVAIAETFLKESILTKLNTLLCSALSQYGTVIEDIFPFQADCIYAFSWLLCVTTGVSMYIDYQREKLKTPPVEEYAMNFSYIVSHEAQNTVFVSVLIWIPTIPNSMSSCLNWLRHLSELFEIMVSFFSVSMKIMSVIFYCISFPLVLEYATDYVIF >CRE22872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:464697:467319:-1 gene:WBGene00062886 transcript:CRE22872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-7 description:CRE-ZTF-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MHM9] MSTSDSGGGNTPDIAKKENVAGSPATKTKTDTETSGGSKNNRPRRRSNTKGDGGSGSRNGSRNNSVSNSNSNNKNNHSNRKDWTDRKSFSQGGISKNNSKSSPGEEHKRTRSSNSQSAKHARDSTRTMSQASNGEGLDYSDEYELEEPFSDSDDDDTRPKKPEKMSLAVARGRIRTLSGTVPLVGYSPRWGGPTMCVSCLEFFDLPEQVTGFAEHLLKEHKIVVSEMHLIVDPKRYIEHWRQRFAKESIDNIFPRIEPAEGDAYFGETDYYYLMSENIAEDRSLRQRLAMRRLEEALQCQQREREDTSFQLQCIFCRYNARGNRSKIIHHLYMIHHLNLGSPDNLVFVTEYIEHLKEKLHRNECIYCEKIFPDRNTLMDHMRKRNHREVNPKNHYYDKFYIINYLELGKRWLDVLAEDFEDTMPTFQDSDEEEEDNEWCEWEEDNLDADETRVVCLLCDASEDNAQSLLDHMKTTHDFDLLKNVEDNKLNSYQRLRLINYIRKQNYHADCWVCQKTEFENPLALQKHILDHKPLTHLPDTSVWDTEENLVPIFGNDHFLWMLESILEESEITCSSDDEGGESEERLAKLVLESKNNTVEGVIAEDLPELSELNEDDLNSLM >CRE22871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:447526:464578:-1 gene:WBGene00062887 transcript:CRE22871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22871 MLKLLRSSRAIFRPSVQKPAWFRVETREFASEGKRGKRKFVEAAIVKSTKSQKQTVDVWKRMSPTELATALSVDLSDVTEILENIDKRNVDAIISDSPLDDVSILQTTAAFSFKPRFINGPPKKLEQEDADLRLQPSASPGDLERRPPIVTIMGHVDHGKTTLLDALRNSQIAAGEFGGITQHIGAFSVELRGRKVTFLDTPGHAAFASMRARGAQGADIVVLVVAADDGVKEQTAQSIKFAKEANVQLVVAVNKIDKPNADPMRAMRSLLEHDVVVEQLGGDIQCVEVSALHSKNLPALQEALLLQADLMDLRATKKGRVEAAIIESSVVHGIGKVCTLVISRGTLKKGCVLVAGNSWCRVKTMHDEKGNVVQTATPSLPVRVSGWKDDLPTPGDVVLEAESVDRAQKVVNLRIDKTMKEKAERDWEETKDRRDAARETYLSNRQKLLDRGQRFGSTLRSIVHKNQRIEKDVEDGTPKFRLIIRTDVEGTLEAILEILATYTSDQCKLQLVDFEVGSPTEKDIEMARETGATLYTFNVETTQKIKQKAEAAGIQIDSFNVIYRMVEALKGELSARLPKQTELHLVGEGHVLKEFMISDRGRKRQPIAGTLVNWGKFDRHCVYKFTRGDTVIFEGEIETMKAGMEVVTSAKTNTEVGLALSDKNIRFKEDDQPSRLVVANRMDTSGQAGTSGGGGGAKNQNPTTSSRRNQNSTNGGGQLHEKIHQMIYGYLIRNGLTSAAESLRLESRHLPQSLQHPFMILNDSMHDHNLEEIIQVFHRDGHFGISQNMVAFGIELRNITKKFIELVPQCVDSSSLHQQLYGQSVFSRQQAQHNYNLRPAPQAYAQMKQKEQNLQAEISFLRQQIPTVAVAPPTVPQPHQQQAPPPQAQCAPPPLQPIQMEIEQEDSSELPRSSRRKPTHPQNRNQAVENAFVGYINERAAEMPIDSLLDEPFGLDFTLRPEFLMSYDPMHDDVNNDPLLHPLHQEGSQEDQQRFDEVFETVLTETEQRAHLDEGSSLVVVEEEIVVETQSQDYGSHRAGGGGGGAQVQYPPPPPSRDAVDRLLSPILRHQTDFQSPSQSTSHHSGWNVSSSRLPPPPPSSRPPQNDVLLKKEIPSTSDERRMKRDGEKKERKYDDRSERSARNSISPARSRTSDESRRRDRDRRHRDIEKEKDRQEKSSKTEERRKDRKHESSVTTTHRHHRHAPPESSASLQTDRESTSSAASTTTTSESKKETETERRRRKEKEREERLRANTSSSSSRSKSRNLNVNQNPEHAANLLTTIKTAGGMTSSEKNHGSSKRKAEGTMAPLTTYKIPKRAPNSTPSHDQSFGRGEMPTSTTTTTRGDRGVERAERSGSKKPSPTATISEGFDVEDNTRFILPMGHAARLSSILLNCDAMYQKYQNRLLQGKENNGRESTSSTTTVTTPLVPPPSILPSTSSTSTTTQPPPVRPHHPKGHQYAPMKGSSMMETTEKEKVRELRKQVCAREPTDAHSSASSSSGSSSSGSSISDASDSSEDDEAPPPTSSSTKPRKHQKTRRSESPEEKNKLKKLINQKHADKLLDRLHGK >CRE22870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:439516:446380:-1 gene:WBGene00062888 transcript:CRE22870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22870 MGIADVATTSSKFANGQWSVLVVHPKVRWGSGSASVLKQADRQLEEAVALVNNLPNMIAVDSLIMPVDYNTKRKSIGATGNLEKLVARRETARATALMVNVDVLSPAQQEELFGIFEVPIFDRYNIVLSTFKEFARTDEARLQISLAEIPYIKHRIHALASKRLNSRPEILHVEQQCAEIEGGDLNEILRKRGQDLRRNLKEATRRATEQQSGGSKHSSDAAVIAVVGYTNAGKTSLVKRLTGAESLKPKDQLFATLDTTRHVAKLPSGRSTVFTDTIGFLSDLPIHLIAAFEATLAHVKSAVNMIHVLLLLLLFPSTINTVTQDDASHYELLPKSIQHDAAVWSAVLRNSQNMMMLNVVGMTNSTMRIQIDNSETAIRKRYIKRTSSLTLPEELAFESVENGQQEAEIVGGNKKLKVVVTYKPFLVNVINEYDELVLQVNKYQKLKIEEFKSFIDRKQYGSNSVGVDIAFVNFKTAYGLPEHADAFALRNTVGNTDPYQLYNYAEYTSVLYGFNYPYFMAHFNDRAAGFLWYNSLKTVFSSYNGNRTCQHDSKKNAKNWSEDSVCSLVSEDGRADILLFLGPLRSLPKSHTRVGAILNDKYFLEFYAKSNLEKAVPIMTLEQQIRKLNQPEDARTQIDCLETPLVKYFIDKEYRRRSDYDVRKAKRELKKAEKHKNPLRRRLQEASEDFEMKANRVMTEKEKEKLEKIEARRKEKNAIRKQKFWRKRRGN >CRE22869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:437628:439065:-1 gene:WBGene00062889 transcript:CRE22869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smg-4 description:CRE-SMG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MHM6] MTEDTRVGAILNDKYYLEFCEKLSLEKAVPIMTLEQQIRKLNQPEDARELVKIDRLETPLVKYFIDKEYRRRSEFDVRKAKRELKKAEKHKNVMEFLMKPQAASGAPTTTSSGGSRRLQKASEDFEMKANRVMTEKEKEKLEKIEARRKGRNAIRKQKFLEEKKRKLEETTSAEGIQNPQKKLQKPPVEKIQKASRPPRVEKPPKKSMKPPRPSPAKTVGEQQGEDWIKKLTDPKAPIKKKHDVGVEIFELF >CRE22868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:427595:428866:-1 gene:WBGene00062890 transcript:CRE22868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22868 MTMSSPSHGAKLKPYKDLKSPDSKKARLKSVLSYLKRGIGEDGFDGFVTDFVNYVAANPEFSFKLKLSDMDSFISTVKWKLSDGTLRDMKAFLREKLGFDIFSSRQKIHNLRKMHSGLEDYKIRVETVLKKPAGRDVEQESYVIEVKDLQSLISAAHTKLVVVFGNVDKPNDPHGILLVGLYEGHDDYGTLKEKMALVFKQLNDLEHVTYYETGKTITRSVVKLAIGDCKFLSANTGHFGQSCATPCFLCDTRWTSHGVKAQLVAQVNFFLAGSPYDPSALKEPLFHAKKGTIGVPGVHTILGIAHMEQRKVLKSLEEEEVHYETRYNSLKSTHNIVEHMLSVAENFDESVDDVDTNKSCGPLSAS >CRE22866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:418350:425091:-1 gene:WBGene00062891 transcript:CRE22866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22866 MDCIEQGRANAEKDRKSSLIDNENSNFNVIYEPNAAVFNVSKLSTNVVTFKTKESFKLSRSSSHRSFKTNLQQQHQGGRPTLRNAPRYDGKDSFASFIRSLNDFLNAHLYGEEEGRRMLPFLLTDSARDAWETIPQDVRDGAWNDLLDALRDRIHTEDRQLVARAELSTLVQGGRTVEAFYRLCKEVANKAYPGEPMRPTRDAILTTCFMNGLKDNIRIHVQRSMPQNAEAALQTAKREEALRNVSNADSITAAINKLSDQVARLDVKPTEVNYIQGGNHNRGYNSNHGYHGFRGNNNYQGHHGFQGRGGRGFHNNHNGNRGGNRGNRGWQNCVFRGGQSRGGGRINAIYDPCETNEDFDRVVDNVSGIGLKSSLLFIACLCALFVPSSCWTNVKPMPFYDCHAPSGQALIVPPKPVECKRQESINVRRGTVSMSILNQTMEETRAFKCRIEVYSQCVNSAIWIPIYNMSFIHMEAPTELECQEAVEGKTWRGQTLRKVKKGHYVSDTEYMWPATGFSVSSCNEARKITVEEGSVAQLNDGTLIMSLMSNPQNKCQMEDGTCQSSQATMIWKAAKKTSDCETIHIGSFPATMGDNVLIVDHMESAFPIDPEFTPSSMKRCFDIKTVLSNGVTFFEFVEEEAETPEDVEELVEIETTSSGAAGELLNLKPPKDVRARRSAVNESEPEKEEIAGVSSVGSESHINFMKKSYDGAENIRRNLTMAAINHKIQYVSNKIDQNSRDNFNRLVDAICHTRNRQLRIWRMFLVLDPQAAMRVLLHLDDIIATFKGRDVVQVSQCQKVIVHKIKEDRREGLSCTAKTAALTTDKKVVYIQPGSIEVELSSETTDCRFITNYIWQDEHGNLKETNQTRNVTKIEEGGMPTYEARQLIFTAGDIYAGVKDSSFPMMLAMSFGASIRSLQYQHQQEILRSMTFGSKDGSKTLNSIGSTGEYLFNNTVSIIGDVTSFFTGMYFIYGCIVVGVLLVLGVIGFFAIKFYFCKNIIANTLKIDAIEDKDEADKINAVEIEGPVANIPRPGNGRYTLPPLFMYVPIIIPLICSATASQVSSTIPYVHISIGDKGISALWDSGSISYVNRSTANHLAYSLKRTRIRNAKTANGSSFKFLGCFEAPVRIADVIIEHEFLVAEDDCCPGNALIGIDFMKSLDRRGIRTWLRPAMQKLQIGTVMIDLVGPQRKPFELTNVVMEMINADDVMLKPGQEQLLKIANGADIEADQAVLLKSKPEGNLLFEKTVFHPLDSRETTIRVKNNSNRTIQLQAGDVIGKGAIVQLKNLSKPGLPNEPAEANWKERILETNGTKFMDKIDWTGSELNAEMKELMKKIFKKCRHAFFNEDGDIGLFKGGIEHSIVIRKDMPFPKSRTYRVALGTQDEVEKQVQEMILLDIIEESTSTFISPIVLVRKKVGTYRFTTDFRLLNADTPRAGFPFVIESDASSIAVGALLLQTGEDGELHPIAYDSRKLTTTERKYPPIETEALALAFAVKAFRTYILGSSVTAIVDHRPLTSLMHRRDLIGRLAKYQIILQEMDLTIIYRPGKLNSVCDALSRYIGNEVKECREKPNKKSEDVHNVEDSSESIDQGVIKKIQEGTPWIAEISKKLEQMDLNSLERYRKEDGVVYVKNQKGDMRRKINPHAETKEPMGHLELLGRPWERVHADICGPWPVTKDGNRYVFTIKDDFTKYTLAIPIEKQDAATIQQIDKVVEKVFNCYQLE >CRE22865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:404970:408298:-1 gene:WBGene00062892 transcript:CRE22865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22865 MEETPRKRNRFTANVDEALHNVFAEGVDGLEERKKGSVLESGAGHQKSGAQKKSGAGQKNRSRKKKAIAEEAEKKKKKEEMAAKKKLEQTQAIGMMFMSLKFEEFSKQTRLNEMVRCRGEDLVSNFRDAALFIKNRMGKLAGGTIFNNVAQLGVVFLGVDRSTVLYRSERLTNPAEARAGRKVKLSRKQLRRRAASELTPDDKKQLLGYLQTCWKEEKPVTLLSLLEWAREAIDFQYGKSTLGYVLGGMGLAFRMKSHNPIVEERKDLIKLREIFLRKMENLKSQNAYLSYFDETWVYQGMVLKRAGQFSSSTMYQRARLLNPEAPVPGPKKGASRGKRGIVAAVVTEEGVLKGSEQVWVSSGKLEDQTADNHSEMNSDLYEEYIKTRVLPELIKTADSANRPPVLVIDNAPYHNRYIDKDPTKSCRKGVIIDWLSTRGVSTPKKAKKPQVVKMLENYIDSKGGRDVFKRYVVDEYAKSLGVTVIRVPPYHYFLNPIELMWSQLKHEVMKAGTTSTPLAEVREKITISKLSIFEVRQNTLQFLRNFSAESSMKLFQHVSQIEEEVRIKIKERSSTTTTPSTPSDSSDVAELDDDGDWSADFERFLIDNEDDLDTQFDQEDETAALKEIEKAMEDENDEGDLFDYWDSNGNVLFDSLSSISWLN >CRE22864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:396872:400315:-1 gene:WBGene00062893 transcript:CRE22864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lipl-2 description:Lipase [Source:UniProtKB/TrEMBL;Acc:E3MHM1] MWLLISLISTCLLFQSVTAHEDTDPELNMNTSQIIERWGYKAEVHTVTTSDGYILEMQRIPHGKTNVTWPNGKRPVVLMQHGLLACASDWVVNLPDQSAAFVFADAGFDVWLGNVRGTTYGRKHTSLDPSETAFWQFSWDEMAEFDVTAMVDHVLAMTGQDNLYYMGHSQGTLIMFTRLAKDTDGSFAKKIKRYFALAPIGSVKNIKGFLSYFAHKFSPEFDGWYDLFGSKDFLPDNWITKMATKDICGASEEEAEKCDNELFLIAGPESDQWNASRTAVYTSQDPAGTSTQNIVHWMQMVRHGRVPAFDWGKKMNKKKYGQDTPPEYDFGAIKGTKIHLYWSDNDWLGDPTDINDFLLKELNPAVIAENTNLKNFNHLDFSWGLSATPEVYLPALKTCTDDYLGK >CRE22802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:393778:395878:1 gene:WBGene00062894 transcript:CRE22802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22802 MRTVAWLEIESSTQKVCGIISIITNSFLIFLILTKSPPKLGAYKWLMLYTSFFELFYACLNFFVGPPDLGFQGYVTSSPSSRDFKQKYLSGGKQLFLYICPPALGVFWGINNWLSMSETQMKTDYLRQHILQKFGVSIDECAYVSVFFWPKDKSGNIYPDVISFIGLVVMYIILGFSMISVLYFGINCYRWISRKLGNMENVSQAAKSLQIQLFYALLIQAAIPCVLMYIPAATVFTCPMLNINLDLKYPFIGVTIAIYPAIDPFPTILIIKNYRRGCIDLLTCRWKKNQISMNYSHNGVCLSPKPSAPNINNLLTIAAL >CRE22801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:391494:393279:1 gene:WBGene00062895 transcript:CRE22801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22801 MRTVAWLEIESSTQKVCGIISIITNSFLIFLILTKSPPKLGAYKWLMLYTSFFELFYACLNFFVGPSIDTYNSVLIAFQDLDKVRFDHETSLFLILIYCSCFGSSMALFAVHFIYRYGAVNIDFKQKYLSGGKQLFLYICPPALGVFWGIIVWLAMFETQMKTDYLRQHILKKFEVNIDECAYVSVFFWPKDKSGNIYPDVISFIGLIFEFTILGISFFCVTYFAFNCYRWISRKLGNMENVSQATKSLQLQLFYALIVQAAIPCVLMYIPAATVFTCPMLNINLDLKYPVLGVTIAIYPAIDPFPTILIIKSYRRGCIDLLTCRWKKNQISMDYSHNGVCLSPKPSAPNINNLLTIAAL >CRE22862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:380935:384404:-1 gene:WBGene00062896 transcript:CRE22862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-2 description:CRE-STR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MHL7] MPTVGWVKLEEITQIVCGVVSVNTNALLMYLIIAKSPPKLGSYKWLMLYTATFEFFYAFVNLFAGPSVHTYGSAFIVFQDMRNFLFSHQTAQILVCLYCSCFGFSMAIFGGHFIYRYGAVDTEFRLKYLAGGKQLALYILPFCYGILWGVICWIYYGETPERTEYLRETMLENYRLNISDCAYISAHFWPYDANMNVFPDPDSFLGIAVMWCILGSSMVSVIYFAVRCYRWLSKKLGDMESISESMKSLQKQLFHALLVQSAIPLFLMYIPAGMVFVFPMLNTELGLKYPFIGITIAVYPAIDPLPTMLIIKSYRRGCVDLFRKFTFRRRNQVSVHSNLASTTAFDAQKNGTDCLTN >CRE22861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:377159:379808:-1 gene:WBGene00062897 transcript:CRE22861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-193 description:CRE-STR-193 protein [Source:UniProtKB/TrEMBL;Acc:E3MHL6] MLTEEWYQVEGKTQDVCGIISIVTNLFLMYLILCKSPNALGTYKWLMMYTTLFELTYALVNLFAGSSVRTFGSAFIVFQKCNFPHDITEFLTITYCSCFGFSLAIVACHFIYRYGAIDIEFRVKYISGVKQCILYVFPFAIGIVWGVICWVYCGETPERTDYLRNKMMENYRLEMEEIAYISAHFWPVDENGLTHPDFDSFFGTFLMFIVVGISIGSVLYFGIGCYCWISKKLEAMTTQSDSLKSLQRQLFHALVVQSAIPFILMYVPIGMAFMFPMLNIELNLKYPFIGLTVAIYPAIDPLPSILIIASYRKGAIDLVKALKCWNRKVGVNKNSTRQDSIVMFTIEA >CRE22800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:375007:376344:1 gene:WBGene00062898 transcript:CRE22800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-30 description:CRE-SRU-30 protein [Source:UniProtKB/TrEMBL;Acc:E3MHL5] MTTATPLNGSIHGILEYINYSEYITWTTMQAFIPFIYIIPTSIIMVVIYVKHQRAKLLMNTVYMDPNIFTLIMFYFFFNSLFFTGDYMRLNLPSSGLVTSLCAKTKPNRWYTLIIIFAYSGDYGTISCPFLTSLIRLVMILSPHNHGKYCKLLMRWFIYPFIVAIPVTLTLRNIPATGYCRQLDPPFHFGAILISEGEYYVKINVFIHLFFSYFTFISHTLMSAFMFFKIRKSSYNNSSTRTKELSRKAELSLTLGMASCIVPFITNSIVSFTFLFDRPMWAHLLFLRILGNDYETIMLPWVLFLTHPLFRGKKKQMTTTPTYLGTSNIWNSNTNTPRSSSQMF >CRE22799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:358604:361163:1 gene:WBGene00062899 transcript:CRE22799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-29 description:CRE-SRU-29 protein [Source:UniProtKB/TrEMBL;Acc:E3MHL3] MSSSSQIMPSNDSIHGIQAYKNFVPVFNFTTFQAFLPLIYILPTIFVMLTILIKYRKAKATLNSNAMDHNIFAFIMFYFLFNMLFFFGDYFHLNLPTTGFVTSWCAGIEPSRVFSVLLVFAYYSNFGTIICPFLVCLMRLTIMMSPRHNERVCYCRLIMYRFAIPFLFLVPICLTAFNLFSTGYCMQLHSPFSFGSIIIFEGEDYAKINIIIHFSFSCIMFSSNVGMTTFMFYKLRMTQSSTTSERTKQLTRKAEFSLFLAVVSSVIPFTTNSICSVSFLFDRPLWDYVLFLRAIGNDYETVMMPWVLFLTHPMFRSKKKTSSTNATSNMFATSNTNSQSRKSAAVVC >CRE22798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:351437:354267:1 gene:WBGene00062900 transcript:CRE22798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-33 description:CRE-SRU-33 protein [Source:UniProtKB/TrEMBL;Acc:E3MHL2] MKTRKWFSHTHTDTKILLFNFLFLVLSNPLQAISAVLCCFTHEISYYFRLKSEISNLFLTNQDKILFFFNFYAEQSYGEPKNHFPDFMNVSNSIHGLPQYLDFKFELNYCVLIPIVPFIYIIPTVVVMWKVFRGYMSQPSNLTKLTLDMHLFTLLMLYFLANITFFSLDFLRFNIPSSGLITSWCASLGAPNRGLLILVILSDYMNYSILLLPCLVSLIRLIVILFAYNQKKIRSFLMRYFILPVLVLLPLLCVTYMFPSMGYCRQLGPPFPFGSIDIFYTGGLLGIRTYTVRLALSFSCWTLSAILSSVMYFKLRTGLMHKASSHTRKLAKRAELSISVTLISAIAPLITNTIVSVTAIWTPDIMYYFTLLRLVGNDFETVMMPWTLFLTHPIFKEKREGLKKKKKSLNVVKVWRVSQRST >CRE22797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:348398:350544:1 gene:WBGene00062901 transcript:CRE22797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-40 description:CRE-SRU-40 protein [Source:UniProtKB/TrEMBL;Acc:E3MHL1] MSAVYHNPHAYQNLQNFPEFKQFQYSFDFYTVITVVTAIYFFPSLYCTIKMLIFYKNETSNSSPHGIHPFVFKSFLLMQCWNTANTVADFLLVRIPGTSILTSFCVSLEPGNFAPFVVSALYFTFYTSQLFTILFCFMRVLILFNPRNHNQLCTVSFLFWTPAAYVLSSVASFPHVVSNVVCMQFDVPLQEGAISISSSFVYQNKKLNLAHLIFSTFIVFSIVSSTVLMMAKLRRRKQLSTSSRPFHRTKAETTLTVTMFIIMIPAFFAQILSAASLIGWQYYSYILIARPLLLDCRVNVVSCYFYLTHPIFKKNKNMIPPITSVRSMNTTTTC >CRE22796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:342727:345677:1 gene:WBGene00062902 transcript:CRE22796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-38 description:CRE-SRU-38 protein [Source:UniProtKB/TrEMBL;Acc:E3MHL0] MSVYGFRPIDNLPEYYNFEYTFNVLSVFTIVTATYSLFCFAITIKMCIFYLKNQNSDVMKNGLRADVFRLFLLMQLWNDFHVLLDFLVVRIPMTSIFTSYCSLSKPELSLKILSLVFTGCVYTSHLLTLAFCVQRVLLLYSIEYQKEPSSSHIHFFSIISKIFNIICPLLIIIGHSLGIPHFLATSSCFQMGDPFPFGSIVITASRRDMPTYAIIYVVCTNAMIILILITTILMFAKLQQKRKMSSDLHQKYNSKAEKTLTATIILILLPVVMPAVLSIVDIFSYDLYPYLFLLRCVCLDARAHVVSCYFYFTHPIFKRSVAGKCDSNNK >CRE22859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:331554:334788:-1 gene:WBGene00062903 transcript:CRE22859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22859 MRILSAFFAVLFTFINPTMIVNVISQMEAFLHMNSQLPDHLRFLAIDMLVSHLKSTDHRIKAYVYGCVILITLLHVMFTCLSLYGIYSCRAKFMKPLIVDIITSSIFLLLFVFFSLFMYWRLNTLGSITEKETTKLQLRNMYVGVGFLIAYGLWAIITCLAHSDTKKLRADFMYWIEEERMSMRSRHNVSVDNRSDRSSKGSKASRASKSSARSAKSEIGTSASTERKSPRGEGSSSKGDYQKVSTNSCNTSICKKVSVTNARLSVPL >CRE22858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:325106:328685:-1 gene:WBGene00062904 transcript:CRE22858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22858 MRSVVWLEIESTTQKVCGVISITLNFFLIYLILAKSPPKLGGYKWLMLYTYLFEWAYACLNFFVGPSMHNYNSVCMVFQDMNKVWFGHGVAEFLVVVYCSFFGSSIAIFAVHFIYRYGAVNLDFRQKYLSGAKQVFLYICPIGCGVFWGLTVWYFMSESEVKSDYLRDHMMQKFGLKIEECAYIALYFWPVDNSGNVYPEQLSFLGVVIMYIILGVTFFCVIYFGINCYRWISRKLGNMENVSQAAKSLQIQLFYALLIQAAIPCVLMYLPAAMIFTCPMLNIDLDLKYPFIGVTIAIYPVIDPFPTILIIKSYRRGCIDLLTCRRKKNQIAVGYGIDSFAGGGRGASGSNTHNHILTSF >CRE22856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:313221:314693:-1 gene:WBGene00062907 transcript:CRE22856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22856 MFFVLMFKGEKLAFVKNMINSLGPGNLVKAIEFLARAALEGKAPSTARDYLKENAARRKWIQNNGLPLNETSTLIYLASRSELVGGGSLAKIVAAFKMSNTEMSKVGSQLAADVIRATRRKEVQKRQQPKAVSWSELQVVAGTKSNDEKGEKDTLILLLSHQALLRAEEAANLKWSDLTQTNGILEIRVRKAKNDQQELGRSTFIPGPDGSDLDCRLKRWKVCESLRSRKSEYLFSNLNNGAGLSASAISSIVKKKLMEFGIDGTHHSLRRGAANDLQRQGLSKEEIKARGRWRSDAGLERYLVDTPEAQGISGGAEEEADGPPVLVRQPEEG >CRE22855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:309520:312120:-1 gene:WBGene00062908 transcript:CRE22855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-35 description:CRE-SRU-35 protein [Source:UniProtKB/TrEMBL;Acc:E3MHK2] MEDPIYNLPEYLNFHYSFNFATILAIIPFFYMTPTILVMWRIIRTYWRLKIPPMDRHIFVCIVLNFICSLMFFLSDYLRISLPSTGLLTSWCATIAPNHYFKVIYLLSFFFNYCTLILPFLLSLIRVVILFRPRDHVHIIPKLMMICLPLLLIIPLGCTAFMIPALGYCRTMKHPFKFGAIYIYYYGEWSGWRNSYIHLIVSVLMCILTLSCTGLMLYKLRATTTDSNISSFTKKSSTKAERSLTITIIASMIPFINNTALTIIYLTLPEYVYYFIIIRPFGNDIETCILPWILYLTHPMFKKMGRPSSSSTSSVSVVNRQKVSSV >CRE22854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:305565:308573:-1 gene:WBGene00062909 transcript:CRE22854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-21 description:CRE-SRSX-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MHK1] MTTDTDIEIIRTSLLIFAIIGLFGNANIICATWQNKALQHKCGVMLAVLACCDSLCLLNEFQSFLRMTLKLGGTTLRSCFFANISYVFIEPFEVYMIFALAIDRLIALNFVVLYRTVNRNKYLLVLVTPGVLIGFLFLLMSFIKLDASEVEPCILPNAMPVAVFLIWNQYNLWGAVVTLLVYLYTYMVVYCCTFKNKTEKNIMIQKAILNTVIVGAVVFCGSSVLSALLIAVMGNIEDPPVDPDTINTYAVIPGLISYSCNFYVYYWRSTDYRNAFIKQLCCGKVLTTQEKVVSVMSVSNVSVSKRATLL >CRE22853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:301583:303828:-1 gene:WBGene00062910 transcript:CRE22853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-36 description:CRE-SRU-36 protein [Source:UniProtKB/TrEMBL;Acc:E3MHK0] MEESIHGLPEYQNFQYTFTFSTPLAVIPLIYMTPTVAIMLKIFKSCRAPSHWNTAHSMNHHIYAIIMLYFLFNTLFFISDFLRFSLPATGLLTAWCASIQPNHFFKLIFFCTFYFNYCTLILPFLLCLIRLVILFYPRDHPMVSLLVRSIDNFRKFQICSKIMIISLPILFLIPFLCTAFMIPALGYCRRMGPPLQYGATYIYYSGGWFGWRNSYIHLVMSVVMCTLTIICSVLMVFKLRQSVFNNSSARTKQQSQRAETSLSITMISFIIPFINNTILTVRKNFENFFQLKNFQIVYLTVPSCVYYLMIFRPFGNDCETVMMPWILYFTHPMFRKKRTVSQSSAVSAIRGTSSPILG >CRE22852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:295217:299380:-1 gene:WBGene00062911 transcript:CRE22852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-8 description:CRE-SRU-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MHJ9] MSYTSNTSLSSSAFPQAVYGNETYQNYSPPWNSWPIIIAVVPIFYMIPTLFVIIRIIYVYCKSLVSSKHVPINQHIFLVLSLAQILSFLFFIADYMMLRLPATGLFTAYCATVYPNQALKLIFFFTFYFNYSAMIFPFLLCLLRLILMIFPNTHSKINSTLLAISVPVTLIYPICFTFFLIPAVGYCRQLGGPYPFGSVSIYYSGGAFGMRNSIFHLINTVFWMVACLVVNVILFFKLRSAILAATQGTSKSSRSRKAEISLTATTVAMIMPYLTYCIFTILYLQVPAYTYYMMILRPFGNDCETVIVPWIFYLTHPVFKNRDGSVISVTVINSSSHRGRSVMPVSKINL >CRE22791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:277943:294581:1 gene:WBGene00062912 transcript:CRE22791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsy-12 description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MHJ8] MSSTATKRRNTNNSRCASLLMASTTPTVTRKSALVDSDDDDDDDEMSSNGESSELAAHRSRTPRGRYSPMLDERNRRTNSRMSALSIDTHRNTDLNADGSAPSSSSAASSAFLTPDINRPPPPTVSHKKRAPHSTGRRKKMRNYPSSSAQNSQGETELDSDDEDQRDTDNMSICNDDDSYKIFVAIALYRTWERCSVERACNKVHESEVPSNNRKKFQESQITVQGECEFSKSEIAEIFKKGDQQARLPERIQIGTVIMKTWYGSPFPAEFINVRQLYICEFCFFYARSDLIMQNHAKRCKLRAPPGVEIYRKDDVSVFEVDGRRQKSYCQTLCLISRMFLESKTVFYDTEPFFFYVATKNDAHGCHFTGYFSKEKYEPDVNNLSCIMTLPCYQDQGYGRFLIDLSYALSRREGWNGGPEQPLSDLGKKAYGGYWKNTIAVSLVKMKDRIEYGGRGICIGGEQNVRNIANDTGINSHDVLSVVCSLGWAKIVDPKNGGKVCTLEWDVDWDVCHAIDEQRRKAGGGGKTQFDEKCLDTSKLQFRHSTDMRNLRLARILISSAPRESNPCGNTKDIHKETGVETAKNEATHGRISRIDERGDRRRRATPSEKSSEDTDSHDGGNGGGDADEFELSDWIREKKTCDRSVIDDVIDDELRSRGHNRTTAGRNLKLELTRKVKVPTEVREITDDDETQRVEDKKSHKKRKSFTRCADDVPDPQKRHEGTPDDDDQPGPSTSKSLGRRPRSTREQVESTASASSEQKTPNGRGRYRRRRGGKAEDSDDEPTEDEAVSTDEEAELTTTPRPHQAPEKGKPRGRKPGKKRKSVSGKKFPPNFGVREDKKTTEVVESEEEKVEKKQEEAELEKTTAGSEAEEIDLLHDAIDEDMMKNYNIGTPESYHSNSPSPAPPPEMPPPQEPPVEEDPPLLISEVNNLTVAEPVEPMDEGQPTAPPPLIADTFGDDDDDDDDVPPNLSPQYEKNEVHEEEVEMAQVAPQAPPPQQHQTPNQHSHNSHNGIHQEESYHDSMSVAGTSSIHVTPQMMPGEMSHHYSQPNSHQQVTTPGSGGIPSCGGPATTVPQSTYSTPEQQTQQFMSPQMAGMPASVSSVHSVHNNNSMEMVGGPASLQQHTPQQQYDIMGQMPQQDNNGMVVNNVSAMDQMMQQHAFNSPPMPMVVPQQPPQQPSQQQVPPQQQQQPQVQQQQAPQVPPTIPAPATT >CRE22790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:257181:270416:1 gene:WBGene00062913 transcript:CRE22790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22790 MPARKTKATAEERRKQKEKRDLQAAKELSRLASLKLTKKQIDKLIKTEPIDEEYDAAVQQPSTSAASFSFRQIKQEDSSDDDSKPSTSATAGSRKIKKEDGPKLVRFKKWQPTKNKVVKKEIEFDSDTSDSSPVDYDVDFKPDYKTKYRLKSMKKYKKNIEEGKACRGRPRGGRSRSLTVRGSTNSAAGPSTSDGTPKQRVGRPANGGRQTACRSQTTSDEKDAKTPKKKETQKKAQTPKTVSRRTPRFNGDNGAPKPIKDAEIAPSPPKKKGRPPRSPAVHRPQNSDDSAGHPDRATPRNSNHGVSKRMPKEDAKIDPSQLKKRGRPSNNAVNATPAGRSSSRKERPPKDAEPKPMEDAKAPPNNVSRPGRPSKKTSSHPISSSGPGPSSSENPARSTQRKRKVIKEPESPSLTKVLMTLQISGDVTRRPVTERQIDMDVAGPKKKASKRRCDVLLLLDALPPYMLDDCLAELIIMETEEEKEELLMKKKKKKNVKQPHPISNCKEEQMNGNQQDFEDSDQPPSLTPMDSFELDIPEPSSSSSSTDNAPHLSAFFHVRDPSGNGNLNLRDHQEVEEEDPEWAAGRARTIANQERIRQRKAERARREMEEMERQTLPSCSEEPQFEPASPEEEMMERIAPPTSSSSSLALVLQAPSESSHQDEGEESDGAETLHFDDFEDEDDDEQPIRNVRSVVEQTTQMIRNIEISERERERQRYAPIDVLQYEEKEEFVDHGIDHEEVVPYSFSSATSPSFLDSPDQEGMMEGEDPEEGHADTPSSSSHSKFAPTSHQSPAQKTILDDYEMIYQEEEMDQEQHDESDEDEGDQTSKVDSSSLEPLTVPLLSLSNPKSPAQRNHSSDIGMDNQVDAMEQEKKSVPESDPTSSDSSVQQMLDEATEVMEGAEKGADSVINLGKEHVDEREETEADTSCFEPLTAPIVDPLVPLLSLPESCTTMVEEVDPPIADSVAPELNQDADSSSPTTLICNPADPELEQDSTLLSQESYGTSADKHATGDMVSKQVLDIEEAQVVKGHCLLHKVPINPPAQTSSDDDVIDNSIVQVEEPSISPFEQDCAVLNQESGNNTSIDKNQDIAEPPVIIAAMQKAWDHKEEEVPVQILLADAPEHPRTPTPVLQQSLAPELPMETVAVEEIEVVKTDSAEASGSSSEMNLQEPINLSAPILVSAAELMKVVETEEPEDASENPPIHSPIYIQDLAPSMEAAEKEMEVVEADGGKADNEPDDEDDERDPEASPRILQADAPEDPMTPTAILHQVLAPELPMRSAAAEEIEFVKTDEAEAAGSSSDMNLQEPINLLALASGSAAELMEVVETEVADYQPNKEVVEQEPEASHPTSPEDDSENPRIPTPIPIQDYALQLSMGPAAEEVMVADGEEAGGSPSLSAVEHLQELIHRSETVPLEHPVEESPAEEMEVPKACGKEDPNEEDLVPDEDAPLEILQGVAPGSVPDQYLAPQSLEADGKEVAGSSSSSFTKDLLETPNISVSTSRSPAAQSTAPDAPEDSGTTVPRDSEEIIEAAEEEMDVDNVDAEEELNEEVVLKEPEVQPQVHPEDAPEKPPMPEPVLQEDCVSQPAEPEKMEVLETNRKESENGAIQEIVLEEAFETPPIPKPFESATGEMETVQSSGEAGDCSSSSGCIKGPLESSISPASTPPSSTGPVTAPAEEMEIVEDQTSPEDAPEVPTTTAPVRHQDSEEMIEAAEEPMRVVEASEHQEDSSSSSRSAEDLQEPSPILAPEPHSVAQKSEHCVLQLSLGPAAAEVMEAEKADGEEDYTCQDHHEDSSSSLKSVEDLQETSPILPPLPRSPAEEVIPPKKMEAVDADGEEEPNEEVVLEEPSQPRTDAAEEEMKVQEADEEKDHCSSSSSSMEDPLDPPIAPASLSRSPAEQAAGNVETVEDDEIVLQKSEASSLTPPEATSESTTTPVVEAGKHHDYSSSSSRSVEDLQEPSSILEEGQKIIVDAQEHVVDPSPNSSTQVPHEDHGAPGPEVVNQNEAIPQNSLEDGPENPPTPTRVPPQDHASQPSMEAAEAEEETEANGNGADQLSSSSSMKSIQKPPHIPEPAPRSPDEEVIAPEQMEILESDGGEVPNEKVEGKESEVSPQDHPKDAPEGPPTPTPTGEGDACQELHEGSSFVSRSVENLQEESPIQAPELRSEAQQAEADGEEDEPHEEVVAEQEEIEGEAAVEAQLEENEVAEACAQLNVEQEANKEAGRVVEDAQENVVAPSPSSPECGLPSAQRALQFQVEIMRRLEGEAPSRSSLENDPEPSPTLQQDSNASRVRQALLDAATKIEVEVEERNAQNGVQVERMMVENLEEHLQDNGLEDIEPPSIPESSSTYPTTPSPSIEADDSEEELFPDPTQPFDEDNPDEVPDTSSPEAPETKHSMSKSVKKQSDGHDGPWYQMTVRRRLGRPRGYLGVYPERRPPPDAELWVQILTTYKRKMEETDDEGPSTSCHRPIKQELFEEDPNPATPKSQRGKTTSRGRRESEEADPPYSPGGSKANGAPSHPPELFHEPPSWNLRPRRVRGEDGPGTSGTSNLSHLPSTSAPFSARAIKQELDEMVDSSFPTNQTRSRRGQNGVGGQSRKRQRSDQRDSASRHLPPTPSASMSSHQLDQQPSSSTSAPGHAPAPAVPQSQVAPGQPSSSVPAIKQELDEVVDDGQTASRKRQRTNQEDSALINLPPTPSASIPSNHHHIPDRQLAPTPALPQNPLAPAPSHHTPPSSRRRSDREGSDGPSTSRAVPHHSPALPQSPVAHLAPSSAPSLSPQDPLASPHHPEHNQQSPLRALTTPAPSSVSTPRTPQSPYQHSPAAPIIALLQNKYVYRAPVPSHHSTSLQAGYLHAPDHSPLSQHIPVGHFAPSSHHSAASHHQQPQDSMPDSSRAHAAPRNLRATPSAPIPLNHHQHSQQPSSRSHRPLQAGPPPSSAPPIAAFPQSPIAHHASALPPSSQHSASLQPGPSHIPAPLPQHAPTPGLPFPHLSPAPSTSQQNFAPHPQPSSSNVTSRQAGFPNAQLIPVAQHSPLVAFPQSPLVQAPSPSPSPQAPHYSPLGLSHGQVMSPHPNGPHQALSPLVGPILAPRTPRTPHSPYAHPNRSPAINIAPIPSPNVARPPLPMCDETRMVRDRIMREEQIAQNAAPPFGNPQERLHPVMVELEGYILEGQRSQELVTEADNAIANYINGFSMSEG >CRE22789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:234062:237700:1 gene:WBGene00062914 transcript:CRE22789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-17 description:CRE-SRAB-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MHJ6] MDTSINCTIMSDLSTSVFLKLSLSINLFICLCGYPVYLWASWILWSAKNSKLLHVNFKIILQLHLFGFLFHCTGRIALHGMDLMNYMTTIDPCDMIPNIYRCFILRLMYNSGLWLTNSTAVSLILERWLATRRSVTYEEDSVLIGVFCAGVQFVVAALPLAFLYSQTRFQGVTMYYCVTAVTSAPYSAQVGPTVSIMFQVAALVSFHHLLRVNKVRIADAYEKPENQQATLQKQRELDMHTSLSNRYQLEQNICSITCLKTFAAMAFVYIVFQNACYITLMQFAAGLEKYQYYAILEINGSWPLYGIISILVLARTMKKIRENIERELKGHLKIPNKMYIENFKKQIA >CRE22788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:227358:229257:1 gene:WBGene00062915 transcript:CRE22788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-18 description:CRE-SRAB-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MHJ5] MTTIDCNQMAALANSKFLRFSLITNLIITSLTVPILFWATWRLWSMKYSKLFHTNFKIIIQLHLFGFGLHCTGRIILHSIDLINYTTRDNPCDMVPNIYRCFILRLMYNAGLWITNSTAVSLVIERWLATKRSATYEEESVMLGVFLAALQFVIAAIPLSVLYSKIQFDGVVMYYCVTAQASLPYVGQMSAVVGFVFQISARFSFHYLYRRNKVRVAVAYSDENSRTIHIQHNRESIQHSTLSNRYQLEQNISSISCLKTFANISTTFIVLYDGCYIALMYLADSLEPYQYFGILEINLAFPTYAIISIMVLSRSMKKIRKRIGITLVGHLKTSNNYYHDNFKRQIA >CRE22851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:217226:219974:-1 gene:WBGene00062916 transcript:CRE22851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22851 MFMFIYVLSILLVGVIGVLVNVYLFWRFSKNRGGGFLTYCLAKTVPNTIVCSAFLFWVVPITALSLDYNHVPRMINVFVGQVAGFGAYIAGAILEMFMAINRCSVIYFSSVNFENANYVVTFVCIVSMVYVLLGLIPTSCGFVFDPECFLWRPEETECAVLMEDIMFYTICATFVVSNSLNLITFLKLFCFGKVEGISNFEIMRRRKRRIQFFAQVLIQSLILKEKVNSVIQNGLHMIDMINFNVISNLNDATWFKFIFTSLSLLTIHALDG >CRE22787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:213305:216561:1 gene:WBGene00062917 transcript:CRE22787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-26 description:CRE-SRAB-26 protein [Source:UniProtKB/TrEMBL;Acc:E3MHJ3] MNANCSVLLAYSSSIPLKLSMSYSLLFSCIGFPIFTWASVKLWTGVYTKSFHRNFRLIVQMHLFGFMLHCSGRIVLHTLDLFNYTFLQPCDMIPNIYRCFIFRLMYNLGFWITHCTAVPLIIERYIATRLMGKYENKFIWLGILLACMQPCLAAVPLYFAYMNLRFDGVFMPYCSVYKPGSPTIANINSGVAICAQVVARILFGFLFTVNKNRRVRMQTSPLSTRFQLEENKMTMQCLSIYANFSSLFLFVQIFSFIYLLSISPEMPKEHYLAWMEFNAQFPLYGIVSVVLVARKISSLRSSINTNLNQQVHLNETKTYFDMFNKQIGKIGKTQKKKK >CRE22850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:210959:211945:-1 gene:WBGene00062918 transcript:CRE22850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22850 MPLYRTTLYLLFLFSLVSASGHLRLELTASTNCHIRLTTDFSDETLLLHMGEKRITSFHPQTVRPDKIRVGLSLAPGGKMSYFDFALKNTGQQLRHLFEDEDLVVLIQSVYECNRGFYGLTCEFVGTPTTVATTTVTTTVETRTPTTPLPLIAPDSEGFSRLIITILLVIIISLTVLIIVFGILLLSTETKQQHIIFADTTKIPAQKKYKGDCIYEEIKEVRYTTAPFQMVEVGNWI >CRE22786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:202951:209923:1 gene:WBGene00062919 transcript:CRE22786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22786 MSSWSCIYCTLINDPFYTICQGCGSQKPLENGKKPMKSMGSMVPKIITDTVRDVSNAISDLVTNKERKPAHNFVRVGHFRTPLPNGPYVDGHSNNPNEVEQQQEHQMCSKITSTLKKEAQEKSDVVYKNILEYCTSSKTPFIDDQFLHNKKSIGTLHFTKNGVKRHEEPNFLHWLRPSQMFTKDGRSFPWSVLNNPRPSDIEQGTLVGDCWLMSAMALIAERPDVLDEIIPRKQYSHFGVYQIRLCVEGKWKVVIVDDFFPCYQHTNSIAMAVGRRNQLWVPLIEKAMAKELGSYSKLHGASLTQGLSMLTGASCVNYNCPPLPRNSDDVDTFWAQLVSSKESGFLMCCHCGAFENNAAESEFRAMGLLTNHAYSILDVVYEHGHRLLRIRNPWGQFVWNGKWSDGWPGWPTDLKQRLLNNRRDETGAFWMDLDDFVHRFASVTVCKLRMDWTELRATQDVGGRSDKALQIVVTDTCEMSVTAFQKGGFNKKDNLNDLMVCVHKTSPDGRVGELMEMSARIAENHFTIDEFFLAPGIYTIVCHSPRAQLLHKKGRVNIVVHTRYPIFAEHIPLTRRAAQESLHHVIIKEGDVVQNTNNGVVIRTLTNKFRGMIIMADNCLENKYLHVGVDCSQSMNIQSSRGMLQIVDVVPPLSRQVLIVLSTIDDSAQYRVSNSLKTLVHHKKYLLPEMWYEAAISSPPKSQHYPPLDSDSIIHSISSVF >CRE22849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:187043:195992:-1 gene:WBGene00062920 transcript:CRE22849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fshr-1 description:CRE-FSHR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MHJ0] MTCSTYRSILPPSFIFLFLLFTVSLCQNALSLAETKHSCMALKADIAGGCVCKQTKRYKQPECCCMGWEVSQLSDDLVEDVGYLYLHNTSITEITPNFFDAYPSIRELEIDNSVHLEHIDGVSFTVLPKLRKLSVVRCPNLREISGKLLVNNTKIQTVILKNNGLRTMPSLRMTDSHHVTVDRIDLSQNKIKFIGDSKVRNVRARTLVLSENNLLEISGYAFTESQFLKLRLNNNPNLRSLSVDAFKNMGGLQTLDLSHTSISTLPINGLKKLKTLVLNNVPTLKRLPSVLSFTDLETAHFTYPHHCCLFKYVDDVTMNDNGKYQRNAKEIHKRICEKREQQKMSRRKKRETKVDFLEMLLREWTDNSTYTGPDDADEDELPPFTEIGAEPCQSIGEEVQKYYSNITCYPQPDALNPCENIVGYPFLRIAVWVVCLAAIVGNIIVWVLLGIVYEKRMRMHYLYMINMSVADMFTGVYLAVLAIADAKMSDEYYRHAVWWQTGWGCRAAGFLAVFASELGIISMFLIAFEMSYNTRQSFRGRRLSPKVGVLLMIGGWLFAIIMAILPWFGVSSYSESSVCLPLKATTMFDKGYLIFGLSFNFLAFAAMALSYGFIVKMLKENETREEDRALITKMTVLVVTDLICWFPTLFFGFTATIGFPLLSLSSAKFVLVFFFPINAFANPFLYVFFTEVIQHRVRSKTLPVIRRITTGPLNAASSLSNFYHSQPPGAHRRSRDEQTSPSGMHLAVTQTTSLNSTPRGSNVSSRASDAMLFDYDTRRGSASPRVSFDIPISPTTPRSDRKNRISFLKRIVSSVPEVSDLSEHSSESHHEHVPHPRRKLRSSLNRILALGRRQEGSADSGRGSIASSAGSRDQNERVSLTSNTSSLLISPLLSLPFTHTNPNNLILPPDSASSSPSSNKNRRKSTPAIPLLVVSDCS >CRE22848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:169195:181690:-1 gene:WBGene00062922 transcript:CRE22848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-47 description:CRE-EGL-47 protein [Source:UniProtKB/TrEMBL;Acc:E3MHI9] MSFHFVLYQCEKCINKMNWIFPLSISPFFFFYAISPLSILFCCSSEKRVFRVMYPFNRTTSIHAENAMPNIEEMEEEDEEMGAGGGRANGKMYSNRNTLVMDSTEVNQAPPGSPVEKTILASILEMSNINDKWVKTFTPSAAAPGTTNKKKSRRESLFECSWRGVHCVSNSLRSILFLFRLLAIFPTSTDRTPRRKRSHKWIIKWVLYLNYIALAVLLNSFLIKMNFRVLMLYKHKFGLMHTGTVSSMITATKPVINVFVIVLSAIKFKSHQRLLKTIDMVDVCFRSAFGVSPPLRIYKFVFFFTLVIVFSSALILKIVEFVGTEQVFGEHILTDSSFILVPVLSLWNIIPLLYYHLYNVLVRFYCRTLIKSMNREHKKRHFSLKFYYEQFTRITNVQEAVGDVFNPLLLFSLAWSLLVLCLTIYFLSEPTSTQNSRLLVPITPEQVHNQKIREKLIITVHVSICWAAYQVIMAVLHILIICSTGMMTNETTRQIVNAVLRIVPDANADLDRFQISCFVHKMTTQFMWGMTVWRAFPLERTTFFTVTKLYASGVKLKKKISLFQLISVIVTYSLLLFRFKDDMVQNPPYMAAMAVFNQTTSTIGPAASG >CRE22785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:156287:167767:1 gene:WBGene00062923 transcript:CRE22785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-9 description:CRE-MEC-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MHI8] MFLLQPFFFFFLIFLNQVQSKDEPLFVKNNEDICLEDVDPGPCQYYQVQWFWDQMAEECKEFHYGGCMGTKNRFSSKQQCVKQCKYKMFNPVAVPDLCLLDADQGHCGDERNGHWWYFFNQESGECEKFFYYGCGGNDNKFYSLHMCRKVCAERLSPQIACDQCDLRTSFCKSHSKFNYTCECRSGYEKNQYGECLGNYSNPIPQFQKFADIDECRGYKAVCDKNAWCVNEIGSYKCECMASYRGDGKHCTYVGLGRSSIDCKDCSIHATCMNGVCQCKQGYEGDGFNCTDVNECLRRPEMCDKNAECINRDGSFICTCLAGYAGNGYNCTVSKNSCLDKFDHDYKDTCSNENWRPHFYFNHQTRMCEQFWYDGCRGRSRNIFSEYDTCTAMCEETNVLTRAEVCWDKFDMNYRNQCLNGQWQQRYYFDHASLTCRQFWFDGCRSDSRNIFDDQLTCQWLCESQPMYKSRSCLEDFDEGLKKECNGGRWRQQYYFDKGSKKCFPFWYDGCKGENENIFQDELTCLHTCENPAKKDPKKPWHNNDKFKMKEIIGDIYKPNVTDTCLAKNPCKHNGTCIFVWKKDTHYCKCQPGYHGNNCEKIVDFDPCAEKPCLNGATCQLKYNDDDVDEKPTYECFCAAGFGGPKCDERPCENNPCLNNGTCRTTKGYSTYFCECATGFGGKNCDVCKSSKKSRVPTPMQFISAIGSTPPEEKFGRNVEQISSGKEEWIAQMRQRLKETGGGIGGASGTGLKAPAGNGTTGSGSGGGSSGEKSGEKKSGKNKKSKATQVADEPYKDPATRKREREEREKKEAEIQAAEEEEKQRKEYEEELQRKKAEEMELEAKKALETANSGIEIHLISLTVKIILAIVLLREI >CRE22847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:153974:155064:-1 gene:WBGene00062925 transcript:CRE22847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22847 MNKSFPVLKLPRLALDEILIMMRPFELIQFSLTSSKSKIILKYLLRTRVNLKYKLEVGTDAEPKITMIGPEITFFDCRITSDKLRSEKTEYIENIGGKSDRIWMYSENVIMDWMRLFKIVMELFNFERHNVYFFPETFPDQNKSIIDFIGSQLPSVHYCGFHGKILANQDVEYVLKNIKVPKYLEFTCKLIDNFQLKLTNNLERICISEGNWFNYNHLIQLKAYEVEISKSEITNVELNAFLISWMQSECHQDLAHIGISVNDPETVGAIFDLPHEVIDNDVIREGRTARYKVFRIRGGFDIKRIDGTTGTIYFSMFGDQLMFNMIVFTLCV >CRE22784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:151858:152952:1 gene:WBGene00062926 transcript:CRE22784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22784 MSKPFPLLKLPRLALDEILITMRPFELIQFSMTSSKSRIILKYLLRARVNSKYILLVNTFNEPKITFFGSDTYFDCQFTSNKLRSEKSEYFEAQNGLKYDRIWMYSDNVIMDWMRLFKIVMELFNFQRHAVILCLDTFPDQKKTIIDLMRSQLPSVNYCGFHGKIVADQDVEYFLKNINVTEYLDFKCKLSDNFQLKLTNNLEKISVTEGNWLNYSQLIQLKALDVEIKGSKITNVEMNAFLISWMMSKCHQDIVRLEIQVNDPETRDVILDLPHEIIDNDVIREGRTFKNEIIRMRGGIDIKRNDGATGTIYFKIDGDQLMLNMIVFCLYE >CRE22782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:137789:140000:1 gene:WBGene00062927 transcript:CRE22782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22782 MYSDNVIMEWMKLFKIVTELFNFQRHAVTLCLDTFPDQNKTIIDLIRSQLSFAHFFEFDGKIVADQDVEYVLKKINVTEYLDFKCELSNNFQLKLTNNLVKITVADGNWLNYNQLIQLKALELEIKGSEITNRELNAFLISWMSSDIHQDLVHLEIPVSDPDRLDSIFDLPHERIDDDVIRHGKTVKNEVFRMRGGIDIKRNDGATGTIYFTMDGDQLMLNMIVFALFMNKSFPVLKLPRLVLDEILITMRPFELIQFSMTSSKSRIILKYFLRTRVNLKYTLLVNTFNEPKITLFGSDTYFDCQLSSDKLRTGKTEYFEGQNGLKCNRIWAYSENVIMDWMRLFKTVMELFNFQRHVVILCLDTFPDQKKTIIDFMRSQLPSVNYCGFHGKIVTDQDVEYALKNINVTKYLDFKCKLSNNFQLKISNTLEKITVTDGNWLNYNQLIQLKAYELEIKGSKITNVELNAFLISWMLSECHQNLVRLEIQVNDPETRGVILDLPHEIMDNDVIRKGRTVKNKMFRLRGGFDIKRNDGATGTIYFKMDGDQLMFNMIVFVSFV >CRE22845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:145597:146697:-1 gene:WBGene00062928 transcript:CRE22845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22845 MDNIPKPFPLLHLPRLPLKEVFSMMTPFELINISMASSKSKVIMKCFLKNDKNMKYRLVVKTSKEPKVSLFGSDTCFDYILTSDQSIHNKMDYAIFQDSLKYDILWVYSENLILDWMKLFKTVKELFSCRCSGVIFLPDSFPEQNKAVVDFMKLETAEIDGCLIRGETEDYEDIEYFLNNINVTEHLEIYTKLSDRFQIKRNTPLKGIVIHFGNCLTFNQLLQLDGLDIDIRKSNFTNIELNAFLLSWMASSSHRNLKRIKIPINDFESFETIFDLPHQVIDPKLIRQGKTSDNEIIQLQGGADIKRIDGATGTIYFELENDQMMLIMIVSYLFT >CRE22844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:143007:144303:-1 gene:WBGene00062929 transcript:CRE22844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22844 MSLFVGGLLSLLFYILPVLPSVTSIQSSWLLIGYKMDNIPKPFPLLRLPRLVLDEVFIMMRPFELINFSMASLKSKIVMKCFLRTKGNLKYSIEVNTHEEPKVSIVISKAFFDQRMTSEREKHKKEEYIVTRDGFTADRVWIYSENLISDWMKLFQTVSELFNFKRRVVFFRPDTFPDQNKSIIDFIKCQMPIINTCSVNGEKELDDDVEYFLENVDVTESVELHSRLTNKFQLKIDKPLENISIDFGDWLNYNQFIQFKSCKIALKKSIISNLELNTFLLSWMSMDSHQQLERLRIPVNDPESLDVILNLPHEVNNVDVIRHGRTARNEVFRRRGGIDIKRNDGLTGTIYFKTDGDQLMLNMIVFVSFV >CRE22843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:140630:141717:-1 gene:WBGene00062930 transcript:CRE22843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22843 MSKSFPLLKLPRLALDEILLTMRPFELIQFSLTSSKLRIILKYLLRTRVNLKYKLEVSTDNEPKITMIGPDYTFFDCRFTSDKLRSEKTEYLENIGGKADRIWMYSKNVTIDWMRLFQIVMELFNFERHNIYFFPETFPDQNKSIIDFIGSQLTSVHYCGFHGKILANQDVEYFLKNIKVPKYLELKCTLSDNFRLKLTNNLERICISDGNWLNYNQLIQLKAFELKIKGSKITNREMNAFLISWMQSECHQDLVYIGIPVNDTETVNAIFDLPHERIDNDVIREGRTVRNTIIRMRGGIDIKRNDGATGTIYFRMDGDQLMFNMIVFECIV >CRE22783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:150524:151616:1 gene:WBGene00062932 transcript:CRE22783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22783 MSQSFPVLKLPRLALDEILITMRPFELIQFSMTSSKARVILKYLLRARVNFKYRLIVNTSNKPNITVMGSDTYFGYGLKADRIWMYSDNVIMEWMKLFKIVTELFNFQRHAVTLCLDTFPDQNKTIIDLIRSQLSFAHFFEFDGKIVADQDVEYVLKKINVTEYLDFKCELSNNFQLKLTNNLVKITVADGNWLNYNQLIQLKALELEIKGSEITNRELNAFLISWMMSECHQDLVRLKIPVSDPDRLDVIFDLPYEAIDNDVIRQGRTVKNETFRLRGGFDIKRIDGATGTIYFKMDGDQLMFNMIVFALFV >CRE22846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:148269:149376:-1 gene:WBGene00062933 transcript:CRE22846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22846 MDNIPKPFPLLHLPYLALEEVLSIMRPFDLINFSMASSKSKIITKCVLRTKGNKKYELEVDTFEEPKISIRGSNTFFEYRLTSEKSRSEKKEHVDLTSRIKCDRVWIYSENVIIDWMELLRTVMEYYTFKRFVVSFGQDTFPAEKKSIVDFLRSHMTSVDDCVIRGKTEADEDVSYFLKNIDVTETLIILSKLSDQFQLKIENSPNNLLILNGNWISYDQFINFNANRIEIQKSKITNVELNMFLISWMTSRSNQNLEYLKIQVTELDTLDTILNLPHEVMGADVIRHGKTVKHGIIELRGGTDIKRNDGATGTVFIEMVDDQMMLYMCVSYLL >CRE22842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:135664:136764:-1 gene:WBGene00062934 transcript:CRE22842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22842 MDNIPKPFPLLHLPRLPLKEVFSMMTPFELINISMASSKSKVIMKCFFLNDKNMKYRLVVKTSKEPKVSLFGSDTCFDYILTSDQSIHNKMDYAIFQDSLKYDILWVYSENLILDWMKLFKTVKELFSCRCSGVLFLPDSFPEQNKAVVDFMKLETAEIDGCLIRGETENYEDIEYFLNNINVTEHLEIYTKLSDRFQIKRNTPLKGIVIHFGNCLTFNQLLQLDGLDIDIRKSNFTNIELNAFLLSWMASSSHRNLKRIKIPINDFESFETIFDLPHQVIGPKLIRQGKTSDNEIIQLQGGADIKRIDGAIGTIYFVLENDQMMLIMIVSYLFT >CRE22841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:131134:132321:-1 gene:WBGene00062935 transcript:CRE22841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22841 MDNIPKPFPILRLPRLVLDEVFIMMRPFELINFSMASLKSKIVMKCFLRTRRKLEPKVSIVISKAFFDQRMTSEREKHKKEEYIVIQDGFTADRLWIYSENLISDWMKLFQTVSELFNFKRRVVFFRPDTFPDQNKSIIDFIKCQMPIINACSVNGEKELDDDVEYFLENVDVTDSVGLHSRLTNKFQVKIDKPLKNISIDFGDWLNYNQFIKLKSCKIALKKSIITNLELNTFLLSWMSMDSHQHLERLRIPVNDPESYNVILNLPHEVNNVDVIRHGRTARNEVFRMRGGINIKRIDGVTGTIYFKMDGDQLMLNMIVFVLLF >CRE22840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:128570:129657:-1 gene:WBGene00062936 transcript:CRE22840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22840 MSKSFPILKLPRLALDEILLTMRPFELFQFSMTSSKSKIILKYLLRTRVNLKYKLEVSTDNEPKITMIGPDYTFFDCRITSDKLRSEKTEYLENIGGKSDRIWMYSENVIMDWMRLFQIVMELFNFERHAVILCLDTFSDQNKSIIDFIGSQLTSVNACHFYGKIVADQDVEYVLKNIKVPKYLKFQCKLSDQFQLKLPNNIVRISVSDGNWLNYSQLIQLKAYELEIKGSEITNVELNAFLISWMQSECHQDLVHIGISVNDTETVNAIFDLPHERIDNDVIRQGRTVRNTIIRMRGGIDIKRNDGATGTIYFRMDGDQLMLKMIVFECIV >CRE22781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:126967:128059:1 gene:WBGene00062937 transcript:CRE22781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22781 MSQSFPVLKLPRLALDEILITMRPFELIQFSMTSSKARVILKYLLRASVNFKYRLIVNISNKPNITVMGSDTYFGCILTSDKSESEKTEYFEGPDGLKADRIWMYSDNVIMDWMKLFKIVTELFNFQRHAVTLCLDAFPDQNKTFIDLIRSQLSFAHFFEFDGKIVADQDVEYALKNIIVTEYLDFKCELSNNFQLKVTNNLEKITVTNGNWLNYNQLIQLKASGLEIKGSKITNVELNAFLISWMQSECHQDLSHLEIQVNDPETRDVILDLPHEIIDNDVIREGRTVKNEIIRMRGGIDIKRNDGATGTIYLIMNGDQLMFNMIVFAFFI >CRE22838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:122433:123723:-1 gene:WBGene00062938 transcript:CRE22838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22838 MSLFVGGLLSLLHYILPVLPSVTSIQSSWLLIGYKMDNIPKPFPLLRLPRLVLDEVFIMMRPFELINFSMASLKSKIVMKCFLRTKGNLKYSIEVNTHEEPKVSIVISKAFFDQRMTSEREKHKKEEYIVTRDGFTADRVWIYSENLISDWMKLFKTVSELFNFKRRVVFFRPDTFPDQNKSIIDFIKCQMPIINTCSVNGEKELDDDVEYFLENVDVTESVELHSRLTNKFQAKIDRPLENISINFGDWLNYNQFIQFKSCKIALKKSIISNLELNTFLLSWMSMDSHQHLERLRIPVNDPESYNVILNLPHEVNNVDVIRHGRTARNEVFRMRGGNNIKRNDGVTGTIYFKMDGNQLMFNMIVFVSFV >CRE22837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:120714:121815:-1 gene:WBGene00062939 transcript:CRE22837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22837 MDNISKPFPLLRLPRLVLDEVFIMMRPFELINFSMVSSKSKIIIKCFLRTKRNLEYSLEVNTREEPKVAIVMSKSNFDYRMTSVKEKHNKKEYVETRDNFKYDRIWIYSENMISDWMKLIKIVMELFTFKRHLVVFRPDSFLDQNKSIIDFIKFQVPTVDACLIVGETPADREVEHFLKNVNVTGSLVMDSRLTDQFQLKTVKAFETLSIYYGNWVNYNQFINLKGYEIEIKNSKITCAELNLFLRSWMSFSAHRNLESLMIRINEPVSIDVIFNLPHEIIHFDSNRQGRTARNEIVHLRGGIDIRRNDGTTATIYFERDNNQIMLRMTVSYLIV >CRE22834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:104802:105732:-1 gene:WBGene00062940 transcript:CRE22834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22834 MDLKYIELVILTKILSNSTPLDEIKAALSSYPEDNDFRKKCEIAVAVREGRPMDVVLITVSLIGADFLDGFLVENLGSRSKPETPPSSMTLPESKEPKNCLNNADRAYIHLKYKEMNEHVPIDEKRKIAQHLGVTLIKVQNRISYLTRHSQKLQGVEKKRRLNKSEREYITKEYQKNDGQLTGEQKKEIAKHLGLPVRKVQGRVNRMKSYEHEKRKKTVKQILDNYQISYEQLVESDKE >CRE22832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:94232:97134:-1 gene:WBGene00062941 transcript:CRE22832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22832 MYNTEPWFILLPIEKHEYVEQEIKKFLSAFFEITFVNGTAEQKYTMINEWFTTAFNNMSENKIPIVFIKSIYAEMIRVAMEERGTPVIYDDMSKALQEIVWLEYTTLDLSVIKNLVDISKMMPVPGNQTRRMEALALLNAFTQVTLSYHSKIEAHAAWTVSFGIVFFSIFMDKLIKTMKTRDMRAESPSNSIKYVKKIPLPRGNFPVSNIQHPVKRLLAIHVRRKLEQIFADMGGRISGLQMMYLQDRFKQYMTPRQIKTYFKNLRAKARKCTIAKQEAEELPATSSLEILDFRRRSA >CRE22829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:86094:87022:-1 gene:WBGene00062942 transcript:CRE22829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22829 MTARTEIEHRNLYYKRRSSCSGMYKSEPQKARSQTSATTEGETSAAREEEMIRSRPPRVKSTSVCVGSLQLEGERRLTKPPPRTLNDFQFLSPETLTMQNYELQTIRPPAISTSEIFNEPPPVYIYRVIPPHERHQTSKKPPNKVVEYLKEHKHTLIAWSFGIFAVTLCVIVVALQCRSNFK >CRE22779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:73857:85499:1 gene:WBGene00062943 transcript:CRE22779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22779 MSPKCTFLFQYFNERHILLKDEWLNSVIEFLHQKLPSSRGFSDEKFANLVLDQWTCSHIENTSFPVFGNHGIDANVAKQRLQGPIVCQINGFIDTGSPYYQQYCNLSGRNGKKEDNSGFERVFHEKEDDSDQKPSRLLKLSLTDGESSLHAIEFWKCPQLSLHLKPGTKLLIEPPCDIRKGNFLLKPNNFKILGGEVLPLLNSYLPVEQYARKLKIQKGAEPIQNQNPPTSSGPSAMISRYFQKKPAPCTPSTSRAPEPREISPDVMAYADNDEEENVPESDMDSDFVFIAQKRCQRAPANFLTSPISDYENRKSSPRKSPRKSSDAVLVSSLIRQIQDSDDENFETSRRRIPRMKSPPKKRNSWTTTPPTADIPKTPPTPFNFSQFQTRRSSCLLTSRRVDPMDEILENMIEKGEEPISYAKSSPIINPFRVKTQPPPAKKVKMEVESDDDDIQIIELVTPPAPIVKIERKSSILGEKIDKNEEKEEYSPEERAALQAFNALKLSSLTESIKKMKYSIGSKRFVLLAFIVEFVEPLRVIDGLWTMKVQLRDDSGETEALIDNRTLERLIGYTCEEAMAVRKSSDLEKRKDGKRRLEALEQQLERLDLIFEIFRSKNSVSSDFSSENYEIPLKFRQKYENIAIFNTFSSEKQRQNLEFFKVSSPKTEF >CRE22827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:56991:59748:-1 gene:WBGene00062945 transcript:CRE22827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22827 MKLLVIASLLAISISSAYAPRHIGNRPRYQRATNYDFPYKQAGLDEMSFANGVSQNEDFNSIIQKLSMTARVVSALSLQKGLSDGSIPVDDVIAELLNIESADLKGLETFDKKKVDDFVNNIYGMKLDDKSKTLDEGFRDVFMIRKIWTEIGGEKGLDGLPDDATYKELESLKKLDTTPLASLPLDRLITQLSTFSSLNEQAIGTLKSELSSILNSIDKLAASSDFPKYIATLDRLKPIGSFAQMADLYNTLPIFMAEYSKIKVNSAVLNFKNLKAMFPSFESDSSTKTMSSIITSRLFANSMTRAHSAGFVNGFKDLRYLKDDVKNQWLLKQIDPSIDVKRLGQFDQLEGFMNELDEKWMKISTQNAYDTAKRLAGAHTLLNFQTSFELIESHLKTVMETVEKCKTNKRWINEVPIELNRILEKAGILNNKLTTLSFINSMANQMKESINRLSKNTSPDNVLMISQFLQGLKSQLTIVTSAPAFKDVDMEMSHVVLISGIKSHPWTQPYIDSFNKIKELGSDFDEVAQAAMAVIEVRELIKAGDVSDVMKEVTLALFDSSASLASIRKKLDTWKADGNGVDKNLARNLKDLPQLSKSFGEGINSLVMAKRASEKEAEFKNFVESGHSVRTGVETWADKQLEKEFSELWGDFDGTSTKIVLILTAINGWTGGLKVAKNWNLPDYASIFTEFPKIDDVILDTDNRIDAANRFDKRQYAGNLKEGVPKFKKSLLELSKLDLKFSRFQSSVHQMPTTLMEMSEKLRNPNKIDETDTEEEMVNNDSEKRRRLENLLKEDKMEDGPNYGSSNFEFGKLAMIFLVIQL >CRE22826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:50058:55403:-1 gene:WBGene00062946 transcript:CRE22826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22826 MVREPANLSPPYEPSESLSSAYAPRPTGYRPRYQRATNYDFPYKQAGLDELSFANGVSQNEDFNSIIQKLSMTARVVSALSLQNGLSDGSIPVDDVIAELLNIESADLKGLEAFDKKKVDDFVDNIYGVQLDENSKILDEGFRDVFMIRKIWTEIGGEKGLDGLPDDATYKELESLKKLDTTPLASLPLDRLITQLSTFSSLNEQAIGTLKSELSNILNSIDKLAASSDFPKYIAILDRLKPIGPFAQMVDLYNTLPEFIVPNKKNEIDSALLNFKNLKAVPSNSDSDSSSKTMRSVITSRLFHHSMTRLHSAGFVNGFEDLRCLKDDVKDDWLLNQINPSIDMKGFGKFDQLEEPMKEMDKKWIKMSTENAYNVVNRLAGVHTLLNSQTTAEVTESHLKTEIEAVGQRTKIRIVQDISTKQSRISERAGILNNKLTSLSLINSMANQMKESINGLSKKTSPENARRILQFLQGLKSQLTIVASGPAFKDVDMDTSQPVYIIDIKSNPSTKACIDTFKQMQEMGSEFDEVAQAAMASIEVRELIKAGDVTKTMTEVTLALSDSSGSLASIRKVLDTWKADRNGGDKQQAEQLKDLPKLSRSFGEGVNALVMAKKASEKEADFNLLIKNGFEVEPGVKTLSNPTFFEGFKAKWGDFDATASGIITMLARIDGWMSKVKAGENQKLSDFAPIFTGLEKIDDVDLLTDARLSAISLFGPVKAPPELKDVLPEFKKSLLELSKLDLKFSRFQSSVNQMPDTLKQLSAVLGSNSLQSSTTVPQGMVGKDGSDSESLWKMVWQIGGGTVFVLLICCVAFYAFVFCKRKDEKFENWWRKMTCGCFGKKKENTKEVYPAEPDPPVAPETPVVTPPFVQPFPQIVSLKLAHVPSRPVDYHRLEIRPPALPVWPKPEPDGEVDAVGKDAESAGVAGSNEVAVDAGGAVEDDDGEDAGEAVGAGGAEIVGSGGNARGRGNGRGRGAVRGRDAVRRPGNGRRPGNARGAGGAAGNVRGRGNARGAGGAAGNVRGRGNARGAGGAAGNVRGRGNARGAGGAAGNVRGRGNARGAGNAGNARGAGGAAGNVQAAGAAVGAAAAGNAEGAGGQGRSRSSSASRARRESDAMRTTEPPVDYSYANQTPDRHEPPSVTATENPQDTLDEIKTEFSDKGPKKRSSSAPP >CRE22825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:47376:49297:-1 gene:WBGene00062947 transcript:CRE22825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-28 description:CRE-SRE-28 protein [Source:UniProtKB/TrEMBL;Acc:E3MHF7] MIIKLVKSPTNYSSFWLPVYLYNDSETLRLVISLIELLLYSLAFYIMTVHLKVFLKIRMFHHNFIVLSVPMFGLWYELIFAKAITMLYNLKILETSYSIDEFFVMWTENTDKMLVVESFDGLELLLFAGFLQWHYIFSMIFGILSVCVERIIASMLIDDYESNTQTWIPILLTCVTQLFAVTVTCGVLFDKIGIIAFNVPWIVTSIISVVVSLLVLFFTIKNLNENWQREMEDPRRIRIFTVSQRFQVKENLRALRVSFFSRVLIYLTMKFQLGKRLIFAALFTMVTCGTGVILLIFELVPPILCHFVENCLFLNPFLICLVTMYSSPAWQQEFEKAFPSCRFVRNTEKPDISATVEPIVDLKKSLEMETNLYFKQLSDSWV >CRE22776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:45211:46536:1 gene:WBGene00062948 transcript:CRE22776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-46 description:CRE-TTR-46 protein [Source:UniProtKB/TrEMBL;Acc:E3MHF6] MKFILLVALFGLVAAMRDQSIAVKGRLLCGNGPAANVRIKLWEEDTGPDPDDLLDQGYTDANGEFQLQGGTAELTPIDPVFKVYHKCDDSKLKPGARKVKFALPKSYITSGKVAKKTFDIGVLNLETVFAKEERELLVSRRRRGGFNADYMDPEADSKEESKESQEETKEVRLVEKIV >CRE22823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:33756:38611:-1 gene:WBGene00062949 transcript:CRE22823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mam-5 MDLLFLFLFLLVAATWHTVTAEISASSDLNCDFTSSCRWRNSSDVGGHFETTSLLEADAQNRIMPMDENNPKPFAYTAGLMGRMMALLVSEVITCQLGGASIKYWYYKTGLDSQLEVCIRQPPGNRDLSQMRCYDGVSTFGKQWIFRAVELPPIAQPFEIVFKTIYSPPSSVIALDNIVFEATLCGYGRNRREIRRIGYHDWQSYRSSNLYNGELMLIVAQDVADKLEKNSTAPPTVEPPVSLEAKIAILSTTTTTTTTVPTTTTTVPTTTTTVPTTTTTVPSTTELSNQTTTPTISNEQQFANFVNFLKQTAPVIPYIPTLVRSLTALDPRVIEDLNLGQAPPPGVPIDVRRSPVSSSHFYNTNQPTLLTDNTNQDKTLVDLAKKFGLWETTRSPEPPTNNNKFGLPNKLTEESIYPPSLLQQHKKKITMNPIKKIPNPLPENIEEYHKSLFTTTVSPAPPSSTQLIIFKQPTSGEAEVAEKLADIAKLLPSGAVQDLTALRNIPDLDGLTKGMDLSDIRKPGGFGRLKSQFMERLMRRTLGLPMETDDRSERRALLCVSPILSFSPPEAPIFQDAYLESTNGGASEGAGGTFDDVGGSHPTQLLDFDGNDVTINQFESVSVPLPTRAVNYGAPTSQKIQNPARDEKRKGSDLGGPRSGPMFASICESVDCTFDENTLCNYLTSSTNVTAEDGSSLKKWVLSNRSVLNSLTGIPSDISKGGYFLYAGGTSASPGDTFILSSNHPVTVTEPARVDFFVFQAGVRGQFRVCVDDNEDCPVVLEGKDIDANSQKWKNYYFDISAGQHVLHFVVEGLHNNYVIGLDNIQLLNRFGTSSLAC >CRE22822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:28504:33524:-1 gene:WBGene00062950 transcript:CRE22822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22822 MKSLLVTREIQNGFMEAIRKRDIRNWYESNTDLLITISESLKSLEIPRSQRDKERICEFICQSFFTLFLMLCGEETDELRPESYTGGFLLKLKASYVSNDWRQFYNDHEHYFDYLINLARVQKIIDIKIEAKNGTVWDTFHEAKNSIRTPSRSFWFCCVGTVTPPVDQKKENETEVVTVQPKEHAILPDVIPIPSETGAPELVAVPSENIQNNDSFEDFEKEINKIVNDVTDFRNESIGSKPPATEKEKERPGVHRTPSLCSNTSSLNFTNRCNEADASFRRKLDAQNERFNEEMRKIREKREQMNREAEEDMRQFRKESSRRIQMFLNCIKLRIRWEEQEQEWGDWLKTMRGTVVKVKTTFLDFDHNRKFNDEEDNVQKK >CRE22775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:17342:27448:1 gene:WBGene00062951 transcript:CRE22775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uda-1 description:CRE-UDA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MHF2] MLFPFIIFYSVIVGGSLTEAKTQYWCHGDGVLSNQHTCRFFTIVIDAGSTGTRLHLYKFIHDPATASHGMPFKVEKEIFQEVKPGLSSFAKSPIDAANSLEPLLQRARHEVPHFMWEKTPITLKATAGLRLLPGDMADDILEKVEERIFNSGFFAAFPDAVNVMPGSDEGVYSWFTLNILLETLFNDEPTIGHKPAAHRSVAAFDLGGGSTQLTYWPNNEAVFSEHIGYERDIDFFGHHIRLFTHSFLGNGLIAARLNILQLETDNDIETTHQLITSCMPEGYQLTEWEYALKFWNINGSSSHSFSTCYDVTKAFVESSEIMHLRELKGAPVYLFSYFFDRALNSGLVSGNDGGQIELRQFKQAAELACRRGKSEIEDDGSHWMPWQCLDLTYIYSLLRDGYQFEDSQPIVVRKRLAKKIKGMEVSWGQGLAFATANEFQLTEGAIKSVLNSTADQNSTVVDQIFDLVYSGTNQVLSYFNIISV >CRE22774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:9622:12469:1 gene:WBGene00062952 transcript:CRE22774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22774 MNGNFDQYINNCDNLDEQISVTDFIKTLKKVESSDTLNGRDSTSSSSSTDKLAKLPEDGRKVDKKGSANDLLQNMRKRFVHRATEPIFHALPEAQERRVVSGRDGSSSSTKNFGLSREPKSVEGECDDLVKMPSFDNSLDRKTDSEPTLSKTLKKYNTP >CRE22821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:1193:4447:-1 gene:WBGene00062953 transcript:CRE22821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22821 MKVVPELFETPTTRLTSRLLTTSVISTFAGGFHFGYLISAVNPLADILQQFIVENLRIRYHLQLSSSHLALLWSSLAGCLFVGAMIGAYLSVYLLQKIGPRKTLLTAATILLVSTPVFGLAYSFDMAELLLVSRILSGIGFAIGISAQGVFLTEISPARYRGLTNSLSGLIGNIAFLLAACLGTPFLLGTVTHWKFIFWIEISPCLIHILLNILTFHDSPTYLLSIGKGGEAEESLKAYYGETCHVKRVLEDLRIAQDSGTRNQKSLTEILKDKAGAQALSLSMAINFSVAFSGIVAISFFGTFLLQNVGFSPEGSAVANSLCSFASIISALLAAIAIDKIGRRPLLISSLLILALINILMMSLVFLYDSTKDAILAWPFLGLFVLFTFVFSIGIGPAAVFIGAELAPPGTISKMQSHSTSVQ >CRE00951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:204:2162:1 gene:WBGene00062954 transcript:CRE00951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ess-2 description:CRE-ESS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MI09] MSSFDKNDEKAKLIVPKSVNDGKVVKLSQKTLVSKKFERQVVPEEKYIAGLEKIIEKDYFPQLKKIQVQKEYLEAVAKKDITKIKELQLQYCSTGSIRTDRTSINPSTIRSTTSEVPDDEPFDSETPGPSSSSTSSKLDWMQSPMPFANEEGDNEAIHRKRKKKNAETLTSYLNKYTSEDNASFEELAQVMREREDARRPWIYKAEEEHNKYLVTRQAIAAEADVQLALKHAIDADDNRPLNVDNWKYKAWNTVLFNPEGAALTVAEQADAAKKQKTEINKKGTRFPETGKLKPSDEAMTRAAVSHALANAGKVDALGNEVTPANSFKLLETPNPNPDDMDSPLMTWGEIDGTPFRLDAPDVTEHSLPDAAPIFKIPEMPYREKIAQSMNDSIAAKYRDKRKVAMRAAEGAHRTPGFGSKRVSDKLAQLSPAAQKLATKKLGLKMIPAHKSPFASPKIGSGWSRSGSKRSDTPGSAWSRGSVTPGSSWSQGARTPGTPGIDSMIRRPQNREADDGAGPSTSSAGGRAQAGDFF >CRE01043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:2393:4730:-1 gene:WBGene00062955 transcript:CRE01043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01043 MSKKCAREDCGKTVYPIEELKCLDKTWHKQCFKCTVCGMTLSMKNYKGYDKKPYCDPHYPKTVASVVADTPEMRRIAENTKNQSNIKYHAEYEKMKGTKIEIADDPEMERLKKNTQVQSNVSYHGVLGQKARQEEVRPKEEISPNPTPTPISPINHQTYSAPTQAVAANSHLIYSSEQGGAVSPTPQKTIGSIADYDPLNGQWGTAANQPRNSEKLGYLKNQVDKGPARFCADFAGAPPSSVSSTSPHSTLSSPQSAVSPTGKAGFAVKAIYDYAAADKDEISFLEGDIIVNCEKIDDGWMTGTVQRTLQWGMLPANYVQPHKLPTGLHRLS >CRE00952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:9411:10146:1 gene:WBGene00062956 transcript:CRE00952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00952 MVGHSDSAVNEVVEPTSEELLAEQIRVFNRMKGSTNFNRVAEDVYPVEVTKNKLVCEMVIQEQHLNSKGTLHGGQTATLTDVITARAVGVTVKDKGMASVELAVSYLLPVKVGDILEITAHVLKIGRTMAFTDCEFRRKSDGKMTAKGKHTLAFLPSQPGISVENGSQF >CRE01044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:10784:16690:-1 gene:WBGene00062957 transcript:CRE01044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01044 MQTKLERPIAQYSYFYYEDDQDQATIRRREGVACENVLERSDHSLSRTISTATMSSNSSTDTDGPPETKRFRIDINTQVGLDTPSVSSNCAPPVAGEASQDGQSPAAPSSASYRSSNSSVISSSESPSKVEDVDVGQDEEEEEEGEDSDEIEMDVNESGQTGTIVNNSGIFEMLNKTFGGVFNCDLDGIMRPNALLHPSSPPTPIQSVVPGAVAVAQSPAAQLFANDDWSWHRNPAASIRSGGTNKQTPVWKYFVYNKTENLSRCIVGDCTYMLKGPHTSTLACHLKKHTREYSEFQKLKQEYSRTKLDQQPKIPDGAPHPLTLQTQTAPRQTGSPASTCNTNTNTSSSVSTGSSSGPTSGSNSTMDLSMKKPKKEPSSTKLNEMLLNGLRQVSSNNSTGSPPTTPQQTSQIPNMPNFVTNMMLQMNPLHMMLAQTLPSATPPTSSANSSSTSGLNVLQQAGLALAANGQIIQSKKWRNDDKKQKELSSKLALALATSHVNFEVIQNPLWKEVFEVAQPKFTIPSESQYESIVNSASQKLIQALKGQLSMNKKLNLLLDITKITADISRVTVSAALTGGAGNSYETQVILLAFRNISGNQSEDLSAVFEKILDDYNISPTSINRIICSGLNEIIEPTELPRQMDAFSYRLSNCFHLWLETSPTLELLKKNIYSMLLSYLTVPTAVQIASQMLKTKFELPVTESFSVIVENLLSHREIYQMKLEGLQPISEREWSKVTGIRNLMNIFKPLMSYSTDMTTVDTVIPTIMQILNVLEKDIYHLGDIGSDLLSSLKLTTASIMNPEHEDFDSTYIQATALNPQLAVTLNSEQMSIAKSQIEAEISKRSKKIKKVQSEKKLAMGVDSLLANVMRKGDGSDGNSCDALAIYGDLFQSITSGNNSESKENIVNQYFEEISSTVSSLALSLNSSIRSISNHSVESMFMLRTFGNPMQAPLSYWKSCSSRCSELSDLAMELLSIPIFTLTAEKVLNFSVTSNSLNTNLILTNLDSSEQFEKQLLLRYNRQIVAKLFS >CRE01045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:33247:34273:-1 gene:WBGene00062958 transcript:CRE01045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-32 MNEARARFFLNLRQSIDPLLRVLSNKSAPPPSLGLAFDISGMPPATLKSSALTYGSVGEMIKDFSIVFGVPKYRTSKPKKVTRKFSFTRLLQPIDNLVTCPACSNIHPSDTICDACYSKVHKLTSEIKKKMMEYNPYVGEKQDREVYVKFKGESEAPAAVVKGKRVIELEKDRPTWFKKLTLKE >CRE01046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:35410:40832:-1 gene:WBGene00062959 transcript:CRE01046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-3 description:CRE-RPN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MI14] MPPKAGETAVEKMEVDEVKQETQEKEEPVKDVNAIAVENIKEQLTALDKGEEHLITRVLQVLTKTRKQLNDIVLHKLLTSHLSSDPQYSENLLKFLRYTPPPAETEAQPMDTSVPKNRSPKKGVKPVFSSPESDCYLRLLVLLHLYAQKRHAEALGLGEQQLTYIYQTDKRTLDGFAAKTLYFLCVIYEREGRLFDLQGFLNSRLRTATLRHFNESQAVLICWLLRCYLINRQYQSAAHLVSKVAFPENASNNDLARYMYYQGRIKALQLDYNSAAGYFLQAQRKAPQEGAIGFKQAVQKWVVVIGLLQGEIPDRSVFRQPIYRKCLAHYLDLSRGVRDGDVARFNRNLEQYKGQFEADDTLTLIVRLRQNVIKTAIKQISLAYSRIYIKDIAKKLYMTNETETEYIVAKAIADGAIDAVITSDVRDGPRYMQSSETADIYRTSEPQAHFDTRIRYCLELHNQAVKALRYPPKKKIAVETIEQAREREQQEMEFAKELADEDEDDF >CRE00953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:41265:44134:1 gene:WBGene00062960 transcript:CRE00953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-110 MSVLGFDIGNLNCYIGVARQGGIEVITNDYSLHATPACVSFGPKDRSMGVAARQAVNTNIKNTVINFKHLVGRKFSDPVAQRFIPFIPCKVVQLPNDDIGIQVSYLGEPQTFTPEQVLAALLTKLRTIVESQLTDVRKVSDCVLAVPSYFTDVQRRAVLSAIQYAGLNSLRIINETTAIALAYGIYKQDLPEEKEKSRNVVFLDIGHSSTQASLVCFNRGNLQMVNTTYDLEAGGLWFDALIRESFRNEFKTKYGIDAATSPRPWLRLLDECERVKKQMSANQTPIPLNIECFMEDKDVTGKMQRQEFESLAAPIFNRIKKVLVDLFTDDVAIKPEDVEEIEIVGGSSRIPMIRQIVKELFGKEPKTTMNQDEAVARGAAMQCAILSPTFRVREFAIKDSQPYRIRLSWNGSGDNGGESDVFAPRDEVPFSKLVSLLRSGPFQVDAHYAQPNVVPHNQVHIGSWKVNGARPAADGSNQKVKVKVRVNPDGVFTIASAVMYEPKLVEEAPVEPMEVDGNTNSEAPPTEPQEPVKKIKLVPIDLEVIESIPVTYDVQKFQQLEHQMQAADAKEKDKADAKNSLEEYVYEMREKLSEQYADFITPASAEEFRSTLTSTEDWLYDEGEDAERDVYEKRLYELKTIGTPVVERYREFETRKPAFDSFDQSILRVRRAYEDYANGGPTYAHLDSKEMEKVINAIEDKKKWLDEARHKQDTRSKTDAPVVFTEEINQNKNAFENIVNPILNKKKPAAPAPPKKEEPQPAAGDQPQSQPGEMDVD >CRE00954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:44647:45920:1 gene:WBGene00062961 transcript:CRE00954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ufbp-1 MDSNQGKSSTDSPYSYGGSKNESLDPLLIGSVSVLVLALTVIIWRLLKLQWDEKAARQRTDLLLAMNEGAEDRRGANGMCPNHCEVLFKSRFVSVAGGMRRNARRRINRDEQEDGFVNHMLNDDDEMEDGEGGDQFEYDADGKKVGKRKAAKLAAKEEKRQMREYEIREREERKRREEEREKKRDEEKAKEAAEEKAEEDRLKKEREEKEHKEHEEYLAMKASFAVEEEGTDAIEGEEAENLIRDFVAYVKANKVVNIDELSAHFGLKSEEAVNRLQHFIGEGLVQGVMDDRGKFIYISDEEFAAVAKFINQRGRVSIHEIAEQSNRLIRLETPIAAE >CRE00955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:46221:46960:1 gene:WBGene00062962 transcript:CRE00955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-96 description:CRE-PQN-96 protein [Source:UniProtKB/TrEMBL;Acc:E3MI17] MYQTYPQQQQQQPGYMPQQPSPPIMGAGAGPIASQQPFGQQPPQAQHQQFQPQFQPYQQQQSTQQFGQGQPQQFQPQQPQQHVPTQSPHPDRESYQTVLPSTVTPGWNDPPPMLPTAGTTPNRLSNMRRRPVDPSITGNVGYGAAPQASNPYGGASPYGTTQQDPQQYQQQQQHQQYQAYQQQQPQYGQQQNLQQYGQQYPGGGAPPQPQHY >CRE00958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:54948:55648:1 gene:WBGene00062963 transcript:CRE00958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00958 MHLSQALARNLNTRHWNRRIWEVGYRGQILPQQKATGRPDYPVSANRVNILRERLAREQIVMNLLTRPYSTADAELTYLSSQKNVKSLEELRVQEFKNLEAQRMPGKPKNTEGSKTTIRKRANVGNLLHCHTTVEDSLSALADRKRWD >CRE01047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:55654:57880:-1 gene:WBGene00062964 transcript:CRE01047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01047 description:Translation factor GUF1 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3MI21] MSRGKLLKQSYLFKLREIWRYYSSAGDPAKLINLCEFTPDKIRNFGIVAHVDHGKSTLADRLLELCGAVPPGQQQMLDKLQVERERGITVKAQTAALRHNGFLLNLIDTPGHVDFSAEVSRSLAVCDGILLLVAANQGVQAQTIANFWLAFEKNIQIIPVINKIDLPGADIKSVETQLKTLFEFNPEECLRISAKSGLNVARVLDAIIERVPAPIANIDAPFRSMIFDSYFDHFRGAIAHIMVKEGSVKKGDKIRSYQNDKMYDVTEVGVMRPEMAKCTELRAGQVGYLVCNMRTVKEAVVGETLFAAETDKESVQTFAKIKGVQPTVYAGLFPVDTADYESLKQAVERLCLNDSSVTVTPDASKALGLGWRIGFLGVLHMEVFGARLSQEYDASVILCQPSVEYRAKIKDNESIRKKRYDGMSEIRILDPSKFPEESDVETFLEPMVKVRMIVPNEMMGTVNGLCSECRGERGEISSIDTSRLMILWRLPLAEVAVDFFERLKKLTSGYASFDYEPDGWQETRLLKLSILINSKEVTEFSQILPAAMARDRAKTLVQRLKREIPRQQFEVTIKACVGSSTKALSQIVIQPMKRDFSQLLKGNFGGGGMERLNKKLSHQKKGKERMKMVGNVQIPKEAFLNVLKN >CRE01048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:58090:60048:-1 gene:WBGene00062965 transcript:CRE01048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cec-1 description:CRE-CEC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MI22] MSGGGEELFTIERILDDKKIKGKTEFLIKWLGYDHSANTWEPKKNILDPLILEEYFKEKKKKQIEKKEQSAALRAGRSKKAASSSRATSSSASSSSRNVRTGKSPPKRRLAGGDIRPDSDSDEEEESKKTSDNDAAEDDAEDDEEPVPKKKKSVKEEDEEEEEEEEEMEEQEVELGNVKVELQKVEPEDEPEEEGEEVELGNVKVELQEAEGEDQPEEEGEEEAQEKTVPVQEEEEEGEGEEEEEEEEEKEREEEKQDEGQEEEDDGNQSVENLDNAEEMNQDGYDVRQGGAEQEGDEQEEIELKIVEKKETDVAGPSTSSGSSSQNGASTSTAPKVPEVVTLDDDDVTILD >CRE01049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:64411:66526:-1 gene:WBGene00062966 transcript:CRE01049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01049 MASTENISNDMAALFEVLVDVAYRNPFSKYQQISQFPQQKTGPNPSEESIFHGEVRDARDAGPSFLIRGAVGSYLLEEKNRTLSNFLEAKIAKYTTTDLMLCLITCPDGIQTGPSERRIDINDTLILSTANTTIGKLTEDLMNYFQINRKYMTLELRNSRRKPESNQLHANLRSVSHHAHNINQKWLKFEMILKPSEKITLDIEKFDNQMIEERRKQQENNLRLLIRTNRVINGCTQHEVHIPRGDSSNLKRLAIDRVDEMEIEKKRSSQPHEVVDDDMPALEKEGPSEDIGSDSNGSEQSSSSESVPSLHETVRMDHNPIQKRTRLVPNSTVSYAPLPLQSQQSDAMNIKHISGMVLHKLEEQRKMDRNQLFEYIRKGETDRVEEKRIEDASPEELEMRLQIVISEFIQTDIEKKLNLAKRGLNEIQVVLRTRANFNTQEVEQIHERNQFFERERTTNTEMLCAAQFHTDGLKNAWNRKKNSLVAVKHQQHQRPNFEIPSRSYPIVFPNGQPAQSIMDNLVPIGSYPVMSIPKLMKTVNGMTSDQYKSQAHRPQIQENKLVTVPTPSHPSQQVR >CRE01050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:71049:71873:-1 gene:WBGene00062967 transcript:CRE01050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01050 MCSHGHSHNCAAEHIPEVSGDDVHRYDMVSYIDMERVTTLNESVDGAGKKVFKVMENRDDKTEFVESDCDHELLFNIPFTGHVRLTGLSIIGDEDGSHPAKIRLFKDREAMAFDDCSIEADQEIDLKQDPRGLVDYPLKASKFGNVHHLSILIAANFGEDETKVYYIGLRGEFQHEFRQRVAIATYESRAQLKDHKNEIPDGITKSLF >CRE01051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:72509:74360:-1 gene:WBGene00062968 transcript:CRE01051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyy-1 description:CRE-CYY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MI25] MGNSSCCLRSRSSSGEDKSYNNDGQYIRTNQVEYINQVFPRDETSTNFLPHISEREVTEGYEEDPSTNPTARPTFMERSKSEMKLKDNRRSCYMLDALAAGGHHPGVLPRSLRKSSSCSTIYIDDSTVSQPHLKNTIKCISLAIYYHISNRKNRGHERLMEIFEERLHPIFRDPIPPEQMTRDPDHRNIYRFVRNLFSSAQLTAECAIITLVYIERLLNYAEMDLCPSNWRRVVLGSIMLASKVWDDQAVWNVDYCQILRDTNVDDMNELERRFLECLDFNIEVPSSVYAKYYFDLRTLALANDLQLPIQPLYKERAQRLEALSRVFEDRIQTSSLPKRARSAEYLVLEHPAVLS >CRE00959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:74864:76547:1 gene:WBGene00062969 transcript:CRE00959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubxn-4 description:CRE-UBXN-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MI26] MKWFPGNVTTAIQVSRTNKSLLIVYITNDTEDGRLFDEYWQHIDSSNLLCPVVGIKLIAGETAAKQFADIYPTPIVPAAYLIDQNGKPIEVITTLVGKTYDQFRAKFDKATAQFINSTLASVKPTSSASSTTAPVSSPAARQEPVPVSQETSAEIAEKVARAKSLLEQKKLKDAEKQREAAKQMKEEISKAREAKQDRDDKALMEAAKQRNMEKLEAGKEKERILAQIKADRKDAQKRFGNATNVETNTDKKESTSSSIVGKAVPSDRLIKYKITFISKRGFRCRLQIRLPNGSTFVEEFPSNDVLNSLVEIIRQKPSIAGDAFEIQQPYPRRVFTVEDYSKSFIDNLLTPSTALVVVQKSSGSSRPGSGSFALNTSSLNLFAWLFYPFSAIWSVFCGMLGWGQANNNKKDNDVSTEQRSDAGPPRRGMPRSAEVRRRGNVAGLENPNDDDPEERASFNGNSTQFM >CRE00960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:76627:77564:1 gene:WBGene00062970 transcript:CRE00960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutc-1 description:CRE-CUTC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MI27] MLEYFNYSNSLSEMFSVQKMTEEKPENHINLEVCIDSFESAENAIAGGADRLEVCSSLQLGGLTPSVGFVSVLRYKYPDTPLYCMIRQRPGNFVYTEDEMSANMEDVEWLKKAGATGFVFGALTTVGTLDREACDTIITTARPLPVTFHRAIDVAYDWKSCLEEAIEIGFKAVLTSGQEPSALDGVHIIREMQELYKDKIDILAGCGVNSSNVANLVEWTKCHWYHASASVLKKNVPMNKVSMGKQDNQPTRVTTVEEVRMLKETLAPV >CRE00961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:78233:80333:1 gene:WBGene00062971 transcript:CRE00961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lap-1 description:CRE-LAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MI28] MAKILVRNGIQAIGEGLTSLVIVGKKSALQAVPFEGRFQEVAQKFVGDSSSWNSMISRINKSGRLPLHYELAHLIAVPDSASRGNTSTNSHALFKDMRSVTYPEDVENVHIVLFSNYSDVVAHVSAIARTFYKFTLKTSKKRSLNVNIDVVCDQLTGEDADFLTDLSESIRETARLIDTPANVLTTDALVDEAVKVGNALGSKVTVIRGEDLLSSGFGGIYHVGKAGPTPPAFVVLSHEVPGSTEHIALVGKGVVYDTGGLQIKSKTGMPNMKRDMGGAAGMLEAYSTLVKRGFSQTLHVCLCIVENNVSPIANKPDDVIQMLSGKTVEINNTDAEGRLILADGVYYAKEYLKATTIFDMATLTGAQAWLSGRLHGAAMTNDDQLENDIVRAGKASGDLVSPMLFAPDLFYSDLKSPIADMRNSNLGKMDGPPSAVAGLFIGAHIDFGEGIRWLHLDIAAPAECGDRATGYGPALFSTLLGKYTNIPILNQ >CRE01052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:80518:80900:-1 gene:WBGene00062972 transcript:CRE01052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01052 MSYYGGYGSCGGGILGSVLGMAGGYGGGYGGGGYGGYGRCGADNIFYRWRCCDYSPYECCIQLETWVVVFLVIFIIGFFVCLCACLAGCVWSARNRQ >CRE00962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:84371:87053:1 gene:WBGene00062973 transcript:CRE00962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00962 MNIQKTTNFEALQPAGAEYELTDVEIQQLKEHNQKRVKRVVGFVAIAMTLLSLALVAFSLALGRKIDLMGQFSFEFDEVQNTEERTVLFLVEQKVEQRMEIYTAHGINLTETRS >CRE01054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:93239:97991:-1 gene:WBGene00062974 transcript:CRE01054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-met-2 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MI85] MNNIIELPNDTDLINDASDGSEPENAQNIVLPIPQRRDANEPDVVDLTLDDEDENGENEIEEIEQDEDEILEVEAEEDGNEGVEVLHDLNADYIMNAFHQAFNVEEDEPGRGEGGEEEGREGENIVDVDVSMFSSSCASFYKSKFHFTFLLNLFQNDLIIEESVQKSDEEKRALMKDNIDSLLTWLKRLKPEHFKNFKVGGSHYLTGYTLDEPFLDEETCVKIAQDFKEVGSLDGLAVKWNLENDELLIASICMGILKVDNAFDIDFGLLSENRIDEWSCLKCEKYLDSITSGVNQKNSPLKFMQFTATSPASAILLLTYFSMVANEFPCKFVLESGSVDQPIIDAYPYELATSILDASFQYWQEGGARIQPQMAREINEFFHQIDAASAEMKDHFENTLAQEKEIDKLIKEKAPKVGDIEVFDQTLLTKEQRTEIIKARSALTALKEEMRVVTEAADKVYTQKTDMEKYLVLKPGEFCLARAQPHDEVELAYAITQEMVSPQIYKVKFLHSDQVVECHIRDLALTNHGLCNPIFHNFCDVGLRVAVCVSQKTGQYDQRTHWMTGTTAGRRSSHRGDFLVFLDDGQDIYATAPVKPGDTGYEIVTAKKEGTGISYQEVLNRMKAAKIAVMVGQPLGKDGIIDWSHSYHWVRPQQRSGYIRQFMRDFPECPLLRMHIGMRIFLISRMADRRKRMVTVVAIDRAFATVRHDKSPQIPGSKCFEYPCTDKTHVHEDENVYRGSHRLEGAAHKKEMLSTNNNLSKRRKGQFASQFEPTDADRSMPQRNLPPQAVTPRIPGVNSRTSPGTKKKTEAELEENKKKQQLFEKIIVPTPKLAPLRTLVPHNQCGPDCLMKMDADPYDSKFHRNSPIHTPLLCGWRRLRYTMHSGKKRATFRKVIVYYAPCGKPLNSMAEVSAYLNDTRSQLQIDCFSFEPCVDTETYVTVNEKYVKNADLAGGIEGIPIPLVNSVDNDPPPNLVYSSRRFPYDATVDVSSINQDFCSGCTCEGDCSVSDKCECQILSITATEKLPVNLQYDQKVKVQPHYDHRILANKVITGLYECNDKCPCKRKACHNRVVQNNIKYPMQIFKTAESGWGCRALTDIPVGAFICTYVGALLTNELADELKNDDQYFADLDLKDSVELEKGREDHETDFGYGGEESEEDSYSDKDEDDSESVTDKTVTHRNRHDSKLPMRVTRQSREEEKVANIEFPGAEQDPEGFAPNDMENNDIEDESKNEEIFNWDEYFDKSALYVVDAKVKGNLGRFLNHSCAPNTYVQHVMYDTHDLRLPWVAFFTSKHVNAGDELTWDYQYTELDTESARLSCKCGALECRGRLL >CRE01055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:98173:101197:-1 gene:WBGene00062975 transcript:CRE01055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01055 MAQYALEAGVSWLATSVSVVASSTWQFAKWSHRYIMKEPEEVQDEQEESHFHQMVDREKEFHNYVRQQIICMWLFMLLYLFAFWLISRLKRKTEREALYAGEEDYFVYRVSVWISSTATATSIGSLTLLPFSVIGVEMLQLYDGNYYLQWLSYSLIGALWHYVFVLSNVSLFVLLPFSYFFIESQGFSTTNIGNCTTQRVYEAMAISFLFAFVLLCLAEIVLTILDYPVSFLSITSVNLPLIYSCVSFIGALLLLISTPYGFAKMFSLAREFLVTEEVLETEPEPTEPVENADVDSNMLSSEETLHEKEFIRSETPHLEDVVTDIVENVDGDSEYRKDSDSGIESGSIEEMRLHTDDEEGIYEDNDTALSAAGDMVNSTPTRTDKRRWKHDYASTKQISRKWDEAPKKLPNPDFDYRNFNDYVRAARRQRSSLSDSDDFWVGSPPKNTFSANYYSTSRFYRWKHGSEMCLNPLTVDPFASGDSHEATSSGASSNLISPERRTKSEEAIWKPVIHTVKSSKLYKRAIEKQGRLLKLFMRLRYPVVITVLLALTTCSLVMVAINTLKLLFGYRSLPVYAQYIEVHTRHSFGLFGACIETLIIIYVMMTSFVGLYSLPVLRSLRPVRKDTPMPTIIINSSIVLVVASALPVAVNTVGMTTFDLLGSHSSLQWLGSFRVVVAYNTMFVVLSVAFLFNQLTASMRRQIWKWICQLRCSCGRRRPDDADDTIVILGGNKKND >CRE00964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:103834:105699:1 gene:WBGene00062976 transcript:CRE00964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00964 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MI87] MNIAKTDQKKPSTGEFIEKRESSDDLEFSDNNGTQPASDLLTDLNSIGTYADNVSGASEFDKSVDDYIRQILKYHSWYHGMMFSGTFESMLTHEHSFLVRCSISRCSTKNYLYISTKLGRGEISHFLLDYNEEGWGCGKLLGSLPKTAKKRFVHIYQLLDAWSLLIKETIPVPRLQLVIYHSCVTLGNKLGRGAFGEVYKAKYLARGATEPIEVAVKKEVGTSTRSATKLFCHEAEIMGSLRHTNIVCLYGIASLEKPVMLVMELVTGGDLQVLFKTVFQLESIWFSLLQKYLQQTLHISNKQLILFSLDIASGMAHLASKEFIHRDLAARNCLITKSLQVKISDFGLAHKGKEIRVKKLKKAPIRWLSPETLLKGIFNEKTDVWSYGVVLTELITRCAADPLSPRTLKECHKWIKESEHPHKIENGEPKELAELVDICCDKNPITRPNFEDVRQRLQVILESYLTTGKFSGSLEMKKSEERKSGNSADRKLPNTGLAQKKSKEGKLRSTERKSKTQRRITSLLRRKEKGPLKLPTGMNPNVERPSPPTLLQTPPIMKPP >CRE00966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:107282:111385:1 gene:WBGene00062977 transcript:CRE00966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00966 MVSLHTFNLWSRVGDKIKVPEDTLLAHVPCHENSDFCQGLSAEDYHTVVSYRNGQNTGKTENIGDEEFYENWIELSILGPIQKLSNESELSKFKKGIVNGKKRLSVTIGVFPSEQDTSFQHFQISAEKLAGKYYSSYFINENSKPAITTYRHSEKQKRTDYSGKFDPASVMEFITKSSIPSVIDISTGFTTEILFYQKRPILILFGEEFEPFSKLSARQDARKHYIFTKISGENRNIQKAKEALGVVGNEPVIVLLNKDRVHLISISKPKCPDHLQKLLQMISTSEADKVLSTKNPHPLRFLQVERVNEIFGFEETIVLPDHTLFMDSDPFSRHPAISESGGSGGCPFMQGGGAGGATEGGHHSEL >CRE01056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:107768:109327:-1 gene:WBGene00062978 transcript:CRE01056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01056 MELSNQRKRQLVDATTTENLKKALTVKPEPIDDFEKGDHVSPSATPILSSIISTPPSPPINRYDFLAAFRQFLNGYLQAGGDVNLVNALANYATALPLPPNPLKPLSTVALTTFPPFVSEESQDPKPIPNVPAIKLEPTEQAAEPVTVPSSSASKLPTLSAALGEEGSVPNRSSSSTDIPNKSDIKPPHISFPPFPMLPMLPAPQPVVEATSEIQRLREAAFGRYKNVLCVICNEWICSRNRKNHIEAHLNYRPYKCSACTYARRREIFVTQHIKSQHKAEENVTMLTSVDLHVSMEVDRLADECVTRTRKLIENMQEKKDGDFGENKDFDEKALELMMSEEAEKKVVLIESVSAVRPKVANYHRRQRTKVLKKIYDTDVAKQAELKIVKEEEGGMTTSISLQEGFEIKLEDLMKMVEAVGGNPGSGEIVPDDASTNVVGTSEPSIDSALQQ >CRE01057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:113004:118196:-1 gene:WBGene00062979 transcript:CRE01057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rfp-1 description:CRE-RFP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MI91] MMKRNNEGIGGERFSDSPVEDIQQKRRRVQFEPVRITAVSNVNDIKARAVVYQTAKLRQQLLYKNKKIADLEKENERAKRRQQTDESNFLKVYNLFSEIEKYICTQTKNEFGEFEVTEAAPTGTEVQGMTAESYHKCVEQAKMNLRNAFISYSKARHDRNAESLEFVKKMKEYMEDPQNNINEIHTELTVKTEALLSQIDKLQTDIHKTQSDCYNSDRKRRHIADKYSVLENRVQELEHQLEDARFETDKQMRLANKFEYRLANHVSEQPPPDSVAVASSSGTSNQADKKIAAPVTPPNESITKEIENLRVERDEQVALAARRLQELEEVNRRVQSLTQENSKLKMELQTQTALSVDAITNSDEYKNLKKYYSLAIKEYDRVSKELEEVTTERDTFRNAKEARALLMAEEHQKTIKEIQQQSDIHNTFYRVSHDSEILRCEFETVKEEYNKTVKQSEWDEMKATLNGLRSLNKTIKAEMHRVAEKERKTRAEYDVLNADFQSLKEQQERCIMIPLDESSYVPPDDINKIRQEYDSMRKEIRRLGAIDKHEKQKYLEKEVSRQVSDKLTELDTLRKANEALTNDEQCLSDELETICVAVEEEQERNAQLFTEKREQEDRNLKLMNDRMIQNQVLSRLREKLGCLENKAQTDAQIAKMHEFEKKSSDELNTKLSDSLHFKSTELTRVTNLLETHRKQMQEVGMARDEFQIKSERNESQLKQIQELFGSKSRENEEIKFKRQRAEEELETIRLKYERAKRNDSCSGQGGDQVLEEANRQMKETLTCPSCKTRPKDCIMLKCYHLFCETCIKTMYDTRQRKCPKCNSNFGANDFHRIFI >CRE00967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:118565:119744:1 gene:WBGene00062980 transcript:CRE00967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00967 MVRRLFVQGLSSDATESSVKKYFERFGNIYECVVPSPPRYSVRNILKKPCFNVDFQVIDSGPDEEEMEARSAIRHESIPEDELLDDELDVEQYNSEKHGSFESYMKKVGDGTAFSAGTKRACSGYAYVTFVDMDGYLRCTNSETHEIDGVKCTVETAKEDNEKLEVESKRLFVSYFPLDRLTSNELKTKFGLYGKITDVEFVRDSEGPLHFCIITFADSKSVDVILTKSIYIRDVLMFMRRAVLKESIKIAQQKKMEQSQVQRIQLPLNHTAYPTPLRPVTVFPHSPYSSSPSTNPLHDPSAAAGYAPLLPQQSETDPSSQYGYGPRKW >CRE00968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:121420:124524:1 gene:WBGene00062981 transcript:CRE00968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-116 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3MI93] MEPRTDGAECGVQVFCRIRPLNKTEEKNADRFLPKFPSEDSISLGGKVYVFDKVFKPNTTQEQVYKGAAYHIVQDVLSGYNGTVFAYGQTSSGKTHTMEGVIGDGNMSGIIPRIVADIFNHIYSMDENLQFHIKVSYYEIYNEKIRDLLDPEKVNLSIHEDKNRVPYVKGATERFVGGPDEVLQAIEDGKSNRMVAVTNMNEHSSRSHSVFLITVKQEHQTTKKQLTGKLYLVDLAGSEKVSKTGAQGTVLEEAKNINKSLTALGIVISALAEGTKSHVPYRDSKLTRILQESLGGNSRTTVIICASPSHFNEAETKSTLLFGARAKTIKNVVQINEELTAEEWKRRYEKEKEKVTRLSALLQAAALELSRWRGGESVSETEWVNLSETAQMVVSEVSGGSTPLMERSIAPAPPMLTSTTGPITDEEKKKYEEERVKLYQQLDEKDDEIQKVSQELEKLRQQVLLQEEALGTMRENEELIREENNRFQKEAEDKQQEGKEMMTALEEIAVNLDVRQAECEKLKRELEVVQEENQSLEDRMNQATSLLNAHLDECGPKIRHFKEGIYNVIREFNIADISSQNDQLPDHDLLNHVRIGVSKLFSEYSAAKETSTAAEHDAEAKLAADVARVESGQDAGRMKQLLVKDQAAKEIKPLTDRVNMELTTLKNLKKEFMRVLIARCQTNQDIEGEDSLSGPAQKQRIQFLENNLDKLTKVHKQLVRDNADLRVELPKMEARLRGREDRIKMLETALRDSKQRSQAERKKYQQEVERIKEAVRQRNMRRMNAPQIVKPIRPGQVYTSPSTGMPQGSGPNGPNGVFNTELPPSFTSKMTISQLIAEI >CRE00969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:125621:125961:1 gene:WBGene00062982 transcript:CRE00969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-18 MVAWRAAGLNYVRYSQIAAQVTRLCTKGGAAAKKSPATLKTSTWENGKLATKSQ >CRE01059.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:133766:139209:-1 gene:WBGene00062984 transcript:CRE01059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zyg-8 description:CRE-ZYG-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MI96] MKPQTSTWEIDEQAASGHSEIPGPTSNNGILNGSNTLPRVTKRVSTSGKISNIPRFKRPHPPHSTRPHSAIVSSSPGLFRKNSPSSSAPPTSSSRRFSQLPQQHLHQHIHHPHLHNHNNHHTTVISEELTTPRASTFNLNQTHQNVFPTTTTSFGSMPNSGTNTAEASMTSSVCAMETEGTAAGNSGDELESRDMVSEMQRRCRIGPSGYPHLMKAKRLRFYRNGDQYFKGIQYALQCDRVKSMQPLMEELMKTAICDSSALPHGIRHIFTIDGTQRITSVDQFEDGGGYVCSSTDAFKPVDYSKAAEPSWRLTLANRYNRHLETKQLALSVVEPANEDTGFVSPRIIKVIRNGVKPRRISRHLLNQKTAKTFDQVLRDLTTIVKLDAGAIRKLFALSGRPVLTLQDFFRDDDVFVAYGGNDKMAADDLLVASEEYKSVGFNSSSKIRRTSRRSAMPNRNESLRHDRSGSVIPEQDQQRLPAALDEKFQLIRLIGDGNTAVVYEVVDKINNDHRAMKVIARENVIGKEHLIEMELSILQKIDHTFIVQLYDHWFVDDSYFLSLELIEVSFDCKVLIVSVSLQMGDLFEHLRRVRRVPERDAVRMMTCLGQALEYIHELGIVHRDVKLENLLIVKDEFGELGVKLADFGLAAEMPKDHGVLTTICGTPTYVAPEVLNKTGYGCKVDIWAAGVILYAVLVGFPPFQSSDGSEQDLFSAIMSGEFSFPSPSWDNVSWSVRHLILCLIHTDSFHRYSAGELLSDEWMVNLGDVDPEYEEWAHLFVQSKMHIVEEEQETPYEYFTSRRTSMDELSESAGVEFSFSREC >CRE01059.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:133766:139209:-1 gene:WBGene00062984 transcript:CRE01059.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zyg-8 description:CRE-ZYG-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MI96] MKPQTSTWEIDEQAASGHSEIPGPTSNNGILNGSNTLPRVTKRVSTSGKISNIPRFKRPHPPHSTRPHSAIVSSSPGLFRKNSPSSSAPPTSSSRRFSQLPQQHLHQHIHHPHLHNHNNHHTTVISEELTTPRASTFNLNQTHQNVFPTTTTSFGSMPNSGTNTAEASMTSSVCAMETEGTAAGNSGDELESRDMVSEMQRRCRIGPSGYPHLMKAKRLRFYRNGDQYFKGIQYALQCDRVKSMQPLMEELMKTAICDSSALPHGIRHIFTIDGTQRITSVDQFEDGGGYVCSSTDAFKPVDYSKAAEPSWRLTLANRYNRHLETKQLALSVVEPANEDTGFVSPRIIKVIRNGVKPRRISRHLLNQKTAKTFDQVLRDLTTIVKLDAGAIRKLFALSGRPVLTLQDFFRDDDVFVAYGGNDKMAADDLLVASEEYKSVGFNSSSKIRRTSRRSAMPNRNESLRHDRSGSVIPEQDQQRLPAALDEKFQLIRLIGDGNTAVVYEVVDKINNDHRAMKVIARENVIGKEHLIEMELSILQKIDHTFIVQLYDHWFVDDSYFLSLELIEVSFDCKVLIVSVSLQMGDLFEHLRRVRRVPERDAVRMMTCLGQALEYIHELGIVHRDVKLENLLIVKDEFGELGVKLADFGLAAEMPKDHGVLTTICGTPTYVAPEVLNKTGYGCKVDIWAAGVILYAVLVGFPPFQSSDGSEQDLFSAIMSGEFSFPSPSWDNVSWSVRHLILCLIHTDSFHRYSAGELLSDEWMVNLGDVDPEYEEWAHLFVQSKMHIVEEEQETPYEYFTSRRTSMDELSESAGVEFSFSREC >CRE00971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:141890:146151:1 gene:WBGene00062985 transcript:CRE00971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00971 MEEDDNDVWTKDGHCNACRTQCADEEEKQDHRNSTKHIKKWNLHKFFSESTIHGTIAASEMLERYKLKRLPIIGLQQCQEIQQLGLSNQEKANFWTCSICYEVGGTYDSADAHLTSMEHIRNYMDECECDEKETIEKECQKDECLKYERYRAAAEQIFKRDGGVQGLQPPSILRMTLNTDEGKKKFGIENETFLTFHSSANFAERSVLHCSICNEVEPVHLNGKYTSEKARVNHRESPRHYRCATLTSIMNEFDPQELYDELKTTETQSELHWFEKEPNAWYVSQPCGYRQSIQAGKETMCLVCFALVDTDLILDHFSSEFHVMKYINLISTYSSYRARQLSGPDRRHEALNILLSALTLEHDNKQFALNLSRLPINVKRELSEDIHKYEDAAVDIIEDFKDSTGKVFRFCHTCSTYIKFDLSQVSSPDQMNYSWKIHVNSVDHFDAAAMKSRICFDPGYFVPYSPTIHNIDTETKGVWKINKDVLIQTQCDVGLEFMVEDEKANEVTCQCCWKVFSKNPVFVNQHIRSYEHLKHYIYICAPDSIRILLGPETDSKKQEFLIDWLRRHSGMFQKRMPLYSKLKTLELSSWPTVSRRIVESSLLPKDSDKVVVETLLDLVDKVACDNEENMEMSAKDALLAVGMLIINTTRGLENELGSVICRCENCEHLVIANASTWVHDIFEVHMCSDEHYRRMTVSKQNIISAFGILSEKSSYTVKPFIQKDLSKKVVWQWNPTTKQHDYVASVVGLPDIIERRYFGMELGIRKPQADFYCTLCKTVFHKRAAELEAHVREMDHCINWIHKNRYSQIRELLNLATDQAGDKGKEYRKFLSGILKEVHPPEDYCILVYDPIGEEERRQLAILQKIQMEEKHKRASEMQIKAAAEKAKKAQEKQERQRQKIEQMRIEHQKRAEEEERRREKEKHRQAERDARLQYARDNVYKYKSQETPDDISLKNRLENASKLQKNSERNSIDIQKAKLEAEKLHLSNLSSFAKAAVTGKNDPKLIIRPQLKSNPLSSFGIASNAQSFPPGINVQGATNGYNPYQQVINHF >CRE01060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:153214:155169:-1 gene:WBGene00062987 transcript:CRE01060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-brp-1 description:CRE-BRP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIA2] MTKLGAQPGVHGSEDIEKEAIKIDPKVFTVLQPTIIPMPAPPTKPAPSPAFQAMRACFHCMFFATCLLLVTLLGFYFLAATPDIFKKTETVSSVDKPQMEALISATPIEVSSEKTTNSAEGIMSIPLARVVVVEDISNNNGDKKTVVDGSVEEKSTSNMDRPLHPDEIEQYRMMEARQQFQQMMEERMYMQQMMAMRMMRMRRLQAAYYQQQQMKMAFIQQQQQQMQEEAARQQWVERARYEEEQRRQYEAQQAYAQQQRAQAFWQQHQMEIQQVQAQQAQAQWQQQQQQQQQQQQQQQQQEQQEPQQQEQQEPQQHFWPRPMMHQQQQWQRPTVWQVRLFKNLSLSIKSKFQQPPQQFFFPHHQQQQQQQPEDRFPQAPQFFQPIPQPSVHDKIYEELQKKSQNIPVNAPSRQIWTAITPTPAPEEQSGNADVTVVPTVNTAIPAAVSSSTPMPEDAAEVSDTILRDIFSAFDEQKNSEAANKELNSVPSTTEEPEMEGKGAIVIEDSFPRIEERTTTPETMESEEEVEHTSSEDKDHEKELDPFAAVLKFFENNPPREIVEQTTEKPQKPLII >CRE00976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:165025:166797:1 gene:WBGene00062989 transcript:CRE00976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00976 MISFYKKIHSNDRFLYSHKQVLSHNCLHYCDITTESHHELIPDNVEFDHLEQCLQMAHGVQIELGTFYLLEMTRVARRLYLTNTSHFIEEQLIWNEYSSEMFIFFAIENNFFRFLANSFLSFVVSSPNSYPNMSCPVGTLDQFSSYALCSPNLWMIVACSCMTLLTMILMMYMQHGFRRDMRWLQFAQWKLNQLAERLRYQEPNVTLLEQITRKVNEKKNTDKHAFDFFNNNRDANNNVPGVINQNKMTNTEKESNGETDRVAAQVKKAKDDDAEKVLKDQNIDANTPLVPNPQPKSGGSQKILKKWTEATKDILAIDDNNDDEKGKPRVDKTQDD >CRE00977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:169243:170901:1 gene:WBGene00062990 transcript:CRE00977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00977 MGIEYLQLKKKRTGTSISSSSSDEDVSVTAEPLHKMAPAKLYNELLPLKLSLEQLTANGYPFWDQTLNQAVFAPTLTNQRDWVVANDFFRKCSRCSKDFTLNPDGTMSPQKCSYHHRPKFDASIGQMKRTCCSAKPGPSSNGCMTEDNHVFQSAWEDTLWDFVVTPQSKGKSDYRSNKVYGLDCELIHTLNGLEVARVSLVDMKGRVLLDTFVLPQYEIVSYNSFFSGVTEKDMESAISLDTCRLQLFQYINSETLLVGHSLESDLKALRIVHYNVIDTSVLFQSPNPHKGYRKKVSLQNLATMMLGKVIQSEKTGHSSVEDSLTCLELLAMRHATFVK >CRE01061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:171034:174039:-1 gene:WBGene00062991 transcript:CRE01061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wah-1 description:CRE-WAH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIA6] MLLRAVGRQMTSVIRQQTAIRNIALTRSVLGGGHDHHHEPQPVYIPKPGSLSWSLCGSPHTKSAHPFEPYKPDVGSFIGAAVFIGLTVFAVLFKTDTFKKDDGHHHGHHVKHSEPKEEHHHEETKHVPQTEPQGTVENRQPEEVLSAREAPAAVEDPVPTTSKQEWEKQASESADTKSVDLDENPSQEATEKPRLPEEVLAARDAPSAVEEPVPSTSKSAQEEGASVSKEENSSLHCEYVIIGSGTAAYYASLAIRAKQAEAKVLMIGEEPDLPYNRPPLSKELWWYGDETAVSKLDYTSLSGKKRDIFYEVEGFFVSPEDIRKAPHGGVALLRGKKAVKICAEDKKVILEDGTTIGYDKVLIATGIRPKKEKVFEDASEEAKEKITYYHYPNDFKRVERGLADKSVQKLTVIGNGLLASELAYSIKRKYGDKVEVHQVFEQKHPAEDILPTHLARKSFDAIKKSGVDIRPEQKVEGVRKCCKNVVLKLSDGSELRSDLVIVATGEEPNSEIIEASGFKLDEKQGGVKADKYLKVSENVWAAGAIATFDDDVLGQRRISSWENAQVSGRLAGENMATAGASQKAFWYQPSFFTKFAPHLHINAVGQCDSSLETVTVYAEPDGENPLEKAVVFYKSKENGNVVGVLLVNVFGPSLDVARRIIDDRKRVDEYKEIAKLFPLYEPPKVEEETKEKSP >CRE01062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:174966:176808:-1 gene:WBGene00062992 transcript:CRE01062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01062 MDSDTLAAAVKAAGAGAPNTSSGASQNSSPPAATPTTQTASTVTPTTSSTTATPFTEQAKAVLTQLVKTSKMSRSRGSGLAEKPEVQLLIDKDLFLIQSDRRTKMNPIQQLQLIRILAQFFLERVDDGHRYAYFEAIFLGRADDITLHEYRLSVMFQLVSFSIQYPVVQILNHVMGWLCQLKSEEQQKLYSDRLIDMIVEHFVRLSNEENKLNEYLHPLENSCSEFCALFVARAPLYGALSPPMIELFNRFCSRNMQFVLRHFRDTPWLGNEFAEKVFPKLVEHILSDETEDSDNLSSCVCYFLFRWHLDVLATAERKGPTKRLEVLDLLLSPDYSWTKRRAGVIASAIGASTKCDEAIRKELQRLEVPEDFKPVVLMLCSEGVKNNPQGVIDAISELHLARELEQMA >CRE00978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:177972:181088:1 gene:WBGene00062993 transcript:CRE00978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00978 MNGLSSKEQPPNESYSKKKKHKQIIQTHGNIFRFPEQHSLLASFEEVARTECMKRIFNVIERMPFEKLNNIIQNSNSDDEIEIIKILERKEIITAEDRKDPIYDEIKQRIGKDWKFGVQIIEHVRICLNEVTLDQNLSPMALEVSNSSILRIISDMKHAAEIRSYGKTGQKSESIKKSLLSFIATGIPGLESPSVNTHAEGKAHKAYKKKQEERFLKSKSSFDEDSEDNSSVSSVTVLKAIQCTPKKSNRTVGDSDLLCTSAATPADGHDKGNQSPVVNPKEVYVLEMDINNAVASEESNEARTPSSNVGNLDEETQTDVFGTLAGENIIAEVSALKNLNAQSNIEFMTQDSTESRQQRTIETDTTREAAISSSSKRDESNSSHVTKPATGEGVDSKKTSATLLHGSNKSAKLMDSTKPTPRPPLETAEPRKESDTILDVANGTTLEPQDTLMNYTTESSPITTEHLASDVQDSSRIVVRPECTTDMAANTSNCLSNTSTSDVPTVPASKVCDVVGEPLTVDIDQESSKSNTATKVLRRSNRKITNTKKMSAEEIGEEDEYDHRKEISAKTRRTRNRRDRKRTSSKKKTDTATTSAPMSSSQTEQVHNSAEEEINEKDGNSRKIRYRKGTIGEKKGSNQEKNTNCRKKKYYSEFKSSTRAITTMHDSIEEPDDFGEKEKVFHQAWFRCSRRNQISTSSEVYCGISDQYKHQLFANRKFCHLHYRWDDVKAMRQRRREAGLDVENYTIQDVMRMARRSASDSPIDDDMNPYPINEN >CRE00979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:183062:184044:1 gene:WBGene00062994 transcript:CRE00979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ung-1 description:Uracil-DNA glycosylase [Source:UniProtKB/TrEMBL;Acc:E3MI29] MSKTVKIPDMFLKAAAASKRKSANNTEKLPEKPSKEIKENETVKKMKLEELNTEATPAPLKKELSLKSLLQSESWSKLLEEEFKKGYISKIEKFLNDEEIKGKQVFPPTSQIFTTFNILPFDEISVVVIGQDPYHDDNQAHGLSFSVQKGVKPPPSLKNIFKELESDIDGFKRPDHGNLSGWARQGVFMLNATLTVRAHEANSHAKIGWQTFTDTVIRIISRNSEKPIVFLLWGGFAHKKEELIDSKKHVIIKTAHPSPLSARKWWGCKCFSKCNAELEHSGRNPINWSDL >CRE00980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:184293:185735:1 gene:WBGene00062996 transcript:CRE00980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00980 MRRQKADEERSWSSVSRHFLCNECRKYFRNKREFYWHTEDCLFEAFEREVAISNSRGTEEDIEENDDEWDIQPSTSGINVIDSKHDNQLDHSIKSEIIPTTKIPPPPTIKPATVISPLKLRKTQRTAEEVIAGPRGSQIIVSVEPQAEAGFQSDDEDSEPPLLISQVDPNEEKNTNDEEDADNGDGDAEGDLEFGDEATPLSYIPSGKVIGALANPDDGSKPKMECPTCGLVLYRHNFAAHFRIHTGEQPYGCDFCGKRFRTTSSLKVHKRAHTGEKPYLCPSCDYRTITKRNLDRHIVNHHIRNAVIKGPIMRRSRTLPRYPHPEEYTQPKASDLKFSTNEKRTRSPASTSGLRRSQREIGVVRSRDDHTYITENTASGSRSKIPKSHYSDAVQELIEDVPIVEDDESETYE >CRE00981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:185987:188669:1 gene:WBGene00062997 transcript:CRE00981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-top-3 description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:E3MI31] MRKALFVAEKNDVAKGVASILSNGTSNRKEGRSIFNKIYTLNTELFGQRTAVSVTSVSGHMMNFQFHENMSNWQTTSMVELFEAPVRHVVMPDMKLIEQTLREEAQRHDLLVVWTDCDREGEAIGAEIVKVCRESNRRIDIFRARFSEITKTAITRAAQNLIRLDERTVAAVDCRSELDLRIGSAFTRLQTLHLRNRFRDLLGQNENSKVISYGSCQFPTLGFVTDRYKLIENFISEPFWKLSVEHSREGHKVEFLWDRNRLFDREVVDILHDECKEAKEAHVEKVTKKPKSKWRPQGLDTVELEKLGISKLRMSAKQTMQVAEKLYSKGFISYPRTETNKFPAGLNLTPLVEQQTHSNIWGDFATEVFINFLINYYPSPFSAHPPIHPLTFADKSQLHGDDWKVYELVVRHFLACVSQDAQGEETMVNLSIGSEKFHSSGLRIRDMGYLKVYIYEKWGNRLLPTYTQDERFSDYQLKIGDGKTQPPDLLTEADLIALMDKFGIGTDATHAEHIEKIKSREYIGVKPDGKLIPSFLGLALVDGYDDMGFAMSKPDLRANLEIQLNEICNGRRQKQDVLNEQIGKYKTIFVESERNIEVLSQSLQRYLNKNQQIGGGGGGNGGGGGPPRGPGGGGGGGGSKGPPKPPPKPRGRPPNKSVTPTKDDDQENDTVRILLYLLPSSLHSIVHKSIATLSEVLSNLKNPKPARKSRAKKSVPKADASKKGQENEFEEEEEVMCTCAEPMKAIMKVVQKEGPNKGKKFYTCSLPYTSSDKCNFFKWV >CRE00982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:189857:195923:1 gene:WBGene00062998 transcript:CRE00982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00982 MSSIELPQLMDDNLITRRKKILQAIEDIRGKKSEIAQALRELGVGKPQENETVKENMKQLLAAFDAISAQEAQYMDILKTIVGIHEQATLDIAKDFEEKIQKDAQKLKEKKEKQQEEEENAEDENAEKSPEELANSEVMAELQEALLELKKVSEAAVAASELNEKLVERLQLTKKKKSAMMEVRAKKAKDLDEEAARARAEVMERINKMAALKKTTGRQEKELKELQKQCLQLGLQLGVEDNHEIDPNAELAVLDDNQRVPMIPETHEKAELNEEEKEKRREEIRENIKKEMEKKEQVTVLIREKLASMGARHKRLQQIRKMLEKHEEQKEQLEATIAASQTGEGIPLTPEARLPSQEEENPESAPIATSEAGEVDDEDEHVTEKSLDDILANAKANLSNLTAMRERLEHIKETGGADLNEEDVQLLQQLEDVALDSEESQQPPIQKLSSQADLIMCKESEESEEKNIEAAVRASFHKIFQPKPLILFESQRNLLNQARRAAVEEMIRKIDEKKPEQ >CRE00983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:196228:197390:1 gene:WBGene00062999 transcript:CRE00983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00983 MFFRMFGDEYGSLVIVAIVSTAAIVAFSSILRKRPVIINAVFAVVISLAILTFSSFVKRSILETIELLYRMVIGPHDSWNRVYMVAFWLANVAISIIFCVYVTSTGRSSTVHRKFFHLTVSLIYISGILLDPLFSWLCAWLWLCIFVLLELLRYLNVPPWGTVLNEHLLIFKDAQDSELLLTPIYLLVGIFLPLMWSGTGGESSFEPKLAHFAGVAAVGIGDSMAAIVGSKWGNTKWTGSRKSLEGTLAMLFSMLTFLFVTNFFIQEASSTISIIVASLVASVLEAFLNSMDNFVLPAVTYFIL >CRE01063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:197636:199326:-1 gene:WBGene00063000 transcript:CRE01063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nft-1 description:CRE-NFT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MI34] MLTTLIRRTMATGRHFIAVCQMTSGNDLEKNFETAKNMIERAGEKKCEMVFFPECFDFIGINKTEQVDLAMTANCEYIQRYRDLAKQNNVWLSLGGLHHKDSSDNAHPWNTHLIIDSQGETRVEYKKLHLFDLEIPGKVRLMESEFSKAGNEMVPPVDTVIGRLGLSICYDVRFPELSLWNRKRGAQLLSFPSAFTLNTGLAHWETLLRARAIENQCYVIAAAQTGAHNPKRQSYGHAMVIDPWGAVVAQCSERVDMCFAEIDLNYVENIREMQPVFSHRRSDLYTLHVNERSAEDGNLKFSEFTIPNSHVFYSTPHSFAFVNLKPVTDGHVLVSPKRVVQHLTDLTDSETADIFIVAKKVQAMLEKHHNVKASTICIQDGEEAGQTVPHVHIHILARRSGDFGDNEIYRKLASHDKEPERKPRTTEQMSSEAEVYRKLM >CRE01064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:199440:200583:-1 gene:WBGene00063001 transcript:CRE01064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01064 MHLKKTVRNWYFRMLLVRSIVRAERSCCSRSLCCFQYQHPSSSNSRFLHITRQTNENNQIQKNADQKWKLFRMTMSEEQKAAKTAKIEQMKAEEAPKSIFAKVKYYFKRYWYIAVPAHAASCTAWFIALYLVVKSGVDVIALLEWMHMPDAIVEKVKNTPETAGVVVVSLILYKIAMPFRYMTTLLLIQATFWTLRRMGKLKTAREVEYKVRTEYELNKAMFGRKWYRYRHLGVRSVSRKNSVHATTAHLGQVQRLQKEHEKLNEQNVSSTEQHKKK >CRE01065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:200651:201572:-1 gene:WBGene00063002 transcript:CRE01065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trap-4 description:CRE-TRAP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MI36] MLKTVVALCLVASALCKLIILICIVTFITIDLFSGTKCDSPKYSASSFSTTDGFFHYKTTFITEFTLQCSNNPKNIQYTAVVNGRLIPVSVSDETAKHQVSWTLDHAAAGAQVFNINIFDEEGLAQYKKNPSTNPLITIQQNHTGLATKSPISSETVAIILAIVGLYQAIRQKTELVH >CRE00984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:202181:203261:1 gene:WBGene00063003 transcript:CRE00984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccf-1 description:CRE-CCF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MI37] MASSSSGGSPEIKIHNVYLSNVEEEFAKIRGFVEDYPYVAMDTEFPGVVATPLGTFRSKEDFNYQQVFCNVNMLKLIQVGFAMVNDKGELPPTGDVWQFNFNFSFSEDMFSHDSVEMLRQAGIDFNALQVRTRKRMTVILTAHFWFKFNNSFLQQEGIPTAVFGELLTTSGLITDPRITWLTFSSGYDFGYLLKSITLGDLPKEESTFFSCHKTLFPTSFDIKILLRTPNCASAKLKGGLQEVADQLDVKRQGIRHQAGSDALLTAATFFKIKKQFFGDNWNQIAPLICGHMFGLGSSLSLFHASGSSTRLGEDPTQGLSGIQQQVNA >CRE00985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:203831:204710:1 gene:WBGene00063004 transcript:CRE00985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00985 MPSGGQRKHTISNKTRKRPGKDLDQIHDDLKPEKAARLLQQEVDYDLPGNGQFYCIECERHFIDEKTRQLHRKTKLHKNRVKTLKEVPYTQAEANAAGGSGFFPAITMKTRIQLPTQPDVPVSVEELEKK >CRE01066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:204908:207090:-1 gene:WBGene00063005 transcript:CRE01066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-16 description:CRE-NPP-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MI39] MASDYATTAPLTSEQQMNVFRLRDKMSLLNAEFLKVLNGFYTEKSHYDFTVTMQSYMDHVKNLKVMYKVDDDVVVAMTAKPVPVKSAAKHAEAKSKNSGENPFQRKIAKAVRRNGSNMDSPKIVNSTVFAASSPAAAISAPKFGDISVIAKETPAPSTKSKEMLDSPAPTTARKRAIRGGGPLGGSESVIFKSGNEPQASTSTVNIPATTIKFPEPSKDFWTKKDTSNSEGNTSTNNGGSLFAFLAKDGEKSKEPAKFSGFSFGKKDDNSITESESKKNEGISASKSLFGSIEESAPKSSTGPSFGGITKASPASTNPTKPLVFGEQKKDINDSGSSSAPKPFAALSFGASLFGSSSTTSKPLSFGSSGTSSGGSMFSGFAGLAQKAVDNQSKPDGGDDDEAEYVPPKAETVEAEEPDAVLSSKVSVFKFSGKEYTKLGVGMLHIKDNEGKFSVLIRAATATGTVWLNALCNKAMKATKVDDKGERIRLTCPTSQTEMTTMMIRFGSADGAKKFTDKIEEVAK >CRE00986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:207374:208064:1 gene:WBGene00063006 transcript:CRE00986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00986 description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:E3MI40] MLRIAVSAAFRTASVRCLNSNVVQSRIFVPTVISSLRPTLQIRQYSAKAPLTKKTLEERIVLVLSLYDKIDAKKLTMDSDFSKDLGLDSLDHVEVVMAMEEEFGFEIPDGDADRFKTPRDIFQYIADKEDVFE >CRE00987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:208175:210258:1 gene:WBGene00063007 transcript:CRE00987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00987 MFDPSKWFGRAPYQQVGGDTDDTIEVDQISFTSSCSSGEHEGYITAKDTTTNRELGRLNMSSNDLITFDDDLNMPGTSEDHSILSSNSPAASSQISNFPCPYEPSCLKESTVVRNSIFWFTETEVDASKLVLPISNRGRVCRFNHDVDDNQLETMCRTLQISMGLCERARWLNHLATDPVMSKLVRELDEEFPTPSTSYDDSEKLEEDLEPANLENDSGFQRPPASSFSSSTCAGSFQTIVCPSGTLAETSEIIDDTIPDSTINTFYSGMTRTVVPARRGIQAIEIRRRINETSSAVCSAIIRQSVDKDPPVDKRMIEWMRGFAEVDTWIQEVAPASRKHDKYSFEVPPLKEDWDLSVHKQPAIRQGLESQQWKCASCRQSLHNHNISDRDTCPRFCDYYGLFFCCLCHGGEKSIIPSRAITQWNFTEHPVSDRAMRFLRAVRETPVFRIRDLPGDMLKKNKALRAVVELRQKLKHMEGFIKICVDAATQVFEFGNLSTMFASLDRYLLEHDDLFSLNDLQRVYNKDLLSLLEPLAKRGREHILHCKRCRLQAPVCVRCNDMTDRLFLFEERAISRCDGCGQPSHSPKCPRRDVPRDTHCPKCARLKTRSANGRSSRIIGDVV >CRE00988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:210832:213299:1 gene:WBGene00063008 transcript:CRE00988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-faah-4 description:CRE-FAAH-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MI42] MGNMWGGNRGAVERFKKTNGDLFAQFEKRSNPDQLINNPRIVNGNETSQQIEKTFCEILELDLITLKSHLQTDQYNAYTVLCAFVWRAIKINNGINCITELIKEAFDTAEALDDAYEETGEKGELFGLPFSVKSNFYVSNFFIKFQYILSVQMENYDVTVGLAKLLEQPKTTTCPMVKFLSKQGAIPFCLTNVPQGLLSYVSSNPIYGTTKNPWDFSRTPGGSSGGEAALLAAGGTTFGIGSDLAGSLRIPAAFCGLVTLKPTESRFYVTDTHGGLPGRGRLGLSFGFYTKTVAEQEFLLRQIVGNPEYLELCPMSSPAELRDTTLDIDKKPTIGWFVDDGFNPVVPSNRRAVEQTVELLRAQGYKIVEIKMSEVSEEFPPFAVANMLFRNVMPDNGAYMSEMYGGEQYDEYMKLFIRLVRVKQNVLISWLIRYGAMPLAKFLFSKRLACIGSAYNSDLAACRQNQENTDIYKLQWIRYWKSKNIDALICPSFITPAQPFEYPAQLSTGAFITGLFNMLDVPAGVVPVSPVNQDDVDLLNDPVDGFPLEGDIILRKQRDSAQKSVGLPNAVQVITLPNCEEMCLRVMKIVESVSVGVQRLQWRSEEDRTETMDEKNTPAGVISSHEYFKRIPLHISK >CRE00989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:213584:214573:1 gene:WBGene00063009 transcript:CRE00989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsen-2 MDLLSFDIKRSRHLTDVPVNFSRNELSEMLGYRKVDAHLIGGLIHIFDEVSSRIIFENSGIGQWLDDGRKENRGVSKTCFRREGEEEISCGIPNMSGSSKEKSEEAVKNWTEQSPLMKSLVLSPMETVLLSVDWQILNVRQQENVLTHQEIWNKMKDYDNKSSHFGKYYSIYRYLKLNGWTVASGHTFGCDYLIYCLGAQFYHSSAGVLIADNIDPQRLLTLTRILAHNKKALIVATTEKDVLNFEDVISVEVKVVTMKTYFLERDVAQISNRQNEIYEVKLTD >CRE01068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:218460:220334:-1 gene:WBGene00063010 transcript:CRE01068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01068 MTEDTLFIEQYRGHANKLIKESNGCCNENEVVLANPTKDRKQRSLFERRQSLVDKKKALFILQGETSVQEESTRLEMVAHLKSQGFQETTERVQRIIEKINEKLTQEKAQQDQLQKERSLSVIPSDAAIGKSGIVSNPAPQSHAPAGGVNSVAQINAIEHGNKEMRQHHANYSTNVPIARTVRKECWNPSYSDESRGRRDPSFQNQGYPETTTTRGGGDSLQVANQNFRDKQQRRRGSDDCFNQGGKHSKEQFYPRIETSVQRYYMPQQERQVSMEELKCRIAKAQMNATIIQSLMATLKPFDGQPHEFQAFMAQFDSMVHENKYIDAKMKQTILFKLLTEEVARLHNPTEYSPKRYWILREGLIKQFGNPDRQMFELLKQIHFTPFPSNDLAQLINHLHMTRVYARKLMMFGVNPSDPSFQFIFAYKLPQQFKEQAFELMARRIHTFDELVQKTLETVEFKLRMEENQMEKSHTDTILHRKSNNIQENQVSGRSSSSCLSPAQRLQQPEAHRTSTFTPPSRTKPCGYCDDKGHAAVDCSSSLKKKLEAVTRKALCSNCLSKSHGVFTCQSRFNCSSCHKRHFTGHCPDSSKDGRIVATNSDASDDKGNRDQLSRKEKDENPKL >CRE00990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:224474:226706:1 gene:WBGene00063011 transcript:CRE00990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-faah-5 description:CRE-FAAH-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MI46] MSCLGRFLIGLLVFMFYLASLPFLILYAIPKILYEKCRKPSKKLRIRNFRIRQTDGFVDFENRTLPANLLHSERINGLKTTAADIENTFQTILAVDFQTLRAFLQSEKYTAYTVLSAYVWRAIKINRKINCITEVIKDAFIDAARLDDEYRGKSKPALFGIPFSVKSNFYMEGYEATVGLASLLENRETSTCSVIQFLKDEGAIPFCLTNVPQGLLSYITSNPLYGTTKNPWDFSRTPGGSSGGEAALLAAGGAVFGIGNDLVGSLRIPAAFCGLVTLKPTQDRLHESSMNPGLPGRGRLGLSCGFFTRNVEDQEFLLRQIVGNPKYHEMCPYSTLSPLLSTSDLKEEKRKPVIGWFVDDGFGAVAPSNRRGVEETISKLKLDGYEIKEFKMSEIDENFQPDVVADMLFRNVMPDNGAYMSEMYAGEPYDRYMSHFIRLVRYKQVFVIRWLAQHVILPLAQFTPLKSKQVMCLGNAYNANPSCVRHNQEKTDAFKLKWIKYWKSLGIDALICPSFITPAQPYEYPVQLSTGVFITGLFNMLDCPAGVVPVSPVREKENDTFDSSGDFVLRLQSKAMKGTTGMPNAIQVVTLPNQEEMCLNVMKIIEEMSEGVQLLRWKSENQTIWTNGDSLECFERIDKKKSNGKTV >CRE00992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:232054:236713:1 gene:WBGene00063012 transcript:CRE00992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00992 MKTKSGEKRFLGIFKKKTTPAPAAPPPIQETVDTNSNSVSTTIPIEEETTSLADSITTSSIQEEVMPPRKGSVAQTSVIHYSPPGTVRASFPPSATSSVVSGGSDDTVVITRKSNIVLDDEESVVSTPISQYDEYPIAQKTRSKRAAPPPPGPPMNSISFTPPIQKSSSNIQLVSPIPKKEHFRQNSTNSISSPPPPPPPHRKQENEIDYEEMFKKLHNKVEAYHQVRSENGGSIEEERMKAEVLKEHEKLRVLLEQQILSDRPKSMSNLNNNNNIRTNNNNNINAKKQLPLKIPQYGNFYAPPTISSSSPPARGGAVPGGTVYQKASREKPSPTSSASSTTPVQNGAPISPKTDENRNEIVSKKKVSATIAQNTEAVDRLRREVEEQRQREKEVKQYRNPKTLEVNVKSPEPKKKELVKSPPQSPTKSSSGPRMVEYKPMKSPGLPIDPDDIYEEIDEVAPPIPNTPPPKLSEYRKEQQSSAPRMPSVPSNGYVRLQMNGVSKKPAPVAPGSTPIIAPNILKIAQKPYTGPEFASSPKLLRKTPEPMISTKIYASVPVKEEKKTYEKPQVHPQMTNGNVQNHQGGMISATSSSSSSHYRKNHSNPPMTRGASIDQNGYSNRIPNGISPQKTVSLDEKKVAPQVSSPVNYRKNQAPSAMTRTTSEDQRDSGYTSRTTINIVASPNTQRKIPLPSPSKSKISPMTRAMSTDQSTIPPSPVTYRKISAPVTTSSQSTSLLNNVVPSPSTNRRSQPISGILSATSSKTAVTSVTSPPYPKGSMAPTVISIKSAAANVTKQQKPANTSGEIFDISDVLKGPKLRKIGMPVERSGISLGKVVDTVESSNRPTSTPPPPPPPPPPQTLIGSSTPQTLVQKNPDLRDSLMSEIREAGRLRAARTAQSA >CRE00994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:238832:240655:1 gene:WBGene00063013 transcript:CRE00994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-12 description:CRE-TAF-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MI50] MDSLPPSPNNHIIVQANPQIAAALSVNSPMQQGPPPQNHQNPNEQQFVSPPQMGGMGVRVINFLVDVFFLFIVRGMPYPPPQMRGQGPPQHFSPMGMQQQQQPQQQFNQNGQPIQMAQRPIPPPNQGGQIPLGMAPGPGPSSHPMGMVGGPPQHGMQQGAGQPQFMPNSPMPPQIMSMQQQQQPAPQQIQSQGPPPIQQQAPPPPQPVQQVQQQAPQQQQQPNLMPASVPCGAIMDKSKLDDLMQQISSTTVLEESVKDVLVDYADDFVSALIDKACKMIKNREIKKIESRDIEFILKNIYNMPVVPRAATHIFGTQNEAIDLSKEKHIPTEAHKQRVALLKKQLKKI >CRE00995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:240899:243196:1 gene:WBGene00063016 transcript:CRE00995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00995 MLATSAIAIFLIAWSAIFLIDYVLRVAHFEPYLRLSHRFGIEVSPFQLRFYVTAPPKETTLLNDKVDILDEEKKSDLFFEESRRSKCRNLMVRIWFVVGVAASVICLFGMTFYMSHLLLRDVGQWMTTARPKVAAYRGMEYRSMHDVLTSISPPEVKTTTAPDEMHDPVIIDDSEYDMIEDGQKSSSRGLVPIIPGFNLPWSHIPVFMIVLVAAAVFHELGHAWAAISNNVTVNGFGIFVLAVYPGAFTDIEPVSLKRATPFRRLQIFGAGIWHNLLLALLAFGIFHLTPVILSPVLAYGNGVSVKGVDIRSGLSNSQTGLFSGDIVKSIDECSVETTADWWRCIRDSKNMHNGRCVDKEAVEAATSFNHRTEADEILCCDEFNVTTAHVCFEREEKVAKEVEAQTRAPQLNALLGLEAHDDTPYGAALGAAPVEEKTVTKYSCLHARHVVEQAVCNVSDPCKNEKDQAEKVCVYPALHNGTRLVKIGLANRNKPILFVGQLNEVIQCHSSSSSSCFPRCHLLHRQKKMLEMVSISPLTPRFSFVHISWFEHFELAVKYMFTLSLALGLFNAMPVYALDGQFIVQTLLKSSGLSPRRRDLFQYIILLFGTVVLVLNILIGFIKLAVN >CRE01069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:243794:244242:-1 gene:WBGene00063017 transcript:CRE01069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mif-1 description:CRE-MIF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MI52] MPILSVSVNVTLSAEKNTELLKELSNVVGKLLGKPEKYMCIHINTDQSISFAGTTQPAGFAVLKSIGGVGTSKQNNAISNKLFPLFKEYLGIPSDRFYIEFVNIGAADIAFDGQTFA >CRE00996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:245250:251117:1 gene:WBGene00063018 transcript:CRE00996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ntl-3 description:CRE-NTL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MI53] MAEKRKLLAEIEKCFKKIDEGVELFEETMEKMHEANSDNQRDKYQDDLKKEIKKLQRLRDQVKNWQNASEIKDKEKLNAYRKLIEQRMEQFKDVERENKTKPHSKLGLSAEEKMDPKEKEKAETMDWIQHQIRSLNEEVDRSEMHMESLATADIGKGKRAKKEDSKSKNERERRTEMLRRHLDRINFHIEKLEICMRMVSNESLGAKKVHDTLKEPIEAYVEMMNEDDAEHAEDYDPEDAYDELNLEKLCQQIGGVNVASVDEDHKENGHELGIDTSESGAVSGSRHTSGENGQPPSPAGRRVAPLSMPSPHAGTPELKRLASKDSNVDRPRTPPVTPASTAPPPPGIPYNSVAAGRSTTTPVPSTPVSVSSPAPSLAQPAAPSPIITGKTVPVPPPTNSVNEVPQKKDSITSTTSRGSIATSTTTTAEPSEPPKQVATTITAKAESVNGEATNVARSTPQQPQQQSTISTTTTSMLGGMPSDDPALQAALNMAAPQPAVATGPKRAHIPAWLGASPLGRTSMTQEFDGQLAALELACAKATFPLDSEKPRNYLSKMSFPVPSWYGQTAPNTSDSLEYYLRLAPDTLFFIFYYMEGTRAQLLAAKALKKLSWRFHTKYLTWFQRHEEPKQITDDYEQGTYVYFDFEKWSQRKKESFTFEYKFLEDKEFD >CRE01070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:251461:253171:-1 gene:WBGene00063020 transcript:CRE01070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsp-8 description:CRE-RSP-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MI54] MGPRSPSHSRSPSRSRGNSRGRSRSPSKSPEYRRERENSRSRSPRPRYGGGGRGRGGGGGGGGQYDRENPPPSKCLGVFNLSSYTTDKDLRDVFGEFGEIDKCDLVYDRPSGNSRGFGFIYFKLIEDATAAREKLCNTDLDGHKIRVDYSFTKRGHSPTPGQYMGDRRGGGRDRFNDRGGRGGGDRYGGDRHDGDRYGGDRYGGDRFGGAERHGGGGDRFGGGRRGSPERRYGGGGFRSGGGGSGFMRNGGGPDRRDHRDRESDRNGGSGGHRPYDPQFRRRVESYGSGGQRRNDRY >CRE01071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:254200:255103:-1 gene:WBGene00063021 transcript:CRE01071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01071 MADDWGCNVDLFGETSKSSSKSLTELVDSLTKKNMNGNRQPAQSTPQEAENVKIVAFKRNGTTKIPMVHAPKILKKKKANGHHNNNNNMKVLNEANPKRVHFDIEQFLSREASKGDRVEARERMLTSLGAAPSKRDYINYKDLKIERAQKKAEAKAHSEMFHANSLSMLNTKKKSKKKK >CRE00997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:256280:257467:1 gene:WBGene00063022 transcript:CRE00997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-maa-1 description:CRE-MAA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MI56] MSKFETAVFIVQNLPKDGPVKTSTDEKLNFYGLFKQATHGKCDVSKPSFFDVQGVYKWNAWNKLDTMTSEDAKNAYVDAIVQKIRDAQKTYKTEEWMKGDAYDLLAPKFEILGILESSSENNKLEGSTKNEKIEERLENEPIGKQDTKEGEEVEKGNNETVDSSACILSDNEYADAIDDELQSRSSSFTEPHTSSRIRVSPQSSPTIRNSCHRLEKELKVITESIDKLGKAVEERHNLLIGLMKKATVYILVPKATSWKTVIFFVFWPFVANFLLRWIRRFLTWI >CRE01072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:257654:258945:-1 gene:WBGene00063023 transcript:CRE01072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01072 MAKVEIQIRRCFCCGLTVGSTLIGFYTLILYFLLAIAAAVALSDTATNGDASHYNSCELEAQGKINAENRKLTFTGGRTVVVVEDSTSYHCSLGLYTEELKYSKQNRYLSLVVDLILYVSLVVASAILLLGICCRNQWLLIPWGFLMILDIVRGFISVFFIFWYSYGNLARIATGIFFLGLQFLHISLWMSMAAKFQKMYNRNNGIPDKVYDVRGGSRAPSTYYGETHAVAPPPHRGAEYYPDQHRPQPYGHYDQQPPYRY >CRE00998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:262182:263499:1 gene:WBGene00063024 transcript:CRE00998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00998 MNDVYRVWEDAYLEKNLTITRDTNPQYTYVANYLWFFFVEDKTFHIVACFKETPEIEYHASVKIWFKDEHFCHYERTEIFSFNEKKGDEYEIVDLDWESIEVTFRVNKLHGDVYIGPSENESVDQNGIIVVIGDQKVFLNMETIILQSEVLYDAFNRNEDVTLKLSEVDPAVFFEMLRVLNPPHKRISRFYFTELLLLGCRFRMIRLLNRLDEFSKTSEYDKNWITKVAWKKIQRVLREDPSFTIQIIENFRMKKREEKPVRKVKIRDPEILSVHQFSKKPEKYSCIESFCDYCGNHKTSIIYTLLAFLFFENIILLMWFLCIYKESH >CRE01073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:263757:267338:-1 gene:WBGene00063025 transcript:CRE01073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-39 description:CRE-TWK-39 protein [Source:UniProtKB/TrEMBL;Acc:E3MI59] MRDEVRSFCLKVTTFVRTATIFTITHVGLCFLVALYAVAGAFMFQAVEYPYELGLQGKVKNASLKVVDDIYRFINKKSVIEETAVKNESQWALKEFEMLLVHAMNFEGYDEHDEERPTFQWTFSGALLYSITVFTTIGYGHICPKTDTGRLLTILYSVLGIPLMLLCLANIAETLAQVFTYIYFKLCCAYCRWQKNRRRVRRAALSFRYHRNAAVNVRRVQSSRSAQRHNTVRRHASLNRSRTRSNDTKSVRSFNRYETTNTQPSSQPPRFDTMSLPGRRKISTQSRSPNGTMSRLPNFPQAKRSFRGAHLQKSNTAINMEQLYTDEKRNRRGRHAVSESPAREYKGGLLVRAQHQPDEGVVDLKAYGGSAAAFHDLVTRKQRKDDAVPNVIISRTRDEDVKSDPEKTEETSMSLTDDEDIQKPPRRNNSARELREKEMMMMHSMTHKQPSMDSSTSRRMRDIDGRSYRSDRSERSDEMSLHSLRRNVRFYSSRIVLEFIFQGHRAHEKMPVSVGICIVFAFISGGAWLFAWWENWNGFDGAYYCFITLSTIGFGDIVPGQALDEGSQEKLVVCALYLLFGMALIAMCFKLMQDDVVQKARWLGQKIGILVRDESSESESDFEDDMIEEDEEDMSEERNDQVYDKRTISSGSSKQNDEYIHPRKYHHHRR >CRE00999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:269624:271646:1 gene:WBGene00063026 transcript:CRE00999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00999 MWAMKNIGDTFLRKDINTRQIILGSFWRDEREQNVDGRFAVIHFLGDGRIEHPLYCFSIQANNRYLITRATVQRIHRGKRASSDICSWAGHIAECDLDVHAMKEFKISTTPNVEDAMLVTPEAPLREARHDMVVCMAPMYIYTDWEILVTGIELWLAMGATKVVVPVQSASSATYRILQEYEKKGIVIIRHWPKWPIMSDTNPNGLVLSRGIEESHVNCLFFVKPWADIVVFSDIDDFLLPLDPSTVSPGDNLQILRNLFAEHPQAGSLLFEHRDVQFVPPNRQEDQSLTNFNFEFLRNSKNKMNCNVWRMKTRVVVNASRVDSVNMHETGIHRFGYVQTRIPCRQAHFYHLRHSHNTVPSPTPINMSPLADMLNKQWQTRIESFTSMKSEVLNKTNTEYLEDFDRCMGAINDEHWTMKVSRCLTPHVCFSRLRRDVDCVAVASEYEFYRSGKGYFMNPINIRVQKSEVNCESPVPPFTSGNHYFAP >CRE01074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:272336:274566:-1 gene:WBGene00063027 transcript:CRE01074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-21 description:CRE-NLP-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MIB0] MRHSLCTVLFFGLAALVMVLNAQYTSELQEVEEEKRGGARAMLHKRGGARAFSADVGDDFKRGGGRAFYDEKRGGARAFLTEMKRGGARAFQGFNDEKRGGARAFMMDKRGGGRAFSDMMKRGGARAFLDNQKRDEDWVIQPFNGEDDRLGVF >CRE01000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:277566:281185:1 gene:WBGene00063028 transcript:CRE01000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01000 MKIFIFFGFFSSFFFFSNAKDYDIEILPEKCDCLDWYGGCRVNGEKWIDDNIWKYSCDGKERSEASFTGCHEEKGDVPVGQNITIDDLWQVCTQDEQKLYFEIEPFCEFNGTKIRVGQEYRDGSFQWLCLSTGRWITGCYYFNETHTDLLLRVGENAFNGLIEHVCSKRQEYPAIVQYYTQVRKDVDVKHPTKKGINRNFPEPLQKLIEEDKNTILCSLSSSDSDNSTVSTHRILETTSSTPLKCSRDYHCSSCDVCLSGICTHQSNLNCSRDYHCTSPCDVCRSGKCIPGDKSKKCETVKDCDAGGWCLDSKCVENKCEKDSDCGMEKAVCKQGFCKLA >CRE01001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:281392:282835:1 gene:WBGene00063029 transcript:CRE01001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01001 MDTLSVTQCLRSFVSNSWLEDELEGTSRQLAGTESQASGASGTSGATQAEDEDNKTDITPIEAFNAVNVEGVRFDFERIEENSLLQESLLVDMHSAAMVFPNTFDKCLNNMEMNRIPHLYCFDHTRVELTPTKTTGDYYHASFVDGYNKKSAYIFAQAPFDDITNQTFWVMVGETKPSMILVFGDIDEKQKQKMPYDISEKAFEKAFLQEFGAKKLDEAEMKAKINSTFWPEKGAKKEFDSLKIETKDEHSETHMKTFNLSINQNKQESQTVLMHFYEWADSNELPEYMMDMRSSIKVQYIRSSKKSGSNTGPIMLVCATGVTKCAIYATIDIIVSRMTEEHTVGFKETMSAIKSQRFGCFHTPAPYLTAREMLMKF >CRE01075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:284208:287294:-1 gene:WBGene00063030 transcript:CRE01075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01075 MHLANNLFFLICPFFVIGLSFQAQNDYDLSKFIDQMEILSRLTNGIILEAGLIDKSISPDDVISEILHLGAQKVDKLLEIDRVKLLDVITKYQNLSQSLNISSEIKAVEERFHLLENITTEINFEDLSKLDGKSEYEDGVKQLQKLNTDMGVVENLFSNLTVIEERIENARNNDISNLTSSELNAEWDAIKSMIGEIEEFSKNVTKFNNFVTEIGKISDFEKVNISFLDQLKFEKELRDRYDLKIKNGTKIQLDLINENVEHFHESSQFFNVSHNLMIPILELISSRIDNQKWAVKFTSKFSRHPSDIQDLPDDAKNDELKLLFSKKESDWVSEHLSKSLVPLADFGKILTEFDARWKILSLKTSLDDLSFVNDVQIKLAKNFELKNIQLEKLKSFDECDLHPNASVQTLEKIEEIRNAKNELKEAYSKLSSMFDYLKNLPDLKKLQNFKKLKQLGKKHADSLKLNKEINETLGLIRTLKEDLPDFKEKMQNISELIKKVNSTPINEYLKSLEDSGYLGFYSCLKTEIGNEGKVVVDLLNEIDEIRKRGLENTTRSLEASGIVNAFVSSLDGLKNLRTYGEEMKNLTEMEVIALKSSIFNNSLIIMKKIQKSVEGLTHIRKLSEKRTELGAIFGDSDFIKTVAERGKDKLKIEEYHELLKFENLKTSLETILSKIDKLNESIGSLEAANFSSFIPIFQEANEIEDFKQDVELMITALNSLKNVGLTTQQNITALVDALDVLKSLNLKFSTFEILSGIEGLRSLDAFFSSFSGAIPPPSTVPPPSTTEVILTETTTTQSSQVDIAMKLTGNTTASTMPEWQIGLIVAICLILAAAIIFGILCYCRRWFCFKNRKGKSASTPNKDNFIEIFPPPVPAVPTEESLYIPPTSTYQPLSTLPPAPSKTEQPKKETSSDGTSAQAGGQEFPPAEITVEPTQETEAKTKTVEEGPAETKSKEGTTGSKESVSKGSKSKEDNKMKPSQEAM >CRE01002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:288171:290071:1 gene:WBGene00063031 transcript:CRE01002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01002 MRFKWAIRRISVIFVSLILLVFLFLNYMNYDDKYSPDYEDHFDSEKDAIFVQKYLKLMQMGRAPTRSVVEKKSKVEEHYWCFLKRPEHIQKARNWLKMAGIEVYSAYFDDRDNSLFPENAAVQILVMSNHSIESKTSIYCNIFTHTSYSTVKGYIREIWQTGWDPRDSFQVPSLITCPISKRIENKDEMSVSLTRRPCLSTENTIEVIKKPVFSGNETRKDVAVCVKGLDYQEDISDRLLEWIEMQYVFGADTVTIYHFHLSEKTHKVLEYYKEKRKLQVIPLSLPSGNPNNPLERSAFLKANRPQKRRHELLPYNDCFYSHIHTHRYVLILDIDEIIVPIEHDNYGDLLRNFELKTSGIRLSSISSRNVFKFPSNFTLFPHYNYMISNKKRSKKTSPKGEYGKSFSSTSTVATVFNHFALHKLSPAVAKSQYFSSSEALKMHYKSECPWESRNECHQLQYDVIDDQSLDRFEDKLRRRLNEIVMEIGIK >CRE01003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:290387:297488:1 gene:WBGene00063032 transcript:CRE01003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-31 description:CRE-TWK-31 protein [Source:UniProtKB/TrEMBL;Acc:E3MIB5] MRHASPTTTVLADGYTDDALGSKYDNFRASRPYSRESLLSNTSLGSKVRFSQFSFQSRDDGTTIDDDEEYRREQKNTLQAKVVRMFAGQFSRTGSLEIDGESEVQLSPPPTSFLGRCKYYYDKYKLHRVSASVLLILYSFLGAWLFYYFEHDYEKEVKHKERIDLRILRNDTFQRLTTMVFRQGANANFEELFIDYEKKLHKVRLPECLDWDYWGALFYVGTLFTTIGYGNIAPRTAIGRAASVIYAIVGIPLVLAILSKCGKWMTTSLSVSWQQHRLRIQEKAKKTTNRLRGRKISKLEILETGNPMALEGLEELELESRTIPIWLALLICVVYVCGCSSLFLLWETRWTFFTSLYFFCISLSTIGLGDIVPDKPHMFIVMFVLVIVGLSIVSMFISVVQIKMEEWLYHLIKKIQREYTQAIENGELPDRNELYKRIMEKESPWLQLVGPSIMSGQQNNKLEDTVEKFERLLKESKEIQTELPSRGMSTQVEKYEQSMACDPMSNHLKPDEHRETQWSRQLIDETEHPDSEKMEEVKLQRDDATSISRRSNDFRDSISDATSLPMDSMSSPEAKKRNKKLAECVVCQCDIPSTQNQQSVHLAPGTRIDGTAQTDLAQFQIDEIAMKLANLQVSLSIRRHRFSFQKFQTQRVRPPIVEMATSAFMEESPVNSEVLDLPRGLSQAEINVICEAMSNSLYRNSQDTLKQIADVAVGRDYETTFSDKSQVTSLSLPSADKKPSTTSASTSTSPQYLISTSTSPVVSLCEDLKKDEKLKQMRSMSTSPFPSNSMHEEETQTSLKQELVHMATDPCTSQLVHRSTVTSPIGKYDAETETSQSVQIQMMDRVVSPVSTPNRDQNTSPIEQTAADYDDRSMQTSISEWFGKLLKRKDEAQQTSISEDVVKSKEKEKKKKKTSKEENSQASSLGSEVLTSSNTSSTSESLKDSEKSKKKKKTFIAPNMSASVSMSTQYSPPPGCDRLSEPYSNRARSSSTSGMGTSIFEEETRQEVIVQTDDSYLKIARRLDEYRNNKTQFLPVCAAAPLSSKEVEPFKSEFFFLRSVVSTVSFFRSDRPSERSHYYWGGRRASLGRGRKKSRQDMRHGESQTGQSMDADLLKEVLSSEKSRSISPKRPCNKRGILARHPSLPTGISRGKVSDYVIQHEKGIHNPATNRQSPIKIIRQYSLDQDVI >CRE01004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:299415:300727:1 gene:WBGene00063033 transcript:CRE01004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01004 MFRLTICATLLALTAAQVPVAPLYSACSAAVPCAAPAVCQASVCIPTVAAAPPVAPAPLPAYAPAYAPAPIAAPLPAYAPAPVLAPAPVLAPAPAPVFAAPFAAPPAPVIAAQPPLGCASPCVGAAQCVSGACACANPAVVYSPVVGCQPAIPVAPAPVVAGRLIPQALPGSPCEPGVECTGGSVCSVGVCLCPPELIQEGTVCVARTIYGVVPPPVIPVAPVVPVALGAPCAAPVAIAAAPACVPGAVCSAGICQCAGAYEPLQGACVRRRL >CRE01076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:300784:304917:-1 gene:WBGene00063034 transcript:CRE01076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sbp-1 description:CRE-SBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIB7] MDEEFDGDVPMSDPFLSLVTKLDDIAREFDTELTSEIHRFSAFPSNDSMDFDMDQNWQEPGPSQQHDPTLQGNQHSPPQEFYEVDGQHDVSRIQSLLKNNNEDFYSMRFSPPIFDLGGGGRGPSLASTQPISGEGPASMLNPLQTSPLTGTYPADAYRPLSLAQQLAAPAMTPGGQSSLYVNTNGTIDQKSYPHEMLSPPHHTSMTPQPYVEPMELINGYMSPYNPAQGQTYHTYHQSHTPHQIQHHHQHHLNRISSQPTIHEHPEPVESPPIEEVPETKPTKIVEVNSPSSPQNMKEELLRMLVNMSPSEVEKLKNKKTGASSSSTRTYQKPSKVVIQEPAEDEDDEDDDSDSGEPSSQGNTVIIRRPKTERRTAHNLIEKKYRCSINDRIQQLKILLCGDEAKLSKSATLRKAIEHIEEIEHENHALKFQVDQMRKTLQMNGLPYPEPIQYSDYAIQSPAESSPSPPRNERKRSRMSTNTPVKNGTRDNSRVTLFAMLMAVMIFNPIGIYFIKSIYFSLLAGSALFSTASADTKAPIASPFEHGRVIDDPDGTTNQTSFWGLDSTLIWCVNVLMVLFVMIKLMVHGDPVQDFMSPTWQSFVSTREKAREELRSGNLKEAQRQFRECLVALGCWIPSPGIESFAYVAWECFRHLLNWLWIGRYMARRRRSTTKPVSVVCRSHAHTAVLYHEIHQVSFLEYTKFQLISLHLMGITGHSNDGDDPRTLPGLYLSLCAVNMAEAAGASNDGLPRAIMAQIYIAASIRCRLALPNLLAPFFSGYFLRRARRHVRRAPEHAVSHLLWIFHPATRKYMSDPKRLEHVLSSKQKLLRFGSFVEDEQLSPLARIRTTLKIYLLSKLVQELVGGDEIFTKNVERILNDSDRLDDEVDVVDVSRLLVSISTQCAALLTNEKDESAKFGSWLTRNGDACCTWWTHVLTCGIYWRSNKNELARQHYSLIRNCPPKILTDNLGLAVGHALCARKICIDDRDSPKVSQYVCIHTKKALESLRLFSTSSRTSGVVSGIQEGTRRMAYEWIMNSLLDAWRSNLFSSKPYWTQTFKGQSTFSTLYQEAYNHYAVINGTRGDCWRLFVYELTCRMLNGANPQATWTGVRRVRSTKMDAVRGRVSMRRSAQPDAFHLHTLVKLHSSMDL >CRE01005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:313545:317123:1 gene:WBGene00063035 transcript:CRE01005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01005 MRLLLFILLLISSNYIVDARPSVSHTTLASQFKFAEKADIFVTHRNINEDRTQEEKTIKLVGTFSAVGSNYETGGDIVQVSSFRACDARRKGLDHTVFEHVSVVFVDDVQPFLTGCVALDNQARFAEKSGAMALVVGPASRVERTARPMTIGGSKIPIIVLDDEETERLRTELKTASDRGAVAKLRISFIDEKPSKVLKLQVFRPTVLNITLLGLLVVLIVFVSLLVVIKIRCQPTMHRELWLRALARTALSKMEIRNFQKEKSVDSGKKKTGNTFARLKQHRSSSRHSSYLAVFGSLTSVAQSSSHSTPERCAICLDDYEEGTELRVLFCGHEFHPKCVDPWLLSKRRCPLCQFDVVYKHYPKVDSPEKSSGPSDDTTSLLPRTSPSDDRLSVPTNRSSRPLRSYRNPYPIPSITRPSSHQRPILQPSAPRTRSCPRRRQLRSRNQIDFSIRIGGYSSDVSSSHAEPCRSFEIEPRTTQQL >CRE01077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:317493:318564:-1 gene:WBGene00063036 transcript:CRE01077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01077 MAPPNLKNKQKDVASDASSLTSPSPSYDVGSESSTYSPLSNLSLSSTSHRSRRDSFNSSASSSRNSPRSIRRRRLSSGIRSRSSHSSGMSIGSNPSRKSILKKSRKISPYRRRSLSKTNKILPTKNKKKMRPVGEFSRIKNPAILKQVRAFISNYSEDPEFEAFTLSVDGIPFVALSLMNSDASLLERFKKGPEPPTPMKKHTTGGNSPRKRLHSRSSSKSVRFTDEGPMPKKTIKPSSADNEDSFRELVETVSDALSQFQKIYQFKFIIFTVENGRRNDDLWKDRAKSVER >CRE01078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:319762:321611:-1 gene:WBGene00063037 transcript:CRE01078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bed-2 description:CRE-BED-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MIC0] MTRRKQQDCTLVMEHSKDDSAFFDSVASSSEGTEIDASQLMALLEQHSANNSVLTDAPIVASLLKKNPMDKELSPLTAARANEILFAAGMRPHKKTSEIWEHYKCLNEKQNVECIYCWKVLKRNDSSTKSMWGHMNAYHQDILRDGTTRKKVKRPAGSGGMDFDETPTQPYVKRVRGGGNSSSNPASHGLPSMVKMDQKPMLDSMAFLQQLQQSGSLTEADFSSFANGSSLNFLDSLPKSSEVININSGFVKKEELEDDTENHVEVRKSLSAASSSSSDEPIRKSESPDVYGSPNTSSNDQNDQLAAFVSSLSNTNPMMFAMLNAAGAGNSSSPQFQSTPKIPTPSTVNGSTRSGSPPSTHDTSNGSMSPSKLEKDPSSFSLSEGHCAAQLMSMALDLEMTMSYHKRRSDIELCFESNRTVEELEGCRKVICLTDLGKEIRITERVNGAVKDTELWVKTDFNQFHWAIRGKCQKCFNKTTV >CRE01080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:336510:337117:-1 gene:WBGene00063038 transcript:CRE01080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01080 description:Ribonuclease P/MRP protein subunit POP5 [Source:UniProtKB/TrEMBL;Acc:E3MIC3] MVKIKNRYYVIRMITNDGSQPTPFRPAIFKEFMKLASEMFGDFGYSILKMSLSVRVLDEDVIVLRVAEGGNKYFGAVLPCVHKIDKQPMVLQTIFIGRSMRSCEKRLIETRRDELHSALKNCTTTETRTQLLGAIQQMCGQPARIFTDEQRGDKTNS >CRE01081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:337305:338802:-1 gene:WBGene00063039 transcript:CRE01081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nars-2 description:CRE-NARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MIC4] MRLLLPRRFSTKTLDGWAKSVQKSGKNVFVKVDNGRSRGLIQLVANKQIAQEVKVGNAIRAIGSFEKSPGSQQETEFVADQLNIIGRDENPRYEDLSADNLRKKTHLRARNSQFASFLRARSALFRETHEFFMSRDFIHIDTPKLTRNDCEGGGEVFDVVTTSAESLNGSEGIKEDAMYLSVSSQLHLEAMVRYELINRLQRVYTLGPAFRAEKQQSHAHLSEFYMLEVEVAFVDVILFSLFSTIIILYFQNIEEMCSLVESYVQNMFSFLHSPKLRTELEIMNSQHLASIPLNSPFSRITYEDAVKVLTSDGSNVSAKSGLSKKNELDLVKFHDNQPVFVTHYPKNQKPFYMARTQDDTKTLSFDLLVPGVGELAGGSVREPDAEKLKERGCGIEWYLETRKRGQPPTAGFGIGFERLLQYLLGIQNIKDTLAFPRWHRHCQC >CRE01007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:340886:341856:1 gene:WBGene00063040 transcript:CRE01007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01007 MGKDYKYGGGYRPYGYAPAPAAPSMEITINQNQGSSASSLSRYGNASASSLPRRSAPPSAPPPPPPEYCVPDQPGFNPMWFDRFPRRENRGMFRLCLVELMLAGSVVILGGGIWCYRDTSDYCPYYSAIWTSAIYIINAIVGSAAAKMGSINLYMGHLTLSLVSVMMCLISGGLSARNWALVGTYHHPRIDRDEAFCLLGTHDTSRISYIFSHMDKYDFAKCLWQLKVGVAVNSVQFVVAAIEVFLNILSAILCMKRTCTSCF >CRE01082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:342285:344240:-1 gene:WBGene00063041 transcript:CRE01082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tin-10 description:CRE-TIN-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MIC6] MATDAQMQQVAELEVEMMSDMYRRMTNACQAKCIATAFKESELTKGEAVCLDRCVAKYLDVHEKLGKRLTNMSQGDEAALQKIAQQ >CRE01083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:345105:345807:-1 gene:WBGene00063042 transcript:CRE01083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01083 MRPVTFQLLVSLSLIVLSASDATVYCIDLDTTQYLCKNYAVDPITQQSVTCSANNSIQVMCESAEHVKCIGKDQFGVFNKTIPDGCHYGAHINYTTAVLLSIFLGFFGIDRIYLGYYALGLIKMFSLGGLFVFWLVDIILISLQLLGPADGTDYAMAYYGPKVQRIR >CRE01008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:346236:347521:1 gene:WBGene00063043 transcript:CRE01008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-6 description:CRE-SPE-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MIC8] MSSEQLHDSRGMPQAGFIIEHQSSDQKWKVLRNIYSGPFSDVYVVADVATNEKYAMKCERQEGNTRPVLKLDVLVLMATKGLKGFPKFVAAGRTDVYRYCIMQLVGPDLGRLRRTRPERRFSLPTALQILGQTLRRLEDLHKCGWLCRDVKAPNFCIGIGEHESTVYILDFGFARKFIDKEGKIIPPRSAAALMGTFQYCAVSAHSHKDQCARDDLESWFYMAIELLKGPLPWANIDGHKNHKLIGDAKMEMRTEPARSEFFEGVPKQFNEILSILDSTSFFDRPDYKRLSELLREAAAEHQVTMKEPLDWQNNERMQQKAIFVGELGESHQASAKLDAKDNPNESMDIEFDEIP >CRE01009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:348846:350294:1 gene:WBGene00063044 transcript:CRE01009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01009 MEFERPPDFKVLLSKTMEMMTVKEDLLHRNPKAFLDYELFKLRVLTNVDVDHTEVLYSVADGKNVLATFEKLKREVQDLQFADACGPKLKLTPVASYFDCVGSMHSSEIKFITKKENFKPVEIHLSLQDQPKSVLSSKASTGKAIRLKIAKKVPSCTRLKDSFSQFMNGGGLLIDFITLSLWPALVIAEEQEREELKVRKDAEKKQKLCDTSRPDGSRLCSGRDRTPTFSKDGHHRDSNQGYRVHSMKIDEGSFNAKGPRTSHRHEATVPREPRSFSRNHGHFNTVPLYQGHMGGIYGGNFSGSRHHDNLPIPPLIETPSQPSYYATEYSEFPQPHSESQPTYYEDNKSYIERERGGGRYGPEREVHRGSDDKDWRKDLNLPTIPSNN >CRE01084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:350667:353609:-1 gene:WBGene00063045 transcript:CRE01084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-such-1 description:CRE-SUCH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MID0] MPLVKTGPNLDAIFNHQLTNVTGEPLTPTKISIFYLVRTLFHAHFGVGAVPSLKPFNKAEKTKAFSILYGLILSDSEISYDDFRRVVRMLDNDLGRCIYYNFICSIEKLAYGDEQIEMLFENAFYTAKRPTHIKVFKQEQADQDNLTFMSNNSFMYTWIKRVMMQYTRTSQEGMFIITERFRDWVLSGNIENVSPINQGINMTLPFEINCSVRARKWIDDQLRFIQFCQSKAMPHETILDLCDIISKRHRDVFEVNLLKTVVHIQLKNPPEAMKSLKVFFDLSMLQITDSARQALNTLKLMSPSQIALRYGPVLQGRLHRIFGDRKCASELFSESIQQSQVNVDDMCNRIANLELTINEVLMSGPILERLPSDRTKAKKQDDYGNETNERRVLQNTIHAAANINIPNIRALQKDFNEDYDLHTFLVSMSKFMLCIEDMMDGKYFKYNSTAEYVCVGFNHLRLIYDSQNKGRAIEDFANAIMSSGLIQSGMYNQARRAAEEILMTNCTTPSCPKLETESQAVSGVNLAYSLASIGDYDNAFKTINSLNTLFPEQLSWISARHVKICFQLIQFERDFLLNRYTACSDHLKEIGSLAPLEYTLRKSLLLAVTGKLSEAVNLLKEYKCVDVRGLLRIHMQLATIEAAYGRFDVSEYHLEEAGNIAVNTHFQDARFLVTRRFGSMILGRNMPEEAFKVLMPLYPNVERFGTFIEKAIYFMSVARCLRLLKKDPRIFLKKCKLQILNDRWPSMEKLLCSELTILHHKTGMFPDENKATWAMERFGKIEVDFPGPCVWIFI >CRE01011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:355845:358597:1 gene:WBGene00063046 transcript:CRE01011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xpb-1 MATKDRKRRGKWDTFKSDEAQSSSGAGGSDKDTAAAVPKAASHNLNADNTSSVMVDEFGAKDYRKDMPLKGDFTARPLWVAPDGHIFLESFSPVYKHARDFLIAISEPVCRPQHIHEYQLTAYSLYAAVSVGLQTKDIIEYLERLSKSQLPKGVITFIQMCTVSYGKVKLVLKHNRYFVESRHSDVMQKLLKDTVIQSCILDDRVQPAQQTNEPAQEKIKFSHGNEKDISEKDGGDAADGNVPADIDEFYGKIDGDDEEDAEIRNLQLLTFEIKQETIETVQRRCIELEYPLLAEYDFRNDTMNPNLGIDLKPSTTLRPYQEKSLRKMFGNSRARSGVIVLPCGAGKTLVGVTAVTTVNKRCLVLANSNVSVEQWRAQFKLWSTIQDKQLVRFTREAKDPAPSGADATKPVVCISTYSMVAYSGRRTLQAEEAMKFIEKQEWGLLLLDEVHTIPAKMFRRVLTIVQAHCKLGLTATLVREDDKITDLNFLIGPKIYEANWMELQKAGHIAKVQCAEVWCPMTSAFYSYYLRFFIRYPLNLNIFFRSQIARKLLLAVMNPNKFRICQFLIKFHERRNDKIIVFSDNVFALKRYAIEMQKPFLYGETSQNERMKILQNFQYNPRVNTIFVSKVADTSFDLPEANVLIQISAHGGSRRQEAQRLGRILRAKKHSTDQFNAFFYSLVSQDTVEMGYSRKRQRFLVNQGYAYKVVNKLPGMESEDLKLATKESQLQLLSQVLATSDADAEEEDIKEELADGTIRIARREATMASMSGGQGAQYHAKAKAITERHPLFKRFRQ >CRE01012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:358990:369006:1 gene:WBGene00063047 transcript:CRE01012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01012 MNSKNESFFCEVLPEVCRVYEQKRFADSLNIYVSDLKFGHSESVEKSDDETEIRTKEGICVATFENCKAVKQKRMTETDEMMFGIFGIESMKTSDIPPEVSALNARLILPKASDQYVSHVEIRNYEIPLNPSFQDIFYCSPSEIKLCQKEKNEIYLHVNLEKEYQTSRTALFEGILLMLEELKVKKEIKRIKVLCIPFVHFGKVRICVTLDEKTKDIRVEIFNSFGSLFGFIEIPENESRRNREIEEKEELFLTEKMLRIKYSTEDENSEESEKESEETSDIPNASGVSLTLNFLITILQNKMNLLKPLTDGVSFTPLPYLGVDSLRLAELEFHIANSQEFKKCQLKPPYLIPFKTLSQVAEFLDMKRKEITRNGILPSVTTFVSNNSKVPDIEKEQIYFHEIHSKFRIPLSCQQKRILFIREFEKEYLNPTTFSQFDESVLLHFSIFQNDRVTEILNSLIMIHSILRTQYEEDFQFILSGTECFVMIKYEERDISYCHNLNVVTAIQCCLRSDQYLHITFNHISIDGRSLQIFYRQFKEMLSSRRSNRQKSPLQYRDYCRSNFTTSTECLKYWKKYLNLEQIELEKLPSDFPGLSDFSASSYIYKSFPTRLQRKFDDFCHQFSFSRFELFLGILEISLHRVFGVSAPFLIGFAVDLRTFPYFETIGCFTNVLLYISLNFSTNQLTNQLKNCQKSLRETRSHGDIPYESLVKLLGTEGNLFQVFVVSDIVETDLTSEVISRSERRVRFEDETIIVEIVQDSEDSQEKERKKIAKYPMTFYLRQFGNGQLQIEVEYASELFKSETIDSILEEMFRIVNSMSSVSKDQKTQSLLNRFTERKPYKRSDFPSSTVSQIIFSNNSKCDIRYLQKNSSVHPNEFSSFLLKNHMKMYCQLLNEYPILINIPRSPDLILATLGAWKSGFYPVPLHRDATNEQIQKTCDGLGLKMEGTAILRNLDNFNSIKIKQAFARRQIFNRTALFDFAYVTSTSGSTGTPKLVGTSFEGHSNLARQYTTSFQLSSRDIIGQVVDPSFDIFFADIVKTFTNGARLLLSRDSIPTSSELLECSNVYLMPAFISRLPDLKCLNNLETLQYGGEPIASQFLKLTHQNNKELNVWQEFGLTEQTVYSTRKKIRPLDIYNSEEIKMIGDPYDNIQIEVNSFSGSNNSSENCIRGQLVLKGIGLMRGYFDVTKCVLDQFNTGDEVRKLKNGDIVFIGRKDLQVKIRGHRVDLFEIECTALSSKLLEYCSCIAIGNQKIILFYKLKSSNNDQDQLSKFIDYLEKQLLSYKMPSQLIPLSSFPLTRTGKIDKKQLANMVMNSENSCEIVREQKEIRNIILNQNDDRTGKLHDLLKKWLQHYSNGTIKSIDDNIFSDGIDSISVMLTMQKMRSAEIEIPVKMFFKLKTIRKIVDWVLETPQSSEDAKEVLESKTVIKEKHIPNHYINLNHIQQRILFLSRMSFSKSNSNNSFYSQFSIELPSVPVSHCVLLQCVNTIIMGNRMLRSKLQRIQGEYRFILLSGTECFYRTQLDSHFNSHSYIDPFVTRIWSDKNETRLFILIHHIIFDGRSLQILEEQMKQTLKNGNNEILFQNVFCCEMNQIPRHLFIDLQSWKSMFPSFLIGSESSRIEFNLSFENNLEAIPVAFKISHAYCMAICKVFQISTSFPIATTFVNRTENNWNTVSMFANTLPLMYYPEQDLHQFCERFFELAENSNVPLNEVLTRGSSGVGNFADFAFNSHKSIGNNRENNGVCQFPMVLTVSETENLARIEFDNDYISQETAENLRNEILKLLGCGRAEHHERVNFVEVFQLFLNSKVSENTDFFHSGGHSLTAMKLIDYLSDLIGIEVPLKLIFEFRTPKKLETAVNSLKVNRDPVATIMDKTVPKVTEKSFPRALSSEGTQSFLKETETFKFPLSRQQEQMFYLAQLTSASLEYQLPFIQPFPSTVSPSEIHRSLLMTIQEQNIFRTLFKMDPETGEPYQEVVSMTESFIRCHVESVQNEEKLHQTIRELCEEPIDVLSGHCLLKASFVTSSQKHIAFLHLHHLISDAKSTQLTNSTMKSFFDDSKKMAKRLKFTYLDYCSLEQGIRSNKIDNDYLKTLVNGLEGLNIGKGERMDPVKVFIDIPKQLISDRLINGKPGDTPFSLLLKMFSSSFTSQGQNFNIAFPVLNRNEKTSSLCGYFLNNLVINTDHLSELPSILNNNLPYSEVMREVRRISGTNLSIADVYVNCRYDLEYDESDDEILLNLVPLKLHFPVEIDVDLMANQTYRITMRSNKISENEIIRMLNKLKKDFAVVRKAQRNEKIVQSGENTLYGARKDVPSFPIPELYEKIFNSNFTSTFATSSVNSESLSYCQAYQNILRISQKLSRDFLVCRGIPIRSDDVIAVIGSKSIETTIKCLAVQFAGAAYLPIDEVYPQKRKREIMRDSVFCFKESKVLTGNSKLVSNRQRRYSISTPFCLSYIISTSGTTGNPKSVAIGADSLSNLCVSSTITMRVSSSSRIFQFTNFVFDNSVLEVSMAISSQASLIYGSPNFDPFEFGNLVENVGITHCLLFPSLVQSFEIERIKSLPYWIVGGERLPRDLLNSALKTGIRVIQNYGPTETTAFAIAKQMKHGDFGCCIGYPAINTKGRITIKESGDQGELLISGKGIMRGYLNRQPSEYLKIIDEISWYSSRDKCRITPNSGVQFIGRTDSQVSWMVYRNFSSIMLFQVKVRGYRIELSEIERTIECHPDVIACKAIFEQESQQIHVFYTGNAIVSSSEVVRKRCEQLLEPQKVPSTFNGIKEFPLTNNSKIDKKKLMEIVKNSHVPNISETCPLETELSSIWMSLLNCSKPSSSDHFFLVGGHSLLLIRLRHLIETKLKVHLSVPEILENLKFEEMLQIIRNKKDFLERKKRRTVVFFPGLYGGCTAYLKMIGQLKNSKEDIENIILLEEVLGNSVQEVASQYKTQIEEKVIDRISSYVFIGASSAGTFAFATSNQFEKEYDIIVILLDSGTFWNRIEKLDYSKHESVSYILFDFDLFIFQDMMENLKNYEVDKGTANRMAESSWKNLQILKNYQPEIDTNSRKINVLSVDGSDLGWSKYSKNSKNFKIPGDHYSMLQDPEHLSDVLKIIEKIIRDQ >CRE01085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:369167:371201:-1 gene:WBGene00063048 transcript:CRE01085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01085 MRIQKVDDVFELDSYQLVVLAFNEFLIFTMLSNVVFNMFGAAAPRVPGCDDVIYNATSPFQQCEQYDLHLDCQKPLMKYEFKSVAVEFEELCNRHGQDSYMDSVTKLFGVNNRVRFSTTSQMIGIMIGSAVAGQLSDLYGRKKITLLFLCLMLFFSTVSSFSTTIEIFIIFRFFIGICCGGLTTVGCIFVVENLPSAHRLWMSTVVTWAPNYMLFALFAYITGEWRYLARMSNVMTIVAIFLCAVLLPESPKYLIQARKRKHAVESIKYINKFKKIRYQLTDDEIESVVHNAIESDAKSRAKAKKYSFIHLYIHPKITIQTLVASISMFSVSYVTYGLLFNYDVLTGSIYMNAAVSGLLRYVVGAVVAVLDHFGGQHVGRKRMHFITVSFIMCCMFGIFYIYFNDMVLEYKSWIRALTLLAFGTTGCLFLQLLLITAEMFPTGIRNIASAHINVCGRLGNVFGPMVFSYKLGFAGSGYLILGIICLLDVIIFHIFIPETKNQPLPQGMPSKKKERKDAEAQKTLVTISEEAEL >CRE01013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:381540:382917:1 gene:WBGene00063049 transcript:CRE01013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-29 description:CRE-ZTF-29 protein [Source:UniProtKB/TrEMBL;Acc:E3MID6] MPYRPEAELKRPDLKGEFLCGHCGKTFCHAASLNRHRLNFHGDDQHCLVCDKKIPHNDTIRRHMQSEHDIQRVFTCGCCNWTFPDKKELHSHNNSMMKSGTPGDAKVIAVSSRRPGSLSQHELRGEERTPRTKKRCSKGDSQSESLSGSSDSDQFLSLIAGLLSQQAQATAQQPATVVEEPAPSLQLPSVPASWVNSLLAVNPALYPLFPMQSSSASSTTSSSSEMEMAPTPEPSSLETVLSSIMNNNEGESSSSRNDGVTSGAEEENIDVADDGKDQLVKAVGADFLLVKTETPISNSFTSGTESGIGSGPVSNTPSPASSSPPATSDTSPETVTDLVADMVQKAKRANKRRSIDDICFNLVCKKSLFNF >CRE01087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:383312:384423:-1 gene:WBGene00063050 transcript:CRE01087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01087 MAAPVIIQLNNGDKVNRFTVFKKLGEGTFGAVYAVREDNGAEHALKAELATEKIPLLKLELYVMQKLTQKGAKHMATLIDKGRHANFNFIVMKFLGKSLQDAKKTGPNGHLTLASAIGASIQCLEALEELHWCGFLHRDVKPGNFCLGRAELNELRKIFVLDFGLCRRFVNDQNVMLQPRKKAPYRGTPRYAPIASHNSMEHGRKDDCESWFYMLVDFTNAALPWKVVTQMKEVGEMKKNSRFDPMASQMFAGCPMDEYRQIMIHIDSLSFFMEPNYGLIYTILRKLMQTKNIQEFPYDWEKEYAVGK >CRE01014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:384860:386263:1 gene:WBGene00063051 transcript:CRE01014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01014 MHHASEKKKRESKNPQVSVRLNVRPLRANWKPVKRYECDEVPMRTKESPSPAEDRLDCAVWRTKHVRTDIVKPTAVVEEGKTENIVEGNEGLLTKCECASCERADETPTALELSPKQTRDDLYLEKKKLKEQRKKKEESKASKMNENRRRRHRHHSSSTRSASTPPLVPPNSVASLKSQDHWHKLFDEVRNPAKIIDFSKIPTVSGQIGMSELEPEDPATPPPTSNDPKDIIALRSPNLPILKPPNLSPPSNVSELYNHSPVHQPPLVPLFPTQPQPNVVILPAPICTPPKSPLQKKAPAGSYSDSNSSLVFMPASSPIHPPPKNALLSTSNISMIQKMENLFYGSESNWWFLIALIMLTIFAYRSTMEQHTCYYD >CRE01015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:386466:387419:1 gene:WBGene00063052 transcript:CRE01015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01015 MSAPEKLVKKAGPILCDAVNDEKAGKISRAIIRYKQGIELIAQAMRIMPLGSADRDKIMKNFAIYVRKVADLEYLNKTETEVDQYKIAANSIGHSYQRIFTRCCDAQLRMVHIQDAYIIAHHQLLNFVRFCELIIPLSVNLLVITLKTGEDARKNLTDFDELARSLEKRGVTLQVDFSSTIHDREIIFDNGWVVKSGRGLDYFKPPEGKYVLGACDMNQRPCHETTIDYLKRKT >CRE01016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:387680:388810:1 gene:WBGene00063053 transcript:CRE01016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01016 MTKKQAQPENKELSDYKQRLFADAEKIVKEEFPRKVIEFDALLRSPKLSYDRLAEILPDKSLNIPVPDVENGNTDNDEPAAKRTKVDNDSNTKTGAPVFAFTSGTVPCNENLAQLMDLVRPKLRDAVEQCNTVKMWITLLIPRIEDGNNFGVGIQEETLSEVRNVESEAASFLDQMSRYFTTRGKLITKIAKYPHVDDYRRAILDMDEKQFINIRFHSKTWAYNYLFTSRLVVLEMRNHFSTLHDMITKNYEKIKVPRNSNTEHLY >CRE01017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:388889:389822:1 gene:WBGene00063054 transcript:CRE01017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01017 MNSSDAFRMSGVLKSDGLEIAHVEDDRGVQGEAAIIDVDDREEYFVKPLYTYYCTCGEMAMISDTLINRMPKRQRDGSRVITPERTTAKTFAKQGDTVYIKRAVGLEQQYRKICKKCSVPLFYQHPSALSRTFIFADALLSAQQVGGFSGNNEEQRAKKVIMKRNVKNQGKMGSVTVSTMEGEEEEEMEARETAESYTMNARIVHDALKRKGFTSSAGGGKFAVPETPSSSVPATPNTKRKRGTLV >CRE01088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:389807:390450:-1 gene:WBGene00063055 transcript:CRE01088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01088 description:Glutamyl-tRNA(Gln) amidotransferase subunit C, mitochondrial [Source:UniProtKB/Swiss-Prot;Acc:E3MIE2] MNRFFKIVLTSTRRASTNGSGKRKTPFEGDKVHIPDEPYNSKVRFFICFKKKLKHGIQIDESLLSEMPPIDAKLISHLERLSLVRFDSEQAVANLRNSIRMAKRLELVDVEDVEPMHTVWESQECPTFDDVEEEPLPIDKVFRNAAVRFDDFFVTPPGNVPLESNERFDLNVINKWDTIGKPVAPEAKTIRLAEGRRK >CRE01018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:391070:393761:1 gene:WBGene00063056 transcript:CRE01018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01018 MGNVNTIPVVSQAKSLVQVVTGDPDGAAETQEKFFHECIGVSQVGGIHHLVGDHEGGNKAIVSATRTTGVMVGGATGFLVGGPVGAVAGGIAAGATLDGAATLGSSLRENEYKPEGLFASVQEVIDRPSGGAVFDAVAVPVFDGLAGYQGGKIAGALENAAAAKAANAPLDPQTAAKAAEVRVYADRAMAIAEDMRETPGVTTGQLLKQYDVAMALDKKATLIENAGRPPPPVFDPKASSRPNVYPPGVQEDDRQRKKFRNSQQQYQRTQETTSTSTSTSTSTPTSEAPTSSTFNFSANGELTFHKELQKVITAFKSFDSLNTYDLSVIESKVNQFLRGKGAQVIQTEKIFTKVMLNESENQNFKSEHVVSVLYGKTIAEKFEKKNPLRGFQVLLRHQSESMGALHILLSHPQDMLDILPGLDISLFPPHIRQFLENDLDNLRNGKQRRLLTEAQKLAMVEEAKQYYIKNPWNRRKMIANELILVAYLRVHMNPANFFAHQFFPVSSNRQQFRVVFNMSTTSGNVRQLSICLSCDQGGTGKAIIYKSPGKDGVDCDCYEIVTSFLLC >CRE01019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:395203:398738:1 gene:WBGene00063057 transcript:CRE01019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-92 MENFEGDPHSPDLQQKPPCTTEALSKNENEQEKPSGNEGSSSLSSSEKSVSSENDNGLKLPPETVSISWCKGKISQLENQRVFALSKKIHDDELPEKMIKHYDQSLIKARRNDYSPPGRTMKNCSPYEFAGDVIYFGVNKHPSIHDMIDISEEVFVPYDQVFHRFSELRIINHERCEKNDTCERVSKYFISKIGYNGLLTENTVAMMHHEFKNFIHLGPMTDTGRIHLIVDKLDLAPDTVRKFYYKWFIKSRQLESTLETVVFEEQKLYQREIERHHAHMQNTPGDHEYSKRMVPFEIIFCSSYSFQARRDLKTESLLRIIHKRPEMTVSEAIIVSSIYKVDIKKIHLLVKKNLESMRIIQECFEDNCDSEFVNFTPSNVSNRKYDNDPSTFDSAPEDDRVQQVVPQFYNFILFFKCFQECKRNIRNDIEMGEEQKNVDLIPDGNPPASLALTAEILNISNMLSGPDCSKNRFAGDFQLFELNRHPSIGEMLAISKMTGAKYKQVFYRFRDFRNALKEPCPRNDNCRKVLKFFAHRTEYDGILHGKSVNKMYDLFEKFGADGKSPDIGYIHLVAEQVDLPAFVVREQYKEWFMSMKKEEDESSDTSEINRKKELYERLENEYSASSEISYERLVHMAKKYDTTQKNILNFFDSKIEHIEELPESAIPEASSDLHGALNVSTGKNSTKEILNQLNEKFLKNDFNSKPNNYELYQQEPSKKASNNSYLAKNAIGTDYYEANESLYEMSQNTPHVSGGFKRNIIPTSFSYSKRIKLGSINSRHSENQREQVETEDVEIDVVGLDDDSGESEVEITHTADDYQNIYQFPDDFEIFQANRHPTILEMINISYDTGVSYEQVFFRFKHFRKLNNEVCSPGDPCEKVEKFSEANPDLGGVLDKRTLGIIYEEFEHLIHLGYYLPLGYIHMIMEKVDLSASVIRLQYGEWYSKRRGYPKGVLPDMSNSTMLTGLAPKEEDRLYLTNDESVQSEGENNTGKE >CRE01090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:398869:400404:-1 gene:WBGene00063058 transcript:CRE01090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01090 MELALVKYIPRSSYYEFDRDQEFYDRNPHPSIEEMICISKETSIDYSQIFKRFSELRLKNGETCSKNDTCIKVFKYFNCDDTFNGTINKRLLRMMNDEFEFVGRREKLPIENLHLLMQATRLPEKTIVEEYTKWRQKEDFEIGLTTGMKQHLYSVFQNNPFMNSERLKRLADNTRLDAEQMQAFFDSWSCKRQLKKHNSVPSKKFAWMDDSFDDDDNESSDPVDILPLHLDVAPKMEDANQNEVSRSESRISEEESQEADEKLLNLLDSSLNQFPMDLEYFERNRHPSIQTMINISIEVDVPYETVIKRYFELRMVVRERCKRNDTCRKIFQFLEEEEDVLLDERNKKLLEKEFSGIKYADRYQVVGQFHLIMDKICLPLHFVHRKYKEWFEMRKVRKQINRVNIKRYWTSSQLIFSETTTSIFEIKVQKVYDTFKRKVD >CRE01020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:401370:402530:1 gene:WBGene00063059 transcript:CRE01020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01020 MSLKLLNNSFHLEFLNDFQTFHRHQKQKKTDENDKMAETEEITVDQIPKFLHPNGVSLPQKRDGEMPPVFQCPILTDFLMLKSSECNPEKFLQDVDVFTTLSSIRSLICQWVNYYGPKKNPHYCQMDEQGRVFLNTGTNYTVPFERSTAFLNRFSILEHGQTWDEFIATSYSGLAKIRLKNGIRLAFTYKMYAQSENQPVDIVVRQSFSFQKEIDFHFLNCFLTKKKIWRFEMSRNTSTFQESFGSEDLWNLMSIEKQLKIIDCFENRLPEFLIDVAYGIESEDAVNMIHSVASSCIHMSQKPNLESLY >CRE01021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:404364:406095:1 gene:WBGene00063060 transcript:CRE01021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01021 MLDNSEFSFVLSFPQMISQLSFLMTVCQEKTTGLQTEFGWDATSGELAHQFQHKNLIEITQKFMKMWKKEINEASKPGKINSARRVLCYPTCAVSFHLKTLECLRRYYIEMALFEGAIEHRNWINKHLENSFYIQGNFENRVVVNVWEEETINMDATMKPEDKLNKASEIEKKTPILVWISHMNIALDPAVFDVKFLYLLNEKPYFRITATPTISVREIESEMPWDMIIVPCVSDSLCFVLIYNFSRNLSTFEGLRIHINEHRPSAPCVVRKCSRKLNKTRGETDQRGLVEKTKKHIYIPHWDETNFLPNRFAELLQKVVDMEETFTEGGFGDLAHGRAVPSPGENANKISHLQNFSTFGFVNSPSTRKVPEESNDESEIEKVPFPFCAILWDTNRHVPVYMGKITGKCVTQVGPVLKKSWWERLSGYFKPTE >CRE01022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:407685:409648:1 gene:WBGene00063061 transcript:CRE01022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-8 description:CRE-GLY-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MIE9] MRHTVVTSFLCILLTSQAVLSTEETKEKEKLPKCVHVDPYENLEEWLALKTPVERKCNHTSVRDELDENEIKKSEWGIKSFAFDALSSEKLGPLRNVGKQAHKLCEEEKYDASFSTSVVVIHHNEALSTILRMINGLIEFTPKSLLKEIVLYEDASEEEHVLTKHLEKFAKLKGLEDKLIIHRSEYRQGLIRAKVHASRLATGEVIVFMDSHCEVSERWLEPLLQPIKEDPKSIALPVVDLINPVSFDYSSSMVAKSGFDWGLTFKWIYLPWEYFETPENNVKPFNSPAMPGGLLAMKREYFIELGEYDMGMEIWGSENIELSLKAWLCGGRVVVAPCSRVGHVFRMRRPYTSKPGMDTALYNAVRVAKTWLGAYEKNFFAAKPRGLKIVFGDISESIKVRDRLKCKDMKWFIENVYPELEPKVHDEL >CRE01023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:410639:413125:1 gene:WBGene00063062 transcript:CRE01023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-faah-6 description:CRE-FAAH-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MIF0] MGNSPTSEMLSNQEEFNRERKEGFEMFRKLSSPEFLLKDKRIIGKWKTEKELEEFFRNIVNLDLQSLIGSYRRTKRSDRLQTKNDLNAYTVLCAYTQKMLDSQTRLNCVTEVIREAFETAEEHDQLWYNSDEKPPLYGVPFSVKCNFDMKGYHTTIGFLKKLAEAKKEIDCPFVVHLRNLGGIPFVLTNVPQGFISYISSNPLYGTTRNPWALDCTPGGSSGGEAALVADGGSPFGTGSDLGGSLRIPAAFCGLVTMKPTQNRFHVSYNYGGLPGRGRLGLSYGLYTKSVDEQVFLLQLIVGSPEYRKLEPMSSPAPLQMNSNKKEKFRVGWYDDDGFNPPVPSNRRAVLETVASLEKEGHEVVRFRMEDIDQKFQPFYVASLLFKNVLPDDGKFILDLYKNEPNDPYMAKFSKMLRLNDWAIIRLITLPIISFFSKRGALIAKSRNGNLAELRKTQEETDIYRLKFIEYWNSLEIDALICPSFCTPAIPHQYPPELANAVFSTGLYNLLDFPAGIVPAGHVTAEDVANLNDEKIFPIDDFLLRKQRDACANSEAMPNAVQIVGLPNEEETVLKVMKIVETFHGPMAKPSVSFF >CRE01024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:424739:434808:1 gene:WBGene00063063 transcript:CRE01024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crh-1 description:CRE-CRH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIF1] MAAMTSTSNCSQAAGSPLMMLLFKVPNSNTAMQEGGDSEDEARRRREQLNRRPSYRMILKDLETADKVMKKEPEETPPSSVDASPLQSVMRPPPPINPNNTTPGGPPPPPAPSTVTPNRIVTTSSAASPYGSPLGASLLSNQPLVLPFPSINGDFDFNAAIAAVAQPKPGGPPQNGLGGGGGVPGPSSGIVGGAGMSVQPPTSTPSQQQQQQSGVEGTSGLIGNAMKPTMLGIDAVSFPEFGTTDWQSPMLSGGYSSSPSPTMPGGGSMRMGGGPMHGGEDESNRKRQVRLLKNREAAKECRRKKKEYVKCLENRVSVLENQNKALIEELKTLKELYCRKEKDGM >CRE01025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:436558:437034:1 gene:WBGene00063064 transcript:CRE01025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01025 MKFYSIFLFASMVSMIHGAPSPQIGGLGNSMQPEVHATGLIPMITGTNVPESEEEIEAPIYDQHEVDKVKGLVKETAENGRHPEKFTNESSVQLLEGLGETTTTPPALSTTPRSIFTLPNGIPTVSTGYSTVTSTSKSH >CRE01091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:437329:438216:-1 gene:WBGene00063065 transcript:CRE01091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01091 MNEKYRERHRREWEKLEKDVELEYKNILKDISQINIEVEPNDVEISSNERGFWEHEIVNLSPFDVVCRIRMTNSRLFSTSENVFIIKQKQAKLLKISRAPHQIRSHHLKIDVIRHVESFEPKSLLDYFIGPYAFKTFIVRYHGAPRYWSDALEMNDWIPDEMIDEKWETLEKKKTSKEKKSSLEASELSSSNENPDPEEDLGTIQRVSSWILFYSEQLLCQANMNTTNLMITANQAPEELKLESESDVGSDFDEALENELANMSV >CRE01026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:438851:440938:1 gene:WBGene00063066 transcript:CRE01026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-84 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3MIF4] MLLLFITFLLWIPATSADMPWLNCNTIKGNLHEKMIRFSRSMEIGDKLTVTGRVAPHANMSYLNLYSGFNSVFIKSPCTIHVRVQYQRNSIIYNSFFGRWGPESFGKQPYLTDSYYTISILRGPKSYRVFMGPNEIKNYPYRNPVGWEIGNMRGYGDWSIDTVTMDCHRPGISTTVDPNATTPTTTPVPTTPVPTTPTTTTAVQVRQNPIAADPNGSANGSDIVVTKPGSSSNSGSNSNSSNSSNNSNNNNSNNGNSNGHHGNSNNGTGSNNSNGNGNGSNGNKNNGNGNSDSGNGYGNGNVVLVDLSEMFSLGNGNGNNGNNNGNNGNGNGNNGNGNGNGSNGNGNNGNGNGFNGNLQYPYPPHGFYPPGYPYPPGYPYPPPGGFYYPPGGVPPQNGLNGQNANGQPNIIVINSDGSKGRRNRGPQFALDNFEDDEDDEC >CRE01028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:443225:444244:1 gene:WBGene00063067 transcript:CRE01028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-95 description:CRE-COL-95 protein [Source:UniProtKB/TrEMBL;Acc:E3MIF6] MEDITRRNAYRFVAYAAVTFSVVAVFSLCITIPMVYNYVNTVKTQIDHQISFCKHSARDIFSEVNHIRSYPKNNNTRFARQAGYDFDSGSVDSFASAGNNGGNAGSCSGCCLPGSAGPAGTPGKPGRPGRPGAGGLPGNPGRPPAQPCHPITPPPCRPCPQGPPGAPGSPGPQGDSGAPGQPGYGGGVGAPGPAGPKGPRGQPGHPGQAGSPGRPGADAQSQSTPGAPGQAGPQGPPGPAGSPGAPGGPGQPGAPGPKGPSGAPGQPGANGNPGAPGQPGQSGGAGNRGICPKYCAIDGGVFFEDGTRRK >CRE01093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:447150:448282:-1 gene:WBGene00063068 transcript:CRE01093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01093 MCCQYSKGNIPNHSEIAFIYLPTTAFRSLFYQIHYFQMRFLVHFIITVTSFPSLTYGYMGAVMGKLTTCDDAKTNLNKDWDSKDFSAFTCSSSIHYAVQKDIDPILFDMVQQNSSYDPRKDQVMHKCMDESIEYEDRIPVRGDHRPNWARFGEYLYVPVQRWLHNLEHGSIVLLYHPCADVDELNKLRQLVTSCIYRHVITPYIKLTTERPLALVGWGSRFEMNSVDEKKVVDFMKKYGNRAPEEITRDGKYDEYLIQEAKVVSEEDKTICPNH >CRE01094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:448856:451025:-1 gene:WBGene00063069 transcript:CRE01094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01094 MTIKRVIKSEITEKMSKRKLKSSETESIPSEKISKTIDHFCEFWNQNVDDIRSAQLADMKVRTKNAQKLELPGIGASAIYKGIQDFDVPTDSSEFSNLGWNEGILENMTSVLEETEVDEKSEKQIESERQTILKLTAEYRDLLAISNDQDGYRSIYCAHIIQHLIRNKNLIIGNKRKLELASVQEGGADEATIESCRDSGFVRPVVLILCPFKKDAYDIINRLRRIVHGDSGKGEVWNKNRFEEEYSGPTELPTTRADFPEDHKELLLGNNDDAFRIGIALSKKVLKLYEKFEKADILVCSPLGLRMILNGDDGNESHLISSINLTVIDRTDIMIQQNWENLQLIFTHLHSQPSKIDVDISRVRKLYLDGHSKHFSQTLMFSRFSHELFTSLMLQNSQNHRGLIVSKPKRTGTLSDIDIPLCQELHKFEVKDPNETSDLRFKYFVDKIMPTLIPRTLIVIPSYFDFVRVRNHFKKAEESFVMCHEYANRAKVDRAREMFFHERKSYLVVTERWYFFNRRHLTGVHRVVFYQLPSHPLFYSEFINMSDADISQRFLAILLLCKYDRIRLENTFGTEMAAAVMSNKQTVQAIVSQ >CRE01095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:451076:451841:-1 gene:WBGene00063070 transcript:CRE01095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elb-1 description:CRE-ELB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIG0] MTQELFFEVRRQKIHVYLDADETQTVMDLKRMVSGITEESINDMELWKLDEEGRKTQILHDTATLAECGYTSSNAKAQSPASLGYRLVSVDDQLEIIDVSTPPPIPDTMRQEAAPQD >CRE01097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:454952:456446:-1 gene:WBGene00063071 transcript:CRE01097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01097 MSSCKAIGIDLGTTYSCVGIYQNGKVSVEIIANSEGNKTTPSYVAFTDTERLVGDAAKDQAARNPENTVFDAKRLIGRRFDESTVQSDCKHWPFGVKGKQGKPVIEVEMKGEKRQFNPEEISAMVLQKMKETAETYVGHAIKDAVITVPAYFNDSQRQATKDAATIAGWNAIRIINEPTAAASLFICFLLLSGFILL >CRE01029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:457043:459185:1 gene:WBGene00063072 transcript:CRE01029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01029 MSSCKAIGIDLGTTYSCVGIYQNGKVSVEIIANSEGNKTTPSYVAFTDTERLVGDAAKDQAARNPENTVFDAKRLIGRRFDESTVQSDCKHWPFGVKGKQGKPVIEVEMKGEKRQFNPEEISAMVLQKMKETAETYVGHAIKDAVITVPAYFNDSQRQATKDAATIAGLNAIRIINEPTAAALAYGLDKGISEEKNVLIFDLGGGTFDVSILSISEGSIFEVKSTAGDTHLGGEDFDQRMLQHFMNEFKRKTGKDISPNPRAIRRLRTACERAKRTLSSSSEATIEVDSLFEGIDLCSKITRARFEELCADLFRKTLEPVEKALRDAKLDKSKIDEVVLVGGSTRVPKIQKMLKDFFNGKELNCSINPDEAVAFGAAVQAAVLSGVKDQTIKDVLLVDVAPLSLGIETAGGVMTNLIDRNTRIPTKASKTFTTYADNQPGVSIQVYEGERAMTRDNHRLGTFELSGIPPAPRGVPQIEVTFDIDANGILNVSAEDKSTGKTNRITIRNEKGRLSQADIDRMVNEAKQFEKEDGEQRERVMARNQLEAYAFQVKQAIDEHGSKLESDDARRVTEAVEETLRWLDSNSLAEKDEIESKDKELKSICQSVLSKMHQSANQQPSGCGNPGSAGFNSSNYPQGPTVEEVD >CRE01098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:459290:460698:-1 gene:WBGene00063073 transcript:CRE01098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01098 MNSICCQLKNVNSFCSILSFFSFRSPLCYQFFNDSSVTFHLFRSLNIMRFFFFPEFDNFPTQFPNFQQPQMKRIQEIQQNLERLSEMDEHLENCEEWNFVAQEIVKKLSTIRKEVERSVATTPVLLTPPGSCGSGSIYSDTSSGIDNVYAEAVEAYDQQIYSRCVRLVESANRESPVDMCLISLAHHSYAEMVNAASGSDDAMKFAKWWAEFLDSVEAGRGHSEKIELLDYEAVAYEKIVRLGGISDTEKLIEVVVRSYEMVTLLNRPYHILSLQKLFRLANVLNSELDYESDSFSYAKTVVLTGIQNVLRDRKKCSQLGKYMEHNMKMCDELLGQIFDFEFVKKDENSASCRLMSMSPVPVDGQNNIVMRL >CRE01030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:463132:463553:1 gene:WBGene00063074 transcript:CRE01030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01030 MWKSLFFLACLSNVVNGYLLSKSDPICRDETFLFFWKSNDPQSSRAMSPYDITSECSVYYQIWFLVLLCLFFLIWLVSIVPIIISFIEGTDRRTNCCHEKKKREKQKNGKD >CRE01099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:464890:465463:-1 gene:WBGene00063075 transcript:CRE01099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sup-1 MMSYIALAACIGIALAANVEHDVKSAVNEVTTTKDGDTYCPVPLVGTKCGTSSIFHYWKCCEELNKECCFRLQTWVWVVLALFGVIFLASFVISLIRCVCCRK >CRE01031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:470261:471333:1 gene:WBGene00063076 transcript:CRE01031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrt-2 description:CRE-MRT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MIG7] MELETGQSTVIVIKKDNVKELAQVLKTVVFKDFGMLYAYDSGLKITVDDGAYQQANAFLKPPFFSDFKVREDNISLKLPLKTIYEFLSIPEGYTNSVKLSYSGMFDPLKLLIEEGDGCVIRGKFNSSIADQELDFEFDDAAVVVTYLLKTQVLKEIFKDFDDTSKTVKMQFSKSVLCFTTFGEVGETIVSIPSRSLQMESVKCSEEVEYSYHLNLLQRMNSAFALASKLVLRVDERGVLSCQFSIDHGEGRISYIEFLTVPTAETDE >CRE01100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:471545:475524:-1 gene:WBGene00063077 transcript:CRE01100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01100 MSSYRPSRLVISSSSSEDEEPDSFEIPPLKSVTKRLSDSSTPSSDHEIVESDVESEDPDTSKTESMRSIASSNPPDTVAEPSEFFSPNISRQSSIYTSTPNSKNNSAASSRNVSTAYGSSKNSTSNHDRSRSFIESNEDQPDTPITKKLADSNDMKSKQQLRERLLRKTLKPKKDFESDVSPRANGWGENKIESPNSSELVNSVLSPIVKKVPAYTNFDPEESMAIDSPVTTPNRSTMPTDKTCDGNSPQTPFPTSNLQDMSQSETPPTKGSPKKERISPVSLKEVIVSPEKELVAVSPKNETISPPTTSEYEEMSMKALEGKREQITQLLIYKNNLPDKGERLMKNLNGIGKEIERRKANNIEDVVVNVEEKKEIIPTKPAPKNLVDPLPLPDFGAMLDKNGRKVMGGKMTEEKIRKVSKISDKLTQQLADATHSIPAETDLTETPKGLLIELMPHQKAGLTWMLWRERQPQPGGILADDMGLGKTLSMISLIVYQKAARKARKAAGEDATDKEKRQAAKDEGLFPSNATLIIAPASLIHQWEAEIDRRLEEDELSVFMFHGTKKQRAIEPKILARYDVVITTYTLAANELIGKKKAGAKEESDSDVSDDESRRRRRTFKGDSPLAQIGWSRVILDEAHAIKNRLSQCSKAVCCLAAFSRWCLSGTPIHNNLWDLYSLVKFLRIPLFSDRKYWAESIMPMKTVMADRVNLLSKNLLLRRTKDQTCSVTNKKIVNLEPKTVKVHELEMTGDEANGYSIMMEGAQKLVKQIVANTDDVNMYGFVRRRRQRGAAENEMLNPYNFGPRNLATNSKFQNMSCILLLLMRLRQACVHFSITKSGMDLDAFQINGGDDDVDMNELEDLMEKTMAELTLDDGSDEDGSQKQEMIPKKESPTVIFEPHYISCKMHKTLEIVRDILDRKEKVVIVSQWTSVLNLVEKHIQNGGHNYTSITGQVLVKDRQERVDSFNQEKGGAQVMLLSLTAGGVGLNLVGGNHLIMIDLHWNPALEQQACDRIYRMGQKKPVHIHRLVVKGTIEQRVMDLQEKKLALAASVLDGTATRKMNKLTTADIRMLFGLEDATSSRK >CRE01101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:477520:485798:-1 gene:WBGene00063078 transcript:CRE01101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gbf-1 description:CRE-GBF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIG9] MATNGVYIVMGEANCVVALLNKARRQYQLSQAPTLEDTDPLLRNFTDLKEVLNEVADLADMNPQTFLSPFLDVIKAQNTNGPITEAALAAVAKFLNYGLIDASSIKAANAVESIAYAVVHTKFIGGKSTGSDECVLFKILQVLRSLLLSPPGILLSNEAVCDMMQSSFRIVFEQNLSLLLRKAAESTLADMTQLIFTRLPTFVEDTRHPYIRQLVNPTEKRQKRKKKRQLSTHYEHKEKRLDEAEADSTETTKLITATSEVEIDGAAKLGEIVFDKLSSLCFDFDVSGYDVVITSDPPVDTVTHPDQLVGEVKKDRNSEGEEADSESEIGEEERPFRTHGGLQREIISDEEDPNDNDQVVSGEEKMPYGLPCCRELLRFLITMINPLDRHNTESMVVLGLNLLIVALEAIADFLPNYEILMPLIRNELCRSLLQLLDTEKLPVLAATNRCCFLLFESMRMHLKFQLESYLKKLQTIVLSEKNHTNSGTEQKEMALESLVQLWRIPGLVTEMYLNFDCDLYCGNVFEDLTKLLVENSFPTLGGHTASLLSLDALLVVIETIEQNCEDRENGRGEVSKEQEQKDLKKLGLPVLSGYDIGRKMNTPTGNTREKFPSTSPIPPTSTLLLRSNRHAPSTNLPSMTEIIEQKKRKRLIAEGTELFNQSPKKGIAFLREKGILGHDEDSLVQWLRANPQLDKKAIADYICNRKHAETLKAFVKSFPFENTRLDVALRMFLETFRLPGESAEISLVMQHFSEEWSMANNEPFNHIDAAFTLSYAIIMLNVDQHNPQAKRNQPPMTVDCFKKNLSGTNGSKDFDPGMLADMYQAIKSEEIVMPAEQKGSVKEDYMWKVLLRRGETSEGSFYHAPTGWNDHDLFAVCWGPAVAALSYVFDKSEHEQILQKALTGYRKCAKISAYYGMKEVFDNLCIHLCKFTTLTSMREGGAEDSLELQRHRSMIDVSNIGNSGSSLGLANHSPEVVSLAFGENHKAQLATRTLFYLVHENGNILREGWRNLCEVLLQLFRARLLPAELIEVEDYVDEKGWVSIQRVHQKELPNTRNDSGLLSWFGLGGGSSEVDRRKPTQEQLSAMKHASQVISECRPSQIVADSKYLTSTSLAEMLSSIAANSAMIVDQAEPQQKTASLSGEDEDALVFYLELIVSITLENKDRLPLVWPHVRRHLEWLLSPRFGRCPVLVERAVVGLLRVANRNLFRDNTVSDDVLHSLAMLLRLSPKALFVFSRQIAFGLYELIRANAANVHKKEHWAVLFALLEAAGATVLPDEYALQIEKQNLKVGRDQRNAYSDVEGSATGGGAGYDERAYTSEGEERRRGNYDSTSDLESRVDSAGSLAGGHKQPADWIHLDHKDAAKATEEALNALGANAHKKNFRQYGSLVLRNGLGRHEPAAFLKVCECLAFLLRDAVHVTPDNFESSLQCLRTMVEASLDGGVYAAGPLSGDAQNRLRSTVTEERSTKKHHHHHAHHTKKKDLSTDVTEEADDSRNEEQQLTANYQQVKYLATMSLHLLDLCSQLHSQTPAIFAKWAQQESINTGNMASVAFIWTDIWRPLLQAMGRLSCDCRRGVRAAALTHLQRAFLPANMATLGAAEWQSCFGEVLFPLLTKLLEPFSPMDPIGMEDTRVRTLQIVAKTLLNHLSALSALESFPDLWMLLLDYMEQYLRVDSCGNLNEAVPESLKNMLLVMDSTSIFAAIPNLYEMTVERLNRFMPQLIKDTIPNPPNKELTSHSDKVAQSESGSNTQTEHGPGLEPPTSSTAATSSSDPSMTQHSISASSVTSDLVSQLEICPEDSSVTTAPVPIQQPVVPLVSMSFWNWYKFAFKTEVIVHSGPTSPIGSPPQKHVIDSSSASSPPGQNQQISQPQHYDQYHQQQHVDPHQQYPQYPNYQNQHQHQSPQYSHPQQQQYPYSPEAAAYYQQQYAHQQQQYAEHYANQFQQYHQHQQHPVNSANPSVHGQFSVANPLPLPSHPASYHPIVSPSANSAFSQVYTSSHGNPPSGPPTSQESPYFTPISYNPNQQQQP >CRE01033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:487070:487504:1 gene:WBGene00063079 transcript:CRE01033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-21 description:CRE-MDT-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MIH1] MADRMTQLQDMINEMASLMTNAIGVLQATAPPCEFGTISQELEDEPNCAIFAASIAKSAKNIEVASILIDSFPIEAGNMEQEVEEKMLENNTIQGEKVKELKGLVVESKDLVSIVQSKLSEISNIQMTSRPNE >CRE01102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:488880:490301:-1 gene:WBGene00063080 transcript:CRE01102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-74 description:CRE-SRD-74 protein [Source:UniProtKB/TrEMBL;Acc:E3MIH2] MDVSMLVNYYYVVFGIFSFPLNLILIFLIWTKSPISFSTYRILLLNTSINETFLIIFSFLLQMRIISAGESVALLPYGPLQIASLEVSFICYNIYNLFLCTTNLSILLSMYYRYSLICNGKCENWSLARNFGLTWIVPLGMLIAIIIPPYDFPTVIMNTERAYPSYNLLKTYGEFGGFKSTSRLIYIINTTILMGIPYLLPIFILIWRHKIFKQINEVQTHLSERTKKSSLDLVRALTMQAMFPMICLIPNVMYFIVSQLLLFSESQRILIEFEIAEFIPFPTCVIPCLIDPILTICYVAPYRNFVTRRQRSIAVAMTVSVAPSSNRTI >CRE01034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:491285:493131:1 gene:WBGene00063081 transcript:CRE01034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tba-7 description:CRE-TBA-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MIH3] MREVISIHVGQAGVQIGNACWELYCLEHGILPDGTFLDPDGNSGSLTTFFSDTGYGRHVPRSIFVDLEPTVVDEIRTGTYKKLFHPEQMLTGKEDAANNYARGHYTVGKEIIDTTLDRIRRLANNCEGLQGFFVFHSFGGGTGSGFTSMLMEKLSGEYGKKSKLEFSIYPAPQISTSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLRVSRPTYTNLNRIISQVVSSITASLRFDGALNVDLNEFQTNLVPYPRIHFPLVAYNPLISSAHHEILSVDEITKNCFESSNQMVKCDPKNGKYMAVCLLYRGDVVPKDVSAAIKSIKTQRSVQFVDWCPTGFKVGLNYQPPTVIPGGDMAKVPRAVCMLSNTTAIAEAWQRLDYKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAADSNDQENGDDEY >CRE01103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:493223:493694:-1 gene:WBGene00063082 transcript:CRE01103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01103 MFKAFGFFVICIVGCSVAAPIHGDADDELLGLAWEAAATSVNAGNSGKFWVPIEIQSSERSGSVTNLVVVFQESWCSPSEGNDLEDVCESMCPVYYGGAKATYRITATETNGGSDFDSVRIE >CRE01104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:494858:498221:-1 gene:WBGene00063083 transcript:CRE01104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01104 MLHSAGPLPYAIEYAKLNRSYCKKCKKNIGENSLRMSLNQKSTYFDGTIDSWFHYECFWQNLARNRKDININSIRGVDWLNWEDQELLRNRIQKFREPVAHFISVNYENVLFLSSLKSESSLTNRGKCIKCSANFEKGEMKVIFKNKYIHFKCHAESFDRIEGELEQIPGWNDYEECWRERVIASYAEIDASKKGEKAKPVEEGAEKIAQPESSSSTVTLDNDMTIVHDLVINLEDPPEIFLDFDDGPCSSTSTKKRAAAEEIVEINPSEEERKKRKLGKAAKMAELQKRRMKTQVDQLWECRQLLEKLSHSERLALLAINFQEVTEGHDPTSQLIDRLADYAVFGVPIACLKCTNGNIVYNSARRAYVCTGYATEYSKCTFESKNPVRTPFKPTDRLLEKCSDQKVVFNAFAERLYIEEEEDEEVVKIQKRKSKGGLRGDEFIYAAEALDSQNVIPISTNGDAVSNTHIIKHGTVVDAKFPYANRCHVFKNEEDGSLYQATLSFTDVTQNKNSYYKIQLLKDDIYESYYVFRSWGRVGTDVGNHKSEGFDRNGAVEEFKKIFRENTKNNWEYRKHFRKMPGAFSYVETDYSEFAHLEQDVIPGSKTKLTPSVKEVVMSIFDIENMKSALKSFEMDVNKMPLGRLSRNQINLAFEVLNDLSELLIEFPVNNDKILDSTNKFYTIIPHNFGMKVPEPINSIHKVKEKNNMLNALLDIKFAYDQICGGESPTMGTLGVDPVDTNYLKLKCAMTPLDKHCSDYQMIHDYLKNTQGSTHEIKVDLIDILQLNRESESKKFKANIGNRRLLWHGSGRMNFAGILGQGLRIAPPEAPASGYMFGKGVYFADMFSKSFFYCRANCHEEAYLLLCDVALGEMATKLEATTMSKSTLPKGTHSVKGVGRECPIESGDYLHPDGYIIPRGKKHFQLQGAHGKNFHLLYNEYIVYDVDQIQMKYLVRVKMHHARHR >CRE01105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:499641:500936:-1 gene:WBGene00063084 transcript:CRE01105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01105 MHMMRMRHGKMGGVTDASCRTLFSIFFTTCQTPQFQAIVNNCFSKKMTMDAPPSKIRKLDCSSVTKLEVHPILDPALTCETIASTSFQALNLVNKQVIGQIIGGLNELPESFQHLKRVGKDGSVLISETEESAKQIIIGLKNDDVRIEDLKTVLVPSKKPSTRRQFEVSKLLWSTAFHPNHDVEKLLDGSFLTDSLREYVIRYTQRVIEMGDGCIAVQSNSVLSSGCPSTHPLGHPVMEMVRNLQKRTGSDYLGTGSDVFLVNEPCAMCSMALVHFRIKRLFYVRNSKNGVLKDDGWQLHLEPSINHHYEVFRVNIHDESGSNLDNVDSNSLCTLAK >CRE01035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:501056:502241:1 gene:WBGene00063085 transcript:CRE01035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aakb-2 description:CRE-AAKB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MI63] MISLNFRKAMGNNQSGGPEGRYGPTDKAGLRRHRMMSETAKIAGQVLPNPDGPPMIFDDGHEDKSGECPVVFRWSFTQNAQPRTVHIVGSWDNWSTRIPMVKSTNDFSTIIDLQPGQYEYKFQVDGSWVVDDNQGKTQDAAGNENNMINIQDSDFAVFEALDEDFQSSTAGEVLRGESESTKNHDTPNDRELEKLRSFTQEIPSMDMLRKAAGPPVIPPQLMQVLLNKETPESCDPNVLPEPNHVMLNHMYALSIKDSVMVLSSTQRYRKKFVTTLLYKPV >CRE01036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:507913:511033:1 gene:WBGene00063086 transcript:CRE01036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsks-1 description:Ribosomal protein S6 kinase [Source:UniProtKB/TrEMBL;Acc:E3MI64] MADVFEFELDGHEAQPSPQRHAYHYENHSEIMEDDHMYSNVADGQISAPPPSSSYMEDPMMESIQLCASAINPPNVRVGPEDFQLLKVLGKGGYGKVFQVRKTTGSDSGKIFAMKVLQKATIVRNQKDTAHTKAERNILEAVKSPFICDLLYAFQTGGKLYLILEYLSGGELFMHLEREGMFMENVARFYLSEIVVSLEHLHQQGIIYRDLKPENILLDGYGHVKLTDFGLCKEEIEGDQKTHTFCGTIEYMAPEILMRCGHGKAVDWWSLGALMFDMLTGGPPFTAENRRKTIDKILKGRLTLPAYLSNEARDLIKKLLKRHVDTRLGAGVSDAEEIKAHPFFKQTDWNLVYARKLEAPFKPEIENEEDVSLFDTRFTKMTPVDSPCETNFSLNGDNPFVGFTYVAPSVLEMMNKHGHGISVAHLASSMSRGAGIPHKSPKKPGDPETAHIQHGGQSDLFGHGSSHESQLFFGGLPASNNSSNQQQRPEFTPFGGFSEDDAMDTSTPRASESRETTGNGGNGTRPTTIGSTASTPIPLPKRVM >CRE01037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:512720:513129:1 gene:WBGene00063087 transcript:CRE01037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01037 MNDTIIVDTWNGTTTTGQTILSAKKEQLYESMILSGSAIIFILLTILILYYIKTSRYYRQWWRARQSEKSAKKEEKARLKAKAEREKEEQEDEEEYSV >CRE01106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:513171:519909:-1 gene:WBGene00063088 transcript:CRE01106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-obr-1 description:Oxysterol-binding protein [Source:UniProtKB/TrEMBL;Acc:E3MI66] MVPNHCVMLEEMQKAAAKEIDIILSENEKKTRRTIIDSRQKRESNTRKEEKKKEKIGSGRRNDNDPRQSSLIDLTSCSHSYSSSSINLPSTSSLSSNSTSTYCSLYSSSIPIKSKRKIHCRIMGTLRGRLRQARKQITWCAFPVGRRTRRKASGDGCSDNESVYESACGESIQRYVYAEGDGDDEKPRNLEDEERNPSEVGHTCRGSINLQEARVHTDKTTSCIVISGQSQTFHVKPQNEMDRQQWVNSLEYMRHKAITKAESEEDEDAQMAQNDSNRSENLILSNRQISGKLCDLRTAANMMNKQSDELMRALNGTVETDKNSLAERADLLKITTAAVLQAAEEFVQLSEQSARRLNKIVAVEQKEKAFLQEQLEALAMQHSSLERAATKCATGAPLSVYSDQEDEFHDAEEDLIMPCNSTSPAGVHAVPAVRSDRHSGAGDPSSSSPPSSQSNAPTGRQRRTTVPERPDLPINLWSIMKNCIGKELSKIPMPVNFSEPISVLQRVTEDLEYADLLEAAAKLSTLEQMCYVAAYAASNYSTTCHRTNKPFNPLLGETFEFDRMEDLGWRSVTEQVSHHPPAAAHHAEGRGWTMYQDFTMTSRFRGKYLSVIPIGFTHVVFPSTNSHFSYRKITTTVHNIIVGKLWIDNHGEMEITNHTTNEKCVLKFVPYSYFSREQPRKVYGIVRNSEGIPKYVVQGTWDKSIDMLKVSSWNGNQEKPKVEVDEASLKRIWTTHPLPKGAEKMHNFTKFTIELNEPEDGVSPTDSRLRPDQRMMENGLWDEANKKKLEIEEKQRIVRRRREAEKELAMKRGDKYEEYEPTWFVRVQDESNGALIHQYKGGYWESKEQHDWSKCPDIF >CRE01107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:526385:527148:-1 gene:WBGene00063089 transcript:CRE01107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01107 description:Density-regulated protein [Source:UniProtKB/TrEMBL;Acc:E3MI67] MTSEGCETAVIQSLAPGPIEGVSYPLKVFYCGQCSMPTEYCDYSGQTDLCRAWATQNAPELLEGLDISEEPAEGDEKKKQKRGGKGSKPGAATASAGKKKSGAQKVTLQREPRGKKSVTVIKGLATFDIDLKVASKLFAQKFACGSSVTGADEIVIQGDVKDDLLDLIPEKWSQVTDELIDDLGDKKR >CRE01108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:527250:528567:-1 gene:WBGene00063091 transcript:CRE01108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01108 MAGGLLAANREYFFEVGGYDEEMDIWGGENLEISFRVSSVFKCYPENIFQNWMCGGSIEFIPCSHVGHIFRAGHPYNMTGRNNNKDVHGTNSKRLAEVWMDDYKRLYYMHREDLKTKDVGDLTSRHELRKRLNCKSFKWFLDNIAKGKFIMDEDVVAYGALHTVVSGTRMCTDTLQRDEKMSQLLGVFHCQGKGSSPQLMSLSKEGNLRRENTCAAEESGNVRMKTCSKRAQFNERWAYEKQMIRNLKSGKCLSTANLKAGDDAIVVECDENDPHQKWNFVDPAKA >CRE01110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:530897:533795:-1 gene:WBGene00063092 transcript:CRE01110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mib-1 MELNNENTVQSPQSSETFIEGDRVLISEISPNYAQRRNLYNNVNWTKTHMALLGHVGYICSIDEEKRTALVRVFYALPPSTDLLQNLTEWPLEALEIPKIVEHYKGDIVAITRGDPLKTTIGVIAAKETVTFEGVPKYMVSLKNAQNTALIRDIQVTIDVNPGWTDGRRDLFLCPVYSGPRLSMITRDRNEHSIHTYKNTIHGYTTDNLMEIIINWNEDSSSYAKLVESIRANPQQVRMAFDGQLPIFRAVSDDLWNVVVMLISLGAEKNAKDSQNKTIVHVAAERGLDKMLEGVLLLLPKEVNSQSITGDTPLHLASRHAHAACIDRLLGTSACLPCVANNNGDTPIHEVCKLPESGNKKAAISRIMTNTRANIHQMNNANMTPLQISIFGGHVNTVEQLLLLRSSYRNTTTKNGMNALHYAAGCGHVNVINKLIMLGLEVHRRDRFGRGVLHYVLEKWTGEAEKDCGRLASIQALVKAGAPPNVVDLNGQTPIFQLVKWVQTEIRLLRCSNFRDMLKQNEQYAVSLVPMCAQLVRTNLNLDEMASRLRPKWQLATICFMVSNGADLNIKDRKGVSIVDLCEDSTLRPIIIHIAQTKQRTVMPMLAMSQDKFDAAEVSMCTFSCFDSVATVKLNPCGHRVACVDCAEKTAIRRCPVCYQFIAGAHDHGKIKITDNPELVILIDGTQVQIGSRSGESTDFGRQHSQLSAEICKKIAEDAAREAKIEFEREKQKELNLLRKKLEQLELETSCAICMDSKIEVVFNCGHTACVECSDKLKKQCHICRKPIETTQPIYS >CRE01038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:534261:539022:1 gene:WBGene00063095 transcript:CRE01038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smc-3 description:CRE-SMC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MI71] MKIKEVRINGFRSYKDNTHVSGFSPRSNVVVGRNGSGKSNFFHAIQFVLSDEYAHLKEEQRLGLLHESTGPKVAHARVEITFDNSEKRLMAFDNTEVKIVRQVGKKKDQYYIDNKMVPRAEVVNLMESAGFSRSNPYYIVKQGKINELATSPDTYKLKLLREVAGTRVYDERKEESLKILKETRMKTEKIDGLLKYIDDRLQTLENEKEDLREYQKLDKTKRSIEYTMYDNTNKEAIKEKTKLDEQKHELVAKANEVKSQLVEVSSELAKTKAGKKKLDAQGRTLRDDKESLQAEETKMVEEKMTLKLEIDSLTEESTRERQGRQNAESSLQSITDEIYKNENDLEAIKPEYAVSLLSNRCYLKPLKTCDVSFFQRLVEEESRLNTDIRIDEAHIKEILAKQGQRSQYTSIEERDKALRNEIRRFAGLIADNQEQERTVQKELEDVEKEDEKLNNEIKNISQEIEENRLHMDNYGSKTPALKQEYDNAYSALNTASREEKSIRDKISDLDQDVSAANDQMRRLVARVIILPIYNGMTGVRKVIDEFKSENRNGQHDDVINGYHGTLIDLIEVNAMFITAFEVIAQNRLFYHVVETDRIATKILKKFNELQLPGELNFYPLNRVNAPREKNFGKRPNARPLLEVVDYDAKFDKVVQSITANVIVVRALDQSARDIRNEQYDVVTADGDQMSKKGVMTGGFIDKKRSKLEIHSKKMIIMKELSVHHEQLKLAEQNVRDKTRAAEQVRNRMTQNENQISDFHRRHRELTEAKNAISQQFFMIAKTKEPKMNQLIQIRNRLRELVAQKEILEQEIGSAMSSQLTDGEQQSVRELRRKVDQMKQELADVARRRMDLMHRKNAIENLLTKKLYKTKENLTARVDDISDNERRHRLDNANAQYNSLISRMENVREQLESAINELQEFESKEKAVQRQFENYMDIQRDLEKQQSDFQLQLDKIAAKEDEVKQKREDSLKKMRLLGALPTDTFSKYQNVKPRELEKKLIECVNELKKYENVNKKALDQYMTASSQKEDLTKRMAEQKKSEDSIEELLKVLENRKYEAIDLTFKQVKKNFEQVFKQLVPHGSGKMSLRMRDHREGEEPSAHKVESYEGIVVMVSFVSDDGESETREMTQLSGGQKSLVALAIIFAIQKCDPAPFYLFDEIDAALDAQHRKSVAEMIQSLSDQAQFVTTTFRPELLATAEKFYGVRFRNKVSHIDAVTREQAYDFVEDDTTHG >CRE01111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:539556:540599:-1 gene:WBGene00063096 transcript:CRE01111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-35 description:CRE-RAB-35 protein [Source:UniProtKB/TrEMBL;Acc:E3MI72] MAGTRDYDHLFKLLIIGDSGVGKSSLLLRFADNTFSENYITTIGVDFKIRTMDINGQRVKLQIWDTAGQERFRTITSTYYRGTHGVVVVYDVTNGESFGNVKRWLQEIENNCDSVQKVLVGNKCEESDRRVVLESDARNYAQSMNIAFFETSAKEDKNVEPMFTCITSLVLTAKLANPSSASKDQHRSGGVSLKDNFGNTNQKKKCKCG >CRE01112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:540959:542818:-1 gene:WBGene00063097 transcript:CRE01112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-teg-1 description:CRE-TEG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MI73] MPKRAVSFADDIETRVFKRDKKELENEDLDIPMEEDVVKERPVEDEVSYNEFSNFTSSFQEEEKKKFHTLESDEEEEEDHKRLDTRKVEGQEDCEMDFDGSIKITAFNMKEDEEDGHFDETGNFVFDKKQKDVQDAWLDGIDWSSVKRKAGDQWEGDGEKKSDDEEPEPVTMSDVRKKEIFEQLVQILQPNQSIAKALSNLKKKKGLTAAEERKLRWAAKKAGKEFQPTDGQKETQLLSGLADELISAGFMDAYEWHREKINFLLQRMISVAADELDMFSDEPAVTSSATTAPTTIRQEEKEEDTVKWDYKSNEEAKIEGPFSTSEMMDRQSKGLLSAEGVARREGTNGHFNPVARIDFELYL >CRE01039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:543254:546080:1 gene:WBGene00063098 transcript:CRE01039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mcm-10 MDPLDDLLTQLEGVEEEELKPITENINNGPRAIDMREEALKAMEKPEKSAASSSMREFEEENSDDDELYVKKRELTEQGIQLERYLKKNSSTSGPKSTDAFKSNKKSMLTGLTKPSTEAVTVGIVRFDKFQISIRNPKISSSSFGAFTAGMKLEKLSDLKPTANFKEAWCTMGVIVEKGFKKKSANGNDFLIWKLHDLKDCQTQPVKLLMFGDAIKDHWEIKLGSVIALISAQIADDSSASGAKKPVSATLKVSKSNNIVEIGQSAHYGTCKGIRQQDGQRCSNFVNSSLSEFCVFHVMSAARKLSAKRGVFNAVTCGPNVGGLSFNKKSATTSKSQTPIRPGMISSGLSNGSPLHRDTMRPADSNTLTTPTTTLKQITKEEEKSSLNDILNQRKNTFAARQILKLKEKKDEKCIENMEEMIEFMATDNSNEKYKHSSFGEFLKADTENEKSKVVDLRSSSSSITTTSSPNGSKLWTMSMTKNNKPVGHKDAQEHAARLRAIAILKAKRKEAEEEKRGSVKRKAVRTPGENSPSDAKRSKSSSQSKMDEIRALLARKSTHHKEAEKAEHDMLQRHLTGMEEREKVETFTSTCMEVKNVKVVTCNQCKYTSQFASSECMKKEHKLIRHTADKRFFKCTGCKKRTVSFEMMPTKHCPHCNENKWERVAMKDERKVVLETENLLVRGEERPFVSS >CRE01113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:546813:548372:-1 gene:WBGene00063099 transcript:CRE01113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01113 MKKSGKNKAVGRDENGNGPKKGVVMQIDIKFPFFSAFSYQRFPSVGKSTLLCNLAGVFSEVAAYEFTTLTTVPGVIRYKGAKIQLLDLPGIIEGAKDGKGRGKQVIAVARTCSLILMVLDVMKPLQHKKLLEYELEGFGIRLNKQPPNIGFKRKEKGGINLTMLVPQTELDLELVKSILAEYRIHNADITLRYDATSEDLIDVIEGNRVYIPCIYVLNKIDQISIEELDIIYRIPHTVPISAHHKWNFDDLLEKTWEYLNLIRIYTKPKGQLPDYSQPIVLNAERKSIEDLCMKIHKSLQKDFKCALVWGASAKHNPQRVGRDHVLIDEDVVQVIKK >CRE01040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:550016:554867:1 gene:WBGene00063100 transcript:CRE01040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01040 MLSRNRFGEYAVRDRHTKIVKMIISFFILLAQLDPTIAISVRRSLNTYHPNLDAEPYGIIPPTYPYDPHPLSTPESIVQSPRPIHCNNQTEYECVCMKPYSSPHSPAQTISAAPDCSVFIKTDDIPVVDMRMRQVNTEAKLYTGDSYEEYFKRRISTIVSHYCQHNANECPGVSLRMSHVSQVLHEMLQILSDQEADELDLDKSVVYAERDESEPLFTKDNVVILRMDHLRGNLTRLLFILTKNDRNNGIINEDTIIDPVKIKYIIASQVGPLSRVLGGIKIDSVRTAKLKRNPALGSISYSTPEQRRENVKSNTKLILIVSGIVSFFGITYCIAIYQCIKWYLNKSKAAKEATQAEQSLVAADTKNYGTCEIKHRKPSRNGHMVHSEERTPLTYEQIDEVPDDNSQSLSQRQMRNWFKCDASQLPREPTCPTSLVESYQQTPAASNTTPNPTNDFKVKEESPVKLKILTKSKDEKESRKPVSPMLSSQATTSSEAVFPPSKDFSDYVEDQYHKSRASSNSPTEELQKSLNEDPWDDLNGKYVPPVVLLQSDTPDLPLTIQNHLGKQKSDNDDVAVKGLRSSESPSFARPRSRRGSRIDEMEGQLDLAELKPLQTDDPLAEPIDAPVPINSIKGSVDEEDRWSSSEEGEVDVYYKMSDDEDVTREELDWKKAMAKAELEKEAESQGRTLPGSENVEINKKRTETPPSSPIQIHIQNHLNHNNDSDSEEEDEPIPEEAKDAEIAEKDDDFVYERLREELSPQPPIVVDLDTTDLDLVPIKSLPPPPPGMFPDSPPESGSGSNQLHHQRSQEMSEDEDDLR >CRE01041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:555916:556438:1 gene:WBGene00063101 transcript:CRE01041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pen-2 description:CRE-PEN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MI78] MDISKLTDVKKVDLCKKYFLIGACFLPLVWVVNTFWFFSDAFCKPINCHRRQIRKYVIGSIIGSVFWVILLSSWEIFFQYYRAQGLVWTDYLTFVFPSGRV >CRE01115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:556719:557732:-1 gene:WBGene00063102 transcript:CRE01115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01115 MRVNVARVWEDSDYEKVRNLCEDNDGWVEVYKKKDITICTQNIENSSYQMIKAIALFPDVSAVVAYDVLHDSAYRAKWDKYMIKQENIGIINPNNDVCYYSLNSVAPIRPRDFVMQRSWLETDKDRLICSHSVCHEDYPPAKGCIRATVLLSGYLIKEKEQGCEVIYISHSDPKGKLPTWLVNRVTKVVAPKVIKKLHKACLGYPEWKEKHHPTWKPWSVPEQQMDLVRVDLIKCQPKDYDQEIIDESNISAMSVSTKDDEDEDSLKN >CRE01042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:561685:564239:1 gene:WBGene00063103 transcript:CRE01042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01042 MVPIRNIRPKRKAQSSPKLNGKKLKKSVNDTEGSVIDIISNPLFELLSNDYKSKEEFTVNDIAIMFRSLADTISNLQNQNSLLTSKVSNLVEKVSGLEEHLKTLSAKPKEMEKIPTTMVKTFASAVASTISSSITTPDSQINLMKAVSYANTEDARKTNVLLKNVDLSPDAMDNGEFSLQIANIFGTSKPAVFRLPQSAKGPPIVRLSFKSKEEASNVLKKVNREKASIQGCLNASPRPDLSKPELEKYRQSWKVAIQKNNEAMKTVFTVRNLEVVLQLNLWSFMSNIAVFCLTETTLDSSYSDNFLSLNNLFTVIRRDRNKHGGGIAILIRKPIKYNIIFIPEYLQMVEALCCDILISGSTLRIIVIYNPSHSRCLKDLLATLNYLLLTEHRVILTGHFNLGHVDWASLSASDSQCQDILDFSMYNGLTQFVHDPTRIDPPNILDLVFTNSNDLICHVSVGTKFSDHCKIEITTNINFNPNSCSRSVKNFVKGDFKTINYILSRVDWDLRFSCLSVEEMFDDLAKLILNIIDTWIPTKVIRPHQPTHSVEIKLLQKKKLLIWRKEGYSPYYKDIAAQLKKSLIKSESERVSNRLNSGSKNFFQFIKSEYKGNTDIPSMKCKYRDEVIVDDESKSELFGSCFSEYFNMDEEDILDIVNPIKTTCTDILFVPAQIELLLSKLKSRNNTSPDNIPAIFLKKACTSLAFPLSIIFRESYRVGRLPSLWKTAIVLPLHKKGSCSDPSNYRPISLTSNICKVSFFCKFKITHFIQILLKKENHQSKELDDLHQVLWFIYFLIFLFHFYDQKTVREKSI >CRE22684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:4588:6609:1 gene:WBGene00063104 transcript:CRE22684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-6 MSNDLMTVTSIITNNATPTYQATCKIKNNPMEMEYFRPFFITMYCAIFIIASSGNSLVVYVVMTNKRMQTITNIFITNLAVSDIMVNFTSLWLTPTYTSIGHWIFGGGLCHGLPLFQGTSIFISTWTLTAIAIDRYIVIVHNSSNININDRMSMRSCLSFIVLIWLCSLLLVTPYAINMKLNYISEPCDFLICSEDWSNGEFRSIFGIVVMILQFILPFVLIAISYTKIWLFLNSRQTMTERKSDIKRKKRLLRMLIVMVVIFAICWFPFNLLNCLRDLKLDNFMRDYFSFTFLSVHLMSMTATAWNPILYAFMNETFREEFAKVVPCIFARRPGTGPIRVITERSAMISNPFRRANRNKTPEEQPVTVISESPSQAAVEPQRSIVYLDEPENGSSCQTLLL >CRE22691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:7349:9370:-1 gene:WBGene00063105 transcript:CRE22691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22691 MHCVAKLHNTLHNFFNNREINSIATTLLIFISLYISIITLLGENFLNPLADHPVTTTNEDITVRTIFVIFILLIAGIFAAKGSKALRLPPLFGCLALGIVIRNITVFEQFFVFPPFVETMIRKVALVMIVIRWGLATDVQFLYENAVMPVTIGLVTVIGEIIAVTIASYYILNISFVMSIFCALILVIVSPAVTVPAMISFKDKNLGSLKRIPENILAICCVDNLFCVVLFMVLSSIIFTDAPIATTILLNAGTIVFGVIGGVVIGWLLWRFPRSDAPHTQFARITLLGALCISMMIGTYLIKYSCSGFLAALITSAMCAMKWNTDNKDKLESVTSTYKYLWDSFALPLLFICLGMKFDCSTLTWEIVFLCIAVIAIGLLVRTILVMMITLSSHINFKEQVVVALSLLPRATFQADLAPTLVLMAAPFPDMAKDAALIMKAAILSVLVTAPIFDILLNLVGSKCLTRYDSKDTPAIEQNLDKSYMNGDHVDDSIYAARPKSYSEYRSETVIERY >CRE22692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:11056:13660:-1 gene:WBGene00063106 transcript:CRE22692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22692 MGTHIWLGAKVKVAQFFNNNHINQIVTFLIIVAGLYVSMVSITGQNFLHPLSPVTSNTLNLQNAANEILHSIISCFMMVVLAVAAGKIVKFFYIPSLIGCMLVGIAMRNVPQFGELFYINEYWQFILRKLSLVVIIIRWGISINVRFIKKNYIFPPILGIGSAFAEALAICFTACVFFDFPISLGLICGFVVATVSPAVGMPTMLHLKEQGIGITKNIPDVVPAACCFDNFVSLFIFSVTSSITYTHDAFFATMVKSIGAIVLAALIGCVIGWLLRWFPKNDNRHTHFARFLVIASSAYAVITSMLVLGYAFPGIVAALCICCVSTTQWREDNPRGIKVLVHQFDNLWYFVAVPLLFSLVGYTFDFNQLSLADWKTAFILIVVGSSFRLISAMILAFCGHFNIYEQLILALTLMPKATVQCALAPSLIFMTNGFPELREQTKLIINICIIAVMVTSPVVEILLDILAPRILKIKERTSIYNRDITNSTILDELDSKQNLQMTKSSLNNSRSTLDNRVIYELNPNFVPISTEKKYPRPHYQYFNNTNTYRNGIRD >CRE22685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:29297:30674:1 gene:WBGene00063107 transcript:CRE22685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22685 MLKLERLLTIIYILLLNLCYVTSTFQDFLNLLKIVEGDRIECVHTISQSGIYTFKSDPRLPESECDITLEVPEGSMAYVKPSEKTCETTSSTNISAMCDRPHQEEQLGAGRHHFLFEAAESVTISIQITAIFRLGCAEWAKNLRDLVNTTVVLENNLNSKYCMIQLPPSLEITWVNYESTNRKKPCCPDVFTASADFNQNPIAYSDSYSACDLRTTRPSVITRCEATFMYLRQSAKNDRIYFRIQVRHKKTMDSCVPSIEEIEPSDFTCIKKPKTSRSRSKLLFV >CRE22686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:36508:43189:1 gene:WBGene00063108 transcript:CRE22686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22686 MPESPFRSGTPSKMPSAFGEKTYKKKNNMPRIIDRNRRVTVTTFDLAPIQVSKEEHEKERSRWLGKMEEVEKRLMDAETLNSSLTINKAELKKKIVEMEKGQRPMIDANRKLSDRNKTLQQEMKKVEQRFSHSRDDFLTLKDIHERLLKENACLKEKRVSPEKLEELSRYRGKVLEYSKCITALRSSAYEKDKRYEMLVQKFKRLQKCLKKSETDDDRMSNGGSDCSAASTVSLDTITEDFEEVFAKDIETDYQALYRENAELQRALNELQLNTSDLSEESFLRDQISFANSTIEQQQLVIDATQDMMSQTAQLKSTIAGQQEHIRCLETTIDDLKQQIESQTERNDVLEFQVLEMEENQKQQETLAEKSAKFESEKCQLLKELEEVKKAHATQLENLTSIRKELEEHKSAAPSVSPTASESEQVKALQVKLEQAEAANVTVNQKCNILRLFLIFQINEELRSASKELGKIRFQMQGKENDLNRERKMTEALSAQLQSVVSSSQEEALKKEDELKKLKATVEQQQSEIEKMSADKKEADQKYKNLEKEFAAFKDEQRPEIRTELERRFEEAKYRLKNALEKIHDYELLYEAAKKAESDGKISQHLEEELIEVKIKRQYLTLLFLFQVKEFNAHLERQFQAQSDIIEALKKKLLQHRSFCDKINKLSELEDASRIQEELVMFSRENNCDEGKLAANIALIIKDIRKNTFYSPLEISTAHHKIRPYSSVDSSAEWQSNSSEGIVSPDEHDE >CRE22687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:45412:47156:1 gene:WBGene00063110 transcript:CRE22687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22687 MFWFILLSSCIAIHAQQGLLLDLHPAAGPQFFEESLLSGKNGNKLNGEFDDVAVDSLMDPEGKPIHEPLRAHAMVLEEELRKEAAEIQRIMQDSEAENEKGEVTYTTWMWTIFGCSLVVSSGIVPAFLLPANIHEFLSSVQGQRRLNLLLGFGVGSLLADVFLHLLPEAYENNNNQVAIGLWTLAGYLTFSLIEKIGASTEEGQHQASSFRLCAYMNLCANIIDNFAHGLAVGSSFLVSTKFGIMTTITILLHEIPHEISDFAILLRADFDRIAAMKVQFITASAGVFGSCVALSLHTSNVPVIETLLPFTAGGFLNIALTQLLPELNEEKSPIQNLKQLVMIVTGVLTMSFLNCLSF >CRE22688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:51726:57655:1 gene:WBGene00063111 transcript:CRE22688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22688 MATSAEMSGTVQQIESIIQTIESKIEVSSQQHSPASNSSSTKSEDSGLDDGPKKSVSSAKPISRKSSRMSQSSGVSLPPVLEQLEHMIISDDWLGSETTSPTGLKQHAQVAKTRSREGQKLSELGRTLVTSASLKSYIDLLSSHHRQSIASWLYGNVNHVFSNLFRFTDSQIYCSEPEATISLSMRNGIGHAVKLALKSSYGTDYATKGWKAFVEQGAPVVYVSPALHMDISSYLASEFGISDVTILPKIESDLSEIEGRIDHQQFEKILDEDLGAGKKPLILIGVVGTTILGQNDMISKILEIRENKAKFWLHITGQAIAALTFREPNNILVHVLSQVDSMTFPIALWLGIPSAPVVTLHKSVEGYKASYREKLDSLPWWVASSYLSGKKIVDMIENAFLLSKVMLKGLSAIPEVEIVGVENPVDFANRVYKNKFSAPTVLIFKYNYVSIKNAIQHHKNLIQKNEDHDAISIAEKTLQDELEYGDYLNAWLRDGLLPESLPLGIDTIELGGNYGIAFRFCPLEHAATFSSHIDHVQRFVRKLTDIMRIVESTVAAKLKFSELKDDFPSLVLLPIRNWAGIGAVCYIPSIVKETNPEDWNLIQKQQISHLNLELVHQLKSVDAAFSSGDCTRYGVSCVKFGMLSDVKDLHNLVEMVAQKGKEIENSQQYLDSLADLIRHGIEAANKALREENDQRLQNEGMMRQLPIMGSLVNWWSPLTPESQNIRGRAFNLKTGEMQETDVLFKSKKNSDATPPITKNETPQVPHEVSSSKLEEEPSSPSSESDVPVAEEAVSSAEEAKPVESS >CRE22689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:64054:64924:1 gene:WBGene00063112 transcript:CRE22689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22689 MLSNEQKICVNLVVENMPEPIKQSPVIMDVVDLSMRHIMGGDPLESLQVQSVRQILANTAVLNDEKWRNYIKSHNLDSFANCFLNKSPEIMMSLFDHCQWAPTTARKIVKITFKSHHLLVQVNENTFFNVKFTDSEYVTPDWLPYILRRFLLDGVHSIDIKFEGAPEKLGRLQKYHDECFAVIKNCTYSARDNYVNTNNRVTWKYNSFRGSLSFAGHSKRDQSAQVFANFEIVLENNTERDVNEVLTADFCRFFVD >CRE22690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:73320:77105:1 gene:WBGene00063113 transcript:CRE22690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sex-1 description:CRE-SEX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NHK2] MSFEPHEFNSLGNTDAPLTICTTNSYYSPTGKGNIVVKAEMDQPEATTGQWAHSQNGVTYIKEEYPSEYQISNGSAQNANANYVDPVTNRRYFNNVNSYNHQQFYESRASVSSPATSVTLSNSSLSPDSLTNGPVTQRHTIGKAPTYCKVCGDKASGYHYGVTSCEGCKGFFRRSIQRKIDYRCLKQQICEIRRDSRNRCQYCRFRKCVEAGMSKDSVRHIRSKNKEQHLSPNSDGFVSTSSSPEPNERCLAIAEKIIQLHMSHSSYTDQKVRSMIAKSFNLECSNDLKTNRLNAWQIYAHEMDREIQNAVGFIRDLPQLSFLQGNDKAVLLKTNMFPIYLLRVCRALSAKGLMLEDGRLIDFKALTLLYGALADDMLKITNYILSIGTTDHDLALFIALMLVQPLNPAHETSSRFRSAIELVNIHDLYQQSLQIKMMARPDGHEVFGNLMKVIPSLNKLNEMHDKLINQMLRENSAILHLPVLFTEVFRIVPEAVPVTYNQENQYAHHPASQTAIEC >CRE08341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:313813:315854:1 gene:WBGene00063115 transcript:CRE08341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nucb-1 description:CRE-NUCB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MPI6] MIKPLVILVVVLFNGIVCPPPLRQQAQQAQAQDNAQQHNQEATGQQQGEAAQNTEQQGEYDGRHPGYQFAYTKYLEEVVKILESDPKFNERLKNMKEDDIKAGNIADHIDDLPQEVFDKLHKAKFDEIERLRKQIEEQIKVGNLSESFLPTTNKFQLDGGAHNIKMPDHLDVQELEKFHKEDLRKLIQKTVADMNAMDDQRKEDFKQYEMKKQAEEDHKLAQMTPEDREKAKLEHEESIKRHNDHEKLKHPGSKDQLQEVWEESDHLEKDQYDPKTFFALHDLNGDGFWNDFELESLFQLELEKMYNETNPDDDMKERAEEMYRMREHVMKQIDVNQDRMISMEEFLNDADNQNSNPPKQQEAWEDLGQKKVYTDEELQQFEAQYAKQQGWGEHAYDPQQVASDQIHQQPAQQQPQVAQQQVHPAQQQPIQPVNNHAPPPVMNAQPHVQQQQPPQQTPQQPPQQPPQQNLPPVHHEPIQDHTKDPTYGI >CRE03188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:10415:12416:1 gene:WBGene00063116 transcript:CRE03188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-myrf-2 MKQLARVIYGNVSREKVIRRTIKNRRAVNRRDMSEATNPGSFEPPETIDASWNKTGGVLSTIGPVVVGKSEPRAQLTVDGDIYSSGRVMCPSDIRLKDNITGKEAKEALENLQKLRIVDYFYKPEVAEKWGLSEDQRKRTGVIAQELAEIIPDAVRDIGDYLTVNESRVFYETVLATQELCRLAGDLDQKIDDKVDEISKRLSEYALKKKMLCSIASNLNSEGRSLTASRTSLDSSATALTETNTKRNRRANRKKNNKDKNDEKNKKEPPKSRVTHTTVIGLVGVMAFCLIAMSALYILDWHNRNFGYHHVTPKSTTPGPKEGPGNVVIPLDHYVPLSQPDAPPLVPFCPVSKCREYCCTDYDRDHAELEVADYDAKNVEENESGFKAETRSDFKIKGFGHNVRITLPELKMEIDERYCIEKSCVPKRRVYSLFIPITRWLPTVSLDVNIDVPASKFVNNCGHIQEFDNRKCDDQSKNKSDAQKETTDETPKKTRKESPNPISIELFHGNYRVTAGQWMQSAYRFRVGPSIELCSIEDNTFGGFYEEYNLIFYRACNKTNSSAIKTV >CRE03255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:8316:10216:-1 gene:WBGene00063117 transcript:CRE03255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-28 MKFPDGSEIIYCVRASVAPWFDNNYVEKKFEVRRSLILKMPHEASYAIEHMVNKCVEHGSILHKKCDPINGHISLEKTAFCHGEDIHVRWELKTEKSVIEHFTCKLAQLIEVRESDPSNYLATRRFFSFLKEQKSTTNSSISLVVPSECLISIPMSLWNVLVVKHHIVLEIKMQKRKQPVVFKYPIIICNEEVAKHKRPREPVPVFKRVQDVDDDSDDDDDYDSEDEEEDPPRRDYSVDIEKLSVTNVTESEQRPLERADVGVTFIMNSAQPQELRSLRLLLTGEVRVGGLWYEFLRFKAIANLSHKTLLSPGEHNFRFQLPFADSQYDTSILPPTLGDEIRYMIHASTESLRKNVFQQRCELLVDRFIDTWAKEAYKPPYTEEYGATNIHLSQRCFKRGKHVIVALQGSEPRYVKGSLVQKKRLRVPNVKLDESYCSQRTVRVVEEEIRRKNHIHVMHIPFNIPPSIEICYWNVLQIDYHYDVEITLEGGHTHNHSIPIWIGCTEDNLLVPTQPDELLPENSLVVDEPYEELPEFEVVHSEDTHEHPYWVERINTDTYYQN >CRE03256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:13266:15892:-1 gene:WBGene00063118 transcript:CRE03256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncs-4 MSSWKRRPAISFPAPGEEPVIGFDYKIDVPLLSPIHQHVFRPSSLQQVVDETHFSKNEVRAIYRAFKETSPNAVINKNIMREKFAELFPHGDIEHYSDLLFETFDNDGNGTINFQEFVKALSILCRGTMDEKLDWLYKLYDPKEKGEITWDRLFYVITSMDDLMGRHARPHHSREQKCERANQIFAKFDIGKKGRITKQDFFRVCKTDRTILNSITALYTLLPG >CRE03257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:16759:17518:-1 gene:WBGene00063119 transcript:CRE03257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03257 MPVRIGGVDPELTKLTTFDSVMDYMNSKGQIDRIDPAHYDTEDLKKWLLHYHNKYRTHHNAGNMATNGELNKAAQKWANEMAHRKQCLVHEQPSKFGENLSYFAATHFPSPNTCAAAIIQGFYTEGVGYDYSRFDASSWTKTGHFTQLLWKASVNLGVGVANVKRGSMHHIYVCLKYDPPGNMQTSDAYLNNIEEPKGKSCCIIS >CRE03258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:18114:19696:-1 gene:WBGene00063120 transcript:CRE03258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03258 MSLSLSLALFSLLFFQIPTTDAKSITVSVRQYTGLRRSDIRGVENQYYYFQFCTCPKSFTDRNLPSQVNKCTCSDAQKGKKLDDSIPQAKFFSSSLNCGTGSCINAKIDNECFSKIVVLSNVLLQQGETLFVKVFIGSTILTYPEFQMLSVRSTKSDVILKTKKSSKIRLTFTTETDKDEQSSRTAIERTHWSNSILHDAKGGALDFFSRLTNQKKLDFKTPSIPLDTDVRRLSNIGAIKSYLFSKSKTDKQDPARYDISKLKEWLVSYHNVYRAKHNAPALINDLVLESRGKRWADELAYHKGCLVHEQPRTYGENLFFFGARHLPSPQTLAAAIIQSFYLEGIGYNYSSWKPMSFFKTGHFTQLIWKDSRKIGVGVSVVKSSSIRSPCVSSSPNMYFIFVVVKYDPAGNFESQKSYLNNVERPVA >CRE03259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:20830:23048:-1 gene:WBGene00063121 transcript:CRE03259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tiar-3 MCLPSGKPPTPMLYSQQIPLKNLYSQFSAGLLDTHGATIPSLDSCPLLLQTASLPSLSHIGLPHSLVCSFAHFRLFLTPFFLFSFLQSFSPIDIHSTSTDSHLANAILLQESAVLHSASEPPMEMRIDTSKHFHVFVGDLSKDVSNELLKSTFQKYGEVSEAKVIRDAQTQKSKGYGFVSFPNKQNAENAIAGMNGKWIGKRAVRTNWAARKNSEENRDKLTFEQVFNSTKADNTSVYVGNISQQTTETDLRESFSTYGDIAEVRVFKTQRYAFVRYDKKECATKAIMEMNGKELTGNQVRCSWGRTQAVPSQALNPLPIDLSSLMMPTMMPTTIPMMQNPFLNYEPTTLLYSSFPQW >CRE03260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:28728:29587:-1 gene:WBGene00063122 transcript:CRE03260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03260 MNFKLFVVLFLAVSLLVSMSEAGKDRRKKQKQKDRAEDDDADEETAGGKGLENSGKGKDEKERTSVLEKQAEKKTDDDESSSEEDDEEDGKKDNSTVIIRKRREAAVILPTDAIEVQRKIRSMIQSYRAISADEGRILPTDNNKFKRDTQIQKSREINDGVQLPLDKKPSATASTSN >CRE03189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:31878:33923:1 gene:WBGene00063123 transcript:CRE03189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-erd-2 description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:E3MMM5] MNLFRITADVAHAVAIVILLLKIWKSRSCEGISGRSQILFAITFFTRYLDLFTSFYSLYNTVMKVLFLAGSIGTVYLMWVKFKATYDRNNDTFRIEFLVIPSIILALIINHEFMFMEVMWTFSIYLEAVAIMPQLFMLSRTGNAETITAHYLFALGSYRFLYIFNWVYRYYTESFFDPIAVVAGIVQTVLYADFFYLYITRVIQSNRQFEMSA >CRE03261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:34018:35815:-1 gene:WBGene00063124 transcript:CRE03261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03261 MSCRLLRRVHSAHSSFSIESRRRHGAAPSSCQISARYVCVCARQVRARRFLALEFQVSRKIFVHSSSDQIFFVLLHFDFVQLLATFTFSAVPFHPLVAKMTVPDDEKEFIDQILVEHNTRRQRHSAPSLEYNDELSEMAQQWADKLAKQAHISFSELSGVGENITFFPPDIDAESVVEHWYQEHEKYEYETPGWQTGTNYFTQVIWRSTKEIGVGCAYVRKTHESDEDNTSCSNGSVCKSMTSLISNGKLASEGDKVIVAFYRPAGNNNRSGQFAANVLKPIH >CRE03262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:38574:42945:-1 gene:WBGene00063125 transcript:CRE03262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-115 description:CRE-UNC-115 protein [Source:UniProtKB/TrEMBL;Acc:E3MMM7] MGKKCDICRKKCSGDAYKANDKFYHTNCFKCKRCGRDLAESGYYTTPEHALLCPDDFRAASRELTVRTSQPEHASSSSAATPKSPEKSNGTTDVSSTGAANATLQQISPLGSPTTCAACDQALHSGQVLLALGLSWHVYCFKCSECSAVLHGEYMSHNGKPLCLRDYNEKFGVKCYECEKFIAGKVLQAGGYKFHPTCARCSRCGSHFGDGEEMYMQGDEIWHPSCEHARTTENIAPTGRAATLSRNEPKYQSTFGQHQAYMYLLPEVEQTYLRHPVMNPKEPNAPQYHVPQGPIKIRKSRLSMLKTGMQRLTEDLEKNIPRPKSPHMDNEEPIELAHYPAAQVPDPDKLPAIEREDFPAPPYPYAVEELKRRLSTSSIENEISDDEYSESDKVDEDKLRKTVETLEKYNDSSIAHVIKQNIEDSHKKQRLPLHWDPRNASRTPSGKKMPHLKFRYDVPINASPSRHLNRPRPWVVWQGGERDHGNNTLPCFHIPEDSRANTLRAATLPTEFGQNLSLENLDTTISSHYSEHSMTESGTAGGPRSAGGAALRSSLPDMSKPAKQYDLVILQTTNSNLPDDVDRQHLERHLPRDQFEEIFKMSLIEFYKLPEWKRINLKRKHKLF >CRE03190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:49067:51232:1 gene:WBGene00063126 transcript:CRE03190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03190 MSEAKKAVEKHANGKPVTGTEDEGPMSGYSSGFFSDSSSSSMERSPRNLAGMNRAEPFALDPLPVEPRSPNADTDWIKEVQGSNAFIEGLSQNKGGVLSNEPADCVEFQKGAIVNEKDIEENMLAGKIVVIRSVNKAAIGLVLPDVTLDNVAKLFKGSDGEYKVRNSKTGQFAHMTIPKMIEYMKENEKCIENDNVNIMNLLSFECTKITRVSSTIKLPPFVQNLSMIKQLKAAINERFGTLKTGSFATSDATPREREWLKKLQNHIPSYENFYIISMKGAFTDLHVDFGGTFVYYFVHDGKKVFYVAPPTAENIEAYRQLEITGKSMWTDNPKMLKNFRKIELNPGDLAFIPTQWLHFVYTPCNSLVYGGNFLTESKLNEHYKMMEIEEECLKNKSGKSEEMFKNFWDMQFAYLKLVYLNGAISMRKANVLLLGPYQSVGHQFLTTLIEKIHEPTINGLFSADDKTELLNMLERVLSKKKFDIVSLNKENDFYKLDCFKKKPFKSEFTPKLVGKHTSSNPVEALSIKRKAEMKSKSEIPSTKKPFKE >CRE03192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:55552:57910:1 gene:WBGene00063127 transcript:CRE03192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03192 MISLLSLSILLTLFHYSTSSSVDWKDVFQPKPLHDLSKQCLNDTETWIQSLAVFATVSEECLTEQNCSVKELQILKNNLYAVQGFSIWFKPLYIFNFQNWTPLFACQNLVTNKTWSTFLTNYHHIHSQLVNHTVRNMIGLKKIVSIDIPFRIFLIVMVIIALSATALDYVRDTVYGIKSGQEKNLLLKILISFSFWTNAELLLSVKEQKPGFIKSLDCIRLFSMCWVVTGHSFIYLIFSDTFMPVIDFPKHFWNHLLLNAFVSVDTFFVLSGIVVTYLFFKSKPKKRMVANPITWIMFYVHRYLRLTPPIMLFIGFFTVYAPYIQGPFSASQLNQLVAQAESCKTSWWQNLIYINNFPQDVTCYAPTWYLAADTQLYLVAPIVLIGLYFSFAAGTGLIVAGCVGSIIATYIVFGVYGLPADMFGNGDVQNFFSIVYNKPWIRCPPYLVGMLTGYLLAVYGNRKIRLNWALSVAGWIVAFVIAAFCLFATYDYDKGSYWSVFTRATFYNFHRLGWGIFICWVVAANHMGWGGLISNFMSHPIWQPFGRLSYCAYIVHWIVLFYFLNVGGHPIHYYSAWEVVSNRIKNQTSLIIKLQFTYTSIPATLLSYFFAFFWSCMFEVPTLKLEKMLIESIMGTGRTSAKLDPIVENGKVTPVLESKKQESSWVEEIKEDENTKV >CRE03194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:60974:63366:1 gene:WBGene00063128 transcript:CRE03194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03194 MTKTLLDMPDVAITEIMSFLDYMSIQNTKKTCWKLRNFLKAVQFDPRIRSISFYEREDRIIATMSTEKVWNGMEGRSVRLQYMTKEDGCKVTWQPTRHEQKVTIVKNEHYKDVFWRDLKILLTSVRTTLRSFSVTTHNSIHQNVFATKLEKILKLRREAIQVETISIDAFNPTEVAQILQCFDSKPLVWLVINNTQSTDAVMDITEIVRLDHWKQAKHVTMDSWRFPSVQMKHFAHFQISDIHYTNFIAHKIKIVKEVSNSGYHFFHESFEMDYFTDQNPVPLKTTLEMNVIVQIEHWKNIEEFYVEGLVVNASVRNFAHISRLKTKIMTMFMTTTIYKNHVYDYKKFEDKEQLDNIFGPLIIDPEDDGERNGSSILQE >CRE03197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:82663:86699:1 gene:WBGene00063130 transcript:CRE03197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-58 description:CRE-UNC-58 protein [Source:UniProtKB/TrEMBL;Acc:E3MMN5] MFFYSPNVATSSSTTSHRRPTLTHQTHLHVGHLDTRLSVQIPEILSRSFAHHPERARLVPEHLRFLVDENNELWTGNQPPAGILELCASPVSSVFSTNETRRMSQRKLILRRPTISIQEDGKILIDHVTARWEGANINSQSALLDADDGATVITDTIKDDQEDKEPKSCPQQTVKYIKILTPHVILVSVLIGYLCLGAWILMLLETRTELLARSKKLVRLTNLMSNFTAESWKMLSNAQHGSNTMDEGEWAATFREWMVRVSETVDDRRPIRRELNRPDDLSNMHNKWTFPTALLYVLTVLTTCGYGEVSVDTDVGKVFSVAFALVGIPLMFITAADIGKFLSETLLKFVSFWNRSVRKVKQWMSRVRHGRRKSLQSTGGQNDTLDILGVDGTEEKLWFPIGAYVSCICLYCSMGSAMFINWERTWSFIHAFHFGFNLIVTVGLGDIVVTDYIFLSLIVAFVIVGLSVVTMCVDLASTHLKAYFTRIHYFGRAKRFLGMSEELKEIVALLGAMRRKKGGKVTWNDVRDFLDNELRDRPFEPHELLMKLRFIDETSSGMSTIRHNSFQSDFFRESEYIRRVAALRPEQPAYL >CRE03263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:87431:95878:-1 gene:WBGene00063131 transcript:CRE03263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03263 MLSCANGDFKRDRLRPGRMLLVDTHLKKIELDEDLKTRIALSRPHKQLSSSRIYLDLIRKDDVLSHGAVTNEFLNRLHLEQVNPGLIKKKDVHLDSDRRLALYAYTHDTFSLLLVPMIKEKKEALGSMGNDAALACLSDYSPLLFSYFQQLFAQVTNPPIDPFREQIVMSLRCPIGPESNMLEPDAELASRLILEQPVLSMVDMEVIKRTMYKGWKSKVIDITYPVKYGVKGLVPGLDAMCCVACTAALDGYQMLVLSDRNASAERVPIPSLLAVGAIHQCLIRHRLRMKVAIIVETGEARVVHDFCVLLGFGADAICPYMVYETMYRLRNLGLLDKELNDDQVYQGYRQGVERGIFKVMAKMGISTLHSYKHAQIFEIVGLAKDVVEMCFKNTVSRLGGATFEILAAEALKRHRSAFPTTSDASFGDSKTLVASGTFHWRAGGEKHINEPLAVAKLQAATRLNNSKTFQEYSQASNMAQRWCTLRGQLEIKTSKKIQIPLSDVEPASEIVKRFVTGAMSFGSISWEAHTALAIAMNRIGAKSNTGEGGEKPERYRKDQDPNENLRSAIKQVASARFGVTSSYLANADELQIKMAQGAKPGEGGELPGHKVTKDIADTRKSTAGVGLISPPPHHDIYSIEDLAQVKELKGKQFDSRERYYCPFCFSLKSFPIISFLFFQLIYDLKCANPVARVSVKLVSEAGVGIIAAGVAKGNADHITVSGHDGGTGASSWTGIKHAGLPWELGVAETHQVLTMNNLRSRVVLQADGQIRTGRDVMIAALLGADEFGMSTAPLIVLGCTMMRKCHLNTCPVGVATQDPILRAKFEGKPEHVVNYMFMVAEEVRYFLSKLGLKKLEEAVGRTDLLYASSNPVNKKATMLEFGSILKNAQQMFPNVSIRGGSVKQVIELGALETRLLTEIAEVFSENGHHKVFDNEMITNLDRTFGTRISYEISKRYGELGLEGSRSITINLKGHAGQSFGAFLAKGVSITLEGDANDYVGKCLSGGKIVVFPPKNASYKSEENSIIGNVALYGATSGDCWFRGVAGERFAVRNSGANVIVEAVGDHGCEYMTGGRVIVLGTIGRNFAAAMSGGIAYVFSQENNFSRLINAATVDLDDASTEDLIFVKSKIEEFVKLTGSELGQRILTNWQKEHQKIIKVFPKDYKRVLAEQEEARKKQAELDKANLDMSLLSVDDSKPRTRTLSMDMQIAPTIRLHNKKQKDAPRKKSLLGDNRKQRRLSKSLRPQDLAGFENEEDLQDAADLEEEEESKSDLEDHSDEEEFVEKKPSVDIESLGVPAHLRKKDEPLDKLRGFVKYNRQKKIYRDPKERLNDWDEVYDFEAIRSNIREQAARCMDCGVPFCQGHSGCPLGNIIPKWNDFVFKKNWRQALEQLLQTNNFPEFTGRVCPAPCEGACTLGIGSPAVTIKSIECAIIDYAFMQGWMKPSKPAFNTGKRIAIIGSGPSGLGAAAQLIKVGHTVVVYERKNRVGGLLRYGIPTMKLDKFVVDRRVQLLEQEGVRFLTNTEIGKHVPADFLLKENDAIIVCTGSTTARDLTVEGRDAKGICFAMEYLEKSQRRRAGDDVAWEGLDPSNKKVIILGGGDTATDCIATSNRLGCKTVGAFEILPQPGPERKPENPWPEWPLIFRVDYGHEEAKEKTGSDPRTYSVSTKRFLTTTNSAGVKVLTGLEIVDVEWEKDDKGAWKLVEKTETIRTIECDLCILAMGFVGPEKTVIEQLNLKTDPRSNILTPKDKYDSEVAKVVFAAGDCRRGQSLVVWAIHEGRQAARQVDEYLMGKTTLAGPGGIVTAPIQHKNAI >CRE03198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:96124:96691:1 gene:WBGene00063132 transcript:CRE03198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-2 description:CRE-FLP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MMN7] MQFSGILTTLLLVILAVIVSPFQFVQPKRILPIPTSRDQLLRGQLAYLKGTTVAQSANDNNLGPFEASMMAKRLRGEPIRFGKRSPREPIRFGKRFNPLPDYDFQ >CRE03199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:98448:99262:1 gene:WBGene00063133 transcript:CRE03199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-3 description:CRE-FLP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MMN8] MISVNQLILLSCLGCAVVVASDATPKRSPLGTMRFGKRAVGDELAYEEDGFYPGIMWKRSTADSEQVIRDQRTPLGTMRFGKRSAEPFGTMRFGKRDPESDSPFGTMRFGKRGADDTPFGTMRFGKRGDGETPFGTMRFGKRSSEPFGTMRFGKRGDDGTPFGTMRFGKRSSDEPLGTMRFGKRSSGDSAPFGTMRFGKRTPLGTMRFGK >CRE03200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:103789:104117:1 gene:WBGene00063134 transcript:CRE03200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-28 description:CRE-FLP-28 protein [Source:UniProtKB/TrEMBL;Acc:E3MMN9] MFSVRSILALFCLLILALSTINAAPNRVLMRFGKRGGNSEGHLGYRFVPAAASTYAEYIDVDDVLGGQDRF >CRE03264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:104722:106810:-1 gene:WBGene00063135 transcript:CRE03264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03264 MVILTKEQKQEAANTGLWLPQLERDACGVGFVCSIKGVTSSKIMSDARTMLERMAHRGACGCDNDSGDGAGVLTAIPDDLYRKSVKEQDGSDLPPFGQYATGILFLEEESYKQAKEAFQDLARACGLRVIAWRKLGTNRECIGEEARKTEPLIRQVFVSAEYAESDPAKFERSVYLLRKQAVSSMTKQEVECYVCSLSTSTIVYKGQFNTHQLFKYYEDLTNPEYQTHLALVHSRFSTNTFPSWNRAQPNRILAHNGEINTLRGNINLMRAREGVMKSKHYRDDLQKLFPIVEEGLTDSGCLDNVMEFLVHAGGRSLPEAAMTMVPEAWEKDDDMSTEKKHFYRWAAMSMEPWDGPALLAFSDGRYIGAILDRNGLRPARYYLTEDDHLYLSSEVGVNDIPIESVVKKV >CRE03265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:112050:112991:-1 gene:WBGene00063137 transcript:CRE03265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-176 description:CRE-COL-176 protein [Source:UniProtKB/TrEMBL;Acc:E3MMP1] MFEEKLLVSIASAFSTLAVVACLIVVPSLYSTIDEIHNEVLDGVRVFRVETDSAWTEMMDIQITVTPPTKPRVNPFNSVFRQKRQTFSGLPAWCQCEPTKPTCPPGPPGPPGPAGQPGTPGAPGPKGPDSTTTYAPITCAPTQRDCVKCAPGPAGPSGPAGPAGPKGPDGRPGQPGSAGHPGAPGQPGPKGDNGAPGAPGGSGQPGHPGKDGQRGRGTAGAPGAPGKAGPAGPAGGPGNNGSDGTPGPAGPAGNPGTPGNKGSDGHPGTPGAPGGPGHDAAYCACPPRSAVFVSRRH >CRE03266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:115115:115867:-1 gene:WBGene00063138 transcript:CRE03266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dao-4 description:CRE-DAO-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MMP2] MRFALFSILCLGFAYFSYTDAYLFDPKAVDALLREIRARLQATGDDPHAKKIEQETEEIKKEELMQAEGDVEGSGSGEEIEGSGEVVSNVTGVPIMEEEGKVDKKEKEAFPRPEPIFDKYGNLKSKDQLESLTYSNFKKQAPITLQGNQQIDSLFSNLTISDHYNKNPTGTLQMLQGLDIHGNSNLNIVSVLLFLLLGASGGYHRALSGGYLPPATYDPYNVNWHSYGDEGVKMKDKAISVFRRVIAPGV >CRE03201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:116905:118066:1 gene:WBGene00063139 transcript:CRE03201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03201 MSEFISDSNFNELVVDTTLDNRNDEGPMTLENFTKLMVQFYELGWMRGSGGAMGCISGKELMISPSALQKERIREQDVFVYNISEKTEVQRPPNKRITVSSCSVLFSLIMKETGSECVIHTHSKSANLITQLIKTDSFEISHQEYIKGIYDPFSGKALKYSDTLSIPIIDNMPSESQLLEPIRGVLDNHPQAIAVLVRNHGLFVWGPTWESTKIMTECIDYLLELSIDMLKNNIPLVNEGAFEKEDNLSEKLRTLMFSDMAPV >CRE03202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:120774:126938:1 gene:WBGene00063140 transcript:CRE03202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03202 MGTEPSGSGRIKVDEHYPSGTVSSHLWDPKPFSELDEPPVFDVKKIENIRANVKFDTLYQVTKLLGDGKFGKVYCVIEKATGKEFAAKFIKIRKEADRTEVEREVSILTGLRHPRIAQIYDAFYTTTNDVVLIMEIVRGGELFDRVAEESYELSELAVVMIICQLCEAIDYIHKQNILHLDVKPENIMCVSLTGNRIKLIDFGLARHYDGTQELKYMAGTPEFAAPEVIKFEKLDYHTDMWSIGVITYILLSGYSPFLGDNLGETYCNVEKGVWEFTEEFDTVSEEAKDFVTKLLIYDQSKRMLPHECLQHPWISKHRQKAACNTILEKPLNAPTLDNKQIMRYNARRKFRRMIIYVKFLIEMNRLRNSLKTRMSANGHKFFDPLLKMAEKKEQKITNAIGSVSTPATGLGSLLKMAVDSEKKKNGDGVTQATTMSTDPEKMEKKKKKKIVNADSSTKTEKTPSLKKKKLSENNDDTSLRPPPEMLTKRASTGGESLLAAVKVLKNLEVAENGTTPKRKSLTSVKEEKIQKEAVVKKPAESAPTVYKTLETTENGDIRRKSAPLKQDTIVVSETKKTAPKLLETAPNGEIKRKSLVTKDEKSEKTEDIRKKSSASVKETKTVELSAGSVKSDKPATLVDENGEKIVKRKKKVTTVTAELEKPKAPVEVKDEKTKLLNGNADISEKRRSLSSKRASENPASEMRPLPIVDVSPKIVVAEKKEVKEVVKSKTAASSEEVVPETKKPARQGALRKRDKSLDDVLNAALTPTTLSKSTETAIKHSVVETVHPNGEIVKSEKVLTKKKSLKSERSTDDHLPVQVLEDQAKIPKLKTNVVNFEAKPVKKSSRIVKLIPEDAIATVKDDKKPTKIVMASEKQATTTVQTTTSVKRITKSSEKKVEHTADGKSVESSQKKNSQKDDVKISQVTTKKEEDSTQPVPKLTVKKNVLKQSAEKSVSEKDHKTTENKDEEKVVKKSSTVKNKDVAQVTLQQSLKVNGDQTKDSKVTGTKTVVNKVELKGEETICPKKSSLKKTTAKLTGETTNHLEISKDGKVGSLKTKDTTSLKLSPDSASDTSSNKNVRIHLTDEQSVKSSVRSHPSSISTRDSSEERKKVRFAGDVESPTKLAPPPLFGNGLMKMRSESSLHKKLNEHNSKKSHDDVSIVRKTSLFTDIEYQPREDFSFEALKEKLTRRVSDGYDDNGKRVKQMVAIPPTNSVKDKLRHFEKKFAK >CRE03203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:127350:127843:1 gene:WBGene00063141 transcript:CRE03203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03203 MRSLLILLVLAAVAYSQNSDRLRCGFSCSRRTVVSALIDGAPGTATCSENTSPSRCRGCCRARALQEGLTNVGIVCQVNAYHETYRFSLPDSFPTTKEVASAASTIPTETVVVETLSSMERNKNHTIILSINVFITLIFCRNVSQGA >CRE03267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:137224:140276:-1 gene:WBGene00063142 transcript:CRE03267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cbs-1 MSQNGVAANNGSTRKGVTYNNILEAAQRPTPLVPLRKLKVEHQLQSDIYVKLEYLNIAGSLEDRTADKAFQFAEEIGVVRGDKVFVTAGGSAAISYATVAAVKGIKLTIYAPKGEFALVDTVLHTLGVDVVELPVTTYSEARAQTEEAAQQKNVFCLNKFTTNAAFVANLQKTACEIERAMNNKSIGKVGAVVIPLNTGAPAAGIAAYYKGTGDHGVRVVGVTCKKDTIPEMGLDLKKDLLQEYGVEQREVDEDEAYAFTRHLIGTEGIMAGPSSGAAVLEAIKLAKELPAGSTIIVVLQDGIRNYLRHFLDDDWITAHKKNVVTRKDGPQPNSTYDPKVLEYDPTKLAGEWTQDPVTKSWSHSDVEFNEFNPERPLVLDTVLDAIGKTPLVKLQHVPKAHGVKCNVYVKCEYMNAGGSTKDRIAKRMVEIAEKTGRPGKLVPGVTLIEPTSGNTGIGLSLASAVRGYKCIITMPKKMSKEKAIAMASLGSTIIRTPNEAGFDSPHSHIGVALRLKSEIQDAVVLDQYCNPGNPLAHYEQTAEEIIYDMGDKHIDLVVLTAGTGGTVTGISRKIHEKIPTAKVVGVDPHGSILAGPAETDIDFYEVEGIGYDFLPGTLDTSAIDYWAKSHDKESFLMARELIRTEGILCGGSSGCAVHYALEECKSLNLPADANVVVLLPDGIRNYITKFLDDDWMNERHFLDA >CRE03268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:141692:144094:-1 gene:WBGene00063144 transcript:CRE03268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gnrr-3 description:CRE-GNRR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MMP9] MNNSTAEAFVMMHSTSEIIEMFYQLAFFIIGTPINIFAFVKTSRNVREGGVESRLVKLSRQLLIAHIMVLFMYGIWRSYWIYNIVWTQGDIMCRVFSFLCALPFHLWSNMVAAIAIDMLCCITSPLSSYRTGANRVNWLISLAWGCAVVCASPMSVLRGTIRINGEENMYQCYPRTDVFNEDILIAFNLFHVITSFYIPLFIVIICYLAIGFSIRKQMAERRLLQDGGQSGQKTTNTKARFLKASVAIICTFLFTWLPYQVLALLRIVCVSDECQEMVSKMNWLQAIIIASTCINPFLYRFGTEPKRNSYYCSTMDTAGKEVCMTVGCFSPHSLLSPFKSHGYALIKSRGQPVPHTVPTKFTSRSKMRSLLQEVGLSADRRRFVKPKPNGLLLPQCGHGAMQPNNRTPPQSRLAVHGQRSENRRASQIDSRTPRVMRFRCTGSLDGKVDRC >CRE03206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:146013:155565:1 gene:WBGene00063145 transcript:CRE03206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03206 MKLLLFSAICLYLTVSQPVPVVKDPRARVVPELDIIYVEWQAGSQPQNTMYTIRHKSGSDQAAWKYIKTVESNVRIPLGTYNDGENVHIQIKTERGAQIVEDWTKEIIVDVARKSVRSAPLRPVPPAAPAAPSPNHHAHHSHQHAHEHGQHHAPQQNQHHAQHHTSHHPQHPQPVQAHAQPPQAPASPLVHAPGALVPPLNFTANIMNPTTVQLKWQPAMPGRQGIYYLVNVKQLTTASGSTLQNQQIKTAATSFTLGKMIAGEKYEMTIRSATSQDAISGTASIVEINMPREDDYFEIGNLIISSHFRTPTQGIVNLTWEVPPTMQNRIASYNVEYAEMGNNRYWQKIQFHGASSSAALHHLKSNTEYLLRIKTVLANNIVTESGQFRFRTPRVETNPIHKVDVIYSHDVNSVKLQWMLEPHIRPENVAGYDVYLSQDKDLPDSQWKLVRLNNKESHLSLEDLKSSTVYFVRVNVRNTDGSVIRAPSVYRFKTIDNTDEEEFEGNSLAYRNVAPGEVEIRWTFPKSILDSVIGATILYTNRKDLTPEQWQKIEIEDSKNTTVLIKNLQEGVRYSVQIIPRLYTGDYDFESRELFEFKTDKMTRGVNQGTDVRTLTPHGHPLAGPHFEQMSHSEAEFMRIVSCNPDEIKSGCAWDEICINRVEDRNKGWCISNTLRDSILNS >CRE03269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:156360:158217:-1 gene:WBGene00063146 transcript:CRE03269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mkk-4 description:CRE-MKK-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MMQ1] MFYLASFSLVTNYFNRRVFFFQFILSIITAPLLTHVRLLLVSKKKFEETEEKLIIFCVSELMVQEDDDDLRLSMSLRPTTLSTRPNSLSVNGNEKAVPEESVLRSLSTGTLKYPDDEHFYTFNSAHLQDLGAIGNGNFGTVYKMRHRETNKLIAVKRIRCNNIDQREQIRLLREHDTIVKSEKGPNIVKFYGAIFSEGDCWICMELMDISMDLLYRRVYDVKQSRLNENVVGHITVCTVDALDYLKKELKIIHRDVKPSNILVDGHGAVKLCDFGICGQLEDSVVKTHDAGCQPYLAPERITSSDKYDVRSDVWSLGITLYEIATGRFPYQQWNSLFDQIAAVVNGDPPILRPDSGDMNYSLPLVKFINTCLTKERRHRPKYDTLKSFDFYQIYAKGGPEIEEAKEILGVEAIDTRDHPIDIRG >CRE03207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:160461:165275:1 gene:WBGene00063147 transcript:CRE03207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03207 MLKKILDPAAPLACNNFYEYVCEKKIPRIPSRVRDVRWLELSDDIDQYQDYVFQTAQKQRFWDVANPDIISKWLVQFDTYWNGVKWTEHVKRHEDFLTFDYPKKRESLEKYFEPYNFMFQTLTRFYKSLKQSVENLEDLKVPISTHNHFYHSIFDEIRDIHISQIRSSSKYNEDQKSVLIDRVKNLKIIFPYLEYNNLTVWREAKTAYEKEYYRLKAVVDEKNIPAEVVEKLIRVAAVNPAMEIFEKYISTFTSRFVFQHVSAAAAMLSFNYQNEIHISVFTMFNPVADPQAVYNQFLYVLCHELQHVHYNVDPPPFELSTWESEKQCVVDRLDYYANAYKANSTEWTGAPFSYEDSANVSGLRLMLLYIAQRTSDSNEIRDTVERSVGRFCTKKRENVYHNPHDVSINVAVSQMPTFNALYNCTPGERMYVAPENYCKALNMDIDVNDYTTQKIEKDDLFVLTQFMDVLENTADELDAANITAFEGKLFDITVENVEEKEEQLEGSAESSESSESSESSEEHEMDEISKELEDGDEEAEKAEIVSSSRYELSW >CRE03209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:173106:175443:1 gene:WBGene00063148 transcript:CRE03209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03209 MWAHHLVIPLIFLHGIQCEVDRSPSMLAHYMNLLSIGESWIAATFDINSNGTFFYGKNADHELEVGFRNKTLYMMLPISKSPIQNKNWEKRYVIDLDSVGKIGPSLELIITFQHNHIHVYDGCDEIFAGFEPHLFLSLVQAKVQVVENWMGPLPKHFQIGSGWPIGQKCKQDGVKKKYAESYSEEIEKHFQPSTFKDLDEIFFEQVVIAPNGFNGCKINNALLNINETKTLDCNICTCLARDDVVCHAIECPAVACAHPMMKKGGCCPTCGEQCFYENHKIANSHGEVFWPGDCYRCQCWDTKVQCSSEYATCEPPSCPEEDWVYNEKFNCCPKCRDFARFCDTHPCHKYATCTDSKRGPKCSCNTGFQGNGTYCEDIDECAFSQDAREQLGGCLAGSVCRNVPGSYKCDCLPGYQMIGEHTCLPLIRV >CRE03270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:176330:179755:-1 gene:WBGene00063149 transcript:CRE03270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03270 MTEKILFYVYRDSGTSSENLIRSIVEELKSFVFSGRGISFVSKGYSGIPLLGELPIDSPEDDIWKVLAILFKISAIEEGLIFKVHTENYDRNPLVTEARKSDVLPTWAHTAKHFAENIFGMNGVIHLISPTVADKFPKRSQIDMLRAVPNETRNILVTESLSEKLNSAGSRNFGMHNTSINVPVSMAYVARERPDILSLAIREFIGTDEKKIKELEKRLVDEQDRVMIHTIINETDWKEVTAVADIESPTDIVSHRVSLALLAFDEKHSSIPNGIEVPVSGLFQKVSDRFEREHLESLRAQLFGQPQSSTHLYQTAKALVTGNHIQECRKIFVDEGSTSNRESDCSGNEEDNSNTKYAKKQVFKKKKRSAFGKKRELAAIIDHSNALELPSEPEYLQPDNSAFLKKFERAVNGDDYYKASSDEYSLGEEEDLELFMAKPRKKILEEMRNKKKAQLGIKVSERLPTVSDDDEIDVADMLRAAPPIANAEDFEDFDDI >CRE03210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:181800:188286:1 gene:WBGene00063150 transcript:CRE03210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grk-1 description:CRE-GRK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MMQ6] MEIENIVANTVYIKARESGGQKKGKSKKWKNYLQFPHYTECLPLKTEIELSYAFIVEKQPIGKLLFHEFCQATNSQYHQCCQFQTKVEEYETSDDDGQSRRDLASAIVSLLHSKPEDNPSCSQDEQEIWCSFLSEEVISTCISTADSATHDDEPRSDIFTEPYRLTCDYLAEEPFKEFVESVYFYRFLQWKWLEKRPVDKHTFRLYRVLGKGGFGEVCACQVRASGKMYALKKLEKKRVKKRHAETLSLNEKQILQRINSPFVVSLAYAYETKDALCLVLTLMNGGDLKFHLYNLMPGGFDEKRVQFYAAEITLGLQHLHSERILYRDLKPENILLDDFGHVRISDLGLAVEIKDNEPIKGRVGTVGYMAPEIVKNERYTYGVDWWGVGCLIYEMIEGKAPFRQRKEKVKREEVERRVREDQEKYSEKFSEAARTLCRGLLHKEPGFRLGCRRVGRPEDGAEEIRAHPFFNTADTVTGREPVPWKKMEAGKVTPPFCPDPRAVYAKDVLDIEQFSTVKGVRLDATDTQFYGKFNTGCVSIPWQSEMIETECFAELNTFYDDDGILVWNLRADGINLEERKNGTSKPGFFSRLFRKKNIEVTKSLHDLSHLGVDPQQPKTSAKAPAVRSSRAASASGRTSMI >CRE03211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:193136:194749:1 gene:WBGene00063151 transcript:CRE03211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03211 MQDQSEEYGAIVVSRVAMEENMFDPQPDIPRIRIDSTHSYQQRESMLEKLNTYYTLAVVIAQLTIGVLGNSLTLVADATRIFADHLELFQYDRSATPGKRLTEIITVGITNVVLFLLFVAFLLTASGRAATMDFDINRMYLSIGTALAMTANTLQTLCHFRTWQRERGYNFSLYTGSKRNQLMHGFVYHFVAYFVLVSSLLIIVNKDNLIADVVTTYITSILILTNVSSIGYQLYHEYFALEHPQDEYEQL >CRE03212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:201372:206243:1 gene:WBGene00063152 transcript:CRE03212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mgl-1 description:CRE-MGL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MMQ8] MFSVLALATQILANVNVIAQTAETISLAPPAKVRQIRIPGDILIGGVFPVHSKSLNGDEPCGEIAETRGVHRVEAMLYALDQINSQNDFLRGYKLGALILDSCSNPAYALNQSLDFVRDMIGSSEASDYVCLDGSDPNVKKQSQKKNVAAVVGGSYSSVSVQLANLLRLFRIAQVSPASTNADLSDKNRFEYFARTVPSDDYQAMAMVEIAVRFKWSYVSLVYSADEYGELGADAFKKEARKKGICIALEERIQNKKESFTESINNLVQKLQPEKNVGATVVVLFVGTEYIPDILRYTAERMKLTSGAKKRIIWLASESWDRNNDKYTAGDNRLAAQGAIVLMLASQKVPSFEEYFMSLHPGTEAFERNKWLRELWQVKYKCEFDIPPGTKGTRCEDIKQSTEGFNADDKVQFVIDAVYAIAHGLQVLKHLFFITKSNFKSMKQAVCPDDAIENHWISRYSKQPEICHAMQNIDGSDFYQNYLLKVNFTGESFISIFFSHILLFEDIVGKRFRFSPQGDGPASYTILTYKPKTMEKKRRMTDDESSPSDYVEIGHWSENNLTIYEKNLWWDPDHTPVSLCSLPCKIGFRKQLIKDEQCCWACSKCEDYEYLINETHCVACEQGWWPTKDRKGCFDLSLSQLKYMRWRSMYSLVPMILAVVGIIATLFVIVVYVIYNETPVVKASGRELSYILLISMIMCYCMTFVLLSKPSAIVCAIKRTGIGFAFSCLYSAMFVKTNRIFRIFNTRSAQRPRFISPISQIFRVFSGWRHHYPTRDQVVLTCNVPDHHFLYSLAYDGFLIVLCTTYAVKTRKVPENFNETKFIGFSMYTTCVVWLSWIFFFFGTGSDFQVYAVPQNNDLQMFQIQTSSLCISISMSANVALACIFSPKLWIILFEKHKNVRKQEGEIMLNKSSRSLGNCSSRLCANSIDEPNQYTALLTDSTRRRSSRKTSQPTSTSSAQDTFL >CRE03271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:206329:208641:-1 gene:WBGene00063153 transcript:CRE03271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pssy-1 description:CRE-PSSY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MMQ9] MTDDDLGSPENMSPRKRNVKKRNSNELSPDDRVGDAAATTEAEADYDDEDEKRVRRIKSRRQLERTHFQMVNERVVEDITVDFLYKPHTLTILGVLVVFISYKAFTGFTEAQNATDRNVYDGLLGTLGLFLVVSAMAFPNGKYINSPPEITFISFSGPFIRPHPVFWRIVFGVSVVYLMLLQFTLFQTYTDIKTVLTWLDPTGLGAENLDEKDYAINCSDVSIERIWSHMDIFAVGHFTGWAMKALLIRHGVLCWYISIAWELTEIVFTQLLPNFAECWWDAIILDVLICNGLGIFAGLQVCKFLSMRNYHWESIRNTLGHRARFKRVVMQFTPESWDDFDWGSFTQTIKRTMAVYVFVLIWLLTELNTFFMKHVFSVDTKHPVVFWRLILIAFISAPSIRQFYLYATDPLIKRLGMQCWVYLAVCALEAAICVKFGISMFPRVAITPIVIWILFLVVGTFFSVWFSVWWAKKSSATTEIEIDGENCDIYLDSSHENLGAIHEDVRRRRRDLGFSESDIN >CRE03272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:209868:214242:-1 gene:WBGene00063154 transcript:CRE03272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03272 description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:E3MMR0] MFNTRWKVLIFLTLCYYQKTYGEELDKKKLQKEAYDMFMHGYNSYMNYAFPADELMPLSCKGRIRGVTPSRGDVDDVLGNYSVTLLDSLDTLVVMNELDEFEKAIDLVIKHVRFDSDHVVSVFETNIRVLGGLISAHVLAELIKEKHPERLQTYDNQLLKMATEVGNRLLPAFNTTSGLPYSRINLKHGMQDHLKRQKDTCTACGGTMILEFAALTSLTGDPIYETKARKAMDFLWQQRHRSSDLMGTVLNVHSGDWTRRESGIGAGIDSYYEYTLKAYILLGDESYLDRFNKHYEAIKRYITKGPIFVDVHMHRPTVATRGFMDSLLAFWPGLQVLKGDVKEAIEIHEMLFQVIQKHKFLPEAFTHDFQVHWAEHPIRPEFVESTYFLYRATKDPHYLLVAKQIMDSINKYVKVPCGFAALKDIRTMVKEDQMESFVLSETFKYLYMIFTDPEDLVFDPDHYVLTTEAHFLPLSIGHKTKVEKTGNPRRMVLRADEQKQKNYVCANPIDFTKLPTEREEAKLIRERTKMVLGELRNGMSGGSGGSSAGTNCESPAERIRAWAFSSSNQEHVKQLAMMGIEIITLSDGRLHLSHKSNTALSPTYARWGYEFMQEMQDYVASFDKRGENWDHNEKYVQMLSAPYYGKPALFGSPAQFGIDLGITVPVLGRVAKAIPFRGCEPLLNTHEVVGRIVVVERSDCVFQDKARNIQRAGGIGMIVIDNELDTKFMKERPMFSMANDKDGKDDIGFASLFLFRLEGEKLLKAMKKDKDLVISMSSREISINETIGKLLRFGKMFHFDNDHPKCPLDDGDIILHSLAYPEVILNLRFNGINQDSDPRLHQEVVERHVTELQKYVSFADADYEFQFYEFFRTAAYGALNLNVENPKLLTVISALSTLKKQPIPPSVFARLPGTMTKVRCIPKGEDMSCVTLAL >CRE03213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:217730:219026:1 gene:WBGene00063155 transcript:CRE03213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cysl-1 description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:E3MMR1] MADRNSIGESAASLIGNTPMVYLPKLGKDLPGKVAVKVEYMSPAGSVKDRIGYAMIAAAEREGKIVPGVTTLIEPTSGNTGIAIAFVAAAKGYRCIVTMPASMSGERRTLLKAFGAVVVLTDPAKGMKGAIDMANQLKEKVPGSYVLAQFDNPNNPLVHYQTTGPEIWKQTHGKVDVVVFGVGTGGTITGVGKYLLEQNPAIRVFAVEPEESAILSGNPAGPHKIQGIGAGFAPAVLDTKIYEDVIRIHSDEAIVMAKRLAFEEGLLCGKKTVYNFQFEYFILGISSGANVAAALQLAQRPEMVGKLIVTVLPSCGERYMTSALYTDIREEAMEMGVYSLEENLQRLSIHQYEVMEEFRP >CRE03214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:222455:225941:1 gene:WBGene00063156 transcript:CRE03214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-37 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MMD3] MASQTCLKAFFVLIGLVSIAQSAYIANDVVSDYAEVKELLAAFYRKHAKKYGHDYDPVAIQAIAENMDKAVKDDKTEATVNRKLWNEVFENDIILTLPQAESLLSESNNPRSKRQAHPDPRNFWPNLTISYEFYGGEETWRQLIRSAIRHVEQNVCFRFKENGGDRDGLRYYRGNGCWSNVGRVGGKQLVSIGYGCDSLGIVSHETLHALGLWHEQSRDDRDNYISIVADKITRGTEGNFAKRTPANSDNLGQPYDLGSVMHYGAKAFSYDWSSDTIKTRDWRYQNTIGQRDGLSFKDAKMINTRYCSNVCQRQLPCINEGYTDPNNCSRCRCPSGYGGTYCETVEYTSCGGSLTASSSYQKIESGIVQADANCVWRIRNPGGNVEVVFDKVNFQCSDPCQSYVEVKYLSQKTSTGARLCCSLPSVIRSEGDDVIIIYRGTPSTAYGWKGFTLRYRALGGTPTTIATTRPTYATTTRPYWTRTASGWIHIQNPPLYTPDGQIYTSDEQSAETLDSSEELFDPSTTVSSSSPSASPALLLPSDASPKRPSAQEHDLSQLSQNALTRPTPTTTYAPALASWSAWGEWSACSQPCGGCGTRTRVRACYGGNQVCAGSNLDRQSCNTQVCAKPKKGMICNGRLLLPCDLLAKLNFGSNNYLNPKLKQSGFARSSTLPLPRISQRKPVLRQELEVHPPTERFLSSTVRRVKRQTATRFCEKRFIYQCPTALLTIQMEYKEPAQGANDAYFQQYPECCSGYTPRRGICYKN >CRE03273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:226515:228060:-1 gene:WBGene00063158 transcript:CRE03273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03273 MRYLALWAFIVLLTFTEGRRRVYTEEDEAKYLQQLKQQELQNFEMEMKQQSEQRGAKYSSSMFGVQLDGGSFEADELPSELRGPTAPWNRKTQKTSRNDQTQALALKSQPSTESSSRFVNDITDGFGEEDHWCYYCASPVEKIKLDMRKSIKNLLVMRRTAFPLDAVTPDCLSGKNKTNLKKQKCTYKYCQTLSIVDRNAGNSFVVRGCAEHFGAINVPELEKKNDYSCDMIHEKLEIKECICKNSKYCNAGWGKRSANGVFQQFRIGFIIIFVIFSLLF >CRE03274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:231295:234569:-1 gene:WBGene00063159 transcript:CRE03274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03274 description:Tetraspanin [Source:UniProtKB/TrEMBL;Acc:E3MMD5] MPCVDLKKIWNDDLKFTYFFVTNVCFLISGFASLVVGIWLYSSKNNFVELTPSSYSALSAAGLCVFTGVTICIIVGVGYLGVSWGNKPLVYSYIAFIFLLIIVHGVARITGFLHKEEARENLRKNMFDNINTSIVVTKIGRELKLLVTWNHLQRELECCGVNNYTDWYYSVHWPSSKYTPDSCCNPIHFNGNSTMENCGKLPDDRSVLFQEGCFPKFADWLYHHIILVNWVTSILFVIEIILFILSLAVLQVLKSTKDTSRRPHRREREPDVSSERIRLNSMDRIGDARIDNDTVLEDGGSINSR >CRE03215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:235887:240771:1 gene:WBGene00063160 transcript:CRE03215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nra-3 description:CRE-NRA-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MMD6] MSTSFPNSNGSVPQYNPQANGSFNQYAQNGPPGGYPGMEHYQHNMYAPNANGYGGMPPGQPNMGGPAQPTAMPLKGQPPQQYPGPPGAGVKLPGYDANSMQNGYMGYPPHQQRTTGHPPQNGPPPNYNAHQQMPPPNNPYGGVPDPYRMYSGMQGPPAQPPQNSSTQPAPPAQNANYPPPAQPAPPSAQAVPHQYPTQQPLPAHLHGGPPYQSMPPPQSAPLQHYQPPGYTNGTTPTRPPTTQGFPPLQSSKQAKQDDTRPNNLQQTPNQQYPGSFGGPGAPNGYDAYAGGPGGYPGYPPPGNQGTPVTSQAPGQNGTSGTPNGQHQFSGFNASLYSTPGPDTGGHHTPSHNTSGQNTPGHHTPSQMTPTHQTPGHQTPGHQSSGHNTPAQNTPSGSAAPVVNPYAPMGNQGQFGSNDPASSHGTPGNYGGMNSSHSTPNPPTGRATPGTPSTPTTPGASQQRTPQQQQQQQQPGMQNHMPPPPQYNHNPMASPNHGPNGTTPQKHQTPMGSSLPPLNGQYPPMTQNMQSPVTTPAPEPTFKEPAMPVRHSPSHTQSPAHQQPTGAPPAYHTPSSLNRTPEATTSSQPARSPTFAVPTLPAAKPKTSPQKKRGEIESVPEPPSQDTPFTLVHNFELPAAMTNLKESISKMHPQVDKHYFSRKRQPLRHPYPEGANAHNTPATEPSTFGFIEGSKYFKEGYNRKITLQTPSMGPPTLSRSQSMLVSPGFNASQPSTSGRQPAKKARSASDASEPTFTMPLPPNRHPMAATDPRMQQQMQMHQYHQQMHMQKMHQQQIAAQQQHMTRMNQAGNMPSTSTAGGMPPAPGPTALPPIAPPALQRAESMPQLPSQQQPPMGGQMPPHMAGMPPMNGNHLENPTANSNTGHTNNQQRVGLPPLTLRNPALAGTQSHDFPSAPSTSNQPPDQCAGCHNFISAGSPTLCCMYHDCKNVYHRQCTRLTSNAFNHFDGTPQARWVCPPCETQVRPMQMQHA >CRE03216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:244234:246220:1 gene:WBGene00063161 transcript:CRE03216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-23 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MMD8] MRMLVLSALLLLLSLNGVNSYKILVYNNLFGHSHIKFVAAVADTLTDAGHDVTVLMPVIDESQRNKTALKSTTRQIFIDADEEVAEMVGKTDEFLSSLWTSETSNPIKMWWKSGHLINLFGKQCNKVMKSKEILDQLRDEQFDIAITEPFDSCGYGIIEYLQIPAHVSVLSCARMDHVSDAIGQLIAPSYVPSTQSVYGDRMTMYERMMNFLQYLYGRDMFSAIGDFEAENAKAILGIKRTWREILPESAFLLTNNIQILEFPAPSLDKIVSIGGLTVNTNKEALKLEHYFDTMVSMRQKNVIISFGSVIKSKDMPDEYKKTLVQLFELMPEVTFIWKYEDLADKKHTCGVLNINRVEWIPQNELLADSRVDAFITHGGLASVTELAMMGKPALVIPIFADQTRNAEMLKRHGGVEVLHKTDLANAKKLEKALRKLIYDPSYKKNAQHLAERLQNRPTNAKEVLVRHVEFAAKFKKLPLMDPYGRHMTFIEYYLLDIMAITAAVVTVVVYVVFTAFRFVIRKCCGPKKVKFE >CRE03276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:246394:247374:-1 gene:WBGene00063162 transcript:CRE03276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03276 MILKQSVLILFFLTAISAWGVTTPKPEIKYHRCSSCATGGFVLKKWLMQHSESGQSMGWIDWRGTDCADGLVFIVACINSCVTITVEKKIAEELYVYQGTMEECSDDLIHSSPDLPNHGENFKAFYEDAVFVATRMGHKITYNFTRKSFIDLHDEGVEQRHKVDDQVYITSSGVMKVTDFTTMDYVTAVAVVISFFIIIGACKVICCKSSSVPKTEPIFLNGENAELVPLEPNNNELPDELDDDDDVKENVVKKSETKKDVENDNDEKENKKTGDSPV >CRE03217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:251485:259128:1 gene:WBGene00063163 transcript:CRE03217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03217 MTSTVLAQITDELSTNLSSDRELYLLKSAHRIIDKNLTVNVLTDRNDTYHRYVHRLMNITFNSLEKNNADIRLAAEAYYEHILKAYECYGYPETSLKLVAISIERLIGARQVTKVMKYLVYYLDLLPKQRTPEKSGRTLHQEVVKTLILRSLEINQTICHQALEKSCDKLVSAVIWQIVDLKEIADKVLQKLWTTKGHASRTMSALLGALMIESKETFKPMFHAHVEKVIESYVNNQTVPVGAISLIKRSLVYYANGLTETTLKGLTEMLLLMIRDSSNEVAYDAFAALEELIKTENNQLNAFVPGSFLKTTYGDNTVEIEEPSEPSEFVDPLVNADLYDQLQETKPPAKVDLVLEEFEKIVVNETPSVINYVAAFLGKTFLLTGLGKLLKTDRQSKDSMKILAIRVLNVICARRPLETFVVRVGDKTQRLVDIIEYSTSTDDQLSQQAIKFMFLVLKRGTHLDECLSVFKSVKKYRYLLDSVTVEHILHLHGTELFEFLEIMAFRSVLTTRYDLSIPEITSACGFMRVIRDGIQKNKMEDDAVSGMITKFQDALKRCIIDCWDSPRFVNAVPTFLSCCGNDVILEDLYPPSLPLRLNLISGKRPNQKADNLQPNIYDDWRTILISETLMSTTVKMEGILRENVISPEYTHEKVAQLSRMHWNSDTLPAILQVMSVSLTDSPTKEECYHGFHVGMSILNWIYRNVFIVRGAAETKEFTFLQHSRIEMDDALGNRTEFRATLDAYYRSVQSSADEKLEQLLTPTINLMMASMANNFRTSTENILEIIVYIKVLFTLSSLSALKLLHALLRSLLDPKLVESLTRGRVFYFESSNTISFNDNDEFLVEALKCKGNKYFNRWGDDMDLENVKMGFMTATIMEQLEPLITRSLKCFRFRGRFEKEQVLQIMICLMNHKLKLSDADPTECLMKLTISAFARPEECAHPELYETMMHFLATATRFQVDESYQKPITAATMLMKSIEKISEENIPHAMKAVSFALLNGRFETNEAKEFLKTSQSTWDICMTYAPTETLYSLSLLLEKKKDHIANEGLFWSVVSKWMGDDIYHAQRVPFSAVALPLALITDYLISDDVKVFETIDDWIQQKNTKNIDAKCALIAVFYFSAQENMGDTDWKTYIQYVLDAGKSTKAAEYLLKYADQSQICEIEEEDDFEDELRGTSISAVEELLGKHFCGSYQDLCHSIVCLGKTAVIDFIDLVEKEYPDDDAMWALLVAEFRRLDDDLEHRLDITFRLQDLAELLAERFNTDVYLKLLANTKVRFKVLTKINFALLQNANLDLGCLNITQIKFILDQVTSSCTDDGYVLRGVQNLITHPRMFQLISEDELGTAAIFIKLAEKVTGIVVTDALLASYSQYRVDFHVKGDMDDRRIKEIKKLTLSLFALCQETNLRNQKGLTKTQSGNNLFSLTVNTKNNKNHKCLTKVSYFSACFRHPVLNSVFNIPLVAMKCFNWIPVVEIVRKPTITCLPPTGHVCDVIVLDDMRFRLAKVGLVTNAQFEVLFTTMQAVIAHTVIGPEKLDHDSKDAQEREARSCNALQLYIATILNSMKYPNGGDPSSGFVLKSPYLSELFLQSTEYAHLCNLKSVWKCEPRTAFTTPLEKHDQKMWKHCDGKTRLYGICQTPLFSLWQLCGMMPIEFKQHANYHRIDHSASNYFLTSATNIDTISNVKQLMNIFEYWYSQGIAELGEALLYTILQTVLHLSDFFDDPDLHKAVLRTTSIIYRHEYDQNPFLSSFVHVMFLKSISVLGTEMHSAEFKPGEPEAIALKLVSSGLVNDIKMVRVHTLAGLLYLVQSDSFESYMPTIDIMSSYLEKYLRKMANGTGRLESDESQFVLAVLIKLLEVPIRLKQDKKTLLKLLLATMRVRRERFIIELIAEGIEQLLCRSNEFNGDVINFMLAGIESGDPIPFPTDNEYYCRIVYRILMVAATRAKVANDEMSMTRIYKALQKLGFDLLSHGETAPAIARTLPFFSICVNGVESTTTRYIETFMINGGDTDVRFVVSLLNQIVETAATSKKWSVELKSVKEKMQSNAGSLDEHNQMLLNILKNKLIN >CRE03278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:259616:261141:-1 gene:WBGene00063164 transcript:CRE03278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03278 MEIVPNPEKSYGPTGHSSTIKRNHSMVAQHKTFGLKDFIFALADNHDDLQVATLTEEYEDPERHLEMVVLKCPRCNKDAENTRDLIGHLVTHESKRIQCIYAECGVVNIEVNEQLKYATNMQAKNSIFKRKIENRPYTLNVALSSPLFPFLSMRKLKIYMDQPPYATKSSANSDLIQKDLVRGDNIHPLFFDWFGIQKVYEKIKACKKLKQEKHDMRYLKSLCEEGQVIYQDLVHKNRTKEETMCLASALNLPIDFTQQQLVDAMRMMADYKADRPDSSISYNALEDEDGNAITKEQRKLISTLSSNFIFITGSKRRCTKNKSYKFDV >CRE03280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:272956:278619:-1 gene:WBGene00063165 transcript:CRE03280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03280 MAKPIDQAVEYPSEYGNISIATVNALVQSLKNNAEFATNTSIVLNERVKASTLPLMLSKGTNRMNDVWLRITNETEHIPLCENGNVEDIIRHVAELKGTISNRENCVNFFIWKTLPDKKTIPLEHGVLEDMCLCFNTLLCKAKTFDDMLKERQPENSNLVIANFNNMEEESFDSLKKFLSLLQSTCINQVTKVELFRTEVEERCKSEEVKKIVLAIAAKWKLIEENVTALSNMIDKNIDIKSVVEEVNSIQKLAGMNSVNYLVKKNDRSNVSEESIVVEALGRPIAAVFMKIDVFKRTLQNVSRNESHTIEELWLPEKIKEVLYLQRNIELVGLSSVCDYFPEANEQSRSKKAEVIIKLLKEQCEKIQSAAQNFSGREKQTEENVETTMAEILEYVQDPVLNYFMKMKLGLNEVERKVVDSLLKFYGEIEKDAIKIESIVKKIKTNRKNAVLSKECEIDHERVSLSQKEQMNDNTTKASNHMTLKPAISQSVRRSVEPLSTTEDNLEETEQMEEERVESEQLIKFNDTFDECMENEKITSIHNKVIEKESAEPTPLPVATLSQAADAESSTSENVSSESKCMLWPRNDIGSEEFMKHFKLCEKQSFDKTTNRRFEYVANGEKFMEEMAKDDVLHNPFLIENANGLGMTIANNLNFDTLEDQLKPSNGQPLPNVDVINTYKRSTEPMTVEQLLEEFKKPNAERSACYNCLSFETSNIDSRFCKEFKLPKYVVKKSLVHNLRNILNEKINQLLEQPGNSEEIVQKRKAEVKRLVKQRDNMPNYHLYVMLTMAGSFTEPHIDYSATSLYFYVAKGQKIFYFAPPTKENLVFFRDYEKYEMTEWIGKPLFNQWQRVVINEGGIAVVPAGYIHFVYTPLDSIAIGGSYLTEDSFELQFQRSKQEESWVKKNPKFKNIIYQGFRDVMFCYMEHILIPKLESGAELQETVDMFCKEMNDRQSTAGFSKKDRQKLLDELAKYKSEPASKKRNHPESSEDGEPADQKKRVEEERN >CRE03220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:291522:293246:1 gene:WBGene00063166 transcript:CRE03220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phf-34 MEEDSAGLSTSQQANGNDPPRDGFTTVLEHALWEAERYPECSDLLIGRMFGVPSEQLRPCKVCCGISGKTGSPSYNPQNYTLQEIVTMTQTCPAHAFDEEMEKIDAAYTRFETKLAKQARAEHARLAKELKKAELEEKKKLRENTKTNSKTNNKGKKGKKGKKVATTISDEEEPIDDEIEEDDANDSEYEPCSQPKKAKTVVIEEDIDYFMYEIEPEPKQKKVTRWTCPGCEKSSKYGSTGCCWCDEWWHFKCAGFKKAKDVPQGWTCKLCDIP >CRE03283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:293587:294872:-1 gene:WBGene00063167 transcript:CRE03283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03283 MEHENRDLFYLLQNKNAEPDFSITEELRMLRLAFLKWRTCMREILDDHQLSLDDMDPQDPQFSYLQWLVSQADKKRKESEEDTSENFDHLWHKLGSYADKCRSKTDLWHMEPETVVGTALKFFMPSPSDTSATFVRVGIGANVSIERYFKKRLSPESEFYGADPVFLENSQIYSPIGIFFPFAVGNETGLKQATLKYSTERVSSKFVNHIGIDIFFQNLIRKDIIDYLIFEGDGGEYDMMSHLAKGGTLEKAGITVCQINIEYHLPKEMKDPRKEIFRKHIIQFIRDRKYTLLSVTNMGNLRVFWVNTSSLECARRYLERLFSNDVELV >CRE03221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:296886:299252:1 gene:WBGene00063168 transcript:CRE03221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03221 description:Solute carrier organic anion transporter family member [Source:UniProtKB/TrEMBL;Acc:E3MMF1] MTERTPLPGKKYKFTINIFVLLMVLVIAVQGTYLGYVVGMLTTLEKRFGFSSEKSGWLLSLYDIGHTMAILLIGYIGSHYHLPRITGIGVILSSLSMFMLALPVLFYGTADYTQEQLLQKKEAYAMEMRCDVNSMREISSQGEDCWREHNEHTNAFIILAFGQLFAGIFAAPFNTIAYVYIDSNVKQKRESPFLLGLLTSMYAFGPALGFLLSSILNGVYTTLGDAPDHIGTHDEHWIGAWWLGFLLCGSAYLVLALPFFFFPRTYKHPDSFHLMLEHSRPQVSPEDRLTFKENVELFLREFPMVLKKLLSNKVYITMVIAWMFGSYLIGGYQTYLPKFIETQYGRSASMADIYSGIISVGAIAVSTALGGWILSRYNIAPRSSIICLLGSWVVILVSYIIGMNLGCSQPKVEGLSYVDYADRWHFYHHQEREQQCLEYCNCETILKFDGVSMNGQNFYSPCHAGCTDYDVFSNTWSNCQCVYGNSVEKGLEHPECDIFFAYLAVMMIGLFIGNLFFMVTMMIVLRSVFDEEKVIALSLASFITNLFGFIPAPVIYGFFIDLCCILWNRQCPNERGNCVLYDNDKFSKMFHGVNSFFQIFAILFAGICYWVSKSVKLPEEMPMVEFPESREREYRDEDEE >CRE03284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:299553:304653:-1 gene:WBGene00063169 transcript:CRE03284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrp-4 description:CRE-MRP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MMF2] MDPPNLQAKLKGIDAFCGERYWDPEIWNATTVPILTQCYQHTTLVWFPTAIVFLLAPILTAQIFYRRPTPIPWTRRIQFKVGLSCILIADSLSLFTVAIYETLFQGFPYAVDFVYPLTLCLAMVSILVHWTTLTPDHFQIVLTALIVSCRNYGIVTSGGLFISWLVFTLSAIPELLYWIQQMVAPAQPWNWLDYPRCIAFLVWFICCALETYLHCYADHSPEGYKYLNTARNPSPEMTSSFLNRITMWWFNSLCSLGVRKPLEVSDLYSLNEADTSNLLVPKWYNLWDKQNKSELFFHLSSKIYVVFSEIEEIKNRRQANLNAAQTSRRRTSSNDSTPLLHDQTADDYGSLPTSHTEQLMPSIIWTLFLMFKWDVITAMVVKFLSDVLLFVNPLLLKSLIRFTEQLERPMWQGIVLSFTMFISAELSSILLSHYFYLMYRVGTRVQTCLTAAVYRKTLRLSNAARREKTVGEIVNLMAIDIDRFQQITPQTMQYWSNPFQIGLALFLLFQQLGVSVFSGVAVMVLLFPINFVITMIIRKWQISQMYYKDERTKMVNEVLNGIKVIKLYAWEPPMEKVIEDLREKELGLIKKAAFLRTFSDMLNCASPFLVALSTFATFIFIDPKNVLTPEIAFVSLTLFNQLRSPMSQVAELITQTVQVIVSNKRLKEFLMSEELSEDAIDHRGRDNNDVINVKDSTLSWESADQNPVPSLMNINFSVKRGQLVTIVGRVGAGKTSMLQALMGEMEKLSGSIALHGRLCYVPQQPWMQNNTLRQNITFGKQFDEYFYTRVLDACALYRDLQILPLGDSTEIGEKGINLSGGQKARISLARAVYQNHDIYLLDDPMSAVDAHVGSQLFNSVIGPEGMLRNKTRILVTNELSCLEKSDLIMVMNDGKIEYEGKYHELMQQGAFEQLLIECEQEERERREAEQSDEEDDNSEPGGIMIEGDSDFEYEDDLMASPIIDHVLGTSHMSTVSGIINRRRISTSNAKQRRRPSTTKSYSASIVSASTNTRQLTGAERVETGRVKMDTYYNYFGAMGISIAIIFVLGMTTSTVVSMGRNLWLTDWSNDNAARSGTNSTGKTIGVRLGVYAGLGFSEIILLFIGMLSLLYGGVAASRNLHAPLMRSLFRVPMSFYDTTPFGRILNRIGKDIETVDVLLPFNVQFFAQCLLQVISTLIIIMISTPVFGIVIIPLSIMYLMVMRYYIATSRQLKRLESITRSPIYSHLSESIQGSATIRAYHLVDRFCKLSETKVDAHVQCRYLNYVANRWLSVRLEFIGNCIVLFSALFAALTRSTTTSGVIGLSVSYALNITTVLNFAVRQITKLETNIVSVERVKEYAETETEAEWKSEPGKEPPQNWPSEGRIVMNNYSARYRAGLNLVVKQLNVEIKPHEKVGIVGRTGAGKSSVTLSLFRIIEAAEGQIIVDGINLAEIGLHDLRSNLTIIPQDPVLFSGSLRFNLDPFHHYSDDDIWKSLEQANLKEFATGHHDKLDYMITEGGDNIRYILGNIFQLIPFFCSVGQRQLVCLARALLRKTRVLILDEATAAVDVSTDSLIQKTIREEFANSTVLTIAHRLNTIMDYDRIIVLNDGKVGEFDSPQKLLSNRNSEFYSMARRAGLV >CRE03222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:305869:306290:1 gene:WBGene00063170 transcript:CRE03222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03222 MAALTNNDKINYKVLVIGDPGVGKTSIIRRFVHNVFSSNYKTTIGVDFALKILPVDENTVVHLQIWDISVF >CRE03285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:306674:310152:-1 gene:WBGene00063171 transcript:CRE03285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03285 MSARSAYLCQIQICNGFLIAAGLLAVGLAGSQFSKVGLDNYRDIDFRLLNFIHVVTGCIGFYSLWRNHGSIVTKSLYLVSFTIGFATAVFYGFTTYRVVKAGENLNSLQSAAGFNEEFQSENSNYAGRIVISSLMIAAGAVASLFSLFAIFLLSKIIVVTIPVYPLQSREQELAMSSAKKTLASIGLIKFILCFGILGLCVFIEYEHENVAGQDKYIKIGLDHISAMLAIVSGAMDIWATRGKNQQNLNLKVALAVAVVAATWCLKTVDNNAMPFYKNDLKFYYQGREVGDPSITSTDAPRYILVVAHGVLLGCFGIAFFLCTLSAVIVGTYLQLDFHSMHTEVNKSIKIQTGVLNILHVFWGACMLALCILGLLDTWWRGEFLGADLLWVSILFMTTGLMTSNNYSVMITTKFILSVVCLGISVEKMCASANLIYQMAAYPAYRNGNERTFVAQIILYSIQAGIYLLEALTSLAGSYLYGTELRKQPNLTYRHSNGVHGLFSLGTLFYAVVITGTYIVFELGKWRYNEVPIEVPFFRLGNGPLAGAVFIVQFLCIPFPSLLASASILNIIIASISLFTVSSAITNVYYLQRYLQASDLLPTTETQQTIYQVAIILAAGAALACVICTVCAIICSLRSSYILHHRSTSPDSTVVAPLGEEQFGSGTLRVGTHMRTPSRHMHPQQSPAGGAVQPMEEQSVYWSADENPFYYHTSKRFYGKPYQIESGFYGYALAGSGSGQPGQSPSGDPNRRVQSSASQTQIGHVFNN >CRE03224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:313720:315304:1 gene:WBGene00063172 transcript:CRE03224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03224 MKLLFFLVVAPLVSCQFYCADLLQQCAKSAAEYTEQILQYKENAFKACVRRPSCHTERKIFDECFDASVSATHVTATQESTSNDGETRRATPPPVVKYNSLLKYFTENSMKFRSALDQCFVRSPFVPKRNFFGPSLLDEDAAYARAIYQFDLADRLWGLPELSITRPSLDSLGVCGTRNTALRVFGSGISRISRASDPTKNNITSACMLDEDEITCYRQALDMNSEYVQLIYNRDYALRGCIQNVRQQSVCRMHDKSRLRACLCGVREQYDNDVQSGILQCVKSKSPQMPAMVIEMSSSIDEEPSSSSSSSSKIQEQVNPAQLTFDTPGAVVNGQCMCACENGKNGASRSFLQRLPEEETKEKAKTSEREIREEVVEMESVRDEEPPKSNAVKFKENSPRLMQPSEAAGRVFWMNNITII >CRE03286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:315624:316116:-1 gene:WBGene00063173 transcript:CRE03286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03286 MHPLFTLLLIFTFLLKIADTCVATSPGGTATTTAAPTGCQSCLLSATEIINISEGTKDFTSDTIDTSGTCAVRTSVCDGFSADSGVLITFNRDEAGQNGGTGTVTSVLICNTAGQWTKDGIVITAIECQSTP >CRE03287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:316431:317251:-1 gene:WBGene00063174 transcript:CRE03287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03287 MHSHLLSLALIASFIVIANQCAATDSSTSPTTTTVATTTVVTTTTPTTTAVTTDCTTCTADLIGIVTGNDGDNTPTSTIGVDANNCATITYVCERNPVVATDVVLITYYSDSQNPTDVGTADGLGTANVQLTCVDGHWENGGIEINDIECQIIE >CRE03225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:319181:320249:1 gene:WBGene00063175 transcript:CRE03225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-36 description:CRE-GST-36 protein [Source:UniProtKB/TrEMBL;Acc:E3MMF9] MPHFKFYYFDVRGRGEVIRLLFHLADEKFEDERFGMEQWASYKPEMPLGQVPVLEVDGVKISQTTAIARYLGHQFHRAGTNAVDCARLDMIAEVIQEFMSSEGMGKFSRVLLGMIQANKEQFFKEKVLPDIEKYAPLIEKFLLENGNNGLLLGDRETWVDVFAAESFSKVIDYGSPDALDAYPHILALINRIFNHPNIKKYVAGRKSTPA >CRE03288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:320262:322798:-1 gene:WBGene00063176 transcript:CRE03288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scav-5 description:CRE-SCAV-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MMG0] MASIAKYEIFSFIIAALFFITGLGMWIAFWPIFNSELEANYKLRGNIDGSLKYAAFLYANPPMKNVMKFNLFNVTNPDEVKYYGAKPELIEVGGYGFLESEQKKYYEFSSDKTQMFYQNYKQYHYSPEDTSEGFSYDDYVLFPNSISAGAVATIFGPTSEFSKTAQSIVSIGLIVLGEYPFISKKVKDVLFEGYEDPLLNVAHSQLFTSLVRFFGYGDQLSYLPEMKTFAYLSGYNNSYDENYWINTGYKDFSKLGFVESWAGLKELPASFWPTEEARRIRGPDSGSLSKLHLTKSDELPFFLSFMCRSFKKTYWQSGMVDGIKTMAFAVPEEEFDTTLEQNAGFRYKNTENVDYFPEWCSDFAGHNAQPPFTVIVSPPHFLYSPPEVQHRLSGMSPNPQTHKPMVFHQEKTSGTALQVDVRFQVNLPVVNNKRSIMSSQMPNVLIPLFYEDSHAVVKDFVMNTVWLGVIIVPRIIKYLKFVLMFVAICILTILLVIRVRVKGSVSVV >CRE03289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:323209:323869:-1 gene:WBGene00063177 transcript:CRE03289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03289 MYYLFVGFLAPAILILILGLFACLKKSSNTRYDDKDYQSIYSTEDSHVRIRMPVYDSRGVNSYQMIYENQHFVDFKHPPTYNEAVRHIANTKPPSRHLPPNQPRILERAAVPQQKHTTIY >CRE03290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:326279:326952:-1 gene:WBGene00063178 transcript:CRE03290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-7 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3MMG2] MYIIENPHIPSAHPMEESLKAGTEVEVKGGVHDEFAIELLSGDNIVLHMNFRFEENHELVLNSFLNQAWGTEVRHLHPLRRHNPFCVRIYVHEGYFNITVNNDLLVEFDHRFPVMAVQAIGIKGSVHVETITFKGFEFKTEWKQRNNLVNGAVSYAYDSVPNAPSLVQIEGTQHY >CRE03291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:327484:328120:-1 gene:WBGene00063179 transcript:CRE03291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-8 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3MMG3] MHVINSPDVPSAHAIREQLRAGTEIHVNGHVTHHHHKDFSVELLSGPHIVLHVNFRFEHDHIVAMNTSTNGSWGPEIRHHNPLKHHDKFHLKIHVHDGYYHITVNGEHLADFPHRIPYQSVQAIGLKGAVHVDEISFTGFDFGVDWNSQHDFGHTGYGAYGTETYVAPVFQETHSYNAYF >CRE03292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:329129:330992:-1 gene:WBGene00063180 transcript:CRE03292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vab-15 description:CRE-VAB-15 protein [Source:UniProtKB/TrEMBL;Acc:E3MMG4] MVSKDEKPKQSGLFSVESLLETPPHKSDKEEVFKPSPLTPKTPLMIPGLHHMAPYFGAQLDPVMIYFAQTGNRLPIVSSDSSPESSASSPLSIQHSLPWLSSQREDSPTSDDTKIQIGLTKCMLRKHKNNRKPRTPFSTQQLISLERKFQAKQYLSIAERAEFSASLQLTETQVKIWFQNRRAKSKRLQEAEVEKVKFAQASAYAVAAAQDPTSLLAFYQPQW >CRE03226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:338594:342719:1 gene:WBGene00063181 transcript:CRE03226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ipla-2 MAASSKDGPFPQQFLPGVHKEPNLAISESTHSSDWYSATSSEDCWPGISQSLSALKPNGNSLSRGLENGEKQHCEMADLKSCSFIPEAPMDNLEVKSPENKRIRSFSDPDLFVSISPLAYRENQTPSQQQIVSSRQDLRQGTHEVTMAVTETVQNADTTTTTTIITSTTTTANASQDDFILVEAEQDEPGLCDATVPLIKKSDPSSSVTNSPARQITPRIETGLSFENVVSLVRTFPSMLTKLLNKPWYSSRVDEVCQFPMDELNNYEIVPTEVDDEHFIIVSGTTREDGRLDLMFHLVFAHNGFYEPASLSTRPFSLFRAHEKKDLMDLLHLCDEKSFLFTSLDTKRADIRSKIEELVIQIRLKPHYHMIHVAIATDRLDFFSDEMIKEMNEKLEPFESQLRCLCHTENCYPVHLALAMDRQKIVERLLELDPTLFLKTDKAGNNVWHHASSSFCAQVIWDKCPDSHHFIDERNMDGQSPLNEAVSAAKPLVATFLIGKGAKFTRGDRNELFVAMTSKNAQSVVEVVLTDKPEIAHERDALGNSAIHVALYKESLNALLNRKVELGLDINVKNNAGETALLLFITTRKPDLLPLLVTLYAHGADMNATDPHGNTALHKSAALVDAKKISLECVKFLISAGADSNKINQRGESPRHLAASLQNQEMLAILKAAGARRCPKGYKGCRSNCRFDASSFEEEYEETLQMIHIGCESDYEKTELTDSEKLNIKDALEASKKSKKPRVNLISMDGGGIRGLVIIQTLIAIEERLGDDIFKYFDWSAGTSTGSLIMAGLATGKNLREMQQTYLLLKDRVFDGIMPPYDTVQLEKFIQDQFGTGTVWEIPYPRLMISAVNSEKLPVRLEMARNYKPAKDVAPETPKEMPLWMALRRSTAAPVLFKPSEDRYIDGGIISNNPALDLMSEVHAYNRELQMSGRKKDAVQMNVLVSFGTGQIPCTVIETLSIDSNSPLQSIKTIKNLAAMFIDQATASEGAPVARSRQWADSLEVPFFRFSAPLSKNIFLSSTSDLDVCTMMWDSFIYCRKHQSYIDELVKLLKHDADHPYTKTPLADL >CRE03227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:344667:349414:1 gene:WBGene00063182 transcript:CRE03227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rrc-1 description:CRE-RRC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MMG6] MAMEIVEESDAPLSPKSPFARRNGRSLRIQRLVDCQHFHYSSVELGPVKVVIISISTDENATERIKMRVESESNSWLVERSREDWAVFDRQLHRCVFERRHSRLDELFPLIHLEPAKFKEVLVNYTERLSELTGSIITCYPVLKFLEIDSRGGHFEPAEETSINVPAIAAAVVTKDFEPTDNSQLRLRVGDIVSITEMSTANPNEETFWKAKLTISNQKIVDPQNARLGFEVGYFPRDCVMLIDDKRVPNPLNNEQKAIPRNARRYMTTMFRNRRREPIFGLELTELFMRTGRKVPVIVERCCAAIEDQGIVTGIYRQCGIQSNIQRLRAKFDSGAEPDLHEFGQRDIYSVSSLLKQYFRQLPNPLFTYQAYPKLIEAFEKDESVTEKTESLRFLLESMPEAHYKTAKFLMEHLSRLCKSRALTDMTSKNLAIVWSPNLFRPPPTLNGADAHLLSGLNVHTAICDFFIENSEALFISDIDEEQSKSISVENSFTTISKSATMSDMRSESDSKWPRFFRGKSVEGFWKFNRKQQTSTGELCGSPTSEVKWRSRSTRSHSTDATFQSSRTDSFIQLMHTGMDQIREGMRIFRARARSMRPTSRPPPSPRTRRARFSNGSTNNVQKPVDKDVDIHHEIPLATTEPSFSPEPRNNVDSHQIMTRTVSVNDSDDQSFEENGLREIRERKVMFKAATQEHVAPIHERSSPVEEWSSDSRESLHLEMSRYDNVSPSGTITRSQREPISNLSPAAQMLFFESSRASQLFSA >CRE03229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:365872:367069:1 gene:WBGene00063185 transcript:CRE03229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03229 MSYQNPPAYDQVVPPAYNPDYAPTQPVYHPNNHVTSPPFHFHDNGGFENIADVHSPVYTTMASGPMPSSTVIIRLEPHATKLQCPYCRMDIVTCTKSVYGLLTWIFFAALFLFG >CRE03293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:367760:371257:-1 gene:WBGene00063186 transcript:CRE03293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-47 description:CRE-LGC-47 protein [Source:UniProtKB/TrEMBL;Acc:E3MMG9] MLSTLFLLLYATRETVHALAAEISPTVSYLLDRGCANDTEVIDHLLLDRALYYNKHKLPSPQVDVRIEMWVQEVSSVSELTQDFEIDLYMNEYWTDPGLAYDILNPCQGNLSFDWAVMQNIWTPNTCFINSKKAQLHSSPFTNVFLMVFPNGSVWSNWRIKSTGPCVMDLTKFPMDSIECSLTFESFNYNKDEVFMRWGDPPLTIFKPIELPDFTMTNFSTSNKFQLYAAGYWSELNVNFLFKRRSGWYLLQGYIPTYMTVFISWIPFYLGPKAIPARTMIGVNALLALTFQFGNIIRNLPRVSYIKAIDVWMLSGICFVFASLFELATVGFLMRDEGKPPAKSTRIAKNSVRWQQQSICKDDIQRKSKNYLYCERLDNTSRLMFPVVFTLYNFIYWFVYMKSAWDQ >CRE03230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:374176:375452:1 gene:WBGene00063187 transcript:CRE03230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03230 MFSISDPKILLYHSNKLNVHLCFQIFNRYFFPISHFSDQLKNGMSGVEYDIVENPEPSNHLWKQWKHLVDTEGWTSDDNSVTAITPSIGSTRSVWAVSKTPEQDFVGCVIWNEYDKICFMGFFLLAPEHRGKGVGSVIWDIAISRMPTDHVLGLRGVPSMVEKYKKKATPVVGATLENYKMKVAEYHASMEKMSGDNFKLVNHLTPSEWNQLVEYDSSVNGRRRGEFLEHYYKLAFVFGIVLFDGHHNIIAHISAVKTSLEEENVFKVAPLYADSPSIAMSALRVFSGVMYEMHPDADVIFHLLNLGSGPFLQSFFRSLEIIPAVSGVTLFSGDYPNKGDLSRVFIAHNNSCHYDY >CRE03231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:379298:386539:1 gene:WBGene00063188 transcript:CRE03231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03231 MDSETDTDTHSLYGSETSRENYKSPESSDCEGYATPLASSLNDIAREVGGDVTINIPEDVDQKLMDSGEQTPVSTPRAISPPIVKQIMYLQKPRILVMTQREILDESSEEEFYDVDDVHIRDVAQQLLDGVVDVSGNQNERPDTPILAEDTTLGLEDISSDEDRSTPIQEKEEDVEELMNVDVEGLKEDMTKLILSDNESPYQNDEVETEIENSGEMIAFESDEDVKLYVETEFPKTESDQENMEHSSTCSSSETNNSIHSEDVIEQVAPLETAESFDSIDVQPLQKLFYKDSDSETSDVTSTDAPIVDVETCVNTFDNCDNMTANSVITECVHNNVKAEMAGKVEEMLAKINNRIQHDKILKNEDPKEKTAVLETEENPPEVSIFVNESIVVEAPFSDVADDESPEIMNVDASNYEKVDNLMISSQTESPVNQLQEADKHCEVSSAIAESTYAQNLVMDRPVILYEQMPECFSEITVAKTPMAQTEITVPSEEIEKYEKPHFSSNQETIENELMPTLVISDEVKTSPATDDDILSECSAHEVKVDFDGYSSGKEESLSSSTSSESFEFAEPVSSNNVHDEKTTQALRNSIIERYPSNETDEDELDSVDDEFDDDLLAVKQISAEVEQLVAAINAFGRDEEQQMSAYLVGKKMAAEKKRKSVMDTGVVTSSCHDQTVQTDSDSFILVDRHLPVVMESLQVEIGKLQADLEKVKHGEKELLKINSKLEKELEESQQTIDGIEVEAEQQYTELTSEIDELCEIVQKKDQELAALKERVANLSVSESNLRDDVDSQRLISQRQKEIIESLREELDAITKKLSDVTKLRDKAIEEATLYKMKNMERDRFLSREAQMSMEIEDLQRELNKQKLILNQTSMAKLADTFDRKVLHLENELRERDMLICKQNQIINSHRKSPTGSVITHRKMQPRASVLAAAGNLPSAGSSSESFQNGLDVEAKDALLTFILSDRHSQLANIYNIGRILDLSPQEERAVERHLTKDRFT >CRE03294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:387040:388629:-1 gene:WBGene00063189 transcript:CRE03294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03294 MLTTQNPDLCVEPFIEPSQWFFTLVEIILAVGGLTMNTVITVICHKASPMPHSQRRILASISINFAVLSGFQLARNFFLFLVMQQPCLSQVTTVSCKLQEFPLIFCYIHCAATFFLLGVQCNFLKLKPIDKLPMKWYLTCSVWQSTVAAASVALALLFTAFDQDSENEPMNKCSILLAVSQSFLTFSLLTLLILLHAFGFILIMIASILQRNKKSWIAFTIFSLKEILQYETLAWQISLFVSGCVVLYRHVLRETCDECAVVILELAFLILPLLISFVHPLYLIWYVLPMRDAATRTFPCMLSALPEYSLVPPQVPSASTSATFPLQSPRTDLTPNDTLSNEFKKKLSSLSPKVVIEEEDIAACEWPTDPL >CRE03296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:392438:394287:-1 gene:WBGene00063190 transcript:CRE03296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03296 MRKCTNLPKYKRKEVYMQNLKGAREKNKELEKEEETMNPTKPTSESRDPAVPLPPRDPQPYMVRVPKKTLRVVEKSPAASLETASKGPLNLNNPRKRQLRYTPPPQETAKERQQQRVNDELRRKHSEEIFVWKERFERSQAERKSLERRMYRQAQEFQERFDETVDNISWKERYAHLENFCRTCKGENINLKVELNGIKKEMDKREKEITELQLDLAYNANTTESQETKKAEKAETAATTATTTVSIYYQLLKSILDNLVLLNFIQKPEYLRNLLHGNLFKKHATSQKAKMASIQNLKKSREAVVAKRDYQKREKDDKMKAMQFQLDGALRENERLRKMNQELKSQRDQLKRENETLRFADPVDLQHWKCRCINAEKKWTVQQHLAKNERATTKQDLKSIENALAIERRAHQETKIALAYYRNQEKIRSSQSHPTTHGRPVRMIRDPVNTPEEAQSSTFNSILMNMAARITPPDTDESHTDTSHNEKKPETLAQIVVKKEEKPETCRFLLQKFTFCT >CRE03234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:397111:399007:1 gene:WBGene00063191 transcript:CRE03234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03234 MSDHTDANFLEPKNFDRNHTFIMNVTIYDMIANPNTAGMYPQFHNFISTHNFKCYDPSKYKGYIKMDGRPTLEKYRQLFYEMLHLMCSKDVLKHDVEKCNIENRDLFVENSQLRNSVNLSISDKSMASFLRVLDDKSQGTVENQSDRKHYDITDNTWIHMMRNEQTARQKFVDDEIEFIVNNIATERTLLNEEAQDLKNSLKVAFSRLLIELDDGDFERFFATPAEKNEPFLSPDVLGRSTVVRVEGNDKQISSLEKQSVKESHTHENAACQTITYLHKKKKKNSRESVAEITQVPSNSRKKAEVFPRNACDLNVKVLNLIANTDIQTMYPAFYNFMTTEYFLRYDPSNNKTFFAPSIEKHRQLCNEMLHLMYFKCHLEHIYEQRIAKNQELKAENDWLRSYVVTSWGDVFINSFFRVFRNSFQDKNQRAGENCVRTEDTWDNMMTRLRDEKVAEGTIINDKIELVVNNIVKEYPLFNKEKEYLKNILKTALTSGFTDIEVKEFCSSPFQETGSSLPARVINREKHKTPGNEESNSTMMSPHTNDFYRGFTCFPTSKRKVASNALQQ >CRE03235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:404467:406229:1 gene:WBGene00063192 transcript:CRE03235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03235 MALIVTFLTLQIVFTTAVHNPIQKNTNVEQDVLFFNGTNVGTTNTTNTQSLQYIPMVITSNVNQTFSEYMSYLLHRKKPLGMNFSCKAPAHFVLKHCNKTLWRTIEPIEKARIIFDQPWIKYFWTLEEKCSIKNTTVFLYAKEPGSSKQRYRKPSIYKFHIEANPKPIEEPIESQEVKIYGNTKNLFNVMMNALITKALDANLSAHIIFTYASSEDIVFQLVKCGFAYDFKLDVQPYKPILIEKELLNGFNVLKQIYCSTPSDDNLSFQLLAIGTTRDVNITMTFHLSTSKSLPTDVDFILFGGTVALILFIVAIICWIVRQNRKKKTYQVNAKVVYRKRQQITQKKLIKRTATIPHNKNKE >CRE03236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:409210:411054:1 gene:WBGene00063193 transcript:CRE03236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03236 MDHSRRSTGKNEALHIDPSIRGESSFSVHRSHSSGHEPFAPSPGSSIGASVSMFSSRNSEAEIIDPEDFEGNRTIMMNVCVGDMIADRDIHSRYPEFYQFLITEQPTWFEPAPHNGTVYRVHQTTPLPENHRKLRKELPYEMLDLMYRFYRQKVSFLARKYLKFLRFQHVNALRKRENKELLEANKQLRIAAVQMFTKAEQEEEYISNSLLKKIQQLNQDKDYLVKKYQKDEESLTKSLMANVAKIPDVHGDEAAEKLMADKQAEIERLRTYCSRAEKSYQEELMRLRAEKVDHESALEQEQELLINTLGKRMSQMNEEKRKLQQTLETAYVNGFVDFDDTVEVALHAAAAQKKYIHVQFSRNFRELNPSAVTAHSPIVRSFEQPPPSSSRQQMNEATHLQIENKKLVGLCNQEKRRALATEAEVKKLNQRMSKMEAMFEAIRVEAIRSDGTLAWRLAALSHDNSMDEHPIRPLAERRAHGGSPPTVVVQPTANRAGTSNSGANSTINEHPHATVAPILATVFPTQRPAAARNERPDQHH >CRE03237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:413868:414233:1 gene:WBGene00063194 transcript:CRE03237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03237 MSQSKISLQLERVYNEQRKAATLASTATGFIMSICFFGLPLMWSGFFKVMQVPATIVCSNDPWSGITDL >CRE03298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:414826:421527:-1 gene:WBGene00063195 transcript:CRE03298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03298 MSEVDAQEEFHDAPEEAVIEIQKEFVAEIEQDNSNAQQAEGEEDQLVTAEADDEKSAQQVDEDRTEDQVPDITGTEYVDPMTTSMDGIEDLPANEETQFEDTIIEEDELPDQLESAEHQEPRSEVISSVIEEEIIEEEEIEESDYVEESAPAAEEAAPAEEAPAEEAAPAEEAAPEEAAPAEEAPAEEPVVEEAAPEEAAPAEEAPAEEPVAEEAAPAEEPVVEVAVEEAPADEPAAEEAEPEAEKQEFELQAPPEGKRPRTPNDLDTPAADEEPVAEEADASSEVPPTPKTAASEKQSVPPTPKSAAPSEVPATPKSAAPSEVPPTPKSAAPSEVPPTPKSAAPSEVPPTPKSAAPSEVPPTPRSAAPSSVPPTPRSAAPSDVPPTPKSNGHIANGSIPGTPSVAQESAKATPVATPAATATPAATATPAATGTPKAETAFNFEETPATPRSSVPATPIDSNLTTPAAPKTPKTPKTPRTPRTPRTPKTPKTPAAAEPEPEPVEEEPVAEAEPEPEPVAEEAEPEPVAEEAAPEPEAEEAQEPAASEPEPVAEEAAPEPEAVEEPIQKTEVAEEESAPPAARQSSPSPPPARRRVQSPSPERQRSSRHADRDITSYDEDSYRTVPPPRIPAATSFSSWSPPDKQSYTPIRYHISSNRINVQFLFSPFVSTANKYRNEYTSGTSYRPSNIYTSHFDDIVATGAFSSALYSTTRLIERSRSRTRERKQAMRSQRSASNYYRYVSQYPAPTRTREYSTPPSHAISRPPSRASSFISFLDYSDAKQGELSRGNSRTSIYGDSLSRSTSRANVDAYYGGGRLSRVDSYVRDIHTPYEYEVPSTYDRYRSSSRGPVSYSTVNGYTAYTSSPSYVETDSTRRMKRYQRDTSPAMVRSMYEGRIGQLERSLSREVIQKDRLRSEYQQLSSKLDQAVRQMELLSPYTPPSMEDHRLINRPDIRRSIAYTLDLRATGLPSTERWRLGNVVYGGLYRKKYDPDLEKAVQYYSSCAKRRRS >CRE03299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:424344:425063:-1 gene:WBGene00063196 transcript:CRE03299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-6 description:CRE-NLP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MMI3] MLSFSRLTFVLLVSACVMAMAAPKQMVFGFGKRSIDMSEMPEDVPMKRYKPRSFAMGFGKRAAMRSFNMGFGKRSAEPQFDVDSF >CRE03300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:429317:430059:-1 gene:WBGene00063197 transcript:CRE03300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03300 MAYILGFGDGILISFGIILGALLLCVVNGRSKGLPSVITYIIIAALLLLLLFWWPIKKADEPAVEQHEKTDIILIPRVIFLILLPFFTIYLINLYIRHNLFNVVRAESTSERHGHSWLMETKIARMR >CRE03238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:439491:442307:1 gene:WBGene00063198 transcript:CRE03238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03238 description:Protein phosphatase 1 regulatory subunit [Source:UniProtKB/TrEMBL;Acc:E3MMI5] MEMGREREWETCAAHSAPASFSVLSTSPGCRRAASFPFFGDTSIHASSLKVRSQSESEGLNKMRLTHMNLDTVRKRLSETTIKEKDEGSDYQSHSSSSSECGNDDLDLFCAGPLELKEEEKSRKSVRFADDCGQDLTMIRVATEPSDCPPKLSPSVLRRYRGESFEEEEIAAREPAPVWDLTFKQPAADYVRFRENLETNKVSLENVILNNEGYKVLGTVKVGNIAFEKSVFVRYTMNGWISYMDKAAIYQPSTSKVYDCFKFEIDLPSSVEKIHQIEFCICFKANETEYWDSNSGTNYVLHCAQHHPVVPQQQQQRRASLFGGSKYLDRDDAYKLDYNDWGKFASWKALSTDGPYW >CRE03301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:442731:444117:-1 gene:WBGene00063199 transcript:CRE03301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncs-7 MIGSASCTRPLHQALIPLAVSVPPAALFIRGKTGHIEMEEELDTAPALERQRPPSIDYLFEITNFSRREIQQLYRSFKELWPNGTVALEQFQAIYASIFPNGDSKSYAELVFRNIDQTRVGSVTFLDFITNYSKIAKGTVDERLDWIFTLYDTNKSGYIAYNEIFHVVKSMYQMVDASLQPTALATICRQHVKIVFKNLNIANGGRINKEEFMQRCRADTEIVNTLEVFNSFSTGLLNF >CRE03239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:448056:451049:1 gene:WBGene00063200 transcript:CRE03239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03239 MTSTRPKRSVRNLTPAPPVEDDATIVRSTLDRLIYTVDVIARFDQTRRDVAAVQRGESNKTMAQVMTEARWHEHATKAKKDCPLGSTKKKKYYTEEEVTDDDEEDDPNVPSTSTKHARTPKPTPEKKVPTPSKAKLAAMKKKADAEKRKAMREAKKLEALNEKAAEEDEADESYLGMPVLENAEDQPTTSTNHESMNPVLEDFNDVVPEVLEEQRILEEPENPAILKEPTNNTENSTILKEKPIIEGEGLAMKEEEPTIEEEGPVIEKVSAEEPSHQPDELITPATDIEKMDTDEPIEQEPEIQQPVAETPIPLEQKIEEMEVDDPNVPGPTQLDIPHNETKCDEPVVSNIEKEKQNTSEATENKVIPPIDVPETEKAPDTAPLEKIAQPTPEPLPVVSEKSIIKETEATSSVVDQPVLEKPAGQGSVTQKPVQKEHELVQPEPPKEITPIVSSQKVPETEKPQVQHTQPQEQQQQPTVYKPVPYYSEAEKQLFKAPEVSKQNPESTVPLHKPFKVDYSYIPITYQSTEEIPNYYSRRMIKPWIGNDVQLYPLDCVPLSDDTPGRVWYPPRRACREEWEPMVEIGPLTEMQTLELTLCPEFLLHCYQEKCDLIVERLIELQTPFVRMKHFEPRQTQEIWHQTGLQLEKFEETLDEINTRDIRRRMRVACLPKPQLKCGPRHPQCIRYAKFDNTWYTSSRKWRDRSCMAPGYYARLDTEYRFTNSKDPTTLNFRMHMSEISQRVHNGDLGRKIRTFYLPLIYDISKKSPLVQQRMMLFRERLARYFQYEDFERSYMNDPACREIYKKLRPLPFENGVVIDEAEEELDVLIAYYKSRHAVENPPIQLPKAGNEKLPNRKDIARITERLVADIVDCVVFREHTGLHYFPYRAPIHNNAIPP >CRE03302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:451560:452200:-1 gene:WBGene00063201 transcript:CRE03302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-9 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3MMI8] MAAPILNPACPGSYALPYGVRSGLQIAFNGLVKHKNKDFNINLLSGGNIALHMSFRWEKDKIVAINAKIDNAWGNEIRHSNPLHHDQAFDLQVRVYPGHFHVTTNGVLLGDFPHRLPFESIGAISLEGNVHINNIQFSQFH >CRE03240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:465876:468576:1 gene:WBGene00063202 transcript:CRE03240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-7 MLKKHDMFGIHTKKKECFSFSLSRLLMPPVMVPSDAASSTTTFIDVLFGWAVSFITMLSHLLNGYLTAFFVFTGIILNLLSVSIFLRKERAGTPAIQYYLVTLTLWQTALLANAFLLYSFPNLWWGHLVSQGTYVYLYPYVYTFANTTHTGSVWIVLTLTIDRYLALCQPLKHRAIGKKRRVRRLMVVVSIMAIMFSIPRFFEVHVILYCDPPTSDNCVATIDRTSLFDNRLYWTIYHVILAMIFVTLLPCLILFALTLRISIALRSAIAKRKSLCAPNSDVDTRCKSMKSSRYNSSRKDHKSNIMLVLVIAKFLVSDILPTVIDVLEHVVGQSAFMRSPLASLFVDISNFLIVLNCSSNFWVFFVWGKRFRRSCRKCIKSTQIGACIYDMAQWNTDSEVSLCAPSSFTTVNATKAYGSTERSFRYKRHMELTASRTDETSLNPSRT >CRE03242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:471698:476276:1 gene:WBGene00063203 transcript:CRE03242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03242 MPLADISTSLPAISAIDPIEFLLTIAVFIVMVSVFAMCTCCGNDKTNRDKQDETDYGVVTVTGNPPASSKPAALNNINFRPNPVKAPHERHSQPVTNMLQNMLTFNRQSAPGRALPKLPADLYTAIDKKAKRGGVTAGEIDDIRFVDETVNPMYECIDAETDSFVDPLYSKVGEVSGATTSRDRKYDYPIFSGRPQNRTRPDETVYQSASQIYAPNSEDPYSSITSERGRNVIENDGDNSSAYDPGYAKVNLNVQPKTGVDKKKSKIEKTERELDLLYSKIRRNVSHYGDDSQPGPSNRPEPILPAFPIVDPIPPAIPFDGQSAVSSREPSYRYITMRENADVVRERLRQQGQLDQPRREHYYSTIGNEYETIANGNVSNNVQNPISIDTQRAPLANISTSSILDAPPPPTSPIPGRSRPVSYIDTYGSSNNGGPAIPPPPDLRCSPVPPRPPGEDLQPHVFSISHASSSSAGAPQRVSYSIDCNDAYTMPTTNRPTSSYRSLETLLEKRTVEEKETIVEQRSTFATGVPIPGANTSNINESMSRSMDSWGTTSSRAIHEVPIRRLDRNYDGGGPGVDVIISGRRYRSGQGVSEEPISSTSVQELKTEPETKEINSDKRKDRTKSSSEDEAETGRSSRMSRNKKGWKEESEEERIKRLGTIYTANDYVCQMDMTDQKPWPLGGNSSSTGS >CRE03245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:483170:484135:1 gene:WBGene00063204 transcript:CRE03245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03245 MNKLIEYGSVESMPLYNCSAHSSEEWSKLFGVRHPYLGYFDIIFGVVVNVSYGSEKLSHPTVIQILYAPILSVMFQPEYYKMSCFKIMICLGIVDMLALWINSIITGVLALQGAVYCTYPTFNYMIGSIVLGLWCCSCIIVLGLVANRLLEMSKPAYAYTLFNGYRTYIVMIIPVMYGIYFILFTNPVGFNSKYYTWLFDPMITENRSTEYHNIPHSINNVFIVAVTCFMYGWLYFIVQEKMSMISWKNRRQDLVGDLFHLPYEQFLFFRVFKY >CRE03303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:484814:493217:-1 gene:WBGene00063205 transcript:CRE03303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03303 MSGTGQPNPPRNDPSSSSGGTAATNNVMQRQPSENFKKAQVNVKNAITRASSAGLKTSEDAQPFIDSPVDHDALELETIDKLRKKLIVIAGMIETDTHFFESIKNSSEILSSKERNGLREVAKAHLDLKPRTITLPIVKKTIKELQAVLEKQNYHIEAVSSTDAADISEIVANSPVDDEEQYVDNTIAQLNSINNHVAPSSQDEDNENRNNAQHHSSVGFANSGGTQVPPVVPPVISSNTGNHEASSMLLGTVPNSASSGLPISCPVCRGNHDLFDCESPKLTAYCARNGLCVLCMSSDHLSRQCQIREAGLSPIPSGAINEMHPENGGRFITSCKPIVASTPRNHVQSNFHSLSDSERKEHSGPDRTLAPRTQNEVSSEEETDDACRATERGRKMKSSNKGLSYYDLEAILPKFSGDALRYKKFISMFEKLGVENPRISDEMRLAILEKKLVGDAKRFYVDLSIPAQAIEATLAGLRTAFEGDTSGVTEALKRFRELTFHETDLKRSSRQLQEGKSLVLRLRDLGEDVDSPAFVRNLMEKLPVPVMNFIKPLFINGAQPTTTEILDKYDSYHKDLAFFDRFKPAAASERLKEIPDESVMTVSASSNKSKSSKGNSNSGVSTRTDSSANSSSKKPKVRNNDPPTSNRDGKSKNASKNSKSNNVDNGSSGEAGTGHFGAQTNAHQGNMTPRSYGFAAFGNPPPQTPTGAPKAGNYQGGHPTSTPSTDKPKPRIPGMRGQPGEKLEPCYKLGRGYDERFIHHTFPRDSEIASKCCFICGPGHSILQCALSSYEVRQYFRTTGSCHNCAQQTHRTEDCKNFSTCAYCQGVDSGPSLARIPTVASPATAPLERPSGPDSDKYPLLCRSLPLFLDRLTQESLYNASLVEDCGEISKLPFVALRTTDGHKVLALVDSGASLSVLSHESAERFGLDILATKTLTISGYSKTTTEQSNIYQISFHTDGKPFSMLIAGAPRLPKTKFVCPRLNAADISYLRDKKIDPHRLSADSSFNGQFIDMILGNDLLSRLLGTSKRLLLPSERFVELTKFAPIIFPPPRSSLPPSDSISDEIDAFHCESFIGSLMTPADSKDPVDRLHTEISQLWNLENVGIEEPGPIEGKKTEIKDLVAEFEKNIRYTEEGYLLVSLPWNGKQSRLASNRGVAGKRLEQLILSLKRKKNLMQDYDDILKKQLASGIIEMVTPEMDNDTDPLYYIPHRVVVKESSLTTKLRIVLDASSKKGGELSLNDCLDPGPSMLVDLFDILIRSRLSDYLVVGDIEKAFHQVRLVPEDRNCTRFIWLKDITKPPVKGNIVEFRFTRIPFGMTCSPFLLAATIDHYLNAMTDGIAERIRQNIYVDNVMLTSNNKAEIQDLRIDSKKAFNSMNMCLREYITNSQDEMAKFPRDEITSETTVKLLGYHWDSVKDTYTVKLATLLETHPTKRQVASRMAETFDPLGVLAPLFVSFKLLMRDLWSDGIDWKSKVPRSLLNQWEAIRKQFSESSITIPRMLRPSGPFKKSHLLVFSDASKDTYACAVYILYEYDDKPPKVGLLTAKSKIKPSASKTLTIPRLELLAIEIGTRIAMSVVTAMTSERPSSVRFFSDATVALYWILRNEQKKCWVSNRVKAINEACDRLQSLEIPSTFHHCPTDQNPADIATRGMGSEELKNCSLWFRGPDFLTNPPSTWPCRLEGNVNCPSDFRELISSEIIAARKKSDKNSTEKSVNPTEQSVDCSAEKSADIVEKSTDQPEFNALTEALRGMCLLTQCNEQYVSFVPFERSNSLARVVTYTHSTLNCLLKLFKRHVWKSPIMTEFINAKLSSCTPDMGVHTRAIARRLVFIEHYKESASSGQEFPSKLDPILGVDGLWRAHRRVPSPVLESETYKLILVHKKHRLARLLVMETHLKNVHLPATYLVAALRTRYWIQADKQLADSVIRSCVPCQKVNNKPFEYPFTRTLPRFRTTPSTPFQHVGLDYGGPLNYRLDDGSSIGKAYFLVYTCLVTRATHLELIPDGTTEMYLHGLRNVFSRRGVPTSIYSDNARTFTLGAKILTDDVNQYVPSTSFTSFLALHAIDFRYITPLAPWQGGIYERIVGIVKHQLRKEIGKTLEVFFVLSHVITRVESMLNSRPLTPNPRDLDDLPALRPVDFLLPTVLIDLPTERDGLNHGEEFDPSRNPSLTERRTLDHLAGLDEVLERLWDIWSGAYLAYLKENTYPEKRTTTLQPRVGQLVFIYTEKLARHNWPLGIIETLIYSKTGEIRSATVRCKGKIYERPVNHLIPLEVSSSDDVPALEPVQSGHDSQAPPDPPRIATFPIHSKNRKPNTLKTSVEGKRLLKVQSCSEKLDVADPKKIPDVGVCRSGTPTEGIGLKSNGTEPKLVPLCPTLDACRSGAPKERMVPPAPDSDACRSDTSGEGSWREVPSLVACRSGAPKGRIVPPAPDSDSSEEGSWREVPTLDACRSGASKIRIGLKSSGLEQLPDSGSPRNANSLAFYGTPRSGTARTTESATDMDACRSGAPRKRRRLQSPEYVSIPESGPPRIANSLAFDGKPRSGTAVFSDSGSVMDVGRSGTSKGRIVPPAPDSDACRSGASGKGSWWEVPNLVASRSGASKAKVPPRAPDSDVCRSDTSGKGSIRRVPNLDANRHASNNDSAKMYSNPKAPRQRAPVNMDDWTESSGSTISHDDDGSTGIGNQCPGISYPMLSSRPDTVDHAKARLPSHRVRPFQPRKAKANLACYACITQEAGTQTPRSVVSPLVPESGPCHTPN >CRE03305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:500046:502038:-1 gene:WBGene00063206 transcript:CRE03305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03305 MNTSKSRFDKKPVLNEAILDVDLPPISQIPLPEISKARENELLDDPKEASPEPSVFGIGAERAAEGKALEQEELDYAESSGHESDIGDDTSKFAGNSPSKEETIPPNQQVIQGPLNFFVYPDGIPESPIPLFQESKNNDQGPRPVSEFVENGEEEMTEIEIRTNEALLNLEKIPSIDDKLKNILELVQTLVDTSVTPDQLNNFVTKKDLEKLALKSDLDTLVKKADILDRLPTLDVWTNMIENLAPFAALQGLSSNMNNFLVQLTSIAEDQRRISKRQGQGNGLVQKLIDQGKFDREDFEKTSLKITRVYNSLRDYIARKVSALEQANEVRSLKTLVADIREKQASYKQILDLEDPAEEHRSQALGEIYALERSSELAQIQKNTEHMERKLLENRRLNSVKCFFCHQNHDAAKCHVYPDLPSRRQQLLDEHRCLICGKSGCDGSEETCKAANRECRSCSASLPIEERQHHQTLCPRRYQKRNSSAVFTASKNDVVATSIAPGVDEDSSSNMAKYLDNSRPQIPGRFRVKPEHVIHGSGDSDEEDEPSLKRPALVATSSNGNRDEPKAKKQKHTRGPRGGKKFKFAKKGSKIEKSSSAPVVKSEPSIKEEHGNAKN >CRE03249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:517078:517413:1 gene:WBGene00063207 transcript:CRE03249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03249 MSMISWKSRRQDLSFQILIQSAMICSVNMVAAITFVLMNFVKLPIIIIIIAHKTWQLIHAFPVFIYLVVNKTIRNGLLRKLRLKNRVSSMASYNP >CRE03250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:522048:523094:1 gene:WBGene00063208 transcript:CRE03250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03250 MNHSIENIVQQDNNNIVEEVNHVQKETLKRKNVWVIEIPAKIFKEDIEDPCSSNAYPTKEIMGIYVPMKPDYSRLPKGFSLGKLAHEKYAGIVYCELYDRYEIQIYCRLFEHFSYYPIYLFDQDIWIPAHVHAYAEVLKFRTPPSVLMAEARSRPTVN >CRE03309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:524442:526742:-1 gene:WBGene00063209 transcript:CRE03309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sup-10 description:CRE-SUP-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MMK6] MTGGRRNRNTCSSCAEIRVFHPRFPRSLWESVKMRFQYLILFLVNFIHCWNSKRSFFLPEFLTSGDDSPKSKTESVIKERMEYGRMILLVCNKTCAKHRSDIPLWLKEFNQKKGYQEPETITYYYHTYRQPVTFVDTNETTSFPNLIYFIGLKKVVFNGDVNDRRSVDDWMETVDHLHLIKPKIYSDLNEILSDTSNCSMKYLLLSDRPKCPQPSWSIVARIAQDLGIQPVKIRYPLDGITHVLLHKRMPFLSEASCHLSVLLYENSYSDFGDDINPLVVSEVITYLLKIAKPFQVSDWITTLLPQEEGSCPALFETYWHPIEDELTELQQIFFSAELEISERNKRPTFILVGLTSGIAVIILAFSIFWGLNGSGFSE >CRE03310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:527853:532325:-1 gene:WBGene00063210 transcript:CRE03310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-1 description:CRE-NHR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MMK7] MNGTLADQLQNQLANYGQPVMNQRGGNDDPSMYMNGSSASVSNTNGSSSMGNDQKFPSYKRMAQRRKVPEGELCAVCSDLATGYHYGVASCNGCKTFFRRTIVSEQTFICQYNGNCDVNKNIRCACRHCRFNKCLLVGMDAKAIQNDRDRIGPTKKIKMSSGSDDEQATTPHRLQDQEIIDQLTQVEGLCQELRRCIIPEVTGVTHALTSPCLLFETTDLKVDVSLTNTIFKELYPASMNDIRMWNIREMRICIEWAKTFDVYQRLNLFDQFALVRNFAFAFNLLNRVFYSPDHGPDKIVFQNGAFIMRQPQQQVQLSGCRPIYTRQMDEIMIPFRKLQLSVSEFATFKAALFFNPDALDLSPSAKQEVFEERNKYLGALFTCITQKIGIPTGVQKYGSLLMMTASIQNILAQNEENMQVMELFKNWEVDPFVKELCMKRA >CRE03251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:553257:555147:1 gene:WBGene00063212 transcript:CRE03251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-24 MNQTHEQNFTEVIDPIKTAAHLTWSLTALIGIPANLFVLAAIVYFRCLIFRDMRTISNIYIFNLAVADLLFLCGIPVSFFAQTAQEGWIMGPIMCKLYISGNAVSQFASAVFIAILSFDRYLAVCRPIQSKSFRTTQAAFALSVTAWIMVILEMTPLFLFVKLIKSSAGAVIGLKNVNCLVSGGKTRGSCMLFVGNVTALESEDEMNMTVMNEIEQNMLASRRFFTSYTFALSYLIPLVAVWYFYFKIILKMCQRKRQMHTKRTGTKKRTTKVTIMGLAIVISYTLCWLPFWIVQWSIEANLFQGSKYLLVCFSHFAFALQYINSAANPFLYVFLSDSFQKNIQKLLRTAKPDKQAMPKNSTEPLDTSKMLTILITAANPMCFSCYFKLFRSHVPAYPEL >CRE03252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:560754:565616:1 gene:WBGene00063213 transcript:CRE03252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tps-1 description:CRE-TPS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MML0] MSEAATAVHSYANGIDQVATPPDVIFSIEGEPQNQISPKMDPFDRPKHDNDPIEDAVKRCHKVLERLECPFIAGKDKDGDIDESDELTESEDHDEMANEDDGIPANERKVETRKMDCTTGQLVAPKYSEKIETASSASESSDDNEYMAVFKYTIRTCYTIWKKRQKNSEIALKGLMIILELCLNQPAARDEIFSALVETLGFNTVTFWKNVVPKVFDSDLTFATPYREALLFSLVLYDVNYSKNRLRELYAAIPGFRQSMLGSRAKQFGERYRHLQMKIARSRASSRMSSKVGSMENLPSMSSIVNEVFIDEEQTHVGNQQPLVDMSHDKQRVINVSNAPPVSISRKTSGSWEIKQGSGGLVACVDPVMSADKKNIWLSNLGVNMQEELKEHSTTTNSLGLPLIKQACAGEVFCVLERNEKKELTPKQQAVESDMSLLSVLNTYNKHSYQLNPVVVNQDDYDTYYGGISNGLLWPALHNLPQYISTCYDDPETLRNQWCAYVRVNYLFSINAARNSRAQDFIWIHDYHLMLCGHIMRSLESSLEIGFFLHIPFQPPANFMTKYKTVADPIIRALLRFTKVGFQTSRDRETFVKLVRDHIKRTKVEYDSRLDRYTIEHEGWTCSLGVFPVSIKIADFVNIAKNPQTIIEAEEIRKQILGKCAEGGQLFFSVERFDYTKGISEKLRAWQRYFEKYPDRIGKDVLLQVAVTNRRSVDSYRQYQDDVMALADLINEKFKSEEYPDWKPVIFETDGLPRSRLIAHYLAMDIGVVTPSKDGMNLVAKEMLVCNPTASLVLSTGAGTEVQLSNAQFYSEQEGKCYHRVENIADTEAFANNFFAAATESKDTRVKHGEKINQFLCVHDIDEWSDQFLDPKWTHEVISQCEVKQLGQFYALMSRTAQTRRQIVECVLKGLPIRPHFAISLENAKNSLETSCAEGTKLTLEADEESGEEKGFKITYDIRDELSEMEKDLAFLAFIQSDEYENAEEFIKTIGSFYEGGPVLFKNEVKQAAEMLQQGIHYNTFFTDRDGTLKSYACSYPTSVQPAYSAVIQAQFARRCATFCAIVTTAPLLHTGILEVATIPEGYYAYGASAGREWYLNPAQQFKDRSFSAVDLTLMNKVFELIEELLEKPEFKTFKWIGSGIQKHCGHITIAKQDVNGTIPTRKVTRLYEELVRIVNDFDPNGTTLTMRESDLDFKIYVKAKLKGRIFNKGHGIRLVREKLKPNMSKGNCLVCGDNESDIPMLEECLKLAGSKVYTIWVTTDKSLQEKVTHLCDRYSCKNIHFVSCPQVLLGAMAYATAHTLIDERNRKADNYYDSDTPIDAEEAGSN >CRE03253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:566513:568886:1 gene:WBGene00063214 transcript:CRE03253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-17.1 MYRILSQNDNESNDNDEKNVKILADDEALDAKRWKRRHVVAVLALLGFANIYAMRANLSIAIVEMTSGGEKKVNGTMLHVHGDFENWTPMTQGVVLSSFFYGYIVSQLPGGYLAYTHGAKTVFFAGTFGTAVFTLLTPPFARMGYGMLVFARFMEGLLEGVTYPAMHVIWSRWAPPLEQTKLATFAFSGSYFGTVIAMPLSAYLGENFGWPMIFWFFGALGVIWCTVWFKTVHDRPEDDPKITTSELALLQRDAFSQSHYMVPWGQILRSKPVWAIIMAHSAQNFGFYIMLTNLPRMLKDIAGYNVEKAGFASSLPYFLMGFQIITGGQLCDYLRKNKHYDTLFVRKVACALGFVGQSVFLFLVMTTSNSFLLVLFFSISIGLGGICWCGFSVNHLDLAPQYAGHLMAVSNTFATIPGIFGPLLVGAIVENGIISEWNVIMYIIISIYLAGGALFWKFADASLQPWASDHTSFVGQLE >CRE03312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:569315:570507:-1 gene:WBGene00063215 transcript:CRE03312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03312 MSKAAKKKSSKKRSGSEAAQFDQKTIQEFKEAFGIMDQNKDGVIDKSDLKDLYASMGQIAPDSQIEAMIKEASGPINFTVFLTLFGERLTGTDPEATIVGAFAMFDKKDCGKIKEDELIKILQNKRGEPLDEDEIKAMYKGKPPIEGGEVDYKAFAHLITTGAQDELASA >CRE03254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:571911:573079:1 gene:WBGene00063216 transcript:CRE03254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlc-2 description:CRE-MLC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MML3] MPRVGCGPLCYTIFQTASRESATLRRLPTYLQSSRREHLLLFVSPPSSFSNSSFSILSIRLPANHYYLSALDRIGSWKTPLLFRFFSFFPCVPSKTFTFSSLLFIYSTLRILTILGREPLSNMSKAAKKKSSKKRSGSEAAQFDQKTIQEFKEAFGIMDQNKDGVIDKSDLKDLYASMGQIAPDSQIEAMIKEASGPINFTVFLTLFGERLTGTDPEATIVGAFAMFDKKDCGKIKEDELIKILQNKRGEPLDEDEIKAMYKGKPPIEGGEVDYKAFAHLITTGAQDELASA >CRE03313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:573451:574958:-1 gene:WBGene00063217 transcript:CRE03313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03313 MDALENSLISKMSQMTTDDRENLIRKFADIISPQIIPHDLASFYLDLANWNLSTALSVFYDQNGDLVHMEEAFRQSCLSSTIKECTNGEAISGVIKTIHISLNNVIHFQSFTYRPNATFFCGWRVVNDGRFRWPEGTRLAFVDGDPIDYEVWKDTVLDPDQSENIDIRITCPIEMGDFKARFQFVTPQNIFFGESIWVIIRVRPLTEAEAAMEVSAQPIDPYPYPQQLAVLPYNEGPSGTHPSPVQDPTHDEAMME >CRE03315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:577127:580360:-1 gene:WBGene00063218 transcript:CRE03315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03315 EVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEITTPEVTTPVVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTSEVTTPEVTTAEITTPEVTTFTTPEVTTPEVTTPVVTTAEVTTPEVTTPEVTTPEVTTAEITTPEVTTPEVTTPEVTTAEITTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTAEVTTPKVTTPEVTTPQVTTAEVTTPEVTTPEVTTAEVTTPKVTTPEVTTPEVTTAEVTTPEVTTPVVTTPEVTTPEVSTQGLSTAGPIQSTVSSSCCLLGGVWSEWVSSGSCNDTCGNCGTTTFSRVCLSESSSCPCSGSATKTGECAPSPCPFPRATCCGSRTKIIVGKEFQCSAADDTDPPPSTLCATDCCPSSGGYWSEWSAGGACPTSCGSCSTTTQKRVCLSPTNCPCKGAATREVNCGIGVCYFPLDSCCAGFTATVVGSEHVCGPQPNYTTPYAPYDPTCSSPCCAETGIWSEWTFSPSQCRDFCGSCGNQTRTRTCLSEADGCPCQGLATLTEQCGTGVCYFPRLSCCPGYSATVENSQHVCGPLTTSLSDPDKLNTCGVDCCPSLGIWGEWTTTMACNDTCGSCGTTTRSRKCLSLQYGCGCTGNATDSQPCASSVCLFPRTSCCPGFKKMINITEKTFYCGPLPTIPAFNPEQTTCCDPENIGLWNNWGPWSVCDSTCGGCGTQNRTRTCASEAYGCPCVDAKIETKICGQVSCGGSSPCCAGKYLATGYDGTQYCQANVPEVCTGTWTDWSTVYGATCNDTCGNCGVINRYRYCFPSGCQCDGAFTDMAPCGNSVCLFPRTSCCAPYKKKIDLIQKTFYCG >CRE27573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:12575:13232:1 gene:WBGene00063219 transcript:CRE27573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27573 MSNKATYNLYSNERNSGNNQIRGGQDQLKRKIATTTESTAKKLRTDKLYEIVPGVTFPTAETMGIDTPMDPDFSRLPKGFKLGKLAREKFAGIVKADLYDQYEIQFYCSLFEHFSYNPIYLFDQDIWIPAHVHAYTEVIKFRTPPYVLLQQALSANHFHI >CRE27641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:13673:13927:-1 gene:WBGene00063220 transcript:CRE27641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27641 MSYYGNGGYNPYEQQGFAPPPMHGAPGFAPPPVHGAPGFAPPPTVHVHNNSGHHHHHHGLLHGLGHVFGHHHHHHGHHGHHGHH >CRE27575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:16846:23754:1 gene:WBGene00063221 transcript:CRE27575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-343 description:CRE-TAG-343 protein [Source:UniProtKB/TrEMBL;Acc:E3MKP9] MIRALSDTPLLEDMISPDSKFVGEALEQRDVRTIFVSAKEDNKERENRVILLIGPSSSQKSELIDFLCNYFYGVEPTDQKRFHIADEKFNQETPQRPVQCYIFNETVMPVRPVILDMIGCGDTYDGFDTPSLINKWLLNNWKMRLDTIAVVFSDLHRMSMHEEDELQQVPKQIVVSLHNFQLQVLSDIPEHVRDNIVVFITASDGSRTFEPLLRRFGLSDYPKFTINTACTFQKQMEDRLNDEHRRRYWKMSVNQFNTFMERVQESTPVTISGLQFIDDGIYGVQESAQNSSRNSVYSSSNRSTVIEVEQSSRAPLSQAPPPPSTARPTTSPPQPPVSNPPTKPSPPQPPPKPTIRPPPLPASPPPIPPSSEPPSVGIPLYSEKHQQQLRSQTTAVSPPKPPSFAPPVPPDTALITDTSTTTALTNAMFQQLRQSSTQYDYPTHGTERAYSAQVPYDVPPSVQSAGGLHERRHSVPDDIRHYADETTPPPVLHDVADRYSTVAYMNHELTNNSTRIYSRSPTRSQVGVRDAHRLSRDDLRIVDAHSSPSDSQSEELRRMYSGRSGNSVKPTGDITARYVYDTANRNYTAYGERQERQSYTSFPAAPANDRKRWSRSSEPRSETYINDPYYSGKDVVSGYGVIETRRSRTSLHSHGSVPELIHSENKYDYQQLSEQQTRDLERRTRQDTERRSRRQSEGSRQIYIDRRISPERQQKDRNSGAYSNVNMQDNPQYNLPRRDAPPPQIPPKPVIQRVSISLEVSKSATIFQVSGGEVYEDERSIYEAYSQIHHQRPPTQPHIISAGIQPQPIQPPPQQQYPPRYDQVPEQSQTNHYIIPRPMRESGSPIQVRVQLSSKSPDTVRRQIPLQVVSEQQYDNYGEPIYVPRTIAPRVTEETITTTTTTRNEEIERKRKKKKEDDDRKKQSIDNGSQKGYDPCWLLIISQKIFISVADNNRGNVVDHNNGHGHSHEVYIDKGNAGIKDGSQYDYGRFENHHRDAEEALIEEEYNTRHLKRTVEQPQKKYPKKDDQRHIEDGYGYHPRDDRNQHHDNRGKRARETKQVTQRRAIGWSPSNYRNPRDCFLNLLCFIIAPLVIILIIVVIIVFVIIQ >CRE27576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:24833:28977:1 gene:WBGene00063222 transcript:CRE27576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27576 MARRGFKQRLKVTRESIERFCHDLKIVKMLSSPDRPGSSGAETISLLSEDDGTSPCFKPKPYMERLDLSHVGPSDVFKDDGVQPVITTPRKNLNFDLPRSPRIETTSADRNVELRSVLSALLRWLVSEVSKDFSGLAIYWLRDHPTFCFIFLIFLLCCFVSFSFFPDVLVALTLLPAFFFFQ >CRE27577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:29787:33893:1 gene:WBGene00063223 transcript:CRE27577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27577 MYHLDDDYFTRFNQPSSSTVQFPSESLRYGGSGSATTTTFGAENDQQSTRFRSQSADNRRAAFQSKPRTISEDHIHEPWNQGPLRAAEVNFPSQQRRPASTTPWTHSEYHSAHNLSVPSSFSEHLRERSPASGSALLDLLSRYPSAQNSVQNSPAVQAYIDRIHSDRPPPTRTYTYHSSESNFPLIEYSVRQEAPNGFKTTTSVYQNVVPNGNGYPTHQRVQTTFTSNGPVTSAFHREYQNSTPHQYQHSNSLGGPPPLLPRESSLQQQQQQAHPFENRSELHRHPPPSFSSSHQFYNNGVPAPASSASSGGFRQPVFHDTDQLDLIAQRLLDENMSRSTSEWSKSFEQLQNRFQNLEGEDLLTPSAFTSSGSMTLPRRGTQLPISKSSSNYEMNSSLLGRAVERARAAEAPEPRRSGALDNFWSQTISSRPSSPTIQRIPTSLTAAERLQMLQEPIDTEKRYPQRIGSGQGVAARKAELMKEPPVEYNEKPRTPLNPAFPSNSFLVPNFNELDSAVNELSRTVRGTTFGATGYNSKPGPPTPNDTLSRRLGGCGRFPTGDHAFSPPPLEHSKSPSSSLHRKLHSPSPTDEVSESSSVYQIPTGLPHPKPKHNVKEQLYLAGIQTPGYQISRTMYRNGPNFPVPSTGSVASRITEFEKRPGTPVVQLSTTTVKYNENVPVAVTPKAVPQTNGNMSPRSAVFRAKPVIHVDMGSTYHQSSPAPPIRHIQVHTQVGQVQQPLHQNQNQIYQNVNQQTFQQPNHYRPHQQLPIKQHDTATHSIFDFKSNVSSFIWNFSILNGDLRPRSRDEADRVCKTPTIPLYA >CRE27578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:37402:41488:1 gene:WBGene00063224 transcript:CRE27578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27578 MEEEGSRRRPSDAVLCECSVLAARRTTRSRTKAIVVNPRTSSQRRFRRFIESIVRKVFDNQRKSPRDNVSAASATQIQADVTPTKSAHLAELNVVSPPKRTASAPASRLNVNGTLDSNGNYGRKTASQSDLNYSSELSVAAPTRHAIPELDLTFDNYNDEQYRPTPKPAPVISRPLPPKPQAPKEPIYYPQGKPVPQLQNDTALRRVCEVFRSFPNQKCTLDNMAAVCEAAGLPMYWKMPVFLAITNDEDRPATQVDFTSWWKAMTSVAHDESARFVYTLTMGSRSYLQPEDFYEMLMDIIHTHPGLAFYRDATEFHDKYCQVVMTRIFWNDAHSWSGKLTTDRLRKGGVLQAIRNLQFDDDINKSLRYFSYEHFYVVYCKFWEIDSDHDLKISSNDLAQHAGSALVPMVVDRIFSGAVCTNPNRGQPVEEIGLAEFTQFLLAEEDKTHPTSIEYWFRILDLDGDGFVTLYDMELFHTQVQRKLANEGIDSMAFPDVACQLIDMLNIPGGASSFRLSDLKKSSLRGRFINTFVNWRKFFAQETNEGSESPRIEDEGGQELTEWDRYCIEEYEAMMEDDQADAETISLNLEEDDGRSSLAYHDVL >CRE27642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:42028:44712:-1 gene:WBGene00063225 transcript:CRE27642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27642 MIWVLLVILGLSNVTHQQSLANSLFAEEGTSPGFVTRINKKALSIVSDQLKDRVIKFMNSDGLEFNISAPLTNQVSFQYRMYQISYSRFQVRFTLRSSRIISFDELFFDSSMSIVPQKGISWTGTNINVTLLASFSLLTTQGEITGNVPLSFDKTNVELLLWTGVNGDGHLKTDLITCKVAANNLQLQFSQADSALLANYIPHMQNFIRQTVEQVVCPSFHAELVPVLSNRIMNTPLSASLFEQYFINYALLGPVEFSNQAITLKHRGNSFGILRQGSGRTRLNDFRLPYRSPPLNVPTADSGHMIDFYLSNYTMSSLLFWMDQYRKFDYEISRQATNNSALVGYLKTDCGTGDICAGTLFPALGTRFPGGEVVIKSHTVSYPRVVLKKNNMTIYIDSRVDAFVQQQDRSRRFLTASMNAEVKLDKPSFKDFVLHGELRIDKFKVSDVASLVDGIDEGSLEFLVNALTELILNEDMAKKLKSGIQLPIMFDYTQQAANVSFEEDMVHISADFCATDKCSTTADNKDTDVDYYDTVQG >CRE27643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:48910:49523:-1 gene:WBGene00063226 transcript:CRE27643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27643 MNFALPTALFFVLIATASCQFLQYDDLSVLGEVVEGSGVEGEKTVASSSTTEATTTTVAETTTTVAPSTTEVEATTTTEATTTVAETTTSEATTTTVVSTTVSTSEPTTEETTTTTTEEPTTTEEITTTEVVISETTPTEEVDEESFLGFKKPDLATIYRRLFPFQHHPGQKHYQGQFGQQGGF >CRE27644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:52853:55181:-1 gene:WBGene00063227 transcript:CRE27644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ain-1 description:CRE-AIN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MKQ5] MESLERSLNNVSIDDAPWRPHEQQPLWSNNGIQQQQQPRAWAPPVQPQFANRSPWQQDSNEIEQNVNEALGLSGMAANPPQWGGMPNSEYDKQIWADPTSDVQYPPPPPHAPFGALNGLGGPSADWSMGSQPHNPVWSNGGMGKESDEFWKQQQPQQQQHYPMPLLQGAWNPRGMNHGPPGQGPRGGPMMQQQDYQWGGPNNMGGNKQMNRPWGQDNNRGMSGMPPRNGRPNHNQGRYSGNMQGGVDVSVPPPMDMHMGGGMGGMRNMGAPNGGHGSWKNNNSGGGNGGHGGQRNYNNRGGMGHNGGGNQGGNNMWNNAGGNGGGNGGNMQQYMGDQSYNNASSFPIGGASDDLTLSVWHDPNGELKKWQRDTGVSYWGDPEKQTERTINLWIVAEGADEDLESALNRCPVPQKKGEDNQRFPFPVPAKRPIVVTGWGELPENDPNNPTKSESTIFDENNRWNDVPTEQNPWYLPNHNATTFSSDNTTGSWVQGGTIPLNEPGSNQVAVAEMLKNAVDKGYLDQSVPMLANLPPTVLQYVNMLLVKIPALESVDNELKQIVDASKPDDTTEIDPQNPSKYMNDSQKLEYNRLIIEVTTAKIEVQDYSKKVNRALVEAGIVQPQEQRAPAAVPTSEEYHYSFLE >CRE27579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:58158:61921:1 gene:WBGene00063228 transcript:CRE27579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27579 MNRGEVMDPRSSDSTGSDQRRVHAVPPTPMYQGETKILDSLLKEAQLNPSLKASVMNHQAQSKSKEEDEKRLQKMLEKYQLEMNMQRANSRKDLNSCGSGASPISHSQPCLIASGSRSSDIPPTEPKMSASAYQRRASASGDQALDVPTLSQTNRSVSSNQKLIQILREENVHLKKELDAFKRSLSKLQQIEYSYARLEKEYEYLANERKKQENLELNVIIQLEKSVKRLTIERDDLQIRLEKASAEPTMVANLMLNEIQQRQELFACKERQKMEIEAQNQTLEEQRNHIAMLEKALANSQERLAKREKKCDELSVVVSHADELRKQLNEVWEEQQRRDQMVESERAQWEMEKTQLRMQLNKDTSLTGSLKRTTTPGSTEDLIRMRKSIQSKDEKIQQLERMVNDLRKTLGDETERRKSTLATITDTFEAQIDKLKEENYEKDLIINELRSERDKYAGMVDKRKEEKGRMGVTGGLSEHARTSSGSMMQHSLHRRSSSGSSFDPVPTAPIQYNMFKNTNNNKSIHMDNQFH >CRE27645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:63093:67564:-1 gene:WBGene00063229 transcript:CRE27645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdh-9 description:CRE-CDH-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MKQ7] MKPYLGVAILFLLARTYQTCLLKNGMSSVYLSAFEDLKPGNVIGTLPFESETSKETDVELHVVKGNDLVDVKPGSHDLILKKGLDRDEGVGKFEAVVECRSSNLDADFSQLNISVFVTVKDINDNAPVFDSAEYFVDIKEELPTGTIVFTDFEATDNDQPGPNSFVQYSIVQGPHSHFLEIPDPFKPVITVKDRINYEEIKKFSVEIEARDQGEPSLASKVPLHVTVVDVNDLLPKFKHSHYTAKMQKNGVLVFEPEAISAQDGDRLNASLIFSLSGELSEHFAIDNDGSIRSISPNPPQRATFFVTAAERDDPERSSTAILSIRLQPSLAFQHNTYSTTISPSTPIGSTVITVTANSENNASVTYSLLADTNTVSIDEVSGNVVLRKKLEKPETLNVTASDGESVVSTTLHLMTANSEETCRTPIKFEKFEYRMTIGKLNVLGVVNATSRSGNKLTYILMNMNNDFEVDTNGVVKLLPKARLNCKTCELIVVAKDGTQMAITKVNIDNPSFVLHSSTTLALLIMIILCLIFAMLAILVCRKFCEKWKQGNRRSSICWLNGTTDTGISITTTTPINSRYVVNSDRDRCYESKSPGPKRSQGAHLVPVTVVSDGSGASPTVYF >CRE27646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:71651:76727:-1 gene:WBGene00063230 transcript:CRE27646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ser-1 description:CRE-SER-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MKQ8] MLVELLSYNPPPEEPYGAILNETFATTVVAPHFSTTSVWSIRIQLIPPMGIYHFNGIALFLLPILCLVGLIGNFMVCVAIATDRRLHNVTNYFLFSLALADLLVCCIVMPLSIVVEVRHGVWTWSVSMCLLYVYSDVFLCSASIVHMSVISLDRYLGISQPLRNRNRSKTLIFLKITIVWVVTLLVSCPIAVLAMKDTANILQDNQCMIFSRYYIVYGSTMTFLIPFGIMLVTYTKTTKLLKKQASILSQRADDKSNGNGLRRTMPHRKLGYARTYSATVNGAHANGRTIGVHGRTMSNISYVENGDSERLGTNRPSINRNGHKTLQKASTINRWKTRTSDLYNAITNKVNRRSSLQTATQDLANEHKATRVLAVVFVCFFVCWTPFFVINFIAGFCGHQCSLPPWVGSLFLWLGYVSSTINPIIYTVFNKRFRQAFVRILRCQCFHPLRDSHQMYSRNYTTTIVPDTYTCSRSNHERTTSVVVRDETRSARSVDRSETSRARSEIAEVPAGRSSGKLVSDKKKISLPSFPRATSSRDSRATTEDTTTDEENKPLLPKTPATAATIINIPDHFVNPIRKSITTIINMPPLNEEKAQVHHKSQTLLATSTLSTKPSNGTSQPIRSMSCVDCKKADKMLASDVSDMMTASTASTASTSNDASRRHLTLFNHFDSAVKETFL >CRE27647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:83730:85985:-1 gene:WBGene00063231 transcript:CRE27647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27647 MDKKYMNCTRCELCGTADVIYGSTFMEYSILFRLLTAPLATMGMGLLSATIVKTKGIHINTKVILLVLCISTIVCNTGITVDCLYKFFISNVMPNYMQCDFQVFSPQYGLVIRHIEILGTMCLSTSAIALAIERTVATIFYRNYSSKPTLGSILVVVQILISFIPLWNIRLPKQMYPYSPPELHDYKLYHFISMWQTLVNVLAFFIFMILWIINYYRKKIIGNSHLQVVLARYNLHENMSTTRLMAPIIVVIAMIVFAAELSLLLVTPQYDRNTVVTHQVLDEVIEYSFYPELQLTLIPILFIALILILVFVSKKIRENFLLVSNLSICFPVKVHNTDSRSSSESSSSEGSSERIHWNRKIDGLSITPQTPDF >CRE27580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:88418:89827:1 gene:WBGene00063232 transcript:CRE27580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27580 MDNLPNELITQILEGCNYADCMCFRATSSKNYDVGNYVLSKSQTFDYRYYNYRCHVGIRNVNGENEKKKYGTNLNPIKMVNFMEFLPNLRKIVLADLPCLFTLGDMIKLGKSLPKIQKFVMIQSEAKKWMGKDMMMGLTYFESLNSLELVGWEPSSVTSRGCASRNEVIPQSTLRNLINLTIICKQETVSKVLQHIVDNEIFMTKCVKAKFNVRLSSSDIPHLIMKFIEYHPNIQRISFNGFLFTTQEQVQIFYDHLLSLPQLERVQLENCSVIERIEQALQRTFLDALRRRGIKFTNLVNSLRH >CRE27648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:89894:96223:-1 gene:WBGene00063233 transcript:CRE27648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osm-1 description:CRE-OSM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MKR1] MVKQKLQALFAHQTEPGPQSHVLIGLSLYSMKMAIKKTDSPYGKKSFNVLCMTFSPDSSRIAIGQSDNVLFIYKVGTSWNEKKVIVNKFVQPSAVTCLSWPFDDKILIGQLDGKVRIGLIKTNKCSSLFKTDETVVSIQTHPKKTSFVSAHQDGSIILYNFSSRTQSKICTLQVPPYNLVFTNHGLVVATADRRVLSYTENGVVQQQFDYNDQVEKEFSSISCDPTAQNVVVASYDRLRLFSWSARRGAWDEGAPLEIQNAYSIDALGWKMDGSTIYAGTVCGAVFSVDCCLRRGMLKSRFETTYVAPSHVIVRDVVSETRTNLISNRGLAIDELKIMGKDRFVIGYTSSTIIIADTESQQFSELEWQSGGHEKFYFDFNNCCLIVNAGEVTIVEYGVDGSLGWVRTELTSPHLLSVQISGSDVEDHKKVKKLAYLVDPTTISIINLINSQQESFINHPGPIDWIELNEPATKLLYRDKRSKVTLVDIDSDQRTVLLSFCTYVQWVPMSDVIVAQSGDNLSVWYNPDLPEQVTNMKIKGEIEAVLRDADRTEVIVQEPTAKVAYELDNTQIEFGAALEKKDFDRAVAFLESNTVGSDSYSMWTRVAEMALEHGNLFVAQRCYAAINNVAKVKKLHDILEIADEAAITIGGDGTHFYKVRAMLAIMGRRFKEAERIFLEQNDTESAIGMYTSLHKWDEALELARVINYPEYEQLKTSYLRALSETGQDSKAAELKVSDGDTMAAIQLYMKANKPLSALSAVNSDSILSQDEGVLRQIAESLVKSQLYDKAGDVYEKLKDFDKAIEYYKKGDAFGKAIQVQKTLARFAYPEKVVDLEQEWGLHLEYIGQYDAAVNHFVEANDLKKAVEAAIRAKEWPKALSIVENIQDQKVRTGYYGEIADHYSSKGEFERAERLFVEAGLFNDAILMYGKNSKWIDAFRLSEEFHGKEATISSYLAKAEDLDEHGRFAEAEQLYITIGMPHKAVQMYDRVGRDEDVLRLVERYHGDHLQETRKRFATQYEERGDLRAAEEEFLKAGDFRAAINMYKENEMWSDAYRIAKNEGGENMEKQVLFMWAKSIGGDAAVKLLNKHGMLMEGIDFACESGAYDLAFDLSRIGAKEQMPAVHVRLASQLEEEGRLEDASKHYVEGNKPELAVEMFIRDNDWAAAERIAKEHCTNLLPEVYTGQARRAIEEGDHLRAETFLLRANKPDIILRYFVENGMWPDALRIAQHYLPHQAALIQEEYEKSELRNGARGVDSFIAQAKEWEQQGDWRKAVSALLRINRDSTDNAALIKQSVEKAADLVMKFLMGEDEYVGSVLGALDESNCHEKAAELLLLFGQTRQAITALCRAKQWGKAKQVAEEYLPEMISEIERNYKESLKTEGRLGELIDVDVVTAIEMMIEHDQWDKALDTAKAQNYRPLLDKYLAQYAAILLHRSDFSKVLSVLERYGASGNPANFSIYKHLMEETMAKQRFEYSEVARLRNVHLDAFIALKKENSEHLNEFKRSLWALHLIAMRTALEELGNGIPEVQKLCMKQSLSLLRYTDILPPDRIFYEAGAAAKDVSQGYESLGFLLLNHYLDLVDAIEEGNGELVDYSPFENSDIPTEVSLPTRQWLESSKHEDIKEWVLAASVDDAHVKELVYDSRGVFEASLTDRSGDTSDPCLVTGYPVIDSTVHIGSMVAEKDNLNKFLVVIKSHQTENLLNVQNFVARWAGSPLAISL >CRE27649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:97526:99747:-1 gene:WBGene00063234 transcript:CRE27649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-19 description:CRE-ZTF-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MKR2] MVQLHHNPYDIVKSEPPSTPKSLIKSSYLENTPEMVFGSFPLHSGFCTQVVASTDPLNNNNQPKTNPNGRALAADRKRPYPCNLCASKFGSKMELEEHQNSHTGQKPFECDTCNARFNRRSTLWNHKRIHSDAKPFVCTVCQMTFKWKNSLKCHKDMHQRKNEVPSHLDNDLRQLTYATAAKRKLQLEQEENGGAATSTANSIASNPLITTTSGNKKRSKNTKNKNNNNSLVASLSQVHLGANVQPLQASALVPPSDHQIDLDTSSMDSLIQNQNHSLLMQLYGGQYADDRQNGGMLAIDDTMLSNISDSKSDSGSSSGASLSIHLPMQINMFNFRNLQAPSLPPVHQIGSQPSVSSGMDYTNQHESRYIVTGPESMLGHPVYHNGAAFVSSEKNGQSHNQFALDNCVLLPSGRHDYQPFDYTMINQHYPMADQVHDQTIGVSAVQQHYTEALHAHGKTVPHDQW >CRE27650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:108334:109509:-1 gene:WBGene00063235 transcript:CRE27650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27650 MEIDQFPIEVVCYMLKYLSVSDLFFLSLSSNDFYNAVKRIKVNSCLTISKISGECALKLICPDAAGCLVFNTRQPEHRDLIMRRSILRMGNEKIKVKATYFIDNCQTTLHIRQKDIPKMMGLVFNYINELFNPTDICLNMKLKNVEKYFQDNTVYTLNIMDKSVDVMRVEKVLSELTVEGHLIALGKITGKLKTNSKINSMNQLHVRGKWMTPAQILKFDGEHALFDNTLFTTKDIGLFLNAWMNGAYPNLETLIINSKNTLYPSEVTPKLVTKPWDPKQRSRHYKYRSRMIEHINRLHLAYVCDCGHDGFDIERKSDGLLATFKISWNHFFFFVWNDRFS >CRE27651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:114166:115062:-1 gene:WBGene00063236 transcript:CRE27651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cah-3 description:CRE-CAH-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MKR5] MTGHWSYCDDDECGPNRWPTGQHQSPINIDLGEVERKDTHDGIKFVNYDHPIQGDIVNNGHSVQMTPELRSEHPEIYGGGLDQVYRLVQYHFHWGENDNEGSEHTLGGLRYPAELHLVHQGVEDPGKLAVVGVFLQIGKEGKALSNEERVLGQLRNPETVTRIENVRLSEKLPNNRRSFWRYEGSLTTPPCSEIVTWTIFTEPVTVTHDQLELFRQVQDIDKRPIKKNYRPTQNLNDRKIVHIVAN >CRE27652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:123733:126033:-1 gene:WBGene00063237 transcript:CRE27652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27652 MSDWMGRLPCSVKKKPFCTLCIPGSHDSGAHWFNLQLGYAHDQSFLRYICSFQCSFVKRIVKRWGLTQSLSIEEQLVAGIRFFDIRLELALDTRESMSCFIVHGLYSTDWLKLAKQIGKFLQAHDQEVVILNVSHIYRMSDYDFRRFFLHPLSMVAERCGVQLCSTREDLRTVSLETLVESNFRIIVVGPLDQDMDECCFRSASIQNKWPNRNNTADLLNFLQAQIHQPVTPGLRVLQGVVTPQMKDIIRNFRSSLRVTFSLPMRSISKTWLRQLDAEEIGNLNIMITDQVDTEFCRLVYFLNVNDCMDHKLDPYTVNPHLDGKEWPPKVVVESPVLDVLSTLSPEPILSEQERFIKAIDELSEPEILIEQIFDDFDVSVSSLPPRKPNRASVMIQQVEMVLETDTSSENTSSLPRSPVPPPKPKRFTQRIPIVMEVVPIPDDETPIIYPDVPMVPISRSSDTPVRIALPVTEEELRLAALGEYPSYRSQRSYRREEKKEEVKEEVEDDESADESAPLISHEVESMVEDLVINSTIDAMDNLAGHFKCH >CRE27653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:126222:134862:-1 gene:WBGene00063238 transcript:CRE27653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-39 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MKR7] MRLSTNQLINATAIFLFLLAEVVRSDLVSSSSSDIRFRRYYRNSRRVSRSATAKKERIWPEGIIPFVIATNFSGEHQHLFLRAMRHWENYTCVSFVPRQAHHKHYITFTVDKCGCCSYVGRRGEGPQAISIGKNCDKFGIVVHELGHVVGFWHEHTRPDRDMYVDIFYKSIQTGQDYNFDKSKPEEVDSLGEPYDFSSIMHYARDTFSRGAFFDTILPKPNSGFRLEIGQRVQLSDGDIRQTKKLYKCAECGGTLMQESGNLAIQHAGTCIWHIISPQGHTIFLNVTGSTLPSPTSTCNNEQENVITVRDGVSISSPLLDKICGGSSLFRTIASSGNRITIQVKSTNPTPLIPFATYYAICGGPIYANEGVIHSPKYPESYPPNSDCQWTIHVDENSQVAIEFVYFHLEQHKECIYDRLVMTEGISKISKKQGKDGSETFCGLIEKKTIVSKTNQISLRFISDNSVQKSGFELRFSKELNECATDKNICHHYCVNTVGGFKCACRVGYSLSSNGFSCDSTCGGYLKASNGSIASPNFPEMYPNSKTCIWEIEAPEGYHIFINFTKFTVEGMKTECAYDYVKIGDSEKLCGEYDTPLLFTTPRNRVRIEFSSDSSVERDGFFANFIADFDECQNANAGCEHVCQNRLGSYVCTCNPGYILAEDKHNCKEGSCFFEVNAPAGDIHSPNYPNDYPKGQNCSWHFVTTPGHRLMLTFSNFQVEEHAHCKYDAVSVFDGGDDSALLSGVFCGLAPPPLILSSSNELYLTFSSDASVSRRGFQAHYTSVCGGRLTAESTPGHIYSHATFSDSKYGKNQDCSWSVRAKSSTRGVRIQFSTFNVESEEGCQYDYVEVYDGAEATQESLVGRFCGDTAPEIITSTGPELLLIMHTDNAEEEKGFVAEYRESSRSSTAKRTYVSRTRHHNPLEEPIHDRRRE >CRE27654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:136154:140769:-1 gene:WBGene00063239 transcript:CRE27654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27654 MACQTGIRANAALRNALNLGKQAKLRLIKIVVNNEEMTPNYEFAGTANWRDDWKACLPECVDAYEPCFILFRLNTITEWVLITFVDDRAPVREKMLLAATCATFKSEFGQCYIEHEKHVTDRKDLTLDSFESWLKAKTELGPMSEVERELHNAQQERAAIAHAGPQHMKGVAFPVDRNAEEALRKLAQQQLSFVQLSVRLLVDTLNEAIKLESTLDSLEPTALASKVPRDKPRYTFYNFDHTWEGVPQKCTLFIYSLPSSGSSIKERMLYSSCKGPFLSAAQNQYGVVITNKMEVDARDDLSEKALLEVIHPLPVEAPKQFSRPAPPRAGPRRITKV >CRE27655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:144035:145817:-1 gene:WBGene00063240 transcript:CRE27655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27655 MFRRLLIAVFCFACLSAVTAQRFLYNPKFQPSFNDGSSMDGLGEFADYMPAKRAFSFQAARGKKSMNGEKRYSYFPSRG >CRE27582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:157236:162306:1 gene:WBGene00063241 transcript:CRE27582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27582 MAATAALRRSSDYGSADTECGEPCETGEPVPLNEVDLLAKMEQLNKSNEEDSRSVASKKTGSSGSRKGAREQTHTPEEDEEDLWSVWGELILNWEVEVKKRPNHIKELVKKGIPQHFRMIAWQNLSNASVSSVHDLYSDYMRQTSVYEKVIQRDIPRTYPELDFFKDGERGQSLLFNVIKAYSVHDKEVGYCQGSAFIVGLLLLQMPEEEAFAVLVSLMENYRLRELYKPTMTDLGLCMFQLECLVQDQMPDLYTHFNNMGFDTSMYASSWFLTLFTTTMPLDIANRIMDCFLVEGMDYIFCISIAILQQARIELLRLDMEGMLKYFQREVRERYENDADLLFAVANQVQLNAKRMKRLEKDYLAKRTKEQEEAVELRKKEGTFHYFCDAAVVRRLQAVRKAHVSVGKICSYTYSYTISYLDRFTDTFMHGDEK >CRE27583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:164089:167704:1 gene:WBGene00063242 transcript:CRE27583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27583 MKTRAQIGKQRFIWTKKRLRTENRLLRQRIDYLEAESSALADRLVKGQVNLAQEAENYINIAHELNKLRDMNSDVHRKLEGAYETIRELSSTRRENIMDTGTQVDDTSMIEHIHSLQQELMEAHTRQADSENTLRDAKLRVSELEMANKRLLEHEPSEDVAGFQEELISVKMREAESSLALKDMRQRLAELEQHWAKYVHVRAFDPSSASIEKDSTSEAHSTQQQPSPPLTSARARLAKITASLIGGSTEEPDHCIGVRELEDQLMGVRIKEADTLAELKEMRQKVMELETQNHVCTNQLKRQDDEMKRVREESEQLVKKRKELEQELKEQKEKMADKESEVSEQRINDRLKYSEAIQTIQELRSSISQLELKKAEKWTQNQLRGSSVCDLDEDSNSHGSICSNVDHLSLASDEMNALIADMTVRIPTLDELAEEGSATETDELRPKELNDGNDTTDSGVQLSDGH >CRE27656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:169161:170580:-1 gene:WBGene00063243 transcript:CRE27656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27656 MITMIIAIIILAVIGLTSSLEEMTHEEKRIENALFAMVGEEPPNQDVPMDHNDQALHDLLLTSAREMSVGHDGGGAADVGKNKEHSVGNDARLCQNDDPTNKSPEGVMAEMWFQSGFEKVVLSKFWNINDQSELAITCFIVFIFAVLHEGIKCFRTYLLFVRKAKRKESTWPFFVRWNPCCIVPYRSTCFVVLLDTHRDELQYLACIFCNSRISVWKLVVLRILFNQIV >CRE27584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:174534:178243:1 gene:WBGene00063244 transcript:CRE27584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27584 MKQRPAFGPVLRLAILALLAVSYVQSQATCRDCSNRGCFCVGEKGSMGAPGPQGPPGTQGIRGFPGPEGLSGPKGQKGAQGPPGPNGIKGDRGAVGVPGFPGNDGGNGRPGEPGPPGAPGWDGCNGTDGAPGIPGRPGPPGMPGFPGPPGMDGLKGEPAIGYAGAPGEKGDGGMPGMPGLPGPSGRDGYPGEKGDRGDTGDAGPRGPPGEAGPPGNPGIGSIGPKGDPGDLGSVGPPGPPGPREFTGSGSIVGPRGNSGDKGDKGEPGEGGQRGYPGNGGLPGQPGLPGMKGEKGLSGPAGPRGKEGRPGNAGPPGFKGDRGLDGLGGIPGTPGQKGEAGYPGRDGPKGSSGPPGPPGGGTFNDGAPGPPGLPGRPGNPGPPGTDGFPGGPGPAGPIGNPGGQGQPGYPGNEGLPGPKGDKGDSGTPGSPGVSGPPGVPGLSGPKGEPG >CRE27585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:178267:182578:1 gene:WBGene00063245 transcript:CRE27585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-2 description:CRE-LET-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MKC6] MGTQFDFRYRGTPGQSIPGLPGKDGKPGLDGAPGRKGENGLPGVRGPPGDSLNGLPGSPGPRGAPGPKGYDGRDGINGLPGAPGSKGDRGGTCSACAPGTKGEKGLSGYPGQPGPQGDRGLPGMPGPVGDAGDDGLPGPAGRPGSPGPPGQDGFPGLPGQKGEPTQLVLRPGPPGYPGLKGENGYPGQPGNDGLPGAPGPVGPAGQPGYPGEKGDAGLPGLSGKPGQDGLPGLPGNKGEAGYGQPGQPGLPGTKGDSGLPGLPGTPGLQGMPGEPAPENQVNPAPPGQPGLPGLPGTKGESGYPGRPGEVGQPGFPGLPGMKGDSGLPGPPGLPGHAGVPGEKGFGGVPGLPGVPGPKGEVGNAGLPGLSGQKGEPGVGVPGQPGAPGFPGLKGDAGLPGLPGAPGLEGQRGFPGAPGLKGENGLPGLSGQPGYPGEKGDAGLPGVPGREGSPGFPGQDGLPGVPGQKGEDGLPGLPGVTGLKGDSGAPGLSGPPGLPGSPGYPGMKGNAGIPGVPGFKGKLNIKSHAELNNHYIKFQETPESQDCQDLTDQSGEPGVPGMPRKPWNEGETADSTDFRERREEAGPAARDGQKGEAGLSRTTRSPVDQPDHPGLPGVPGFKGEGWTSRIWTTRKRLERRDFQESPGKSGRQGAPGSPGQDGLPGFPGLKGEAGYPGQDGLPGRDGLPGVPGMKGDLGTAGQPGLPGGPGLDGQPGVPGIRGDKGQSGLPGIPGDRGMDGFPGQKGENGYPGQPGLPGLGGEKGFAGTPGFPGLKGSPGYPGQDGLPGIPGLKGESGYPGQPGQEGLPGLSGEKGQSGLPGLPGQPGQSIPGPVGPPGAPGLQGKDGFPGLPGQKGDSGLNGLPGAPGLKGDAGLPGFPGAKGDPGSNGIPGKRGEDGLPGVPGRDGQPGIPGLKGELGGAGLPGQPGFPGLPGIKGEGGLPGFPGAKGEPGFPGSPGVPGYAGEKGDGGLPGLPGRDGLPGADGPQGPPGPPGPQNLVEPGEKGLPGLPGVPGLRGEKGMPGLDGPPGNDGPPGLPGQRGNDGYPGAPGLSGEKGMGGLPGFPGLDGQPGGPGAPGLPGAPGAAGPAYRDGFVLVKHSQTTEVPRCPEGHAGSCLQRFSTMPFLFCDFNNVCNYASRNDKSYWLSTSASIPMMPVNEHEIEPYISRCAVCEAPANVIAVHSQTIQIPNCPAGWSSLWIGYSFAMHTGAGAEGGGQSLSSPGSCLEDFRATPFIECNGARGSCHYFANKFSFWLTTIDNDSEFKVPESQTLKSGNLRTRVSRCQVCVKSTDGRH >CRE27657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:183205:186162:-1 gene:WBGene00063246 transcript:CRE27657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sur-7 description:CRE-SUR-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MKC7] MSSVRTNGPMLLTSSPPMSPPVPLEENPAKPSQAFVRFLMVLLIILMGSQYTYGVFVHSAFLSMQFAGSALLFLSAAESYWWIVFAKKSNHQGIRLLSRYPLNYFFSVNVICFRTAGTIFYIACLFLNLTHCLEDISDINDSGHTEHSNETAEALTDSAGTFGELFEEAGHGHGINPLILYGSVVDLAIKTAFAFSYNSLSIHFFGNFFVMVSPPLLTLAVYAHQLREVDLFGDWLEDHLEPSATIVLTVMCISIAFWSLSKKKQFLLAEGPKNFEIDKISKAVKSKNDRLEKVDHVHASCEWPEGFTVSLKAYIKVEKSKKDWVSRAADDYSQLKSLLHQEIKSQGAKEVIVEPVFVDQREMTDFVDPICISPSCHNENVGCCTIPKPVGEA >CRE27658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:188409:190859:-1 gene:WBGene00063247 transcript:CRE27658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ace-1 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3MKC8] MRYSLLFFIFLPCVIAAVDLIHLHDGSPLFGEEVLSPTGKPLTRFLGIPFAEPPIGNLRSVFSFKFPVYWLSLFRFRKPKPKQPWRIPFNATTPPNSCIQSEDTYFGDFYGSTMWNPNTKLSEDCLYLNVYVPGKVDPNKKLAVMIWVYGGGFWSGTSTLDVYDGRILTVEENVILVAMNYRVSIFGFLYMNRSEAPGNMGMWDQLLAMKWVHKNIDLFGGDTSRITLFGESAGAASVSIHMLSQKSAPYFHRAIIQSGSATSPWAIEPRDVALARAVILYNAMKCGNMSLISPDYDRILDCFQRADADALRENEWAPVREFGDFPWVPVVDGDFLLENAQTSLKQGNFKKTQLLAGSNRDESIYFLTYQLPDIFPVADFFSKSEFIKDRQMWIKGVKDLLPRQILKCQLTLAAVLHEYEPQDLPVTAQNWLNAMDKMLGDYHFTCSVNEMALAHTKHGGDTYYYYFTHRATQQTWPEWMGVLHGYEINFIFGEPFNQKRFNYTDEERELSNRFMRYWANFAKTGDPNKNEDGSFTQDIWPKYNSVSMEYMNMTVEASYPSPNRIGHGPRRKECAFWKAYLPNLMAAVGELRIIISITFKNFSADVGDPYLVWKQQMDKWQNEYITDWQYHFEQYKRYQTYRQSDSETCGG >CRE27659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:194999:197107:-1 gene:WBGene00063248 transcript:CRE27659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-28 description:CRE-NHR-28 protein [Source:UniProtKB/TrEMBL;Acc:E3MKC9] MIISKKPCSVCGEGGDGAHFGAEACRACAAFFRRSVALNKTYVCRAMGTCIIQKNVRCMCRACRFTKCMSVGMRKSAVQRHRELFARQDSSESSNPRVSPSSSWPMDISPINTEEVGMPTLNRLNENYVHMGTVRRVIHNTGGDNIFNRREPKAVAYTDAHTVHLKEIGLVADWIIKSYPDFEKLHQEQKKLLYRNFFLPFMILECGYQCCLNDRTDILYLPSGDYIDCSRPETFYGGHINSHLMSSEEAIRMFVPSFEIYRRNVLDPMRREKVDNFEFFTLCSLVLWDHGLEGQIDECVSLARSNRERILREVLHYYRRVKQINDPSMRLANLLVLLPALQRSVRRFQEDVEITHVFNVYSVEETFYELVSGRLSDSFFQQGTPVSAIPDKSKEIKKEMECVWDLNTSQVVDIYEFPPTPFLTPDNSNPASTELQNI >CRE27660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:201123:209089:-1 gene:WBGene00063249 transcript:CRE27660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27660 MDDSTLISGEEFANRKLQDTCKNVCKNFGFTAVSPAAINKLSAILRSKINQYAHSTRLFMECTGRTQPIVTDVMAALKRHRVNMKDLKDYARQVTSERLHALPLFPVPDSDITKSDEAYYQAMYGPKPSERELEERKDNIPPYFRAVHPEWIEEEKKQMIEEKSVKKIVKSAADREQEEFNKLKRINTYRRNETPEAQAARAEKERIEAEEAAYAKKRNRERFEQGITTMQDSDLPNFEDMDYTAMGFFKEVEENRRLEEERILQEKNAAKAAAERLAAKPVKQSLPFASIASTSSDPAAAAAANANWQTNTVLQGIFKDDSFAHLFPTSSNAITVAASTVASTSATSSEDPGPTFKMSELVKSKPLLKLPGTASNPGSAPSSRPGSSMSVGNPNTPIRTKFGPGRPKKPKPEGAVVTVPKPKGRPPKKATLEKRKRLSEQIIAQQQKKLDEPKEEKEKVEKIDKAEKKNKKLTINEEIRDQLLRARSQMVMPNSVLQQATPSQPVEPPVVIPPIVFANAIIEPIANVPVIGATVDIEEAPIFLDEPALEVEINTDEPSAAGPSSSNTDVPLDFPDGKEKKKKKEKRDKNSEEYKEYKRQKKERRRQEKEERRLAEQQELEEEQPKKPTPEPTPTPAPTIKLKIKFNKSFLPTPPKEPPMRPDSTNSGSRPGSSKGNYQEDPPPPPPPSQPPLKFRFKNFFNLAETEEKKPMPSSPERPGSSQRSPSSSSSKHHKKDRKDKEHKKHKKDKERSREKEQEREERKERERQEKEAARREILIHHYCILHFREEKARIEMESKRVADEEEERRREKEKRREERKKEKERQKEKEERRKEKEERKEKERELEREKEREKEREREREREREKEREKERERERKREEEARKKREEAAPPPRPLISQEDGDSDGSESSEEIWVCPVCSVAYTVGANMVGCDQCQDWFHWHCVGITAEPTDSKWFCNRCSKGNKSKKHGKRHASGPHEYDSSAKRKKN >CRE27586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:216714:218370:1 gene:WBGene00063250 transcript:CRE27586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27586 MSSITRAVTSRLSEFTNGRQRLTSEKQSVTPGQFNYIIPPEIIWEDYQAQKQEPLKVDVGSETKIEIPEKKTSPSIFSQKMSAVVRKLSPFSDDSSSSSSKEKDNGSTGVAGEEEKSAKKSGGRFKETKQKMERFKKLRRSKDRQQLIESSDDEC >CRE27661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:218612:222238:-1 gene:WBGene00063251 transcript:CRE27661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-22 description:CRE-TWK-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MKD2] MEVPKLPTVILHSPDSDSVISDDDSPYFLYDEYRSSMERLALRNQEYSDDRSMQRRNPIRRQRTVLDEEQTKDALKLLEKFVRKKYPNGTFGINQESTDLEQTDAPVGHTQSACQFSRNLTPAERISNVFIPPSLGAEDFPPLSPALYESTFGSRIKHQERESWGAWFVRVGKFLYKFLGLQYIFLALVILGYACLGGYIFQTLEHDQQLMDLEAEEQVKIQESTMLAENLLNYLKKWNCGQSNEKKCLELITKAFVERSEKVEKAIRGDGWRWDFWNSVFFAATVFTTIGYGNLACKTNIGRIATIIYGLIGIPLMLFVLKVFGELSFKWVQKIRYNLRQCARKCIWKKLKRSSTIETVASDEMLETFDDSVSLITTFEDEERITTFPVKWALCIVFLFIVICSFIVSFWEKWDFLTAFYFFFVSLSTIGFGDVIPEHPRTACGLFILYFVGLALFSMVYAILQERVENQYMWALELIDQEYQETQLDKQLVEDYEEALEKQRQLNENGEGAGGVFWSNAIGKMHSQSSVRWRQKNSAYSMENMPDRPISERRFSVFTPGEPPQAAPPVLGTFMLHNLSMKKKLLARSESILNQQESPWPHAAELNLPKEPTTLGKPMGLSAPNLATAPRGNRSPSGALSVITEASDEDTRHFKKHRRPLAKSTAADETISTHGSAESLDQVMDELQLDSHDQAITPKPYRDPSSGIQRLREEIIAARAERMPLFPKEPLKEEDENEKDS >CRE27587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:226075:229821:1 gene:WBGene00063252 transcript:CRE27587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27587 MSPPPMTTTDEAKEDEKSDDSGIMLELDTTTTSSSSPPSTTTTSDNTTPFKVLRIHDKHERRRRMLSVFPKQSGYSKTNISMARKIIKKALKTRKNMDHNQNRRQRHMQLLEQLCQDPKDDRNADETKKEEYEEPPPRPPTRRCMFYREDTDVIKVLRAKQI >CRE27589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:235083:237533:1 gene:WBGene00063253 transcript:CRE27589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mua-6 description:CRE-MUA-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MKD5] MSDDTYRSSITSRPSFNRTVTSSTQNYGTPGSGNRVLKIVTEMSSSSVASGLSPYGQNAASTIRDNREREKKEIMELNDRLAGYIEKVRFLEAQNRKLGADLQMLQGRFGKSTGSVKVMYELEITTAQTVVKQTSKDKEEAEKEIRKLQDQLNEFRKKWEEATSGRAEDRLKIDELLVTLSNLEAEINLLKRRIALLEEEIARLRKENAWLQAELQRVRVQLDQETLLRIDNQNRVKTLLEEIDFMKRGFDSELKDLQAMAARDTTSENREFFKNELANAIRDIRAEYEQMMNGNKSELESWYQLRVQEINTQSNRQNAENNYQKEEVKRLRNQTSELRGKLSDLEGRNVLLEKQIEDLNYQLEDDQRSYEAALNDKDAQIRKLREECQALMVELQMLLDTKQTLDGELKVYRKMLDGESDNNGLRQLVEQVVRTSAINEVADTETMRVVKGEHSSRTSYQRSAKGNVSIKVSIPEETSPEGKFVILENTHRAKEEPLGDWKLKRKIDGKREIVFTFPSDYVLHPFQSVKIFARGNGISNPPDVLVFEGDDTFGVGANVQTILYNNKGEERATHIQRQSQQTTTA >CRE27590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:238457:240937:1 gene:WBGene00063254 transcript:CRE27590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sgk-1 description:CRE-SGK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MKD6] MVRKDEVTCNLIIGDDKKTVVYGVRIGNGPIMQKTYDEYDRFFSTVKDMIPATISVAPKKRLFQAESKFFEKRRLWILVISQHLVDNQQRCEDVRRFFHIESPDDDDNNVDLGPSERKTATATDFDFLTTIGKGSFGRVYQVRHKETKKIYAMKVLSKEHIRKKNEVKHVMAERNVLINNFKHPFLVSLHFSFQNKDKLYFVLDHLNGGELFSHLQREKHFSESRSRFYAAEIACALGYLHEKNIIYRDLKPENLLLDDKGYLVLTDFGLCKEDMQGSKTTSTFCGTPEYLAPEIILKKPYDKTVDWWCLGSVLYEMIFGLPPFYSKDHNEMYDKIINQPLRLKHNISVPCSELITGLLQKDRSKRLGHKNDFRDIRDHPFFLPVDWDKLLNRELKAPFIPKVKNAMDTSNISKEFVEIQIDPASLAPQQLAVTHRDHDFENFTFVDTNRVLV >CRE27593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:257053:259530:1 gene:WBGene00063255 transcript:CRE27593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27593 MAEGPPNLLAGKAGMLAIREHLNSHKNQGLRDPDKDNIPPASDLFSQYGLLNFIFSKFPSVANMIMEDQIQRHSDALKIQKQLLQYEPALASLLVSISLNPSHPLRHEREYLEVNRLLNESFPLSTDNNADVLNWKAQLDVALLSFIRSTMICTSFINNPNARESLSPSSTTSSFSSTPSPMIYQTESMRKYDIFYLEDIRVHGFNQRGLEIYIDEIAKAHKFFLARKLSILKELEPSSKLVVGVFTVLLRVKDAPPIFLGYQGENLTNVSTTSSVIFTVRGDHLKNNNQTTGVDFCNVHPVICIHEKCHKENKCSDSHGNTGSIFVKVGSVDVGEPPLAARNTFDFPKIEMEWRKEALKEAPGAPGPMRRNKYREDKSFRKNEKFSWKPQYLKFLANEFKKRNSVDEVLLKEHFIYATDGASAEGKIPSDKEEKKVITFSDSLLRFDDKLCLVFGFCFPSISLTFNSSTTNN >CRE27662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:260212:262722:-1 gene:WBGene00063256 transcript:CRE27662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27662 MSVTDKLKEGGRQITAGGSAGLVEVCLMYPLDVVKTRLQLGQQDKGMMDCVVKTLKNEGIGGFYKGILPPILAETPKRATKFFTFEQYKVAFTHSDIPVPVTMSLAGLFSGLTEAIVICPSEVVKVRLQADRKSSVKEQKSTAAMAREIYKMEGFGTSGLYRGLGATFGRHGAWNMVYFGLYHSCKDVIPDAKQNPSANLLGRIGLGFTAGSLASIFNIPFDVAKSRIQGPQPDPLTRKYSGTMQTISLVYKEEGFGALYKGLLPKVMRLGPGGAVMLIVYDEVYAWLKKNT >CRE27663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:264926:265508:-1 gene:WBGene00063257 transcript:CRE27663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27663 MRVLTFLLVILVALANVMQAQRYDRAIYEALLNDLEREFVERDLAERVLAKRELSHQDRLELTDRVRRASEKKSYPRNCYFSPIQCLFTRN >CRE27594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:274991:277258:1 gene:WBGene00063258 transcript:CRE27594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27594 MWRKVFLVSCVVFCAFAVLPDIGAPGYSCDANLMKKSKKVPTNVNSVRPADIKVIMALGDSLTAANGAGAEDPVAVVLQYRGLAFQAGGDKSLEEHVTIPNILKKYNPDVFGYSNGIGSPNVWEIARLNVAMPGANAKDLPGQARQLVSLLQQHTEVVNMKDDWKLLNIFIGGNDICGYCRKPVEDSPYNCAQNISQAVQIIYDNVPRVIVSVTGMLHLEMLRQTDTGHWFCQRLHHDECGCESNKNFTDADIAQACYDYNTYEKQIETAGTFEKDDFTYVVQPMFKDTLIPPMENGKPSQKFFAPDCFHFSQWGHALVSTYLWNNILQPVGSKSTVSNMSEPLLPLTCPDPTCPFIRTAKNSQDCSKYMTPHA >CRE27595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:283079:287208:1 gene:WBGene00063259 transcript:CRE27595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27595 MMTGYGYNTNLVQQPPVLQGVTDEWGQVSGQSQYYHTENGTTGLSNPMFYGSEWYQPPNFNYPADGCGDQMSYQNCHQNQDGGHQGNQPISSLMHTTNLTAPPQPRVSISDEELFKALNMSPLPTATGGFPGHQQQMPQIPQIQQSNTEIDYLSSEICFPSTSNFETPNFKSRGYIPPASSIPPEDPHMYHHCIDVDDEGPYGVPERYETMSPTFSIHSFAPTTSTNTAEAEDLEVELINNHKPTPRYQMNDGIDIFLHTGTTDDTEYNEDSDSRPTTTYSHRSNKAPSPPAPRPAYAKKLSAAAHSQKPEPKPSEIVSPISKTSPLYLQTTGAQDPAGFTPLLTPNSDALLQKKLNEVVHKPPQRAVGLNAFPAFPQQLNLNGFQENQRYETSFCQPTQPVQQVKQVQQDSFYSTESLNQFGKSNHSKLFVIPQFMFISDYDTDRDILPLLLDSFKPLPPPEIPIGPVIVPVSIPERLTSTKKVPTSRAAPSAPIKAPRLSDQELESESQKLALDVISNLFTNKKEIIDRAAKINAPKKPVARNLFCLDKESLEKARSSEPSSMSVLEDWETADDDDLTVRMENLLKDKKKEEVKQVKTSAVLTPPPKTSDWDPTFLQHVLEIHSVPDYKMQGDVVKAMETMGNGDCKVMWIERKVVFAVFENVNRAKNCLALSRHDWIRFRSLVDSPRHVQEAARNNASNLSLPKKKQQTTATVARRMVENALGKKATVTTEKRQEERKQLAAAKAAKKNTVQWD >CRE27596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:293322:295763:1 gene:WBGene00063260 transcript:CRE27596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27596 MTEDNLQMVVVQTQNSGVLHVYVQGNLEERGGKTIILTVHDIGTNHKSFVRFVNHPSMSAVKEKAIFLHVCVPGQEDNSADFFGDFPTLDGIGDDLNAVLDKFEVKSAIAFGEGVGANIICRFAMGHPNRIMGIILVHCTSTTAGIIEYCKEKVMNMRLENSIMSDGAWDYLLAHKFGGESKSRQEYLEELKQTLNAKNLSKYLVAFTKRTDLSATIGTKLETVDALLVTGSKASHLHTVYTTHKSMNKKKTTLLVVDNVADVMQEAPDKLARSLILLCKGCGVLSGVAIPGMERQRTLSSSMEEADRPRRMSVTQPHLPPVPSA >CRE27664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:296370:298335:-1 gene:WBGene00063261 transcript:CRE27664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27664 MPCVPKPQQRSPNASFNPAHAQYACCFQQLHSNTGAILIAIFHIILCSGIFTWLVKCIGTEKSRIELAAEIFLATLCLIAAIILLLGLKLESRKLLTGYVLAQTLLVGLLFVLFLALIMGIKPGILDDPDQNGYNSLEEELLIVLEIFISLAVVIIEVWFLTIVLKSYSFFTDKYNYTGGDREENV >CRE27665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:308230:309430:-1 gene:WBGene00063262 transcript:CRE27665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27665 MMDSIDFKTKLTELESQLNISHEKLLMRENVISQLEEEIKGKERLIFEQNHLINILENETASQTQLQAIRCDNNNKYDTDSINSESEITVIEREIVASSSSTSPCSSEKSGSSGGGIMTSSSLFRSSDDCHRDLFRCDKSSCKCESKLEQAMVDRERLELQNEQLLKQWEEALEYVSSVQRQLQEELKRNGQLKNEMNQRAKEEVFEIPRNVLHLMSFIILVFGYLLYRL >CRE27666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:313984:315876:-1 gene:WBGene00063263 transcript:CRE27666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27666 MFSTVLLVCLSVIAVHGQNAYGNIYGYDTSNTGNSNSGLSLVQNPNYQFLNDQLANEYSQTYLDQLRNYEQQSGSSNSNGIVTSSSSVPANGYYTGPALSNQQQNQVNYQQIVQRNPSTTSNSGSSSNIYGYNYNSNTASSGRTTGNNNYNNGVQYSAPSTAQPYIYPFGQFAPSGSSSSNPVLRDQSSNTFSSTVSPQTSSSATNNIGTGNVYTGRTYTMFDQPQNSQIGYTSASDLKTTRLRDQAPPATLNLRGTGPLPGPVLTSSNANSQLFRDAGSNDIYYGNANTNTNNNNGQATYRFSSRFFDVAGNNTEMYYPYRTSTNWQTGSYGTPSGVSGVQFDQSGSSTTMSPTARCALSDPYWCQEYVQIYLSSRQQYEGVSTQQACPSLIASLASSYNGCCSAVRAAGCSMS >CRE27597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:317577:319141:1 gene:WBGene00063264 transcript:CRE27597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27597 MLSNVDFARCPTCLEDYDDNSHRPCVGFCGHSICLKCQTKIVSCPLCKRKNAFFGTVINYQLLDACLAIRQMINEPKSPVGGKSPNRVRAVSQKRMTETPNISQQVVNATRRSRRTALETTLDKTQQREIENNWISQHIPAPESRRRDRSLRRLSERMVGGENTPAVCYRTIYTIPPPENFAAQGIPSAFNRMFFGRSCFEI >CRE27598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:319785:320049:1 gene:WBGene00063265 transcript:CRE27598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27598 MKFVLLVVMLVLLICFSATVNAHFPVYSLYKERKPVDLFQALASARQAKRRAGGFEENYDLPLPGLYPRN >CRE27667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:320280:321731:-1 gene:WBGene00063266 transcript:CRE27667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-187 description:CRE-COL-187 protein [Source:UniProtKB/TrEMBL;Acc:E3MKF0] MTVKEMKETFFRIRFIYVMPFSASFIFLQIFSLITVIYGLFYFFSVYQELTALSLLVRKQVTEFSVINQLSYSDYRSSVVQITTNIAWDQLIEVQESHSSTKRSVTKKSVFVDRIFRNTFQNSVGLPPWCQCEPIVPRCPPGPPGPPGCRGETGMPGEPGRRGINNYETLPAKKCVFRERLACIMCPRGPPGRRGRMGMDGEQGLPGKRGLPGSFLLSVKNLRGAPGEPGEPGRPGAPGKPGQDGKDGRNGYKLKPDRINRGPPGRMGPRGPPGDRGIPGNHGLPGAMGPPGYRGDNGRRGVPGQPGRPGRHGKPGGDSSYCPCPPRSMMLGSFNKI >CRE27668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:322126:324085:-1 gene:WBGene00063267 transcript:CRE27668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpd-2 MRSLFLLLLLCAVARCSRLAEDEEQMLIRHFTKEGEISTMDQLRETIGPFRDPLNFSHMNYSTMTDHIHNLHRKYPNLTHIYSAGQSVQGRELWVLVVSIYPKEHRKFIPEFKYVANMHGNEVTGRVFLISLAETLLQNYNTNLWIRQLVDSTRIHLMPSMNPDGYEHASEGDQAGVTGRHNANGKDLNRNFPSRFPNYFPTSDIQPETIAIMNWTRQIPFVLSANLHGGTTLVNYPFDDYPTRTRQSHYAPSPDNALFVRLAYSYARGHERMWREGPRCLDDDLNIAVDPQNGIINGADWYIVSGGMQDWNYLNTNCFELTVEMNCEKFPKTAKLIKLWGENKYALLHFISLVHGAIHGLVVDAETGEGIVNATVSIDEKAKIVVSYGDGEFWRLANMGTYDVRINIDDSFPIFSLTFDHSDYYPVTSTVHVTPQDRSPYIEVRLQRIIPRSKPTLIPAKPTKQQSKDEYNVDNSNNKDLRIVTMSSVCHFSSLLFILAFTANRVF >CRE27599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:329415:331741:1 gene:WBGene00063268 transcript:CRE27599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27599 MKQGVNALAGSHMAIRQSSDLPKLPAPEPEKTIKHFLNFAQALQSGQELEETKSIVKDFYINDMPKLQNLLQKRADALPNWLTPWWLNIAYLAARTPLPVVTSPGVMFPKFEFNTPEGQLEYAAKISQAAIKFYLLAKNDKLKQDKAGKAPLDMSQYKFLFGTTRIPKLGGDEIKYGCDYKETLKHIVVIRNGHLFRVQILDANEQPLSISAITEQLEKVVSESQSPNPHPVGIVSSDGRDKWANVYTSLKKDNNNVQSLEAIEKALFVVCLDKASDPPVGYTEKDEQSRQALHGGGSKVNSSNRWFDKTIQFVIGTNGYTGMTYEHTPAEGPPVGALMDYICDQFDTNSFTTTGPSSESTVQRLNITTDEEVNKRIVKSAKSMDRIAEDLDIVAFSFKPYGKNFPKSCKISPDSYIQMAYQLTFYRIHSSRKFLTLERKSKHISFSFSVPPTYETATLRKFTEGRTENIRSPSTHAAAFVKKMESKPRTPVSQIYDSLISATTAHKDYTLDCMNAAGMDRHLLAWKLIATENNLPTPEIFGSKIYQQLNHFQVSTSQVPTRHFIQMCFGPSALDCYGICYNPQETELHFMISTFKSYGSTSSKKFAKELQKTLVDMKSVINKAIKQQESAKL >CRE27669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:334014:335817:-1 gene:WBGene00063269 transcript:CRE27669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27669 description:O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MKF3] MGSIDLNTQKEENNNNVILKEHHEDISAKSKRREFKEKTFVVRESLLTTEFRNGNMKIVYNCFTAVFLLFFLRAMVDDIFVHKMPFYHTWLIWWNFENFFSTMAVWTGMFLSTIGVYYAYQHWSTIPSKNTDLASNLPFVSVYLTYLVAFFYFPLKFLYESDLKCACSFIITCETTRIAMKVHSFIRENWSRAMLRKSGGVIDSWPSIEQFLYYQFCPSFIYRDEYPRTEKRDMKQAGLYFLECLALIEFVNLTYTQWVFPWLHVQDYTSLSFSTIALSLFTGIIPGIICLITLFYGLLHCWLNCFSEMMQFADRQFYLLGMADKNFYLQNWWNSSNMAEYYRNWNLVVHDWLYAYVFRDLAAYTPGRKGQKTAQMAVFFLSAVFHEYWFGVAFRCFYPVMFVLYFIFGGIFFAVSRLITNRSAWNTALWFNLLIGTGMFIAFYGQEWYARRGHCAPYSNAVVDLLFPRHWNCQPPIS >CRE27670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:340487:343012:-1 gene:WBGene00063270 transcript:CRE27670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhx-1 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:E3MKF4] MRSLSVMQWNRPETPTLLGLWLLLIVGAKIGKKIFTVLSFWQQKLVALQIWLLELCFFVHYILLFSAFHRMPTARHFFPESAVLIVLGLFAGFSIYELWTVDLYLHPDLFFLYLLPPIVLEAGYFMPNKAFVSNITTISLFAVAGTVMNIFLTSITFFSCCLSLFEEIHVNKLLYITVFGESLLNDAVTVVLYHSFHSMVRIGQAHLIYQDYTMSMMNFFLVSGGGILVGVVFAVFAALGVKWSANVSVLQPIICITVPYMAYLCSEIVHVSGILGIVVCGICMKSYVTGSMEERADITVKYTLKTLSSCCEAVIFVFLGFSIFSKDHMWDIGFAVVTVITCFAARFLVVFVLSWVANKWRMQKISLRDQTIMAFGGLRGAICFGLVLTIDGEVVPAKPIMISTTLIVIVFTVFIQGTMIKPLVSFLNVKIDNAYDKSLFESCMEHCFEDAMCGVEAIIGSHGQYYWKNKVDKWNTQFLEPALSRQDMNRGRRLVKKLTDMTTDEQREALLHDDVTELDP >CRE27601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:353330:358267:1 gene:WBGene00063271 transcript:CRE27601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27601 MSSGNRQAPMPPPKPRNLGRGQFYHQGGYLTPQDSDTDSGISADCDQGSPRAAVFGTSINGTVNGQQGNTQRRIPDLPQSAYQNFRSQSSADYLTPNSARKIASYSTTPRRLPLQPQQQSTPPVTKAKYRVRFADEVDSGASTSSGTSSTHISPRNDPQEMLMNSAVGVPQVTTYQQPAGHSQNHTDTQSKLNKNDFAPVNRSSPSSHRTGTLQRTPNRRLPISSTPQPQPTYADQLEGLEPPPYTIAMQRLRSVQPQPQESFRDAFIRKSVNDTLQRRYRQRSSSLPRGNKSYYEGIDYYDVQPPVRPPPTHQSPLMTAINQLPGSLDNLHINNLNMGTRRRKLPTAPLMGSSCQLHLDNSDELTAYRALQFQMMQDELQRQPPPQSFERQPTLLRRTNLPQQNFNIPHITTTGPPPMGLSVPVQYDQGEYGTQSVRAQLVALDQRGFRRVLVEKMMPGPFGFYIATGVVAGQRGNALLDLFYMTSIFISAGIFISRVSLPSLSPMLTVGDEIIYVDEEYVKGRCLEYVQSVIAGKTSVTITLLPAVGQPAIC >CRE27602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:366321:370583:1 gene:WBGene00063272 transcript:CRE27602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cab-1 description:CRE-CAB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MKF7] MRYRVSDGKKTATTTSSQAESQDRLSNSASCRRAASTTLRPSYGMGMWRVVALLACLSATQAALFTEGGAVQYGQENKANKNFELAQDEKPANKEYNEKYLKDDEENTQEERYKEYLEGILPILNDDEDKKAIDSMDEYNRFLQMVDQEEEPKTKMERNYYYTEPQFKIDPKLYYYTRTGEMVLKDPSKVDPIALDNLLRGEMMIENEIAQESELKKEAEATKEKLAKNTVAAKVNVESLESAMQTATGEPQVPQKKGQNEFVSFVEPEPQPAKQTISSQQIDKRRLATSAEYSGNSPRYSSSSLLLLAVGTVMCVGLIGTIAGGTYYYKNNRRTETPDDGEYAPYAGTGPGFRKTKGNKGDETLAYKAQLHQYQQAKQKIICGEDAPGIIESDGEDGADEENNYSVYECPGLAPTGDIEVCNPNFAAQP >CRE27603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:388383:389656:1 gene:WBGene00063273 transcript:CRE27603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27603 MSAVQNNGEPSLTNNNNNIQKRMPRKRVPDEVKQEGTPDVLMTGSTGYNKSWTVIQRAVDTLMTETTVPVPLSTIKNREPRHLPKRRIFVSLYKDVLLNNLIIKTQEFQLDYEQQGVPFTRQVIIDYPTERQKPRKERHYEFWPFFEQLPELDEDEQVAPEDIKEEEVHDTLGFASDSSIELAEECKENSTFLPAPLSDEITKEIAGLFSPQKKTRPPSNNFVDKYMKKGPFARIF >CRE27604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:395575:398049:1 gene:WBGene00063274 transcript:CRE27604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27604 MMKQVKTEIVDLEYDDAERWQEQEDDEDALLVARKIKQEFEANSPSPTPQPVHVVRESHNHRHPPEIERPSAPIVFDHFICMRIGLNSRNNVQRLMALLTAHEFVDLTVLPGPSMMAPPMPPILPIGIPRHHSQPPSRILTLCQQEPSTSNGNPHEILTIEPRNRMVPQFPHLKVKRNIVKKMVPKTFIRPTPSADCGIIPINMQCRKCDEILPKGSHGSSALNHVQKNHLKMAAFQCRICKHYRTTQERIQSHVTTVHKAKSTKFDSSLAGPLSSEDMKKMEEMITYCFPKLKMELQVTDRLIAKTMEVDLSTSKS >CRE27605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:399343:401031:1 gene:WBGene00063275 transcript:CRE27605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27605 MPLLDTPTLNVIQLGFGFFSTFFAFNSQGFIEEAVIDSAADSGNINKHAGYYSLAIIYAVFTVANFAAAPIVDILTPKWAMVLGSLCYAIFQAGFLFLNEWYLYGSSALLGLGASIIWTGQGSYLSQNCTKETTSRNSSMLWAMSESSLLLGGVFLFIVFSVQGAQDQIPNSTINILYSVFTVLSLISTVIFALLRAPHYPETVDRKNYGKLVASTFKLMFTKKMIILAFVFAYTGIEQSFWTAIYPTCISFTKQLGSNTNALLALNAICTGFGQIAAGVLSGLLGDKVRKIGRDSIVLIGTIVHLVVYALCYINFPQDSSLKKTDDMGGLIQPNLAIALIAGGLLGFGDAIWNTQIYSFLCDTFSKQSAQAFSLFKLYQSALSCAAFFYAPVLQLYWHLVILVVTSLFAACCFFYVERISRETSSVRDSYSFTDDKITKQRGDVQYRINENEQQNSA >CRE27671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:401283:401909:-1 gene:WBGene00063276 transcript:CRE27671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27671 MQRFQNNFTPGPPRATKSAPTVFCFICGRQFGSKSIAIHEPQCLKKWHAENEKLPKSKRRAAPVKPDAVIGNDGEVDAVATNEVLWKNSQGLMVECEHCGRKFNEDRLSVHQRSCTAENPAKSVGRSRSKSQPKR >CRE27672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:403875:404977:-1 gene:WBGene00063277 transcript:CRE27672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27672 MKIGFIGAGKMAQALARGLINSGRITADNIIASSPKRDEFLLDQCKAMGLNTTHENAEVVQKSDVIFLAVKPVHVSKVASEIAPALSKEHLVVSIALGITIRNIESLLPTKSRVVRVMPNTPSVVRAGASAFAMGSACRDGDAETVERLLSTVGFAVEVPEIHIDPVTGLSGSGPSYMFAVIEGLADGGVKVGLPRDLALKLAAYTLLGAAKMVLETGVHPAQLKDDVQSPAGSSVYGMHKLETGGLKGILMDAVEAATNRSRATGDKALPRDFRNTEM >CRE27673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:405888:407105:-1 gene:WBGene00063278 transcript:CRE27673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27673 MLAVQFLIVCTTSVSLLSGVAQAGTTPNFNFNQPHDINDRTPCCVDRLTTLTCSRMRINTPAKFLKRCANDPDFALIQCCSSCFDRRSSASSEKYNLVVRRHLEDPMKVTCIDRRGGDWCQKMARRENYWQDASFSTIGGCGAFPSAFRECRNTCGYCSATMQMTVVKYDYKLATSTQRCDIKTYFARTDDEMKKKYNPARKEFDPLARLTWKRPKDTDYFVVEDHNYEDFM >CRE27674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:407569:408251:-1 gene:WBGene00063279 transcript:CRE27674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27674 MAQQKLWVLAALLGKICQKSASNSISEISALVSTEMTMQMVAYKATRTPCCLDTLMPNVCKALYNRDHEKFTRQCRSNADFSFIQCCHSCHFNLDMFTSDSEFYIRKTLSVLNSHFSAIPVPTDLYQHDVEELLLRHHPQNCFDRHGTQFCEAFVTRTGMWGRKALTCQHSAFAFRVCRKTCGFCSSVNKTATVRYDSNLAKNPKSCERLF >CRE27606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:409348:419123:1 gene:WBGene00063280 transcript:CRE27606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27606 MEKKETLSLINDLLTENDVDHDVLFFEPFKSHTIKEAAQILISECRKNVYKKDAFKYNMTELFKKRYELLGTASYQKVKPNTALLERTILEVFNHTEKLSLSKMKSHFPGLDVTGEYRKNRIRWTQKDSDVSRTVCTVFSNYFHFQTLPDIKLVKRWYTEYPHMIEKELVEMVHARCYIVMHIASYVLEETELKQILATVPSQSQEKTQRHKLLEYEVLLLRFLKVATASNANHCQRVLQESQIAMKALVEEYNMFLLDNSHHEYQHAYELLIKCYWIILSKIVIDDNVSLSCYSKKPLKRFQTIDWAPFAVLELVQSHSIINFMIQFWTKYPLPTVPPNEVTFLHQMIIQFVLQSTEEKDYGLLNHFIDSQRIPQNGIVSKTFALYHVLKNPLSDVAHHILEFPDVYEFPVSSHSVDLNNISEAKERIPSISTEQFEYMWEKIVMCTVKQQRDLKEKIIITAIISGLRDQIQAKLKRMKHLSTEYLVQCPTFLYYEELARIVEKRDFSAVHIEIENEGYKLIANLQSEATNHFVNLSPQEAENCFKKTLELLIDIPEFKNSKTPYEIIGLCFQNACLQRNMKLRNYMAVKIVFILQDLNNYHALNHFLLLLAKVLRSHSSEDLMKKAYCQKDVLIDGIQTLLLDVETKLASFHISEVDMLDSHFQVNAIGLNTKLDHYCQVHLTCLSILVFCDQSHTCSPSQTWFESLNRVFNISKAICGKGISNISETYMFLLNEQIFNTMSSFPLPRGTLLENIRDITPLFLLLNEETRSNNLIDFAHNNLKSLMQPKQVLIRYSHTPFCNYLTQRLNNAMEPGLLISYFVNTILRSHEKVDIRNDCVSYLLQALNLSWTHPVQHEDMEYLTHVSGSQKLNLNVYFQIKLREELDGSELIAITIGNLKLKLNCYCDGDRQSLYDVHELGINSKNLEHSRRFTLSTKGRKLNIGQMFHSVMPVQHNQILSLRKEQIGFESIAEQLRSCGGFGFIFHVFTKHLVHSSLENEEQTINYWELLLAYLNAPYLSNERFTRTEALQMTSLLFRFTKTKVPEKILQKIFDKCMITNHEIKEAVLLTELLSEPTYWENDLTMFYRLLIRFKSSNLGSNLEKCLPLILQIVARIIRNDHGTDEAHDIVTVTVEIFKEWCREPHAVRTTQLVTFMMDLFDFEKISPENNKFHWVEERTLERELSRQPILSLNGDETEEEITRRRINRELLQLDLPLGNIDDDELFRVLTPTEVPSDKDLRMMTRNRDYMMKGLLQIIHQAYVLCPDTQYACFDAITTEKICYLIEVNNNGKVVGALIEMYEAIINHNIYSDKIAKIVQLNLLPILARQLRNKPLTMQSVNALFSILLREQVDVSAGLDNAHLETFYPNTISCQAVYPLLTIFEESADDVEIAVFTVVCTSLNKVYTFNNQLTQAMNAAGIDENMVAILAKLAKLGDFRIRNEKLKALLDPWFAFAISIIRIGVNGRQSVFEGASRLISHLLLLYTQENGKLLDHPENDAQRLIRDNVYWAMCVLLLQLFKFLLDIALADSNKHRSASNSSIHMLGYDEEPEEIEATPTKPTKFWDEIAQKVKESVLGPNPNLLKCPYRKHKESPLTAEEMRHRIKDVLTLCQFFFKITNRVECDEEDNLYRIFFEELSKWTLDESWPNCIWVAADMMFVTNVFASCVTFVMTDIHQYITPFNKVFNRQKTSRIEVLLHKIIRSYTKISTVFGNVMDIDQMIRLAMENITQREDHNSNLFKLAVLFLNSPNRDRTRGNIDYPQPRTPDLIQKMTVESEIASRYWLDQRNEVIEKINLKGRIPEPHCDVSEINFSLDRMQKTLAAPRNMKRVNEICELMNKIEENERVFSRLTAIKNGYRPRKITSILGPRGERMVTDVADVKTKEMFTSHKSIKKTNSFPDVFDALSLSWIRKPNIFAQLRNACLHGILITNGTQTNPIFHVHRSGITALPCGSHTVEKTYLFENMTMIFRRPMRPWDALEVSFEMMMNTHESLLIFSQQRFAKLVSQYAAKLIATENHLLAFTKRWEEGKISNFEYLTMLNLFAGRTIHDSSSYPIFPRILARFGNESIDLQDRSLYRKLDRPVAGQDLLSIEKHKEHYNELKENEDVSHLSPYHFGSMCSNRGVVSFYNIRLLPFGEEAIELQDGRFDFPDRLFHNIESGLGLGKIESSNDYKELVPELFTTVEVLKNENGNHFGERQNGEAVSDVDVPQWCYVDGKPVHENFIHLHRHALESEHVQSMLHNWIDLVFGYKSRGKPAHDAINVYHPAVYPGNSPPTVFDRVMTNAYEANQKTLGTAPIQLFSQPHPKREVVEHRHGSVRHMHGLAFGKELTVGHYEMGEYTIDRQTTQRVWRRMKSLKSKIFNKSNALDNENLQLFKKNKDKREKDTTEMNYKTIDSKLVLSDGSSECCKEHNKKIDEMSVDDNFVACLVHHGPIEVYKVVNRHSPKSRTAVDRMLRSDSKSFSIEYYGTLPVQEGHFSSVEICASFSTIFTIYNIGRQSVVSVWSLHSHYIRACCTIDGMMESCGVLKNLGKLIVVEKWDGDQIKYEKQWRTKKRATKKQKYSGKVPLTSTEQIMAKISINGKPLISKYTSNSLFIHSGVISMAPEPGMGIQTLAMIRNDHCIVLFETIGMTVMRVLEVENRLDFCLRELRFQEDNSLIAVFKENRSLRKKNKELYKNLGCAPPTKTWRFRVKM >CRE27675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:419433:422852:-1 gene:WBGene00063281 transcript:CRE27675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-18 description:CRE-LGC-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MKG6] MFSVTAALICVVQVALSFHYDPALYVPKWKHFLEAQENLTNNLFANYDPAISPVYTNIDRNQPIGYDPDAPKRWNYTVLLYYLKLIEVTEPEEKVSLVLELMENWYDPRLSWNSSIYGNISVIHARQEKVWSPTLSAFGVNEIIDFRDQDFRLVSIDSTGGLANYLSVRVSAICRMDVSMFPFDNQICETYFCLPLFNRVQVQVFNEIYEGILQQNVVKFMGNSEWKVTNLSSRVELLNYNDNFGNMELAVFEIRIQRNPLYYMYMIVFPSFIINTISIIAVFLQHADKMSKLNVGLTNIMTMTFILGVMADKIPRTGNIPLLGIYIIVNLVIMILAIVVVIVITELREWAVPRLKLKKGKIRLIILVPEWNDFLNYQQKLMADLFQNYDDTVAPVYTKLDITKPIGYNPLAPKRFNYTVYLYYLKLVEVIEPEEKVSVVLEMAEYWYDPRLAWNPSSYGDIQMLHMRQDRVWSPTISSFRINDIVDFRDQDFRMVCVENTGHVYTSLSIKISLNCPMNVAKFPYDSQTCVIQFCMPLFFMQHVEMFNTIYAGILNTTVWERMGNSEWDLVNLTNRIELLTYNDGQGDMQLATFEIKIRRNPMYYIYMIIFPSFIINALSIIGVFMRKTDKMSKVSLLNVGLTNIMTMTFILGVMADKIPKTGSIPLLGIYIIINLFIMVFAVGLTTILEELQKCAVPRLRAKKSRLHRQLEYVLGDPLETICMVILEVVNLANFFVMIGLWISDSG >CRE27681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:437587:439897:-1 gene:WBGene00063282 transcript:CRE27681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27681 MSSSKNHEVISLESRRSDSLSSMQFLEWMMELGNSSNNAFILTTRRAMRETYAGMVYIAVAPLSEILEHPAPNGESNAVRCPIRTEPAFAPNVASVFKPLQTSACSSTTDVSFDSNSQNSTAVSDRSSPSTIPPTTLSKTEVSAPPTPLQPLSPSSFQAPNISPLSPHLGPLTPPPTLDLCSFPTEPVSPTSPIPAPADAVLPSSSATSSPPELAPVVGTLPVSSSTSRKLISSTPAVALSTMCSTSSPAQRSSSESSDLVEEGSHPTMSPHLVTLLALPVRATDAISTDDSSNVSNRQINNSNRRANRTPSIDLGRAAHSSGDKTSPTDQRNFAKSSFLDNEHTRLSVSPHLSSDCSAEIGSSARSNSSSHQAPNVSFTDCIPSHADNHSPVSSTSYAAQQNPVVEALVAGELLPKRSTVPVSHLVQQSKTKDVGYPFTPNSPENQASSSSNAPPGSTTPNLISSSSLRKRQHESTLEKESKKKKPIKKYKKKTRKSRKPKKVSSPPAPSSSRVAKSQASVSYVPSSSCSNDEECSTSSDLASRVKLRRQRAIEEQQPKRTPPKKKVRKNVQDKEKCGALPNYCLCVKYEEEEGGLHWVSCDLCREWFHIFCVRLDNINFEKEEPFVCCGERPTKEGRRVMRGAVYDQYQKMHPRPKPY >CRE27683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:447795:449370:-1 gene:WBGene00063283 transcript:CRE27683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27683 METTIVHRPEPRRSADLTNLEHFAWMLQLGNSYDAALTLSSYRELMRELSQLLRSPIVHQIGQLNQLLLNQGHIIFPSKESIVLPVSVPIILPIKPFSTSRPETCFTPADTETTATFPSCTPPQTTSPNVAIHPVSPLPFPEVSSTPALGTVQISPALPLADVLESELSTSCQSPQCVSTSPLSTEARLLHQASEEVFSQDSTPASSAPSPVTTTSPVVSEVPSAPASLVANQIQTPASCNRVSSSQMPSISPCSIITESIGSRLRKNPQKCVEDDKKKCGLLPRFCLCQKFEKTTTLNWVQCKICKQWYHVFCLNLDNSEYVEKTFMCCGHQQCQEAKNSKMGKIFFEYYQMKQPRPRPQEP >CRE27685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:459677:461445:-1 gene:WBGene00063284 transcript:CRE27685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27685 MEPGSFELLKVVGRGAYGKVYQARRKNDGQLVALKVVTKPTKPIEVKHMDDERKVLETVNSPFLCEMLHCFETNDKLYLALEFLSGGELFTLLNKKRRLDEEATKFYVAEITLALEHLHDSAVIYRDLKPDNVMLDPKGHVKLTDFGLSKSNVPRGELTSTFCGTMEYMAPEIFSQAAYGHSIDIWALGVVMYDMLTGGPPFHGNNKTELVEHIQNGKVKLPSNLSVDGKFLLKRMINRKPEKRITTDEMKKHAFFRSINWSKLESRDIDPPFKPNLINSEDVSNFDKCFTRLSPIESPTKDMNTEKPIHQENEPFANFDYNGSPIKEKVEVETKSKSNAVRKWVFEKLLICFC >CRE27609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:471390:471572:1 gene:WBGene00063285 transcript:CRE27609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27609 MIISSALRIGYEIKTTNMKRLEVDPPFEVLYPKEDVLLVVSCNAFAIGQEDNNNERITVE >CRE27610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:472029:473282:1 gene:WBGene00063286 transcript:CRE27610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27610 MVDYKSDKVLVYSQRMQEKRNLKCILQKDTIVAFRSRKPVSGTPTSRVSGSLKLLRSQEKSIFLLKTVKRYSELKHTYKFLDYDRNLNLDTLALILAFRCPMTKPLLRSISDSYFFFHFSGYWDKNIRPVSSPDLNPMDFIVWKFLKISEIFKSTAVALKKAVQRL >CRE27613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:482739:484262:1 gene:WBGene00063287 transcript:CRE27613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27613 MQRLRRMKPTPRKKPAKKTYDEPTRKLAKKRKKTKTTKNTTVTSTSLSYLQSMERYLEMMNAPSKPERDELSESLLALAKTFNIDPEGWKDEETPEEREEREKEAREAPIKDAEERKRVEESVQWERKYEWMKRKVKAEQEKEKKRKEEEKFSPQGIAKQNFLDMKIHVFRADFEMKDKSDPPPLLITCQVFQRCLEVMANMIADQEEVRNDHAANVGALESSELERKIDAEGIRLRFDMKGLSAADYLKKKVMEILNNRKIQDVFQGDKVGFHLSTQDCLLALQQYYRCEALNGDNGKCPLKLSIHIEKLICATFAVMRSNNREYQMTAYTNAVIINNAK >CRE27614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:488721:492837:1 gene:WBGene00063288 transcript:CRE27614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27614 description:Histone-lysine N-methyltransferase, H3 lysine-79 specific [Source:UniProtKB/TrEMBL;Acc:E3MKI4] MPKRTGKDIKVARAAKAAKAAKAAETNEIVNDDVPVREEIEYVLQTMCTNGEPLVINSNMIHVPRVLNNIIKQVYGKSLQKLSIGPPPEYLTDDPKSMVDYLDEFSKAIKEYNLTHRKPLKIAASEWNRPKCTLPVAEKIIEQTYALTIPKPKQLNKHYETFTSEVYGETNLPQMSAFLDYLNLTEKDVVVDLGSGVGQLVLFTSAYSKVSKVVGIEISDYPAACAKNMGHQFRNLMRHYGKEISPFELHRGSFLDETFRNLITKEATVIFINNFAFSVDLMASIMKMLENLDNGVRVITSKKFVASSSTRRAQAAGTTVDTFIFNVYQPLFSDFSCLIETTELPIVTSEEGNNVSWTSKCVQFYLNTVDLEKPFKDRIKAEQKAEKMKQQRKRRRENKENGQIATRPPTKAVKRRYQTQPRQRRSKKMKVSIPSESNVSQTSEQLQFAEVAMEEERLDKPEEVADTEVSQQNEQMTGIERETVSIHSTSTQMDTEEKMTETLETPILQSEIVLEQKIDVNSEEIPLGNEIVATNTSMNAPVDGMVLEEPETHFERIEEVEAVVEKLKTDVEKKEKEDMQEQMELQLEADQEHNSEISSRVTTPDTATSISDMSEYEQGMAADEYMTDMMHRQTEMVY >CRE27617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:502178:504235:1 gene:WBGene00063289 transcript:CRE27617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27617 MNGILSRIDWPLLLANLPTNDMYSLFIGYLKELIYNYVPILEIDESKVRHSPAIIKLQKRKLRIWKKEGNSLHYKSICASIKELLLEEHKKKFEDKLVEGNPKNFFKLINRKLKPSNFVGPIKSGNGILCDDHEKAECFLSTFSEVFVSDDGLTPSIEPRTTTLIDEVSYDPYVIEYVLSKLEPKCNNSPDGIPYIILKKLCTSIALPLSLIFNQSIRSGSLPDVWKTAIVIPIYKKGSRSDPGNYRPISLTCSISKVMEKLVRRSLIEHLTRNKLLSISQYGFRSRMNTELQLLTYIGLIIRDLQKNNPVTSVYIDFRKAFDTISIFKLASKLQAYGVQGELLRWINDFLSGRSQRVLLNGVCSNTSSVGSGVPQGSVLGPLLFILFINDIGDKLESELLLYADDLKIISPNAATIQKDLMKLSEWCQTWQMKVAPSKCEYITFVKSKRTNLRVYSKINIELDGLRLPQCDYIRDLGIIFSRDLSFDSHINSILRRAQCRINILFNVLKNSVFDIMLKCYKVFIRPIMEYGSTLYSPTLKCLIRKVESVQKSFLYRCSKKFNFEYLSYFDTLEAYGLESLELRRLINDLVYLYKILVSNEFYSPNHVYTSFTHFQSLRRHSYHIKSILSNKTKFGSQYLPNRLLSCWNSLPVNVFPVKSSSRCFKNNVKRLNLSKYLTLNISTY >CRE27687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:505787:506991:-1 gene:WBGene00063290 transcript:CRE27687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27687 MVPISPLSKDVSLTVNVLITRERIFQGPSSTPLSSPWPTSRVSSPPTPTPSSISSTKAEREVAKKMLKSLMEMIEEEEFISLLCELGLNVKKDVGVTHVPLPPPVPSPPPVPADQTIPPMSMTAPPPPPPPPPIPIAIVSNWKQKGRKSQDQNNVEKMHFMSELAMKLKTKKPQLSSAPRVVNIEIGSVEESPQISLQSSQSDQKQLETAVVDGSLLDTPVVSLLKPARSKKASNSSLLDARDNFEAQKTPSAGLHRKESSKLVRSISRKPSVRRLEGFHFTRKKFETSSATSSQNTLIQTYRPRRQIAASNSTADSSTAIGSTAVSSASVSSTAAISAASYRRMQYAEAKTSGQLPPPPLSSTPSSVSKILHRK >CRE27618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:515749:518833:1 gene:WBGene00063291 transcript:CRE27618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27618 MKTTESTKLKHSDVKKTTAPEVSPHLYIATAIDERAVAEADLENPTVPEANKEPGDVEKPPQDLEKIPIDIEKIRGTPEASKDTEKAPDSSKPSEHAKLSPHTPKTCKTDYTQYDRNSSPPGSRPKSVCETVETSNGREGNHSWSLHHTDAFRLRRRDNGILERDVCSWVVLYRQQIDGNLMLLMNTEEQNFLQVCVSVTDDDQMLLILFIRRICSKLDLETNQNPPKIILASAKIEICLNNINPNSSRSAIASALSRCGRLNRLFCHVISFAEYLPSPNSEDVNDDDDEEKSEKTAGISHFQKSTQVSVLNAIGCYQKDPPKTLKNSRKRWNGRRRFFSSESIIPLHFITDYERVEEDLTAGSWMLVSKDDFEKVVKLREIFLSSNIE >CRE27693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:542796:545230:-1 gene:WBGene00063292 transcript:CRE27693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27693 MKVKKVKRNSDKVLIRKHASHDNITDTAELSTASSSETEVTRPKKLTLNTLIPKIFRNSKNHDFYNLQLPSQIEQSGLKKCQYKGTSGKQKESVTLSLDTVIPVTQKVSNSEKKEKPGSISNYKHIDSFDLRTLSPIQKSPIQKITSPAKITNSIVAENYLDYECIQSVKDDCLTANKEESKTTCRDAVSWMDTFIGNNVEKTNQVNTLGPGYETHVRPSDLKKVQRTQKNNILVVDTYPPVDVFNQGYASPTIGKFEIDFPDDLYSIVVNPGYVSCLQSNSKEAQPLSLWETINGRDCESWYSKLRDGKVSVVNKFPKVSQRPQPRNPDYYNLHDYYFLFPLEKDPPMPLYWRKERLPTPEEAKKANKRKLFKEEMAKNADYLLLPKTSRFHNRFHYQNVIDYEFLAPYEHPPMPTGWKRTQFMMSLNLGNRTVPYPRKYLYDTFDEFSKHQMHEFVRIFYNIGESPKKKLDLIHIRLFLDKMGKPLDGSSLKYILDLADVNKNGELSLEEFVSLFRIACKYQSIDCLSTFRDIVHSVHGDPDDNDDNSEGRIVCTKCENLSKRTI >CRE27622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:553093:553491:1 gene:WBGene00063293 transcript:CRE27622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27622 MLRLPLVSLIFLVCAVNANLFDSIIGSEKEVVVVTGEEKEKVGQDFVYHFSMKTMSQVQLASDEPSDETALAVIDNDTPIAAMDDLGAEGQSNGVVIRAKRYYGCGCGCCGCATVDPAATPAPCGCGCCGCG >CRE27696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:557493:558367:-1 gene:WBGene00063294 transcript:CRE27696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27696 MKTKLIICCLFFSIFSPADGDSNPFSLDKTADLFSSFMSIFSRSFQKSARIWSRDRSNFEVPDVVMEELEKISESCLTFEEHKKLANDTENDADQLYRVLHFNEELLKAATEIIGFTELRAVLGFSPPKPWTNSNEIKSRVPANATIEQYYNANESRHRNGILGYSLEDKQVSSAVKFLDKRFPVIRDAYTKSYKHNWDGKKKIDRKIVDDMLDLYDTVYDSIEFRIYDLTRRSKIEECKPKKDT >CRE27623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:574789:581836:1 gene:WBGene00063295 transcript:CRE27623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27623 MRSPLRKCTSEAKSSEVAAQCGPVWFGLLDKQANPTCLLYVNACQPPRFKMETRLLLIQNWISQRLNDFKNQLKRQEPEQQVPDVLQHEKDPGENSENEMEMEQEHGEQEQQVPQVPEQQGHAQHGNEEDGLPHGIEEEEEEPVDLEPVQDVPVRRTARSTRRSSHQVAGVRPKRPRREVPRQNANLTSTVHTTQPDDTNNTPGSYVHEVKTVFDKEDAEEIEDNLETEAGLAKFLNKRLICMVVGEKKIDNPRIKIVRQYEARLPKNCQEAYQGQRKGSSWLQNQIRHPGVPIKKFSSVNLGKFGQSKEGWSVQSIFKSETPNHVWVLFVGWDSTTMELKTMAEIKKTAPDMVKKLEMKNEFWKEAEKMYKEKVLFDSLEIGFAREKFVTEEMANDPSNRYWLYQDQSFFHTKIQENYGLGAVQYVCLKEEMVPPPKFTYTPHNIMREYVLEKCKAISDDYQKKLKKAFKKGARELKGNGGIGGGCETPHTCKCKIVFETLFRRNPKQPKWNRLRLKHNADGLLEFPVDFDRNNQRIVVECTDACGCSIRCPCRPLQQVRRNPVFIFYNFLMNQFGLKTGVSLREGDLIFEYTGELIWELDGRKRRGRNSSYDADFKVLGDGFIISSWKIGNVARFMSHSCNPNAIFIEVYVQQNPGDPVIPRIAVYALRDIKNGEDVTIAYWDKDDMPLIGDDKCLCAPDCPRYLVRVEDDDDDDRK >CRE27626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:606364:607793:1 gene:WBGene00063296 transcript:CRE27626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27626 MSEDPPTWPVTSYCVISVITLPIYILVLVCLLRLRLTSKNYNTTFYTLLLQHCIADLLSMAMFITLNLGRFVYFIRQFYFNYQEYYIAAAAYNHIYFTLYIRCTGIILLSFQRYFAIVHTSTTVAKKIQKASKLKIMAVYWILPVLISLVVLKNTDFYYGSIEKMETIADHSVIQRNTLMSLIVVGSTCILCIVAYGGFLLFIRKNSKYLPTSSRREISLAAQIFVLLLSFFGILVFDCFQNYFSQTHNTERIFYMRRIYPLLNGILSYINPYCILFLNRDLSKEIIRMVFCKKAKQIESQHSVFGLPINLHKQISCRLN >CRE27627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:617054:618240:1 gene:WBGene00063297 transcript:CRE27627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-167 description:CRE-NHR-167 protein [Source:UniProtKB/TrEMBL;Acc:E3MKL1] MMSPTQNCFICGRTTNDFNYSVLSCNACKVFFRRVITRTKPLKKCHLGENCFDLPPFQSKNEYLKCQSCRFQKCLQNKMTLPSYLLFTEQNKQKCLGLVIQSLQELDNDRKNYLFNYLAVAEKDPNMDEIMKMEKIEYIKKSEDHLMNFNSWAFHSSVITVDYMKKFAFVNLLRSEDQKILLKDCYIKLGAFISSTRAFNSKREALSFPDGTDLLPKTEWVIPKISPSLENRIRCRLVGRLRELNITNEEYLLVNVLIFCNPALLQYCLLTYQQHGPTRFTDLLGLCHVIGKHFEDVIHYYILLQLNRSKVEVKKLVKDGIEAAYKV >CRE27631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:628940:630020:1 gene:WBGene00063299 transcript:CRE27631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27631 MSFWRPAIQSLHLGLERFRRNINRNRRIQSILIEGEEYNEVELLGKGAFGTVVLVRAKNHSEKQFAVKILSTRRNQTFSATEVYFLRLLPPHENIIRMIEMIKTPDFYQVVLEYATEGTLSDKLVENVPMKAKIAQYFFKHLIEGLSCIHEHGIMHNDIKPDNLLITRQGDKEILKISDFGLSMLFRSDKSERILHYINGTDAYNAPEMYSRSFRGPPIDIWAAGIVLIVMLTTKEPWSKAVRTNRSYLNWIVGEQGIWEHIDDFSLDFIKTILQFDPAIRAAIPTIKQSPWYRHDFNTAS >CRE27700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:631333:631990:-1 gene:WBGene00063300 transcript:CRE27700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27700 MVTRDETQIYVGNLPNDVRTKEIGDLFYKYGRVRYIDLKTCRGPSFAFVEFSDHRNGPQRKFNFRIRVTNLPRPTRWHDLKDYMQSIKDIVFGIVEFTSYDVKYAIRKFDGRKFRFYNVSCFEKRQREKPPKYVFVRKRKEDVEAVIVLVPALALSHTPVCSVVRAASHLPVMIATMNVPVHQNKAHLVRPRHNSAFSIFF >CRE27633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:633364:636655:1 gene:WBGene00063301 transcript:CRE27633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27633 MSCKMGATLSVFPLYSYLINASHKVSAGCWQLLPAASSTIVVVMITIVTTCSNCRLSPAWRAIHLFLGVSCFNKVFSQHTGQRQRACNKFFIDRKSFTSRMEFDRLFTEKNHPMRRISDVTMTVHPPFENDDIVEIARSRPPPSQKKPAPPKKPRKKEVFFPHIKVPVVPRPRPPPRPKPKPKPKKAPGSSHAAAALKAALEAPAEPYISVLTAEGFEHYMEVFRRRPPVDLEFLNKPVFGAGLAVPLAPTSAVQVSDTLTSQKVDRPPSNGNSFVTPTRESSRVGPMDEIREQNSGQAMMEACEPEIPGIVRCNPTGQLHRTCLHNYAIEVYPQNRPWLMNVEEKQDSSNEASSSSQITPPASFSHMPEPAATQPVFPAQPIRPIPILSYELASWDITKQSQLQNLPSLTGNVPKQPTYN >CRE27701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:637020:639106:-1 gene:WBGene00063302 transcript:CRE27701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27701 MSEMDQKRETVPVDYDMFVFSSYQVSCQEPDIPLGDPEDLLPAHRDSEERYKKHVENIKRFAQERNVHFFEFKKITAPPPFPSTYPDVLDSISGHYGIHFRFISVHKIHTPGAFFFPIPGYTYSPPEDLKPVKPKPVVQEPVKFLKRPPGLPSPRRYKTGKKRYGPNEYQAMPQPIYREQPMPQPIYQEQPMPQPIYQEQQMHQPIYQEQSIMQHRGRKNGRWIGTTSRPIQQVHPFSHFTSEYPSTPPLFYTCGNRMHE >CRE27703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:647410:649182:-1 gene:WBGene00063303 transcript:CRE27703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27703 MDASNSRELYDPLPPPPPPPDLWQPAEKTSIELRDYSRDQNTPVPMDIATTPSPVPPPTRNCQKSEYSKLFREVQAAAKRRPEHLGETWKEIREKTRIAWTRLLAFESKKKGLDYLDGIVDKKIDKKFPNWRDPNFKPPVRSRSSSRESRKDGSDGVIQKGECLFFCFLHFTTNADVYFFVNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE27704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:650947:652435:-1 gene:WBGene00063304 transcript:CRE27704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27704 MGSFNKQVDSPVMTGKYAYVFFVFKTLFSGESSFSLSNQSIEHSRSTINANLPFLADLDMLELKNFDNDFTFIRTIGIADLAANPQTVKTYPEFYNFITTHNPSCYDPSSFDGYVMEETLEPPSVEKYRKLFNEMLHLMYFNCHLEHVNKQRKGESSELLDKINTLRLLIDSSVSTAQKVEDDGSEMQKEFREVYPYKSKVDKDTLEECDEPEKVYITDKLKRLQKINSKSNVFWSKKISRRRTASGRKFTKVLKKKFLKKNRKTTTSVVVGTPTVVKNSMQSVTPRNYEGDGHFENLQVESINKENTPVADVTHSRKAAPFRSFTLPKLTYAPYKKNPTRRLINELRQVSADTPSKQIATGLSNLSVSPLLPINQEINHSIFSVYNTYERSPFALVSQPNSSRSGTNSTTENSTNERRQRYAEVARTLSTLNTVHEGYSPDSDSFEYHQ >CRE27635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:653241:654651:1 gene:WBGene00063305 transcript:CRE27635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27635 MGSFNKQVDSPVMTGESSFSLSNQSIEQSRSTINANLPLLADLETLELKNLDKDFTFIGTIGIADLAANPEIATTYPEFHNFITHHNPSCHDPSSFDGYVMEETLEAPSVEKYRKLFNEMLHLMYFNCHLTVSGHIHKISFNSNFQHVNEQRKAESSELLDKINSLRLLIGSSVSTAQEVEDDGNKMQKEFRGVYPYKSKADKDTLEGCDSIEVKYNRGELQIVRTPTVVGPSMQTPPPKNYEWGAHFENLQVESIKKESTPVADVTHSRRSTSSRSLTRPKHTYAPYKKNPTRRLIDELCQVSADTPIKQIAAGLSNLSVSPLVPIHTSQNKEINHHGLPIHNTYERSPFAPVSQHNSSRSGTNSTTENSANDRRQRSVYSPDSNNSESHQ >CRE27708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:671924:672275:-1 gene:WBGene00063306 transcript:CRE27708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27708 MPGYCKEAMGCITESKQEHNQLKAKKQCIENDLGKFCEDSNLKSFREIYDYASKFVGCPVH >CRE27638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:673365:673583:1 gene:WBGene00063307 transcript:CRE27638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27638 MADKSAYMSAGGYSSGYMGSNASSSGYAREDYASGGSGGGASNNQNQGSGGNTNPGQQVFKARTDQSCYLGP >CRE27710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:677469:679633:-1 gene:WBGene00063308 transcript:CRE27710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27710 MTTSFNPIPAERVGDQSPSIWVELTALAAESRAVNLGQGFPDGPAPKFVTEILKKISKKPGLVEAHQYTRGFGHPKLVEMLSKWYTTFYKVNVNALEDILITVGAYHALYYAFQAWINKGDEVIVIEPAFDCYGPQIRFAGGIPVPVLMQLRERAETAAEFKINFTELEEKISEKTKMIVINNPHNPTGKLFTLSELESIAELAKKYNLIVVADEVYEFHVEKHLDMIRFASLPGMFERTISIGSAGKVFSVTGWKLGWAIAPKNLLAPLKTIHQNCAYTCSTPTQLAVAKAFAYEFPKYFNNSKKSYLAYKLPKEIAVKRAKLAEMLATAQFSPIMPEAGFFMVADYTNAKNIPIFTKSSQKESDDPADLLFTRWLCREKKLAVIPLTAFFSTPEMKHSNSSMVRICFFKKGETLDNAKKILDMFAQ >CRE27712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:685122:687009:-1 gene:WBGene00063309 transcript:CRE27712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27712 MNSKLLLRIISKISSHAPDVGTIKDLDLDFHAGIRGKETSRSKQEDFKDNDVQNKDKTESEETEAHRVNIQFPAAFL >CRE27639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:701064:701543:1 gene:WBGene00063310 transcript:CRE27639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27639 MALRAARTYDAIAAPISLRLSPHQLAEDATVPVEGVTAPHQAPVLTKATTTAAEDIKLSVEALASPYAPADITTSRFADVENPSASYYDPVDVKAITAVKDVPDPSETTASPYAVETPAGDIPCGRTCDDKENGFYLLINCLLHRTYHPSAVSCSTRFR >CRE27640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:704295:705532:1 gene:WBGene00063311 transcript:CRE27640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27640 MRHKCKIFFGFILLILISCGLMLLFAALTIKYPKELPYLNPYRIAVWARKLGINIDSWKCDTVECLKMWEADEKDDYDEKDVKQFLESNRTTLNPKAPTKISPLKLSCIQCIGGKFLKHRELMQNSKRKQKMGWVNDWYETNCFLNKVKMLPCSTTCVTIWILEMENGLRGKFNGVMFDCADELIYHSPDIPGRGDLFSDNAIVFNEEAVYNNIRQGYNITYQFTKSNLVDPKDLVAFLKNITATQVPLEVEAISTGNLIVFYTFAGMGIFLVIFAIVICICGCWRDWRYRVKQRKEDKKLLKECADLEKDVVVMSTLVANQDADPYGLVINEIKNIELDTKNNTEDHHPNGKVRNAHHS >CRE27719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:707453:708325:-1 gene:WBGene00063312 transcript:CRE27719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27719 MLSLITIQFYYRFTSVSDPVSLARRFSLKALAFWALLVILFSGLFGSLSYILYGPNVNKDSQLSQDFLKTYCLYSDEYVYIGPQYSFHDKENKKIYHMLSIGGICITAIMLWATFLIVVYFIYRIYKVFAERGLPFYASKKLQRQLFKTLILQSLIPIMCMYIPLTFMFVFSMFGVGSGSVANLISMFAAIYPSLDPLLAMLCIKSMKARIKNIICCSRNRRVQIDVVDTDYTDIRVVYALQDISVRNGDAVA >CRE23474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:251120:252337:-1 gene:WBGene00063313 transcript:CRE23474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23474 MQNEETVDCEKPDSNLKSIALHVRADMIFGFTTVPLAPSQSIIHSYKKQELISIMQQGPRYAIFRKNCVDLCVDDFLWPALKHQKSLMNELSVIKNWELDKYGQRVPQNPKRLVGPKYDKVFDGLVKILESRNRTLQVKSLIVSVHGQYQLMQLLSHVDLNVLKRLEVFRLLESEKFVDTRENHSEVVLDLDILKNCKNLESLHVTRFSISSPFGMFIHIPNLYVNMQTIYCDDLLHFKHTMENSDSNAYSQILFGTISDKSRFLGTVGLAEDGRKLVHVFPSKLILTYDPA >CRE23332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:253583:254877:1 gene:WBGene00063314 transcript:CRE23332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23332 MSIFNFFSCCMSSRRPKQYHDLEKIDTIPPSETPILKFCDLEPSTDVQKSISMIEVPEYVPSIKEEVLSELSELVVPAKKEVSIMDMPDLVVREILRPFEFGFVSIHKLRKVCRAFRDYLDDLKMISNVTSVEIKVSVDGIKVVEKLRSKFITSEYKEHEDGCEVTCDGQKIIIINGDFIDAFIGDFLWAILSNQDTLLDKFIMKAPRDAKKFFAATIDKIYDRLMQVLESRNLVLQVKHLEVFARRPDQVTQLLRHIDSESLKFLSADRVWVAGFYLKFPNEWVCDLDMLKDCKNLKELHFYCFPITTRLAFLLHIPIIYVVLTTLSIDDIWRLKETIIKSRNYTFYSNIYKHFSDKSILLHKLDIDQWDVGCTNESFRLGTTYHNSIDLWTS >CRE23475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:255301:256399:-1 gene:WBGene00063315 transcript:CRE23475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23475 MSSRLLIDFPPEVTTKILSKLDIFSVLKLRKVCYNLRQFIDENPPKPICWEVKASTFNESSSIKFESPKNIIISFKPIEKGCVMSWRNDDYVFKFEEFLDESYIDVFLRELGLIMKHHSRPVLNYFLIELLPKENCEKVLEQLTRIGCVSTNEVIFDGCTVDQIAKFLPFFNSNDLKGIRIEDYIEREGEDEENILNLQEIRQLDQWLNSKRVKVEVINFNVRIQDFLHFEEVEIDCKMMTVQDIISLKENFLTSSTLTSFNILIDAEFDESEQLHAFFGLPSLPIDQDNPDEKWFFRIPDNKEVLSVTLTMADRFIFRRIEIKDVPKHAVIRD >CRE23476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:256696:257834:-1 gene:WBGene00063316 transcript:CRE23476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23476 MSSPTLLVDFPAVVKSKVLEKLDIHSILKLRKVCHNLRQFIDENPPKFDSFTVEVRTIEESISIIMDSSKYVMNIWNKQTESIDFRSDRNGCIIQWMDSNKVRKEMVEKGNFIDVFLREFGIIMKNHSTRVLNSFSINLCQGEHGEQVLEELTKIRCVSTDEVVFDGCTVDQIAKFLPFFNSNDLNKIRIKEHFEPKVEDQENPIRMLNLQEIRQLEQWKNSKHVNVEAVDFYIRIQDFLHFEQVRVNCKMISIQDIVLLKESFLTSPALTSFYIQVYDYFNEIEELHASLGFPSLPVDQGNPEEIWLFRIPNNEDVLRVICNMEDLFYFRRIKIQNVPEGAVIRD >CRE23478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:260132:261788:-1 gene:WBGene00063317 transcript:CRE23478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23478 MDTPGTITNDTYQQWADFVECPICYTVYDRPMQMGCGHTFCSTCIGRLVERVKTSGATEIKCPECRKPTTVPVNGLPEILAQGVYFDCSQCEEKGSKICPMCAIRLHNGHIMVEEKTLTSDDVRVMKQKINEASTRAVEALKNIKPQFESVGGSVEKKVVENFESFVKIFDFMVNSFDSKIKDTSTMDELMVEVKKAERVAETYEKGRKTDELMAAIKRAVEEYLKPFEVCLSRFGIWPSFFDFQKLKKELDFQPDIPDIAAAPAPAPPPARVIYAPQPPPQQPLIFPPYQVQPQLLPGHPHARRQVLYPGNMVFSPGYHQQPGPPIMQPGPQRMPQGQRNVPTLQLQLPQPQQQQLQQQQFPQQLQQQLHPQQLQPPQQPLQPQQFQQQQAAQKPHMPEPRGHGPEEPSTSSNTSATQPSTSDSQTSQDSTNSLTAAKRMKMVEPEPMDADEMADPTSCCF >CRE23479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:262887:263739:-1 gene:WBGene00063318 transcript:CRE23479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23479 MTVDFDFDYISLELGEDSDLTYRKQGNYLEGFSTDFKIVMNILQQPNIEEFKIVLFGARIDDMETFKNISEELLQVEFLTVYDYNEKGMLEILPIFDPHTLIGLTLDGVAMDDDDEGMDDDDGSGYRSGPVFLNLSEIMELDQWKMSEYIDIDGFYVYPDVENFTHFTEAKVSMEKVDETDISKMKDAMLQKFNYLTLEIKSKLIFGGFAEKFGEPLVINGEKNWYFRSPEKQILKLNISEDTVMFHYHDLSSVPGNAVIIEYTKK >CRE23334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:266929:268182:1 gene:WBGene00063319 transcript:CRE23334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23334 MFRLNRYKSEETPPPPPSQRVLIIDMPDLVMRKILDEVDLVSIMKLRKVCCAFRNFIDDTKSDSKLKEITIITKSDTIIVTYEYFLSKPLGKETPSKEITVFYENNKKGCGIRFGKGRIKHINGRKAFDVFCEDMQTVLGNQKTKISHFFFYYREDELIIEETPSPNFFQKSLELVCCRRRSENMKTFVSQNTSSIAMYNQAFDFYLKILKSRKHPLQVRYLRISVNGQDQLETLLKHMKSTELKYLVISDPRIEIYRTVERELSLDTLKNFGNLNELAVFNFLITSSLESLSHISKVHGKFKKITATEILARKETHLKSGSLFIPWFRYWIKYDEFPDESRLFELFGTPFDHFSGKTTWKFNYPKSKRNLEVSVSAAWNVEFYTCF >CRE23335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:268878:270152:1 gene:WBGene00063320 transcript:CRE23335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23335 MSCLKWYKSEETPPPPPSQRVLIIDMPDLVMRKILEEVDLVSIMKLRKVCRAFRNYIDDTKPDSKLFGITIYVRSDTIIVSYWYFLSKPLREKTPSKEVTVFYKNNKNGCDIRFGQGQLKHVNGRNAFDVFCEDMQTVLGNQKTTISQFYFYDHKNVTSNEDESNSEDESNNEDESNSEESRSLNIFQKSLELVFCRRRLGNKKTFVSQNTSSIAMYNQAFDFYLKILKSRKHPLQVRYLNISINGQDQLETLLERVDPRELKRLAINEERYDIHPTVKGELNLDILKNFGNLKHLLVLDFLITSSLESLSHILSIKGTFKKITATEILARKETHLKSWYCDYVIKYDEFTDKSRLSEMFGTPSHQTAWKFDIPNSEKNLGVFLWLSRSVMFTM >CRE23336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:271201:272210:1 gene:WBGene00063321 transcript:CRE23336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23336 MFRLKWYKSEETPPPPPSQRVLIIDMPDLVMRKILEEVDLVSIMKLRKVCRAFRNYIDDTKPDSKLNRLTIYVRSDTILVLFRYFLSKPMKEETPSELAEVSYENNKQGCDIRFGEGQLKHINGRKAFDVFCEDMQTVLGNQKTTISHFYIYNREDESEIEKPPLLNFFQKSLELFCCRRRSENTKTYPSQYANSIAMYNKVCGVILKILKSRKHPLQVSNLSISINGQDQLETLLENVESAKLKYLLIDNDIYPTVKSELNLDMLKNFGNLKDLLVFNVLITSSLESLSHIPIIKGTFKKITATEILARKEVGYIFLPL >CRE23480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:272942:274117:-1 gene:WBGene00063322 transcript:CRE23480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23480 MSYLECYKYEETPPLSPSQRVLIIDMPDLVMRKILEEVDLVSIMILRKVCRAFRNYIDDTKPDSKLNGLAIYVRSDSIRVSYEYFLSKPLRSKMVTIRYKNKRIGFDIFCEDMQRVLGNQKTPFSQFLIFNPEYESNIKEAPSLNFFQKSLEHVCCRRTPKNTETYPSQYENSIAMHTKVFDCILETLKSRKHPLQVSSLYISVNGQDRLETLMEHVESRELTYLTINDPRNENHATVKCELNLDVLKNFGNLKGLKVHYSLITSSLETLSHILIIEGTFKKITATDIIAKIETHLKSTPYFRDHLIKYDEFPDESRLFELFGTPFDQSSGRAKWEFNFPKSQRKLVVTLTPAWVEFNISY >CRE23337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:274914:277225:1 gene:WBGene00063323 transcript:CRE23337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23337 MTANNLLTDLPQELSTQIFNECDFLSILSLRKVCHATREFIDDLKPISDFPFITLVINKNWLGLRLKNGVKHHEVFYVKYQKHEKGCVMTWSTEYGEGQGKKKLLDGCNFMELFLNEFNLVMKFHPHFKGFDLQVFTDTMAPEILKAFKKIKVEYLKLGVWNPEIASQMLPFFDPTTLNILQICSHRVDAELEKSFIDLTEIAKLDHWKTVKSLDIDTFYVVYDIPGFLHFTEVAISWQRISYEMIFNLMETMVQAHSLRYLLIKSDNIENDERLIQTFGEPFVEQVTSEHRRDIWYFRIPESEEILEFVISTKVMSLIDFPSVVLHKVLNELDLFSILTLRKVSHGLRSFIDDSTLLRNSNFPPKIRLELNEEFILLELGTKTIQYEKHQCQVLWKKDSEDWSRGKSKMFEDENFEELFLKDFEIVLKLNNSRKMECFELGIQDIDSKIDIFSSEFKAKEVILKVSEPDQVLKFLPFFDAGTLEKLVLMEAEMQEEEDEEHEERFDSTRISKLPQWKHAKNIEVQGLNVAPKIEDFLHFSTVKVYFESVPYQEIVLLKEKFATSSTLTRFKIFFDYITDDEQLQVALGESFKELDDGLHGQERWFFGISNCPEIVELVITTMGSIVYSRVDRDSVPGGAVIRG >CRE23338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:277796:281048:1 gene:WBGene00063324 transcript:CRE23338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23338 MDKQGSLRIKYEEMDNGCWVTWSKADVPSEKFQIVSDKNVMECCLEDLQLVMKHHRTDLLHFLKVEIDVKLISVEDVVLVKEKFITSPAMTSFAIRSQQSMNRSQLDGVFGNPFCGRDVDGLRCLTLRKVCRSLREFLDETRIKPNCEVMLKINENGVYMEFRAPRIQKFVRFEKYDDGCLLIWSDRKMKLFKDESFVEKFLKDYRIFSSYMGSLNSFIFAAHNDTKIDNLLPENASFHAKEVTFDQCSLLQIFQFLPFFDSKSLKRIKVLDYPQHQDGKLSKLCELEQWKNCKYVEFAGEDFIPDIQDFVGFKDVKIQCLEISTQKILLLKEQFLKSSTLTRFEIQCTDDYFDVHQIREIFGPEDEWFFGNGKEVVHFVITELDLIVFNRLRLDEVPEGAVINIFCHTCHKISVYIIPIKQLHSSLSAMPSQTLLVDFPAVVKSKVLEKLGVCSILKLRKVCYNLRQFIDESPTKFNSCSIKVRTFGDCISIIMESPEKKDERVLEELTKIGCVHTVVFDECTVDQIAKFLPFFYSDDLNKIRIELPYEEDEHWKNVKYVKLKAWDFCVRIQDFLHFEEVDIDCKIMPIQNIVLLKENFLTSSTLTSFDMLIDDDFNEREQLHASFGMPSLAVDQRKEKWFFRIPNNREVLSVTFNKMDRFNFRRIDIQDVPKGDVIK >CRE23340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:282997:284053:1 gene:WBGene00063325 transcript:CRE23340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23340 MTSQTLLVDFPAVIKSKVLEKLNIYSILTLRKVCHNLREFIGKNQSKATDTVIDINLRGSSIEIALTLQETKRIYLYNNENECTMSWGYLDDFNSITFENECFIDVFLREFEAIMKHHWRPVLDSVSVEMYGNRDYSNLHNMLNKIKYLHSEKISFEDCNPIQIGEMFSFFDVKCLNKIVIEDCFKKTADEDLLPITSTVHWKSARCVVYRGKDFDLKIQDFLHFSDVEIKCNSTPIQDIASLKEEFLKSPTLTRFVIKNYYYPTERLSAVFGDPSTQSDNEWFFRIPNSEDVVHLTESLLDISFSRIKNKDVPVGAVIKY >CRE23481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:286949:289506:-1 gene:WBGene00063326 transcript:CRE23481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23481 MKMQSIFLLILLFPIVLAVLTSDIGYGFVEDDQENRDACDVFDISINFDGAQAFLDHMRSHCAAEGRINKTLGIIKKRSKKEILTAVGFVTSAIAFGVPLYTILVNIPDDQNELKKEEKLLHNITELIQNNSLHTQDIIKAMEGQIQNATYETIVQALFSTGDIQRIAAFFQINLKEIVKKMGFDETVGLEAAHKLSHTFVCGKNPQEFQLQICGSENPTRRFGEVKEVAPVGNFIHGGSIFAFYELPKYVIYTNEGPISATHCEPLGMYFGCRMAKGKCGFVSYRKCPVSQRHTPDGIFVVELGDATVVSSTVDVSLRLSKLLNNSKFQHYSLYVNGSNTTYTDHRFPATGQLLIRAPHSTKVKIGSRVIQGRHDHFELREVHTAENIPHLSHEQLELWVKNNEAIGKAFTELEKEELHNSIGFNWSWDAIKHWIQKLITAFMTVLLILTALFLVGAGIYCYCVCRCQRNLVIPN >CRE23482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:291254:292300:-1 gene:WBGene00063327 transcript:CRE23482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23482 MTSRTLLVDFPAVIKSKVLEELDVFSILKLRKVCWSLREFIDKSQPKPRGTVVDLNLNGNSIGIVLILQEDTRIYFQDNANGCTMSWGVSNSINFDNECYIDVFLKEFTIIMKHHWRPVLDSVSVDMWGKKDYSDLDKIFNKINHLQSEKVSFEECNPIKIGKIFSFFDAKCLNKIVIENCFMVNSNEDLLPITSTEHWKSARCVVYKGKKFRPRIQDFLHFSDVEMEFNSIPLQDIILLKENFLKSPTLTRFEIKNCNYIGERLPAIFGDPSTQRNNVWFFKIPNSEDVIYLTERLLHINFSRVKMTDVPIGAVIKY >CRE23343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:293023:294131:1 gene:WBGene00063328 transcript:CRE23343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23343 MPSQTLLVDFPAVVKSKVLEKLDLVSVLKLRKVCYNLRQFIDENPPKVNSCDVEICTTYGDCMSIIMESLDSLNFSPYENGCILEWRTFTGVRKKVVEMGNYIDKFLKEFKIIMKHHWRPVLDSFAIVLSQEGNSEQILEELTRIGCVSTDEVVFDGCAFDQIAEFLPFFNSDDLNKIRIEFPYEQKEEDQEDDIKMLNLEEIRQLDQWKNSKHVKVEAGDSRVRIQDFLHFEEVEIHCKIISIQDLVLLKQSFLTSSTLTSFDILIVDVFNEEEEFCVNFGHPSLFVDNANLKEKWFFRIPNDEDVLSVTCNIRDRFIFRKIKIQDVPNCAVIKN >CRE23344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:294408:295544:1 gene:WBGene00063329 transcript:CRE23344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23344 MPSQTLLVDFPAVVKSKVLEKLDLFSILKLRKVCYNLRQFIDENPPKCNKCAVKVTTTLGECISINMGSPKIDCLDFRPDGNGCKTEWKVSYEVRKVVVEMGNYIDVFLRELEGIMKHWRPVLESFSIQQFEGGVRREVSKELTRIGCVHTDEVVFDGCSLDQIATFLPFFNSDDLNKIRIEGLFKQEKENQEDDFRVLNFQKIKQLEQWKNSKHVKIEAWDFYVKIRDFLNFEQVEIKCEMMSVHDIILLKESFLTSSRLDDFSIGLENYHDREQLYASFGFPSLPVDPETQEEEWFFRIPNNEDVLSVSTWHYFDTWHRFDRNYFFFSRVKVEDVPVDAVIRG >CRE23483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:295947:297189:-1 gene:WBGene00063330 transcript:CRE23483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23483 MTPKSDVKVKKLRKPSTSRPLLLENRDNTICYLNSALNLLYTIKDIRHYLATGDLKNDFILKEIKSIFNGKRSARKLVNLLNMRHGAQSCHEVVKKIVHLLPPDIQLSLSLQHQKMTQCEKCGEKLLPRKKMVQSVEILLPEDKPYTLKKLLTNQSTPRSCTKYREVQEVFDVYRVTVDSKTMIVNVLQSDPMEIKNLDTDGVMEVFGGRWVLRAAIIYLPDTNPKYDYGENGHSISWTKYGQKWFRVSDDKSEEFEKFDASRLDVEMMVFERV >CRE23346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:300584:302438:1 gene:WBGene00063331 transcript:CRE23346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23346 MSLESIVTQICSFLVQLLAKIIPYLAVISITVNFCHVIVLFQRGVTSSSMNMLLIGIGIVDILSPMVYVKRGVENLLDIWQDFCQIPSYNDVLLDLILGSLSENFRRCSTWLGLLLAVTRTVSVKLAVGKNSALLTQSKFGVTLIICTVILSMPIAIPYLIRYQIVESAAQSCQLADGQAMMVTRYTIQEFAKNKTVVIGGSARTVHILLTGVFGQLMPSVLFPIFASILIYELRQPDKKNSKTVKTDKISKMVVYMTVTFLVIEFPIGIGKIFNSMQTESTIPHDSNQIFHYLYVPMTLMHCFICLSMSSQYRKAARKLLGLDRKICHKNTLSTSHGKFSSVPR >CRE23347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:303285:305326:1 gene:WBGene00063332 transcript:CRE23347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23347 MTSYQGLDAIIDTWTTKFEDIMYIFSILAIFLNLFHIFVLSRRMMTSSAVTSLLIGIAVVDILSPVYYVKIGVKDLIFPGPCIPPRGYFETVFNWILLAIRDNCRRCSTWFGLELAAIRMLSLKLALRKQFNFITESPFGLKTIVITVLVSCGISIFYVFRYQVVPDPDWIFIPGCHNPRDIQYYVDEIEGNAKAPGRARQLHLLLTAILEKILPSFLFPIIGVVLICELGKARKLVEDVRKASTSVRGTEKMNKLVIHMTLTFIIIEFPIGICKLLTATKDSYEDAVIPESITKLFNMIYVPMTATHCFICYRMSSQYRDTVRRILRMKKASVHPGSSIGNSMGTA >CRE23484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:306222:309867:-1 gene:WBGene00063333 transcript:CRE23484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23484 MTQQSASLEAFFKSLVSSAESWNYIPTVLSMVINVFHVFILSRKTVSSSAVNCILLGMGVVDILSPVIYIKIAVNNWLEPRECKLPSSYIQVVVDWIFYAIRDDFRRCSVWLGLTLAVIRTISLKTATKKQFHFINESKTGLVSIINIVIISSIFTICYVFRYQLVHVLVPWVPEKGCPDLLPLDSLLRHPHRIEEITGSSGGRLVHLVLTAVFEKIIPSILFPIFAILLVSELRKKKKVRKMSRQHDTTNQLVVYMTITFIIIELPIGVCKLITATRATYEEASLVSLAESWNYIPTVLSMVINVFHVFILSRKTVSSSAVNCILLGMGVVDILSPVIYIKIAVNNWLEPRECKLPSSYIQVLVDWIFYAIRDNFRRCSVWLGLTLAVIRTISLKMATKNRFNFINESKTGRTAIFVIVLLSSLLSICYVFRYQIVHTMEPWSPQEEFCKNFLDPSPDRYSISEITSTDSMIEEPARKVHLVLTAIFGKIIPSILFPISAIILILELRKTKKLRLSKNKHSERTNRLVVYMTITFMVIELPIGICNLVTATRKGYEDAIIPESISKILNMIYVSVTATHFLICFLISSQYRKTVKKVLGVSGDGTARSRMFSTNSKS >CRE23489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:320803:321960:-1 gene:WBGene00063334 transcript:CRE23489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23489 MSHLHHSDNTYDYFPEYDENFTVPDYFEDDNEFFYWISLIDRIGEVLGEVNNILSIICLVINLAHFLVMIQKKMRTSAINILTIGISICDIVVIGNTVGIFIYVILSSNIWYVDNGCIQLFVNFSWPQFTYLSRIVLITAEFSKDSLLRVSPWLGLAMALIRAAVLLFPWRKWIKTLSEKRLAIPIIVVFFSLSSFISALVFSRYSIFRFGTWQPPEICGYPPDYTQPMFTIEVGRKVILSYKITMDIYAAVNGAASIIPAVTYPLIAVVLVVQLVKMKKGRSKLFSTRASDASEKDHTTTLVILMTITFFISQLPIGLFMWLRLIYPFHYVIQIALNHGTKFTSILFTINATCHCFIFATLSSQYREVVREVFCCRKRKNVSGS >CRE23488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:316612:317928:-1 gene:WBGene00063335 transcript:CRE23488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23488 MISPLILSTLLTIPLVEMCIRMIPPEDVSVGTISATQSTSPITTGQCTASSCQTSTTIPIGGTTVTGSPVTGSPVTTTPATVTTAPVTMAPGSLCTTCDIATIAPAMEANTVFENTNTVGADGCTQTNVICRRTDDQKCTGVTLSATNAAGTSTISSAMNANQVSGSLTCQADGTYSSGSVTGITKLECTFDTCVPACSTCDTEAAKPVMDPPNTEFQITDRTPAGQTCKVYSAACVHATQQCTVTIYATMSTGSEEVLSSDVDTDLTAATINCATDGTLTFMGRGPIVRFRCEFTNCM >CRE23487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:313862:315001:-1 gene:WBGene00063336 transcript:CRE23487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23487 MLRSDNTYDYQSEYENFTFPDYFPDDNEMFQWISLIDSIGKVLGEVNNILSIICLVINLAHFLVMIQKKMRTSSIIILTIGISICDIVVIGNTVGTLIYNMLSSNICWPQFTYLSRLFKIISLFSEDSLFRLAPWLGLAMAFIRALFLLFPWSRRIKTLSEKRLAIPIILVFFTISSFISALVFSRYSIFDYDTWVPVEICGYPPGYTQPMFTIQVGRKIILSYKTAMEIYAAVNGAASIIPAVTYPLIAVVLVVKLLKMKKGRSKLFSTRDAAEKDHTTTLVILMTITFSISQLPVGIFMWLRLIYPFHYVLYIALDHGAKFTSILFTINATCHCFIFATLCSQYREVVREVFCCRNRKNVSGA >CRE23490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:323333:324491:-1 gene:WBGene00063337 transcript:CRE23490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23490 MAPFNTSDNTYDYFPEYDENFTLPDYFPDDDEMFQWIYLIDKIAQVLEQINNILSIICLVINLAHFLVMIQKKMRTSSINILTIGISFCDIVVIGSTVITIIYYKLSADICWPQFTYLSRVILIIAEFSKDVLLRASPWLGLAMAFIRALFLLFPLSRRIKTLSEKRLAIPHYFTVNGAASVIPAVTYPLIAVVLVVQLVKMKKGRSKLFSTRASDASEKDHTTTLVILMTITFFISQLPIGLFMWLRLIYPFHYVIQIALNHGTKFTSILFTINATCHCFIFATLSSQYREVVREVFCCRKGKNVSGA >CRE23492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:327957:329125:-1 gene:WBGene00063338 transcript:CRE23492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23492 MLFHLFLTILFFTISLEGCVRMIPPEEVTISSTAATVPTHEPSRPSPEVSTEATTVQTEAPTSNEPPVTMAPPVTETPTHPCTTCDYAKIKVTPAGTGAVFETQEQAGPDGCKQVTVTCKRTDDTRCAQISIDATGSSGSIGSIGNAVETTEAEATLICQSDGTYSSVFVDGITEISCTSTNCIKLCNTCDIASIAPLMIPPKRNYVAEPETDSLCLEYFSQCRLNDYTQSCSIIQLLAETTAGAEPVVISETTNLFFAAAAISCGNDGTFYSDTVTGITRLSCFYGSCTDD >CRE23493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:331971:333731:-1 gene:WBGene00063339 transcript:CRE23493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23493 MGSDGDPDLLKENVNFLNVMRKTREVFLSIAKSDTSKMLRTYAKANASTHESIMKPFIDLIVSTFQTLEAKEKDYEELSKEHDSEMDENIELIRENMQLQDEINFLHELLAEKRKEDDMKSVGVMKGVQTEEQYFEKVHISNSNVEKVTVVTETKCSNDSESENKLIDCKTESKSLCEGQGIAKIVFENELDYSQDRVAMKAGNAKEIFVMKSNNVLCCEDSKADEIQSSCTKSTHRKDENIGVTKRCTVANISNDKLCSGDQTPGKAQRSVTFTQYETKKQGVQQKIVSDVIDSKVDLVKDHEECYVQDTVLEKESMQLLKNMLVVENTKHDYKEKSVSSTGGDSNEDEAKRKKVDQKAEDTVTCPGPPLNSKSSFLPSCHTIQQTFSGNNTENVVKKNNSSDFKIPNPGKPGLKKKGDGACGVVFQMKTKRRKREEPRPRTDPPNLSMTHVNDEIISDEFSVTVACDQNVIRSHQNTSDQLTCGETKSKRVEVEPRPRKDPPQAILLNWRDTKTGRVKKEPRPRKDPPHSGMMVNDTWRRTMEEHRPRKDPPMSPSQQCGHHGNLVGCRHVMPLQSPTRNVICC >CRE23497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:341694:344600:-1 gene:WBGene00063340 transcript:CRE23497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23497 MDVYQSKRTKLTRPKTRKTDLRICTFNCRSVATDNRLAELLEETNRIKYDIIGLSETKRSAEVHMTNRDGTGVILGKRNDSSVSGGVGFIINKSLMPKIKEIKIVSHRIGYLTLQVNKNQYTIIQGYAPTADYEEEEHSNFYENLEDVYKSCKSRYKMVIGDFNARIGERKGNEVFIGPHSMENRNDSGERLATFCEVNKVFHMNSQFIKPTHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHRMLRANVHINAKQAKFEQVKRRKPPRRVLDPTAALLATENLDSCEDQDIDKEYDTLVHVLKKAQDAAVTIPTNHSRNRLKDSTRLLLSKRRFTDRSDPNFKTLSKECRQAVKRDHENFAKDRLLNAANQRKSLRKVARDINEYQSYIPCLLSGETGEKLTSREKMEIEVRRFYANLFATKQSKATTIIPQQTKALPPFLPEEIQHSLNSFQNGKAAGEDKLSADFLKSCHSSVHKLLANKFSRYLQEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPVLYKIFTKCILNRIRNSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHLKEGKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINANKTKVLRNKFASSHQIHIRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGFTLTEQREKNLHREDIRKMSQVKDPLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE23351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:360081:363086:1 gene:WBGene00063341 transcript:CRE23351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-78 description:CRE-STR-78 protein [Source:UniProtKB/TrEMBL;Acc:E3MH15] MFNFEPNPSCSICCEYSFINEICMPRYQNPPESSRFPKMKEILPTTERISNMTMWLEVTRIFDFITFVMSTFINGVLLNLIKTASPKHFGNYVYLMMSFSVNSLIFAVIHAFLQPIISTEKYVLFVFTSTNYLEVPRGFMRVLLSVYGTSYSQALVLIAVQFIYRCFSISRRKFLIYFKGRWLFFWYALVGVFGINWGLCIFFIAQENPQVDEILRPLMASSFGLNMSDVFYVAASYEVEDEFKNKVLNWPVIFMIINFASIMGLSFAIIVLCFCFIHYKMQRVTHSKVYEAVQQQLFRALMSQMIIPLVLIYLPIIIVMILPIFHLKNDACMSLTSILISIYPVLDPFAVIMIISVYRQGFLNMFTNHPKTNQISNLEMST >CRE23501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:369672:370761:-1 gene:WBGene00063342 transcript:CRE23501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23501 MFSDIKMQIQYFAEIVSEFSGFHHTPGFKASRSTGDFEKFSGTKNSRRASTESTTSNHSIRIPVGVDDVPILSTTSSSNTQQNHPHFTKNFATSPSISRMASLSRVQEKLQRKIKRSKSEESLESLENQNSRKSSTTTSYRLMV >CRE23353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:375120:375810:1 gene:WBGene00063343 transcript:CRE23353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23353 MKVSQAISEIIAEFSGINRSPNAAPVAKCSSRKCSMATPPPSCTSSGQNSGASSPRRKVSEPAQRKHSVVIAVGVSQIPTCTIDKAKLL >CRE23354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:378153:378769:1 gene:WBGene00063344 transcript:CRE23354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23354 MSTLLDMVSDFAGFQYTPKFKSTTCPPTPTSSGATTPVRKMSEPAAGAQPRRHSVIITVGVNKSSDFPELQRTRIVE >CRE23358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:387514:389514:1 gene:WBGene00063345 transcript:CRE23358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23358 MSSEDFLCNFLHNDRCFKKFFLQEPQLSQIHFSKMSDTDFDFLEFKNSTAFLNSSYSIPVLYPIYAIIFLLIFPFYIHVYEENREKEQESLVFLIINHFHFMIKKLHRCYLIAIILTVASSFFYFLNGNIFWISGFLLTVVVSIMTLIMALTSRVNHLLLSCLAIGRFLTLFYPETDEFLTLKKRSLKWLIFFCYLYFLLEYLATIIFIEIVPVCDHYYYPYLPYPIIIFQVYYLLFNIFILTSASFYIPIFWKIRKLKHLPSAQLNQPQKYVLWQLVASLLMKILYIPIYLNDKEMDLTDRIIQCIMTDVMATPVTIQIAYLGCSKRNMRSLREHLRRTRWTRWMFSNGFKVEPVSHGTVELTKGRNKEGIVVLQGVREVSG >CRE23363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:406350:407453:1 gene:WBGene00063346 transcript:CRE23363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23363 MSANLLDVPDLAMTNILNYLDYPAIRNVRKSCKALRRFIDTARIGALPDYIMLTDLHETVYLQAVFKSDTSLRISVFYKKEGDGCRIKYINEKDKEEKEEKVTVLENQDYLESFSTDCKLLLANEKIVLDELEIMANENSMLKIIEDILKTRNQMIQIKKIYITVADDTEVANLVQYTNPNHLERLRIQINDNISELPEIEKLPHWRHVEEFQIFSVLSEVHPQKFSHFTKCHLRCKSVDTETVKALQEIFYQTTNYKMEFHLSTDTSIHENVFFDLLGHVELFRNADYQHQWFFRIKNSSEMVLHVAIDRTRCRFRFSEKSRIPNGFEVIG >CRE23505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:409829:410944:-1 gene:WBGene00063347 transcript:CRE23505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23505 MKIPFVPLNTGAALPIFGLGTWQVKDDKELKTSLRTALDAGYRLIGELLTYLFFNSCCFQSLDTAHIYKNEHIIGEVLHEYISTGRLKREEIFITSKLPLVAHAPEDVEKCVNEQLEALKLDYIDLYLIHCPCPCKHQEGSWIPLSDDKGKLVFDEKTTHIDTWRELEKLYYAGKLRALGVSRFSARQLEDLYDLADVKPANIQVECHIYWQQENLRRVCRKLGVTLTAFAPLGSPGRKSSRPDGNWPDGNPLLDPLVLQLAEKYQKSTAQVLIRFLTQNGISVISKSVNPLRILENISSFDYELNEEDMHELEDKGKTRIKLFNLDLLVFFSIQKCIYLEIFSAIGHPLYPDGEN >CRE23508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:414248:418728:-1 gene:WBGene00063348 transcript:CRE23508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23508 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MH36] MKIWLLLVAAISAYAIKDPPESNHLLDGGDNYPADALHLTSAAASFRRRFDRNAGGGDLATHVNYLQMMARITNGIHILKSGMDKSLNSDEFISEILHFGDVTPAEIIAIDSSTVQEAKSRLENLPEDIKPTKEAGEVELAFNWLNRILTAIDGVGDIRKWTADKENFKQEIGRLEQNGVNTSIVNDMYAGASYWVNNYDALTKKDASPAFFQISAIKFELAKIRTAVLKYDSVPIWSFKNFTSALDGISPVLKAAEGVGLFKNGSSAISMDADQELTYTTYLDSVTSKLNSTSEHFSHFHFISKMLAARKNKNLRRKLKTTPGFFHGVPDLTRLFVDVLDPWILKVIKTTRLKTALDHLIGISDPLEKIDSSLGEHSEEVKSLLDFLSSISTILIDIKNATPGVSQGHNCSTAVALKNIQSDSFNKLHETLKDIDEKLAKLKTKTDEVSTILKTPGIIQMCDDVIVIFNKTVDNTESIKEAVVNFKNYAKLKELNNFMKNINGRTFTIKTQAETIKEVATNATANMNALDDYQTKIEHYAEYFVCLQSKDKLKSVFKASDGLDRIRKWNNDKKYSGALTDGINTMNKVIGIKGDLETLQKSIGSLSDLKTKETDALKDFKDAPTISKNIGKAVQGLAEMSLALEKRADVENKFVDIKVVSDNKANVKDPGDLDSLNALTKLSGSLQEMYTSLNNFDKTVGSFVRSDTFANQSGIFEKAKQVSGVTDDFSRMSMAVGNLKQTAVGGDANKLKEIEDALKTMDSLDLNFAGFHKDFNESKKSLEELDVFFAGFFAKFQPISATVAPGQTQPILPGSPTVSIQRPWTSTQVGVNKDSNALVLSLSVTGGVLILTVIVVLVHVCLHVWCRKWLVYHYCIKWKATPEMLFDMFYAYVNNQFVSVGNYYRIPEDNYGQITYRMYVDLRDLAVTRFSTSHDIKQVASIEKSDCRDKIILLEKGKTVLKKWEGRFANSFFHGNEVNMPDGMKCILTEGPQKRSVGKNSTLEKLFWLAKNHRTQSIVMLCKLKDNGIVQCDRYYPEKEGETLDLDRLTVKCSKLESKFDKTLIIRTLVVKFDTEKEFSLTHYALRDWAIGGYPSMDSLLFLHECLEKDKSRAIIHCDDGCERTGQFVLGILFYQKLKKNQTLNFEENFLIIKKARFDSIFRSKEFAFAARFCLEIVATRAKLNDEQKEQYEKLKCGWEDIENGQEQDKKRLS >CRE23509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:419718:424438:-1 gene:WBGene00063349 transcript:CRE23509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23509 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MH37] MKIWLLLVAAFSAYAIKDPPESNRLLYGGENYPTDALHRTSAAASFRRRFNRNAGGGDLATHVNYLQMMARITNGIHILKSGMDKSLDSDQFISEILHFGVVTPAQIIAIDSSTVQEAKNRLENLPDDMKATKEEENVEKLFAGLKKILAEIDGIGNIIEWKDGKEHFMNETRRLAENGVPMEVLNDMDAYSSMWNNKYKKLEKNTPLSSSEIKEMETALDHIKTGISNFTKSGPFWTFVNFTTALDGISPILKAANGVKVYREVYDDIVINKKKEESIGKFPDSAITKLNDSVGRFAEFQTIIKLMMGRSEKNSQKPLKLTPGLFNGAPDFSRLFADVLDPWILKVVKTKSLKTAINNLEEISDLLNNMDNSLGTGSHRLQELVDFQDSLSAMLLDINDAKSGFSQGHNCSIIGANPITLDSFENFYKTLEEIDKTLAELKNETDSLSVLVATSGIIQVYDEAIAIFKEVGGDDTKVNAVLEKFRNYTKRSELNSHVVHISEFTNRIELLNPGTIKNLAKTANDNMIALDDYHKQFDHYAEYFVCLQKQDKLKSVFNVLDGLDRIRKWNNDKKYSGALTDGINTMNKVIGIKGDLEALQKSIGSLGDLKTKETDALKDFKDAPTISQNIGKAVQGLAEMSLALEKRADVEKKFDDIKVVSDNKANVKDPGDLDSLNKLVSLPGTLQKMYTSLGDFDKTVGSFVRSDTFANQSGIFEKAKQVSGVTGDFSRMSVAVGNLKQTAVGGDADKLKEIEDALKTMDSLDLNFAGFHKDFNESKKSLEELDVFFAGFFAKFQPISATIAPGQTQPTGSPYVTVLIQRPWTSTQGGEDGTSDFVLYGSIAGGVILSLVFVVLLHLCLYIWCHKCLVDNYWFKCIKWEPTPEMLIEYFNDFCYDHYCGLSDDIEYNRENVGLLTYFFYTKFYETDKTKVYEVDPGEIRVTDCRFSKPFSNIGKAVLDGCRNRFDNLFLHATKFDFSNRYFFTEIWWFTQAPQKKSAGKNSTIEKLFWLAKQEKTQSMVMLCALEENGATLCDRYYPEKEGESMEFDKLIVKCSKVDTLFDQALQVRTLDVKFDTEKQFSLTHYALKNWPENGYPTDLAPLIYLYNKLQKDKHPAIVHCSDGCKRTGPFGLAAIAYKLLFNERTDEFLRAALVRMRIVRIGSIETPKDYAFGGRLCLEILAKKAKLNDKQKGKYEDLKFGWENYENGKVQYERMREKTTAKSSTTKI >CRE23367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:432148:432726:1 gene:WBGene00063350 transcript:CRE23367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23367 description:GATA transcription factor MED3 [Source:UniProtKB/TrEMBL;Acc:Q2PZG9] MDPFSYPLPIFDVDSTSTYSGYYPSTDYWNWVNYYYQNGFTYDQPTSSGYSFMTSPSTSTMSTSSGSDPTFLSMTPPMPTSTFATPSTSSTSSSTATPYPMLSTTSPFCPMAPTSAMTPATTATTPHRPQDAPKQCSNCFVTETCQWRNVRSENGVLCNACFIYQRKYKKTRPVTAMEKYRSKKAHRQGNSD >CRE23511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:432975:434804:-1 gene:WBGene00063351 transcript:CRE23511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23511 MWLKLFILLIYSINYIIALNCTQIQDTQVYEGNEIHIPGGNGIYNRYQLIFTITVPRDSFYKLYASVELKNGIRGVNDYIVFTEENGNTIRMNNRTGIGGDPYRYIVIPGREMTIEVVTKSLFMNTKMAISVYYRAYCKQLQISDGQTISIPGNSLQPIPANYSCTYVITAPTSPTTGLYANVTLISNMNGFQDKIIVTDITGANDWDPDINYYNYIVIPGSSMLFEIKTGDMFMASMFLIRVEWHEVNIGPTKPMIRDEINYVDLALLKDDTSVFNSVTFSANEQLVVSQVYYISVQIDFPNCFVIDGNITDQKRVFTFKNFNYAVYESESNYMTFVMFSKGMNAYVLNLLSVAKEYNYPFAAASNPGLSFYLNSSDHSPGQKTALEIINFESIGNRITDLNIQSKTCKAYVVSGSPSNSNILLDLSTAVIPHYIDVNNLSVVAEDCAFTMDIEASDGGVLELN >CRE23368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:439700:441275:1 gene:WBGene00063352 transcript:CRE23368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23368 MILKRLLLCFIITQAHENYLCNQIEDHQIFDGSTVYTPGKSIEMETISKSFNCIYKIRTPGNSTGNLYAEVELRNGIRGVNDYIRVIDADGNWDMMNNRTGIGGIPRTFLISPGGEMSIHVVTKFLFMNSKFSITVKYYRASCKIILDSEMFDGNIVYLPGPTLEPLPANFSCTYEIPAPADGLYARVTIIRGLDKPNDKITVIDITGEEIIIISTDVSDSNHPYWYVLPGSVLTMKVTTAIDSMNSSLLISVEYLKGNLKEFKSQIAHFDISANIGPTRIMRADSEINFVDMSTLRNERYDFCSITFLSDERIVLNHAFKGDTVRENPDRFVIDGTFSEQIKVYRLDTLDHWSFFQTKSKAITIVTFIDGFEGFVLNRKSESDKVNTKIKIIQFNSFQFYFMYAIATDPIYLYPFGMRASTPDAVMATEVVHFEARGILVQNLKIHSVG >CRE23514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:442040:445034:-1 gene:WBGene00063353 transcript:CRE23514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23514 MLLILFLLTITGCSALNCVQIQPAQIYNGNIVYIPGGNGSLQLLPANYNCTYQILPPVNYKTGLYAKVILKNGLRGANDFIIVTDVDGKTTTMNNRTGIGGFPFDYLVFPGAQFLIQVTTKSVLMNSMFSITVEYHNAPIGPTSTLKTGSEMNYFEMATIRDGRNVFSSRTFTDKDSVRVQHSKLIDYTAMFAYATTPVIPEYVDMKTFFSGFTEVLEVVNFNSTGIIMQDLVITSDTCNAYVVDGPPNNSSKVIMDISNATMPKSFDLKYFSVINLDCDFVFGVKSYDN >CRE23516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:449406:450074:-1 gene:WBGene00063354 transcript:CRE23516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23516 description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:E3MH50] MPNCAVAVLRGDNVSGTIWIKQSSAEQTAEITGEICGLSPGRHGFHIHQYGYSTNGCTSAGPHFNPMGTTHGGPCCETRHYGDLGNVVAGGDGVAKVNITDKLVILYGEHSVIGRSMVIHADEDDLGKGGGDKEEESKKTGNAGARKACGVIALAAPQGMTGLNLLTSTALPLLF >CRE23371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:455165:458527:1 gene:WBGene00063356 transcript:CRE23371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23371 MADSASPDNAVKCVECKKKEIPSKPKDQLITEQKREEEVNKTRKNILFNMCLAVIAMVVILCLTKDVFKLINLLGQCWICFIYGIEVFLFAGVLIHFCCELQKIKTNSKLLAKIEDEHNDSEENMSVIKQTPVLKHNYTAWTAVFILIIMLCLWIETAIMFIKADSEKEIKDARLLLLVMLAPTVIFIKEWFPMIIAAHADSSSFPLLMVSDNSTFEETFVYFFNYSGNSTLIFNVEKGVLLYGTTNAIYLLLLVIVFPFYAHVYNKNREREKETAVFHVVDYFYSTVKIFYVFLLGSLLGAIMFVIFVRFVLFLLFIVPMIFVFGAVTFFMRAVSTTSNVFLILLSIQRCIMYFVPSSEKLLTFDDSTVKRFNLCCYGFFFILIFGISLLSHEPTHIPFFYITEMSLHDFLQFISWCDMITTPLLIQVSYLGCNRRNFEDFKDLRLVNVFEVYGSALFKLPSVGPRVVPRNTNLEMTTAVRV >CRE23520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:469647:474667:-1 gene:WBGene00063357 transcript:CRE23520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23520 MSLEKEKELIKEEKCANKSCQQLKRPGYVVFRRHPATKESVCITCYNYYYTKGKDREVITTRKRKEKSETNCANTFCKLSLLPGRNAVHPDTNERICNNCFLYYKRNRRDREVGAAKVKKLSCANTFCKQPLHPTDFRRHPVTKEKICLVCYNYYKRNGKDREIIRTAPGRPKKSEEFTKITLKKKKKSHTTKRTTRSCSKPQPKQPMSSSPDSSDASDSEENIIDWESENDDTDLDSDSDNSTTSEESTADEVANASNNVVTNNDTEEDVNDSRADTESATQSVSANDPIDEICNVPSCTSLLPPNVASFGLPTTKEPDCYKKYLETEFNRVDGIEEKTILAQTSLYEDLPEIELEDLTSFFEVVHQEEDCLTILEDLEKSSKEFLLQKAIDEIFPPITSVFMIWNNIDIFPVYRLYTWTEHETVPFATYSNFLPSFIEDLSKLFPEVLILEDSFDHPDFIDDLPSEPSCSTQVNSAVDSEKEKILESHVVEDTAFNVYNHPNYYLPFGYTNETQEFYNSQEYQYSYEEELDCYQPFIYNSENYWNQEFVPQFSSNTFHTLHGHETPQEDASIINASESSTETITYTTLEPVSSIPSSKDPDIQANCYEPLQEDDPIIYSSESSCSTPLKPVSSISSPVEKEITEDPSNICEELNSDTSPEKTPNSLNSCSIESQESENEGLQESPYEKGRERNLFEGQNCANAFCKVELLKNIRTHPITNEKICRICHNYYKAHGKDREVIVTKRRREKHETNCANTICKQTLHPQHFNVHPATKEKICTACYMYYKRNGKDREVIKIVRRREKHETNCANTFCKQTLHSRNCNVHPVTKEKICHTCYVYYKINGKHREVIKTVRRREKHETNCANTFCKVELLKNVKLHPVTKKKVCSICYTYYKRNGKDREVIITKRRREEHETNCANTFCKQTLHRRNISIHPVTKEKICSACYAYYRRNGKDRTIIQKIREDHEKICANTFCKQTLLPGKSGIHPVTKEKICKVCYYYHKRNGRDREVIREKTEEKRPEKHQKLHFTRRNCFKPKPKQFSSDSEESIGDWKSENDDMDLDSDSDYSTTSEESAVDEIEDASNDVQAIGQTEVPTGINPVTFDDIPINDVSDNIQQYKKN >CRE23527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:507980:512496:-1 gene:WBGene00063358 transcript:CRE23527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23527 MDKVVLRCYNCSVSLGTKPDYLHPKSKKPACGLCYIYYQRKQWDRPVYAKKKYQYCHDKFVTQETQRKEAEESENRSKLPVPFIEPPKEPAPSSACVKALSEIFPALKRTEIVDPVPKDVYLEGLKIAMEMKLQEPVGTVSVSEELPNPDRPISVKDHSSCSRRKTHITDRSPSTTLRLCCDPTCKEPLVTLGFKHPYMTGERCCSKCYQRYRLLKQKNVPWGPFKSCVNPSCERLTEKEGMCRFCFETEFTAICAMPDCNRLLKNHTVRRHPDSGKPVCLLCYKKIQKQDKVSGNVSNLAALPATVCFVPQEPPNPSKLNSTTLRLCCDPTCKEPLVTLGFKHPYMTGERCCRNCYHRYRLRKQNNVPWGPFKPCANTSCERLTGKKALCRFCFREQYKVFENPSTRLPTTDCFVPFLDPPSDLATETEPSPHLDESFPKLPGSSKPVVLETSESVLVPIAPMIHPLLETSEVCITPIPLPATILPSPELSQRNLFAAPEPFTVSPPIASPATNHTFQLIGDTYSFLTRPDTAFLERSAVTQEAWKNFPAVHDPSYYSSVFNTKELGTPGLVAPPVPLLSLPTLSKAPQPESIAVQINEPPNFAQPISVKDHSSCSRRVTHITDKSPSTALRLCCDPSCKQPLATLGYSHPFMIGERCCSQCYQRYRLRKQNNVPWGPFKPCANPSCERLTEMEAMCRFCFREQNKVSGYSSTLLGTDCSVVFSNPPSDAATETEPTPHLDESFPMLSRSSEPVVLETSGSELVSIVPVPEPATVLPIPEPPVPKVPIPFQETPNTSNPSSTTLRLCCDPTCNRPLATPGYRHPFMTGERCCRRVEHLKISLLFSLEVKFFSKCYNHYFLRKQRNVPYGPFKPCANPSCKRLTEKKALCRFCYKIKWNKTEKCAMPECNRILKQKTVKRHPDSWKPVCITCHRKIQKQDKDARASPVLATLPATDCYVSFSNPSSDLATGIKPSSHLDEPFSRSSKPVILKVSGSDLVPIVSVPQPITTVSMPEPIPIAPQAQPVTILPIPEISPRNLFAAPEPFPVSESDDLFISSFTTDQAFQLIEDTYNFQTRPDTVFSERSVVTQEARKEAARVLSNDPIVYSSMFNTRGLSTPGLVGTPVPLQIPPTPSTAPQPVYIAVTDEHLCEGPSSSPEQLFNPYILPVHPGYPGYPAPFLRPMSPIMKHLLFGYTPDTNSQQVSYWPPSQIPFPKKETTEDPDGEFNSDNLCSTKSQELQNEGIQESPYKKRSQFKLLEDQK >CRE23377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:520528:527133:1 gene:WBGene00063359 transcript:CRE23377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23377 MPTESSFGYVQCSSCNERMTDQFWVSSGNIFCSACYSKSSEPTTTKKKRTTCGNKECRTRLKYGSKLHPITKKEICHSCYSFVIMNGKDRETKREWKTLKEKMREYKNEKCANTFCQLPLLHTKRYTSHPITKEKICMSCYCYFRRNGKDREVIRVRGDYKNRMAQVFTQEEPWQENFLEMLKYMPTTVEVIKVNCTDQELDSAEDDNDSEQRPSDLDVFLDELLKPRWDLSQDFQPTADKSDIPVSDELRFEFLTNDHDGNRNFSQFDEHPIAEFIIDNAIEAESPPESSPRSEEPNSEEFVEERAVEPIKDTDGRLSPTPEIDEEDDVSDSDSSSDSSTSSESSESSEDSTDSESDYSMDSRLENVDNAAGPENAPEASTRLEKRNLEDFKEDHAVKPISCEIFESFEDPDDDLKISIPAIDEEDDTDSTSDSSTSSESSESSEGSTDSECDDSMDSTLEDNSTVTQYTEAPPPTFCSNPSFHSLLSPDSKPESFQGDITHAVGRMNATNKEDSLEVESPLQFELKQPTNKTQITHENPIQEPPKSKETDCYMIVRYEQFDERAPKRVIAKNTPSSKKCTKPPGKKKNIGISFKEKTCANIACQHQYSHGQELVADTLADRFNCYQPANYSSETNWNQEFVPIYEHQEIVQFNTSHTLQCYEPMQKDVSIIYSSKNSTETTTYTTLKSVSCIPSSEDPDIKTNCYKPPQEDVPIIYFSERSAGTELKPVSNIPSSENPVIQLVYEGHVPNCYKPSPQKHEWGDILSEYFPNDIENTKLQKNHPDVPTERPITDDPGQELNSDSSSQKPGNDPNSLSTESQELQNETILESPYEKERVINMFEGYKCAHNVCKEALNHQNIRTHPVTQEKICRRCYDYYKRVGRDREVIPTKRRKEIHETNCANVFCERTLFRGRINIHPVTKVKICTNCRAYYRRHGRDREVVDANMRKLLSDMLRKKDDGLIKCANTACQQLKLPGAEFLRHPATKEKVCRNCWQYYNKKGKDREMTTIRKKRGQHETNCANTFCNKLFYPRQLRPHPATKTMICSACYDYYKRHGRDREVVTTYRRNKRREEHGTHACYHYLKRTGRDREVVAGKVKKFKRDEYESESDTTSISSDDSSIEESSESDSESDSSEMDCE >CRE23380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:541203:544302:1 gene:WBGene00063360 transcript:CRE23380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23380 MAHSQLRLAHVSSDEDNRPPDIRGPARRFPPRHVPPRTPDIKEVNIKYETFFGVNGAFTWNDIRRFVALESDWPTEMLPRSVFDGSSLRFFVWAGKRPTPTKHWEQVTIRLGPAFDHEAIRKFFLRYARAVLEPSKNGVSRRCPLCASMILCFAVYDHGLRECPFRQVSTVLRFRFLCVNSVAYCYKCNSRSTTHYECTPLPCNGCHSDQHTTATGFCWPDAPPELLTVDEHRLRYNANIVRNQHYTRVRELANNHLLEYRLTSDSPYTEFRGAQQPLRGEIRGLHLYVDTVPPEFPPIEDWEYTDEIVEYPSMVNPEFHHDRQDRIPRFDIESAQYLEAIGRVVTALRANPDAERTIQLPNPPAVNRIPTFQRRIPAPLEMNQIPPVHNQQRVGQQRPAVPIMPHPAPVPEPTRPPPAVSLETYNRAAALGIQTTPRNGARANGNGRGGSQQRHRTRTPPPPMFDHPDDQPSSSNQVGFRARGSVSLIRDSNVEKRMIHIKDLDIYPSPSAIFQRETYEATSGQWADLMNRFDEEENRANSVLQFNSVASQHASSSEVTIREPTVPAGRQQGPADIQALTDRFEHPAVSIQQERSSLEETNGRISSASNGSNKTGQSSNSQDSGENAETQLSNQRESILEEEVKATAVTWCHEPMRVKGVPVRPTLQIKAFNSILDLGETQGIPALVVRIRALQFILTAQEDTRMEVFSQCSITTLRGYYQMLIDIGKVLVHAPLIVAKLEKTSKKDIFAKPKPGRDILSIPTLDLWLNGVVANTMRVIAGTHEFGPARAIHTFRPAERRGWFSLPPLATNNDDSEQQIAEEDRCFRTYQPVPASVGHDVEQMIAAARERLPTSLQALSRRIDWLSNFLSSDNTMESYEITNQNKINYVSLLRAVLNIKIYILVNLKKKVPVNGYYCAQELAAGAALGHAIVVPTPSLFAEFQWLQWQAFLQLAWQQVVDILMEETQECDCNTPVLQEGPAQ >CRE23381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:545497:559308:1 gene:WBGene00063361 transcript:CRE23381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23381 MMSDRSCRSASPAARSRQTTSAHSEPGKSRNRSKSRSKSQSRSLRLGIVGPTKTVITKIVKRAGELLEDSEAALKFLRDPDNKIPEDIDQNTLAAAATLAFNLKDTACKIETLDSFIYEQFQKPEMKNSPDRETYLREVNEAFVVSRADQILIELCKRIDNMHEVLVNRGYKFPEYNDVENTDENVQNPNPAGDMNAMNEPNDVQILNEIPANGDGSQKSALRSSSSGPITSSAARDLSLMEYDYEDRPRTETVAHRAQIWKLEQENKRLEREAAQHLRAQHEDTMRRLAQEKQDLKYRESLQAELIRAEALDRQCARRLQQMIVEREAEERANKTLPAAQTNMIEKTQTTNVTQSKISPPGALTQIVTVSKPPAETAPIAAPIKQQQHRSMFSTTNHISNPIIDAYHIHSQPPVVTPNIDLRHVLNAVNNIAEAQVASERNILQQTTHMVQDMEQRLGAQIHARAESIRSRATSRSHPQSSASSESSFMRRYERRDRSESETETEHSPPPRSQRSRRCNAENRSESPRRQGDGLKVDTLIRFLHKFDGSGDLELFQTLYNKFIMSNRDLSAEVKYAVLLNHITGPAQKCVSRAQDTVLAIATTFASLNKVYGKMNSKHNLLQKLQQLPFNQSNPEAMRLDAAAMSVRISLASIPQNTLTQLPKPNWKATMPQDPKAYISKSFRVHSPTQRRKIRNVELVMENTTKFVVHYPAPNSEVNANRKTSAPTVSENTTLQNVAHNTVADTVMDCITWEAAHSKNTTETRRTIPPKPNRLKRFFVPTTSTNLSRGITAGTESNVPNSVSQADLPTALLKNPLIKTWSVRSTNPVSKNCSSTTIRGIANPKTIEYFKNLIKNDYPEEPDSDKLALLLFTKFLARSQPHQVHFTHARDDFGRLTFVCLETAKGQPLLALVDSGASLSLILEASARKLSLTILQETQLTVQGFNSASSSKTNIYALEFSLLVPKTPLSIMIVGSPNLPNTKFAAPIFSTEDSLYLKNSSIDMSRVLSSVHHNGQKIDMILGNDMLSWISAQSDYHKHILPSGRALEQTQLGIIVHPVPRLILWHKSRVPPLYEEYQPSINIATVLMDSSEPEDAMTKLTHQIAQFWRVENLGIENVSVSESTKKATIDLLQVFYKTVKFNKEGKPEVALPYNGNELRLADNYSVAYKRFISLVATLKKGKNLLNIYNEIIVGQELAGFIEKVTAAMLKTKGPKYTIPHRGVVKEDSLTTKLRIVLDASSHARGELSLNDCLHAGTNMIIPIYGILLRMRCPRYILVGDIEKAFHQVPLQEEFRNVTMFIWLKDPSRPADDDNIQLYRFKVIPFGVSSSPFLLAAYIVFNLDNNPHDLNNEIKENLYVDNCLFCTNDKSEIASKIKGTKLIFQKMGMNLREYIVNDPDTMQSLPPAERAQSSVIKLLGYRWDSVNDTITIKIAKLDIDHPTKREVASKLAETFDPLGLVTPLMVPFKRLMQKVWLKSDTNWKDKIPKELLSDWRALCNIFIDREIVVPRQLTTNYENSELHLLLFSDASQDIYGACCYAYFAVNGKPPTVTLFTSKNKIRPSKNENWTIPKLELLGIQCASNLACAVVAELKVKVTSIKLFSDSACAIYWILSEKNTRLWVANRIKTLQDNRNRMKECGIETTIHHCPTKENPADLATRGMSTTELQNSKLWFEGPSFLKEDPSEWPCMIEGKVTCPAEFQELVYAEIIDPVTKKKKKPLMEKKTAPADKVPEEIDPAETVMAANATISRPGSFIPYTATNSLPKLCKIVVHILKTFSKTLKSKSWDSYVMKQFHTSDCPLHQLKVARLLIISEHYKDCEFQGYTFPPDIEYHTDNDGLRRVHRRIESPVLPQEASEPILIHPRHPLAKLVALETHEINGHMPETYTASAVKTRYWIPKLGSILNNIIRECVQCQKVNNFPFAYPYTKTLPRCRTTPSKPFSKVGLDYLGPIVYKKDDNRKTGKAYILVYTCLTTRGVVLRVVPDGTSQMYILTLKMIFHEYGVPKTIFSDNASTFKLSGSMINRDIREATYSHSLVEFLAAEVIDFKFITPLAPWQGGIYERVVKLVKIQLTKECGTRTYDYFSLQYIVSSAQSMVNNRPLIPHSRSPKDMIALRPIDFIAPGVMLEVPAGEVNSEALPQSTEATVRAHINKLEQAVDRLWEIWSTGYLLHLRENVHKKKRSSLLRPAVGQMVIIVTKLIKRHKWPLGVIVHVEKSQRDGQIRSAIVKCRGKLYSRAVCQLIPLELNPLNRPNIAAEDETDDAQDDSPHELPAPAVLTNPDMTYAPELFPSKDLPNIAEAENPIQNSDPNNSNHNIPLNLNIDELENLDDTDFELNQSRLVDGVRSCFSRRRRSLSDRHRTERVVEEILIACWQYYYNKGKDREVKRRNEQHETNCANTFCNKLFHPRQLRPHPATKTMICSACYDYYQRHGRDREVVTIYRKRKEHKTHNCCAYYKKYGRDREVVSGKLKKFTEPKARESCANTFCKQQYYPKDLRPHPVTKKKICLVCYCYYKKKGKDRKVIKIIARRVNKNEGFTEINMKKMEKQNNSRTTETNQKINITKRTRRSCLKPKPKQALSSSDTSDCEENISDWESENDDTESDDSSTSEESTADEIEDDFNDEVTNHDTEEDVNEPEEFANSQDHPVSSENIVPRSDTEGAIQSVLANSPVQKICNVSSCNNLLPSNKNIFQLPTTGILVCSDCYKKYLEAEYNRVVSLEETTALTESLSEDLPEIGLEDLTTFFEAVHPGCLAILEDLEKSSEEFLLQKAIDEIFPSLTSESMIWNNLDTYPEYRLYTWTEHETIPFESCSNFLSSFIEDLSKIFPEARISEDSFNHPDFIDDLSSEPSCSPQLNEGIPFNVHKDIESPPNLEIERMFPAENNDEHAVDTEKYLFDHPDFIDGLLSEPSSSPHRCYGADSFNPHRDIETPPILVFEGFFPAENNEDHDVDSEEEQDEASGQELESNKTFSDISSAVQHLWNTYCAPQLTGTTEEGVFEEENSETDWDEEEEEDDESTNGIEEDTTDCYHPLEYNNEPQECDQFSESQEYQYSPEQEFDCYQPGSYNSETDWNQEFVPMYEHLETDQFNTSHTLHCYEPPQTSANNIDKDTTSNVYDQTGYNNETQEIYNSHEYQYSRDQGLDCYQQARQLSDQCDSFDTLHCYEPPQENCLIVYSSGKSTETTLETVSSIPSLEDPDNQANCYKPPQEDVAIIYSFERSAETKFEPVSSIPPSEKPAIQLVYEGIHCSHVPNCYKPSPPNHEWGDILSEYFPNDIENSKLQKSHRYYREKGKDRTPITETKRKMDSKKTAEKHERICSITTHPVTTTEKEVIVEENSEAEEEDGESTKEDTTSNNDNQPNFYHPLGCDNEEFYNSQEHQYSREKELDCYLPGSYNSETDWNQEFVPDFTNNTSHTLHCYEPPQEEVPIIYSSGSLAGTTLETVSSIPSSEGSDTQGGYESKLLLLSAQLTLTLSDIRSCHISNCYEPLQEDVTIIYSTESSCDISLEPVSSISSPFEKQITEDLSIICAEMSSDSSQNEGIPSHKIGCRCKLYENQKCANSACKVTLLPGKNVLNPVTKEKVCLACNDYYKRNGRHREVIRTSTYRRREKHETNCANIFCKRTLLTGKFNVHPLTKEKICNTCYLYYRTTGKDRTSIMENKRKRNLKSRAKKHGTDCGEDRTSMTDTEKKIEKHETNCSNTFCNLPLPPGKHVTHPLTQEKVCVDCYVYYKRHRKNRRYCRGKNKTMGRSAAGRFI >CRE23384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:566726:569618:1 gene:WBGene00063362 transcript:CRE23384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-33D3 description:CRE-CYP-33D3 protein [Source:UniProtKB/TrEMBL;Acc:E3MH77] MILILLLTFLTLFLIHQFYWRRRKLPPGPTPLPILGNLQSLAKPRPGYEAFQKWTEEYGPVFTFWLGTTPFIVITSYEIMKETFIKDGDSYSDKLLHGKGNGKYFGVLDTNGEMWSIHRRFTLTQLRDLGLGKDLMQQKILLEIEELQNVLDSQLGTRIRLNEVLDRSVGNIINLTLFNKRFGMDQRDEFAYLKSKIDAIMNIVSEFKYFIQHLIPWTSIIFPGVSLQKKTKEYGELLNVFFKEQIAKHRKEIDFDTVESEDFVEAYLKEQMKREEAGDKETFCEEQLLAMCFDLFMAGQFTTTTTLTWGIAYFLHHPEVQTKIRKELDQVIGSHRLVTTADKNDLPYLSAFLNETQRCANIIPLNLLHVTRKDTTIRGIHVEKGTGVIANISTVMLDGKIFPNPLQFNPDRFIENGKLKKVDELIPFSIGKRQCLGEGLARMELFLFFANLFNRYEFEVEDLPSMDKSIDSFVMPREINVTLRKRLE >CRE23532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:582234:583113:-1 gene:WBGene00063363 transcript:CRE23532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23532 MRGYQMLLAVFLLISLCTMVSTAGEDTLILEVTKISDPKCGKYMQDHTKPVLKIRYVRLLHTASNDDNGDQVSSTKVWCLKKSFVSNILCQPKSNGYNSVEGKYTFECIYNGAPPPSINVFIFAVGTDSQMMSSQIEYRYKEDTMRMFNFLKPENVMAQKFTHNSHLHIRNITYTVNKKPQYVSTQLFVYAQFFSYLTDDNCLNKYPDSLIADHGLYIRKGNEESYTRIYFDPVDAGEKLVKPVII >CRE23533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:589401:590267:-1 gene:WBGene00063364 transcript:CRE23533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23533 MPSKGLLLCAILLGIALLVESVRMLMELPKENSDKFLKKLNDKRREMAKRLNISDAYELVGFKRTCLPISYQFQTWDPELVKQIAEARQPGGPIESHINRTYSGSCSLYNLSQTHCYKQLPEDGRESYSNYFSLLSPIHRRIGCVEWERNSSEIECFLGPGLSLNGYMDQGSFQGFYGVPGSNCTAGYVNHDGLCALIGSFTTEKPLTKPPAKPSSDAKDKDSVGVTDVTSGSSTTSILIGFLVFSVSFSFSY >CRE23386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:593670:595963:1 gene:WBGene00063365 transcript:CRE23386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23386 MTFDEWSDEEPSYPEEYSTRRSILEKIPTSYQYCQDFLSCDSQSGDDVRHESTSKVSMTDQENEEHSIEKWSYQEPSFPEQYSNPKEFPSDDQTIIDNVNYCMGPFDQNMADDMVPESTSEPIDLQEYSVLAVSQDNTTPLDSVALSIRPVPIYPHQYSKYTQYPNQYSEDSVLRSEISSEPNQETNIVADFVLSDQIKCSVPVCERIIRKTKRLHPITRDLICKSCYTHYRKYGRDRDESSRKPKAPPTTIYVSTKDPGICSVSVCTKAVRSFNPLYHPITRLKICQSCFNYYKKFGFDKTEEQFSELCTNCNLNPASKSHQITGAIVCPACYKYRQRTGCDRTNFSKSVPRKKSSEKIANQPPELCPICQINKTGAFHPSTRQRVCRTCYGYYRKHGKDRADFSRNKPYGKCKLVVVEQPKKQKKVPVMTEPAVCSIPVCKKFIPVGHGIKHPRTQLLICRSCFFFYKKYGVERTIQQVFDECTNCKISRKDRPHPKTGEPLCRACHTHYRRTGHDRVDFTKSKAYKRIHGVQVSKHVHFKSHINSPAPQLVFDVGAESIQKGKDTLMKKEKPQRKMRQIQEISDDEHIDSD >CRE23389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:619185:619884:1 gene:WBGene00063366 transcript:CRE23389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23389 MQQHTRVQKSAKTGKVYVLFYEDDSCFSNFHPADFEAETVKKLVNPEMFKEEETLKFNCSEQYFMYHKALIVGDKKAADFIHNCKHPMPMKMAGRKLNMNRNDIDKWSEKSREVMYHACLAKFSQNLELRKLLFRTKDMILVEASGNDAIWGIGIWKEDPRAQNEESWHGTNWLGEILDRIREELWEKPEFQTEREEIEKETVETRIMFLEALKNI >CRE23535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:623758:626036:-1 gene:WBGene00063367 transcript:CRE23535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23535 MLKFCLFFSVLLIPGVQGIFGPDDAQYNETEARMLLNLAAAAYSLDATPCLQRTFTPAENQTLLTTFSVRCDFIGNPCAGYIVVSDVLQQITVVFRGTKTSSQLLLEGWTTLKPSADFYGMGLVNTYFRSGHEKTWQYVQDALSIPQYRNYDVYVTGHSLGGALAGLAAPRIVHDGLRQSHQIKVITFGEPRVGNLDFSHAYDQLVPYSFRVTHAIDIVPHLPACVKDLSYTPPAGSDGSMPCDPMSTNGGYHHALEVWYPGNMTVGSPYMICTGLPRDEDFSCSNAPKVDLDDTTLGVWDHRNYFGVQVPDFGKGGCDPTMTFKGPPTKTGVLSLVSSVFGRKRRAV >CRE23536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:627771:629665:-1 gene:WBGene00063368 transcript:CRE23536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23536 MELLINPPDSQPIFDQLIQQAAETHGWSHQPYDYKYYSEGFDGYWFIVVVDKEKDPSNNFVAGGALARWDAPNTPPLYGIGLFYTKEKYRGQGHGKQIFQKMMDIVGDDNCVLVSAFNMSQKYAEVFGFKEMPSYWHYGANVKPGMLKIPELSGEYTTKNWKDVDESLLDAYDLTICPRDRKKLMRTWFEQDQVYTRVSILGSESLINFFSFFQVAFDNTNQKILGYCTIRVINLNRLSVAPFYAENEGVAARLLADVIREIPDFKSYESLLFLYPSINEKMESLINRFVGPDGYKIGYSTKKRNQFTKKLIESRDDVVYSVACSSHSFV >CRE23537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:630332:631976:-1 gene:WBGene00063369 transcript:CRE23537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23537 MELVVNSPDSQQIFNQLINLSAETHGWSHQPYDYKFYSENFDGYWLVAVVDKEKDASSNFVAGGCLARWDDANGPPLFSIGLFYTNEKYRGQGHGKPIFQRMMDIVGDGNCVLTAGALLEHSGAFSIIPIISIILILIMIIPAVDMSQKYADVFGFTEMPSYWHMEAEVKPGKVKIPELSGEYCTKNWKDVDETLLDAYDLTICPRDRKKLMRTWFEQDQVYTRVAFDNTNQKIVGYCTIRVVNLNRLCAAPFYAENEEVATRLLADVIRDIPDFEKFKNLIFWYPAINKNMESLINRFVGADGYSIKVDFRVQFTKKLLESRDDVVYSVACSTHQFV >CRE23538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:632857:636594:-1 gene:WBGene00063370 transcript:CRE23538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23538 MESPQKRADLQGIRGIAIISVLAFHFFPKWCPNGYLGVDQFFVLSGFLMCMLLQKSDQHNPISLFTQFYSKRLKRILPLYLFVIFWAMISLYSVFPSTSEKINQNSASKALIFMSNRGKTKEEDYFQMLSIALDIFTHTWSLSVEIQFYLIIPLIYLIGSKFPVKIQYLFYISIACISYAFSAISTPTVSFNSVFARIWQFLTGFLIFMLSKSSDPLCQYKIIAQNDENDEKECQKLLENEDIQNDQKCSVIILSLSFFLTLGLIFVVFMPIPLDARFLRPLTTITTGMLIIFSKNDQKILSNRVLTYFGDVSYALYLVHWPMYAFWKLTEDGDEIALVLTLLASIILSIIIHETYEQWYLQQSISVIGMLTVILYILNIILVNKNEIIDRFEGISYRYGTLDNVTDEMTISDAARLNHIWSVNDYANLNTPYCEYESGGPLGWCRHKGLSGKYKVMSIGNSWAANHATIFHEECGHIAKSILQGSASACEPLYPSRQSEACRANFTDFEEHVRLEKPDYVFMFTRFITIGDPLPPNVTSFDMDPIYQIMKAQMLKMIENVSQKMYILHSMPRPNADFIERIVPMLRRGVPAEVIDNLLVDHTMYHLARRRYSQLENDCGGKCILVDYDPVFWNSTIQNFRFFDDKGFSYFTTVTHLSPRGLEHVRHVWTDVCRKIT >CRE23392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:648411:651341:1 gene:WBGene00063371 transcript:CRE23392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23392 MTISQLDYSNPLCLVCGNDGNGVHFGVQTCRACAMFFRRSTTQKIPFYCKKHPKFCDIHVAEHRLMCKYCRLKKCQEVGMSREKKPLPSARPQGIGCDHEFSRNRYGNRVVYDVIDPDTGVVSRLIDVAPIIKRSRLILENHSLPEAVSTMSPLERMTFALNKIRSDQNLEPEIIKSSSFRDYFYFWEQNMRKCAEWLMYAEEFRMLPKHERLEIFKLVWALWRRVERKTMSAKFFGQRCLDEKILLLSNDKAGRVNDFSMDVSELWNSKHTTITNMFGEEINQYYQDLVKPYLKLNLSEIEVTFILCQLVWNYAGRRLQGQTQAAGEIFLEKISNNLNDYYRSIDRDIIGNYAGRLTRMMSIVNNVLTLQLKQEKLMDMVFLFDMFNFKFSDPAFFSV >CRE23540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:651400:654240:-1 gene:WBGene00063372 transcript:CRE23540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23540 MTLAIPEYNNPLCLVCGNDGNGVHFGVQTCRACAMFFRRSHIQQTLFQCKNHSKFCDIRVAEHRLMCKYCRLKKCQEVGMSREKKDPSKSRCEIATVPQTTTIAQMIPMSDPKRVMYDVIDPVTNKSIRWIDIGPIIKRSKEILEDFHPPTTTQFKSLNALQKMTFSLQKLQSGQKKQPKMMYEMTFDDYFLHWEELMTRAAEWLMHSEQFYGLPEHERLNFFKIIWAVWRRVERNSLSAKIFGQRCLDEKLLLISDDMVMQFDNFEVDMTEISGKRMAEYRRFMRKHLLLYFDIVVRPCLEWNFTDTEINFALSQIVWNYASRKLLGQTLQASDAFLSEISENLHEYYRNEMRLKNYAPRLAVLMEMVNGVLKVQAEHERTLEMGFLFDMVNVVVSEPAFFSV >CRE23396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:694076:696607:1 gene:WBGene00063373 transcript:CRE23396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23396 MVLVNMEHSENDQNHENDRRIFSFFHFFHIYSPFYLLSSPHRLLRYPALILTVATVLLMIFRFYWMLWQVPGEFLSFSWAEAKMFGFISMESAILTMALIRMGWTKSLERSEKNLANLRTLRVEKCQKKKDDYRILYCRAFISNCFVFCTFTLTSVYLAVHRDVTEGDSKHSSWYWIIDPIIAILCGYSNFLFLPIHALRAHAVTREFEIFNEELEKTDKEKKLANLSVIREYGARQIKLFEYANFLTERMERFMTWAPALAILSFLMATYIVTEFSSKPPVLYLICMIAWIISGFIISFALMYPVAFIQEAMSQTARVLLNSTILQECDEPLIFENYRMLLDRSLHNRSTNNVLHVFCVTRKNVERLFFTHSILIIVMVYVYSLDEGIGKGFEEIGKLIMMKGNATG >CRE23545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:708216:710783:-1 gene:WBGene00063374 transcript:CRE23545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23545 METPQKRADLQGIRGIAIISVLAFHFFPKWCPNGYLGVDQFFVLSGFLMCMLLQKSDQHNPSSLFTQFYSKRLKRILPLYLFVIFWAMISLYSVFPSTSEKINQNSASHALIFMSNRGKTKEEGYFQMLSIALDIFTHTWSLSVEIQFYLIIPLIYLIGSKFSVKIKYLFYISIACISYTFSAISTPTVSFNSVFARIWQFLTGFLIYMLSNPLCQYKIIPQNDENDEKECQKLLENKNIQNYQKCSVIILSLSFFLTFGLIFIVFMPIPLDSQFLRPLTTITTGMLIIFSKNDQKILTNRVLTYFGDVSYALYLVHWPMYAFWKLTEDGDEIALVLTLLASIILSIIIHETYEKWYLQQSTAIIGMLTVILYILNVILVNKNEIIDRLERSSQRYGILDNVTDEMTMSDAAHLNHIWSVNDYANLNTPYCEYESGGPLGWCRHKGLSGKYKAMSIGNSWAANHAAIFHEECGHIAKSIPLRYRYSTPDHYSSPNFL >CRE23541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:677399:680103:-1 gene:WBGene00063375 transcript:CRE23541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srr-2 description:CRE-SRR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MHA0] MSSHVPEDLPLSTPNIFGAFRYLWKFSPYNCQKGNPIRIFFLLFSLASFLGMIFRAWWMFYMVDVDLLSFGWAERNLYAFISMESFSCVIALYKMTTNDTLRKFEQGLGMLKKMRITNYHQKYDEYSALRTKTFLLKVPILVFFIGCSGYLVTKKFVIFGTSSTNSWYYYADAVIMFLCAYVNFIFLPVHGLLQNSLAREFGVFNEELEAASKNKELVNPQIIHKFADRQIKMFEMTNTITERLHPFMSAAPFLVFTALANVSFLVTNLREGTPTYYYVCMIGMMICCIIISSNLLYPPAFVQEAMLHTSTVLMNDPFLHYSTDPQIYSTYRTMVDRSQKNRTVNLVIQIFSVNRKNIERAYFVITNIVLVMSVFSKLLFPKLSD >CRE23395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:686912:689856:1 gene:WBGene00063376 transcript:CRE23395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23395 MTISQLDYSNPLCLVCGNDGNGVLFGVQTCRACAMFFRRSTTQKISFYCKKHPKFCDIHVAEHRLMCKYCRLKKCQEVGMSREKKPPPSSQGIGCDHELSRNRYGSRVIYDVIDPDTGVVSRLIDVAPIIKRSRLILENHPLPEAMSTMNPLERMTFALNKIRSDQNLDPEIIKSSSFRDYFYFWEQNMRKCAEWLMYSEEFRMLPKHERLEIFKLVWAMWRRVERKTMSAKIFGQRCLDEKILLLSNDKAGRVDDFSMDVSELWNSEHTTITNMFGEEINQFYQDLVKPYLKLNLSEIEVTFILCQLVWNYAGRRLQGQTQAAGEIFLEKISNNLNDYYRSIDRDITGNYAGRLTRMMSIVNNVLTLQLKQEKLMDMVFLFDMFNFKFSDPAFFSV >CRE23543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:689913:692760:-1 gene:WBGene00063377 transcript:CRE23543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23543 MTLAIPEYSNPLCLVCGNDGNGVHFGVQTCRACAMFFRRSHTQQTLFQCKNHSKFCNIRVAEHRLMCKYCRLKKCQEAGMSSEKKDPSKSRCEIATVPQTTTIAQMIPMSDPKRVMYDVIDPVTNKSIRWIDIGPIIRRSKEILEDFHPPTTTQFKSLNALQKMTFSLQKLQSGQKKQPKMMYEMTFDDYLLHWEELMTRAAEWLMHSEQFYGLPEHERLNFFKIIWAVWRRVERNSLTAKIFGQRCLDEKLLLISDDMVMQFDNFEVDMTEISGKSMAEYRRFMRKHLLLYFDIVVRPCLEWNFTDTELNFALSQIVWNYASRKLLGQTLQASDAFLAEISENLHEYYRNEMRLKNYAPRLAVLMEMVNGVLKVQAEHERTLEMGFLFDMVNVVVSEPAFFSV >CRE23393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:655457:657843:1 gene:WBGene00063378 transcript:CRE23393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23393 MVLVNMEHSENDQNHENDRRIFSFFHFFHIYSPFYLLSSPHRLLRYPALILTVATVLLMIFRFYWMLWQVPGEFLSFSWAEAKMFGFISMESAILMMALIRMGWTKSLERSEKNLANLRTLRVEKCQKKKDDYRILYCRAFISNCFVFCTFTLTSVYLAVHRDVTEGEFLEKKCSKMHYIDFVYSCAFWCILVHSCAFLCILVHFCAFQIILDHFSFYYSSKHSSWYWIIDPIIAILCGYSNFLFLPIHALRAHAVTREFEIFNEELEKTDKEKKLANLSVIREYGARQIKLFEYANFLTERMERFMTWAPALAILSFLMATYIVTEFSSKPPVLYLICMIAWIISGFIISFALMYPVAFIQEAMSQTARVLLNSTILQECDEPLIFENYRMLLDRSLHNRSTNNVLHVFCVTRKNVERLFFTHSILIIVMVYVYSLDEGIDKGFEEIGKLIMMKGNATG >CRE23546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:742328:745938:-1 gene:WBGene00063379 transcript:CRE23546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-13 description:Tetraspanin [Source:UniProtKB/TrEMBL;Acc:E3MHA8] MAYRERMYSPVPPPSYHNGRSSRSGGGASSDMYTYTTDTNVTDSEYADRAGCGTWAKYGIFTANIVFMIVGGLLLAMGIWLRTDSRFRNFISERYRQAVEDAFWEAPTLFAFSYIIIIMGAVMIVVAMLGCCGITGRSRLFLVIYSMVVFLLMVATLSCGIYLLYKKDGLDVELSDALNYMVQHYYMGAGVVQEALDKLQTTFRCCGNAGCSDFRMFRQDIPRSCDIRCDGCHFRIMVALRIGFSVTLIVFFAVVLCQLFAICFSLYFVFMRKKEIKIIYVEQPSRGRRNRDRLTRDTLRDHDLPFQLQTKKQRKYAKY >CRE23547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:746479:750206:-1 gene:WBGene00063380 transcript:CRE23547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23547 MSRGNHESDVMNKMYGFEGEVKAKYTQQMSDMFTETFCWLPLCHVINQKIFVCHGGLFKEDGVTLEDIRKTDRNRQPPDEGIMCDLLWSDPQPINGRSPSKRGVGCQFGPDVTSKWCEMNGIEYVVRSHEVKPEGYEMHHNGQCYTVFSAPNYCDQMNNKGAFITITGDNLSPRFTPFDAVPHPKLPPMAYANSLFGFN >CRE21046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig874:1:3427:-1 gene:WBGene00063381 transcript:CRE21046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pph-5 description:CRE-PPH-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NNY8] MSATITDDIVKTVLDTIEENKKYETDEEKSHLIKCEANQFFKDQVYDVAADLYSIAIELHPTAMLYGNRAQAYLKKELYGAALEDADNAISMDPSYVKGFYRRATANMALGRFRKALADYQAVFKVVPNDIDAKSKFEECQKIVRRQNFLLAISTDHDKKTVAETLDINAIAIEDSYEGPHLEEKITREFMMDLIQKFKDQKKLHKKYAFKMLLDFYNYVKELPTMVEITVAAGKKFTICGDIHGQFYDLCNIFEINGFPSETNPYLFNGDFVDRGSFSVETIFTMMGFKL >CRE21045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig874:3976:5099:1 gene:WBGene00063382 transcript:CRE21045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21045 MSKLGGATARVVKGALKLRQTRAALTLTNDAVNRIRVLLAQQNDANALKIGVRQKGCNGLTYTLEYARERQKFDEEVEQDGIKVWIEPKAQLSLLGSEMDYVTDKLSSEFVFRNPNIKGTCGCGESFSI >CRE21047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig874:5816:9444:-1 gene:WBGene00063383 transcript:CRE21047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21047 MCHLDVIGVFHLDLKPENIYFVDDGKFELTPLPSRHLSIVLRDTRIQIGDFGCSKFHPEDGAEPTPKLVQTQNYRSPEVFIGLPYSIKSDVWSFGAVMSEMYTGELLFYGSEDEHSAATQFQMMQDIVGQYMTREMWKEAKRLGSKIPIFSLKIPIFSSKIPIFSLKIPIFSSKIPIFSLKIPIFSLKIPIFSSKIPIFSSTTVQKSRCLFKKGAKYETELELHQLARKDDMDGRDLYEFITDVLILDPEYRPTFAQLKNHEFFQKIFDF >CRE27842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:6068:6523:1 gene:WBGene00063384 transcript:CRE27842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27842 MEVHAARLMAQLENSCLAGASPLGRTSMTQEFDGQLAALELACAKATFPLGSEKPRNYSSKMPSWYANLTAPTIVLNIIFVWVPILYFQSYGTRAQLLTAKPLKKLSWRFHTKYLTWFQRHKEPKQTTDGYQ >CRE27843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:7978:10059:1 gene:WBGene00063385 transcript:CRE27843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27843 MVMVITSVYTLVGRVPCFLDVLLNEKKTMYAVNLLTHLEHAKSPPSDLEVVPVNSPSLRRRIKCEERVSYPIIHPVTEKETEWINIDPLIRRSKKILEEFHPPTTSQFKSLNALQKMTFSLQKLGSGQNYNPKFKDSIHFDDYFTHWEELMTRAAEWLMHSEQFYGLPEHERLNFFKIVWGVWRRFERNAMSVKVFFQRCLDEKLLVISDDMATRIDNFHVDYSDLIENQFDRFRKTIRKNFILYFDAVMRPCLEWNFTDTEINFALSQIVWNYASRKLLGQTLHASDALLSEISENLHEYYRNEMRLKNYAPRLAVLMEMVNGVLVSRKINKLSEDFFQKIQVEHEKVMEIVFLFDSLHFILSDPSFFCV >CRE27851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:10241:13533:-1 gene:WBGene00063386 transcript:CRE27851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27851 MPVHHHHHTHHGYCSFTGYCRLLACPTIQFPTYALSPLSKITLISQSPPKIGSTKIFSKHSSHGKKLTRDEARSALHDIEHLAKEIHFQKVFDHHAHDGHISLKAFLEFIAPHHGHHTHHAHHAHGHPGHHEVHHHHHHVAAKDSSSSSSSSSSSSSSSSSSSSSSSSESEDEKHHHKKHAKKHLKKRAHKKVESSSSSSSSSSSSSSSSSSSSSSSSSSESEDEKHNHKKTKKHLKKHHKKVESSSSSSSSSSSSSSSSSSSSSSSESEDEKHHHKKHAKKHLKKHHKKAESSSSSSSSSSSSSSSSSSSSSSSSSESEDEKHHHKKHSKKHVKHHKKAASSSSSSSSSSSSSSSSSSSSSSSESEDEKKKVHKKKHVKKHHGKKHHKKAVSSSSSSSSSSSSSSSSSSESEAEKKEHHHEEHKEHHHEPHHAHGEHHAPHHEHAHHEHHHAPHHEHGSHGHHTAHHEHKDGHHAHGEHHHAPHHEHGTHGHHTVHHEHKDGHHAPHHEHGHHTAHHGEHHAHGEHHGHHAPHHEHEYHTAHHEHKDGHHAPHNEHGTHHGHHEHKDGHHAHGEHHHAPHHEHGTHHTTHHHAPHHGEHHHAPHHEHGTHGHHTAHHGEHHHAPHHEHGTHHGHHEHKDGHHAHGEHHTAHHAPHHEHGTHGHHTAHHGEHHAHGEHHHAPHHGEHHTAHHAPHHEHGTHGEHHGAHHAPHHGEHHHVAHHGHHHSAPHHGEHGHGHGDHHHAHHEHAVHGHHGHHEHHGEHGEHHEHHKENAGGKHHGHHKKHSKKHAKKSHKKSHRH >CRE27844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:23170:24648:1 gene:WBGene00063387 transcript:CRE27844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27844 MRVLPSILILSSILLPIGADFRPCPYMTPECRPKMLELRSLLTFDSNSTYPPVPDMYNLTKSLCQEAEHCLAHCWALEDYGKACESLGTRVHKFETECVKYALSMVYDYNPHKPECSEKYDFFTTDPLLKKKVFAEGKECFLNPFYSNPCEQELRSKYDSLMSLYLTPSEDGKYNSPYDKFQKFQCEVLLQKLDSAIADMNSKNSINATKLVEMAQRSQNCIDNTCLIKPKKTEKIGKVFNITLF >CRE27845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:50938:51351:1 gene:WBGene00063389 transcript:CRE27845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27845 MRLSRIVLLSILFIMMTSHSIATSSMSSTSSSESPRRRRRHSNNDYNDGDEDDDGDDGDYSDDVAPPPPPPVHTMTDEEKSVVWQNPEHPERRQGRYSGGNGDDEQTEFVRTEYTDTEYKKLRTYSEFLRVFFFWQI >CRE27846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:61663:64084:1 gene:WBGene00063390 transcript:CRE27846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hot-2 description:CRE-HOT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NDK9] MIIRLVTILMTSLLTPSWAVNPSKISNFDESFGRISGAGPLPRQVECYSCMSLSYQTSWKYLQATYIYPKVFTDRCRDPNSERGMPTVMCSSVCVSLMEPDVEAGVFIGFKHIRGCLDRVLRHGFNQSALRTHRFHQNNHCRTLSRSALFNPARTTDPPALGDVQLCSCYGDRCNYSTPLMTSSLLLVILLIFVHWVNNN >CRE27855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:67802:69431:-1 gene:WBGene00063391 transcript:CRE27855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27855 MIHLKYPDLFKFRHDSKMRHHLANTFLSITFLASIPWMIMIVPVGLILGGIRAIFGPKPAGRPEKLAKSMPDDDSGISAESAESENSEAATTTTSPNSSENSEDEKEDPPQIFDFKLVTVKQKHLELSENLGVLISEYVARLQKTPVLVTLRDVATLTNVTSSAENTARIPYKKRKMKVMLIPIESISEILEHSKESDGSPVMIYLLEEMESIDILTENRKFVNEIKEIMSENGEMETLDKSTSEFLMIKKDALVWINSGY >CRE27848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:72171:74448:1 gene:WBGene00063392 transcript:CRE27848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27848 MTRRVLLLSAASVLMAAAGYAPEPAYYNKYGGYHKALDKKHGGYEADQTGYGGRNGMSDYGSEQGAYGHYDGKYGEAGKKSGGEYYAKGDEHGHGDEAHEKGLKKNGDAYGGKKFSYFTSGSGPYGSYQKGYYGSDGYEHDDHKSKYSSHNEDNGFKKGYDGAGAGHDDKYTSYDKEDGAHKKHHDKYDVGKYGAKQGGYAKHGHDEGWKNQAEKNSYGSRETGSEYGHPYY >CRE27856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:75095:80060:-1 gene:WBGene00063393 transcript:CRE27856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27856 MGSFFFFLFFLFALVNYRLLLQSHGPRGKKNIDFQLESSAEFERTMSCERFPYKISCKNATTSQPLAKWYFDLQTLSCEMYPFGMCEDDPLDKLALRTRAECEERCDVAKVKEALGDTFFIDKLKENSLTTTENIEKEAPIGLPRGVNASQIAIDDVIQESKHVRGRPFAIEPPTDLLHVIHVKSDSHKFIEIDENQLLTTTVDETTVVPTVTSDVLEILEEVVNDDDGGEGSGHISVTISGETGEKDSEESNTVEDSSESLESKNSEKSSKIQEEPVKIEKQQRKKSVKSMEDSFERFYQRNKAFKSYKNHFNTPKIHKLLQHIKIFNFFLESVSCSATAYRLLCSTGAPTQFVYRWEKVGGVCQSFPYGYCLHEKNVPHPRTRAECEQFCD >CRE27857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:85470:87919:-1 gene:WBGene00063394 transcript:CRE27857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27857 MLAFLYFVQMLINLPKMLVLSWNNMIFPPSDSSQHFRISTVSPSLWMTSSDINANYRTLNLSICHVSIQVATWRIDDVIVDGTSCSRILKIDKLGGQRVTVDILKAHGEIQRISEVVKSERKFWMVSIGDSFSSGQGNPDKKSENGKKAEWMDEPCYRSTKAFPYLISRHTPNSALSFLSCSGSTVENSIISKNGQLEHLQRLITAHGAPPDLLFLTIGGNDIGFTDVISLIQRDSKITDKFDMRFFFVSHQIDRVAWKLKEMNVSRVVLLDYYDATKNEKGEVDGSCGAFGRVSLPNLQLADRKILQRLNSLLRRKAAEHRWIAVDTTDIFRTRGICSSRSLIRSRNESMMLQGNEYGSFHPNEEAHRLIAERIVKTLEL >CRE27858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:90790:94393:-1 gene:WBGene00063395 transcript:CRE27858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bed-1 description:CRE-BED-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NDL6] MSEVKEIKTEETSTDDYRDAFNHEDVGAESSENPENPNSSDPKAPAWFPSSKGRSIVWEHITWVPQSQRAACNYCPAEFQIKGGTSALLRHLKTIHPAAINFDPDNPPPIIRRKKRKMMEDYTIVTEDTKSYMDNLLEQFLQDDTVQRIHPGSSISPSPAPILHAQPAVGATAGPQSRADSEIDEVIRSLKKETAQNGVPVSEQQPDQDLRKLLGMDDRPCEEVIATVSTGGGATIGGAEPVQQQPQQQIMMNPDEEKQVTSSSGSAKKRKMEETLMMKPMEMPPGMNSGKFPMSILSAIRRPPPPQLHTPHHLPHHPHHLLSAAATSSPPTVLPPTVLLNQPMIQQGGVPAIPAKYNAQAVQILDFEAKVLYNQSLHSTIAREDAMCTYYRMKSRKMELEIKKLERELGEEQDDPQDE >CRE27849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:95876:100687:1 gene:WBGene00063396 transcript:CRE27849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyf-17 MLPKNEREALFDVDDRILMLERRLSNAKAAKDAFVHHLRGKYPNWTPPLPALSYKEGNFPVLTSSNHHTTPNYQINREPLLSRLSTGDYQWDVNEQRGNQNRMAIRRTPNYPSNFTTSPIDIPTSGPLLESDIRRSKIRLREISEELRSMRIDRMSLSTDQWLAEQPFRQRTVSLHFHPSDHHQIDHLEQARLHLSRIDLTETTPIDTPTEERKIDIQMTENHEKLLNEMRAESSSGVGVASVAPPIQAPPPRVVQWELPQQQVAPAVAPPPPVVEAPPPQVAPPPPVAQAPPPVTGGVSDLFKNMDLDTDSDDTPRLTKNKLIIFINFFRQAPPPQQPQQTVDYGQLLKTIGSMAGGDSSSDEGDANPRPSFGISRPAVKQKSLMFRDDEESDIDEFFH >CRE27859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:101079:103502:-1 gene:WBGene00063397 transcript:CRE27859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27859 MSQPLKCIECGVQPIRLLKMGPTMPEQVKEMFTPIHEDIQKITKDLGRSITFQARQRANLFMGLTKKERHFDRLREAHNEQKAKKDNYKKQLETAYELLQAKDLEISALKSSLTVAQQATPLFTQAPPPPQPSMKSADTMTTPSMIRIFSDSMYNRTPKSDKTEKKKRISEIPAFLRSKSKTCQPPIKQPHNLPPESFKTPNQPAKATAGFTTPANPPHMFPHLAKLEAAKKSAQHSQ >CRE27860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:105714:116889:-1 gene:WBGene00063398 transcript:CRE27860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pro-3 description:CRE-PRO-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NDL9] MGKMSKTGGKNDRKGGKGAKNAIPERANSGKTSRFTMSERNLGLMQEIIRKDPESYKEEFMEQFNYFVQTMKLLHLQPEQSRTEMQSLQESVLFLSGLAKHYPKEAKQFSDSLFELLREQGSGLDADVRMSFCKALVLLRNQDMLDQIVLLETFFELVKVEDKVLRKFLLASISAHLKRVYHKKKDVKMLGKIQNLCFSKMKDSRSIVARCAQLVCIDAFRRKFWRDERTANVIAEACFHKVPKIQVTSMKFFLGSENDNGEQEGDDSDIDSEAEDNTKTLKEVMTSFRNVKKTRKRAKNVERAKKMISKKKKQKKEGRSKECNLMAIQSLYDPQEFADKLFGALESKKMDKFEMRLFKIALCARIIGIHRLHTLSFYSYLHRFLQPKQRDVTKILLYAAQACHEMVPPDTIEQLIRVIANNFVTDRNSPEAMTVGINAIREILSNCPFAATEELLRDLSEYKTYKNKNVSMAARSLITLFRAVNPKLLARRDRGKPQEKDDEEKEYNGFARPKVHDFISGAEILDEDDDGGEQGHLSDNEEEESDLDVSDIDTDEVDTEEEEEDDDEGAPVAKKRKVEQKPAANDDEEDDDESDVDDEEEDVDDEELEDVDDEEEEDVEVDDEEDEEAEDEEEEEDVTVNSESATDVVVVTPEVDQKKKATKNSMDRILTQEDFKNIRAYQLKKQLIGEKRLKKQMGKGRSNADEQIVEDMAEKLEMKRSTDGLARLSDIEHFYKKKRQTKEERMADITAGRADEDYKFGRPKKNGPHVGRTNEQNSKKKVFAMVKNKVRGRNRQRSFRDQQKSLRNYLMRQSGRKPQ >CRE27861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:117065:118274:-1 gene:WBGene00063399 transcript:CRE27861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27861 MYSTDIFREIFDQFYSDAIYDDWREISKDFENSSKNSQKKQIQRIVVRVTCHNLDMFEAGKQIERLNPSLASIFPKFLLKFLNTFQNLPHEHQTPLNTHLLLKFIDFLSENSENSQNFMDFSDFSHISSLLDRAGNIIIKDLLENATKLYPDDGKSREIGARDILDGVLLSEEVNAEKHQEEPFLRMKQKLMTLLPSTSPFERTILASELKNID >CRE27863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:129956:131294:-1 gene:WBGene00063401 transcript:CRE27863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27863 MKFIIYLFLFIAACHAASNNTICTNGFNLINNKCWKLFQEPANHTMAERTCTGYGGTLFMARTAIDNRAVGNYVNSFGIDRIWMGVFCIGNVKNQCYFDDQVGSTVIYDNFAPGFPNAGTGRCVYYSVPGSPSGLWINADCTEQLSYVCELPTTHSDYCDFNFNDHCYFRNDELPFSAAQLQCQNLCGNLVSIHSAEENRYITSIYSQFSYDFIRIGGIATSNDFVVWADGSVMDYSNLETFGTGGNCLKMALKSTDSHSRGAWYTDDCYSPGHFVCKRPIGAVDCSGTPPPPTAPPPPITPPTCTTGVYVAPGVISSPNYPSPYHSSCAYTLTTYGSNKIRLTFNYVYPYPNYDFVDIYDGGSTDTPKITSLTGYYGGSYTCTSTGNIMYINFRVTSSNSPGYIGFNATFYSVF >CRE27864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:132266:133651:-1 gene:WBGene00063402 transcript:CRE27864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27864 MKFIIYLFLFIAACNAASNNTICTNGFNLINNKCWKLFQEPANHTMAERTCTGYGGTLFMARTAIDNRAVGTYVSSYGIDRIWMGVFCIGNSKSQCYFDDQVGSTAIYDNFATGFPNAENGGCVYYSVPGSPSGQWMNFYCREVLPYVCELPITHNDNCDYNFNDHCYFPFVELPFSSAQLQCQNLCSNLVSIHSPEENRYITSIYSQSSYDFIWIGGIATSSDFVVWADGSVMDYSNLETFSTGGNCLKMALKSTDYHSRGGWYTDDCSTLAHFVCKRPIGAVDCSGTPPPPTPTPPPINPPTCTTGDHWAPGTISSPNYPNSYRSGCAYTLTTYGSNKIRLIFDYKQIYVTDSVDIYDGVSIYDTLLNPLNCTSCDLTYTSTGNIMYVNFRVTSSNSPGYNGFNATFYSVF >CRE27865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:133879:136988:-1 gene:WBGene00063403 transcript:CRE27865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27865 MLKIARIQSPSKNNSLHLQIPCEVCKIQMSHGNHFGVNSCRACAAFFRRTVHSRWSQLKCQGGSCNKMTFFCKPCRLQRCFEMGMVTANFQYNRDGIVTPSVPTTISTVSHITPSLEQYLGRPHFVILSDKNPKKTIIDLHRLLGEATKILNLGPATPIFCDGSQLKKLSFGMKNEFDLRKLKIATRMTQTEIAGNWEFYVKKVATWLTHFDEFKKLPVGMKMKILQTIWHIWSRLEKLSTTAKYRRSSGRNKRSEIVVQSGVLIDISEVDFDSKWMSDYPTDQVRRFMMHSSCDQFTVTDHLTEMELSDVELTFMLAQLCFQYAGNRYQGEIQEVCDRLMTILSDDLHDYYVNELNMPRYFKRLAKMMQINNEIQHNIRRGRDRMEVIHTFRILKLEFTHPEMFLDSGFN >CRE27866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:140983:144976:-1 gene:WBGene00063405 transcript:CRE27866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27866 MGQPTTRRYELLSAAMLGFGHLCIMVGYDSESFVLESVIHSIHERTPDVISKYAGYYGQAVMYIVYMIGCLFSPSILNEIGSKRILILAAICYAAFPLGFFFVNSYYYYFSQMLLGLGYALYYQGNGGYMTSHSTRKTIEANVNIAWSVGCCCLLISSAILASVTHMTYSQTHGNLTITDDKGKLDLENLVERRFSDLEINLLFGIFLGASILGIITFIASPGRDVTNCIQETKKKGTFLESFKQTCTALVSQSMLELFPLFSVLGISSSFWLSIFPTAMNFTVANSEMTYLCAIYPLGIGVGEIFMALFITQMSRRHKDFCLQPTMALGTIFSIIAFIMVHVSTPYDSPHRPTRDDALLFGHSYFFIFMIGVILGIGDCCLNSCRSVICALAMPDRRAQAFSISKLYQALGSCVLFFLSPIIPLYVYTIGISIHLIIATVFFFMTARRTQTMERKMTVEEKK >CRE27867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:145351:149056:-1 gene:WBGene00063406 transcript:CRE27867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27867 MEFGGGLGRFAVSPPIQNLCREIVEVPGGAEWRIRKLQEDFDGFCWKYAGSSVIVNYHFRRLQTSTTPDDENLKMFYAKVSHFMSPVEISLQPKYLTSARKSIMNQLNAAYQSAMSRPDGFQEDQIFVPVACAVQELGIWYRGRISQISGKEHVVVELVDFGTQILVPRHHILPLLRRFGRAPPLCLKCKTDGLSINDLEIKDLHDFKDIVSECNALFRVEIKSMDEPFLIDLYHPTISGLNVCERFFPPPEDTAKLDRIERSWDAHCKKMKEEVEDDEDFEEHDGDYFPSPSCPKSPGLQIPREVSPRVKYISRLPKCPRAPRFQQDTLHVEHIENSQLIYLQYPYQIEKRAELDEFLRVTWPRLPRIPLEMRKEGQVIAIRNPRFNAVRRGIIVDEDTVLLVDYGRFVKCPKKSDLRLMPAVGILLEEPMLTIISLTRGVNMHTPHHSETLFLRQKLPRGTPIHFKWDKKSKTTPLRGKLTKKGQKEAMNDQMVKNLKNRKIDGTCRQLDIYRPRDNHVLPRGTHVYHATCHPHYSKGNEEFVWI >CRE27868.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:150983:152892:-1 gene:WBGene00063407 transcript:CRE27868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asp-1 description:CRE-ASP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NDM7] MKTFVLLALVAACSAAVFKVPTVQSGSLRAKLIKEGKYTAFLAAQHAARIEQLNTGSQPFVDYFDDFYLGNITLGTPAQPATIVLDTGSSNLWVIDAACTSQACNGYPDSGYKKQKFDTTKSSTFTKETRKFSIQYGSGSCNGYLGTDTITFGGLTIKTQEFGVATHLAEVFGYQPVDGILGLGWPALAVDKVTPPMQNVLPQLDAKLFTVWLDRKLTISQGGSGGLITYGAVDNVNCDSQITYVPLTAKTYWQFALDGFAVGTYSETKKDQVISDTGTSWLGAPATVMSAIVKQTKATFDWSSELYVVDCTTMQTQPDLIFTIGGTKYNVKSVEYILDLQLGGGKCALAVFSMGSGGFGPTWILGDTFIRQYCNIYDIGNAQIGFAQAHHSF >CRE27868.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:150954:152892:-1 gene:WBGene00063407 transcript:CRE27868.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asp-1 description:CRE-ASP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NDM7] MKTFVLLALVAACSAAVFKVPTVQSGSLRAKLIKEGKYTAFLAAQHAARIEQLNTGSQPFVDYFDDFYLGNITLGTPAQPATIVLDTGSSNLWVIDAACTSQACNGYPDSGYKKQKFDTTKSSTFTKETRKFSIQYGSGSCNGYLGTDTITFGGLTIKTQEFGVATHLAEVFGYQPVDGILGLGWPALAVDKVTPPMQNVLPQLDAKLFTVWLDRKLTISQGGSGGLITYGAVDNVNCDSQITYVPLTAKTYWQFALDGFAVGTYSETKKDQVISDTGTSWLGAPATVMSAIVKQTKATFDWSSELYVVDCTTMQTQPDLIFTIGGTKYNVKSVEYILDLQLGGGKCALAVFSMGSGGFGPTWILGDTFIRQYCNIYDIGNAQIGFAQAHHSF >CRE27869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:158317:159876:-1 gene:WBGene00063409 transcript:CRE27869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27869 MTDTSAVEIPKDSLFRKRRLNFTLDFKKEAVQFAIKTNNVKAAERFSVSRQCIQNWKEQQAELESAVEKDGAKRRRLNGAGRHVKDADFDENLSAWVREKRAKKLRVTRRMIQQRARECSDFKASNGWLERFLKRNNLVSRRPTTVCQKVPAEFLEKVINFILYIEKRMSEKKYSFVYACDETAVYLDFSKSLTVEERGAKQVSVTSTGHDKLHVTVMLCARSDGFKCRPFVLLPNKRPIPAIQKRFGKELELSWSGRTFFNDEITKHFLNSIIGTTLFGHRLLVWDAFRCHISSDTKSVLKQLRVDTAVIPGGTTKFLQAGDVYWNSPFKAKIRNQYEDWMMHAEKSYTASGNMRSPPMDIYLQWIVSAWNDLPRELIEKSFTGCGLNNALDGTDDTKIHCLKEDGEMPGGFELLKKRRQEAAISTDTVEELIDNSEISEDAFFETEEVEGFSSDESLDVDEI >CRE03047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:575371:580213:-1 gene:WBGene00063410 transcript:CRE03047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03047 MLNLRRAEHQDSGCYLCEINDKHNTVYAVYLKVLDPPLPSPASLQKKSTRLMANMSGDEVVLNCTVTSTDKTDDDIDVVWTRDGTTINFNNTEKYILKVKRDAGVVIETMRIRKATMEDDGNYACEHSQQKASQIVHINKAEAQTANSSRHILPAFLVILVTVILTASIL >CRE02860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:563628:565694:1 gene:WBGene00063411 transcript:CRE02860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02860 MANYRTGMTVEETLRAAENARESISRLSEVEQDVRAYLLNKRDYILSEKEKHFKDRFKHFYAFKEKFETRYKNLISDARKCESGFVTAEIKEKKDELLKIASTLTGKAEELAFYLKTVLSIIPDLEMISILLKLTTHIKAIQDIANKLLQCINGEYDHSHFQTFVRDWSEISGQVHMSLALASVKLPLIMLEPQQLTRIKNLLTRIRAKHTPGWYFELADAVGGGVLDEMRSYQERLVVYVEELNAIGEKIGDIAYH >CRE02858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:554589:555578:1 gene:WBGene00063412 transcript:CRE02858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02858 MESISPIVQKEKSRKQLAEERRNNAFNAAVGDIKEELIQRGYGQEEDLGSQPAILMLVAETLNQVDLKSKYPAEARRKSEGGKMPKDMEKVVKANREQMRRNKINSAIDSIREFIIRNELGDRNSKRLEKLDVVNTILDYIRTLPSNNAVTSAPVGQVNAQTVAPTSPTSSSHSPTLSPGLPTLSPSPPLLQQVPVVAQQSIGLLTPGLPNLLPTGSPLPLPMAPMFPWMTTPFPMVPMDPATIQFRIQFWQNRMIIQNFINQNQESQVKKEEVAQPAEEEPTVAPIKDEDEDLNLIN >CRE03042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:551906:552895:-1 gene:WBGene00063413 transcript:CRE03042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03042 MESISPIVQKEKSRKQLAEERRNNAFNAAVGDIKEELIQRGYGQEEDLGSQPAILMLVAETLNQVDLKSKYPAEARRKSEGGKMPKDMEKVVKANREQMRRNKINSAIDSIREFIIRNELGDRNSKRLEKLDVVNTILDYIRTLPSNNAVTSAPVGQVNAQTVAPTSPTSSSHSPTLSPGLPTLSPSPPLLQQVPVVAQQSIGPLTPGLPNLLPTGSPLPLPMAPMFPWMTTPFPMVPMDPATIQFRIQFWQNRMIIQNFINQNQESQVKKEEVAQPAEEEPTVAPIKDEDEDLNLIN >CRE02857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:546741:551443:1 gene:WBGene00063414 transcript:CRE02857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02857 MQTVQQARLTTKPPSLPPPTAIPQVCRPPPAKAKGILRHRTVAPVSEPKVDLDVDPKTTEYLSKFFASTGVPFDTIHSSSFRELVRHLNPNCALPEEDLMFKYVEKQNSLTKPLVNFQKTVGPLGVTIDVAGNADEKYLVFSIHYFDDLYERKNIVYLRKLLLSEVDSEGLLISIRRAVNNQTYSNVKFSSVVCPNYQIYNLISNSGVIKRYHICFYNYISMFIADLMEINEFWDGLTSLRKFVRFVKSDPELYGRFRRMQLSKKADLDLPIIDEGPWENTFVFLTRCLVLHDTLTEYFERFQQTSYINNSAFNHLIYLQRLMQQCLKYCRELSSSNNTISQIIPAVEGLRQYIQTHDMGYRFQKTIENSLNNCLGYLNQIHVRSRYEMATLMDPRYAYRDIFPPLKWKQIEIRVQEEFVNMDASAEKSFYQDISQMTSIERRNIIMNEFVHYRQVSFVERPEEWDSPFYWWGSRQLHMEHLAVLAREIVATPATSIDASHFFSSGGKFQHLCKKYSSGRLEDCLSVAGIHQEFRGRGATVETMTESMLESLNSTAKRLRCTHLSDISGLPYPPLPTMAMNGYEMEEKPQHLLGAPLQMGMIPQHRQVKPITGRPIHSSGIDLNNVPKAIRIIQAPLQGKVKAPVPPGTVIYPREEQKPVELLEKEVKEEPVIEKVVKEEPLEEVSPPENIMKFHQNPSPPPPMTAPMSQQQTGNVIQGVQRTIINRAPPPQYIASHDFVEKFAEQEKFVIKNAQKYPPPVGIRSNIPTPSLNPPEEVKFEDEVFTGRDGDDYNEIYLQAVEIARATKAELRRQKRCNRHCAVCGHLQCQEDLKNVTIDSEKLLIMLGCLYRQEYTLEKAQEFMAKETKTYVCRVHFAETLDEIYSMLRLSRPEDIFNCTLFQIQNVLSTITALRPHISLKQFMLILYNFADRYRHLVETKYDMIGVNNSRYTQSEEGNDVDDEEIIPKEYRQPRKQVLEADQHDGTVKVIEQENFKLPTAKPSEHGDWDNVCCLCSKSGARNGMLRVPRGEDRLARWIEKLGSEFEQRLKSDGENLICRQHFPEAAFSRGRLLKGMIPDAVSEKVEVTYRIQGNNFLKLNEQKSGTDKNARIDLENTEDTRIREMLAHDHDYTPEPSTSSARSTYKRRAESSSSSEDVDDYGEPATRMPRRQAKVASSYEGDYVYDKKYARIASRHFNKR >CRE02856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:543675:544622:1 gene:WBGene00063415 transcript:CRE02856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02856 MDSISPIIQKEKTRKQLAEERRNNAFIAAVGDIKEELIQRGFGKEEDLGSQPAVLMCVAETLNQVDLKSKYPAEARRKSEGGKMPREKEKMVKATREQMRRNKKNAAIESLREFINRKELGDKPSKRLEQLEVVNTILEHLRTLPVNSIVTPPIVQQPFRQFSSSIVPSPTSFSQPRRATGAFDIDSLLQKLAPTIPPQSPCGLPTLVPTPPLVHQDPVSSPVGPTSPGIPDVFPTLPLVPQFNFSLPASLFPMMPLMDPARIQMQVQLMRNQNMLQNLMNPIQVTQTEKEE >CRE03041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:540956:542022:-1 gene:WBGene00063416 transcript:CRE03041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03041 MESPIVQKEKSRKQLAEERRNNAFIAAVGNIKEELIQRGYGQEEDLGSQPAVLMCVAETLNQVDLKAKYPVEARRKKEGGKMPREKEKMVKATREQMRRNKKNAAIESLREFINRKELGDKPPKRLEQLEVVNTILEHIRTLPINNIVTPASVQQPFGQISPPIITIPASFSQPRRATGAFNIDSLLGRIAPTTSPKSPSLPTLIPTPPLVFLDQQFPVVPPVGSPTPGLPDVLPAGPALLFQPAPMLNFPLAPNPFSMLTPMDPIALQIQHQIQIECQRMLQNRASIQKTAEEIQIKEEELSRAGSSQPTEVSIEEENADLLN >CRE03040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:539378:540361:-1 gene:WBGene00063417 transcript:CRE03040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03040 MDSTSSPLAFPIEISRKNLMEKRRHEGINKAVKDIKDELIQQGYATEKDLKSQNDVLFCAAQVVAEVDLKQKYPTDVPMKNLMEAVGRRKARAGKMQFRRIQKADALKNLKDFIMRNELGTVAQRSKDEHLQTLLITLDYLKTLPNKVAPTPVLLSDPKLSVSSETDSTMLPAIAKFGCLPPAAPTMVPSSPTAKQADMTGQPKMFPSVAPSGNFEINQTIPFFPTSPFLLPSVLAAPIWPMNPAALQMNYNFQSILQNMGYKLQTNQCSQSSTNEKCSTDCDNEDVDVLN >CRE03039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:532881:537504:-1 gene:WBGene00063418 transcript:CRE03039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cku-70 description:CRE-CKU-70 protein [Source:UniProtKB/TrEMBL;Acc:E3LW91] MDETRYEDDDFEGTANKKYTFFVIDGNPAMFETTKEGEVPEFQAALKLVLDEMVRVCCSRSLNNHIGIIVTGTKNSETEGLENSTLLVPMGVLGQEEVNTIKEIREDEDLPSAVSNLTGGHHESDLSNVLNYCKRVFASCPNTRHQSVIYLTNNRNPFGRDDFWESSYFKRTKTAVTKIIGLGHRKTLGEFSVIILPEDEYKPTSKEEKSKEPWYQLDTEVFSTECDAAARIRQKITAQRSHASLTVNIGPGVNFDVSVFSMVMEAKPLDHSQKYTFDTEEKIVKTAGYVSKKAKSELEGAGNDDDETPIDETQKTLQRCKLLQEEAVRNRRDLKKSIVLGGEKIILDGEQYEFMNEVDSKGVDVIGFVPMNRVDREVSVVSSKIIQPNDQTTLGSTNMYRALLDRCWARQQAIVCKYQSRSKQKMRIVALVPFKKDMTLIEPSSFGDDGEEIEDKKPDLLHLEQQRAQVDSSEWLHEGFMLVGLPFREEIRDDFKRFEEQQTILTSPPTEGQIDTMKQFVKKLTMSYNPSFYENPRLLSERSALCLEATGEELIERKDTLEPYYQIANRLQRVGPQIEKIVETFGLTDEVKEEKVKPKAESRKRKQAEESGSQPNAKKVMTIRECIAADQMSKFKKTELLEMAMEHCEAPKSANRKTKDDLIELIENYLDENPTTTWNI >CRE02855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:531415:532296:1 gene:WBGene00063419 transcript:CRE02855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02855 MESTSPLIQKIKSRKCLTEERRAQAFKTTTENIKQELIRKGYGKKEDLTSQPAVLMRVAEILNGDDLKAKFPVQPRRKCNGGKMAREEEKLAKATREQIRRNKKNHAIHSLREFINRKELGDGSSMEQLDVVEIILEHLQILPINEKVDAQLENVEDAQLSASTSPGLPTLVPTPPLVYQNPVHTPVLTPGLPNILLPGAPLPFQPAPMFPFPWPAQFSMMPLMMNPAAIKMQQQYQQILQNMKNLQKEEKEASSSLSAQPSEVPMESEEEDVDILN >CRE02853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:528304:529245:1 gene:WBGene00063420 transcript:CRE02853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02853 MESTSPLVQKFKSRKCLTEERRAQAFKATTESIKQELIQKGYGKREDLASQPAVLMRVAEILNGDDLKAKFPVQPRRKCNGGKMPREEEKLAKATREQIRRNKKNHAIHSLREFINRKELGDGSSMEQLDVVETILEHIRTLPVNEKVDTQLENVEDAQISTTTSPGLPTLVPTPPIADQVPVQPPVLTPGLPNILLPGAPLPFQPMSMFNFPLPPTPFRMMPVMMNPAAIQFKLQYQKIFQNMNNQNTENNEESSSAAIAQPAEDSDESEEECVDLLN >CRE03038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:525061:525987:-1 gene:WBGene00063421 transcript:CRE03038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03038 MESTSPLVQKLKSRKCLTEERRAQAFKATTESIKQELIQKGYGKREDLTSQPAVLMRVAEILNGDDLKAKFPVQPRRKCNGGKMAREEEKLAKATREQIRRNKKNHAIHSLREFINRKELGDGSSMEQLDVVETILEHIRTLPVNEKVDAQLENVEDAQISATTSPGLSTLTPTPPIADQDRVQSPALTPGVPFQPIPLFKFPWPSAQFPMMPGMMNPIQLKLQYQQVLQNMKNLQNMNQNTQSNEEEESSSAASAQSAADSEESEEEDVDLLN >CRE03037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:520306:524426:-1 gene:WBGene00063422 transcript:CRE03037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03037 MIYRNIKAQKVTFKFSKFRLFHINFLFLILIIFHDFMPVFNLFMGYFCIFMYYLAAVIFRAGIPENLLFSFSTIQSIFLIFTRCNKKIKVGSCLFRRDLWIFLFAIILTCFSAGSFLNIKFEIGRIIGYINGFRLLIHIFPLSLSMFWLLTAKWERPEKPRNESKKKSKKIKAPLTKIAYFINIYLTCMVGLIGDLIFILSGYLYKKRLTYTNTSLLGSQHLLFLWILAIYLAENKELRKWIRKSIRKTSNVTPAARSLWNTGKEVTIRCTKALTNGIRFSEMYSIHESFLRPITPSSVEYPVDCIVAFFLFHLYLLYILIFAVSLEILKKFKTKGSGIAPITGCLKEKASVWLTYFFVFQSISRMTFGIATLDRVMGWAFQVKPQINFKPQIKIGKSKSNESVVSVKSKIGTELHVGFESEDEDTRKTIKNLGKIKKSVSFASDMADAMIMGQKEDSDTEKEEWKDAATSDFSIAGQETEPIDSTCMVQKWLQNTESMNSEDVKMHVLKLVAENEKMKRESFWYKPPAIDETGA >CRE02852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:518127:519453:1 gene:WBGene00063423 transcript:CRE02852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-98 description:CRE-COL-98 protein [Source:UniProtKB/TrEMBL;Acc:E3LW85] MDIDVRLKAYRLVAFSAVAFCVLSVVSVCITLPMVYNYVTGMRVRLTQEVSFCKHSADEVFSEVNHLRASVGTNQNSTRNARHAGYGGGGYAQPQQPVFPPQGGGGGGGGCSTCCRPGAPGAAGTPGKPGRPGAPGAWGMPGNPGKGGSSGPCHPVVPTPCKPCPGGRPGPPGPPGRPGSDGQPGRPAVGGGSSRPGPPGPKGPRGQPGNDGRPGAPGQPGSDAHGYGGGVAPPGPPGPRGAPGQAGQPGSSGGGRPGPAGPKGAPGQPGRPGPDGHPGQPGRPGQSGGAGNRGVCPKYCALDGGVFFEDGTVRRK >CRE03036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:509452:511278:-1 gene:WBGene00063424 transcript:CRE03036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03036 MTTQPSSLFFIPFCHEIYDFREYKYRSVIPLENTFTFSEMSTNCSTVFHQAYNPIFRFSSIYQVVISISSIFPLGYFLIFKLLKSTFHWNLKTIFIGYLLSMILFSMFYTITAFIQTIKPFTSTDSCDLSVIPFYHKRLLSAISFFLTLSTSFPFLITIERYYAMKTAEKYEKTPVILGPILVGINTLVNFGIIYNVFKDESFSDPSVSFSVYPAAAAQKMFTFFVILFFLNFIDVLFDIILLRQNLRLKKLLTNSSLTAKYQLEEVYQSTKFSVFLILIHIISFGIYVSAVVFFRYFGSLIISDPYYLFGVRTTSSTMIPTYHLLLGVFSILFLNRIKSKKSEGTTIQMSSTGRSGANNYDQAIFSIWNSVSGNPAS >CRE03035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:505865:507387:-1 gene:WBGene00063425 transcript:CRE03035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03035 MKFFITFSIYCFIFFISSDAVRIWHKSDLPQFRPKKEDSFEPTSTGPRNRIMDWKKSLAFEKVTRDSNVFCRVYGLCSHYSFGVTLLNVLAKWKERGMIYPYKKYDGKPDADIPMPKLENFVRELNSNRTD >CRE02851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:504480:505585:1 gene:WBGene00063426 transcript:CRE02851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-97 description:CRE-COL-97 protein [Source:UniProtKB/TrEMBL;Acc:E3LW82] MEEDSREKAFRFVAYSAVTFSLIALVSVFVTLPLVNNYIHSVHLRVHDEMQFCKLSARDVMLEMHNFRQTPNKNLPFFLRRSSNETTRLKRDAAACQGCCLPGLPGPDGPPGKNGAPGRPGAPGAPGFPGRPPAVCEEITEPPCTPCPPGEPGPPGPPGDSGNPGQSGHPGRNGNDGGVGPQGPPGPPGNNGEGGRDGPRGEQGRPAISTPALPGDPGAPGEPGPSGLPGDQGQAGRPGSDGAPGPQGPPGPPGQQGNPGQAGPAGPPGQPGPQGERGICPKYCALDGGVFFEDGTRR >CRE02850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:497337:501359:1 gene:WBGene00063428 transcript:CRE02850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02850 MADPLYCLRGATIAIAVWNIIYCFIQFGILGWQFQVVKNIIAEYENRQLPATGAIDGFQARFPGLYQIYTETPERRRANAMYVIIIVCVIFSILNLLTSALLLYAAFKYLKVLIWPWFGTSVPLCIMTTAYAVLWWSGDIFNEQLTMSIFEFVMSVAVNVITIIVVIVFFSRLSGSLISTRPKHSKRRRRKHITKVYLDPNDARRHSKSHHHHHHRSHSYTRPSNPPELQLAPPEPIITHAAPYLALDSAALFTPKPKGDLMIAPEGIPDWRREWPSVPDVQLQRHLKQQRKEEMKRMGYNVPPGPGGVVGPNNKLDFYAPEPDQVGFPWKYKTMNENLRERRETQAERIKKLYYQHWPEDLPNMPMEMRRRMQEEREKLETERNKSKLKGFEDV >CRE03034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:491267:491885:-1 gene:WBGene00063429 transcript:CRE03034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03034 MNSPRSEEEEVTTTNTSDDETDEDPMIYTSTSMREMGRENIEIVEQGMHHALACELPLESCCMLCTKMKCVLTHINCCLRRRLKGCDVCSTVISIVVFHARVCQVQVCSIPFCHDIRAAMLTYPPSSYHLVDLDHLIHRVITRLQDMAPAKNQSEDEDGEAKVAEEEEDIEWNPYEMDQVAEISSTTDN >CRE02849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:488498:490476:1 gene:WBGene00063430 transcript:CRE02849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02849 MASYTIGILVAFVAVSDACLSSGVCGSSYCAAPPAVSCSPSSCQPGYSCGQYGCARNRARSALTQKVDGIFIDSTTSREVPASRENSREVPKLKGQKNNIFGLRRESSRGSSAETQPVQQPAHNYENSTLLQYTNPNFIFRQCCEQRGLPDACLNKCHFNSYTKDALQGMYFKTDGCPLEAAADMHFCAAQGRDHTQCCVRNGVTTTLAGQKCLTFCDQRPDRVTKLDYSYVPCYDRFENMKQCFYNEIKQKAEQQFGAVRRR >CRE02848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:487152:488215:1 gene:WBGene00063431 transcript:CRE02848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frg-1 description:CRE-FRG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LW78] MPGADYNAVKGGGLKLKAGKKNLFKVGKDKKKKNKDDGEKIDPDTVENGGWRKVADEFDMKGGINVAIEVASGEKGSTHTYIAAMDNGKFTIGFPHPEGEGPNPEEIFALVKTPDDNKISLKTGFGRYVGVDSEFQLVAFSEAIGVREQFMLVFQDGKTAFQAVASPLFLSTVPSKEGHVHVASRTATENEMVNIRTDAVKEGPVDWRSAEDRKSARDCETAYVKMYQHSKVDLKNRHIAIDVKDKKGVKKAQSEGSAHELLLDRRMKMKSDRYC >CRE03033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:485810:486407:-1 gene:WBGene00063432 transcript:CRE03033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubq-2 description:CRE-UBQ-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LW77] MQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKQICRKCYARLPPRASNCRKKKCGHSNDLRIKKKLK >CRE03032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:483657:485766:-1 gene:WBGene00063433 transcript:CRE03032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03032 MLKSLFRAAAVATRSGPVKRQISFTAMLRSESQPKEIINLERVQVRKMRKRRPVASSVVPKSVQLREPSVVAMALSESLNLNDVIMDGHLNGMYNITSIDDEADDTLHFVKKLEYTINPAELSEIFVFRDGVVVFWNVDSSQRSQILRELERYAMAPYDSMIVMDEQDRMFYKFSEQSTVSSIRQDRFFLSGKHLDAFHGSNEAILERFAMSQAFAASVKIGVWESLLNNLAEPLSNTTKSLTQGKIPWSRKQALMRSGEFAALRHSINLDCTLLNKDFYWERPELEKYYTLAGRHFSLDRRIGLLNKRLDYCEELVKMVDNTIALRHASHLEWMIIVLIVIEVIFDVFHFADKSPKSVIVVAADPKDAVTSDK >CRE02847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:482088:483374:1 gene:WBGene00063434 transcript:CRE02847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02847 MSNRGTGSENENGMETAIELPLDLPRRRERNLIEKTMDGMIYWCLLFTSEGALTLYILFHWLLGCASHIVVSGDKKSGGDENASFFSFIYFMSTLVAFLSIMSHVSGLLIPLFAFSAFGAFFLFFLINLAVLEHPEAKTLFFNICSKSNVTIAFHTDMEDHPTATIIKTWILIAFFVLTCASILFQCARNEEYLRRPQPVIYPGFYPHMLPREMPVGPSNLDEPPRYSTLEPMSSPKSTVTRSSTVTSPPRYSYWERTFGRARTSSQSSEQSNREMMTAKSH >CRE03031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:478012:480962:-1 gene:WBGene00063435 transcript:CRE03031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03031 MHRALLHVTRRAAAVRNLASTVEGDAFRLNEYSSKYLGHRKAAFTEKLEIINADDTPAIPIYRVTNAVGDVIDKSQDPNFDEETALKMYKTMTQLNIMDRILYDSQRQGRISFYMTSFGEEGNHVGSAAALDANDLIYGQYREAGVLLWRGYSMENFMNQCYGNADDLGKGRQMPMHFGTKERNFVTISSPLTTQLPQAVGSAYAFKQQKDNQRIVVVYFGDGAASEGDAHAAFNFAATLKCPIIFFCRNNGYAISTPTSEQYGGDGIAGKGPAYGLHTIRVDGNDLLAVYNATKEARRVALTNRPVLIEAMTYRLGHHSTSDDSTAYRSAEEVETWGDKDHPITRFNKYISERGWWNEEKEKEWQKEVKKRVLTEFSAAEKRKKAHYHDLFEDVYDELPLRLRRQRDELDAHIAEYKEHYPLEGLHEKHQK >CRE03030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:459532:461970:-1 gene:WBGene00063436 transcript:CRE03030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttm-1 description:CRE-TTM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LW73] MTISIAPTTLSSIRLSAEKRDSSTSNLPENIEEEEQEDTQSVSSSDSGVSADSDHGHGHSHSHGGGHGHSHGDGKGHGHSHSDISSSCSGGNKNDEKYLKGRRAEKVLWAVAALSAVFIAAEFVGGFWAQSLAIMTDAGHMLSDLLSFIISIFAIRCARLPASKRLSFGYERAEVLGALTSVIILWVLTTVLVVVAIQRIVNNEHDVDADIMLITAGVGVGFNIIMGLVLHFGTNGHGHTHGGHSSHGHTHDGKNVNVRAALIHVIGDLVQSIGVLIAAIIIKFTGWTLADPICTFLFSIIVLFTTITVMRDIFFVLMEATPPHFDLNDIKKALFGLTGVQGVHDLHLWSIGMDKTAFSVHLSIESADRALETVAEARSLVRRQFGVSSATIQVEQHGTDIEACDTCIQQETV >CRE02846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:456474:458810:1 gene:WBGene00063437 transcript:CRE02846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrg-1 description:CRE-MRG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LW72] MGTVYEVGEEFVCIWREKKPFTAKILGIEADGYRIHYKGWNARYDETVKFEDIVARMHKGTLEDYAAKYGVDVTPTKSKKKSMKAATPGAPGSSVEPVDPERGAYESHEGTPTSSRASGGRSSKKDKEDSTPYTAVPMVVHLTSPLKKILIDDNVLNNKGYITKIPAKFTIDQIITDYIKTIPVTKDQLQNVDDVVLEYDSRDVSNLALVCTARALVDYFNVIIGYHLLYKIEREQFHDLVKQKSKGRNYSVGTVATMPDNGFRASSEYGFIHLLRMMAKLPDLLKLTQWNAHLCNRIMIGVHDFVVFLNKNHAQYYGDRDDYETKTVEYFQRVLDSEQSAAEGADDQPSTSASTKCK >CRE03029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:454433:455614:-1 gene:WBGene00063438 transcript:CRE03029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-21 MSDEKVKVVNKWDGPTVKNAIDEVVKKILNDKVGWSESHNLMNIRLVISFIGVAFSLFACGYDYYEPFPKSKIILLVCSISYFICMGILQLFQWYVEKDCIYEATEVDGKQTRKWAWSSEIKAHDDKYTLSAEFKKEGRSGQGKIIKSIGAYIDNDGEIIVPLVKKEVDDLYARLIRSEQ >CRE02845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:448726:453890:1 gene:WBGene00063439 transcript:CRE02845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-71 description:CRE-UNC-71 protein [Source:UniProtKB/TrEMBL;Acc:E3LW70] MFSVDIRQTTANKAFMETMSPDGYEVVHPFQIRDKNERIGIDTRNYFLKAQEHYSHVTIVIRSNQLGRLKLVLERNNFIFLNQTAFHKLDADGERVLQNRVENCYYQGTVGGEESSFVALSSCNGLRGVISFANGTTYGIWPLDGGDRNSRRHPHILYKSEWTKEAKCGSSMAHAVGQRIRRGAEPDLEGAEPKQKKHKHRKHHRRHMKDEEEEEDELKIRVANRRDASKRTKYVEVALIADYEFMKQRGLHDMDAISYMLESLNIADSMLSRDLNIRLSAVYVELWSDVQRIDLWEDIERTLSGVVDYAAGHIYHIQKDASILFTAGSFANQEVSNAAIRSICTARSAVIVRAIEPFATHWNGELVAQSVGHLLGLEHDTTACSCEPSPECVMRQQPGRVGAPFSWQFSKCSVARMHGIWQDGNIQCLLNKPFQVSELRECGNGIVDGSEECDCGTRENCNDPCCDPLTCTLRPHAQCAAHHKCCHRCELRKAGETCRSSQSPCDVAESCDGKSGDCPPDGHLIDGTVCGNDGQCWRGNCSDSHQQCQKLWGREARVAEEVCFEQNTKGAEYANCGMQSDGSYHPCQLEDTKCGTLHCHSGSLTPTDSTLKAFTFHFTQNAQQIQCKSIAGSLSGLIQDGTNCGSGKVCVAGSCVEMSSVSSGTACPTNNLALLCSGHGHCTTTAKCVCFNGWTGNACDIRSNTSTYQGSMGFRDEDHEQMGHGGARKTIMIPHLNIGTTLETAYLFGILFGFGAFLLLCLVCLMLCYRRRSVVEIPKPSDEKLEESPDRQIKFGNMPSYREEKRKRKSSKRIYGALNRITEADERDSTSLRSRDSAGSQQLLDRNGQPVMAGIRDPYSTDHHIYAESVAASSSNRQFRGINSDGSYPLRSFGSWRSSAPISPASSSGHLTDVSNATTPLRLNKIGKLLKTMQQSDDEAGSPFSDHSMQFQNHQGIQNLQSLQNLQNHSLLGRLDHGYTGEEELSAVEADHDVGSNTESSRGCEDSSGGRDSGGWDPPSLVNGSTSNNYNFRQSPSLFSDPFKLEMTNSMHN >CRE03028.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:437159:438592:-1 gene:WBGene00063440 transcript:CRE03028.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cco-2 description:CRE-CCO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LW69] MASLTRAVTRLAATGRQAIRSIATTTPVSGHGDDVMEKWPADKFDNHFINYLSRPEIDGWEVRKALTELHDYDVIPDPKVVEAGLRACRRVNDFALAVRFLEAIKIKCGNQKNRDTIYGYIVKQIEPVLKELGIDTPEQLGYGEPEFFVPQPEYWWEKKWYKDYGYDKQPNFQY >CRE03028.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:436876:438592:-1 gene:WBGene00063440 transcript:CRE03028.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cco-2 description:CRE-CCO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LW69] MASLTRAVTRLAATGRQAIRSIATTTPVSGHGDDVMEKWPADKFDNHFINYLSRPEIDGWEVRKALTELHDYDVIPDPKVVEAGLRACRRVNDFALAVRFLEAIKIKCGNQKNRDTIYGYIVKQIEPVLKELGIDTPEQLGYGEPEFFVPQPEYWWEKKWYKDYGYDKQPNFQY >CRE03027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:428982:431650:-1 gene:WBGene00063441 transcript:CRE03027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-14 description:CRE-FLP-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LW68] MMICLPTALLLSAFVVAAHGQEVPAGAGAPAGAVQASHNPKDCQSILANNGDQQEALLCQLSESSMLLAQLGALVSEGVERLVQTHGLALEEETNEGENEMEKRKHEYLRFGKRKHEYLRFGKRKHEYLRFGKRKHEYLRFGRK >CRE03026.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:425706:427318:-1 gene:WBGene00063442 transcript:CRE03026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03026 MADGGQQPPMEGGGAPAPEAAAPQPPQDGAVAAAPPVEAGGAGGVAPGGGGQDASIGYVTSAYSNYVQEIQSAVEGVRQRAVALQQEGPAQLQQLQSQLQPQSQELLSALQETQAPVGLATSSVVEIFGWSSILLLGAGIASIIGGYLLSPIFGIFIGRAGAAILATLVLPGLAAYYLNAEDGSTSATRFQLLLLALTQGILMGHSISYTYVSGQPLSFITPLVIAFAYPLVAGQVGTARVPLLGGAVGASFAVQFVFGLVSGSLSFSYFLLAALYSGASGALLQIACKNLNAPSRIHLYQILLVASFLFSKALVYGLFGSAEPPKAN >CRE03026.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:425706:427329:-1 gene:WBGene00063442 transcript:CRE03026.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03026 MADGGQQPPMEGGGAPAPEAAAPQPPQDGAVAAAPPVEAGGAGGVAPGGGGQDASIGYVTSAYSNYVQEIQSAVEGVRQRAVALQQEGPAQLQQLQSQLQPQSQELLSALQETQAPVGLATSSVVEIFGWSSILLLGAGIASIIGGYLLSPIFGIFIGRAGAAILATLVLPGLAAYYLNAEDGSTSATRFQLLLLALTQGILMGHSISYTYVSGQPLSFITPLVIAFAYPLVAGQVGTARVPLLGGAVGASFAVQFVFGLVSGSLSFSYFLLAALYSGASGALLQIACKNLNAPSRIHLYQILLVASFLFSKALVYGLFGSAEPPKAN >CRE03026.3 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:425706:427252:-1 gene:WBGene00063442 transcript:CRE03026.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03026 MADGGQQPPMEGGGAPAPEAAAPQPPQDGAVAAAPPVEAGGAGGVAPGGGGQDASIGYVTSAYSNYVQEIQSAVEGVRQRAVALQQEGPAQLQQLQSQLQPQSQELLSALQETQAPVGLATSSVVEIFGWSSILLLGAGIASIIGGYLLSPIFGIFIGRAGAAILATLVLPGLAAYYLNAEDGSTSATRFQLLLLALTQGILMGHSISYTYVSGQPLSFITPLVIAFAYPLVAGQVGTARVPLLGGAVGASFAVQFVFGLVSGSLSFSYFLLAALYSGASGALLQIACKNLNAPSRIHLYQILLVASFLFSKALVYGLFGSAEPPKAN >CRE03026.4 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:425706:427318:-1 gene:WBGene00063442 transcript:CRE03026.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03026 MADGGQQPPMEGGGAPAPEAAAPQPPQDGAVAAAPPVEAGGAGGVAPGGGGQDASIGYVTSAYSNYVQEIQSAVEGVRQRAVALQQEGPAQLQQLQSQLQPQSQELLSALQETQAPVGLATSSVVEIFGWSSILLLGAGIASIIGGYLLSPIFGIFIGRAGAAILATLVLPGLAAYYLNAEDGSTSATRFQLLLLALTQGILMGHSISYTYVSGQPLSFITPLVIAFAYPLVAGQVGTARVPLLGGAVGASFAVQFVFGLVSGSLSFSYFLLAALYSGASGALLQIACKNLNAPSRIHLYQILLVASFLFSKALVYGLFGSAEPPKAN >CRE02844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:422317:425224:1 gene:WBGene00063443 transcript:CRE02844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02844 MAFPSQLLIGMGVKVAITILTIVTLILLDPAYVTAYISINYEIVIIYLVSALTLLYCIVSVLMSFLLAKRGEDTPLTNCAFSEIIFATGGIMGWLIIIGIGGTISQRTIIETGERFGWIGALAGLNVGCFLIIAAIFAANVVSEKILQRQSRFNKYDRGVYMQN >CRE02843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:418509:420627:1 gene:WBGene00063444 transcript:CRE02843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02843 MVEIVEETDDHQSSSSGAGTSSTSNEQPRQPTYTSISQYVSANTMDCVLFASRVLTVFFAFNYMIPFLGLVHPQSAYYKIFAASAATFALRLHTRIQGQFALNAQFVQRLVIEDAFHYLVYSVVFLMAAPVSMAALPVTIYAALHACTFLTKMLRETGHNLSLVPKLEQFTAHQTPNALGIIACSEIFLVPLLVSLIFSGKGSLLLPFAYYRFLSLRYASRRNPSTRQAFSQMRGSLLNVAQSASCPSIVSSFIYRAIDFISARAPPVM >CRE03025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:416461:417552:-1 gene:WBGene00063445 transcript:CRE03025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-10 MLKLANTVRSGLLTSTIRNVRTLAPTVNPAEQQQVQAVLPDKLYSSIEIEYRGHDKAVLKSYTTFLQQVCQHLEIPQGRLEVLPYIRWVQPALRSKFVHKKYKLHYETRTHISKLEIQNVTGSTASTFLEYIQRNIPEGVGMRVGFTELQPLPLTIQN >CRE02842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:412647:416319:1 gene:WBGene00063446 transcript:CRE02842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02842 MSLLNSSEILAIQSDFRQPGTSMSIIDSSKGRKRPHTSRSSGDDDDQTDLPNSTAQNGSSTEMVLSTTGQNGAHSAANAPGKALKRPAPPRKSHKNTPIPRQDENYPPGNPDKKTFRNSLKHHPRASQAFVEAFKKGEHIHFHNKKVALHIWEDGQNYMKKIGDFTGVHLFKSREGLDMKVPKDLGFDTLTDYIPADESINVIDGYSGGSQDMTMGDLLEEFKKEIRNHTYNVLSLEFSEINGLNKKFDEPAFVRQSSIVNVLENVLKSKAAEVERRLKRNYKNPKELGELLHDKYYYEAKLKNLPSYQKFLLVSMKNAFTDIHVDLSATAVYYHVKKGRKVFYVAPPTTRNLEIYKRYETAPQDDDIWIGEALFDQWKRVEIQEGFTAIIPSGWIHFVYTPEDSIVVGGNFLMNQNMEKHFEFTEMEKTSVDLNVIDRSNMFRGFYNVMWGYADEVLLRNLKNPRLAFGTYNIANDLHKCLESLDLDKELEPEFDWYTKKEKEDILKELNEALNKYLAKKMPPQPETQLIPIPKMTEYGEVIFYLDRDNLAQYNDSFKRFLFTN >CRE03024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:405765:407291:-1 gene:WBGene00063447 transcript:CRE03024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03024 MYYPYATHATGMPLITRMPQNNYNPYFAHSNQQRQYGAYGAPIYQSGPSTKTYVHQCPALNATIFKVVQRDGYSKDFRFDSKTQKMVQPPVTTVNEEDLYPEYGIFSDRLQKEMMIAFNRATSKMEQYIYSLESGQFEQVEDPDLKYHVGNRSPSSIVMIIDDWKGRMSIEKGKDGSVKKMVWVRGQWIQIPSRPVKTLEESEEVGEEAEKDPYTRTLPQYKIRYCPHSQKEVIFYLHRDDYLTFAYDETTREMRGGFQCFLCPRFVSESHLFPRYSEFSTSLNTHVIHVWNTETEQMEKYIYDASKFQFQQIYCPEAEFNPEKSMSSNILFVASLENTKSIVMRGNDGRLKKEGYCMIRGEYVDIPPNVVRTFLVQRREQKELKLVKMMENNKRFGNENKDLEAETIETMKYSEEESSNDSSESEEESSDEEYSQYSEDEDKDYSLADLSKCDDEESEKVSEDSEESSEEEDIIRGLSHLQLTTEKEIDRLRKLRKLVLSNGLNNNL >CRE02841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:403306:405150:1 gene:WBGene00063448 transcript:CRE02841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02841 MIVFGKRCDNKCDRLLDSHTTESSHIYHQLSTMFVPSEPIEQIALHGFNLLSNWESRDSLEELIKNDYTLEMYRTYEIKRFCRYYLNGSCDFMARRIIKKIEALEMKEQEERTGTTSATSSSFKPVVATSSANKPSTSALPTVTVEKPSVVVKNPISKDIPPTSSVNKPNVPVSSKSSKPLTSTSSAVPSKRPMPLPEIVISSKRTLPTKFVPKKKEAVSVAYQKPCVPEVRRPSIDQKLNAEKQLQKFIEKKKIIEEKKKEGAIQVSNVMHSLEEARLKRKQEALKAKQLGFWEEPKAKKGRYTKY >CRE03023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:401714:402538:-1 gene:WBGene00063449 transcript:CRE03023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03023 MFVPSEPIEQIALHGFNLLSNWESRDSLEELIKNDYTLEMYRTYEIKRFCRYYLNGSCDFMARRIIKKIEALEMKEQEERTGTTSATSSSFKPVVATSSANKPSTSALPTVTAEKPSIVVKKPISKDIPPTSSVNKPVSCAVKSSKPLTSTSSAVPSKRPMPLPEIVISSKRTLPTKFVPKKKEAVSVAYQKPCVPEVRRPSIDQKLNAEKQLQKFIEKKKIIEEKKKEGAIQVSNVMHSLEEARLKRKQEALKAKQLGFWEEPKAKKGRYTKY >CRE02840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:400228:401485:1 gene:WBGene00063450 transcript:CRE02840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02840 MPSAYESTPVSQPDSYKVTVFTCPDRGSLVFRVISQHGNISDFQFDSRTQKMIRPVRIVREKDLFPKYFIDDERAQTHIMFAFNRVTNEHEQYVFCWETKQLEQIHQPEHFYRHEHTAMQFRMRFAYRNGETVDIVKASDGSVEKISSKNGKLRSGTVKTLVDYDDHDSYIRPLPKYKIRYCRHSEKNVIYARYKNGFRKFVFNEDTKQLEELKCDGCSEVTEDDLYPQYFTHSDSVNSSVILAWNTVTERIEQYIFNVILRRFEQVQVYDVVYNPEKKKHRIGGIMFAQKGYGGLEVATMICEEGTLKRGEFSEKHQMFVPIQETSVKTFLRVKNNKTTSEVSWKASDLDASDRDEYSSGFEDDDTIVDNYSTPADDDERLLEENYCAMEFAIEKLLF >CRE03020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:394230:396261:-1 gene:WBGene00063451 transcript:CRE03020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03020 MSDFQKRNDGFGSLDTERFQKMREAGQRRNALIKQKKADKKNKRNGLPFLSKPCPDGRVLMSDSQRLDAVFQLIAKKAMERSAARRAAGGPVLEANMPDKMRDILEEETVECVVPVQEYEEMNEALSEKDQEKAEKEEAEDSENSEDDDLVEAEQNELISSETMNYSLLEEEDETTDYILPESTSASEDEEYYEGMQEALTNLDMEAEKKREDAEYAEKRAKIIAQVAEIRESQKKNQESGSPENDRYNKMRESAERRHKAIRQKKLYKKIKENGLASLLPYLLRARSDENATNHAYPRAIRDSSQLAINQTASGSNPDIPDEIKRDLENMARETGIIVPRFDERYKKVVEEIRNRGLNDQVDIRH >CRE03018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:385159:390282:-1 gene:WBGene00063452 transcript:CRE03018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03018 MISEKPPGKYKDSKMKEIYTCAELKDEATVFIKTAEDDHIIPNTHFDSVGVRFFFFDKADLDWITSPEWKNQSNKVHLKSLEEFMKIRPEKRIYFRYVPLTDNRIETRRVLPEEVLEIIPLVLKQQKTPISDKDERIQKLREKWIKCRDGEMECGSTIDIREFEDILEEFDVNKELITLINDPFYTAFIHEQLNGKKKPITFVNAHIDQVMFPSQAILFIFQSFVCNINWRKLLMRADSHNIEYLRMEIIKYLFFYNVLDSSSLVRQTDLDVYVDHLKNVSERFNVVQESYWCNQFNESTTQCMLGTFFRQECAHFGLKNFAVNPDGTNYDFIHLNSAKVYLLLAWIDCFLDEKRVSIRNKIMDAMVYRMEESGRDSLLKFWDTVPPMKPMYKESKFREYSLEVETVVANQPGVYIGDYTEIEQVAPNLEFFVRKGWEARKMKFFTLDLQDMLSVVDLGKTLPLDNRLDNLKEFLKYKPNKRIFIRTVSMISNGTEVRRVPTEEVLEIIPLVLKQQRCPIFNTNDRLEKYRELWKPVQYGKSILSKTIDMDELVKVMEEFDIDKDLITVVSDMSFEMSSRQLLKDGCTPITFISYDCQPVMFTGQALLHIFHTVICGINWKIKRDIGEYDEMLRSLISKYSEMDQNSLILKKTVVEDITKLLTHRPPELKSLPSEGAADYKLQNFANDNEISSIDYSRTCDIYGLDYLIDITGKHNLPLLMARQNYYMGFVNSFFKSEDSRIKRRLRDEFLWKTCKNARAPFYQYVSCAFEIDNSNLEKLGTNWNGKEETSQMDSAMRPAASTSESSVIERTSAEEKTKEIPKETKKAMEETLRKFLGKLGIGEYSDDYSDGEYEDESYTESEPESDTLELKMPEKRQTNLMKSSPNEQSPRVKPSEDSSAAESGLLESSQDRKLQKQSEKEPNMIPKHSGKFQTSEPSNPEASSQYPSNLSIDTTDYKAKFLNANEHNLRAQKERDLAVKKAERFEEKAKKYDELEMRFKEMKKEKEQLERKIATQVKHSEENERLRTKIASRQDIEKQLRASRNEHQQKAESLVEENTRLITQLEEDKIKVVEMKKKLEEAKGKTREVNKEWNSRNEELNKEVVRLNGVIKKKEEEITAKEASIGILRKTNNGIMKINKDRNRKIEILESRLSTAMRQATPVKSPSSDTPGSSGEQEESQNPRKKLDELCKMKNRFSSERIMKEANGIVEKLQSVSEDDELIDLAKFELIRLEGIVRIYEDTLSMNVLILQKTRDVSQLLPMHHPCLSPEFMEKYSDLMIGLTTEVPDTDCLICHEYRESHETTIECETTCHKVYHLKCASEWFKQQQTCPHCRSRMLDDEEYPAL >CRE10853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:228814:230229:1 gene:WBGene00063453 transcript:CRE10853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10853 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3M565] MVKEQRTDTAKFIHRHMNVKKWLNYREQSYTKQELINLALDARDVFKENKEDNEDKDVINSKVLALATISPPVLVVGDIHGQYADLMRILNTCPNKPAEKKDERPAPNNKRGGFFNNRFVFLGDYVDRGSHSVECISLMFALKVHYPSQYVLLRGNHETRAINFAYGFREELQIKLGEADGHEVWEAFNETFAWMPLACLIGKKILCMHGGISQGMTLEDIKKIPLPLEDVGTNALAQDLLWADPTPDQTIASALQTPQWGKNLVRGLSCTFNPAAVTETVGRLQLKLIIRAHQMIPDGFKFAANHQLLTIFSAPRYMNETDNRGAIVRIQENGDFGIIVLKNTKGAGGKNPLNDELTRADDVPNESAKKKSDSAVNVMKLSSSKTKNSPKF >CRE02837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:374961:380495:1 gene:WBGene00063454 transcript:CRE02837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02837 MNLIKLLILFILVGKSYGLVPVYGRPSVGNWSRFQRATYEEFSVSLKQMEMIAHIANGIHLQQGLMKGAIPPAELISEILNLGAIKPSDIHNIDTGKLKEVVNKVEGLLKSLDGSVGAIEDKLLKMRTVVERSNGVESLSQLGNEYLGEVVKMKNLKKSFNSYYITKLGDFLESSQALETQLEAGLVQNKLEVIKSSITVIKSKKFAEYVSLTGSKVMESSMKAFTSLVNFHRSVNTYLGDVQILQKNDSVDGKLKQMIANAQTIGTLIDTVKKSSLNFDHLRQVLVLRNQWSAQTLSAHTPGFPNGYSGIVSLADDLADDWTKTMVVGQAENLNKALESLKNIGRIAKEADNSFGQSYVGLADVSDTFLRLAQLSSANTTALASKLSQIHAAIQDKTVIPKNTENYGKLHTYIRLLFQQLNAIAEVAAVSVVLTSQENDKKLEKIMALADVVDGDEVLQKLSELKKNKDYQDICQVLRKISKSVELLSKGNVVVKPAENIAKSFGEMKTYVDDSAQFMNMLKTLRGIKEFEYIASFVDVRKSFGQIDTKKVKKFSSVSDNIENAKPKLTELEKAMNDMNGFTSFESDALTQSGDLKKDSDTLGSATRGILKIKRRFDEKVNMDDLESAEGMLPNAMASTKVKLTTEEENGVNKLKGLTRRLETSYTAIEDYITSFKSSKSDKLADYSDIFTKASSLPDISEDFQSMIFSTEKLASRAGPFYQAPMLATVKTLQKLDSLHLDFSKHRKDFSPAKESLNSLDLTFAKLPQLFTPTTTPATVTKTPSGSRSDPSKPGGQQSGAPGDPNVPGSQSGSEGETTTEATPTWKILLFILLTLFIIGVIVLIVIYFCCWGKVCCKKDIPPPPDDVIVDGKHSNSNENEQNKPEETTSQPVNKTNTEQEKNDGNSKDKVIPKEDDKSEKKAEKIEEQIPLPPPTILPEVGLKWMLWCQSQIDKRGYKPPAPLEIQFQHEFLEYDWAGMIPSYFNPKPYEIFDMMRMGLEKPIGHMQNTLSASDFDIGKDEKYTMANAIGPPWEGFWRSDGFFDCTIGTHFRMLQQKGIRRVVWTSDLYGGDWKSKNSFIPLLVGDVWEHNECKLKITCMNLNISDIDFQVRTLKIEFEDSPPFEIELVWNRTWKKYTPEDLNITLNVWRAIRGKGNVMLACDTDPNASYVFLELAYRELSTMKEVPQDADWAKNFSRSILQKIRNKIPFVFNLHDGHYPHVIMALLKLICDNYIANYKPPEDKSRIDTSEVDNYMLQIKERLESEEKKKKEETEGKKSKTSESTESTGLKMEDPKKKETGKSVSKGSSMKKKMNPSKGNQKKEVKKSQEKKTRTGESKVKKEGKKISADTKKTKAKK >CRE03016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:368447:372315:-1 gene:WBGene00063455 transcript:CRE03016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03016 MIKTRDIHKKSKKELDLAVKCHADTESKEYSYVDMKVMSMEKNDKICWTTRFPDGLILKSNHALITEKLRETLNPKYFILDQDDIKWFKSHQQSLGKGGVKQYECLTSLPELLNLRPLKKLYLRGFPLACNGSDVSYCNRVFADEVIQIIPILLKRQNRRLADSDTRLQNYCEKWNCGANKGRWFNSICTSDLKEALDDFDIDKMLVTIVPDPVYHSTAQEKLRGGNHPVVTFSSDGHPIMYASQAILSLFENFVFASNFEGGCSEEYKKELLKTMKKYENWVGINENVNFEHSLILQHMFISKSELYSDFSSLEDHRELFKTERDMNAFNDHQPDDRIPMQEYIEICKEFEFSTFCTQITKILSSRTKRNNQKDEIPYWLACTFYSVAWMEKFLEPSEINLKRLLWSGFKHYVPSSWHQEIDNSMEQIFKVRKPITSSVTPDELEQMKERMYQRIASTERRYRDVEFEPSEISIVTKESFQQMLRAGKLPSGPFQICVESDDEDEESNK >CRE02836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:364745:367895:1 gene:WBGene00063456 transcript:CRE02836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02836 MSQNDKIAEGLKFLREKYGFSSVSCVPASTVDPKIISKMMRFGNNVYPHKILHKEHADTELIDYSGEMSPEHCPLNMDNPLDWQFSIDGNVYKSNYSMISEKCRERLKAKYFIVNRSQLARINTKILKETGKKWVMHVDSLKDFQSYTKALDSKTYVIRSFQFYRCEEPEFYVLADDVIDLVILALQAQGVPEEEYDSHVKTLRFMNSSFEEEHFNVMKFDDFEETLEKFDIDKGLITIIPDMILKQNYVRSLTQLSIPITILNQDGDEIMTSPQAGMHIFHSMLCHYDWIGFMEKGEPEVDLTMELEAFFCDILKHYMDMRETTLVSRKYVEMDKEKLRNHRIFTKIQKQDRDHMWKDVEIFQDLPAKEYEKTCNKMNLPVYLVHNVLDLWYARLRWFVGCIEVFFTRESHEEKLFLISGLSQKYPGEAEPITQRFLSEIVHHWEKLRDEWEANRPPLFSQGTEAVGNKKENEKKIAKTSGDSKPSASKDSGKSNEATGNKKTIPKPGSVSKPPGVSGPSQATQKSKTSQKQETSKLSGKPQTKPDTQKSIVIELSEQKSTESGTPKNPRTAKSVATEKSMTPLETEKAAIMKKVTDELKEVKKTSEKMLKQKEKKKKLEEKLARETEIFKNLKETLSEKERLIEELRQELANLQE >CRE02835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:360599:363106:1 gene:WBGene00063457 transcript:CRE02835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02835 MAQFLKCPDNVLAANIVPSQVYIYILPELRPFLHFFGRNDPAKLVDDDGEEVIQKLLDDSTNKLRMYGSAKELAGNIKIFRNFPESFVLFEDQQCPFNTSPVIYNSLNGEKYLCKPDLYTIIQNIAIHTITHHKIVFFHLLLAKVLKSKIAETDGPVEFVKFDQKMFDEIEKEMKEGEAKSLQQASAQRNNLEKSLRTKNYAAIVSKLRELNPKIWSGVEAYSLLTKLQLKAGTEIAESKEFIFVMLTNTCIVNCIEQIMGKRASLFMCTPKSSPITVRLFEDGEERYVMEAELYHALNTVSTGSERFEIQSDGLVYKGMNFREVKAKFGDQIQTIEFIRTPILRSKHCAVPTRSHFPGQFVIPAVDYCFEFWRNVILGIKLFQKYQCSNWDEFAPTFHNVEAFLYTERKLQKQQYFLRAGILLDSVVSNSLKQYEVSPVKDVRNAKKDGFTAQNLKNELKYLGLTNTFPEILDYAEDVYEEIDKAKKDRYLRTCDLFDAVERCQLICVLSRVPNVRSSIHSIANSYNFQLRKFVHNQKGCNRVLGYKCEHCEKEKKTSDALEISQKPAKVQKTSDIHDSIKNMKIENTNESGSKQYSQPALSAPKDSEKCSESSAVLVETKNELKEVEKKTVNTEKELSDLKKQYDILVQSEAQKTEELSKIEEELNKEKEKNQEKEEEILKASNENEELQKTILKLTAENEANERVIQKLLDRITNSSTNQQKTTEISDF >CRE02833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:352737:357879:1 gene:WBGene00063458 transcript:CRE02833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02833 MLKLEELDLAMKLLIRENQRKYKIEENPKENQFLDKDNVNSPSDQIVYQHNRITGRPKTPIVKAKSQLGRLIIQKVHRDNLHIGPLTTLGIILDKFSGDSWRVAVKSELKRCSTCRKSNNHAFREAPPGDLPERRTNESRPFQHVGVDFMGPFKTYIRNSNDVEKSHIALFTCTTTRLIHLEHVRNLSTDEFLLALSRFMSRRGYPDSITSDNAATFKLTAEILDRFSEKEDSFLAELAFEKIEKLRSDILEKEMTKKGVKWYFNTALAPWQGGFYERLVGVVKKSLKHCLGDSLHNYKDLETIMAECECLVNKRPLTYIDDGSEDFQCLRPIDIITPGLYFSIFEENGLRDEYFEYTSNFREVKKNVKRFWDIFHRDYIKQLKTFQSLSQPNRVHSNLVKPILGEVVLLKDEDVPRKQWKMGIITELMKGRDGEIRSVRVRTTQKRKVRDGTLPYKPFKIQEITRPLRLVIPLELRPQSSEDTSVDELKVKVNHARNLSHGLQKHRMSEKKMLRPVLETQNDNFRKNLNRKPNFSLWNIWTVIMLMCILATSSVTASPNKLKTATESILLEEEKIMNFTTITIPIPSSTTAPKTTTSTSLPTTTPRKTTKQPTTQSTTTQTTPSTTTTESTIRTTSSTTTQVIISSTAIPSTTTIPTTKPSISTTSIPTTRSSTTSSTTTTTVKILPTSFTSIPTSVSINPTFRTTVPNVRSATEGFMPKEDYRRSIQATKPSTEQMPLIETTTSNNMHILNRHEIHDSKSRLECTANGVNLIDEENMTSQPNSVCTENWCDHQVVTKKKVTEVIIPPEYTVHKHRITWKKSIGQSFVILSKVCPPTDYCWKANKHFDCIFCTRFLFNSQCHPKATIAIVISLIAILMKLITLCWQRTKLWKLFKLMFCWCNFCEKLHQFVCCKKPQEETDELEEIEMVPLRKSQVPKRIATVRNWRDRIRHKKYLPSRSTPSTKRTVNFSNSTTPSNPRTLLFEVSTVEEEGRDVLRIRKASSRSPSPPTTFLAIATLSLLISSAATDVCDSTYPISHEESTCNELGVCRVERTEDIFFTPETKTICLQVVSTNNVLLKFKLTVDHNFRKCQKGPIMFTKNVTVHADSSKRCHGMGECVDRKCLDVGPNSKLSEFTEGNKYPGHTYCSSSCGGLWCRCLLPTEACLFYRTYAVPTTDDKFQIYSCDTWSNAIHFTASLTFDNQVIEQIFQIREGGDYQINFRYGKQKDHEIDLKFRLLEVTGETGLSILGKKFIQNEEKIALASITNEIFPLECTESGDCNYRETCNCNLGDSEAICLCKVPDLFKILDDRNHNLPIITERYHLGISPDNIPTIRMRHNNFHLQLIMEQSYHTNIIESKIDCSIEKTTAFIGCYNCLKGASQNVTCKSKEPTHAKLSCDNEEFVDILTCDKKGIVNEIHRKFYQAYPKGVCTVSCGNKNNSYKIEGTLTYVSHTSLSEYFNQVLHSEKSISEIHPWNIPDYWTILNTIIKGAVPITLAIIGMLFTSAILYLCCIPACTNFLTRRRRFRIAMNGPSITSEIIEAAKQRAITIHTQRITDQTMRAIQQDNKPPAKCRLCKRNHLTYECTTIPQDQKLQKCLDQRLCILCLNKAFHHPTNCRLIKKPHLLCKNYHCGKKFAIHHASICDKAPEPVPITEMDEEESDQ >CRE02831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:348005:351967:1 gene:WBGene00063459 transcript:CRE02831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02831 MCENEDSDTEWFEAEGSHEEECPPNEHNPSEEAVRLEKELVTDKLTGSSEIPEDNPSNIPLDHMKNISPSEVEPATTKDKEAHVKISNVPDPQKEKNGEIETKESGEELLDEKDANEKRKQVSTPEDDQFINQESEGSKNEEYCFVKDIISRVAKLSELRNTPKKYAKTMLRDYSGMTIPEGTGVRDWKTPPIQVDGRIYVSNYAFLSEEERKTNYNYFILDGSRLEVFKKVTGQTIPGEDFMTLSNFTGSTDNDQFTIRTLASVTEKDGDDETYYVFLDDALQSISLFLQMQEANANLLNQDISVLLHFLKAPLAIDSKFSMTITLAQFESLLDAFDVDKGNITIVPDLIYEHTSKATIAEFFNPINTLSPRGIEIMSTSQAMLYTVQSVVCGINWTSVAILNSTAAVDEFKKPFFEIMDRYAKMERVDNDGLKKLVDEKIAYLKKHRLYMSIKKTNQNYLWATYNVNRNMPYNDYQKNIHEFQLPNYIGRVRKVPVWEGRVHFVLGWISSFYHQKMGTHKEFLMKALAHKIPNGMRKHQGKLLQKIVDSWTPGNELEIDRKILKEVEMKAEVKPKVSEDDFAQKTKENKETLKGPEVPEKLPEVQEAPVEPPASEAPKTSEDRPKRVACDKCFRTMTHCKEAQEAQKAAEKKAEQYEKKAKRTEKLEGDVKKMKKEMEELKEKEEKSSEENEKLKKELLECQERMKKMEVDNSKFQAILEEKEQNNQRMELEMGFLKKVIDDTVQESTLRQNHLEEDLGRRDRTILQLRETLSSRLANSNGTSDSDEPSTSSSNTDNWEEMLLAFKTIKENFPKNELIAEAKIMADKLMEVSKKPEIKQLAEYEMFRLKASIRIYLESIEINLFKIEKTHDTSDLVSLPPSPRLSSKFLTEYCQEMDKQPPPQADAPMIPETGIDPKTHCYICLDELSGKLYNCKGCNQKTHAGCSARWLLYGKTCGYCRRELLPSKSQRK >CRE02830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:346091:346916:1 gene:WBGene00063460 transcript:CRE02830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02830 MESIVSQLVQKEKSRKKQAEERRTAAVNAAIENIKEQLILKGYGTEHELKSQPAVLLHLAEILNQVNLKSKYPAEARRKSEEGKMPRDMETIVKAKREQVRRDKITDSLDSMREFITRNRLGAGYSKKLEQLTVVKIILKYIRILPVKETDAPNPFSIAALIAPPSTPSPGFPTLSPTPPLPNPQSPSTPGLPDLLPTRTALPYSPLQMLSFPCFPNIPPFLPTDPAALQMQLQFQMMVKNFLNQNKNN >CRE03015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:344550:345388:-1 gene:WBGene00063461 transcript:CRE03015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03015 MESIVSQLVQKEKSKKKKAEERRAAAVNAAIEEIKKQLILKGYGTEHELKSQPAVLILVAETLNQVDLKSKYPAEARRKREGGKMTRETEKVVKTKREQVRRDKIADSLDSMREFITRDSLGARYSKKLEQLTVVQIILGYIRTLPVKQEDAQTVPNPFSIAALIAPPSIPSPGLPTLAPTPPLPNPQSPSTPGLPDLLPTGTAFPYSPMFNCPCFPTIPPFQPILDPAALQTQFRFQMMIHNLLNQNKNN >CRE03014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:342223:342492:-1 gene:WBGene00063462 transcript:CRE03014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03014 MDDGSLSVRNVNHGKPVVYNLVDTNGEEIEGIFYSRELTKCTYDPSAVYRIEKVLDTRTHKGKKQSLVKWEGYPISFASWINSDSMISV >CRE02828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:339432:340061:1 gene:WBGene00063463 transcript:CRE02828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02828 MSAEQAPVEVPADAAPVAETAPTEPTVYYTLESCDGDEVKISSEAVKQSKTLNDLVSNLHGGAEMDESIPMDNIKKPALVKVVEFCEHHKGEPIPVDDDTVPKNVTIPEWDEDFLKIDNDELFHLILAANYLDIKQLMNYACKKVALMAKGKSPEELRVIFEIPTDEEDEAAEKAAAEKKKAKEAEKAAAAAGEAGPSAAGAEDAAAAN >CRE03013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:337545:338174:-1 gene:WBGene00063464 transcript:CRE03013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03013 MSAEQAPVEVPADAAPVAEAAPAEPTVYYTLESCDGDEVKISSEAVKQSKTLNDLVSNLHGGAEMNESIPMDNIKKPALVKVVEFCEHHKGEPIPVDDDTVPKNVTIPEWDEEFLKIDNDELFHLILAANYLDIKQLMNYACKKVALMAKGKSPEELRVIYGIPTDEEDEAAEKAAAEKKKAKEAEKAAAAAGEAGPSAAGAEDAAAAN >CRE02825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:331854:332387:1 gene:WBGene00063465 transcript:CRE02825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02825 MTDEPMEPVKYFKLESKENTELKISALAAEQSGLLSKMVKHLDLSADYENMEPIPITNISEKTLVKVIEWCEKHKEDPMLEDRLPDPPVVVIPDWDQEFLQIDNVELFDLIVAVNYLNIQRLMNYACKKVALMGKGKSPEELRVIFGIPTDEEDAEMERAAAEKIKAEREAAAVNSH >CRE02824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:323574:329819:1 gene:WBGene00063466 transcript:CRE02824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-25 description:CRE-UNC-25 protein [Source:UniProtKB/TrEMBL;Acc:E3LX69] MSAADESDALLENLIAKEILPQSGNWEGTEEFLNRIVQVLLKYIKDQNDRDQKILEFHHPDKMQMLIDLSIPEKPENLLKLVKSCEDVLRLGVRTGHPRFFNQISCGLDLVSMAGEWLTATANTNMFTYEIAPVFILMEKSVMTRMWEAVGWDPEKADGIFAPGGAIANLYAMNAARHQLWPRSKHLGMKDIPTLCCFTSEDSHYSIKSASAVLGIGADYCFNIPTDKNGKMIPEALEAKIIECKKEGLTPFFACCTAGSTVYGAFDPLERVANICERHKLWFHVDAAWGGGMLLSPEHRYKLAGIERANSVTWNPHKLMGALLQCSACLFRQDGLLFQCNQMSADYLFQQDKPYDVSFDTGDKAIQCGRHNDVFKLWLMWKSKGMEGYRQQINKLMDLSNYFTRRIKETEGFELIIENVSWPEFLNICFWYVPAKIRNLEPAEMRARLEKIAPKIKAGMMQRGTTMVGYQPDKQRPNFFRMIISNQAITREDLDFLIKEIVDIGESLE >CRE02823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:318108:322157:1 gene:WBGene00063467 transcript:CRE02823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-epg-3 description:CRE-EPG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LX68] MAKKQKKSTEKAERTVEFKEPEKPSKSEERLKPAGRGMKPSPSLNTLNRMERETIVIWKKPITTIYYALMEISNLGIELFFKILSHKLLLVLTFISIGLAIYGYHAPGRHQEHVQTIEKHILWWSWWVLLGVLSSIGLGSGLHTFLIYLGPHIAAVTMAAYECQSLDFPQPPYPESIQCPSTKSNIAVTFWQIVAKVRVESLLWGAGTALGELPPYFMARAARISGQEPDDEEYREFLELMNADKEKDGEQKLSMGERIKSWVEHNIHRLGFPGILLFASIPNPLFDLAGITCGHFLVPFWSFFGATLIGKALVKMHVQMGFVILAFSDHHAETFVKLLERIPAVGPHIRKPISDLLEKQRKALHTTPGQHSEQSTSLLAFALSAMVTVMILFFFLSIVNSLAKDYHKRLWERKRRQNKDLIDEENQKLEDSEDLDSEDMDSEDVPPSITPSSCPLLLSEEFEGVVVKK >CRE03012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:311861:315863:-1 gene:WBGene00063468 transcript:CRE03012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03012 MNFLIDFVFRTNIHIKITIFSREMSHDSPPEERMIYVGGFAEQVDEEILEELFIQTGPVVKVMIRDIKDSAAKYALIEFEDEATVLFAIELMNGVKLFNKEINVKPRNGTKQEELYRRKRGEIEERFRVMMADLGGGDRERDRDRRSSYDNRRDYQRDRSPHSRDSGGGRDWDRNSRSNSRNSSSGGRDSSWQPRHQNRQHKEYQLLPPPPPPPPLMGGGGGSWRNGGGPPAFNTPQMLPHQHFVNMMQQQSHRSAPAYTDRHQNQYHQNTPRHQRPEQQQYDRRRGGSDRNAANHRNSHGGRY >CRE02822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:302730:306524:1 gene:WBGene00063470 transcript:CRE02822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02822 MENEFRLIQISHSREAINKRIPSLRVIDSLFPYELEKLQVSNYFFQINDTEWSFNPQETGENHCNLRMSRGKQKLPIRRLEISHDAAYRKLCDAYIRNGTRARYFDFSKVPGFLSDRDPEEFKLKVQTLELSPLNTVADYEHLARFIELGTLKSVIYAMNSRNRGILDKPEIKTCKELILITRSRHFPLTLETLLGLQNEHLILQDTEFAHLHGLVETWLASDKPIGSRFSWGQTDYADVLNIHKRFEEEKGAVPWKHPRLGNSFYAHGVKLSMGEGRDLVMFGGSTKTEKKFNIAPWTFDMEIMAADV >CRE03009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:299775:300263:-1 gene:WBGene00063471 transcript:CRE03009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03009 MFLTTSLLLFLCKRGKKKSSDDVDSEKESTKQRETQTQKDAELESQLLELLEQLSDSDKKKKRKGRKKKKQKRGKLEKNEKKRRRRGDGPEDAPRKIPLNEKEMRIAKGLKVASGDYPTMDNVKSDWDPE >CRE02821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:294037:299030:1 gene:WBGene00063472 transcript:CRE02821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02821 MPPDKNEKCNACGGYDFSINDGFKYCDRCGALFENFEELEEEEGGIQQTLGQGKVKIKKNDGEERKKRVAPVLPPKTQAMEEALDKRSDFLRLQAVRKEELALPNESTPDYLYRLALRLFSFTQILGKTGHILVKELNFDPRVQTTILATFQRYLAHCRVAFCHSEQCGSDEQLRFVAIMENLEYEEQEREEKRRKKLARRGKGAKVLSKSAAAWTLLTQGNITEHLDLASDAEEDDLEEDEEMNVNVSKTLEKLELTQESTMFGEETVDVNDTTMGFVRKVTTALSREALRRASQLILNLELLVAVLHSALLSSGYKNIFISDVVRWIREDRFRIPRRAVRLIRQSHPDRMKTGEIEHHTAVDFAEPFLRLPLYEIARTSIIFNQSLQLDDQLISQNFETLASRVADNLNLPVDLLSRVLLLESIIPCDVSPKLLKQVDVSMGYNCEQLAALSPKLYYNGFMSSFGRKERTLREADICDEVLLSTDTKLIAYFLLAFRLTFDLDHAECSDFVDDPNCENFDIDTWIHQLEMRIKCWQGHDMTMVLRESCPIPEMIINSPFGSNYSYHDTKGVPMVTRHRRLVGFQKCIPSEMSFNSTSTLPTVFDVRHNRFPVERRQIEAMMSPLKFQRVILRKEIEQDQKSFKNVDSQSEKTFFMDFTTFQSSVNARSFNEYFPLASRYSIYKRPDWIQNCTARKSKLGPRFGPYRFYLSNQACDDLLGVATSSFSRRFEFLLESLSLLIGEDPKAVYAAFVMLEMHLTAPEKMQSIRNDLLVSIPITIDCQKFRSSTHHVPFKCNILTEEPLHRIEDLRYFRISRAQSDQEELHSNAYLMDLRNHELHDSLSSEEAKRVQKKIEKTLILISTLITIQCNWRWDEKVPKKPKRKPWNRDDSSITIAATREAELKYVLDEELKDILDEKAVETQFMF >CRE03008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:291194:292390:-1 gene:WBGene00063473 transcript:CRE03008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sucl-2 MATTLASAARAATRAAVTRSVYSDTRNNLMINKSTKVIVQGFTGRQGTFHSKQMLEYNTNVVGGVSPNKAGQTHLGLPVFGTVAEAKERTGADATVIYVPAAGAARAIHEAMDAEIGLIVAITEGIPQQDMVRVKNRLLKQNKSRLLGPNCPGIIASGDCKIGIMPGHIHKKGCIGIVSRSGTLTYEAVHQTTAVGLGQTRCIGIGGDPFNGTNFIDCLEVFLEDNETKGIILIGEIGGQAEEQAADFLKSRNSGPNAKPVVSFIAGVTAPPGRRMGHAGAIIAGGKGTAGDKIEALRNANVVVTDSPAKLGVAMQKALLG >CRE03007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:283024:290876:-1 gene:WBGene00063474 transcript:CRE03007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-18 description:CRE-ZTF-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LX60] MMPSTSNPNEKNLEDEGDPNSAQIPNPEDDQAEELEEIIVVSGEEMQANGEEMQVVDQEEIIFAEDGEYIEYDAIVSVSSERNLLYDMYQPQATVNGTFVCKICLRTGKNTEYPDRASFVAHRYKCHGSFNNNVICPIADCREVYASLYTLRRHLSQQHELPIEIHLQTFTNIGEFEKFRHLIELASGCRYMMHTKQPKYRRQVMHCSKSEHKLVLQTQKHRLPRERMLKEGSACPSVISYRVNASNGEVHAFMQLYHVGHAPDSDAEHSNADAARPMDVVFPLKPSFWADRPMQFVQIDVHEMPPAVYGSKVYESILIVMCLKSKFMWAKPLYECTRTAIGRLLNTIFNEYGVPEGFSTTFHPTYIRDTMKSLESVYAIDIREVWNEPPAYSLLERWVLELAEMDLLTRNRWVEQLQFVVMEYNQRPIPERLETPFERMFRRKAPNLYHNGQQQDMMTAKFRRYHEELRREDEGMEENLSATFEPGQKVFLRKGITKPRRGNNTQFYFGIIGEHDPSNQYYPYKVHYSSTDSPWPTERNMFAWVSVFDLLPTIHGISEMTNMEKEESIASYMCSCPGIESNKNFDMSAVGKPARAASHCLLFRNLLCSNHMSRYCCKTLATGPCRYHSLYPENDESYAKMDAVLTAFLAQSKDIEKRELRRDKTMEDPGPIRRLVQAEIDRMRLNGEDFDVIDEEEFAPPDMEQHHQMRSHEDVQGGSTEQIDIEGIEEEEILEPTTSLEHREASDVAFEYEDPKEDGQPGPSESQSPLKRNREKSPEESQKRKKVSDDSEEFLPSESSSMPSITTSPSSRRASARRTIRPKNLEDYIVE >CRE02820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:274594:282843:1 gene:WBGene00063475 transcript:CRE02820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02820 MQCSSNTTRQDSSLQNDSMISSPTAQTREPPGMLRNQPIATTFTQFLYAKNPIENLSRRTKFPTPSTSIPDPLFAELLSNFLISEGIPLEVSRDSTFQDLIRHFNPKCKIPTENSMTEYVEKHYVKPLINYPKTIGPISWTIDIYDDLDEKFLVFSIHYFEDIYERKNAVYLKKISDNRIDAINILNTIRRAVNNYSFRDVMFSNLVGSNQEILRMNGFRDLVNQFHICFYRNMSNFVTNLLRIDIFSHGLDVLRRFIRLIKGSADLYRMFENFQSARNQETNLPSMDNDSWESTYIFLTKCLLLHESFIDFCEQYKIRLYMTDMVFNHLIHLQRLLRQCVYYCRSLSTPSSSISQIIPAIEGLRRLIDREFLFQQEKVQELLDLSFENYYGNSYEVAVLLDPLFSYTDIFPEEKWKYLENLVIEEFVNTDWKWTVHSGILDATMMNSRERITFISSEITIYRQFSMRERPEESDCPFLWWAERQSQFEFLSVMAREYFSCPAVSIDASFYFSDGGKLHRLCKMYSGQQLEQSLNLAASHQEFRGKGASEDDITYSMIEKLDGLTRKPKTPYFQTIRKVVSNKPEPHIFVPTLLPSSMEESDVKLESFNPLEPHLDTTTATTKHKSKPRTRSHCSICNDSKASELLWYFKRRIERLILVLGCLSRGFFTVMCAEEMMRKYTFYVCSCHIWETIEEIYEKLELKAPKDLYSCSMELFENMFNSVAHLNPGMTKDEFQEALFEFFIKYENVREENETRPQLSWNKVAKVKEEPSRVPEDDEDEVDPLNPELTNSYDLSTPRNRRCTICRLLRGPGDIKSFRRECDRLLIIIGCLVGESINVRQAEALMRKTNIYVCNNHIEETHREIYKKLCSAESDLGGEPVKIQKMMDTVTLLMPELELSTLQRMLNEFLNKYNYLMSEEQKYVSKPQESEEKGQENESLDEGEESDYDPDDSDYSPDSTWPMKNLPEESTSSAKKSRKRKDSDENWEPSKKKQMMTRYERSQYIDYLANLNSVICEYIPKQLLKKYHNMGLFGYRGALDFIRIIMNNSNNNIRMYGTAEELESDLRRYWDLYPHHLRFDLKWIETGRMIPTKFRNLKGELFYCKQELFLHFQVATYTAFRDTSKPIPEEFKEYLNKHKARLFGCYEFVKYDQTIFDELKNTFFHSENLNDFVYSPDEITILPRRCKNFIYQKPDLSKIGPARAGPKFLLDRPGPVDPDSKMGVLVPAPPDVNTFEKLIKDYPDVFLSNCDVKGAKLTAVVRIFEDDDFNNDQKFVMESELFNAVNLLYSDRPPLELREFHGILRTRNLEEVFTTYRDFIQGIEFIRCPIHRTKHAAVPIATPTGGRCILVADFLMETVRLLIFGLNIFQKLKKNTWHLMEEFVDTLEKIIPAELDGTSFIDFKIVKEFRREADEYWKDFEENPEVVEVREVGENGFTSKDLEDELKYLGLTDVFPNILHFAKGIVPSMVAEKNGLGLKTSDMFDALETCQIGSFFYCFPKILDIIKTQSLCSTNTCLASVPTMKTSRCIQMKKEDVTAKIEEKCAVAGLQNIEDSSVEQKVSVKGTPDSEKKIIRSKSSKTEIKQQFSKLVAPDKPSISEDHQVPENKQPTIKNCDKCYRTSQWCKTAQDGQKAAEKRAEEYEKKAKRTEKLEVIVKKMKKEMKELRERDQKINEENEKMKKEMEKLREKVKKLEDDNERFRRQLAEEKHKNETLDVGIKQLKSIMNADRMESALRQNHLEEDLGRRDRTILELRERLASQLKMIPINSTVSSDVTDVFGQFTSISEHNNWREMLSELQKLKTEFPAADLMAETKNMIDRFVAHTNLPESKQLAEYEIFQLSASIRIYMETLDINIMKIEKTNDCSDLLPLPPYPRLSPKFLNQYNEQVDNQLEISSDSGLHRRLADTGLDTNTHCYICFEEFEGKLYVCKGCKKKTHEKCCSKWLLHEKSCPYCREKMLPPKIDSK >CRE02819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:270730:273060:1 gene:WBGene00063476 transcript:CRE02819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02819 MVSDTTSTSFDSSDDSLNEESLNSRYAKKREAAKRRKLQLQQRRLAKKGKIPNAVRIREMTSSSEFESPSEEPGESLIEDHVELKETSETSSGNPDSSEVVESTEAITGRKSITRRLGNIFNRVHSFIGNLKKKTPESQKSDPAISLVEKSDFDECKSLQEADELQVVRSQSTGLQELSDELEIGEDNGNFATENLEKLEEKATGFSNNLVPAPVTDVTPKEMSTQEVNEKKLTFQEYLAGLNRNGNNMETRTLDVELVGDEQFEEVPEVHDQNTPTTSEHLPRVKTLKKLNQEFQDIASKAKLEISMVEETMRRRREGVEEEKSMVTEVEEDSRISEELFWKVMREAAEEGSISFHLFQEIMKKRRESAEKMKTSEAEPKEKEEEEVKTKKTENLDMTEKTENLEKDTTDYKKKFLNASKHCREAQEEMKLAVNKAERFEKKAKRTDELERKMKEMEKEMQRMNRKLAAQAEQAEEIEKLKAKISKKETVEKQLRVEKKDLIQQNQSLQLELYKLKASSPNSSAPSTRVVLHNLDELQKMKDDFPRDELLNEMKEMAEKVQTISNSSDNVKVAEYELIRMEKTINIYLDTLEINIQKIKKTHDNSELLPLPRVPCFSEMFLRIYFDEIDKLPTDAQGIPDTDCLICHVFRESDEKTIQCATCNKVYHLDCAQQWFKTKRTCPHCCEWFKPTKESMR >CRE03006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:266701:268834:-1 gene:WBGene00063477 transcript:CRE03006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03006 MVDMSINPTLESESTKDRFEKKREAAEHRRLMLQQLKMMKKGKGPVRIGKPDDKVFRQFFEEVKSISSDRTKSDRVFESMARATDRFYEETGIQRDNATAVLRFFGLNVVNAEVREESITNPTVRKIATATRRHVQNNEEKEPVKSMTELKTVLDAMVPGSLNKVFSQKEQEILENIINDGGLFVKKGKGDLEGKVVGFTDKDILNVPLKDLPVSECLSLAQEEVDKWMEKLRTTPKRAEITTQKPGTPEKPIAVNEEEPKSKPEAPGFEEVTVPAQTKKEQTVKETEESFRKAMKEASNKGSILMPVLQEIVRKRRESAEKTKASEAEPKEEEVKTKKKKTENLEKDTTDYKKKFLNASKHCREAQEEMKLAVNKAERFEKKAKRTDELERKMKEMEKEMQRMNRKLAAQAEQAEEIEKLKAKISKKETVEKQLRAEKKDLIHKNQSLQLELYLKASSPNSSAPSTRIVLHNLDELQKMKDDFPREELLNEMREMAEKVQTISNSSDNVKVAEYELIRMEKTINIYLDTLEINIQKIKKTHDNSELLPLPRVPCFSEKFLRIYFDEIDKLPSDAQGIPDTDCLICHVFRESDEKTIQCATCNKVYHLDCAQQWFKTKRTCPHCCEWFKPTKESMANNNINNCWKL >CRE02818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:260471:266426:1 gene:WBGene00063478 transcript:CRE02818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02818 MTAPTKKYQSSKMSKVYIDWRSEEGDSVYMIGTPERGGMIPNNHYDRSISTRSLFMLDGNDMEWLGTTTNNRLRKTAVGSLTAFMKIRPEKRIYIRYFPLVQDRVEKKRVVTDEVLEIIPLVLKQQKTPIAGNDKRLKEYRRKWKVGEKEGECGRTIDMKEFEDVLEEFDVNKELITLVNDTFFTAYAKDHKGVGTNVIYFASFSMDEVVFPCHAALHIFQSLVSNINWKLLFTSSDNKKNVKSLKEEMLTHISTFVNMNPGSLVRLVHVRKIMLKLGLLKNRFNILKDPTADGEFKEKKYDDVISTSCFHREIARFGLRDFGFNPEPLDDDLMEVNFARINILLGWLDYFLDGEDMVEMKNMMMDAMAYKMKKRHRAEFFNVLNSAPPSPSHPKYTKSKLRETRMSMETVGTRPNGVYIGVTKDCIAIEPNLSMTPRKNWEKRNMKMFILDGEDKSSMVKGDPEKGSSGQWEDARLDSLTQFMESLNYVLLSNHRVFQIHKPDKMIYIRTFKTVVDGEEVKRVQAKDVFEIIPLALKQQNCSIYDTDPSLQVYKEMWKSVEHKKSILHQTIDIEEFERVLEEFDINKSLITMVNDVSSEMTALALHKDGTTPISFLSHDGQQVMLLGQAVLYLFHILISGVNWYLDTTDGEYKKLVRSVMRQYSEMGRNLLIPMQLILSEITSLLKHCLPVLKQRPSVGVADFKLKNIGPMEKVDVKHYNIICGCFNLPYSLNTSEDRTKKSLCHIARQYFSIGFIHTFFCCKDIEIKYILRDALMWKSPKESRALVFQIMNSAFLYNHAETKALSTKLLELEVTSDIKDIDSEKTKEKTSVTTTTSAMSMEPPASESSGKSDLLKSVSSEPYKSSPEKSLICLKDGHSPIKVSLSPDGYVKIKSFGTNDEMKNFLGDLGVDGLTDEQLKTGQFIGFKDMKLVRRDSSQSTSAKMRAETASRLQILEERKAAKKQKQGKTAQAAKSPKVFKHQEEPKNIQSSSEILDAPGVNLNEFVDKPFRKLKKSKATNVQESGVQTDITYVKMLVKNMTNHGMTIGKIELVDDLEGEIFGTESLFESYLDECNQKRIYNEGSVTKKKMNSGHLI >CRE02817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:252605:254051:1 gene:WBGene00063479 transcript:CRE02817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02817 MYYPYAAHANQLGQLAGCGAPIYQSGPSTKTYVHQCPALNATIFKVVQRDGYSKDFRFNSKTQKMVQPPVTVVNEEDLYPEYGIFSDRLQKEVMIAFNRVTSKMEQYIYSLESGQFEQVEDPDLKYHVGNRSPSSIVMIIDDWKGRMSIEKGKDGSVKKMVWVRGQWIQIPSRPVKKLEESEEVREEAEKDPYTRTLPQYKIRYCPHSQKEVIFYLHRDDYLTFAYDETTREMRGGFQCFLCPRFVSESHLFPRYSEFSTSLNTHVIHVWNTETEQMEKYIYDASKFQFQQIYCPEAEFNPEKSTSSSILFVASLENTKSIVMKGNDGRLKKEGYCMIRGEYVDIPPNVVRTFLVQRREQKELKLVKMMENTKIIGFENEDLGAETEYSEEDSSNGSSESEEEFSDEEYSQYSEDEEEENTSDDQLESEDEGSEEVSDDSEESSEEEDIASGISHLQLLMEMEMARLRKINRLVSAF >CRE03005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:238233:247896:-1 gene:WBGene00063480 transcript:CRE03005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03005 MRPIILLCLAVLVLSRAANTGGFKDDHPDKGNEIKKIGKRAASNDVNTGSGAPGGGGNPGFSVNDPTTSDETATTPKEVSSSVSATTTRGNIVNSFDIVSRGVRGNAAVLPGSTSSNLSTTPLTKPHRDSNEDSSEEELYESSSKVQISTTRTTGETTTLSTTQSSSENEHTETSTLPSKTTSTVLERTDFTTTHPSGTSPTTVLTSSTRSQSSSTTKPLETSSQFTTKTPLVSSKTIEDFTTTSAFTTSSLNQLESNDNSSEEEASSKSRTSEATISTTQDQSSSTTKPAETSSPVTSKMTTSPSTETLTQVPSETTTLKTSVNNPEDSTTTRSSTTYSSKKLETSSIVNNSEKESTVSSSRTETTSNPVTTSSTNSPSSSTTSPFPTTSRVITTTKKTPLTISQLVESLEEGVEYTIDGVQVVTCPLYKTTTTTRKTTSSTPAISGKSTGTSPTTVNTIETTTPKQGSSAATTSSADMTSSTSTRPGSSVSNSSPITSDVTDFSTSSSRSPSSSVTSSSTTKKPIDGDENGESKTTPSTTNTSKQDITLSSSSPTSQTSTTAPVSSKSSTTASLSHITETSSSSGSTSGSTSDVTGSPSSSPKSTTTSISIDKETTRITSTTTDSTDSSSGSSTSTDVTDKFSVSSDSTTPEVQTSAYPTRDNTTTSDASTVVTEIQSTTSATSPPTESRSSTDSSTETRTTSFGTSTMQFAVSTSQGTLDFSTDLSSEASPSTTSSVDVESSRGSSTTFDLSTTSGIDITESSSGRAQSTTLGGSSSSSSDSTSTTEKTPDSPLQETSTKAPGTSDDQTKSTEGISSKTWTTSTTKTTDATSTSELETETQKATTTSSGSVSPLSQTSRASTTVIGSSTVSKSSPSYVADPTTSVETTQPISSAENSAVTTPSGTSEASSGTHITKTSSTTTSDSGKATSTTSTPTSEKPAPSSSTSTSSTVSSAATSSVKTTSTPKSPETTATSHSTKTTSSTGSSAPSSRATATQETTSNDVSSTTTENRKTSSPSTAATSTTSKQSSSSTTKSSTTRSSPLSTGSSSISPKSTTSSTSMKPATTPKSSLPSNTTRKSSSPSPPSTPTSSQSSTSTRTSSSVKPSPTSSTHSTSPRSTASTTEDPRCRQQCPVGYLIGAKYCYLLYRGSSRINSYQAALSECKTVDRRTLASFDKIENKADIQMIQQSAALKNIDWIYVNGIGGRAERFMKAASVYSIYNQTVVGTPIIKTVGISKTAENISALCVIPQYCNQNECNIGRLFMAYGYFENFRHSTDLIHPQQTATVTCIYGNQKFATVTCNNLGAIYPSPSLIDCEEKKYMRLEDTTNQTVANCGQCYLRGTEDCKVVDEKAKTFRCICNKDYYMRTCWKMFNPCNATVCGPNAKCGFNGTAMFCTCGWGWTGERCDRLLKEKYKGNLGYSTTVGATISLGGLLFRILKVCIIGITLSEQDDDPQDTHQCFRSYLMAAAGIIMTLFSNPTVFGIDQPTCRFYFIALHFCYIMAMCQWVWEGFNVNQVIRFVHANEWERDWYGNRPLGVRLAPRMIGTTFVVSSFLLITFQTGWYRLAAEWTCVGVVCQETVNIWFPIFFGVCILAITGLGIYEWSFLIERRRPLLGYMIKFKIERELGHVIGRRIKKCRDNDFMALMGLVLLIIQWLSVIFSSDRRDDPMWGIITVVVAGIYSAFCAFQEIMTCPEVRVLLLENYYNSIFQDKAKLVELLQRFAPDFFAPAYNETTMWSIFEVRQMFKLPEEEREAAMEGYLTMNEQLYLHHRWNLRLNHFLGEELVSVEPDDKINEALQRVYLEEMRRIEDNNGTEEEKRSVQQAFTEYYDSIPYKVTPDAGELEGRLELVTLATEDPNFGIRLAKFFIVPEFHTFQPEVPAPGQPYERWREALHERRFYQNLEKDIYLITRQAAHEQATFINSAILFSVYGNNVAR >CRE02816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:234558:237703:1 gene:WBGene00063481 transcript:CRE02816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02816 MNRTNINVKECIICYNEYDFETRKPCIGICGHSICENCKHQMIYSICPHCKREDAFAITTINYQVLEMIQHFKNMHQYLLEDSKGLEEGTCSECTIRSQKLRLCITCAVEAGVLKHDETKKEFVLNIVNNDTEAALHRAKRFAICGDCALDGTHHEGHKTMQLAVLMSNLEDGIPVVVERKVDDIRDNGNKVFEVLKLKYETTIDSLKIYFEKFKDLPVDERKQHFNNIEASIEKVKDTLALVRDAIRRFEMLNEEFEECLDVVKSNKKAVTRVNTVFASMDPVVVADRKQSAREPLLIGVFNPKNQFWTPSGRMPNPKSNYAVAIYKSQIFIIGGIFNGSFLQNVEMYDKDKNLRRDCNRLKRGRTRTSAGFHNGKMYVAGGYDNTYMDSVEVFDPDHGDWKDGPPLKRPRADGAVVSCNGELYAVGGFNGKEYEEKIEKLNEQTQKFEEFGEMEGSRAGFGVCTFRGRIYIAGGWSSSSNTLKSVRSYDPMTNSWRDEPSLNKDRKYFTLHATSQTIYAIRGCADSWSQITEVEKFDVDRQKWDIISCTEPQVYQSKVLANTCSK >CRE03004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:224763:230104:-1 gene:WBGene00063482 transcript:CRE03004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03004 MNFAFSLENAAFHSELLIKTIDNYIPAPLLKNAEQAIQYLNSLQHHSINLKQVLQSFLDKSDNQLKMYGTVDDLLADLKIYQNFPLKHKKFGIAGHTIEATLDYQKEPVKYKSLRGELYYNKNDFLHHLYSRIHTKYAANMSGSSPEVLECMKRNEKKLDGCYEFFRCDHVTLPEVQQMFLNLINNLHDKGVPLAGMDSHLRNVFSQIIQNVNSAIPATSEEKKSDAELLQKIIDSYPRMFLPNAELLDRSSASIVVRIFEDVGQKFVMKSELFKDDRIPNSDESFQTLKDENGIIWTIDANEVFKSLGDKIGFIEFISVPIQRAKHAAVPIVTPSGDHCILASDAVYELLRRLISALKVFQNLNMNDWQAVTQYIDLLNSVFPLNAVSIKLFGIDFNPQFQTHKFFIDTAKFEKFKCKTDDFWANIQTDSSKKVVRDVGIYGFDVEFLKEELKILELSTAFPDIIDKAEIAYQYVFKMRRGPTLETSDLIDAVEVCQLICLVRKCPQLKDFFHNQNYCNRIPGVQCNQCSSPPSRNPTLMPWSDTSDLKEIHEQHVVMRFPRGLINVREIDWILDLPNFKTITTNFRFLSSDQISKLSPKFFLVDLDDSRHIKTDQFEEFMLNEESFLKYHPNRKMYIRTVPIALNLHVFTDDVLEICSTLLKQQNVSIKVINDRLKKYRRTWKKEEDYFYNLIGLDEFKSILKELKVNEQLITFQIVPDMVYNRAQFRMSDASATDCVKVYSPDGKIVMDSSQAIFYIFRTTVCGINWKLESCKTHPECRDNYKKRVINLMRKYADMKEATLVFLGHVIDNVKALQNHCTYKQQAQLRLPEYRLYDYHPTELIYVSDYYSIADAYGLRKFEFDSNVKNAMTNIWTYRAVYIAGWAEAFFCEPELDDLSIIIQRTALNVIPNDIVVKEQKTLSAVLNLTFNPPNRTINQVKPVEKETKKCELPKASSSNSKVTKTTDLGEKKKEVVSSEDVRDLGRVQEVPKSPIYEAISEKPLIKNSNQNEKESKKDLELADLYDRNVDDLKKKLTEAEKRLEMTEKKKALEMKKQTEKYEKMTSKCENLESRIKELEEENAAEIKEHVAKYEQKVKKYEELEKKMKEMGREMKENEKKMKELDMKKDKELDEYKTKMIKSDEAKDKRIRILCKNALDSTKEIKKLKSTITNLEAENVKMKEENNQFVQKLAQKPNGLEGKEKQISEKNRIIKELKEANRKMLLEKEATRKVIQETISMSSSQLQMLQRLLSNSPSSETTSETAPIGNRETSDSFETQLRNLQRHRDWMSDKKEIEPVKDMVKRLVSLSNRPDIHLFANYELQKYEGNIQNYLQAVEVNIKKLQESADLSRLPPLPEIPQLSDKFVSELWSEVDRARAHEVKDSECYICSDEMKENEKTLECRHCKKITHWECASKWLKSKQTCAHCRQKQLDPNEYPDLPNNFSS >CRE03003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:221942:224000:-1 gene:WBGene00063483 transcript:CRE03003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-copd-1 MVLIAACVFSKSGKALVARQFVNDMTRARLEGLLDAFPKLIGNEKEAATRQHTFVETDSVRYVYHPLDNIYVVLVTTKNSNILEDLETLRLFSRVIPEYCRSNDEKEILANAFDLVHAFDEVVTLGYRESVNLAQIRTFTEMDSHEERVFMQIKEAQEKAAKQAMAEKAKELKRAQKEALSRGLKPSYQSSTGISSSSTPSAAAVSEPVAPRPAAPKGPIGGGKALKLGGKTTNEDDFLNTLRQQGQSITPVEKASLSGGTSSLAAPISTAPRVKREAVHVRTDEKINARVSRDGGLEAPTEVTATVSLSIASPDLNSIAIQMDNKSEAGTQLQVHPNLDKKEWQTSSILKVKPNGKPYPVNSDVGILKWKMVLAEEEQLPISLNCWPQESSDGVQVNIEYTLQREDITLNNVRIIIPLPTATAPVVGECEGAYEYHKTKNVILWSMPVIDSSNPSGTLEFSVPNGHSDHFFPVNVNFTSENLFVPITVRDVTKSDGSPVVFSVETNFNSENFEIV >CRE03002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:215686:220272:-1 gene:WBGene00063484 transcript:CRE03002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03002 MNVEPGFKKYYDRVTISKALSDYIPAPLCEHFDKSIFDAKKLKSSKETIRKTLQTVLDNSNKQLKQYGNCDDLLENLNIYMNFPLNYKLFGDPEKDLFEDIKSDKKPYQFKSLKGSLFVLKQDFLLHLRMQVFTNFMKTMYQPAPEVMEFMIKNERPLNNCCEFIRHDEDLFTEIHKRAKELKGNSCFELDEFIDILHPLMPIMNDRKQNEEANSESETTKSGLEFMQGIIDSYPYVFRPNSEINSPDVPIVVRIFEDGDHKFVMKSELFKDSRVKNSDEKIQKLKDENGILWAVDYEEVVEKFNDQIDQIEFISVPIQRAKHAAVPIVTPSGDHCILASDAVYELLRRLISALKVFQNFNKDRWQMVTHYMNFLSSKIDLEATNRFFISTTLFDKIKEETDNYWLKRSRGNSKKNQRVTSPKLVRDVGKLGFSLEFLKEELKLLGLTKAFPDVLDHAERSYSLVFNQRKYQFLRTCDLIDAVEHCQLTCVINKFPKLSEFLCNQKMCSNLLHRYDYIDDKSKKESNIEKSTEDSNKMEETETVESAGIADGESEEVLINRIKDSEVSIETSEGKNEDSVTIPENENSYFRSLKEPEKEEVTEQKNEIESTGQNSESTESNESTSCLECLKMKEMREELEISLEKIRIEELTHEKILENRALEKMKESINAELEKLRLMNNRIQSIEENKLKEIDRMRVEIEKKNAVCEELREKWLDCSNTMKRSEERESVIRETVKRIGKLETGLKELDDEREQMKRNNAKLLKRKEKEIETLITNAANSREEKRKLKLENKEMKRKLEQEIQSSQQKSDKYQTELNRLKIEISEKNRVIEELKKENSELISDQEISRKELDDTMSRISIYQEKHAKIFRELLGGSTTSMATSSESSTSKKMPSSFEIQMNSFQRIKDSIDINNSLKLAKEMVEKLISLSNRPEIHQFANYEFQQYEGKIRNYSQVVELNIHNLKVSCIYFSSFSKISYFQKSGDISSIFPLPEFSSFSDKFMTEYWTEIDKKPSIELSDTECYICFSEMKSDEKTLECEHCKKITHLEVGWRDFDAFSISIMFQCASKWLQIHRSCGHCRQKQLDPNEFPTLS >CRE02815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:214694:215586:1 gene:WBGene00063485 transcript:CRE02815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02815 MVVVVTDSPPSPPPSKFQNYIISSNDVALRAASESLTSSGFNSTIVTSSLSGNAAEIGRHFADLISSKSIDKNKLLAGHQFDLHYPIALLFGGETTVHLSENPGKGGRNQEMALACLDALKSRNPEYKFTFLSAGTDGQDGPTDAAGAIISNEDLQNPMMSSTSEYLNNSDSYSFWSQFNGGASHLKTGPSGTNVMDIQILLLEKEGVL >CRE02813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:205264:210240:1 gene:WBGene00063486 transcript:CRE02813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02813 MSQQNNHRLPKTGPVAPTPTSPPPGTPSPDDPSPVTSSNADPVARIRNSTMRSPRGSSSSMSSEYLEQQADELPNIIISEEPTSKKPRKSILKKFDDGVEYDIPGTSSRRRLYIDVPNGANVPSDSSNKRKMEIIVQSCVQSFCPKGATGKAITELAAFPTLTRDVVIVSIGKYAMEMAEGAIENLGMFNILKTFVFAGPNAKKSMERCEFYNAPKKFEISCFAKKYVNPTDKVIEELQKYDERYHEEYDDSGDIIKVHPKFIFLISQGGEDYFFSSREPVMEGEKRLPLEGKVKIVSKFKDYNASKEQLAVVRKILSRVKAGGIINYIKNGYCHGFYMPESLDDEMADISGGPTIYPEYEDEKNAVKKIMENLGMEDSMFNEFQWSQLETPNDYYNRPGLYRNQNKMIVSVPLLFESIREKLVHYDTSTPFGYKRGKTKNIGRLFTEMLCSQHLGDTALTRNTICPLPTTFPMALISGGEFGPMDAESGGEDFKSTTPVQELMLDCLMAFEGKEPAYEFTLTCVDTSGDDGTSSLSGIVISNKDVKKMKYLKKKGREISYSDPIEFWWLFGKEENKIGFKGAVDMGIIFIVTLEKSFEEERKRFEEMNKMSEREKIDAEEKRKLDEMLEEARRKTLLTAEMNSKQRKLEREMKRLREEQMELKKVEKKKRKRNDEDRERHVEDEGFHGGDNGEERNEEHQNVEEEGSSSENKDDPLDELPHGEGTVWHGESRHDPRRITEPKICLKCGTENGKPWRWIPTARKWACNNCGQKLLYNLPKKGGRGKEKEGNRQDRRDEGNDNEEEKEEEGEEDTTEPEDREQHMNVDGSHDGDNNEERNEEHGNVEDEEGDAPNQQKELLRNDDYEEEEKEEEEEEEDTTEMDKLLRGDGTVWNGESRQDPRRKIKPRICLKCGQDTDKFWRWVPTARKWSCDPCGRFIEKYLKKVSFEQAEKQRSEKENEKTKNDRIALTTTTSISIASLTFFIFQVSHLY >CRE02812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:195654:202768:1 gene:WBGene00063487 transcript:CRE02812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02812 MHPPERDELAENPIKEMTGEELSYSKNVYIFEGPGNNPLPIPTEIQDWFGDHGRQIHRAALEIRRYGLSKEYDQHTDLLHDYWRHPDILQDYFICKNKAFLKMREILREIRFESPSERGPMRSFHLCEGPGYFIDAVYMALLEERRGTEEDIKNKLWEWGANTLNPYFENESCFKKLIDDSHIREHRDRWFFGPSDDGDVKKLTEDYLIKNELAGSFDLVTADGSTDTQGQEGQIEEVVASLIRAEVEAALILLRKNGRLILKVYRFCALDTQDVMMLLADNFGSIRVFKPKASRPGSSEKYVICEGFGEKMSLDVGELFRCDEFFVSKQSDRMRMHVKSFVEKSVPYTWEDRKTYIQEMKDRYYTAARHKFRGALNRLFPSGTLKPPSPWLKMYGHNLVRRLHEANIDKAIEQHVQAAEASDRLPRVHRESSEIIADIMSAKVMCVTSDIPLIVKDSLFLEPITHVACQRRQPDCYDLFEKVSTINRDLGDDWRKHLEKIDHRWTLKLVPGITIEHILMAMAELYDDGETFIFRIANVPCDKPPLFLSRLSASMQILIELIFDDYSMTAECCVEFSDMHSYHDDDVRRVFTRLLAEIAELPCGTSLRCFVPIELLDYYHPFVCYRNWLNLESIYENVLYFRPERDWPLVDQLMAEAANVNTL >CRE02999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:187758:190314:-1 gene:WBGene00063488 transcript:CRE02999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctsa-2 MLFFLSLVSLFVSFCVAAPADQEITTLPNLTEPLRSKHYAGYLQISDAKQLFYWYVESEESPSTAPTVLWLNGGPGCASMEGLFIEMGPFRVRNDGEEVNRNPWTWNRIANIIYLDAPAGVGFSYYNTTGKKVFKDDEVAQDNFDALKMWFDRFPERKTNDLFIAGESYGGTYVPMLSAKITKATDVFPQFKGMLVGNGCVDDKINFNTNIMYQYYHAVMDESNLQNVVQNCCNGNIACDYYSIAQQNSTCGDLVNNLSYSIYFTGYDPYFLYFACYLNPLLPYPPHEEIARPQTEVLRNHLIKKITGRQPASKRFSPPSIAIHGQPACASHSDHFAYLNSPEVRKALRIPAYIPTYEMCNNEIAENYISQYTTMKQFFDTVIGAKKHVAMFNGDADTICNYVENSQFIFNTLKRPVKTPMTYWNDPNQLPMAVGQVTEYDGITLISVKGGGHFPAATEQKPKESFQMFQNYVKNQNYSTPVTFDKIYVGGSTTVAPQSTTKDANEQKYLLGFLCFILFAIL >CRE02811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:183942:187504:1 gene:WBGene00063489 transcript:CRE02811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02811 METTQPISSAENSAVTTPSATSESSAATESTKTFSTTSGSTTGKTTSTTSTPTSVKPAPSSSTSTSADSSAATSSVKTTSIPKSPETTGTSQSTKTTSSAPSSRAPYSQKTTSKDVSSTTTENLKTSSSYPATTSTTSKQSSSSTTTKQELTKSSTTRSSPTPTGSTPIAPKSTSSSPPTNSVKPATTSKSSSSSSTTRPSSSSSSPSTPTSSQSSTSTRTSSSVKPSQTSSTHSTSPRSTASTTEDPRCRQQCPNGYLIGTKYSYLLYRGSSRINSYQAALSECKTVDRRTLASFDKIENNADIQMIQQSAALKNIDWIYVNGLGGRAERFMKAASVYSIYNQTVVGTPIIKTVGISKTAENISALCVLPQYCNQNECNIGRLFMAYGYFENFRHSTDLIRPQQTATVTCIYGNQKFATVTCNNLGAIYPSPSLIDCEEKKYMRLEDTTNQTVANCGMCYLRGTEDCKVVDEKKKTFRCICNKDYYMRNCWKMFNPCNATVCGPNPKCGFNGTAMFCTCGWGWTGERCDRLLKEKYKGNLGYSTTVGATITLGGLLFRILKVCIIGITLSEQEDDPQDTHQRFRSYLMAAAGIIMTLFSNPTVFGIDQPTCRFYFIALHFCYIMAMCQWVWEGFNVNQVIRFVHANEWERDWYGNRPLGVRLAPRMIGTTFVVSSFLLITFQAGWYRLAAEWTCVGVVCQETVNIWFPIFFGVCILGITGLGIYEWSFLIERRRPLLGYMIKFKIERELGHVIGRRIKKCRDNDFMALMGLVLLIIQWLSVIFSSDRRDDPMWGVITVVTAGIYSAFCAFQEIMTCPEVRVLLLENYDNSIFQDKAKLVELLQRFAPDFFAPTYNETTMWSIFEVRQMFKLPKEEREAAMEGYLTMNEQLYLHHRWNLRLNHFLGEELVSVEPDDKINEALIKVYLEEMRRIEDNNGTDEEKRSVQQAFTEYYDSIPYKVTPDAGELEGRLELVTLATEDPKFGIRLAKFFIVPEFHTFQPEVPAPGQPYERWRETFHERRLYQNLEKRVFYLEIFQDIYLITRQAAHEQATFINSAILFSVYGNNVTR >CRE02998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:165622:171446:-1 gene:WBGene00063490 transcript:CRE02998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02998 MMYCLQRELFYKSMSFSFFTRKLRQVSKNLAVMNRKKTNKNKSVPSPSPLFSEPRPDGKVPYFIDPRDPKAREAAAKLIADQAIEESDGRRAAGGTVFNPDMPDEMRVTLERLAQSSNIIVPGFSKVKGHEEEYEKMQEVLRKKDEEKKENGDGSNTKFINSKLQQCPPADKIGENYNNGVFYGKYQGIGDLVPNFAMFPDDFEGRAESKFYILNDLDRSREPPTEDFLCLTNILRYFPDKKLYLRTLSPICNGIIDKRVVAEEVLEMLPLVLRQQKSRISYTDPRLFLLHEMHQPKPYRKYHIYSTMGMEELQLILEEFDVNKNLITLIDDKSFEQGQRRMHEDGETEIPFVNYSGEKSMLISQAIRHVFHSLVYGIHWEKDECVKHENCLEQFRKMVLFVMREYAEFDENYLISKDIVDGHIQKLKSHCAFVLQKNLPERQLLVHLKETDRCQFLHSKLFLKIFSSFGLPGTIPLDESTQSYKVRFLMSLGFMKIFFKSFKEEKIQSILINAMMNKVAMFEWEEMNEYMRNEMKTFQDNGQKDSNVSEVVPENNPEQEINSAIRASSSTTRSGYSTARLC >CRE02996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:152108:156440:-1 gene:WBGene00063491 transcript:CRE02996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-21 description:CRE-ACR-21 protein [Source:UniProtKB/TrEMBL;Acc:E3LX37] MPSKHSTGVRFPPNADLFFLFLFSDGAHSTQLSSRADQNVMRLYRDLLYDYNNEIRPSVHPKEPINVTFVFSLTQIIDVDERNQILTTNSWVRLHWVDYKLVWDPRLYQNVTRIHIPSDKIWKPDIILYNNADAQYMKSVMSTDVIVDYLGNIHWPLSAIFTSSCPLDVKHYPFDRQTCILKYASWAYDGTKIDLLLKSEQGDITNYITNTEWSLIGIRAEKNQVIYSCCPEPYPFIDVHVTIERRAMFYVFNLILPCVLISLIALMGFYMPTDSGEKVTLGITSLLSTTVFLMLVAEGMPPTSEALPLIGIYYGVTIMLVALGTAMTVLTVNIHHTGVHGYPVPPFLQIFAFRYLSKILFVRIEPYHSIAHHVRHMYQCACAFNTVLSLPFRKFFSEYSDRNFIPIGISFRNFFFGIFRSEFRSEFFFSEYSDRNSVPKMSRFSEFFGIPNSVPHTPGDVDAFSKEHPSECTLSAGLHYKRLQDFDMNQAKKEENCGLQRDSSNLSVISANVHCNPDDRLLLSTRNTKNFDSEKSLRSSLSIKSGSPTAKKKVSFSSLNSHETPIDSPIYGRKLGRTQSQTPSSSHRNSNGNGGITSEITPPNPPKISLTDVDDVFENEQQPVVDEFEKEFLRVMSMVHGIIERNEMRVAERDKRDAIALEWQQVSWE >CRE02808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:150163:151714:1 gene:WBGene00063493 transcript:CRE02808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cht-4 MFLLIFPLFFLFPLVNSIPVVCYYILNQPDIKELPNNLCETIILINSAHISENGKLEYREEDLDEFSHAFKGKIEFFVSITSSNPSFSFLVSSCPGVRMSAFPSFQTSNTTLMHEFSKSVNSMLSKYSFNGIDIDWEFPVWSRDAKKTDRAQFGPFLRILKSHLQPSGQKLSVAVSGPPTISRVAYDVDALAKYADMVQIMNYDFHVFNKLTNPLVGFNAPLHPMRVEISVLGEMNSEASMTTWFDLGLPKNISYFGIPTYARAYQLLTHYLHKPYSPATRSRPELTNYPDVCIFADSGYYTSVWNHNAQAPYLYGKDGLWVSYENERSMLAKMAFARKLGVAGVMVYSIGSDDFEGKCGFGRYPLLSKIAKLAKDER >CRE02995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:124492:131603:-1 gene:WBGene00063494 transcript:CRE02995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02995 MADIEEEEANRVAEEGRIRQEEANRLAEEERIRRENELLAEEPMDEGDEDKRVQEVRLAEIEKVINETCIDIKNQTKFSTKQCRVLLSPLIGKILEVEEEFRGKKKESEFWENTNVKLNKTVLSLQDELERKSPPQPSTPLEEPPTTSVLSGQSTQGNEERWKLVSLLEVNEIHNEEALNELFGKIEQLGNELSTHKELLQKAREQADRLREECFEAKQQIVLLQLKLKAEEEKSEKLKKENDTVAANNQSNNLTRYGEQRQSITEKNNNIMQTTGRHSMFATSTPQHGMHRQGEANGKVGESRENARFYNADTSEIIDTITRQESRDSGGNWNQRIVEQDAQRSMIVHDGHEMPNMNMQWRMTQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDIEAQKSFLETRFLAGNALTVYKGLPESDKYSVSRILDAIKRRLSQSEPEESRRAKSKFQGLKLQKEQSIQSFCLQMDEIVRVGYKGVPEHQISSMKTTKLLDEMKEHSIFDVSLQILGSQLRKCPEMEQYELCREEATRFDEEWRSGKAKLNEKKVNRQQSNQNFSNQQSNYSNQNTSYSRNKQTVGNDETSQNWRERSQGKFVPTNNAGGNNVVNKSVGFSECSECRLTGCHDPKCSRAPGSSTPRKSNPVVCFRCNEQGHIAPNCPQKSAQQLNSQGDMAKVQTLDKKECLEMPEAEKSKQNKNSRTPVRIEQGRIGSAEVNFVIDSGACISVISENTWKEVVEKNGGKEWEKEAILKNPEKIDVYAANNTPMNLLYQVKVETSLHSRTRDLKFYVTDIDRDTVILGIDQFELLGIQMSFQKKPRDIRMVRQVKILPGSEKIVEVSVEGTIRKDKSLCLITPMVSCLAPAIYQIRKSGKARVQMRTCPEEQQEYKVLFDAKHRISQKSYPQPGARVLVEIPSEKMGARYPKLTNKWKGPYRVISCTENSATIVPVAGSNQETLKIPFDNLRNIPQQMDNTPVTTKKGRARLRNTAVEEITEKLVTDYDHDICIFSELYWCRCQRPCLFAIPGQKDVRLHSPTQVVRAVQLLQKNKEMTRADVMMLAQKRLPMLSEIPTPEAWHILSQCPTLSLWVKDIISWKEAFELQYAMTLEKWLGPELLQTMSSMVFCFPGVELKSVPLALKHVKLLKDEDTVVERIRKNLETREVNVAVFVIPFSTSEHPKIRGKKQFTHYPKRSISSSCLATLANSITPKENIYSASKELYRLDGKLTVLGPDFPVKFIWIGRCGRNERVYC >CRE02807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:118409:121367:1 gene:WBGene00063495 transcript:CRE02807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02807 MSSKYHPGHGQRENRSGGGGPSRRGFARPDDSADAPRTGPLILEERTTESTSGPPVDEQLKVFNNPYASLNIQQQRIRLPITKNRGHILYMCEKYRTVIIVGETGCGKSTQVPQFLLEAGWAADGRQIAITQPRRVAVVTLATRVAEEKDCILGHDVGYTVRFDDVSDKDTKVKFMTDGLLLREILADPLLSKYSIIMIDEAHERSCNTDILLGLLRKIMQVRNDLRIIVSSATLDAELFKDFFEMNETRNPDKDTAGIISVEGRTHPVSIHHTKT >CRE02806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:115726:118073:1 gene:WBGene00063496 transcript:CRE02806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02806 MNNLVDYGPDSDEESPRRRSSEGQSSAQRFKEQQEQMMTEKRKRRSSDAYGDEDITDVPMDISEPPAPKRVNQHSPSPAPFRTPQIGMPHTTSQASLVSYSGDGDDDDDSSSDVPKIPGLTTPVSELHEPAPPMRQSRDDVESDEEQKLIDLAIREGNEAIMRLNNEEASPMDTPGHDSVVDSPLSNMEGNTPSHPNSTEPAVPEEQEIRIPPPPDVEVNPKLLAVFENAFRQKAAGADLNKQIQGNPKYNNPMIYTTFIETFDIDEKGTNFPKNIFDPHVFPDNCYYDAIGEEQRKGQEPPKKK >CRE02994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:109628:115312:-1 gene:WBGene00063497 transcript:CRE02994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02994 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LX32] MDLRGYSSQTIWTGTILGGAVAAAATYAYLTSRHESEASTSKQMPGLANQGNTCYMNALLQGLASCPSFVGWLRSLTPVPGGFVDHLGNLLSLLNESTGGTLTAQLIVDSLKSHGWNITVGVEHDLYELFNVFVTTWEDELKMSRRFLINQSIENCRDDSSSDESSSSSREEKYGGTSSPSIMRKLLSFQRVASMARIDASLRSPCVGLTATEYRCCNNACGYRTVKYESFTVLTLTIPNSQMGTSTNTETLLRRYFCSEIIRDATCDKCKAADRKQQGFLKKHGIVKLPQTLMIRIERVGMLPHGSMKLSEHVHFGECLSLQDVCFRKNPKINQKAYEDSNRWQLPDGTSRVVGGAEETRSRSSPIHPSSRPHGELGTNYALIDGGSFVAERRETQRYAYQLRAVSEHRGGPYSGHFVTYRRASAPNHHSWYFTSDAQSTNAAKNGQNQPTSQEKLFRLFFPHFFFNVLSAAEIVNLRLKFTLTTLLRNSM >CRE02805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:107080:108196:1 gene:WBGene00063498 transcript:CRE02805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02805 MEPQFPLLKLPAVVLRLVAACLDTKEKIYFSLCSKNSADHIRRLNIKVEEFLCSIGSEISVSLEFDDLHAISMIFPPVDQPVNQYPIPLPLPVAFRFSTGVRQSEETKETHSFQNMPSLKDFLGHLSTIFHCKNVSIALFHGSEQYTLDSLKESFEGCVVTELVMTTDYGNKPHFINILKTFLPVRILSLDNNPFECNWQFRKSVLKYEFDVLQLWAKTLDAYELLFDMDIKQIDILPTQVISPKLNFFIRMWVEGETNVNLESLVFQFREIDLSDYYQETILNGIDNQVVTEEEEFKPICISVPWGLVDSVIAMYDIRRKTDGRRATIKFDRFSGAIRFKLIVWKSENKIGSVQH >CRE02993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:102461:104463:-1 gene:WBGene00063499 transcript:CRE02993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02993 MLHKVSTRKPYFFEDKSKDAESTVDRLEQKFVVCPVAVKDAYLVYVVKNYSEKNPKSSVMIFAQTCRECQALAYMFEGLGFRVGSLHSQIPQKQRLAALSAFRSKTLNVIICTDVASRGLNIPNVDLVVNHNVPQCVARVPYSTVASCQSYMLFYERVRPHRLYER >CRE02992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:95839:101697:-1 gene:WBGene00063500 transcript:CRE02992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02992 MDEKLPENVDVVVLGTGLPEAILASACARAGLSVLHLDRNEYYGGDWSSFTMSMVHEVNENKITPLTTEQIAEISKIHVKENEKLIELGNREVVENIEMTWIPRDSDEKSMKEKLEEMGQMRRFSIDLVPKILVSKGNMVQTLCDSQVSHYAEFKLINRQLCPTETKDSKIQLNPVPCSKGEIFQSSVLSILEKRALMKFITFCTQWSTKPSEEGRQLLGEMADRPFSEFLAQMGVGETLQSFIINTIGILQPRPTAMSGMLASCEFMDSVGHFGPSPFLFPLYGCGELSQCFCRLAAVFGSLYCLGRPVQAMVKEDDRITAIIANNERINCRHVIMSPRFVPEDVEIQKNEKIERVVFATDKSIKVVEKEQLTLVNLASLRPEAAVSRLVEVGFEACTAPKGHFLVHATGSSCGGDEKSVESIAERIFEENEVVPYWKMSFTANSMKFDTKRLGANIVVAPPVDSNIHYSNVIEELEDVNLRDFSVDLQESDVDLRDSIVDLLDAGVDLRNRSVDLQDPGVNLRDLVVDLRDPGVDLRGSDLDLRDSDVDLRERDPGVDLRDPGVDLRNFCVDLREPGVDLRDPSVDLRNPGVDLRNAGVDLRDPSVDLRNPGVDLRNAGVDLRNCRQIFCSTWPDLDFLPRAMKSEEEEESEEKSEEKEENAEETAENQ >CRE02991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:91894:95543:-1 gene:WBGene00063501 transcript:CRE02991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02991 MSEEVVLELASQQVIKFLLGEQKFIEKWQSETRRNLEENQRRYQDDEGIDLRKPSTSTSSSSQSKTPHPYIISIQTAVIELTSTIQYFIETFEANEKYNHAHIRSKLGPTTKLRIGTACRRVGRVLKTFGKRFQGQPSIEIQSLLPVIHRFCRLFYTISSEILRFSENFRSKNRKLLKSIGKSIEESANEFNLKVLADVETVRESKLRIYRKKCQFQIEKHLKNEEKRKKPQAPPTFHHTPSPVDYGIQTRRQQRLATSIQQVLGRRRDEDSDDVITRFRRPHPPGGIATSIIQKRRGFLMDDNLMKARQRIARIAPKARDSPRSLNIDKNSSMTPEDPDDVIMKSAKKLTELVLDDMRKTIKY >CRE02984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:73642:74934:-1 gene:WBGene00063502 transcript:CRE02984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdh-2 description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3LX21] MSLPAKALVQAAANSGLRAVSVRHSSQAPKVALLGAAGGIGQPLGLLLKQDPLVAHLALYDVVNTPGVAADLSHIDSNAKVTAHTGPKELYAAVENADVIVIPAGVPRKPGMTRDDLFNTNAGIVRDLAAVIAKASPKALIAIITNPVNSTVPIASEVLKKAGVYDPKRVFGVTTLDVVRSQAFVAELKRLQSTVRIHTFLCFQGHDASKTVVPVVGGHAGITIIPLLSQVTPSTKFSEEEIAKLTPRIQDAGTEVVNAKAGAGSATLSMALAGARFANALVRGIKGQKNVQCAYVASDAVKGVEYFSTPVELGPNGVEKILGVGKVSAFEQKLIDASVPELNKNIAKGVAFVKGN >CRE02983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:45596:62918:-1 gene:WBGene00063503 transcript:CRE02983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-765 description:CRE-LET-765 protein [Source:UniProtKB/TrEMBL;Acc:E3LX19] MEDILSAALTEAGLEEFLCQPSPVPSTSGSVHDESAPSTSYNNTQSAPPTSYPRAGASNQFFDETSNDSMSSSAYYTPMTTPFISTEDGGEPTSFFGMDEEDGGCMITPMTRSSSCTAESSMYNYPQVQVIPRKQPSVTMDSYEMTKKEEPDESPSGDTSTTGSSNGGNDGYDEKMREIKEDIKEEEESQQQMFVQTNRSQEPHLDSPSTSSTVSPRVSSLSAQRSHTASPASSTVSDTVVPPPRKNLPITTGSIVKRTVQTKDGQKTQYLKAFVNENGEKIYRLLSPVAASAVARGALPAGMSRSGSVTTNGGVNTSNVPVRVGNTMVGQNGERLMVVKNGVGPNGRVMVKRIVTNAGQVGTSATRIMAGGGQERGQLYRAAVNGANVPTHLVRRPTAGGLPPRGTPGSMVVRHAVRGSYNPATNGGYRVNLVGRGTGGSTMAHHQPLSRMGPAATRGTTIGPGGRIVTRGGAIRPGSMSQQQIHMSASTPSFHYMDENQMTTTSQGTTSSGGMMKTVGSAIMQARHMQQFSAVGGGVTQQAGRVIVNRSSTSGGPLSRMVGGGYDQQLTSNGRLIMSTNTMVRVPGSGLGSPRLSLTPQPQTKSQKAKDEMRMAYQVGRDEAALQRKNDLEDDEENLGYAETYSEYTPAKLRSGTAHPDSVVESASLSSVSPPDVKYQISIPEYLIDMGHISALQLEAVIYACQMHERRMPSGERYGYLIGDGAGVGKGRTVACIIFENYLQGRKRAIWLSVSSDLKFDAERDLRDCGAPNIPVFALNKMKYAKISGKENGSIKKGVIFATYTSLIGECRGAKSRKYRSRISQLIQWFGQDYDGVIILDECHRAKNLVPTAGAKPTKTGRMVLELQKALPNARVVYASATGATEPRNMAYMTRLGLWGERQAFPEFHDFISAVERRGVGAMEIVAMDMKQRGLYLARQLSFRGVSFAVQEVQLSSEFVKMYDAAVKLWMEARRQFQTVIETMDEEERSTCKTIWGQFWACHQRFFKYLCIAAKVDTCVQLSREAIKAKKCVVIGLQSTGESATLETLEEMGGELNEFVSTAKTVLYGLIDKHFPTDTSITMGDRDIFKDFDDFESRPAKRRKTRETLSFLGDVGFDTWTGVTTGMGGRVGDGVTKNLTRGSTSSKLNDEANSTTSESSEADGDSDESDGDEEEDQNGDLASAREEAEGARTLEDGEEDEWVKALLAEAESSSDDSDDDEDGGNGNAVEKEDDGGDEEREPEEEFNPFMCDFTSDDPWAHNQQIVEDTPQKERKTKKRKRDEEEAERMREKVRKREERREKKRRRAIRKAEREKRRRNEELQARGSATDFITSSRICGQSVEKEDINPMLIKTELLAAVERLAPSLPANTLDQLIDEMGGPEYVAEMTGRRGHMVTSETGDVMYQRRNANAEVSLELINMEEKEKFMRGEKLIAIISEAASSGISLQSDRRAINKRRRVHITLELPWSADKAIQQFGRTHRSNQVSGPEYVFLISELAGEKRFASIVAKRLESLGALTHGDRRATETRDLSQFNMDNKYGRVALDTLLKTVIGQAGIPLIEPPKDYKAGDFFEDMRLYMEGVGLLAKNKMGQYTIEKEAATIPKFLNRILGLPVHAQNSLFQYFSEIVAELIAQSKHDGTYDTGIMDLGTGDDQVRKLETRVFTGRVDNGSFRVEMHKIGVERGVSWEEAMDLYKEHSNDDDGFYLCHPGGNNTANTKKVAALVYGIGKNKVDSGARLYAITRPSTGRSPKLMTMADLSKRFSKVTVDEAKEVWKQQYDSAANMCQHNYIYSKCRTESNGTYCEVGRRTRTYFVLSGSVLSVWPIVEEVLSTGGDRKSSRMQVIRVRTEQDQKIVGLLVLPTHVRSLVTQLETHCGRSYVKTEP >CRE02982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:40017:44538:-1 gene:WBGene00063504 transcript:CRE02982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02982 MSWCSSEDALNNSSTPSSRSRKSSWLISKVDENEVDKEVAEMMDDWRRRRRQNSDGGGETTTTNQMECIQAALDYCRSQLKQLLIDENESGESGETEKKSKPINIISPNSETVEEEDQLGNLVNCLNRQQKAVRQQMYFDKIVQLGLERQAIQVSGGLEISLSKFLFQDEAGGSAHSADECRAEGHEFVMQPVRGGHNPCCEVCMHTIWRLVQWWRRCRVCGMRAHDKCAEDVKRVCAGVLSTRSKFELNINLCEERSLAEQEYQCAECSAPICFDGTAEQEARLCDYSGELFCPNCHWNDTWSIPARIIHNLDATPRPICRAVKQLLSIVDHRPLIDINESTLSLIKFHKELRRVNELRRNFLLMKCYFVSCRAARRLRILQYLQTHSHFVDNHVMYSLKELRELCEGTLLPELEQIHTVFRKHIEEECETCAGNGFFCQLCDESCIVDQKEKILYPFTENTRSCATCLAVYHKKCFERKSLNCPRCERRRKRSELPKTLSSSDEKETVATN >CRE02981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:37625:38692:-1 gene:WBGene00063505 transcript:CRE02981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02981 MSSSSESTIYVGNLPPDVREKEVEDLFHKYGDIRNVEVKTRHGETHSFAFVQFDSHRDAKEAVRSRDGYDFDGKRLRVEFPRGTGPRGPGGRPMRDSGGFRGDEGFRGRDGGFRDGGRGGGFRGDGGGRGGGFRGDGGDRGGFRRDSGRQGGPLRRSDYRLIVEGLPPSGSWQDVKDHLREAGDICYANVENGRGIVEFTRAEDMHKAIRKFDDTKLKSHKGETAYIRVKEDTRSSRSRSPKTSRRRSRSNTYSRSRSPVSRRRRSESRSPRRRSESPRRRSESPRRRVSRSRSPESSPRRRDASPDASPRDYSRDD >CRE02803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:35805:37145:1 gene:WBGene00063506 transcript:CRE02803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02803 MQLILENAAQKAMKKDARRKVQALHAQKRTTPMKDWHLLNDQIDETIVEWNRVHPKGANYLDLHWMTLHGAIRFVETEIRQRSSGVIKLETGFILML >CRE02980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:33314:34505:-1 gene:WBGene00063507 transcript:CRE02980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02980 MIKLIIIISLLTINQAYQTTETSEETTVAAAVNTDSEITVSGETSEIRTTVKMNHDRTTEGPTKRIRTTDEMDHITGATEEPVSTTTGETVATKTTEEIVTTTNELPNVFKTDREQPKNTENTKEPSVVAESERTELENLLKEDKEKDAANHGSNRFGLGSLAMGFVM >CRE02979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:24566:32577:-1 gene:WBGene00063508 transcript:CRE02979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02979 MKLLDIALLLALTLGSTYSQKQRPNANSGDSESSKSLPYGYNLSDLSFANGVSVPQNQGVDSIIQKLSATARVVSALSLRNGLSDGSIPVDDVIAELLNIGSADLKGLEIFDKKKVDEFVDTINDLDNLPDDATYKTLEDIKKLDATPLTSISFDEPIDQLSKFSTLNDQEIGNLKTSLFKVLGAIERTKSAFDYPKIIGILDRLKPLGTVAQVFSLFNSLPKFESTGWTKEIPLIVSDFTNLKKLATSSDASSMLSSMSAIMTSRVYYPAAKRTYAAGFVNGFKDLEKLKNDVNDEWLVKQIDPFITINTVTSLIQLETPMKDLDGKWTKVFTEDLYSSVKHLSNAHIFLTEIGSNDVSQKQVESALNAIFDCFGSEKVYGPIITKIDEVSRGVTTLHRKISALHSIQSAADNIKAFANGLSKMTAVENIHNVRDFMNNLHFQLSIIKTGKAIVNVDLKTDDTEYITDFKANVKTKDGITPLDKLKNLVNTDFDAVVRMAMAAVEIRGLKSDANLLKNVKSVTTTLSESPGQLSALRKLVVSMKGDGKSGDKKLAENLKDLPKLSILFSEVVNTLVMVKAASEKSEDFRSHINDGILVVNGIDAQTDKELKMLAKRDWSDVLDMVAKMTTMLVGVDGWIDKMKISGDVGLSDYSTIFTGLPQMPDVDLKVTDRLEAMKLFKKYTITLELSKSLVDFKKSLLTLSKLDLKFSRFHSSVSQMSDTLRKLSKILKLMEIEEEENTWQADENEDFKKRRELEDLLKEDEEKNGPNNGSNRFHLGMIMMSFIVIMIRCSCQKTTVAAAVNTDSVTTVISEASEIRTTVEMNNDRTTEGPTKWIRTTDEMDHNTGATEESVSTTTGETVATKTTEELVSTTIEHSNVFKTDREQPKNTENTKDQGAVAESERTELENLLKEDKEKDAANHGSNQFGLGSLAMGFVIFQLANHLLVV >CRE02978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:19511:23905:-1 gene:WBGene00063509 transcript:CRE02978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02978 MKLLDIALLLAITLGSTYSQKQRPNANSGDSESSKNQDHASVGNQYFHSQYLSGPYSGLPYGYNLSDLSFANGISVPQNQGVDSIIQKLSATARVVSALSLQNGLSDGSIPVDDVIAEFLNIGSADLKGLETFDKKKVDDFVDTINGVALDKDANLLEEGLKYFYRIRMIWKDIGGSNGLNKLPDAATYKELDDVKKLDITPLTSLSLDPSITQLSNFSTLSDNDIGSLKSALSKTLSAIFKIGTSIDYSKFIGILDRLKPLRSLTEIVGFYNGKHEFRKAFLVKAEVDKTVSNLAKLKPLTSTSESDSYVNSMNSIITSRLYHNSMVRRYTAGFMNGFKDLEMLSKDVKDQWLLTQINPSIDIKGVESLVQLKSPMADLEKKWSEVSTENVYSSVKRLAYARSLLNSQTTNVMTKDNLEIMLESIQNCKKANKEDGVTDKLKLISTNVEVLNRKLSTLNSICSSAKKNQMEQSINSLSKKTSPDNVRSILQFFQGLKSQINIVTSGPAFKDINMMSSDTSFVTDFLSNGYTKPYVESFDALQKLGVEFEEVAQAAMASIEIREIKNDANLLKNVKSVTTSLSESSGHLAALRKLVASLKGDEKGGKNEQLKDLPKLSKSFGEAVNALVLAKKTSEQDAELKLFAKNGIAIESGAETANNPPFFEKFKKQWGDFDETTSEIISTQIRSGEWISKMKVAESPKLLDFATIFTGLETINDVDLKSNSRLSAIALFGTIPPVPELKDVLPEFKKSLLTLSKLDLKFSRFHSSVNQMPDTLKQLSVVLGSSPSGATTVPPAMVAKDESDSDMIMAMIVGGGVFGFCIICVIAVYIVLFCKRNDKKWKIWWDRMTCGCFKKNTNNKTVTARAKKLPDPHPLRDEQKKVDDKKKRKESKLTPLDEVSARELDNKEVPDKVTKEADKTNGAAKKSGSKGVKKVTEKTAKAPTKKTVRPVAPPVDNDVMPPPVGPAESEREPSYAFQVPLPYDQPEHDYRPQHEGCIDGPDDTKDDASSEVFDKSKRAPGGLDPRSWLPAFYRWLRSRFSRTESSSSSTTNETETTRTRVSSPIILADDSRTSERPTSVHSTRRSYVNEPIDFIDFDFNEDDDESRVVDDDAPSNASFYDDYYETDDDEGDKNVSSNDVESNDDESSGTSLYDVNADQPSSVYSTNPESARSSTGAEEPRRSSFGGFFNWLKFW >CRE02802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:17932:19150:1 gene:WBGene00063510 transcript:CRE02802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02802 MDTAPPSYSAAVGGNPVQTQPGQQILTQQAVVLVPVILTTQFKIVPYDKPYVEYCPVCKTNVTTRTKYVPGGCWSVVMILAILFLILPLLFLLCWSGVKDVRHHCPTCGSLLAYKRRMCGGSGN >CRE02977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:11943:14228:-1 gene:WBGene00063511 transcript:CRE02977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02977 MGQPETTPVYPVTSVAQMDSAPPPYTVVGMGAAPVQVEMQPVYMQPAYVTSQPQVVHAHVVQPAPTTTTTVIVTPHCKVVEYDKPYLEYCPRCQTSVTTRTVHSIGMCWWIILCIGVFVFCWPILFCLCCAGSKDVKHYCPNCATLLAVKKRGC >CRE02976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:613:4503:-1 gene:WBGene00063512 transcript:CRE02976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02976 MTKNKPSTSLCNPWRFFIFTMIYMVVIVVGKEATHAPDNLQSLLDHSTAFARIINGVSLQSGLLSGTHKIDVVVQELLNFGSIKMSEVVGFKADQITTLTSKLTGFKKMKIGNEVEGQEKIFVELEKIMEESEGAKSLEISNGTYFSVVENFGKNFDITNMKDIVKLVEDALKFVAELEKIPTEKPTQSNDAIGHWSKITDVTGHLTKFASFKPVMETFNAGLKTFANLETFPEALEPYRTIIRLIEARDGLKTSETETLEKNIRHFMDLLKVSKSSNKEFSMIYRLIYSRTNPVQMNREVTIGFLNGVTDFDKLLNDLQDPSFAKMIGEKKVKKLLDGLKPILSIQSKIDELNGKFGLFSNNSSLSPSQVQMLQVEMQRMSITDKDVKEVLNAIEIPANIDSAVYQPVKDMIEAAEKFTKMIQSVRKWAEHLVGKELGEAIKAFDKELNFKDRTDVDQSKAEIPAVMTNLKDKDSIKKFEDALTATQSLLNGYTVDDITDGAKLIKQVDLNTFKDKSEVTEEMDFHKRLRENKEKLATLVEAIGLIHQSQNLDSGETQKLNSLANVIPEASKLLTNQHLKSITDSMKTAENLESKSLGQTTGADRIMKPITESVKGLQMIHDFSNMNLVADLKTAENEVQKAIGQLKDSVKQAEVRKNWNSLNAEIKSLEAWLQQVANVNQNLVISGSNKLADVGASFAKLTAIGDLKFDLKNKTEVLMQLISLFNKDQSTLNKLHGAKNTLSTLQTLDLEFSKFHKSFNDAPDKFQALYVFIGNFFTIAESQEAALRRSSTIETIVTTDTGTKGIIFRFIIIILVLVTLLVTVFIVIIICRFNSRCWWNKRVKKQKEDEERQPLTYPIVNEKSVSHSPLPPVTNQGDAASRKQPQKSDGSMSKEVEKRRRW >CRE21265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:532805:533905:-1 gene:WBGene00063513 transcript:CRE21265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21265 MTRQPVLPPWVATWLLVSAIICLIDVIYTMFRPYTNAPDGFVSNTLFYGWKIYSSVDIRYADTKDVVTCSTGRVMLIEIAMNFLAVYLALKRSRHALLLAFTTSAFVFWKTFWYLVMYIAPPAGTPSFFTDNYGYLGITLIFWIPNGVWVVMPFLAMCALWNRLALPVEYQEQENNNYEKPPGLSSLSSP >CRE21264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:527760:531939:-1 gene:WBGene00063514 transcript:CRE21264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21264 MSNRREPQVTRNLQATADLLDALQENPTLLNDEEFMKRLSILREKHEETTNFLAKKLGAPPLPSMISSTSSTSKSSKTTTQSRIHMTTSTSCHQIPRHLDLHPRESPKSPKEYFDSENYDMEEEEYHLVERREDSGRRLRHQDSESHQDSEYMVPTRHEVRVPVRIAPAPPLNQGRRHQIHVITQSAPSSSSRASSLSRHQRTVTSVPPSRQRSENIRQILAEASIKHNLPTASTAMSSGLLLSSTSTKHVPRHPPEFATSSDVVEESFLEDGQSTTREIPTIRDEMVTTEHRSVKRQLENGTLGQKHRSKSMHNMAEPQVTIPKPFQLSLRKSIGNTYAKKFMSDLMTEKQRQEEAAKIALENTKFKAKPVPKSTYLPTNTFATEQKYVEAMRKKVAAVARKKFEAQSEMFRSKSEGNLASIKPLGYVPPSTYISPIPVRPNARGRSAVSRTAAMIQEATTPKGIKSHRAQSNLTHNLRHGRCKLDTSAVVLHRRTSPPDFNKIHAKINEEYRRTNSKPSTVPIPFKFAHRSPSAPSRHINCKETPPEQFKPKTPTTKPSESLRIPSTHGAQLREELNRARIQKMRAEETAKNNFWAEDNRKRIASFLGRRSKTEDNLAMRTKMKIQQQQETEQEYMRQLSEMKQRVLNGPLIMEKQTALAQEHRLKRKYEERMKNSKVRSAQADPSRRGSETSAAGTFVVEKNGSSAVTSSRKSESKASSSRSYKKSTSSEESSGSSRSSESTGASGSRKVSSRRSESSSSSPESSSEDNSDESER >CRE21263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:516560:524676:-1 gene:WBGene00063516 transcript:CRE21263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21263 MLSVLLYALLFTSTEAIKCFSCASNNMKENFLTKQRGPAGRIQLPRTFSDDCNGSTNIIRDRSADDCGAGGVCVKWTQIVNNSGAPSQMTFRGCYNKMFNLNDPAVFKPPNHSFCTHSEVDLACLSDASIIEDTCWCTGDSCNGSSTSFNLLENSRNASETSSLQDLLVNVEANDLTSAINEEVSIHMSAPPSPETPRKIDFESEIESPKSAGIRRRLTLKSSIGSDLEDDPEKCTPIRKPSRISYDERLTVICDSQTSSPLQTPSPSVERERAPFDDVFQRKGFFSFFWEELTRGYSLHNDHARFSEKRRKVYAFLRIPLELEQFLAYGLLQCIDSFFYLFTFLPLRFLMSIFGALLRVKRWTSAETCDFLKVLIIFAASMLIREIDSSFLYHQVRSQGTIKLYIFYNMLEVADRLFSSLGQDMFDALLFTANSEKRVSPGHIVRTTLHLIVAIVYATIHSFLVILQATVLNVAFNSHNQTVLAIMMSNNFVELKGAVFKKFAKANLFQMACSDVRERFHIYALLFVVMIRNMTAVNWNIDSFAEMVPDIIMVVGCEYFVDWLKHAFITKFNEINSEVYKDFTITIAFDVIRSRDQSAFSDYSDQVARRMGFIPIPLSIMIIRVLSQTFTLDNWGSILIFVIGWLLLLAIKICNGVIMLGKACQHVKRFRDIQARSEFELFRKRMVEKKSKSAPNSPRMSLIDFTDVLHQPAAGKGFTVSDMLSQWDELQPSLLSEMRRSTDRETAASGLMGRSDERTPRRAVSMAHIPRRDRSEPPPASSEQETPMDSDDAPPTMMIPTASNTTNSEQASPTKKKAPAPVSATVSTTKSSDELADVTAYKMPEQGIQRIE >CRE21260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:508084:508855:-1 gene:WBGene00063517 transcript:CRE21260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21260 MKLLIPALLVLYHACLVVCDNHKIQTLSQDERQKLLDALNKDRQEIAKKIGIEFETMEYDMTCEHNLSELDACEHIQIGGKEMLFIPLRSNDVTSEYIHEWNPYVGNDNPYNVLFWPSRDTICCSKSFKCSKKIPKTERGPQLAGKQIEARGACASTRKRNNRGFVTDEHNKIKNATIPPTSKYADIIGVPAIGDAATSGDSIEGPASSSGNKMNLIIIFFMVITFF >CRE21259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:504556:507368:-1 gene:WBGene00063518 transcript:CRE21259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-163 description:CRE-COL-163 protein [Source:UniProtKB/TrEMBL;Acc:E3MF53] MGRLAIENYVVVGVTLAVITASCQLLFLPFLFSEIDAIREEFDTEIREALRSFESSYENLAGIQRPVSIRKQREAVKNMVTTDHTSTGPADHRVNPTEPSGPPHADAAKTHRARLSGSTGILQAAAPPSMFSPELRKLARETPAPTDEYEEEESEYDETETISDMPRAPGGAQVILGAPTAAVVNPPGAPKHPTLLHSGERPVPGPLPICPLEENRCPAGPPGPPGHRGTPGQAGIDGNDGLPGADAMDELTPSFPTFCVTCPPGPDGKPGTPGSTGKPGLVGAPGIPGPMGKNGQPGAPGGYGSPGKQGSMGSRGAGGMVGQDGVVLLNTKGPKGPPGKSGTEGQPGEDGTNNNTPGPIGELGSPGEVGRPGANGLLGRRGELGELGLQGENSEECSCEMLMKKSIENAENSAYSAVEALAMTQTPQAPQIVPEIRRTPQKASALAAGGLPTLEELRHGYQKSPVTFAQEDRVQKFAVAPSDVAVNEEPLVPAGQFAVASEAESVDQKSEAEPVEEEQEPEPETPEPESSTDAIVIDGGSDDIEEEESEIVEPSQPSQSRPALFAPPRAQLPSVSTGPQASEQVVSLSPPKVHAVKTSLPRANGESRQKPLDGDTEVKKTRGESRRRRIVKVKRVPWRHPAFRVFSTTNENFAFNMDEGEKPFRVEKEIARESEKKVVNLKEIRRAPKRLRRKQKVGKVEKKLRRVHVTPSKKLAYQQRQNSNILENIAESLGLIENQPPKEHRKRQQKMNRVPIP >CRE21173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:491916:492580:1 gene:WBGene00063519 transcript:CRE21173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-12 description:CRE-TTR-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MF46] MHFLFPSLLFLALSNTCSAGCASVQVKGQLMCNGQPFVKEHVQLWEPKLLGDELWDDMQTGQDGKFQIFSHGFDVTIVDIPFLGFGIHPYLWIPNYCGSVLIDGKRCTKNILNIAIPEGYINPCQPDVYVYDIGRIDMESAESARFNWVLKLMGQHYQCRNY >CRE21253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:488302:490002:-1 gene:WBGene00063520 transcript:CRE21253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21253 MTVSTIPSSSSNPPSYSFYTTKKTCNICGDRAQNARYGEMACSGCNVFFRRTILEKKKYVCWRGKNCAISYAHRCVCRYCRFQKCVQVGLRAEAIQQRDSLGPRILKIKSRTPEIIIIDETPECLLEKFVKLQWRQTSEHHQYFIDHKVDVPFHRDASHKINYRRRAQPDDINVMLQLSLRQATQWGNNLRPFECLPAKIKRDVLAEYFIAFMLLDQGAKTSREASGSTWLIQNGSFMHPDYCKGLSHASTTNTDQLKSHYNFVSELIQSISEPLKTLEIRDTELAALKILLLLRPSCSSRNIYSGQEGAVTGLYTQLMEELMEHSIVKFPDQGALRFGKIVLLLNSIRCGIKAVYNYMRGSDLFNVASFDEKVRNILLS >CRE21252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:482642:484043:-1 gene:WBGene00063521 transcript:CRE21252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21252 MSFAGIRMFLNILWMICWKFEKNFGGRCTKRFLWSLAKRSLTAKKPLLIRIFILVGVIRGQGIPAVYNTLKKAFKKDEIDYPDLDFWYYRFSSGDYDLDYDRSRDPKPLVFLDLNLEAAYLIFKELQPQDRIPVRRVSKNICVLIDSMRTFIDNVWLIVSLLNETETRFHWVSVKHVHDGPESARNDIKKAFDYLSFHLNLPGYGIEDFMLSFPLGVKCEDIFEEFINSLRQPLHVRKLRFKLCTLTEIYLLLLNVKPIILKEIQISYQEGENDMEIFDKIKLLEQWKLANELILPGHLIGPVEHYCYFKKITIELRVLRLEDRHPIRQLLYESRKIEHLRIIFHRRFTYKELQELFDAEEHGSRQMFIRIPATQEHYLITFLHSLLKTDINIIRVKGWEPMRAHRGQRLP >CRE21172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:474617:482164:1 gene:WBGene00063522 transcript:CRE21172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ipla-3 MAFTGLNWEHVKSTFWEANKMIDSMVTMIAGSSGSREGSADSRSSGSSSDGAIVAGPGGRLVVLKRKSADEDDSDGAALSKKMCTENNDSQSDLSSSEAEDTKGSIRFLSSLTNVIIRPSQKPAPTYAGADEWVPKEMEEIAEFPIESVDKYKKVHPNAEAPKKFMLVNGEFSVVASLEKLTEEMNIRASEQNSPRQHKHQDSREEKLTKNELTDNEDPKKKKEKEDKEEEENKKGDKVLYHLAITLFNEENKKYVMSLYRSHKLADVVALCERCRENPELFRVFPKNVNIKEYLHLIFNELRDNMTWKSVHISSKIGLLEFFENMKEHKLKKYLNLIVQPEGLSPLMIAIQNDQQKTVKWMLEHGADINTMTSDGQNVLHVAATISNGEILKILWETNKCNEMVNKADANGSTPAYTAITNACVMNCHMLRGHGGALQSTESPTTVYPFIGAMKRGKLDEASLKKMLEMKPDGLTETEPTTGNTVIHSAINKRSLILLMEKFRDQTDPEARNALQQTPLHTFVIKDELGLVMTLCAYGVDKNAQDINGNTPLHCAVTRGNTEIARMLLCLGAKPDIKNRYKESPRHIAARLSEKEAKMDIVRALIICGAPACDDGFIGCAFGCMHKTGLTSCKTQLGSSSSDEQSMEDRVKDIHVSDHAASAPYEFVLDPDTQLVEEAYAERNETRAFPHEEALRRVKEKLKELVEKKKTSNVINVLGLDGGGIRGLVTVQMLICLENYLDRPLVDYFDWIGATSTGCYIMSTMITGGSLRDAQNYYLMFKDQLFDSWTRPYDTKTLEMFIQRAFGADRFMSDIKYPRFFCTTVRADTFPVQLDLARNYRLPISEKENHDLGFGDPKELSMWRAVRRSSAAPTYFSASEGKYIDGGMISNNPVLDLMSEICFWNTTCQKMKLPDKMVDIGCVLSVGTGITPICPVDPSVFEMNDWFGMLRGIKNLSLVVIDQATATEGAPITRSRSWCHSLGIPYYRLNAPIFKDVILDTNDDSELAKIMWDSVVYSHTHKKDFQELADLLKAVGTVDERKDHLII >CRE21171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:471191:472467:1 gene:WBGene00063523 transcript:CRE21171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21171 MLKQEQSKNLTPEEIQIRDWTQGKERNIRALLGSLHNVLWEGSDRWNQPSMGDLLTPVQIKKQYRKAILVAHPDKLTADSPHLLLAQMVFAELNEAYNKYQNDPSTL >CRE21251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:469616:470711:-1 gene:WBGene00063524 transcript:CRE21251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-1 description:CRE-SRXA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MF41] MLHWLVLTIQIIFTLMNLFFTSFDSILLYCVIKQRVSLSRSTGPFVYIIFLTGFGIMEKLNAFLMVDGWPVSEWFDPEHGYERYRNFFGAYVTLIFLICYLTPLFLDCIMTIHRICIFISPLKSTKWFSDFKVVCYCITTCLLVLIWLLVQQISNCTLNFNALTSFLESACAPDRHPVTWFQNKYLIYVPILSMVINAFMLFAQRISRKFWKSFVSSQSQLKRENALIRQALFIGVYLSVYEILYLHTRLYPESFRSMPFELQTLTYDLRLLAVGSLNFFVYFVLTQSSRTLVLTFLGYMKKVAKQRTGSVSQTTANFQVQSAKII >CRE21250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:468305:469336:-1 gene:WBGene00063525 transcript:CRE21250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21250 MRTLLPAQILFSILTVFCIVFDATLLFCVVLKRWKNELKGPFFYIVFMTSFGITSKICELFMVDSWALSDILEVSYQGYRDWIGEEVTLLFTFSYLGPLFLNWLMTFHRISIFFAPIKSASWFSEKNIFAYSSMISATILTWLLVPYFSDCTLNFNALTSRVESACAPGRHPITLFQNKYLIYVPLASMVVNSTMVLYLKISRKFWKPTGPVLVSSSHVKRENVMIRQAFFIGSYLSVYEILYLHLRLYPEHFESLPLEFQSISYDLRLFAIGSLNFIVYFVETKTTRNLVLSTLGWSKTNKIGVVPTIASRS >CRE21248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:466119:467149:-1 gene:WBGene00063526 transcript:CRE21248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21248 MLLLISQILFSLLVLLLLFYDVTLLIVTVKKRLKDIPVVYIICTTFCGIVNKISHILLVDGYVISNQIGGDEGYEEYRRFFGKQLSLIVTWGYLTPIYFNWLMTIHRVGVVVAPMKVWIFSEPKLMGYCIGIMVILSFIDMLIPYFSSCSINFHAHPATWISACAPDRHLLTWFQNKYIIYFPVTAMLVNLLLIMYMKITRGITNDKAMIRQVAATAIYLSIYEIGSLYIRLLPEYFDVMSSEFKDIFYFIRILTICSLNFFVYFVITRVTRQLVLEFLGFSKKKRAVATITVTMT >CRE21247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:464677:465852:-1 gene:WBGene00063527 transcript:CRE21247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-6 description:CRE-SRXA-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MF37] MLSEIPLFHVVFQLIFCILTSFLLIFDSTLLFLAIFHRNDTNFPVAYLIVMSVCGVLCKIAFITDFAVFLVVNELDYLEYRQFLGKEFTLLGTLTYFIPMFVSVLMTSNRLFIVLRPTDQTVFSQKRIFLYSFGILVRQNLNLNPMPVQILCLVLLLIPYFSTCSVNFMARKLEFQTDCSPDRHPMTRFTNTHLIWIPTTLLLINLTLVLHLKAVRHSVYSKMLQKTSTVSMTSSTQLAQCQKRREQMLMRQALAITVYLSFYEVGTFLMRTFPDTYSSLPQSVRDAYFYFRLETICAMNFFVYFMESSSMRKMLKSFLGCAKKKSSIIGRSHSEAPKVSTATLRT >CRE21170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:459760:464594:1 gene:WBGene00063528 transcript:CRE21170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-25 description:CRE-DNJ-25 protein [Source:UniProtKB/TrEMBL;Acc:E3MF36] MVFDEEPPNQKKWTQQATTSAFGLMESLRGQGSSLAKNLKNFGKSLVKENKSQGATTPPEHRDDDIRLTWLTNRLVLADTLHNSKDGLLKAETAVVREMIDCQPYVVVNVHSEPLKLDGYAKSVHVPLGSGAKGGLPKYPTIQALIPILNEYCLLTNDAAIVIFGSDENVQITAAFCLVASRSLSRVSDFLRDSLPNRFERLPNTYHLFLEQTKHICKLHSRRKNLQTGALVSQIVLEPGTLLIDGDLYVAIQQGVNQLKVLEFSANSCRNRQGQLVFDMDGTETVDDVVIFVGKKSDVKHPILSAKFNTQLLESEDTSLVLTPSEMDVSKMVASAMPIQDLRMIVNFATRRMPTLNTFQFDRYKLLCVRNERELDGYQRSYGDVDSEDESPILRSARSKSGTQKSDASSSQSNFFDSLQYADNNEPSHFREQRESTLLDTHEDTTHHTADLLDGFHLGGQEELSPANETPILSAPTPASSDYDSMTNSRNQKNPSPAPAIDDLLGLGSVTAETAPPPAAAPSAQSSSNANLVDFDFGAPIKPTSSGSNLSSTASPATSSWSNSDLLGGFSSPLKPQTTATPPNTRNPSAANSKPVSQVNSQADFEAFLSNYPEKQQAPPTSQPPPYTQNAPKTQQTRPAQPSYKPAFGGDPAGKAKVSMDAFGDLLNQGRCIPALKIFNAAYQR >CRE21246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:440692:446178:-1 gene:WBGene00063529 transcript:CRE21246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21246 MSRHEQQVVAQMQLSFGFLDYFWQLGDGYGLGQKEGDLKERILKKWGTERFYYEAIKEEPVSDNDSAVDSEEEDATTTSDSEDVELNNVKENKKTANSEEEIVGGGGQVKQGSKRNKKEGKSMLPNTGGASNDSGVDEVATSSAASTLDYTAMNAQDYLPAYSNSTLNYQTYQYPSATNNLLNYNYNQYAAANQLGSNYINPTTNFMQGGGISPLGSFTTNPSTGSTSVTTSSASVVNRSSGRNSSSTASTINAAAGSPVDRSYSSSGSGGQELTVQEFDNVQEKIRRQGTYGQSKPPYSYISLITMAIQKSATRQLTLSEIYNWIMGLFPYYQNNQQRWQNSIRHSLSFNDCFVKVARSPDKPGKGSFWTLHEHCGNMFENGCYLRRQKRFKVKEREPSRKKRNAHSQQQQHVPKIEIKEEDTNVSSTSSLGAYPMIAQTASKLEAKEDLKDATSVAATAASVLLDSAASGGTGSHSQATSVISSVGTLGAAQSQVGI >CRE21167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:424391:429822:1 gene:WBGene00063530 transcript:CRE21167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elp-1 description:CRE-ELP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MF30] MSISNGWSSSSIISDVLPEEEFEDDEEDELILSDNDRLRCRVEELEKIVLAQRNEILLLQSSTVEILRRLQNLEIQQQDKARSPVSSGYNSLPRRISGSKSTYSVSPSSHPPPPRSHHANSKSLYINGLNNNEDATQQMPRRPPTRGSDMVNVSVGKSARGSPMRKWVSTHDMKDTERFRRLSTSSEASTSATTITMNPIVNSVRRLSTTHYRQSSPSLLSLCSIVSRSPSTSSILRRNHRTCQFSAENGQLPIFMSGKTVQVPVPTGYENIDPTGDQDPPAVKVTLKHVYSYRGKDVRSNIEMLPTGELVFFSANLVILMNITGDDRSQRIYHGHTCDVKCIALHPNKILVASGQSSCHSSEKALKTEHTSPVESPEELVKQLEMEHTEAHVRIWDTVKLTTLMILNGFEKGICHVAFSRTDSGGLLAVVDDSLKHTMSIWSWQKGKRDGEVKAANDLVFECKWHPTIRNLIVLYGKGHFSFFNYDPATGVLVKSVATFEGRDKPKTVLSLCFGGDGQVVTGDSNGSISIWDPNTFRTVKQAHSVHPGGVYSLALAKSGKLLSGGKDRMISEWDVNDLVRSRRPIELPDEKGFPRVILQSGTDLVIGTSSNTLLHGNLENPGNLASLIEGDPGDLTSLLTCGSNQLITTSQCGTVRIWNHAEKRIEFSKKFMDSVECADVDATQTHVILGFAGGLWNVINISKHQTIEEKKEGSQAITAVKFTPVGGTFAVATKDPSLTIYRIDGSKNLLIVARIHHLPAPLIAMDFSTDSQYLRAQSTGAHLLFWSKTGEICDGSAVRDVKWASTRVKIGFETALVAHSSNGQISTVSQNEDLAAVGMENGTIRVYQSPVTSVTAGFVELLGHGKLVKSVAFNTKSQLFSCSPTDNSIFEWSLE >CRE21166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:417065:420633:1 gene:WBGene00063531 transcript:CRE21166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21166 MLPFLLYFLLPVLAQSCLVIRYTEPPKCECQWIPLNRENIESFVRENSFYNQNVSYYNDDWGYSLLLFPVSTQDDCSLSIYCDTEGLSLVVMTKTFGILFGAYSADALCNPYTQKWQVDNGAELVTYDELYAVCVDFILIQSCLVIRYTEPPKCECQWVPLDKTNIQSFVSENPFYTENVTVYHLYSLLFPPVSTQDDCSLSIYCGTEGLSLVVMTKTFAILFDAYSADALCNPYTQKWQVDNGAELVTYDELYAVCLDYRLSTTPELPARTTGTPPPEIIPFRSAVNKVLILIQSCLVIRYTEPPKCECQWVPLDKTNIQSFVSENPFYSENVTVYKNYQLYSLLFPPVSTQDDCSLSIYCDDEGLSLVVMTKTFAILVKNFRERRVTIILQLGAYSADALCNPYTQKWQVDNGAELVTYDELYAVCLDYRLRTTVTPPPATPELPAPATGTPPPEIIPFRSAVNKFLSWFW >CRE21164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:413153:414396:1 gene:WBGene00063532 transcript:CRE21164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21164 MGSITTFVTLHHFAFSPFFFSRKLFAYTGKTEKHKKSSLLSHLSHLFFNVFDCREYFVSQKFKFPLQTSILPHLTLLYSYEMLPIPAVSTARKFQVHGPNEPNMIDFNNMVSVIHQIVSHRVPTTRISTFLVTLISFLLVLVVFATCVMCITYVRRKLKRRQENGLLCRDATSETLIESSLINSDTHTMYSAIDV >CRE21243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:400165:401708:-1 gene:WBGene00063533 transcript:CRE21243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21243 MSTSALVAKSDLLKQLPSCSYSIPPADLDVFSWSRIFENVASSVPLKQALEFQTLHSEAYFAVNRAFRKVKKLKIEILNTDATTQVYFVQNSGTKNSNVAVEMIRFVLSKARKVTQLELYFEDVNLKVLNRMLDEVVKSENVQLETLRVKRRHAGQSVTKIGDVIRANASTLREITRVGISEASHGFTDKIRLDRFGCMSFDLGFQPTPHQVPLHMIRITESGAKFDNFSYTSFSGFDPSDEIVQNMLDGAEVKTLKLTMMNAPMIAPRPGYMIGKIRRVERVELVEIVAEPQRINKLFGVRHIFEKVFPNCHDLLFLQQWD >CRE21163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:398579:399895:1 gene:WBGene00063534 transcript:CRE21163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21163 MHPEEVTNAGYWDFVQRQHLNPAPPTSYNGPVQSLGNSGPPPRRRVLLADPRGPPAPAPRPALLPHPPAAPVSYFPHSQPYQAPPSRPVLLPTPLPRHHPYPPRYGSNYGGQVPAPKKYKPSQSERKRRKAARILEEERKKAQASSGTSGSSDNPGSEECAEEATSSETSGGVEAIADSEVLATSSAPESENSESVDTPSTSTVEQESETIATSSEARTEDKFREDSESKPSDCPTASSFTKNDIINSEATSSDAPKITKTGDSNKPPENEDVKIELPDDIPGSSSAKNPVEEWVNSIMNEKS >CRE21242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:396418:398151:-1 gene:WBGene00063535 transcript:CRE21242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21242 MEAWTQFFIRAGIPKEIAQKYAKSFHNNRITKEMLPELDKSTLSELGVTAIGDQLCILRRIKAAKSAIERGNDDMDEVEAPKTAPKARITAPNSEFSTIPDHRRGKPPPDRHEIYHVKMPVGNTHRTREIMQKAEQMREQGLAVRGTTGVRQGGRSVSPIDKSSLAARMYRKQMPEVSSSTDRRKIGTKIERIAPSRIKKTITNRPSLSSRLTSSSAATPSGSLRISVDPNGKKTTSRIEGRLQKIVLPVRNPPTEVYVGGGDVEEYEMEEEEEVLDYGDDEMPYEVRTNRRRVRRRRHRRTSAVRESPTPLHHPTVWLVPFNVHQHHNNLVTNRAPMAPAPTTVLIVRNSVSSKCHHRQSVNNRQFSIESLVSRLIVVVNFCSNLF >CRE21241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:386671:387905:-1 gene:WBGene00063536 transcript:CRE21241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21241 MHLTTSVALLAVVFLGLPEGTFEVIQNPEDNFHQNDNIQCATNGLCGYQPGCGAYQPQAQPACGCQRSYGCGSYGCYRLRARGAKSYQPKRGRSRVTVSGSASEEIDREVLRQRMAMERVRRKERLTDSEEIQLMSPSMARNRDSEEPINPDRAFYECCIDRKLPDACLSKCSFGAFTKSSLQAMYFKQDPCPLDAMKEMQFCAAQGRDHRACCARNGVTTTIAGQKCLSFCDQRLGHPQQLDMSYVPCFDRFESMKSCFWHDMTRYYRRV >CRE21162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:384722:386546:1 gene:WBGene00063537 transcript:CRE21162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klf-2 description:CRE-KLF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MF22] MYSSIVPSSSHGYYHHHQHPQHAQYQAYQQNYHQQNNFGQAYNGATNATAAPVINVHNYHFHTGPVNNQVIEQHYTHHNHHQFDDNIQNMDHNTQQPFNFSPDNNPTNFNEHSREHSGSGSESSTPFIFEHKVFIPSPAASVSSYSFSSDLSGKDEEDPRIPLKDRGRVYHPQSTEKPKKVPSKRRDKETLDRLRVHKCLYQGCGKIYTKSSHLTAHERVHSGEKPYPCEWPGCSWRFARSDELTRHYRKHTGAKPFACKECSRKFSRSDHLQLHMKRHEPDGMMGMIQEEEDFKEFKEFMNFV >CRE21161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:379409:380957:1 gene:WBGene00063538 transcript:CRE21161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-35 description:CRE-SRSX-35 protein [Source:UniProtKB/TrEMBL;Acc:E3MF21] MEPLLIILLVIYMTIFVVGFTGNLLMVLVTFHSKNLRSICNMLICACCFCDLLLFTDILAFFIGSFVEISQQTCFYMNIPADFGAFASNACVLAVGIDRLIAVVNPGRYKQLEMERFKYFSLLMAFPVLYALALLVVGFGERDPTKVLPCLLPNSLGHAYDLFALTSFGINLFVPPIYIYVYYRIKRMRMNNSMKAVFKSLFVTVCLVVCGWMTTDLIGALTVTLPMDDKVKMMIQLYCGTFIFTSSAFNAVVYYKLSRDYRSAMRTMLGISNETQAMKSTTYKEATDAQKSTMNRASTNTNAINTDLHV >CRE21160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:365330:375751:1 gene:WBGene00063539 transcript:CRE21160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlt-11 description:CRE-MLT-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MF20] MRLCVLLLAALVVATEAVDPCKRQPFRGRCPSQNGETPKRSQFVLRYYLRNGECVSYPYGHCATDPSEPNLYRYKEECEDACISNAPANLGVPKSTTEDYQKEILAKEVKRVEFYATSTLNYGPLGTKQTPPNVYTLPVTTTTSETTTSTYTYPTTTIRITEAPTTTQTTTTEDPQPFEFHEEGNRATEPAEQVDEQPFNFNEHDLGTNQQPITTSTTAQSETTTTTVQVTTTTEAATTTTEEPTTTTTEEPTTATEEATTTTEEVTTTTEEPTTTTEEVTTTRMSSTTSTTTQAPSTTSTTTQRRQTTTESTTTATTTEESVPTTRAPRTECERRRASASTSSIRGGFVPVCTSSGDFERVQCETNGRQCFCVNTQGIEVPNSRTRDGTRPDCYSIQSSRQVNTKECVGASTAGPCHGSFQRFFYNEDSQKCEQFTYSGCGGNGNNYESREACEDRCAPPPVGLPKCEIGEPLKTKIGVPVNCAKTDCPSGYRCSVVQHSSVCCPENNKAGELNFFAFEIFNIYFITVGLQTSGRAHRCSLPKERGPCDKYELRFYFNPDLNECKYFFWGGCEGNHNNFERVEDCENSCGVQKSGVTNRPNTEIRTTQGIRITPNGGKLSWEETSEEEELPTTTPLAPAPTTARQTPRAPAPVHTTQRATAPPPTTTTTRASRVQAARAPAPIVADEDSEEVEEEVTEEPEELDDKETPIHVQPPVSQQNTVLLGGIDDMTTDSVNRCLHPQDAGNCRGQFVRWFFDDKTKSCDVFTYTGCQGNGNNFASKEECMAICHKPEPTPSATPDFSQVCVNDVDAGECNGVFERFAFDAETQDCRVFTYGGCGGNGNNFATMQECRSRCVTATKKPPVSTCEADIDVGECAGVFSRFAFDKSINACRSFTYGGCGGNANNFATLQECTNKCVNRPVCPEAPACDTNRCQLVNDRSGCPFCSCPPVKQASPPGTITPPGPRDNLPNCPAFDRSACHEPCMVFHNRQGCEECVCPHTAPTPPHVPTRPLTTPGVPQPPSPSRRFEAGPPSPRTTEAAPPAPATQPARQFAVNTLQHQQVKPDQINSLPHSIATQVQEKCLQPVEPGPCKNFDDRWYFNMDDGTCHPFKYGGCAGNRNHFFTQKECEVHCARFLSGSATSSTALPPHVNFSPTSSSESEAPEAPTPTTETLEAFPAPKLHHRQFASPIFKPIVPQNYGSDKIDSYSPPTPNSNLVGLSPPVHPTYFTYNGQDQGQRRAFSAGNQNTENRIEVGQQLEAFGGQAFARGRFDGRQRFEVPTGGIHQAPETVTSSVPPVPPVQRRGRFDGRERLAAPENNSHRHLGDVTTSRAPEHAPEDISAPEVPQSRFHQKTRIVDVPIEERQFGREPEVEPESARPQETVNRRPEAARASGVPLRPQESFGRPQPLPTIRPEIRQESRPTQKPFLPQDHTNLIRVTSQQSRAPESTIIGRPVSTFQQHSVTSESRFPHRFVTTTQAPRPETKQTPWFQSTSAPWWLRSPAPAAVPVVHKKRPELKIEKLEKEGSSGGPARFNFDPSRYTFYNGDYFGPTIPGIRINQTQGLFVQGGRGGESDDKEAQEAFEQFKQLSKGFQAEANKFLEQEKKAGRTPQQGSFIIGSVKVGDENPKKGIHDDEDDEESIEEPERPQRPRIHGVPIQPTVPVVVPTYSSPIPAVRAPNGKIEGLETIGAHQEPHPPAPATMIVPRLPSARIQGFQVTRPSSTTTTTTTKAPTTTTETSEEVQEVHEPVHREPEDVQPLPVIKEVQEEQNTGKNVQLVDLEKVNTVNTVNTVPKAQTPTEDFDRDMMLGSGEGSGTSSEFEMTSEEVEEEVKERHEEVATTTEEVTTVEVTTPEVETTPEVVSTTTTLPSSTQFTSAESRFRATSEEVTSTEVVSEIATTTTPLKKIQIRPRVFSTTTTTTASPSTQTPSVPSTTSQVLHHHSHPTHGPETITSSESGEVVSDFAFNSDEEHDENTEIVETSSADSSARAAGSKTLDAVKALETRKHDKEQENGISRQMENINIDLPESVVTLAPTPVTTTTVSPTTTTFGTTPQPHFEPKFDGRVVCAMPPDAGVCTNLTPRWFFNSQTGQCEQFSYGSCGGNENNFYDRNTCERKCMPRKLSLFSTSTQVLYVDYFQTTSSSPKYQTVAHTTKTLAAGLGGNTNQFETLSECERICTPSGPKTPTLPPQPVQELPEEIITPSLPAVPALPALPVVPRLPIGAPGSNGPRPVAPPLPTPTTFISTTTSTPFEDSEEVYDDEPEEPIIVPEISSVMPSDLASHNINENIELRLPEVAQTVQPTFAPAPTSPTTQAPTTTQPPTTVAQTTVAQTAASVAAVAPQVPQAPAPQAPAPVAPVAPVSRPMVPVQLPETPETPSEPAAPQPPTVQTSAPTAPTTSTPLPPSLEPRPPAAHGVKNVEKATSTYKAEPVLGREHIPTANDGQPLVAPSPKETVNYQTGEVKSKAAGIKSFDESQGGKLSVDIFNKAGPTKSINGMPACANGRTEVRYSDGRPVMCLPGKNQCPDGSSCYFNGIDFFCCPEEEDPYDKHAFGGYGGDETKNGYKVFGALNIRRLMDEVPLRQKRQAQVNFNIDSVVAPLRFDAEKPRQVSRALRMKSSPAVPRHGANPLCIQPVVKGSCQEAHLRYYYDRVTDSCRLFEYSGCDGNANNFGSLEDCQRLCVLNIQSIKNGQHATTTAAPMITPEEDEKLAPGQCPGGRAPLGGSSPVLCGNSTESIGCPTSYYCRRGPPDVCCPGTDPKLMQPEEIVKDVGRGVVKNESHMPRGFNRQIFLSTPKYMCPDAADPLMLENGEPMLCGSGFDGVKMCPKGYYCAIDSARNSRLCCPLYGDAQRIAQEQVFAPRMASNTETTTVRLVEDVEVGTEEDEEEEEEGEEFVAHLKKRPEDKPVSVIEDLAKQSTDLNEEDTVSIDMGDEEKEVEEEITTTTEKMMVQDKSVCQIKPAEGRACTESETPTRTNLQFFYSPRDKRCKLFFFRGCGGNLNRFEKKSDCEALCL >CRE21159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:356047:357121:1 gene:WBGene00063540 transcript:CRE21159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21159 MYWWSSCTIWVRWIKDDSRAGEFLVFSLIFSETRVFLYFYSSELIIFQKISLGSEIAVPHTDDNQETGEIMIPFNDPSTTTTKRNRATTTTEMPKLSVGGIGLDRSRGTVDVRGMTSTMNPRFTAATKRLPSSDRSASSGCPNRIDAYTFGPDYDYAFFESTVYVLSNNRIRERKPISEEFPDGPHEVNGALFDPEREILWLIFKRSVYGYKESSGDWKLQSVFPKELPSSVAFTPDAAIRWHNKHQVLLSNGGKFALYDEYWNKSLMTGRTDSYFENLPDRVRGISIWNSRGHANVFTQSLVFEYDSEMKKVTGDGVPLGDFWRC >CRE21158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:350649:355480:1 gene:WBGene00063541 transcript:CRE21158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mnr-1 MKSSWFPSLLLLSITVSPALCRDYGREMRATRMSVVDFWKNETKGDGLNVRVAHGVNSWPDLVDQLHEPFLNKSMMIEGDVFMQAHRRPRHRAVPVMKADTKIADRITFKEWLREVATMHKAIKINFRSNEVVRPVLQDLYASQADPTSPVLQYPVILHANVFRSPRSVEMEVDPSTFVEKAKDLFPDATLSLGWTKQSNFSHLHPKFKKLSWRQLFHILEYISRLDQPVMLSVRLSVAAHSKEQLLWLLGMDQSISLLLWSDADDHVTNWTPIIELRRSTTKNRILYDLDPKHRKILQTESNDPIVTPPTFSLADWQAVEFTSSGSQLSTVVRSEKGPAFLGEPTALLLSKIPPPMFPSEQKISGKVHFLPKKGMENVDLDENSGVSIYLMDKVQDIDSPKIKNSLEVFIGFDGKIKITNGELKNLPYYETKSIGQLPESPCYGFEVTDLGWRVKLDAWTTECGDQKARKRRTVSIELDTPFQKNRHLRNVVVSKKGDSAMDFLLEELQHSGSNRLLTTTVFSTILLMIYLL >CRE21239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:339529:340995:-1 gene:WBGene00063542 transcript:CRE21239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-15 description:CRE-SRXA-15 protein [Source:UniProtKB/TrEMBL;Acc:E3MF16] MILFFLGFTFINFLSLVFNAFLFYVIYKQPDKTRQPTIYIYNVIIANSLDSITIFFTFLFPFLLEDNFYSDYRRILGPTLTFLCTFSYEHTFYLSLIMVIHRIHVVKEPLTKFYTNLKIWLFCGGFAILSFIFLVIPYFSSCPVNINQRTFNFAVDCANRHPITQLQNDWLILIPVLTFILNICLFLYLAQKRGEALRRRRLNGKMRTSGLSDTQTFSVVSISSTSNVHQLPPLVTRSKSRQSYEHSLLLQSIFTTSFILVYELTGLLMRIFRVRTLFELRTSRYSKTLISERL >CRE21157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:337642:338895:1 gene:WBGene00063543 transcript:CRE21157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-14 description:CRE-SRXA-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MF15] MFLVFFVFTSIFTVSLVFNIYLFLVIFAQPDKNKLPTVYIYNMIISSSVDIVIMFLTFLMPMAMDDESYGEFRQSVGPILTINCTFFYEHPLYLTLLMSIQRIYAVFQPFNRHFTTGKLWIYCAVMAVFSWISLLIPYFSDCPVNINQRTFSFAVECPQRHPITLFQNRYLIVLPFTTMLLNISLIFYLALQKSMVLKNSVGNSSTSNTSTTRPVFQRSRQRQSFEKMLLFQSISTTAFLLLYEVSSFFIRNFTAEYMSLSEDLRRWIFYIRLTPTALFCYLIYYIGTPSIRRLLIERTKLLVKGSYEGTTVIVM >CRE21155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:334000:334716:1 gene:WBGene00063544 transcript:CRE21155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21155 MCLCGLLNITHSVHLILCIEVFFALILLIQSPDLLTMNGTYFYFLGFFDGGGWFFFALHVSCEFFFENKYVQSIIDPFYSPVCITCLISSALLYIGSRCQMPSLILPHVFWQFGFIIVSVLAIIVLMTLGFSGKMLMPSSIVLSSMMGVAGEFLHFFSTKTFQFQVSARCGGVSYLLPTTDMFVIPRTGRSPRQCPQMRILCVPGTV >CRE21238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:325276:327134:-1 gene:WBGene00063545 transcript:CRE21238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21238 description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:E3MF12] MRKISSVLLKRSITSSFSHFSTTSSCTRSSFQYANLVVEKNTKKQRLPSDPSKLGFGRYFSNHMIDIDWDVKEGWFAPKIKPFQNFAIHPASKVLHYAQQIFEGLKAYYGVDGKIRVFRPELNMERMRRSARRSTLPDFNTREALLLIDELIRIDADLVPKTDQASLYIRPMMFATDQHLGIGESAQAKWACFTAITGSYFNYDRGIRLLADPEMVRSWKGGVGQYKMGCNYAPTIFVGKMAAMHDCDQAMWLSGEDRLVTEAGAMNLFMLWTNEDNELELITPPTDSGLLLPGITRQSVVELAKEWNLMKVSETNFTMSQLARAVQEKRVHEFFVSGTAANVGPVSEILHCDKEKGIMEKLLIPTMSSKMQLYKRLHTALSDIHYGRIEKPEWQRIVEL >CRE21237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:319354:320985:-1 gene:WBGene00063546 transcript:CRE21237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21237 MKLLGIVEILLIGFTTAVVSNLEPVKEQEDFIKELNKERRNFANKHKITGMEDLVWSSELQEVADAIDYKNLPLPEERKWRFTLVRKYTRYGIRDLQNAQIFYFPEKNKSVNDIGKSNKHCRGLEHINPLQNTIACAEKEGSLETDFKVICLLGPESRIIDLFQLCNGKADKKTVRADRLCPSLESLQYDQLYFISELNKARQENAKTKNVNNMHELTWSQEMHYKALSSIEKEKLPENRNFRIILIGSYKTGLKDLKMSEGSLTYTQISQKDLKDKKIKFYPNKEYLHPLQTDIACFPVKDSNATFCFLGPEAQSFEDIKNPPEQCKEEFEKDGDLCSRKPEIVTIPHNSETESTAPPPVSTNPPESAIQTPGTSESETESSPGTCALQPTTPVPRVSFTRFTTEKVTPEPPPRLPKELEDYEELDGDEYDEDFPTGEPPRYKRNSNFVPSCLFSVLLVLVSCF >CRE21236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:312893:314358:-1 gene:WBGene00063547 transcript:CRE21236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21236 MSSDVRKPLDPSTPYSRTLKQYSIDEKLVIISHAKNHGNRAAGREFNVAESSIREWRKNENKLIHNKNNATAGLAVLASSQPTSATPGPIHHNNNNNHIKNINQNPQHYRPPTSGIFGMAPAENNRRTQEEMATLRLFQLAMMDFNLTHLSPLLQLQHQQRLLQAATSSSQAPPPPPPPEPTSTASSVAGTPSSISSSSTPPPSLSPNIPSGAGRRKPKCPQKIVEASE >CRE21154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:311318:312661:1 gene:WBGene00063548 transcript:CRE21154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21154 MHIHKHIDRNRWLRKAGALGKKECTEYGDVGVRLPKLRPSLAPRAHVSIHTRILLFPSSSSSFCLRPSPLQLTNTKMADAAKNALSSIFDKTKDVLSTAADATKGYASQAQQAIGKIIPGANHESDPNAAVAAAQAASGQPADASTGVPPAQ >CRE21153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:304566:308808:1 gene:WBGene00063549 transcript:CRE21153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hif-1 description:CRE-HIF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MF08] MEDNRKRNMERRRETSRYAARDRRCKEGEIFDELKQVVPIVEEGTVTHLDRIALLRVAATICRLRKTAGNVLENDLDTEFNNEVWNEETIAECLDGFVMIVDSDSSILYVTESVALYLGLTQTDLTGRALRDFLHPADYDEFDKQTKLIHKPKDEEYDSTGINMVLRMKTVISPRGRCLNLKSALYKSVSFLVHSKVSTGGHVSFMQGITIPAGQGTTNANASAMTKYTESPMGAFTTRHTCDMRITFVSDKFNYILKSELKTLMGTSFYDLVHPADMTIVGKSMKELFTKGHIRTPYYRLIAANDTLAWIQTEATTITHTTKGQKGQYVICVHYVLGIQGAEESLVVCTDAMPAGMQVDIKKEIDDTRDYIGRQPEIIECVDFTPLIEPEDPFDLIEPAAVMVRQREPEQQEPVVSKPADMGTRKDSYDDVLQWLFRDQPSSPPPTRYRSADRFRPSPETSNFDTAMASPQFMDSSSRASRPKTSYGRRAQSQGSRTTTSSSSGSANATQPHSANYSPLAEGISQCGLNSPPSLKSSQIMYGDSRPMVGRCGPSDSSRRFSAIPVSDTLDVPSAGLAGCHDEVITDVFATMPFTDSLIAQEQCEDGNGLNNSSPTLANGCEPIICDNLEWEEPDLSCLAPFVDSGDMLQIGDGYSPEFQALYDLPDFTPAVPSAPAARPVHIDRSPPAKRMAPSEMDFMYTNNQYQPAFQESQYWQPTRDNSHQQGNYPSY >CRE21234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:297049:297759:-1 gene:WBGene00063550 transcript:CRE21234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21234 MKLYLLIFFSLLQLNNGFFIPPENFQKCGLDESLGVILCIAPVTTLFQDNINLQNITRARGIRIVDECRNATTCLAQYPCVTQVQLDKVFNLLCDTISYFSTDFASCQKKLVAQMPPCMRDAEKNLLNIEKIGHPCDLISKYQPCMQTEITNICGEKYWTPLDTILKKLQKYAHIKCPIIDTEV >CRE21233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:296491:296792:-1 gene:WBGene00063551 transcript:CRE21233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21233 MQPLSIILFCVLAAYAYSQCCSGQPLGACINQICPNGTMCNNNWCCPGCYDTCSNCYMYTAYCNNPYYSCSMSCCKATCGQCHP >CRE21232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:287453:289155:-1 gene:WBGene00063552 transcript:CRE21232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21232 MSALLIWDVVNEALLNNSSPKKRQLDRKASKLVQHLVSILHEYEHGELEMEESSEVDRVEDVEMDHNYDPSIDSDVRVLLPTDPVHPKMCRIGDQLVDINDAEKAVAYYGSFGDAKRTGKKRPSWSTVNKKFPFIKTEMDLRRLADLEKNGVEINANNAIQFVSEEMYKKVSQHLDDGHIIHDRDLRQIAMDIVAQNNLQIRFSASSSWIDAWKRAHRISSRRITKFVSRKRFVDAAEIQKKSEECVKQVKSLMTGYSNHQVYNADQSGFVFEMHTMRTLARTGVKDVPVVVRSESNMKRSYTVMPMINADGGFAPKMFVVLKEPGGKLPAKGHFPVPNLVVKAYTTHMMTKGLMLEFFQECVFDKDMPDDLLLLLDSWTSWRDMVAIDSVKPASTSLKTVTIPPGCTGRIQPLDVGVFGQFKKIIKAINAYAQRNYPNFHVAVRDNILKVSVISLVFWQMSHPSLKEWVKEAWFLPGYLNSHPLPYDTPFDLLFPMDVAGHCEIPSCQNSSFICCLYCQKHICFSHFIEDYHYTKC >CRE21231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:278694:281292:-1 gene:WBGene00063553 transcript:CRE21231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-264 description:CRE-CLEC-264 protein [Source:UniProtKB/TrEMBL;Acc:E3MEZ7] MSSSLTSILLFLIFLIPSTSAKTPCSPSWLHIAHLDSCFLSAPQPAEFSEAQDYCSQMNSSLVVINSEDEGSIVREFFARENPSFFNWIGMRWDERRAEFEWVDGKKRNYTYFLPDEPGVSGECIAWVLDDNLDGWQAISCHYSQFFMCQKPAEGIVTTWHRDDEGVITSPNYPEPYENLEYDTHIIKSEPGTRILMYFENVETEQNCDVITVSDDYGISGRTLFRLSGTHRNHSVISNRNHVMLNFKSDEDGVGKGFQMRYKILRPLPLKVFSSNSYGTVTSNNYPTSPDSFLIQYYLIQCPMEFHVALTAKAMQLDKNDRVKVHNGADEKAKKLRM >CRE21146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:275942:278243:1 gene:WBGene00063554 transcript:CRE21146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkb-6 description:CRE-FKB-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MEZ6] MAEKIDITPKQDGGVLKLVKKEGQGIVKPTTGTTVKVHYVGTLENGTKFDSSRDRGDQFTFNLGRGNVIKGWDLGVATMTKGEVAEFTIRSDYGYGDAGSPPKIPGGATLVFEVELFEWSAEDISPDRDGTIQRTVIVEGSKNSYPNDTSRVVAHCVGTYQGTEFYNREVTFHIGEGSEEGLPEGVERALRRFQLGEKSKIEIRGHKYTYGNNPPAGSNIPVNAPLEFTIFLKEFEKVPATWEMSAEEKLEAAKNAKDRGTMYLQKGNLKLAYNKYKRAEEVLEYEKSTDPEKMAERETILNGAYLNLSLVCSKQNENLECIKWCDKVLETKPDNVKALYRKATALLTMSEVRDAMKLFEKIVQVEPDNKAAAQQILVCKTTIRDQNAKDKKRFKNLFAKISDDRDDVEDKPTNTVEDGDEIVASTSGSSNSMA >CRE21230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:271749:274776:-1 gene:WBGene00063555 transcript:CRE21230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21230 MNITDEYDHLAPKLIGKKEGTFAYFTVRDRWPKIVTSLVDQLARRRAELIEQYGSGVERDIADILEKFAKLRYEIMTDKALCNLCEDRLDGRKWREMLNDMRTGIMPNDTEELTYFKGPWLFVECFLYRYIYSAFNITNHLGQMDYFEESKKKNFMDHLPQIEESAAFLMKISAKDAPVHELFGINTILKSSLWGNRADMSLTGGDDHTMKMSSIAASSKLADFVLIDDVNELICKVLGPLQINEKKLKNRRVDIVLDNAGVELAGDLVLAEFLLARGFADKVIVHGKAIPWFVSDTTENDFNWVVDQLKEAGPESTKFGEQLKKRIENMEIIFKCDLFWISPHPYYIMEKEAPELFEELTTSSLLIFKGDLNYRKLVGDRDWDLDTSFKTACRGFAPCPFFALRTLKAETVAGLSAASIDKLLAKFDEDNSWMTSGEYAVCQLGGV >CRE21145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:268746:271080:1 gene:WBGene00063556 transcript:CRE21145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exos-7 description:CRE-EXOS-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MEZ4] MEVHISDDEKLFLIHGVEQDIRNDGRACADFRPMILERGVLSGTNGSCRVQLGQTTDVLAGIKLELEAYDPIAEKQPKQPMSFHVDFSANASSQFAGKGGDQYGEELSAAFQVAYSRSLDIIPNLSKTQLAAGYRWKIHVDISVLQWAGSVPDACSLAIIGALSDLEIPEVDVTPDDGGKVSIVLKRPRIGGDVRDEEVPVAMWKLIVTSCPMLLTVSKIGTANLVDCSPEEECCIRSQLLIGVAKNLESTPESDDANEYDITCVKQHGGGLLEMESIDDMTKLATRTAKGLYEAIGNRLNTEEKRKPGHSFLL >CRE21144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:266538:268095:1 gene:WBGene00063557 transcript:CRE21144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-12 MFSRLGGLLTGLSSTISHSIRATTQIVPATPITTQFATFSTSFPAWRNNFLQFIHYRNGPPKRRARSKDKSPISGYSHFKGIVLKTVIRHPKKPNSGNRKCAIVRLSTGAEVCAYIPNVGHNLQEHSQVLVKGGRRRDLISVKANIVRGKFDCAPAGGARK >CRE21143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:263441:266220:1 gene:WBGene00063558 transcript:CRE21143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21143 MVLLDTEYVRAVVHNVSHRARRGVASKVRALKAAHSQARLRSYSHTCERAAYIDGRCEFYPSLSIPTWTSSGSGAENDGFADSSVQGAVNAPGTFSRLNSESEKDESAKVELRRLMSSSSRPETASESEHRRLVMFHEFRNAGVDVNTTQAGYGYGIDARIEPIRFPDRIPEQLVGERRNSCPVGSFGTQLYNEPIYEGDEDPLGLGIAPAPPTAPATVITSNGLDGIDLLWKGVPAPIHNIHANGDFVSNWPQKPPAPLGPLDKLQKQFEDIKLISTSSGESTPTTVRSKADEWLDDVLRVSMSMSPTSPQSSGTTVSTPPTSSYSTLPKSGPPPAHAPPPLPVRQAVSNGSPSIYQQQNSISPAPFSGSKLAGWNQLEFLTESHSNVTNSCETGRPVRCTMEQISCEQY >CRE21229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:254241:256334:-1 gene:WBGene00063559 transcript:CRE21229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sbt-1 description:CRE-SBT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MEZ0] MFGAVSLVVLVVGVTATLNGLDLENPGDFVLPSGDFIDLISRDAENLPDLSTYGVKHISGGAGEGEQKLLEEDNYQERQEVKSDNVLPAYCEPPNPCPVGFTKEQGCIEEFENSAEFSRNYQAQQHCICDQEHMFNCAEKEVQDVSESLQKILEENNMHANTIAKKFHDKRSSDEYVPRRKRSVPAYHQKVNPYLQGEPLRSMQKKNGKHSW >CRE21141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:250613:254186:1 gene:WBGene00063560 transcript:CRE21141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21141 MAILLTLLLIFASPAARAEEFVWPAPSDAIERKFEKAALTSDNAACSKIGGEILRKGGNSIDASIASMFCLGVVNPQSSGIGGGFLMTYFDEKSGNCYSIDARETAPASAHRDMFLNDSDGSKYGFKAAGTPGEIAGYWHVFKKFGSGKVSWKELVQPAVKLARDGHPVSPYLATVMKVKERHFRLFPSVKHWINPETNATYASGDLLKRPKLAKTLERLGNSPNPVQLFYNGEMAKTMAKEFQEGGGLITEKDLADYKVREYVNSNSNDHFRGTLTMCGGPPPSSFGVTQLIVSVMSKLFPEGHHDDIYNDPKVLHKYIEAMKFAYAQRTLLGDHDFVKGALELSKNLTTPEYTQWVIDRMAEKAQPSKNYGGIAQYVKPDHGTSHVSAMDQYGNGVSVTTTINRWFGAAVESTEYGILWNDEMDDFSTPGMENGFGFAPSETNFIEPGKRPMSSMSPMVIFDHVTKKVRMVIGGSGGSKIISALAKSIVRSLIFHDSIKKAIDAPMIHNQFTPDITQLDDEFPENMKKILETEYLQKFRNTTGFEGIIQGVNVLEDGIYACGDYRRKTEQEPAGF >CRE21140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:244010:248725:1 gene:WBGene00063561 transcript:CRE21140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-22 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3MEY8] MKNVFIPFLILLFQSPLSGDGAILQVGFLAAADNSTELAPFIGWSNVAGALGVAWSRIVEYGLLPQYETMNLTWVLTNCREADAVGSVINYAEGHAHVVLGPPCTRPAQVAGSVAKYLDFPLILWGPPFDSSLLNQFEYPTIASTTSSTLYQAKSLMRMLSYFKWTEIALIYYVARSDLIPRCTPLISDFETLVNSNDNLTITYRRQMSVITNTSYATVLRNLKELARVVVVCLESDEARRNLMISIAENGMDTNEYVYLMVESRRAGFSTTFWNGTDGKNDLAIRAARKFLVMDNQKYNDTTTFVQEVQTAFSRAPFNCPNCTNISPTISQVGPLGDALLLYAYALNRSIAAGNPTPTGSEICNVAKGMEFLGFTGKVIVNQNSTRTPIFVVYNIDTNDKEFAVMTIAEDLDDSSDPVASSVNYFITLTATAAKIWETWGGTAPLSTPICGFTGTDCPKSFSDQYLAIILGCTAAALVLIVAVISTVVFLVRSKRQEEERLNQLWQVHFATLVKPPQKNTMHSSRSLQSTVTTSTKVTINSKKDTERHSFFFLNNDSVVARKHNFRAVFTKNDRAMFRKMRNVDHDNLCKFIGLSLDAPTLISVWRYCSRGSLQDVIAKGSLQMDWFFKYSLMRDVAEAIYYLHHSPIGPHGWLSSSTCLVDERWQVESFFFLSIKQMPLKFQVKVTFFGLSAIKQFEVKEQKDFLHTAPEHIRDVHLPITKEMDIYSFAIICSELITKKSAWDLENETFDIEELVYKIKKGGRSPPRPSLETEDEHNGSMSLLVRDCWNENPDQRPTSEQIKTLMKSMNHNRSSNLMDHVFNVLEQYASNLEDEVQSRMKELTEEKKRSDILLYRMLPKQVAEKLKLGQSVEPETFDCVTIFFSDVVSFTTLASRCTPLQVVNLLNDLYTTFDAIIEQHDVYKVETIGDGYLCVSGLPHRNGNEHAKEISSMSFALLKAIKTFRVPHLPKERINIRVGLHTGPVVTGVVGMTMPRYCLFGDSVNTASRMESNGKRKIKCIFLAGRVHISTECMKFLTEVIGGYQTEPRGEVIVKGKGAVQTYWLLTDDEIDAKNNDQSI >CRE21228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:241009:243372:-1 gene:WBGene00063562 transcript:CRE21228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-14 description:CRE-GRL-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MEY7] MKSIVWVTVVATVTLHYSMATSDFGILKNDSIFDGGTQGNKAFLKTRLLENLNNFFPSINNEERLEMLTGSKSSSMEEKEDLEDARNQQELTGNSITEAPPSDYPTLIPFTTASDPEKELHLAAAPSSKVRSEGRITSEVKLDSIRDWRKRLYKAFKNRSKISRIIRKSPSEEVVEMNDISPTIMDKNRQIILSRTEPNWQSLSPGKHIQTYGRDPNGKLIPLFGLEPAPIYEERSEQKVIEPYPPREIRYAYRTSNRQPVVYVPAAPQTQNTIPVPVASYLVTANPSPQPIQLHILPPMPTASAPSPLQPQIIYQQTTQAPQLFSYSTFAPTSSIENQNINDCSNGQCRPESDDDKCNSQRLRTIIFNNIVSGDAESSKRAVQSAAEAETGLFFDAICGTGFFSYIAHTDEFCLASSGGVNCYVFAPVCQGTEEQRKKKSKLSKN >CRE21139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:233843:234136:1 gene:WBGene00063563 transcript:CRE21139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21139 MWFEQFYSGVITTAFVAGACYMSYPFNKWDVGRAFRRNYNTPQRVELSKRDHRLTGNQYRIAGLDAFPEK >CRE21224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:226552:229773:-1 gene:WBGene00063564 transcript:CRE21224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21224 MTTKTAPKPGTTPKELKAKKDSKKKGNAPEPPKNGPPKTSPPNTIEKKGILRSLSFQFSNLTRKKCNDYDLTPEEDGVGYDEMGPVLGVQNYGILMKKYKRKNRAAKWAKRFFVLKECFLIYYATSYKKVFEKTRRIDLHPKGIIPLIGCSIVSGGDVDKKNCLLIAHPQLPTAIIVAAADHQMQEKWLKALRSATKISYKNTVVGETMIRELENRGVLLNEEKKSYEERLEAEAKARKQEHDRADGLAKDKEELEVEREKLIRTTKKLKDDLQNVKNELKMTNEMKKTLEQEKMSLNSKTEHLQANMESLNIEKEKIHEQLQEIVREREKVLIDNQNLSTDKCQLNNRLMEIETSRNCIMTEKEKIETLLKMNEQKTQDLEKERQYYTMKTSELMDHLKEVSDQRDLTESELKEQMMARMGAEKQLQAAEKALEHLEMALKMTGAQMTELQEHIMPDVHKLREFFEQCAEESRFEANRTGIMRNAVYARKSIRRSKRGIRSSIRKKETAAPQIKEPLMQL >CRE21138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:224562:226385:1 gene:WBGene00063565 transcript:CRE21138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21138 MPSWNHLPVEIKQHVVKKLDFMSRHSLRNTSYCDRLIVNSTSIILPRVRFGYKNGRCLILIYTGIDKFLRIEFEIQKNGTLVHKSENSWASKDTSKKLMSPSDPFPVAIQVLKSVLAHKSILINAMEWEFSLKDLDKKVQNSVIKLLGGAKFRVIEMVPTLLTWNCLVDFSESVAHDEDLKSIRRLRMYAAIKYCNPVSAYEKTQFVRGKHLYDTILDMALPSNITEKFQNCLMEHSENSPDDLLQKLMMFHKSHPVGTARELLDETLVCWSRKSECGRWVYVMDVKYEERRNAPFETIQCGLGPFCRRCSDPFDYWYYHDLPRRILNENFLDEIIINRNDTEVREILPILYAREEKEKRSILSNGVRRELEVSSWGFKRDTFSIDKKKRKKKYTEDIKKAEVVKLTPEDTICEKQDISGILQSIVTAIVFPISVSFIFYVIISLF >CRE21136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:203326:221611:1 gene:WBGene00063566 transcript:CRE21136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-80 description:CRE-UNC-80 protein [Source:UniProtKB/TrEMBL;Acc:E3MEX9] MHVRYLQHEHTGTVQLLLMPLSTAASFKSAKWTEEEGEGECDSVPLPIQTFLWRQTNPFLGDKIGKLHEASCVTFERVVVQNILHGLSPSLSNALASVSRWKLVRAALPHVIQCCGSLLSANSGEKKLPTSLQKILYILHWMLIDSSAECIESTSTKEDPNPTQSRTQGLFNISTIQLFIYLIAPLADIITEEEVVDNIRLESGLKIWQAIWQFRQPDIWCFSAPVKQRRDELPQITFARRHNPTLSENQGIYLGKDENAPRRPSIVPPPKPPRTDAAVLHEKRKLEEEKLKATKKDYVAIEIEPEKPNQLLIDMTPKGFERTSSIVRSVSEYKTNLCGQKQQISKSRTSDAFDVSPTSDSSAKMLEEVDEIKFAENENCSLSAVFFTTDQAPLVNLSDICSGFSLEGSGSTGPVEPAIKTTCYDLDQPSTSDSPPRIPENSMYLSCTSSSSDVPPFVLTRASTTEETASSCSQQTVIPLQIPVPPTPSPTINQTTLTPIMKTENRRTDHQRMPASQKSVSGSTDDELDESAFADPTIASYLDVAVIRALLITHWQEKGVYWALSYIQNRLIEIKAYMIVRKGARQRSNSLPSGERKLSVAPDQFTNPAWDDLKIENKEEGRAHLHVAFNDTERRKSSDNCLAPHPTSNSRRSSLNTLSRRGANRSNPSLNNSVEVLSIRDDAEDDVSNISSKSIEKENSKINAVYFPEALGSTNFIEKDGKISATVIVQTVNQVMDRCTGVRQCELALNIADVLLGTPLEQTETFFVQLNIMVFKIYLCLGCPHGCNEGVKSPHGDFLRAKAKAILAGLERVQPEKFKNILNDYVDNYGTQQVIDLLHSITAFCRSELTPSDGRRTSESRVPSYRNTFNEKDKGIEGRIINATYKTLITKISAISAELSLPENMSLQQDVRMLVNFVQEHHGNPFRRVGLSALKDATAKPPSVGDSQKEDQNGSPGASSQKQGNNDQASLRRGLFKKKEKSGGTTTGNDDSEGDSSPSTPRTVSSIDDGVSPLANTYYKKKSAPKLHFAFGLLKSVKPDMDEETSDNENEEGTSQDSEMPMKRQLRQSSKQVKARLPIDAKGGMRLWGTYVPPPTYIDVKGIFDGARRFAFLLETARPGTFPDAPLIAAIMHLRSPVLARASLLLECSNFVSRCNRGQWPEWIRSSHHRTFSLGGALANRGTPSATRRMHSLQRQAGRYFYQWGIQIGEHLSKMLEMSEKKNRKVLQMEDSIEDFFDDGIMNDSSGERCPPALQFIAVLLLQEITAFLRETFKTIPRSKNSKPQTGNSGWDKLLSHRRWSILSNTFNAQQTGSVNSITEINSSIHLNDKERRISLSAAEEDSPRGSKDAIDEINAVDKKGSVHMATVPVVRPPSLSARLFSRQSTHEESGGSAQGSTKSTTYVPETGRRIATGRQRLLKRGSPMTAGAQPSLESSHKRKSFRNRKQSKQAHMEEEEKSDGAGATHILSARESLKPTDDGLQSPVEPIHPTIIPHSNHGSAHSQQPVALKSSMDDEEQHMLSNLPWIKVLIKFSNSFDLECAHVGSCTAKCFQRIHRQCFRMIEALSTVYGIERNVSTRVDKRKLLADDWQSKQQALRRSIHARQSTAVPRRESAMVGQPEFASKAIKMMLMEKMQQEKEKEKEKEKEEKEALKKQSVEQDRSSEDTEEDMATPEKNKQMLTYLRSLVLQLVHSPVSSVLKCCLLLNVEQHKQMIGVSWKMLTHEDPHVVAAAASMFIVASVKKSDDALAMIRGALDSAEPQERTSGIQRFYTLWRNRFHAWLKMEDGAQASFKVPPPGIDFTLPSPAIGQSQLPVVDPPWMPHLKTKIEELSLKEEEHATSQTIMTMTRTRRKQKQEMVKRAVREAEERQCEQRQLFRLRSSAIVSLAAYEPALFHHQQETTEESENAHQHTRHVMPVAQPLFPSALLSVVPQIIELLDDPQVDNNGVSVGDVAKKVIWTCIVEDPSLFLRHFLEKLTNRDRQVLINEFTPTPAYEVLMSQLRKLVLRFHPLPSQAAHSLLNYLFGFVMHYVRAQCEGSEKAIGMALSICWLLSPNIHGLYFKDLKQTLKKEQCDQALMITANVPSAKKIIVHGLDSGAGGIPSQFPVHEDTQFHQILSDSLEFFNIEEDDLNCFYLTDTKTGVIHLPAAYVRDYYFFHRSFYPQLTLVKLPPDLAEKRMKDTAFHQRFIECGKVLLTHNILKYSPQHVIAQRVFFLHDEFTHLPSFPRKSLETCFGMYFGPGGEQLKAMESMHKFVWAKLMSDMFEKMENAFMFADLHLFINVINGIMIMHCEDVLILRRCAATYISISIHFNTLFASQGFFLIMPTLLRCYSQRQTNKVFCGVVEFICRQFYTLHRKPFLLQMCGAIANIIDNSSNDFEINPMRVKAKYWFNLIKKMEEITDEDPLDILGLVPYEKPLKALDLCYRDDPNTFCALTDAMASCICVCAFAPESKRSHHMLLIMQAMIPHMMKRLEEETLLSGNSPSAVKHEISQWITMAVEMKALINSCEQLVRGPTRAFDLVNSVSERGKSFVADSPQFFDPPTTNDDENSRPYHLKEKRSTAVAWEAAEVEEQQKEAYRRPRDTLLQLIAVYIETAAIRLKDLTKLGANLEHAKIPDVLDHKCYVKLGEIALALLKVAPYDLATTTCHGLQKYFSVILPVTDWSIESNRSALNIILRRLDKTMSKIAKRQSFRKRAIWIALSSWINGICDTLNAFPYIAHLHPLKTITQLCLRMMVGDPCTEDGTSSTALHPTTVLHPTPPPQLFANAVLRLTTILMQALGQFAFSLDFVTSSEGMGVSSERLEAVLCHVLIPLFLRIPNNPKEQSIIQSKDLAQCLTVMQNAISPPLVKQPAPPLISTSTLTTTFIRGAQDVTGRQGSVSVTDRGHSATVSTHRIVRESICQSIYLGLKVLMLTFGKLLAPMWPRVARLVKDLLAKKPGAPSALAFVDFLLHSNLPIALFILPMIQNKMKQKPGTEQEAAWQTEILEKLDARSHNIIPMSILIVKCNQELQQLREELAMKPIEMARSYTPTMADPHSDSSAASTAPRGATSRQSIDRRTSVHQKKVLPTMKEDIPEDVEDSDEINGGGASETGGNQGRVMSKSPSIPLNKTQQSSRTRSVSGFGMWRSVRRKSRHISSAEESSEERGSVELHDIAQGHPSALHEPNRTPNRRSTEALVLPLHESIDTNRHRFVSFSTPKKTHEVSEDVFQITEQHQLV >CRE21222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:182702:183876:-1 gene:WBGene00063567 transcript:CRE21222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21222 MFMVSKPSFGTGCFASASSCQSEYNGKIESDAVGKQKSSIAGLKGSLRRNSSNLSQDLSTSAQPEICHADATNIEINCSSSASRAFYVRVEVPTGEHGLIHFSSTETTQVFTPLQTGCGHGIWKFVVCTMENGSEIAHSQVSRNLDGVGILFFNVFDDLEIRLTQQEFLHISHCHTIRH >CRE21135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:178905:179633:1 gene:WBGene00063568 transcript:CRE21135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21135 MLTRLITCLCIGIIIHADSSISTSAATSANVSPAHEPQTTPPPFVIDDELTKIAASCITNLEHEQLSGNSIRHIYVVAFNNHLIKEATNLIASMEMRAALGFAPPGPWTHYKEPSADELASALTVEEYFDLRDRFEDRSLDSFYFFEKNFPPVIAFLDKRFPAIRTIYKRKFQEILSDNLGGKIDRKTVDYIIYNYIYKIHPKVSNAIQQMLRQKSKYC >CRE21221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:175695:178070:-1 gene:WBGene00063569 transcript:CRE21221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21221 MPCWNYLPAEIKQHVVKKLDFMSRHSLRYTSHLDRLIVDSTPVQLPRVRFGYKDGKYLIVIYTGVEKFLRLEFEIDPSIDKNFVIVHKSENSCNPKKVTTKFVPFLTVWHCAIMHLKSLLAHKSIKINSMEWDVSPEDLKNFLAMRVIELLDGERFRTNEMVMRVHVWGELCKFWNNVCNKEELKVIRQLGLFIDKRGLVPVMAHQLDQYADGKLYCKFKTIIFLHILSLFSASIFMRMHLSLEDFQETYNNFIEAVKNYIDDLQVVTFKFFDKKNSHIIDEGGELGNVVVHPHKSECGDFIYNVNKKHEQHLDHLKTIKCDLGPFCKRCSDPFEYWYYQNFPRRVLHEPLWTDFIFNIGEEKTREKLRKNVLQHEIMMENIQKGNKKKTPEIPSWGFKMIPSDGLVYPVYPGNRGGLVKKNKKRKYKLREKKIIRNSAGDVVMDEREVDKMEAIEDLNNIFDSEDNVDISEDETASEEPAPENIPESDSSHVRDECLTDSKEKPEEIQTAEPKITLRNLQCIVLTVLFPISVSIILYFVIF >CRE21134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:173338:175510:1 gene:WBGene00063570 transcript:CRE21134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21134 MIIKSKLPNLEIPNKTFHDFLFDEWEKFKDDVAIIDNDSIEQFTFQDIKEKAEYIAKSLMYMRVEKSEVVLLVMDWSPAAIYISLGVSMAGAAIQIVSPKLQAWEMQFPVRESESRFVFSDSLGLREIDKLMKTLNREYRIICTGTRDFANGYPIIEDLAFAAAQDLPYHKIDPETDIVYLPYSSGIHGKRKGIVTTHRIMVAKTMVMWNPTQHTEFQRGDTTLTMIPLHKQIGLDAMYCALLNGLTVVTEKNFCVHSFMTCIQRYKIRAVHLTPYLMNLMMFEAENHAYNVESLERVLTGADAVTEELYEDFTACFPSVKRITQTYGMTEVGLISRNYKDDTQFTHSCGQLTANLELKVLDVLTGKLLGPREKGQICVKGLPADSPYLNNPRATEEHFIDGWRKTGDIGYFDEDENIYIVDKLKEMIKVFGYQVIPKEIETLLLTHQSVEEAAVVAINNELSGERPVAFVVLKKGHQVTEDDLKDYVNKRVIRYKHLVRINITQFLPRSACGTLLRRLLAEAAVMSVASIADVEKEFAEEVKHALAT >CRE21220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:166590:168518:-1 gene:WBGene00063571 transcript:CRE21220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-amx-3 description:CRE-AMX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MEX3] MIFFLLKTPIFQPKFCIIGAGFAGLRAARHFEQLGADYLLLEGSDRVGGRVYPFEYQNGFLHFGAEYVNGFDNEIYGIVEKLDLLDKFEPRTADLWMLDEGTVTVVDGKQVDGETLKTFHEFVKSLNETLYLESQKSKAFRKSVDSKIDENLDNSSIPDRDLFRKLCGIYKNYFQTEWSSPVQELSLSNLSLWDDGTDDEDSAVLNEYGFQKILEEFKSKIPKDKIRLNSKVININSENPDVKICLESGEILNFDAVIVTSSLGFLKAHHRSLFTPQLPRDKQEVIEKMGFGNNLKVFMEYETPWWSQDTSTIMITSEGKMNDFMVFQPSNWAENILTCWIAGSGPSLVAQLSDSELKNLLDAHLKHNLKSFHVESSVRIYRKNWISDEYALGSYSYLTPGQHGTEDIQTLGEPVIGEEGRLVVVQWVNRYAQATLSIKSYRPLVCFAGEHTDPTMYQTTVGAARSGMREAVRIMKAYFPCE >CRE21133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:163961:166544:1 gene:WBGene00063572 transcript:CRE21133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyb-3 description:CRE-CYB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MEX2] MFPLQRVKMMLLRSQAKNIDPAAPADPAKNHKRKQTEQLGALKNPTEPAAKKQHSKGLTELRAHISGFQIDSAKRDPRDPLGAKSSKEDAENIAPVPAKAEYVDPCPHYDYDAEEAANPESVSEYAPEIFDYYKAREKMFQVASYMHKHPEIDVKTRAILVDWMVEIQETFELNHETLYNAVKLTDMFLHNSRNIDKSTIQKLACVTIFIAAKFDERSPPLVDDLIYLSGDRFTREELLAMERNLFSTVGYDLGSPLSYRYLRRLGRVCRIDMKSLTMARYILETSLMVYDYAFVSQARQAAAAFVLAMRMLDANHEYEWNPVLQKYSGFTGEEIAPLVEHMNHIMHFVNAKWTQLASVRQKYSHEVFFHVAKVPFLPDTLRGVDEHTYAPLPVLSYP >CRE21219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:160805:163409:-1 gene:WBGene00063573 transcript:CRE21219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21219 MDCYIGATTGTFKGALLKDNTFENLNKVSTLDPKSDEITSMIWNDDQQTEILIARMNRDLQLYDIEQNEQVSILTVTGGTGPIKGLHKLDEKIVTCVESGELQVWNDKSETVSEWKCGPGVAVMRGSDEKPEIVTGGMKNLLKTWNLETGQQVWSAKNVPPDMLGLEIPIMITDARFIPGENTILEATKLHEMRVYDPRAQRRPVKKIVFMENPIMCTSLTNKTNQILAANSIGEMGLFDLRSKVHPMCKFKGQAGSIRSISGHPTMPLAASVGIDRFLRVHDLQTRKMVHKVRQLSKDLFFSIFEFQIYCKARLNRVLLRNELSILNDRKSAAKKEIDEDEAEYGKMTEDGYRSEGDDDDVIEEDDVWDVMEPVAKKKKMSHDDVEIVEEVENQKAKRKRKAVVVPEEEIKEEVESDDDVEEIQKPSPKKTLKSGKKVLLKKKARKV >CRE21132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:159111:160691:1 gene:WBGene00063574 transcript:CRE21132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-try-4 description:CRE-TRY-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MEX0] MICLIVVASLLSTVPSVITFKPIWIGDSFSTDSFLSPIQNQLLWETCGNQKESKHKRFPWAVSFTVDGVNRLGGSIISPYHILTAAHGFVTTIGARGNLCMDRDLKHPNNSVYRSIEFLRETRKVAYGGKCIRGFTDEFPNDTRCPKPDVVHNKIRSVLVDGDFAASNCTQGHDWAIVEVEKRIQFGKDIQPICLPRPQMYYTRALTVPGWGRSYIFNESGPLIHEIPMRVDRDCRRPWSDRLPASADDYVCATSMDIYNYSAPRTCHGDSGGGLEYRDEFGRAFLIAITSFGTRGCPSNMLARFTRVDVYLDLICKYTGVCY >CRE21218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:151810:154886:-1 gene:WBGene00063575 transcript:CRE21218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-35 description:CRE-TWK-35 protein [Source:UniProtKB/TrEMBL;Acc:E3MEW8] MLLSHGESRQSFKQKKAEEKRRRSSSGHQPQAKQSLAVLAQLMLPALIEEHTAQQEEVLVPTHYRADGSLASVATNRRHVLQRVNLLQRANGQMRKGAAGVWDIAGRAHLAGKRKVEQLQQKPPLWLVILNRAYHKYGLKHAVLIIVFLIYCIAGGLVFWLIEEPYQSELRDKWYQKIDHNRTERVDIMMKKVFNNSDFLIYIKGNTSTRLAQFFKEEFASYENQLGVKWSQQKMDWDFWNAVLFAGTICTTIGYGHIYPMTDAGRVLTMCFALFGIPLMLLVLQDFGKLLTITMKFPWFQTKRLMRRIMRCCTKQPIEEMKEIEKQERHDLDIFDLPLPVGIGLIVVWIFICSFVLSVWDHNWTLLESFYFFFTSLSTVGLGDLVPSSPRLLITMFGFILVGLSLVSMVINLLQAKMKSTYEAGRNEDKSVVHHAHHHALPTSLGVMRCYSVDEEKKADVSERSLSRSTQTSLSLPGVRQVRASYWVVLRSDGVHWVHTDTSSPTKSPDEVTNLVEMETALRVCEQIGDNNNEEYSEGESLICEAEALLELGECLSDLERCDSI >CRE21216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:146588:149000:-1 gene:WBGene00063576 transcript:CRE21216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21216 description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:E3MEW6] MPQYQPYSQITQLLPVSRMNRYIQDGDNPDITEERKKATFDVDGFTEWYHGGAKRLQARREVEKYVEDHKELQDTRPTPFMSREELIDNSVRKVAGMAKYHEMIDMTNIEKTTYFIQMVHVRDGQAFSLHYLMFLPVLQSQASSEQLSKWMPRAVSGTIIGTYAQTEMGHGTNISKLETTATYDPKTEEFVLNTPTVSGAKWWPGSLGKFCNHAIVVANLWTNGKCEGPHPFIVQLRDMNTHKTLSNLKSGDIGPKLGINGSDNGYLLFDQFRIPRENMLMRHSKVLPDGTYVKPPHSKLAYGGMVFVRSMMVRDIANHLANAVTIATRYSCVRRQGELAPGAGEVKILDYQTQQYRIFPYMAKVIAFRIAGEELQVIFKEISKKLKKGEASQLPDIHALSSGLKALVTFEVQQGIEQCRLACGGHGYSHASGIPELLAFSCGSCTYEGDNLVLLLQVAKYLMKVVTSASPNTNGICGYLHKKSQSNVSTLSSHKTYSDHQIVQDFEHATRRVVLQAFNKLKTESSIYKSHEAWNRCSVELTKAARWHIRLYIVRILLLKVSQAPEDIRPVLRTLAKLYIFDLQVSNKGNFMENRYMTPQQIDELKSGVSGALATIRTDAVSIVDAFAIHEIELKSVLGRRDGNVYPALMEWTAHSHLNKKDVPDAFEKYLKPIMENIRAKM >CRE21215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:143157:146137:-1 gene:WBGene00063577 transcript:CRE21215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttll-9 description:CRE-TTLL-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MEW5] MSSAKEPRTKLTIPTITTSQSTPQTPREQRKKKVVFKCALTNTISDVLTNREGWAQTQGDDWQFFWVTREWMTTCYDKHKFSEKQLICHFRNDFELTRKDFLIKNYKKARKAKEKSGVDVATEFNFIPSSYVLPAEYHLFVEEFRKYPTDTIWIMKPVAGAQGKGIFLFRKLKHVQEWKKKDSSGSEALPYVVQSYIHNPYLVGGKKFDVRIYVLVTSVSLLFFLLHFRPMNAWVHREGFARFSHSRYSTDSVDDAFVHLTNVAVAKTAADYDPERGLKWSLPKLMRFFKSMHGHSKVSKLMNDLAKVIIESLRSVQNLIIQDTHCFELYGYDILFDENLKPWLLEVNASPSLTASSQEDFELKYRVLNHMIDVLDIDKKLLGNENEVGGFDILVRNNKPVEICKVARHNQPFFTPQLNLRLGDYVEPTPMP >CRE21214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:136511:141354:-1 gene:WBGene00063578 transcript:CRE21214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbo-5 description:CRE-PBO-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MEW4] MNRILLLSFLLIPSTFQSTYTTEAYFDSSEEAPNVLLNHLNGSDDEELTQVNETLPTFVPGSSKRLTEYLLSKHNQHAPPDGLLYVEYELELVHILGIDELKQTMTVLIYVDEHWNDPSLIWDPALFGGITKTWIPLDKIWVPDIIVFNMLAHEDLLSAVRAPARIHYNGTVVASHPAVHTVSCEINIRHFPLDDQRCAIEIASWAYGHEKIRLHAHTDHSLEHYKRNEEWHLLNLNVSEEKYEHEGIEVSEVKFEISVKRRPLFYMVTLTFPSYIMCAISVVGLFARFSTTGEREERFTLGVTAILTMAVLSLVVSEKVPHSSTHVPLLVAYFLFNMVIVSIAAMTTGIVMKVHRLGRYGDEPHEFWMKLFLLRPIFKTSNKRRYRMNPEEPTQVILVSETKNGEIIQTKKTSELNGTAVKEVLLSSRLEALEEYIKKMVNRCESIKWELDEIDAAENIELVRRRSTNGYVRISERLDIVFMFFFLSTVTIPVAVLFYLS >CRE21130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:135067:136276:1 gene:WBGene00063579 transcript:CRE21130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-131 description:CRE-COL-131 protein [Source:UniProtKB/TrEMBL;Acc:E3MEW3] MVSSEIVVVGTSLLVFLSAGLFVLKTKNELDQVWSEFDDQVQEVTVLRDATWQDLKSLASSKNIPLDRTKRQSYYEKVADSYALPPSLGVEAHAPSISPECNCNLENNNCPAGPPGPKGAPGGDGAPGDTGPPGKMGQNTGDVQTMYQDPGCQYCPAGEMGPPGSPGKLGPRGQRGQNGAAGTPGNNGAPGHNGELGPCGPPGPPGPAGALGRRGMDTVREKGVRGPKGAPGPVGAVGMEGERGNRGGLGVDGPVGPVGIQGEPGRAGPVGDTGEIGVVGPNGQDALYCPCPKRVADGAGGGVYQPYKQ >CRE21213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:131324:133539:-1 gene:WBGene00063580 transcript:CRE21213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21213 MVVIPSIKVINFVLLPPVSLPSLLPSLLPHSFVTRSYLFSFPQYSPPTIIYSTGNMRVTPEQNEPLPTVSSTTIPVVITREQLSQIPVNAKIIPIGKEKEEKPGGVRYMVLVLTMTCLSFMMSNVICFNFTVLCMPGTGEPAELSENKTQYIGYSRKEKTWLFSAVAVGAMFGLFPVIIGISTYGLRKVFFAAGMLTSVTTFLIPIMAPMDFNLFLLMRFLQGIAYAACMPAVGAITSSWASMTQQGLFIAALTTFGQLSSIFSMPVAGELCVSPFGWKSVYFLHSLISMIVFIAWFAVFTDSPKDNRFVRTLELFEIQKGKSSAATAKVHEQEPTPYLEILTTPSIWGVWIGALGDLIAVQLIHIYSPVYLHDIGGYSVEKTGFAAAVPVLFQFLMKMFAGHSSDRITGISETTKLRIYNSIALGASAVFLVALGFVKEGQGMAGLILMTLATAMFGFNGGGFTKCAALVSRQYSHFVMANVQFLLCLSMLLCPLLVSYLLRDGTIAEWRLVFFVHAGILVVCNIVFCLLATAKPAPWTDRTLKPSASRNTPLYTLKA >CRE21129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:128326:131105:1 gene:WBGene00063581 transcript:CRE21129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21129 MKRKAVQNFGRIINTDENDTSLGDHPTKPFLGTAHLFEDGYVNLFGCSVNPFLEEYEDQLGVAVGGPNIHIYRMPVLEPKLELAAAGELDEEEDLYTVAWCYDKGENSHKIATGGVSGVVYIVDAASMEVQRQLLGAGNAINDIKTCPTDSEIIAAASADRTIRIYHIKEPTCLILIGGRFSHHDSIVSIRVFSFLWHSRSQPTFVNFEGKNSKTRFLFSDLEGHTMLIKKPENSINDVHFDCVDSLRVVDYKEKAYVISKSTGHGRKICFWRIGTFGQETEMVHRDEISTSHTKIAEMSIDDGYPWFGKIDVDVTGKWLAAPGDSGNIHLYNLKNRNERKAFLDLKVPDMKDTMIRQVMFSPNGRLLFVVGDAGFVARIDRVPDNATNVVDVW >CRE21212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:125692:127526:-1 gene:WBGene00063582 transcript:CRE21212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sti-1 description:CRE-STI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MEW0] MSEAAIAEKDLGNAAYKQKDFETAHVHYDKAIELDASNITFYNNKAAVYFEEKKYDECITFCEKAIEVGRETRADYKLIAKAMSRAGNAFQKKEDLHTALKWFQRSLSEYRDPELVKKTKELEKNLKEAERLAYINPEIAQEEKNKGNDYFKKGDYPTAMKHYNEAVKRDPENAILYSNRAACLTKLMEFQRALEDCETCIRRDPKFVKGYIRKATCLVAMKEWSKAQRAYEDALQVDPHNEEAREGVRTCLRSNDEDPEKAKEHSLADPEVQEILRDPGMRMILEQMSNDPGAVREHLKNPEIFSKLMKLRDAGVIQMR >CRE21127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:119281:121532:1 gene:WBGene00063583 transcript:CRE21127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21127 MGVYITIFLYAVTTSVFFPVFQSLIFYKACISLSNSTDPEVACISRDAAAKDEAVHSLANRILLTSSTGLCLTAFVTSRWIGQLSDMKSRKLAFLIPFTGLFISDFTIIIQVIWPRLSPYYFIVSEVIYGIFGGYMSITSGAFAIVSTMHTDSKERAKAIARLEGTISFGSMVGFLISSRLEVTGYLGMASFFIIAHLIAFVSAVLMKDVVYHEPEPEPTLLDGESKRKQFSLCNGASQLFENKSPTTKCNLRILYFSFAISYFAFIGSTRILFFYLKHKFYWGAEKYGYLKAINQAMTTVMALLAFPALKNAGVTDVRLAIFGLATRSIGRAWYAIAWDDYAVFGVVCFEMFSKFPATALRSLISSNVGEHERGTAFSLVAGIEAVCNLTSSWVFHITFPLSLKFFPELSFVIMPVVIIPAIVLMISNLRSLESTETSTEALTSPEVQDDVDAEKAVLHHVPTETDTLTDSANSTL >CRE21126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:111327:112540:1 gene:WBGene00063584 transcript:CRE21126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddo-2 description:CRE-DDO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MEV5] MIPKIAVIGEGVIGCTSALQIAKAIPNSRITVLHDKPFEKSCSAGPAGLFRIDYEENTEYGRASFAWFSHLYRTTKGTETGVKLVSGHIQSDNLESLKQQQRAYGDIVYNFRFLDDRERLDMFPEPSKHCIHYTAYASEGNKYVPYLKNLLLEQKVEFKQEEVTSLDTVADGGYDIIVNCAGLYGGKLAGDDDTCYPIRGVILEVDAPWHKHFNYRDFTTFTIPKEHSVVIGSTKQDNRWDLEITDEDRNDILSRYIKLHPGMREPKIVKEWSALRPGRKHVRIEAQKRMASGSGKEYTVVHHYGHGSNGFTLGWGTAIEVTKLVKKALGL >CRE21209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:108778:110410:-1 gene:WBGene00063585 transcript:CRE21209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21209 MIAVPTQNVVQLACGFFINFVAFNTQGFIEESVIDSVSRDGSINKHAGYYSLAIIYAFYTVGNLTAAQMVDVLTPKWAMCIGALCYGSFQVGFLFLNSTYLYISSAVLGFGAAILWTGQGSYLSQNCTKETSSRMSALLWGMHECCLIGGGLLIYVVFSVTDSYDIIPQFTIKLLYTVFTVLSIISACVFSLLREPVYKKEKANCYKKLMTSTFRLLITKKMWMLAVIFSYAGIEQSFWTGIYPTCVSFTRQIGYNTKGLMALNLICIGIGQVSAGILLGTLGDKTRKLGRDYLILFATLIHVGAYILCALNFPADASLTKTDESGMFWKPNKNIALAIGVLLGFADCCWNTQIMSLLCETYPEKSAQAFAIFKFYESALSCATFIFSSLVSLYWLLGTLSTFAVLALISFFIVERERVEIETTTDSVEIDVKI >CRE21208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:107919:108533:-1 gene:WBGene00063586 transcript:CRE21208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21208 MKLLFLILILPPVALSSGCTTTDQIRFLQCKGTIVKIQDLLKLYAPYTDASIPPTVFKQISKLCKRTLTCVEQIECVEAKKGVSMMDYACEGIEMSAGPFGDCMVKLQSNLPDPKKYQCSELFEKDSLDTISKGCKMFTEDVECVKNVAKDYCGAPAADAFKKGIPFMKNLMKC >CRE21207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:105037:105796:-1 gene:WBGene00063587 transcript:CRE21207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21207 MGGSRKKHKTKVVDPLPPPKTPKKCHRIQEIPESERSVSPPPGYSNQSCFSCYFVFFIFTFLVGLMVGINVFQCHPHHDGCSKAEQVVARHCAMKLERTRHMVETTIPDFSMTPIGRDIAEKCMDMEDCLSAVRCSDLRFPHRLPERCKIFKFFHIDFAACRDKIIETDNLPTCVKKFMVAGPVPCDVLKEHLECYKESIGKICDNESSVFHYFLKTHIEDYGDMIGCELNKTE >CRE21125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:103872:104899:1 gene:WBGene00063588 transcript:CRE21125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21125 MRQKCSNMLLGITCAMCICIALLVFIVALIYLSIFVIIGQSEQTVTGCSRMDQIRGMKCAPKIEELSLNFEKLDQGYSNPDRFKNISKTCVFALECIEPIKCKTISLEYKFVKLSCAVFDQAANKYNGCLKKLQNRFYLGYAPCLRPLLSTEELENFEVCKMYEMYRDCLRVEVKENCGSEMMVQELIGDVMELHECF >CRE21124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:101290:103418:1 gene:WBGene00063589 transcript:CRE21124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21124 MNKDDMEILNERKHRKFHQRPTVGPTMAGINFCGWITAFVCCVLCSGMMCFLIFGTTVFITFMALFTAINECPGPDLIAAQSCVPLVGQLANVTIQFEPRFGDEGSEQMKEVMALCENVTHCIKDVNCLTFRIGYLRSGKICEVFAFINKEFGQCAGKLKKKAYERNIDCLKFLFQNEEKCEGWAEHQKCIDEEVLSDCGSGMSERFKQVGFRYITFRESEMAKLVSFLCLETFSS >CRE21206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:99653:100901:-1 gene:WBGene00063590 transcript:CRE21206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-84 description:CRE-SRW-84 protein [Source:UniProtKB/TrEMBL;Acc:E3MEU9] MDYFDETYPEDIRDFLNSLLKKALGWARVASTVQIITSCIGCFLNVFHVFILFRKELRSHATNILIIGIAISDFVYLLYYVDGAAWDWLKSGVPQECIPPDSLIHQIWTWVLFIFKDALRRVSSWLGVFLALIRYLVIKFGTKTWIKVLMKPETSWKLFFSTFFFSLVVTMINQGRYTIMEYKKGWKPDESCAMFPPNTTYPYFERVQNPILDNYHLKISQKFLLIDGFLKITPPIFYPFLAVGLILQLMKARDGRRILMRKDEEHEMLHITRLIICMTIAYFLAETPVGISYFCLSVLIGDEHGYGLQFLVNYITIILNTFLVIHSSIHCFFCFFLSSEYRKTIGSLFGCTTSRNGVGSSRNLSTSS >CRE21123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:97214:99409:1 gene:WBGene00063591 transcript:CRE21123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21123 MTKPLSKIGSITFATIVLAFSLLLILHKPLPPGFCEKSTIDRVILHLFEPILRAFYYYPSKYLFTSAENQIWWTRLSLNSLSKTMGPINVFDRHSVLVKDAVWNGVNVRTYEPRLLKNATGGAVVFIHGGGFAIGSVEMYTGLTRRMAKEMNTFVVSIDYRLSPETVFPENLFDCEKAVDYLFQNGAEEFGIDPKKVVIIGDSAGGNLATVIAQRRLEKKAEPKLAAQVLLYPLLQMVDLQMVSYRYFHKRLDGFAFVDPRSVAFYYMLYAGIPLEKAKELVPAVITNGHIKPEHRDRIDKILSHRSFIESTHLYNLSKVPDRWEIQESLEAQDLLDPIIFNPYFSPLMRENLENLPKSLIVTCEFDTLRDEGMIYAERLKTAGVPTKLINLKNGFHAMLNMHNEITEASFCLTDVMSWILEQF >CRE21204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:78898:85237:-1 gene:WBGene00063592 transcript:CRE21204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21204 MLTNERLNIRIDRGQRPAVNFNSSTQSVQIYVPLDTTVNYQPISQDVGNGYTVKLQRMQQQYKISMQHTHERKPEFVVFASNLVHDREIKTTVKEVNTRIPDLTIAGSNLEVCGILKGHNLTFESTVGTMHIYAKITCTGSLNVHSQNIVISTEALLSTDDFKAICRKLQNDGRIFPKDVENLENVKPEDREATPARLMKVDFSCNLVHIGVDGSVGAFKSVMAQNLQVTVAGDLANYGKILAKEQIFLEIHGNHMSLQDGSLDSAGRGYNALKKLRKVAEHSISTPSSSTMHNAIQSQNASVVANMIEKGIDLNDTFERRRSKKVTLKQSAIQEYKEAREKSKMNSVRERITLINALFVAHEWRRGCIQANLIKAKIGRNCEDLAQFSAKNLKLKVGGMATVEKDSIWSSTWVELEAKQHIYFHGQTKTRTMILHSDANIFTTNEAILSFELFVRVGCVKFSCEGMWCAGESLVIETIGPALFKEGSYLESEKLELECKGACEMDATWQLTSAWIHVATMLTVLQNAKIFIEESMSISALSLHFSGFCHVTEKLDLVLQDSAHFFGNSRMEIHVFRLTCKGFCTIGGSISVTDLTIYVRNELITTCTGKMMVVGCADVITGCFRNDALWQVEKNFKMVTGEITLLTVTQTLTLLSSGSIEQSEDGTIFVKYSMNFIIHEDCAENFGGRLVSSEILLKSLKTCVFDGLIRCNEMQISLPYVGQSVVTLKGQVDIVAGSLTVNGNLSVSDENLREPVTAGLVVACNLTAAAIVAPFASVHVAESAVVRLNGIESYKTEEFNVLLNCGALYTEKESCILSMAGETSTARIKCEAVICATTFFHCGQIRFSGMEVNILAPVFVHEGRLTNVENKQNHVRNLFINIGELLLNNGILASDILEIVGDGMLENTNRIYAVDSMDIRLRNFNNDDGLIESKNSIKLLAVSKEWTKLGGSIKAKKSVDLCANRLNVAIRNIQNLSIDKRLVFSAKTDLLISSDVIDESKELSVGCAAQQSVGIGCNMQLDRLEILIGSENGQGPTTFAVYQNFSIIANTILVTSNSEHVQVLFDGDVQCNRLRFAGNVKTVTIVGSGNLEATYMVLPDSSISFEMYSVVRVDELQCGLFEVLGENILRLESCEGEDTTTIVTEDLNIEGTLFLEKKLFVKSREGVVRLNGNILGSSLRSEIACEATKMVVKGQIGNFKYVELFARESISLQNEIIRNVEKMCVECGELSIYSVEVESCRSLSLNCDSLTASGHIQGDHNTTLTIHATNIHSKLDLENLEKLSIFCKRSSTLKGTWNQIQEVHVDSKWINISCHVDNCRIVRLTAWAVANTGPILGEMVHVTALGSLVNTSGIQATEECHVIATFILSMTALSIISGGSKTSIYSLCLCTRNDIFDLIGINYLWLKFDPETLKMSGAPPVDFQNFKTFAALLRDRWMTSSIDAEEILIGLKYISDLHEIKWSLGTDNKVYDSLLEIAERFGNTPISLFNYGELVNTLQGAKTMMQVLQDPTNNSKSGKKQKKKSDGSLYEALVQFKHGKYSKESNDSSDTDIGYVSRSSSEDLNSKPSRPRSPTFHIDASSHSFFESRHLEEIQEKVGKVEEELENELKLDHIAYAIREEEELAEFEELEDELEMAEEGIVRTDFIVCRDKNIYLAKLKEKIRTVSAPRPVVNIPIQRMPSSNDLVMKKLQVKNAISNLDLRSFGSQSSLTSLDFGTVPDFGSPLQFSSKSNFQRSKIPLGSFRSPKKPMSRTGTPTMYSSTLSRFSEKL >CRE21122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:76028:76942:1 gene:WBGene00063593 transcript:CRE21122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21122 MKFRLLLFLLLILARCCLADWNNWNWGPPQQPQLPNNNLAIGYPTAYGLYAGRGYGNNNGYNGGGPPNAGTGFGSAVRCLNGGAHIGQCRLDQDSICIALGGTCSHGACCTTPFVSMLGVNGLTTEAPEVIDGETTKKKKPKRKLVIATTTVIPDGDEGDEDDDAMKSNELEEWNTLVERARTTTTTEPPIIEEMVTVSPYIEENEDRKCSSGLRSVGPCSEDSDCPTLHQCEKEQCCYLLLR >CRE21202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:70211:71806:-1 gene:WBGene00063594 transcript:CRE21202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21202 MLSLFHLTHLLISLLLLLQLPRGTDGIECYSGSQLQVINCPSMSCIKQTLGLDTVRYCDGTGVSSICQTYRIVETCDTIPNLGYICCCGGDLCNSTLSKSSGLFLMFSVLLIFLFF >CRE21201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:68763:69562:-1 gene:WBGene00063595 transcript:CRE21201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21201 MRALLLTVALIVLHTVNCADNRLAMATKCVNTLGITFYTAAQLNTIFACADPQVYATPTNTTAMISVAKDCITNNSGSKALAALSLYTNINSCLSPDDILTLATEWATPLTKLTKTLTNKCLKKIKTCKAAGTAQEACLQKLYTLAKAAITKAYVDKICKKFVKQNLSKSQYACGVKYAPQVMTITGYKCATLTIT >CRE21121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:67860:68553:1 gene:WBGene00063596 transcript:CRE21121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21121 MKINYGIIFSIFYTIAPVQCILKCLSGHSQYATQCTSQSYCVSITSKNGPVQRSCDGNSISQISLCSMYAMHGIPRTISTDYSNFPISLGGPASQAAPIPKMSSSSSRSSRRAPMQMCFNAGDLGDVCCCNTDYCNSSRLQKYILTIVFPILWIFRRIY >CRE21120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:61280:62969:1 gene:WBGene00063597 transcript:CRE21120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21120 MIIQFLPLFLIFLSDITVSQRSQEVLTHFEKFQSQHKRYYRNPEERKKRLGHFARNHKRIKELNEEAKLAGRNVTFGLNKFADKTEEERRRRYSKIHPHNHTDIPLYKPRRARHHNKKTSKRPKRQNMEIPDYFDLRDVMVNGSPIVGPVKDQEQCGCCWAFATTAITETANAVASKAYRSLSDQEICDCADSGDTPGCVGGDPRNGLKFVHRNGQASDSSYPYEEFRANTTGNCVADEKRQVIESETMQVYQFDPEYAEEDIMENLYYNHIPSAAYFRVGEKFEWYNSGVLQTEDCYQMTPAEWHSVAIVGYGTSENNVPYWIVRNSWADNWGEGGYVRIKRGIDYCLIESHPATAMIGGNSDDEDN >CRE21200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:59909:60190:-1 gene:WBGene00063598 transcript:CRE21200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21200 MLVKVKTLTGKEIELDIEGNDRVERIKEKVEEKEGIPPPQQRLIFAGKQMNDDKTAADYKVVGGSVLHLVLALRGGL >CRE21119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:58707:59403:1 gene:WBGene00063599 transcript:CRE21119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-94 description:CRE-PQN-94 protein [Source:UniProtKB/TrEMBL;Acc:E3MET8] MQSFIVASLLIACVLAQYNQQYQQPNNQQYYNNQYQTTTRGYNQNQNQQYGQTQQYGQTQFGQTTTPRYTSQAMYGTTQSGRQFDQQGNQVFFNSVGKSTAVLSLVGAAAYALL >CRE21118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:55122:56704:1 gene:WBGene00063600 transcript:CRE21118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdkr-3 MSDDLPIDIHSSKLLDWLVSRRHCNKDWQKSVLAIREKIKHAILDMPESQKIVELLQGAYINYFHCCQIIEILRDTEKDTKNFLGFYSSQRMKDWQEIEGMYKKDNVYLAEAAQTLQRLAQYEIPGLKKQISKNDQAVTDAIKKFSDYGKQAEDSKKQFEKEVQKMGIKGHSLRAELLELAADLPVFFEKIAKEIQTFSPARDYFKAFRNYMHQNSAPESSLILPILTLISERGVDVTTYEWKYHQKPDRVEKPNFDLLLKGDKTEDSDEIDFGDDIDFGDGAEIDFGADSTEIAIDVVADDSGAVGEKVASGQDALNLLENSESQKVLKQELLELLAFLSMRLEDETRETGADILIRGAEKRPDDVAKVSGKDLKKWIDGIQEVLKEFENPQKIHLFKIRGCPQYVEQVVEELEKKRDMEHRYKRLQTLMTENQETARVAVSKANDELKTIVESTRLLQKQVESEISKKYNGRRVNLMGGINQALGGI >CRE21199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:53485:54644:-1 gene:WBGene00063601 transcript:CRE21199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prmt-1 description:CRE-PRMT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MET6] MTEQNGSAPAAPATTATKDELTSKDYYFDSYAHFGIHEEMLKDEVRTTTYRNSIYHNQHLFKDKVVMDVGSGTGILSMFAAKAGAKKVFAMEFSNMALTSRQIIKDNNLDHIIEVIQAKVEDVTELPGGYEKVDIIISEWMGYCLFYESMLNTVLHARDKWLAEGGSLFPDKAKLFICAIEDRQYKDDKIHWWDSVYGFNMTAIKNVAVREPLVDVVENGQVTTNNCLIKEIDLYTVTVDDLSFSSPFQLKCKRNDYVQAFVTFFTVEFSKCHKRTGFSTGPDVQYTHWKQTVFYLKDALTVKTGELINGNFEMAPNQKNNRDLDINIKFDFKGEVCELEEDNTYSMH >CRE21198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:49849:53063:-1 gene:WBGene00063603 transcript:CRE21198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-17 description:CRE-MDT-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MET5] MEEEVRESSVPSTSEPEKGPRKGVELVLESNDDWKIQEIGYDGVERYMKPETFTDNVGKLARKVEWMKLVNPTNPYENAKIDPQEKLDDENVAKGGGNVELVTPEAGPWSSVAKYLHESLNQLNILLDDMSVMKTTDYMKALTVLDPLTIHEPTPESINTNRGTQWIWKRRALQEAVQVLDTAQKQRQRAHANLGLSAEYIAHLQRTKFFEELKEMREIWRVRKTGDFVYGDLSYHIFGWKYDTPNLFDISRRALSNKFEDQNLSIIEVSVPKDLARRSMLTVSIVKDNIESGELFRDPKDTKYMYSYKEVDAEKVKGLHWKDSLKWAQNSLLLRDSFAKLCDEAIKLRNRLSVIRDNVLLIRLFDDWLLRFELQWFPFEAGEIKEEGDIYLNRVLREMLIGFECTKFVRPQFFCSMPVTHLPEALDLRGCGGYDTKLIEDRAVRPRSILERMLDVASHRALCNMVTDVAERLCTPNLDPTVHYRWLHCGRVKSRMQFNLTSKDYEQYMGTVRYVFFASISMDGVDIETKEGQKMKCDRDAARVLYACQFVVSCYSVTMVSVISRNGWLTPFQILYSNIYALDEKGDPAPNIVLCNQGATRSILFVFRVGSDPEIMVRRFVVNDRKYKAEEHAWRKLCYRRLYGTTLCKKIDGLLSFLKDT >CRE21197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:45693:48977:-1 gene:WBGene00063604 transcript:CRE21197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swsn-1 description:CRE-PSA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MET4] MKKGASKRRRDDDDGDVSMTGDDDDSRSGAAVKVEVPKGKEKEAEFTAPKGQKLTDLDDEGVATAREPHSSEGNVIEQTHYIVVPSYAAWFDYNAIHQIEKRAMPEFFNGKNKSKTPDVYVAYRNFMIDTYRLNPFEYVSATACRRNLAGDVCSIVRLHSFLEQWGLLNYQVDSDARPAPVAPPPTSHFMVLADTPTGIQPMNPPHINAANAAREGEVKDEIKTEIDSITEPGLKTDQYQKQAMALRTKGANPGRDWTDQETCLLLEALEMFKDDWNKVCDHVGTRTQHECVMKFLQLPIQDPYLADSASAGDAGAKEVLGPLAFQPIPFSQSGNPVMSTVAFLASVVDPQVAAAATKAAMEELAKLKEEIPPLVVEAHEKNVAAMAEKTGQIDGSIGLTKSGLKPVDDGEGTSASGAPAGDAEEKMDTSEKPVEGSIPSTEKAKSDIDKEVQAAAASCLAAAAVKAKHLAQIEERRIKSLVAQLVETQMKKLEMKLRHFDELEQIMDKERESLEYQRHQLILERQAFHMDQLKYLENRAKHEAHTRLTTAGTLPAGLPPGFEVTGPPQPTPQVQISAQEAGPEKMDTTEARAPSVHAPPPAPQQMLPPQQPPPQQIPPPVQQQQPPQQQIQPPQQMPPQQQMPPPQQQQQQLPPTPQQVPPQQQAPPPQGYPGGPPQGYPQPGQQQGPPSQQGQPGGYPPPPQSRYPQGPPPPQQGYPAGTRTTVLCATRTATISSGSLSTATTTATVSGVSVSRTTTSTCSIWIPTTTRYSHPGYPGPPQQYGGMPPHGQYPPQQGGPMGPPHQPHEEGGQGDQKQE >CRE21196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:44109:45582:-1 gene:WBGene00063605 transcript:CRE21196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sld-5 description:DNA replication complex GINS protein SLD5 [Source:UniProtKB/TrEMBL;Acc:E3MET3] MTDEPTIDMDDDYDDITTPEEVLRKMTLMWQNELCAPCLLPSQMELVDILLDQIQGMEDDISRQRDKMQLRISLHRSELQRISFLTSDYVRCRLRKIEANPNNVIEEHNLRKNDVTNPIELLSETELKFAEEYALAEAELFEKTVIEFMPVALKKIPVPKPDHKNDMVYAKVLDDDVGNVTVTDWRDLNAELVLEMEKSSCHLIPFESVKPYVEEGKMQLL >CRE21117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:40816:43743:1 gene:WBGene00063606 transcript:CRE21117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlt-4 description:CRE-MLT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MET2] MDIKSILFYAAEGSASDFEAQVKQLEKRRLKNVMHSNLNESSEKIDEFWDTKDEKGRNVLFHAAITDNLKNFLFVMRKRQIQKETLHFITDYNKATVLHWATQYNCIKIVRELTQMFEKSENSAEREFLYNLILAKDCESVTPLHIAATKLDTKILRVRDFINTVVSNKKFQMFIESLRYYPAASKLYLTVQDKRLRTPIHYAACKVNLEAIRILLDQNYGFLPDQRDKTGVIPLMCAVGVNLPQALPVIRLLQKKKTVSMTRQNRDGMTALHIAVAARNLDAVQLLIELECSVDLVDNEQRTPLHYAAEHGYPEIVRFLLSKGARNSTRDNIGATPAHYAAQFSVECLKIIFEESKITEVNDNENRSCLMWAVCSGNIDVINYLIQREDTPKRAARDKHGYTALHLAAMVGNEKVCKILTNQVGKMSGYTKKGWSFSERDNHSNTALHLASGRGHTDVLRCLVSSGAHMNDVDEIGRTPIFWACMGGQSHTLHCMIKDLSFEWRTSGPNSRPVSDNFGRTALHAAANAGSSACINVLLNIEQEDNFLSFPLVGWLDINGETATS >CRE21195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:35132:37211:-1 gene:WBGene00063607 transcript:CRE21195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21195 MNEKILLRLFTYLIFRFLHLLFLLESDIYALVLRLFDKKNNGDDEVEVFNIFARHRFDCTDVTRESDFLSFHEKSTLFEEICEEGWFIYSITDRYVYFVKITPIEEDVFETTISIEKCSKLSNFLYQNAEKLARCQLDTFQRITKNMPPSRSKVLIFHSPPSSGGTTVGKLLQSCDESKLSLLVIGEPPFLTSLSLLFNKLSVEELRSLCKSTLRFSTMHQKSQQTIVFKSKSSCTKIVPFIHSAVPSIQHFFVTRKNTNDIISRLILKTSSEFNFPMFSFLLKFGQHLDMGWLSSWKELENETFTRVGPKNELEFAMAQVYGSIMNYKRNRQYFVQDVLFVEDLISDTATMIRPLLDLCEISDLAIPECIEWKRNADEQIQQVWDTVDLPSEDVARVGCLAELLEQDVFFF >CRE21192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:29210:30522:-1 gene:WBGene00063608 transcript:CRE21192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21192 MTTRVPSNYTSLIDDIEHLATPSNSTSLMSSEDGVELVTDSPFPSPADPFEDYVNVTDYTIVEVKNGKNGTESVTVFEFLNMEPWVVYTVCGVAAVAVILAIIGLVVWLKKHKTRVINETTDPTLATNFPDNDGIFSCCCKSKPKISMDHRQNSSSNLEFYGRPALPQSAYQTERPKSADSMAISTSNMAMYIDEIEGIPSREIPQSQRRELPKFRYQQYQMQS >CRE21191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:26097:27935:-1 gene:WBGene00063609 transcript:CRE21191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ssu-1 description:CRE-SSU-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MES7] MVSFLKHLRIENETGVLRDSRTPPMTPKMPKTPKTPMTPRPPNFGGMSPPCTPCSPFVLNATSFCFRKGPARSVVYQPNGHPKQVVIDGEIWPPIFKPKNVRTAKTMHFSDTDVVIATYPKCGTTWLQHITSQLIKGHDYKAGKGNELCVQSPMIERMGAAFANDIKGPRVLKTHFHHYNIPKFTNTKYIYCVRNPKDCLTSYFHHNRNFKIYNWANGTWDVFVDLFASGQLAFGDYFEHLLSWLPGLRDENVLFLKYEDMFQDLETAVFKIGQFLGGEAAKRVEDPETLREIVENSTIDAMKKDQKRWFPESQLHKVEFIRKGGSRDWKNYFTREQSDRIDSIFAAKFAGTPAEHWWKYEMAWEEKLPSIENLSVADEEEVETQSQKLFALPPLPQRRFSRTSLLSAGYGSVWSLSSQTANMSASSSITSNLGNLANCQE >CRE21190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:20272:24682:-1 gene:WBGene00063610 transcript:CRE21190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-23 description:CRE-SEC-23 protein [Source:UniProtKB/TrEMBL;Acc:E3MES6] MATWEEYLATQQANDGVQFTWNMWPHSRVDAQRLVVPLTCFFTPLKERPLTEVTQPPLEYDPVLCQKASCKAILNPLCAVDFRAKIWMCPFCNNRNPFPAHYAAIAEDNRPPELYPQFTTIEYTLRKATTMPPIFVFVVDTCMTQEELKSLKECLQTALSLLPADALVGLITFGRMVQLHELNTQGISRSYVFKGTKEVTAKQIKDVLATGMARPMAPGAGAAPGAPGAPMAPMGGAPLGGPGPVGAPRGPPGPGAPGAQPQGPVQHAPANKFLQPISECDDSINDLIDQISVDRWPIPQGHRPLRATGAALAVAVTLLESCFPSTGGRIMSFIGGACTHGPGAVVGEELKNPIRSWNSIKEDNAPFMKKANKFYDGLAARVVKNGHAVDVYSCALDQTGLLEMKNLFNSSGGHVVMGDSFNSSLFKQTYQRSFDKDASGNLKMGFNATMEVKVGAGLKIEGVLGCCASGNVRNANVSDQEMGIGGTCQWKFGAISPRTTIGVVFEIAAQHGSAIPQGGRGMVQFVTQYQHADGRKRIRVTTTCRSWADMATQQPNIAYGFDQEAGAVAVARLASFRASNENDTPEALRWLDRSLIRLCQKFGEYSKDDPNSFRLSDKFSLFPQFMFHLRRSQFLQVFNNSPDETAYYRHILFSENVLESTTMIQPVLFSYSFNGPPEPVLLDTSSILQDRILLMDDYFHVLIYHGQTIAQWRKQNFQENPQYASFKQLLEAPVADATSILQERFPMPRYIVTEHEGSQARFLLSKVNPSLTHNNPYANEAGSAVFTDDVSLQVFMEHLKKLASSSST >CRE21116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:15447:20071:1 gene:WBGene00063612 transcript:CRE21116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncx-1 description:CRE-NCX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MES5] MTKLKTILLLVVSLSTLGHNQQVDAQGNAEVVRVFSQRLPSPEDSACPPAKPCAPGVIIPVWQPSENLSECKIWFRAIVYLIALAYLFFGVSIVADRFMASIEVITSQTKSVKMKKITGEPFTVLVRVWNETVSNLTLMALGSSAPEILLSVIEICGNNFEAGELGPSTIVGSAAFNLFVIIAVCIMAIPNGEIRRVQHNGVFWVTVVWSTFAYVWLYLILSVFSPGEVEVWEGVLTFVFFPLTVASAYFADAHAGQFGQRLISGPLSSFVRRSPRRSPSKKTHENGLESGNGGLPGDQNQSLINGDADALAFEIHRRHYLDIFKQLRSEHPDAPVDELEKHAMEKVVGEQKKSRAFYRIQTTRKMIGSGDIQKKMKKKKGLLEPLVVKQTMATVEFDPPHYTCLENVGDVYLTVKCDRGAVPEDTTVTVHYRTIADTAQENSDFTPTEGTITFEPGETEQKIKVGIVDNDIYEDDEQFMVRLSQVRAFRSEHFSAVPCRLGPAATATVIIVDDDHAGCFGFASEKFKCVESCGSFVAEVIRSRGARGEVSIPYKTIDGAAKSPQDYLHQEGVLKFADEQSKAEIYIPITNDDEYEKHEDFTIELGEPIWHKEIQDGEEGIEGKPILGFSRCKVVITEDRDFKNFVDKVLVTANTSIMVGTSSWKQQFTEALTLEPEEEGGEITLQEKIMHYVALPWKLLFALIPPTDYFNGWCCFVVAIIMIGILTAFIGDIAAAFGCTVGLKDSVTALTLVAMGTSLPDTFASRTSAVGDQWADGSIGNVTGSNAVNVFLGIGIAWMIAACVHAYRGTRFLVSTGSLAFSVTMFLIGSVVCVALLQYRRFNRKINGELGGPNAWRIISAGIFVSVWLLYILLSTLEAYCVIKGF >CRE21115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:6257:9695:1 gene:WBGene00063614 transcript:CRE21115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-51 description:CRE-UNC-51 protein [Source:UniProtKB/TrEMBL;Acc:E3MES4] MEQFDGFEYNKRDLLGHGAFAIVYRGRYVDKPEVPVAIKAIAKKNISKSKNLLTKEIKILKELSSLKHENVVALLKCTETPTHVYLVMEFCNGGDLADYLQQKTTLNEDTIQHFVVQIARALEAINKKGIVHRDLKPQNILLCNHSRTQNPHFSDITVKLADFGFARFLNDGVMAATLCGSPMYMAPEVIMSMQYDAKADLWSIGTILFQCLTGKAPFVAQTPPQLKAYYEKTRELRPNIPEWCSPNLRDLLLRLLKRNAKDRISFEDFFAHPFLTTPLLPSPSKRILESARSPIPNRRIITPQSALPVPKRAGSAKMESPTPSRRIGESPRVGRRVITPSMNSPVPGAAPPMQESTDFTFLPPRQESSPVKQVQVHTNVSPSLTTCKPVPVPSQRLTYQKMEERLAAARKTTVPSPTQEAQQQAALKVIPQEMEHVRRTTLPDPNAQDIDKLTLPHPSFVVCGDGAARQSNTPSTNNTNTPPTSRVRRSTISSTAAATEDLPPAVSDKVLQNVTEQPHSQSFPKSATTANIQGIPRGNRDRSVTGPPPPVATIHENEPLDNAKYQQTDVNSSPTGAGTQPFVINKNQANDSSDDEEEEDVREPMSLPFASGSHLPPQSSFKKIASDSKLTTHIQHHQNANQNQNQNQNHQPQRPQQLLGSPIGFQDNSGPGSPMEQDGPAPPPALDQEIVLGEEHKQILAKLRFVAELVDTLMHVAEQKDNPLASAMASRRQLLTTGTSTTNTSSPYRRAEQLVVYVRALHMLSSALLLAQTNVANHVLHPSQAVQQVLNQLNDKYHQCLVRSQELASLGLPGQDPAMAVISAERIMYRHAIELCQAAALDELFGNPHLCSQRYQTAYMMLHTLAEQVNCDQDKTVLTRYKVAVEKRLRILERQGFVAAVNT >CRE09478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2391111:2391944:-1 gene:WBGene00063615 transcript:CRE09478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09478 MKQYSHHCGFVILLCSEISIMTHLAISFNRFCAVWIPYSYQSVFSNKNTKFLIAAILLFCVSVALLFYEKFCYLYYDEKIHFLTFSTSDLCSFIGWYGDFLKNASIVAFVVFMDILTVVKVRMITKKVVESSINENAQNKMSSREMRFLKQTVAQGSVFMMELLAYFFVPQYTTNKMIVFFSTSFAWVAMHAADGMVVLIFNPEVRRFLFCQGSSHKVTSTSQITVNLNHQ >CRE09477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2388051:2390177:-1 gene:WBGene00063616 transcript:CRE09477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09477 MCPFEEMMDSSEGSIMLSSSSSSMSSSPAPSPSNEVCEVCGDHKVNNKRYGAFACLGCTVFFRRSITKNKKYKCLKHQNCFISNLYRCACRYCRFQKCLRVGMRGEAIQKRDVVGPRNLIISPEIIFVEDPSFLKPWVAFQRVQVAEHLSYFESHQVDPAFYKDSSTFRSFRSHPAEFVLLCTNHFSEKGFFQNTIKYRRRARAHDVDIMLKLCLKQATAWGNQLKPFKKLSLVSKKNILAEYCLAFLLIDQGFKTAKEADSGIWLLQNGSFMHSDYFFGLPYAAIDMESMKIKTQLHYNFVSDLLETVAKPFRRLEVDEVECAVLKILMLLKPSCSERAIYCGQEGVVAGLYSRCVDELMEHTMERFPGNGEERFGELLLLISAIRCGVKTLYNQTRVSDLFNFMKFDNSVKEVLLT >CRE09072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2386717:2387957:1 gene:WBGene00063617 transcript:CRE09072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09072 MKVIFLFLSFILSTLSQNLTCMYGYDLVMNKCLRYYGSDNQIHSEIYECRLFGGTLVTINNAIENRAVVQYASDQGQDRIWLGSYCFGNTSSSCYNDDYSDSSYSNFAPGNPLVNGSEGSCVSMITKGSHVGRWFSSNCNHNFYSFICQLPTTVDGSKYKSDGKWFENFNNSAYYVVEGSIDEAEIECQKNNGHVVSIHSKQENDFILNRMALVPENVRLGAKRVFNNSYAWADGTLWDFDFRDQLDDMPESLDCLEIFTVHQLWSRTDCDTTASNICKIPLPPIEDNSHCNTTLLMSPSTFTSYGYGMQGLQSPCTWKIVAPGPYQVNLQFLEMRNSSVTVLDASGKLIASVNSTVKVLAESNIVTVVHNGQGTFKASALAF >CRE09476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2384268:2384642:-1 gene:WBGene00063618 transcript:CRE09476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09476 MFFLSWSIALLFSAFPPHLISLDFWNPPSEYTLEKLSSVATSLSLPTRNVWNSSQCTPLDTVDSNPNYSYFTNSLLAHLTSPSLTPMSDSQTLPEDPCLLSIYLLYLITFSLLLFRFGMPLPTM >CRE09067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2374674:2377044:1 gene:WBGene00063619 transcript:CRE09067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09067 MFGFIHESIRQLICRNYGEETWIQVLEKAGFENGKENIVNHYYSDTDTYVLVDSVSLVIKVTKDQVWEMYGGFLITYSMEIGWDELVRSMSPNLKGFLDSLDSLHYFIDHVVYKANLRGPSFRCEENSEGDLLLHYFTGRPGLYPIVKGVVREVAKRVFDLDINLVVQGRTQRSVHMNNGERVEEHVVFLIKNVGENRRDSDGSHAGLLASSNPNFPENLDDTLQMSLDDFSKALPYHFVIDESCKLVQCGSELHNHIPNELLQPGTPILRIFEINRPQIPLDFENICNFINAVFVLQVKTSPLKKKLMDAMSQEELKQEVEALEEEEDKSNELTQGHHLKLKGQMMLLSSKKHIIYLCSPYVTSINELMQYGMRLTAMPLHDATRDLILLNQQRLTDVEVNLQLEANNEQLETMTRELEQERLKTDSILKDMLPKRIAQQLLSGEHIEAAEHDATVMFCDLPTFQQTIPQCSPKDIVRILNEIFRKLDRIIVIRGVFKVETVSDSYMAVSGITEFTPEHAENMCHVALGMMWEARSVVDPISKNPFLLRIGLHSGKIVAGVVGTKSPKYCLFGETVTLASQMESQGMAGKIQCSKWTYEKAMETGRFEFSPRGRINVKDRGQTETYFLTRSLKKSIWEIVDHERDVNVNSIEGYEELETSIENAITVKANNLRPGHLNSAACSIS >CRE09066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2370467:2371669:1 gene:WBGene00063620 transcript:CRE09066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09066 MLENVLREFTARLNSVVIRERLLRIMLRMISKCLIATALACVLQSTTLSDLILTIGAAEVMSNNMSNAASLGCDTDTLLSNAETYSESSDSDVEEIERANPIRLDALDLAFDATQEQFIAPFFADRADWINVFSPEEILRIAITAMLGNLDLNADSDGEPMEEDDGGDAVEEDNGDEEDVNMEED >CRE09063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2364855:2365902:1 gene:WBGene00063621 transcript:CRE09063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09063 MPRRQNNSKLNARHIDQLQRETGYPHNVVKITYYDSKKNVDLARKELGFGKKATYSFRNLFIGNVLSNEYVFKGVTAKMVNESFGIFDAMDQLQSLQDKYRQNGKYGISNYWIAHNFLLNEVSFLRTTAYLEEDKIRRDTIRCLHNSESDVSQIVRQRNISLDDLFNIPVEHLKFDLHWFTCMKALEYVKEIVEKMNSGSPHLYHRSVDIQLLTGVGNHSANSASIIRQALQKEYGLRIKVDGGNPGVLILTIPKKPTYSDAIWC >CRE09062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2362607:2363322:1 gene:WBGene00063622 transcript:CRE09062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09062 MSSRKHRDQKNAGILRKCTGHDTLTVNEAYRENQRNVSKALKSLGHKTTESRGAHSQVYHCRPSHHENAHFLEMSKLHEKLKNASSSEVVQKIEMEMYEKGKKFNKSRSPPNRYDLHYLTVNAAVRYALEVVEKEKKEKKHKEILFVTGNGNRSVDGVAAIKNAILRDLGWLGYCTAIEDPSNPGVVLVKFK >CRE09060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2360432:2361530:1 gene:WBGene00063623 transcript:CRE09060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09060 MSLFDIAYILTKIGFCISFLSNFVLIYLTIFHVKQVFVTYKRMVVYFAVIGILFAGLEVLGRPFAHNFNGSLIFFSSSKLGVPHELLLLSISVWGGFYSLIIAFIAVQFVYRYLSLFGTKTAKKFDGYGTIVWGLYPIIPGAIYTYAFHIFCIPNDYTDGYMRNEVLSTYEFNISEVPRFIMVPYDSNGHLRIESLQNISVDLFLVCSHYLIIIYCGLGMHFNMKKELEKFSVPQQKLQRQFFKALVIQSLGPTIFLVLPAAPVLLTPVVAPLLDMEVHWKTGWLFSLIGLFPPFDSVAFMLIVTEYKKIIKKKFLKQEPEVPKDPSTTALSVRMV >CRE09059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2358705:2359864:1 gene:WBGene00063624 transcript:CRE09059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09059 MYSTLSEYYLLEYPKCNAQYDFLASWQGLAWPSHILQGVAFPFQVLTFYIIVKNTPNHMSVVKWPILINHFWCTWLDFTICAFSTPYIFLSYLGFLGVGLFSWYGIPYLFQIVLAILVAFCELDVQNMCLQNNFVSGASGSYIYLFESRSNSLQENRFKFKKSTSRFIYHVAIFILDLSLFGMFWSVPGDQDSARLQVLTLDPCPTPEFFFENVFIVTTDTDTIRFYTWFLIPFLLFHSIGHVLFHAACTVYYIFIAPTKSTSPLTRQIQRQFFVGLIFQTGIPVVFLAAPITYSMLAFFTDNLEQKWMNIAVIVAGLHGIGESLSVLMVHSSYRNAVWRLIPGCSAEKDLVFGRRTSSRQIIMQKLSD >CRE09475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2357538:2358170:-1 gene:WBGene00063625 transcript:CRE09475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09475 MKTAIIFLALIAGISAQFSATAQQQNNGIPPSELPPKTTQTPVQLDTLEQLDSKRISSGVGLAESLEPSIHMNWTYYPDDMVVDWYSRMWNQNVRTRLIGQKLQPSCRSLPVQESRKKNVLNKPIYKQGATCSACPSPTKCDTSSGLCV >CRE09058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2355758:2356526:1 gene:WBGene00063626 transcript:CRE09058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09058 MKTAIIFLALIAGISAQFSATAQQQIVDAHNKLRSSIAKGTYVAKGTKEPAGADILKMKWDPSIGTSAQNYANTCPTGHSGAAGLGENIFWSWTSGQFGGLDPYGVTASNSWEKEFQDYGWTSNTLDVATFNTGIGHATQMAWSLTGTLGCGIKMCGPDPSVKNYNRLVVVCQYKNQGNVLNKPIYKQGATCSACPSPTKCETSSGLCV >CRE09473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2350951:2352142:-1 gene:WBGene00063627 transcript:CRE09473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09473 METVNEITLPLLPVSFPFNCFLNFTCQISFLSSVLNWAVLYAFYKLKSFNHSFGYLSANQAIVDGLHGTMFLLYFCPMVILDIPIMKTFSHHAGFLILLCYEVSVMTHLIISLNRLCAVWAPRRYPNWFSEKNTELLIVWVWSFTGSVAVLFYEISCNFYYEEKIRFLTFTNSQLCGYIGWYGDFLKNSFIVAVIMFLDLLTVIKVRKMSKRVVANISEQAQTRLSCREMRFLKQTVTQGTVFMLELMSYFFIPQYFENKWIVFFATSFAWVAVHAIDGALVFLFNPEVRRFLLCQECKHRTISLSQTTS >CRE24801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:37536:45035:1 gene:WBGene00063628 transcript:CRE24801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24801 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3NFS1] MRLLYFISLLFQSISCQNRPIIRVGIAAAMKTQNGSIGWAWTGGAVPLALQYLKGHGYLKDFDFEYGVSTDNPTKISSSSRFHVEYTECDLSKVVRAGLSFMKTNDYDVVIGPPCAPALKMMGTLSTIYKKPVLGWGFVSESELSDMSRFPYVTSVLPSSQTLGAVVSKLLELYSWDRIALLYFKNELNYCNSAIMDVESQLYEENMSQMVQVVVKEELDMNNPDSFNNTLQLVKSRARVILWCAQTGSEKRFYMIQAALQQMNTSEYVHVMLSMRSVGFGVQSAVGKKPLTQSGLPPIWEAFQVEPDGFEDLAKSIAAKMLVIDTNSEVRDKEFLQYMTKNIVYAVRAPPLSCMAPECLAANATGMGGYARHLFDVFYMYGMAVSRLNSTDPNVYRNLSLLIPQFTTPFEGMTGEVKLNDELSRMPLYQVYALNSDYDQISLMNISLINGSAKVTLAYKNEPTDVWHFWGGVRPLDTPICGFLGKSCPIPFFDQYRILIIVAVAVAGLLILAIFTCLTSMIRNRRAEQERLNSEWQIHAIKLRLPKMKNHRRKSVLSADSGEESEEDDVVSRSTKDSEISEFNENYVIQILENDLVLTTAHQIQELTNLEKSKLVKLRKLDDENLNKFIGLSIDGSRYVAVWKMCSRGSLQDIMSKGNFSMDYFFMFCMIRDVAEGMNYLHKSFLRLHGNLRSATCLVNDSWQVKLADYGLEFLVDEEERPVKKKLLWVAPEVLRGSLNVNQMAPSVDIYSFAIIASEILTKKEAWNLHERKEGYEEIIYTVKKGGADVLRPDLHTEPDVNQTLIALVKDCWSENPDDRPSAETICNIIHEMTPKTKDNLMDHVFAMLEEYTTSLEVDIQERTKELSVEKKKSDILLGRMLPKQVAERLKAGQAVEPESFDTVTVFFSDLVKFTDLASKCTPFQVVNLLNEVFSNFDAIIEKHDVYKVESIGDGYLCVSGLPIRNGVDHIRQIVEMSLRFMEFCQKFRIPHLPRERVELRVGINSGPCVAGVVGLSMPRYCLFGDTVNTASRMESNGKPSLIHMTEAAHTQLTNSFPYQYETRSRGEVIIKGKGVMETFWLIGKASMSNRSTPPVSQVKQIPRKIPSFTDTDDKVTIRSVSPYIENISDTEEEVEEMRRVMRREMMRVV >CRE09472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2330933:2332223:-1 gene:WBGene00063629 transcript:CRE09472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09472 MSAPDFSGCDEKLVLAVQNSIFLHASELLMLILSFISVPILVATLRKIIKDSYFHRNIKMIIAVHCFSILLHCIGRVVQHSSDLYLWLAPLPVCDKRQFFGICVVSRSLFSFGVYCSSFTTVFIAIERTIATHLSRKYENGKSKYGVLLVVSQTLRATGAVTNLSQKYQIEENKTLIPILLSFTSLDFVFMVIYFLSLLIVDMLRLEKSDSTYFGLFELIQCVPVYAIVVILVMSRVIKRIHHKKTVKLNAEVQVKDEAYFIYLKQQWSHFK >CRE09471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2326598:2328697:-1 gene:WBGene00063630 transcript:CRE09471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09471 MPSDTLKPIAVAYQNIRGVPFYMNFEYKLNWVTFMTIIDLLVNTIGTLIFIQIPIFYFKNKQKIKKIGLRLDVFQSFLLMQIWSICMTIGEFLLFKIPATGIFTNYCANNDPQVLLRFTMFFFHCAHYSSLLFTLLFCVLRVAILYSKSSEEKEKLFYYLIPPFIIFPFLVSVPHLLTEGLCLQMEQPYPFGALIISSRFFEDNTALSAFGNFVLTATVIFTIIGLNIAMFFKIRKRKMSSVGQSYSSQNQKVARTLTGTMIIMLIPLIVYLFVATGEIIPNNYLSFILYCGATANDIRVHIVTCYFYFTHPVFKKHGMIKKIDVAQKMTSVLSNCVRPGLIHNEGFDKHVFDDFIVYHNQYSRHSAKYLESLWQLRNDI >CRE09470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2321004:2326050:-1 gene:WBGene00063631 transcript:CRE09470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrt-4.2 description:CRE-WRT-4.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LJ38] MASPIEYFRLLFFSFFFLYKHSNCLIHFPIVMKFSLSVIVYLKTVKCIRIAFFLNEISENNKSAFKLAMDCLLLCQFSANNVQTEQGRTRLKFHNSLYEKFQNCVLPSGQPVLGCARPTCFGWHPNGHQLPTNAKFFRINQKNDGFLRDDPLTIHTFNAADPRMYSQQKSTCDHEFPSLSCNSEDQWVGGISPVMNATITQVIAYQCCTYLPLRASTDKGIATVSSGQIVAGGEVTENNHQYAFDYISNIKKKLDEQGEIFYEVNIRRFSCLDLQKADRSVAEVLESENVIRHVNGHRAIAYQAPLAAGATPIETGELVVPAGVENGQEVIIEEIVAQPGFIEENTTNSPPPPPFPQQGFQPPPQPFQAQGFQPAPVPAQPAGYFPSYGYGAPAGLQLYTCFPGDATVIVYNKGVKRMDELEIGDWVESFEKNGEEVTHVPVQYWIHRDPEQEAVFFEFSLDNGEKFSLTEKHLVYVSQCLAENQHKEENINSHPVSAENVKVGDCLYIAHRKNNKMYQHVKVLEINLVKKTGIYAPMTSIGHLLVNRIHASCHSETDNHSLQNTFFAKTLNWKNEFSKYFWYLDTNKEYNFGYGLSSLLDVIDLVLPSKLM >CRE09469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2315167:2317489:-1 gene:WBGene00063632 transcript:CRE09469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09469 MRLPMLLLLLCRLVLLKRRQVFYIIPPEDVEGEDGLLDLEELSSRNLPNYIGNLGEVTEMEEQFLARYSLISNTRRCEKCPDNKMSLVKDKSLKRDSYLWRCSECKKRHMSTKVSTKTDSFFERSHLTPQQILYLAADWVENPTKPILHVARDFKVDKNSVTKMHEMFRQLTKSWFYRETGKDQHQMLGGPHKIVEIDETMMYRAKYNKGRMLTRKQVWVFGMIERGTSKIIMFRVSRRNAQTLIPIIRKYIKPGTTIISDAWRAYGGIAQLQEGYNHGVVTHKTNFVAPNDKRIHTQSIEASWGALKRKLKARFGDPEQRLGGHLFNYMFRRFFDNKKLLNHLIYEMKFFKRTGTPQVTDEGVDIDLDSSDDMSESEDDMSESKDGSSESEDNMSESEDDMTEITDISSDGTSDDDEMANQPDFQGVNPGRQRSPQPQDRQNPATSHSPPPLNRRRPAPSRSPSPLNRRRPATSRSPQPQYRQNPAPSRSPLPLNRRRPATSRSPQPQYRQNPAPSRSPPPLHRRRAAPSRSPSPQHLRRNPARASSRSRGRGGGGSWGPGRGRGRS >CRE09468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2312359:2313391:-1 gene:WBGene00063633 transcript:CRE09468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09468 MSCTYRNNYFESEEFFKLALHSLFVFEVPIHTLGVYIIVMKTPNEMGKTKFPMLLMHLTFALNDVYVAIFALPRHVFPICSGYSMGILSSIGVPIWIQGYIGLTLFFLFGPAVTVFFENRYNYLVRLDCDTHSRRFKRAVHYFINYFIALNVLMPSFLNMPDQSVARQIALKKLPCLPLKIVNHPTLFMLGNEHLNFVCTGLFGIFIWTQIFFFVAKTVKFIFQTKSQSQQTAQLQRKFFRAVCIQIAFPFVVVMIPACYILSTTYTYNFDMAVTNFSLISLNSHGLFATIIMLLIHKPYRTETLKIFGIKKFG >CRE09053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2309174:2310587:1 gene:WBGene00063634 transcript:CRE09053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09053 MVRISSTQFSQLRSTEIPLKKAPSSPSLSLWHSIFFGFGRARLNGCGLLSVTAARFTYWPCLYHQQQIRKNPCSIFADIDFSKKTTGHADFYILSSCSKGTNRIWIIPRSQQHRLEVGGFHNVADPDVHIRSRQRPDVRAMVFEAQGHNRHRWCHTFGRCPHKVIAVPIQGIYYLVIVDSYSKWPEVIATNSISSTSTINIFRKLFAQYGNPNVLVTDNGTQFTSAPFNDFCKENGIQHIRSPPFHPQSNGQAERFVDTLKRALCKATVQHHAHPALNTCSRNKSMELQFNNQHGAKKKTFQEHDKVYVRDYRNPQSPTWISGIITRRVGRTLYKVLVNDTTWIRHANQLRSRETMPPVTSIAELLDMDMDTSTDQPSDNGSPTPTPVPVQPSTRSAPSPQPMRKSTRLRLPTRNLDVNPKHKSYK >CRE09050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2290616:2295555:1 gene:WBGene00063635 transcript:CRE09050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-9 description:CRE-SRA-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LJ30] MYTGVYSVTVSLLAVQFIFRYSALFRQPLWSQPRIDTLLDFPINYLVAFRSLEMSDLTCASPEQQDFLASRNLAISQFVDVIAALVTLFSTYPAIQLVRHKSLFQWSTKLLLLQNLIYAVLYQLSYSLEAILVLYKHFFKLGEICDYLQTEAHCAPYLEFNLAATSGMIYGQTGLMVERVIATFLPDYTGKKTIRVSCTILVLVFVCSSITGKLLTWDDPLDGALLACVMFPRASAARASIYFCVCVFVVLFNFAVSLSLRWYNTRMEYSTRFKLNARFQKREAIDSTGTICFLSLSLFILMLIYSLGICVLRHIRPYITMADFYFWVVWFYTVPFSAMLLPLLLIYRIRWTRVNRVRLLKNIKNVKQSQDDHIQQMRDMWG >CRE09048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2278750:2280067:1 gene:WBGene00063636 transcript:CRE09048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09048 MGIELTSLPNNISRLFYVITIFLNTSLIYLTVFHIKQIVGTYRKMIITFALIGIAFSTMDILVRPLFHSYNGCFILFTLDSLFRSSKRIAEFGLCNNLLRLLLNDRCFLAVQFLYRACLITKPSWTKYFDGWKYILWLFYTFLSGMLWLLATLLVSPDEDTLSYMRNEVLQNYGVEIKNVPHFAVLAYNGEGPLKTIRWNSSVCISCVSAILVFQYSIMMVSGVIMYRRTRGKLTATSSEHERMQRQFFNALILQVAAPTIFQLPGFVVLVSPFLDFKLSFHSGVVALGFSAYPLVDTLIVLRVVTEYKNAYKRFLRGFAKDCIEFLGGDTPRNPPATVTNALDVV >CRE09466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2276413:2278143:-1 gene:WBGene00063637 transcript:CRE09466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09466 MHLDEATQPNDLNKLSGCNLLKIYILPIFFSTIWFLIGYIPLEPSDLKAENVRKSVFEHYNEDTGALGYIGVLYYQLSIITILVRGFKTYQKMKRVGSSMSTKTKELNNQLFKTLTLQTLVPMLTMFTPVALLLILPMFSINVGTFANASSLNAGIYPGLDSTIAIFMIRDFREAVTCRRGQKVAFSTTSGVAYSVSTDNGVFFFE >CRE09047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2272117:2273409:1 gene:WBGene00063638 transcript:CRE09047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09047 MGSPAPKYFWIVVTFSVSLSVYGYFYFQSHYTVYVDGHAVNFPGVEDFIQPFISYFPETFAVPDKKLISCGIPKSMSQLTINIMCLLYDEDSFRAEHNSLSDNWLNTTHRYCLDKSEFRKPTPQLLNDYDTARFVFIRDPIHRFVSLYLEKCFGTDLYCFDCDGNMRCFIQIMYDELKKIQNYRHEFQKSPVVPIVQHAAPLSWNCKFDQDLKKWHLLMIGSDFEERKSSISHLTNILRRQGINESLVEKIQNDSLVGETPHSTHTSPRRVEAERQVREDPFIRDLLHKMYFFDYLIFPFKRDGLDEKYRTNFWTIPK >CRE09046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2268098:2269487:1 gene:WBGene00063639 transcript:CRE09046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09046 MGSTPPKYFWIIVTFSVSLSVYGFFSFQSRYTVYVDGHVVNFTGVEDFIQPFVSYFPVILAVPNNKLVSCGIPKSMSQLTISIMCLLYDEDSFSAENNSLNDTWVNKRDCLEENEFRNPTPQLLNDNETVRFAFIRDPIQRFISMYLDKCVRSKEKYCFDCGTNMRCVVQGVYDELKNLQNYRHEFQKSGVSIMAQHAAPLSWNCNFDRGLEKWHLLMMGSDFEERKSSISHLTNILKRQGFNESLVEKIQQDTLAGETAHGTHTSPHRVEAERQVREDPFIRDLLHKIYFFDYLIFPFKRDGLDEKYRTNFWTIPK >CRE09465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2265413:2266799:-1 gene:WBGene00063640 transcript:CRE09465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09465 MLLKTWEKDDIIQLISIGMLRNSTSNRKKHEDDQKYLSSNLAASSRLGNHIFELSALLAMSRKLKRKPAFFIQDHYHDQMLNDTDVLIPGLVDHFLIINQTLPASIFPVEFNMKCCIFDNPERLMNNTDQYLHIKGMHFQSWKYFPRMRNELIGYLREPTNSFDSLPKSNKSTFVTCVHVRRTDFVGTGSHVPEKDFIISSMKFVEEKEKRNMRMRTVLFGDDLSYLEMLINETIVLKNGRRKVLKNEAYISKDSPSDSLLYSRYHCDVVLFSAPHSTFGWWMGYFSKGNQVYYTDIKYTNDTSIPQGLFNPDDYFPPHWTPVKYDNVDNATVIETL >CRE09045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2260630:2264973:1 gene:WBGene00063642 transcript:CRE09045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09045 MRIFWRYQTTLFCFALIFTFYIGLYQAVNLLFGIAHIILPYQPPKNNELKAFITSSYFYPKSESLGDNSFALVMSINVAKSQKDYMAIQGGKIMDDAELTIYADNGTSRKIVRTNYIRITPHDYCQMITVFATTQLLPNVEKIELLSDDGSTEIPFSLPSYQKVDVVICFSPLYVFEQWQNLLLSIHMYKTFGAFMNIYLISSISSIFVLMQKYESAGYLKIQPWYRVNFPYIPSYVVDPFVGIEFENEAAAFTDCLLQYKESASYVAFFEIEDVLIPRIAPTYIEEFEKIISELRKPVYLIYKEDSYEVVGARKGSEYSAKLMLRSLSFKREDKKIRGVQSYEKVVVNPRYMNFTWIQPTSFKPGNNYFKVTQNSITHLKNIRWVSNFQISTIKNFHFQTDYSSRKSVPFYKNTTELLISTENSNKVEADFRRMFMDYEFENTLLDLPERHHYSVSISKCLMNNYYKKLNSRQFAQISCPGPQACKFKKIYGVNCIHVNATQTRRKKLSPITFHFATDPFFTSDIGCTMSVPRHTPCAVCGGTPNGSRYGPVTCLGCIVFFRRAIQKGKLQHCEKQCWREIGLDTRSCCRSCRLQKCLSAGMNPNAIQNRDKLGPRQPRRLDEILDNIDTDFDGLLQLQRKQRELHQRYSNSNKKENVSGVVKIKHRLYRRAKPYDIDLVLKLSFRNAIDWAKQFNSFWNLNDVEKKLVMSEFGIAFLLIDQAYKTSCKSEKGFWLLQNDSFLHSDYFFGIPVEDVQNEIDLLQSTYHSEFVNDLLGAIKQPFSILKIDKLECVILKILLLLTPSFPGRVKLEDSEKMISKCMSNLMSYSFKKCPDNGMVRFGEVILLIRSIRSAVKVFYNQTKLSVNFDVSKFDSFVKNCFLS >CRE09044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2258421:2260407:1 gene:WBGene00063643 transcript:CRE09044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09044 MIFLYSRSTTDPLKRPIKAFILSAYYYPYSKSLGKDALALVMSINLGKRKGSYQRLQVGDLMDTTEISVLGRNKSSGVLVRTNYERITLHENCQMISIFATVQLLPNTIKVSMESNGVVTEIPFKIPSYKKRDVVVCISPLYVSEQWQNFLLSAHIYKQFGAHLHVYFISAVASFFQLMHEYEKHVNYTDELCLRTDCIPSELHNNAAMGSCYVSTCNSLIQESAKFITLFDLNDILIPTLAPTLVEEFQILMSGQSHVSYMIYPRQNYEATVYNKTGFSIQSMMNSLVDKNTRQTGRIVINPHKLNYTYINRPLCIPNGLRSMDVTSNFITHLDSVVWENKETAMASLESFNLDNSTDNMYKVFFGDIEEDLKRMLKKQQIAEILGTLPNFYYFYDLVNKCFEEKYYRFINQDTINSVKNDCPGPQMCGFYQHPRIECVHVNATHIFMEPIEPITYYYATEHHYTADIGCYAQ >CRE09043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2253560:2255359:1 gene:WBGene00063644 transcript:CRE09043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09043 MIRQSHAYGLLIFLLSIFGTLYNYQKIRTFIEQYDNLVNKNGLSGSCLVPEWNLKTTSDLPHSADFKQWSSIHLGALRNIMEAEARLLSAFVYHDQIAIITTSQHIDGQNATCRYFDCNRQEIPNSQFETIVFPMTVIRCPRRAGAEFVSASFNGTEKAHDPIPLIFRVYDNPVHELAVCAGPLYGNESKWLQIVEYVEHHKLLGVSYFYFTFFNLNEYDRKIVDYYTKFGYAEYTSYVTEFHRLGWMFHLIQTQVHRFVLWNRSKILKFRLLPPNIGEISFIINRVLKTNTNPERFTNSTNLQSELMFLKYNKTTEMSWFNFKGAIRPDLVFVLFYHWSYRQEEGVRVMSVPKRIAHIRHYRNVDYNALNGNWLENYNGTLKETRLTQSFEKTLANLVREKVEYVYNNRWVQCEEIPPMFFTRFKRGILDCRFKNETGV >CRE09042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2251379:2253298:1 gene:WBGene00063645 transcript:CRE09042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09042 MPSLRRSRTILYFLTVTCFYCQFFWMYKKSRLSENSVSESSMTFKAGMIVDTTNVTVLKLPVVHVFLVSAYYYPTSKSLGPNAVALNMVVDSKSLNIDNATYSVVGSNSTHRVISNAPSQVEGVPNCRYTTAMASTNTVSNLAKLEMESNGMTVEIPFKIARYSAPRPVIICISPQFVAEQWQIFMMQVHVSHRFGGHLHIYLTSIIDSYFELMKKYELLGFITIDYWLRMKFSKTETPFFEPNGNVEWRNQAGAQIDCLLQYKLLCCFIIFVSLFEEAAEYIAFFDMDDILFPKTYPRYIEEFQAEWSVDPTSNSIYYGRREHEFVKAEKFSEFNFPDLVASLRSSSTVKRGKVVVRPDRYNSTWIHYSWHDLNSRIIASPNLIHVQRPTQKHGDNKMLIKWKMEFGPLNETIKSVDIAAIQREIEKIRNDSTIHPDITSRLPTSDFYLPIVFECYYKSFYGAAFDNQPGGFLCPNADSCVLPQRENFKCIHSDAEYHSGPHMVPFTFHYAKKPFWSSSIGCYQ >CRE09463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2247810:2250696:-1 gene:WBGene00063646 transcript:CRE09463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09463 MCGRASIEKLCSRCLFIFPVVASITVIWTMFIASNNITPKLTDILAEVDLNETNVNTHKGYITLNEINEFVFKPFGYEIIEATLPVPTVHMLNEPSCGFIFSEWLRVSQKPQPSIPPKEIPESFSDEFLLNGYAGLTKWYFNDHSSTGDSPRNWNRTSEFMSFSKAELGALAYNKESESMYHAMSGYPLRSKSGLVIGSMQPWVEVMALKHGVRKVLTVEYNSLTIPNEFRSRLSAIKPVEFAENWQTYAGTFDFAASFSSIEHSGLGRYGDIIDPIGDLREMLKIRCLLKKGGLLFLGIPFGTDAIQYNAHRIYGSIRLAMMFYGFDWLATYSGESELPIDLTTTLLHSKGPSQYAQYTVVLKKI >CRE09462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2245210:2246429:-1 gene:WBGene00063647 transcript:CRE09462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09462 MYLTRLKLSKASNKTNNEAHNSTSFDLQDFNVYTFKGYISLSELNEDAFKPLGYEIIKPTLPVPTLRMLNEPTCEQVFTDWLKILSERQPETPPVHLPIIHADDYLINGYSALSWAYMNNHTRKEMKPKNWDRIGEFLSFSKQQLGALEYQKESESMYHAMNDFKIKGMTGFVVGSMQPWLEVMALQIGAQRILTVEHYSLDIQNGFEDKLSSVHPEDVAKNWQLYSNQFDFAATFSVLQHVGLGRYGDPLDAKGDLREMHKIRCMLKKGGLLFLGVPYGTDSIQYNIQRIYGSLRMAMMFYGFEWIASYSGDSEKPFELHSKRLNKQSLFLYTHYTLVLKKL >CRE09041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2243177:2244620:1 gene:WBGene00063648 transcript:CRE09041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09041 MSSSFPTAVWSRFLPIIILIGLLTLLIFLTKRSQDLNTNTNPNRDVSVTDSENLWSAAAEPSSVYDLNEYSVKTLRGYVSLHELNEKVFKPFGYEISKPTLPVPTVRMMNEPTCETVFTEWHSISQKQQPDVPPKQLPVDDTNAFLLNGYTALSEWYFNDHSTTGDKPRNWNRIGEFMKFTKTELSALAYSYNKESESMYHAMSGYPLDGQNGFVVGSMQPWVEVMALQHGAKKILTVEYNPLEIQEEFKDRLSSILPIDFVKNWEQYAGTFDFAASFSSIEHSGLGRYGDPIDPIGDLREMLKIKCMLKKGGLLFLGIPYGTDAMQFNAHRIYGSIRLAMMFYGFDWLASYSGEQEQPIDLDSIRLHSKGLSQLTQYTLVLRKL >CRE09461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2240555:2242086:-1 gene:WBGene00063649 transcript:CRE09461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09461 MIVNQVIPVNNGRFYCLKYNCKRLTKSFKICFLLLLIYLVLLLITEKISLTAITSPALPTTTSMVTTKKIKKPNKYVSSNLAASSRLGNHLFELSALLAIARKLERIPTFFIIDHHYDQMLKDTDFVIPGLLDHFLIINESVPVSITPTDFQLKCCMWDDPDRLKNITDEYIHIRGTHYQSWKFFPRLRNELMGYLKTTKNKFPNLPKSSSNTFVTCVHIRRTDFVGSGFHVPDEKFILSAMQFVEQKEKRRKQINMSTVFFGDDHAFMEGISNQSFTLSNGRVKDLKLESFVSYDDPADSMIYSKNNCDVVLFTAPHTTFGWWLGYLSKGNQVYYTDIKYVDDNSIASGLFDPDDYYPPHWTPFKYNEFDNTTVVETMK >CRE09460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2237568:2239327:-1 gene:WBGene00063650 transcript:CRE09460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09460 MCVFRFWKSLLCVTIIITITLFAYSNILMSEKHLRISPLTQPVGSTTPPESNTSTFLFHSSYWPRNQTSVTEASPYEKTDAYIISTYYYPKSKSLGENAIGMVLLMNRFTQEDMTKYQIQLVASNSFNQSVIAIPTLLEESYSNCAYINMVATGNGVSNMTKLEISDGQTKMQIPFKIARTAAPAPVVICMSPQFAAEQWQLFVVHAHVARHFGAHIHLYITSLVDSFFDLAREYEKLGYVTLDFWLRLKFANASRDAVEPNLHSELRNQAGAESDCLLQYKEAAKFIMFFDLDDILIPRGFDNYFDEITSLYKRHPSIVTFQYTKRELLTYNKPTIEDINIEEMLGHTWFVNEEDYGKIIADPSKLNSMWIHQSWNVKKNFISRTNYIIHLQKPVDSDSRDPVVYRRSDFEMMESMKFNTSILIPIQHDFERVSNFTNIRIIAEKLPKTTYYFSIIYRCYFEKFYKKPKKDSCPNGEGCQVSLISILTLRKQSSQIPQRSDRNCVHSNAEFKSGPPMYPITYHYHINTHWTRETGCHA >CRE09459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2236346:2237179:-1 gene:WBGene00063651 transcript:CRE09459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09459 MQIVAFIAFLVFGLQAQLSLPGPSSDRIVSTHLKMRKNFAQGGRYIQGIRQPSATNMMKMAWDSSLATSAQNYAATCPTGRSGATGYGENIRFVYTTAPISPLDGYAIDAPVYWDQQFGNYGLPSLTMTSSILASGVADATQMAWAKSKLIGCGVTDCGPDASQNNKTKIVVICHYSPKGNIIGETMYEAGITCSNCPSPTACESEMGFSTGMCV >CRE09040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2232603:2235094:1 gene:WBGene00063652 transcript:CRE09040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09040 MTKSIRQDVQVIRGLAIIAVLTFHFYPNNFPNGFLGVDQFFVISGYLMCMLLKKVESNSWYSILTHFYYRRLKRILPLYFLIILLVLISIHVVLPLSKLDTNIRSAEKSLTFTSNKQESVLAKNYFEKLSQATDLFTHTWSLCVEVQFYLMVPFLFIIARHFSDNCQMAVFIILGVSSFVFHAFTSRADLSFNHVFARIWQFTFGMIACLKILKDNGDSGHGQDKVIFLDTSKSSKKLNWDNNLFNTYSNSILIVTISITFYSTPINPLIARYGFNIEISYSGIDPNFSFFRVIVTILTTVFIYASSNNVPVFNNLLIYTGNISFALYLVHWPLYAYWKIIGNDSHIGLFFTLIISIFIAIAVHETFEKWSLKLDWKRIILLIAFLGSFNFLALEKEEIEILIRLQNSQKSTLFEVYNAVLNKTFTNYDQVEIQNRLWQKNDFVQLAIPNIGVWVGRNHRPGLSGNGTMKFMILGSSTMANLAPLIQDECGAKAKEISQVTFPSCEPVFPTQSWHCTKQFGEYIEAVRDEKPDYLIMGGRFMSMGDPLAENITNIDFDPLLAVARDQLQEYLKHVSKKIILLHAFPRPVIEEVEKLAQHFREKMTPEEIDASLNLFVFYQLFRFQKLIVDSFENGYNIAKQRYDILLKECGAKCDYIDYTKIFHNPKTNTVRYFNDIGLSYFTSGLHLTPIALEIARPDIKELCNKL >CRE09039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2229953:2231334:1 gene:WBGene00063653 transcript:CRE09039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09039 MPIKLTLIESFDTPLDVPREKLHIEQIPDRKMYLSSNLAASSRLGNHLFELSALLSVSRKLNRIPTFFIQNRHYDQTLMDTDFLIPGLLDHFLIINQSIPDSITHVEFSMKCCTWDNPERLKNYTDQYLHIKGTHFQSWKYFPRMRHELIEYLKTPVNNFTDLPKSNDDNFITCVHIRRTDFVGSGFFVPDETFILEAMKFVKIEGTVICSMSGLIFISEKRDQNMLTVLFGDDIPYIESLLNQTFTVDEGAEKVYSSSISTNQLFYLFQNISSEFFISHNNPSDSILYSSSNCDVVLFTAPHSTFGWWLGFLSKGGQVYYTDIKFVDDNSISSGMFDQDDYYPPHWIPIKHNSFDNKTLIESLK >CRE09458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2226156:2228804:-1 gene:WBGene00063654 transcript:CRE09458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09458 MTKSIRQDVQVIRGLAIIAVLTFHFYPNNFPNGFLGVDQFFVISGYLMCMLLKKVESDSWYTILTHFYYRRLKRILPLYFLIILLVLISIHVVLPLSKLDTNIRSAEKSLTFTSNKQESVLAKNYFEKLSQATDLFTHTWSLSVEVQFYLMVPFLFIITRHFSANSQIAVFIILGERFNSFPATPFSAGISSFVFHAFTSRSDLSFNHVFARIWQFTFGMIACLKIMTDNGDGGHGQEKVIFLDTSEGSKKLKWDNNLFKTYSNSILIVTIAITFYSTPINPLMARVTVTILTAVFIYASSNNVPVFNNLLIYTGNISFALYLVHWPLYAYWKIIGNNSHIGLFLTFIISIFISIVFHETFERWSLKLDWKRIILLIALLGSFNILALEKEEIEILIRLQNAQKSTSFEVYNNVLNKTFTNYDQVEIQNRLWQKNDFVQLAIPNIGVWVGRNHRPGLSGNGTLKFMILGSSTMANLAPVIQDECGTKAKEISQVTFPFCEPVFPTQTWHCTKQFGEYLEAVRDEKPDYLFVGGRFNSMGDPLAENITNIDFDPILAVARDQLQEYMKHVSKKIILLHAFPRPVIEEVEKLAQHFREKMTPDEIDASLNFIKLVVDSFENGYNIAKQRYGILLKECGVKCDYINYTKIFHNPKTNTVRYFNDIGLSYFTSGLHLTPIALEIARPGIKELCTKL >CRE09451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2208102:2210599:-1 gene:WBGene00063656 transcript:CRE09451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09451 MDDMHISLFSNEIYLSSYCIIFVLQLFCAGINGVIIIMFWKLPALRKNKNLHLVFYLAASDFLAAVLEIPYIIYMILKWNNYQLDFDPLVILISSTPLPLQRKVSAIITIGIAVSRNLAIFFPAKFRKLEQSYYSEITLVKGIVFGVFDVVLMFVTSPITRVPNCGTSGCFVSDQFRSYWGTSNMILGFIVVILSSSIFFKIKSVGKETPVIHTPLKQCSKFQQANRTTTGILISSLFFLTIPSLCVGILESMGYSIFRLVGPFYSASLMLSGISNGVIFIGCNGDARRMIASKSGHSMSHTNSLFLFHLPSPPTLIHLLLMPASPRFKCEVCKKFTTLFNYGVTLDSHRENVFLTRTAIDDLHLDEYILSDSINYIQTPPDFRPCFYDWALLDQTTSIEYMKKFEFVQQLSREELKIFIKNTYMSHIMFCNAMRSYNNRQEAAVYSGGVDVFSEEVKQVF >CRE09450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2201547:2205063:-1 gene:WBGene00063657 transcript:CRE09450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09450 MSEKKPKWPYPRIAYHPGKFERFSYRTYNWFENRLWPVRPVPFLALITTATGYQLKNFDVQDLQTSLHVVLKPLAISIGSVYACVFLLRHFLKYFFFSYKGYLKENPKKPSYWTIIWGALRKVLLKIAPPQLSSCDRLLPNLPLPSLDDTVERYLCTMRHVTPEVRSLKTTFKISNKFLSSEGRTLQRFAWLLHKFSENYVTPFWEKYIYLAGRYSLAINSSIAHIVMYGDNDLTQIYQVARILYIETLANLSLDRQKYLAVGEGLLSTRHYRNIYNGCRIPGKKCDHFQRNPPSRHALVVHKGTWYKVDTCDENGKLYSVDELVKIISEMMNRNDKSTGFMSKIASLTTDRRTEWSINRQKFFLDNRNNKKLLKVIETAQFVVSVDETDAWGVETVEEASRYMKDTLTGDGSNRWFDKTMNFAVCANGRGGATGEHSPCDGAELGHLCENFLNIDKQILESPSREEQLENEKVSDEDRKTLKLAEKLNFEIVDGMESEVERCFESHLKATNDLHMHSIIFLDFGKGKLKTCGISPDGFVQMAIQLAYYKDQGKFTQTYESGSVRSYANSRTETLRPVTEASCEFVEAMLDEKSEKKTKRKLLKEACEAHVNNCKQIMMGNGVDRHLFVLCVLAKGLGYSSPFLDFYSSQKWLLSTSNAPNMTNSIDEDCSVNNIVLGGSFGAVAQDGYGICYRFGGNQAILMAITSYHSSQMTDSDRMAQHMKEAFHSLVALFDE >CRE09035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2188476:2191250:1 gene:WBGene00063659 transcript:CRE09035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09035 MRLKEYPITENKFSWRLQALRKNKNLRLVCYLSIGHFFAAIFGLPYFVYMISNWNPTQLNFDPLFIIISATPLPMNLKFSATITIGIALSRNTAVCFPGLFRRMEQGYYSEIVGLIAGFLGIVDVVLSITLSPLVEIANCGTTGCFVGSSFLFYWGISNMFLGLVVIALSASLLLKMKAIDGKSSTASVAGSQKSKFQQANKTTTGVVISSLIFFTIPSLFVGIVELIGISFFKLIGPFYYTSLLLSGIFSGIVFLISNNDARRVIAGQPTNNSTLVTSIFVKRVAGT >CRE09448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2183935:2187126:-1 gene:WBGene00063660 transcript:CRE09448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09448 MRFSVPDKNRCACAPGWYDKYCGLRGCMPPNEDHVNIDLRSLIIVFNTKTSMKSQMTKDANGVYDNWIESFIVYGFVNVQSQLIVQSKFMYSADDVVNFLNSFTLYDGDDTQPVITAVQAAQQTFPKQRSHATVLVFTDSPSSDATPWSHRFTDQNPEQLVLQISLLWKSRYTFFLSLPAGTDYTSNGVDVYRRLALTNHGDTFFIQDINDFTKVLLSVIGSQYYSENVAVAYGKTDDETLTTYVDNEGDIVYFLITIDPSTSKFYQFSKFQAILILESTLPTMAGVNLYADGPSYRVSILKVSYILSAKFQLYTRPSKIGDTVTISNTPGSKYNYRMFLQSKRTLMIFYNDDMYIDVGNGMPVIGVGMSATMQTYNFPDFESSSYELRTFDGRFLREKIYSYVRPQLDCTFLYGFPSWDSGNCPPGPATSVHVFYYNGYKQQRVSTAYCITSNHNPQDTYFHNILKSPQDIYSMAREKHELQMVNEISQDDVLQCKERSIDAINDPRLKEAKQLIFILEQHMDNAQIYKTLAKEINQIIYLTNATNADTFDWEFTLISHDSAESHVILSAYNPIDFGEKFQKFVTNLQLLNNLDNTMGLLSIVQAQKITLRPTAQVYYFTNQAVKTVQNVARTWDIVSRNMEVNFITIADGVTTEIFALPKELELIQKMTNGRIIPITKTETTLLPLFGDMIGVTALTTDNEQYVSQFQTSKIFQQTIIQNCHDTPLVINGFFEDGADYSVVQVVGTGLKTFQMQDSNGAIVGVTDYITYQNPNFVSMRVDKSKFASGVWKISALTATGGCQITVRHRTTVGMLLGFTSSNTDDATVTSQIITQRSKLDSLPLYAAMKVTDGIIPTNLEIRELSLKRVLEMITKFPEIVNRKRYDQPQSYTNSTVIQRDSNSCTYNLLSESIVVPKNELTTWTVTAYNTAGKLLLHRIFYYYQHLPSDASVCHGGETDKFGRCICPYMYTGEYCWDRLCVPPAT >CRE09034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2179947:2181720:1 gene:WBGene00063662 transcript:CRE09034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09034 MFLLGLLLLNLFGNHSAAGTGPTCPDGFTLLNDSKCVKLYETAMTYAKAVKTCRSIIKGDIVSVHKNTDNQALLNLINSHHSVRPIWLGLTCVTSNPNSCSWDDNSGAASYYNNFAKCKPIFYKSNPRIPRFPANPNLSAGKNVYMLVSGSSTGKWISADGNLVSLSFVCETPSSLVPDDESCSPASPTTFLFAYSNDLNPTDVLEVWSHFDQHREEISNKSVVFANVRFDLRKAEDIFYHTNFSDVMDSVEAHLPDSDLGFTDVGTGSDILSIIQKFIYDGQKAPICGSAMLILLKRYPNEQNIDDIVSKLRKHHIYIYVVTHEVPSGGLYSQTMYDIATRTNGYCSFGIDQNFLYVRMKTLLKKNQHFFFQAATNGGAYYSHYLFYSTNIPVSGKNGTVALPLMTVPDLETDYLIMTIQDHGPLTSFIRQEIDWNAVGTDLSGGEAENIWDFGWVKGNGTFYELSWQPRANYVYNMTFSYAFTDRSSQVLQFRAFTEDENVINTWIPYDN >CRE09447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2176086:2178115:-1 gene:WBGene00063663 transcript:CRE09447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09447 MSFGHCVICENESSDKLVQPGVCYKCKMFFLQSGHARKMKKCKKQCKEDADPIRFCRSCRLQKCINAGMVFEEFGCSLKPRKPTKKLPKIIEMDSQFNCFVQLQTSQWNTNQKYTVCSSDVGAAKSFQISQKLYRPATPFDINLSLHIGFRNAIDWANRIDTFRGLNSREKKCVTGEFGVGFILIDQAFKNAQKGFAGDTWFLQNDSNISYNSKLMGIISKEESRNRYEFIQELLLMLKKPFQDLKIDAIECVVLKILLLLTPSYPRRVTVEDKDEMVQRCMLNLMNHSIDKSPEDGHVRYGEIILLLGSIRSAVKVFYNYTKVLDILDVSQFEIFVRNCFLT >CRE09446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2174250:2175544:-1 gene:WBGene00063664 transcript:CRE09446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-308 description:CRE-SRH-308 protein [Source:UniProtKB/TrEMBL;Acc:E3LIW8] MTFHFMSAIQIPIHIFGTYFVIEKTPKSMENVKFSMLLVHFTSVLFDIEMSIFAIPIIIFPITSGYPLGVWYYMGVPTWLMTYTVLTTLTSLGPAIVMFFENQYNYLVRVDSETQSRKIKRAFHYFINYFLSFTTCVPPFFLLPTSEEARHIAREKLPCLPSRIVDNLRLFMLGSEKLLGTCIAIFLIISWAQVILLFFGTAQYLFKAKIMSRQTSRLQKQFFKAICLQITIPFIVITIPAVHVVSTIFTGNLDLVYSQLSVIVTTTHGLCLTLIVLGIHKPYRDETMKILTCKNVTFAKPSHFLSNSRVHNYK >CRE09033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2173164:2173971:1 gene:WBGene00063665 transcript:CRE09033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09033 MNFFLASLSIFMEIALAAIVDDFSCAANGVYTSAATACANTISDQACAVFYQESSPNSGFPLPGNSVQRPYKCYSVNANGGAVSADMKKAAISTCPKTCGMCCLTPAYNCPNAPCKFIFRVDQKKINFIVPSMDCNTVTPSQCLSPIWRELIAKNCPAACGFCNDGGCVDGVNDCANDITICTNVVMQDFVNQYCKKTCGRCNGSNPSKPTCTKYPGDSSSACAAWAANGYCTNDFYTDAQRRLYCATTCKIC >CRE09032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2171169:2172342:1 gene:WBGene00063666 transcript:CRE09032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09032 MTTLIHIPDVPLNLVLDYLDVRSIQVLRKVCRNLRNYIDDEKNVDPNILTIDLLVFTNVISLRFKDSRSWEPRTLIEYQRHPDGCLVVHFSSVGRRERLIAKQYFVKIFCMDFESILRHQKTVIQYLYMNFVHCIRNGKEVEQDLKLKPVASRFFTNFKEILQSRSHPLPVEEINMNIVYQKEVMSVLPFLDSTLLKYIIFLNARNRGRFFKTDEIIYLEQWKKAKELVLINFAVQIPLKSVFHAAEVHIELKKLTARDLYRLKKAFLKSRRARSFYIGYQHKEDDAKVVELLGTPYDDFENIMNDLYRRRRWFFRIPNNDRQALCIHFEDFSKFLFNRIKISEVSSTIDCISVCGKLL >CRE09445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2168132:2169306:-1 gene:WBGene00063667 transcript:CRE09445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09445 MNHGIVFSDMPDIVLLTVMDNLDFRSIIILRKVCQRFQSFIDETSPPFSISEMTLAMNARRFRIEFYDPCAIAGQNVIKIKYKHSKRGCLIAKKVGDNTKERLLQNQNFFDCLWRDFQFILKHQKSALRHFSISFEHFQYELGHLEANNLSKISLKFIQNLEEYFKSRTKLFPIKHLEMKVSEEIITITKGKGETNKVLELDEVAELQQWKVATGIEISHHVTLPMKHFAHFSKVEISLETVSQENLRSVMKILLGTPAPELFLLNHKTNSVDKIKEVFGNPYGGIIEDHENDKCWFFQIPGNNDEVLFVYSTFFSRVSFKRVSVIEVPFDVVFQNFYV >CRE09443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2163401:2164742:-1 gene:WBGene00063668 transcript:CRE09443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09443 MTTEILLPQLPDIALSAIMEKLNFRSLMCLRKVCRDLRNFIDDTVPATSLEDYCITINSDKLVLGMTDSDSKYIKAEYTVNPLSVESAEKISQNSVENFWTDIEVIMRHQAKVVLTSLVIFLELPETSISKLELTILERNHSLFLAKFQNLLKSQHQLLRVKHIHFLARYQEEIMSVLPYIHPKYLEKITINPKRGESTRELVLSEVVKLEQWKAAKELDTISFFMMEPLENYLHFLRTKIHIENLTPEIIQQVKGKFLENPAMQNFELHHEPCYKSREFKEIFEKIFDGEYGNQNYEHRWFCRIPGNNEDVISIRTTYFSWIIFTRIKLAEIYETNQD >CRE09441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2154042:2155183:-1 gene:WBGene00063669 transcript:CRE09441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09441 MPSAEITLTDMPDVIMKEVLNKLDFISILSLRKVARSFRDFIDSNKPDFAIPEICIEFQRDSISVWTKILNYRYFAENGECKLVYRKGGKIDLLSKTLQDYNFLNAACRDLSILLRFQKSPLSHLKLETRFFIGKVSLENQEEILKQFLDNLKNMMIGRDHPLQVVKFSQSIYEEKQLMSFLPYIDQKAIKSLLIIHYGKKEELDIGDLTDMELWRNLEEIEISNFYITAETLKKFTHFFKAEITVKTLRLEDLAMLKVALLNSPHFKRFTLYYEEGDDDEMFEQFGEPYIWRTETGIWGRNQKIWYFWNRNTNSALSMTPSRGFRTIIAFARIPFSSIPGRYLMQHELQF >CRE09028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2147424:2148796:1 gene:WBGene00063670 transcript:CRE09028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09028 MMVVQQALAIISFLMNCLLISLILTKSPKTMGSYKYLMIFMSGFEIFYAFIDLLIQPEVVTMDSFWLSMTSAKRSVLPLEVAYPLLLVWGGSFGIALACFGIHFVYRYLIITGNKKWTTGTSSIFLWISVPILSGFVYVFAIHYFWQLSEVMDTFVRAALEKSEEVSKSQLIFFSSYPFKTIPKSEVILLDWLQIYGVIFLCSSVSLSFFTMIYFGLKTYSAMRKFREATSTDSASSKNLQTQLFYSLVIQTIIPVILIHFPTTLIYISTFFDAAYPVYGKIVTVTISLFPAIDPLPSLLIIRPYRRAIRGCFMGNFGLKGGISVEPSNRGTSTMYTRRTAQF >CRE09439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2139673:2140896:-1 gene:WBGene00063671 transcript:CRE09439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09439 MVQTLEEYYLKNYSQCNLEYSFLASWQGLAYPSYVLQVIALPFQAISFYVIIKKTPNKMTSLKYPMLICHFWATLLNSLFCTFETPYVFHRMAAVFTVGLFSYFQVSTQLQLLIGTTCSYTFLFESRSSSLQTNRFKITRKVFRVIYHSMFFLVNSTISWIFFITPTNQEAAKMQALEADPCPNSEFFKFDVLILTTNQNLLNFIIWIHGPFILLHAVGHLQFHVSCLVYYLYYAPSTLISKNTQRLQRQFFVRIVFQTGVHFVFIAIPFLFMAFIHAIDCQCQEIMNLSTIIVGLHGLMSSISILLVHNVYRKAVVKMMCDLGSKALRVKQYTYFLFRVQKRSANIIQARKEYFSCGPVLRNLTET >CRE09025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2132725:2133420:1 gene:WBGene00063672 transcript:CRE09025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09025 MFRPLLTILAVIAITAYSSPGVFRYSRLQVSLCDTFNAKYTCPLILKFVFSSLGIREAHPTLCGLVSSRCSPEEIPCAGLPEEDLKSITDACAQVSGGGTTARPSPTEEAEHTTASPPTPTPETEEPPHTTLPDPGIPDSDHTTASPPTGTTEDNSIHTTGTPPTPTPEPEEQPHTTLPDPGIPDSDHTTASPPTGTTEDNSIHTTGTPPTPTPEPEELPHTTLASPEVPW >CRE09024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2131171:2132068:1 gene:WBGene00063673 transcript:CRE09024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09024 MFSFLLSGQIEVLTICFFRKHKAIMNLANPLRSSSAVYLGIYAMCVLYTCVFATSILISAETREEQLRVMDKLYPSLSANFHALEDFIYFVSNEKMMFCYVLIFGGTLKTLVIINILALRMFKGLKKVKNRLSINTLFLHRVTLRFDFTSYSPVLFFLTAPIAFIPACILAIEIWFPNENSQQITRVAFMCMPTHSVFNSIVVVLTYPEFRKKLYFWKRKSMNTTSVRVSWLRNSNSNAAN >CRE09022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2127344:2127742:1 gene:WBGene00063674 transcript:CRE09022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09022 MLKVFITLSALTLAVMCAPPGWPTAEEAKAEMEASGLSAQAADGILKIATDFASNKPAEGTEIDREAARAAFHQFIDKVNEYIKTQSPADQTAYEAFVAKKKADFESRIAARKAENQ >CRE09437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2126611:2127012:-1 gene:WBGene00063675 transcript:CRE09437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09437 MFKVFITLSAFTLAVMCAPPGWPTAEEAKAEMGASGLSAQAADGILKIATDSFASNQPAEGAEIDREAARAAFHQFIDKVNEYIKTQSPADQTAYEAFVAKKKADFESRIAARKPENQ >CRE09020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2124101:2124496:1 gene:WBGene00063676 transcript:CRE09020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09020 MFKLLLAFSVLILTVVSGPVPPSPGVIKADLLAAGLSEQAANGVLKVASEFFTASKPAESVKRTPEETGALFGKFLTEVDIYIKTQSAEDQEAYKEFADKKKADFEAHLTAKNY >CRE19079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2167287:2167652:-1 gene:WBGene00063677 transcript:CRE19079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19079 MTYTSYFEALDECDLKSLEHRRLCIDLIFTYKLMVTKEVIIDDPIFELLDHSKLRRHRYYLKSLTRNSTKLSSQILSNRVLRCWNSLSDLVFPVKPSTSVFKSRIYKYNLNHFLSLNPTNY >CRE09018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2117843:2120844:1 gene:WBGene00063678 transcript:CRE09018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09018 MPSTSSNITHILGWFSFFTAIWATISLFILIEKKSPKEFGGYKNFLRIYCFYAFAFSIIDWLAQPAVAIDIHGFGYAFYSENRLFDLGYTGGLAVQILYCGCFLASSSFLSLNFIYRYVSICKPYSIIFFQGWRVILLFLYCAVPFFIWSMLVYTLMAPVPDRTAHLNVTTMLIENVDLVNKPYVGVLCRHVTNSTQHSYGDIQWGTMSALLGLFGFQGFFYTVSLVCGVLTYQDTMQLYKMAQMSKELYKMQMQLLKAIVLQASIPLILVYIPPAIMIVGGMCGIYVGQIGYFVVMSISIYPPLDSLVFLLSIRCYRSALCCHSGGSVKSTWNTSVVPVRVLANNRDGEYMTDDTTNHIFVSSVFTLHQAGFPTAIYCSFYGMMLLLLTIHFYYRYLSVIGSPNLARFHLRTFPICVLFLALNNSIWFILSYYFHGESDMKNQVVAPDLSKIYCLKKQLFRTLVIQTCIPSVFMYFPVTCLFLFPFIGVTVDGMSNFISISVAIYPCFVPLVDIYCINPFRMRIIGLLACCLPPKPTVDNSVLVMSNF >CRE09107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:203060:204202:-1 gene:WBGene00063679 transcript:CRE09107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09107 MSNCRSEDPFVIIISHYISSGLAIIIYGVAFSVLFFKCPKYFNSQYRNYLIAHILSGVLLEIQMSVFWRPKVVLPVPILCANGLLSEYSAANFQAFVYLLIFMGVSALSILIYRMKAVILYVEYARFHKLPIYFRRLFYLFSFLCIVSTFLINPGLNKQLEYKLKMEQRFGTFPEYFWCQNCIFMVFDSILFILFFVSAYITITTAFLSAGFTAFVTYRILNSKSLRLSQKTVKIQKNVLTSLIAAFLVHVVLIFLPLLTYFSSNFINIDYPNVAFVCVIMVQEHGSSSALTLLITSKLLRNSVRQLFYIPMNFTLWSKDRISQNTPGNLDSVNR >CRE09434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2107378:2109576:-1 gene:WBGene00063680 transcript:CRE09434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09434 MTCNFMMAIQFFQREHRYKAFYKPGQIQISHNVSKYSTIQKIITLLIFQKYRSHLQSFHNLPNFSIYNLNERWIILLSSVFIGLLFAGLLFAITTIRMFGILMDLQGCTSVLNFRIQRTALISLISQLAASTFFLLPPGALAGLSLLGVEYTQGELRRESKFKIKNVFNCARWRIRRVLLEDKFLFLILFYFVHEEYTTRTPFKFIILFQQNAMVNIIIITFNNTNEKSIKPLLMHQQTDNTSEKT >CRE09016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2102096:2106738:1 gene:WBGene00063681 transcript:CRE09016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09016 MVSKLTKAMGALSQKDDDDTQSTPPSSFLPPTHAARSQERRKSARLHKVYDPNSENDGSIVPHDSGTESDEEELQTITSRFYDPLECLRNYDDYLHSNPPRVSASPAWKRDLDETESWDSFGRESGIMTGDNDSDTDGMATPTPVRRRLREDDSSPSPGKRGHEESNPMADHPIAWRLRSFNNDRAVKVAARRCPVQVLINRPPLPVAYRFDHVEAEVETVELMPPAPAPKRGRRNSHRPSLDFEKMVQTRIEEQSPAATTTATATPLIISPSSSSSFSGPVTRSQITQ >CRE09433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2082516:2088305:-1 gene:WBGene00063682 transcript:CRE09433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-2 description:1-acyl-sn-glycerol-3-phosphate acyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LIT7] MWDFWTVLLLFLFAVFLILYNFSTAFHYYFRISFFYFTVLLHGMEVCVTMIPSWLGGKGADYVFHSFYYWCKWTGIHTTVYNYEITQVDGPAVVICNHQSSLDIISMASIWPKHCAVMMKRILAYVPFFNLGAYFSGTIFIDRYNRERAMASVDYCASELKNRNLKLWVFPEGTRNRDGGFIPFKKGAFNIAVRAQIPIIPVVFSDYRTFYSKPGKYFKNDGEVVIRALEPISTKGLTLDDVNELSDKCRDLMLAAYREVTAEAWKRTAERRGETVSGKKIE >CRE09015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2076561:2082174:1 gene:WBGene00063683 transcript:CRE09015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09015 MDNKSVESAGDYAQTAINEAREKAAEAIAVAQEALESAKEKASDAGDAAQDALESAKDKTEDLWDSAKDKAAEVGDSLKESKDSAEHSATDLYESAKNKAEETFDAAKEKASDASEAVHEHAEHAKGQAEDVVEAAKDKAEDVKESAEEKAEDVKNSAEETFEKLHHSGTTAVEDVKEAASDAKESVEEHGKEATDSTRSLASEAGDAILNAFESAKDSAASAWETAKEAVTEAYEEAEKHADDGAFAIKEETKEVKDDVADVIDAASDKVSDVVEDVKETASEAFESVKHHGEEASDSAKEVVDEAKVKGSDAWDAADAKAEEAKEKASDLLESAKEQEKETVKTVKEYFEEAKEKAAEVWDATKEKTSELLDSAEKETEEAKEEAKGYFEQAKEKASDLVDSAKQQGEAVTEIAKGYYDQAAEKASETWESAKKLTEDASEPALEIAEQEKAQAEETFEKVNYSLNTAVNDGEEKVEDVKEQVQEKAEEVKEEVAPESPRHSIVDTAKEYAHAAEQKLERAASTASDAVQYVNDSAASAVSTVADTLSSAVHTVEEKLHDANEAASEYIHGAKKNASETAHDTKEAVSSKAAEVEKSANETVKEAAEEVKKAAEHVEKKADENLKTGTLPSPKSGGNNKTDTLRSTTRSEGAPQKRRCAIL >CRE09014a.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2070304:2076201:1 gene:WBGene00063684 transcript:CRE09014a.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lea-1 description:CRE-LEA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LIT5] MSFMDKVKETAVNAKDGVTGAFSSAGEKIGETYDSTKQTASETADAAGDYAHDASKKAGETADTVGSKISNAFSSLKESITGDTAHKTGQAFNDSKETAKDKAQEYADAAKANAEKAADKANEIAKQTGEEISDSYESAKQLAGDAADNVKENAGHAADTAKSYKDKAADKTSSMFDNFKAHATNSKDAAENKAADAYNSAKDSAGNAWDATKDKAADAKEAASEKAGEASDKAKSLTEKAGEKISGAWEATKEKAQDVADSFKGHATIDKDHVENKAAHMYNQAKHGAQDAWDATKDKAEEAKNAAGDAMDKTKDKAEDAKHEGKSMTETVGDKISGAWEATKEKAQDVADSFKGHATDSKDSVENKAADAYNTAKYKAADAWDATKDKAADAKDAAGDAWDKTKNKVEDTKDKVNDKAEDAKHEGKSLTETVGDKISGAWEATKEKAQDVADSFKGHATIDKDHVENKAAHMYNQAKHGAQDAWDATKDKAEDAKDAAGDALDKTKDKAEDAKHEGKSLTETVGDKISGAWEATKEKAQDVADSFKGHATIDKDHVENKASHMYNQAKHGAQDAWDATKDKAEEAKNAAGDALDKTKDKAEDAKHEGKSLTEKAGDKISGAWEATKEKAQDIADSFKGHATDSKDNVENRHAGAYNEAKGKTAGAIDATKEKASDAWEATKDKAEEAKDKAADYWDSAKDKAEDYKDKASDKADDFKERADEAKDRALGQPHGPWETAKDKAGNAWDNTKDAVSDAAESAKDNTKSFTETIGEKLSDAWEATKDRAEGVKEAFTGHATDDVDFEEPTHHRYFNAFSNFE >CRE09014a.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2073322:2076201:1 gene:WBGene00063684 transcript:CRE09014a.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lea-1 description:CRE-LEA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LIT5] MSFMDKVKETAVNAKDGVTGAFSSAGEKIGETYDSTKQTASETADAAGDYAHDASKKAGETADTVGSKISNAFSSLKESITGDTAHKTGQAFNDSKETAKDKAQEYADAAKANAEKAADKANEIAKQTGEEISDSYESAKQLAGDAADNVKENAGHAADTAKSYKDKAADKTSSMFDNFKAHATNSKDAAENKAADAYNSAKDSAGNAWDATKDKAADAKEAASEKAGEASDKAKSLTEKAGEKISGAWEATKEKAQDVADSFKGHATIDKDHVENKAAHMYNQAKHGAQDAWDATKDKAEEAKNAAGDAMDKTKDKAEDAKHEGKSMTETVGDKISGAWEATKEKAQDVADSFKGHATDSKDSVENKAADAYNTAKYKAADAWDATKDKAADAKDAAGDAWDKTKNKVEDTKDKVNDKAEDAKHEGKSLTETVGDKISGAWEATKEKAQDVADSFKGHATIDKDHVENKAAHMYNQAKHGAQDAWDATKDKAEDAKDAAGDALDKTKDKAEDAKHEGKSLTETVGDKISGAWEATKEKAQDVADSFKGHATIDKDHVENKASHMYNQAKHGAQDAWDATKDKAEEAKNAAGDALDKTKDKAEDAKHEGKSLTEKAGDKISGAWEATKEKAQDIADSFKGHATDSKDNVENRHAGAYNEAKGKTAGAIDATKEKASDAWEATKDKAEEAKDKAADYWDSAKDKAEDYKDKASDKADDFKERADEAKDRALGQPHGPWETAKDKAGNAWDNTKDAVSDAAESAKDNTKSFTETIGEKLSDAWEATKDRAEGVKEAFTGHATDDVDFEEPTHHRYFNAFSNFE >CRE09014b pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2070304:2076201:1 gene:WBGene00063684 transcript:CRE09014b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lea-1 description:CRE-LEA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LIT5] MFRKFQACRDFSQRLKNTPQNKMSFMDKVKETAVNAKDGVTGAFSSAGEKIGETYDSTKQTASETADAAGDYAHDASKKAGETADTVGSKISNAFSSLKESITGDTAHKTGQAFNDSKETAKDKAQEYADAAKANAEKAADKANEIAKQTGEEISDSYESAKQLAGDAADNVKENAGHAADTAKSYKDKAADKTSSMFDNFKAHATNSKDAAENKAADAYNSAKDSAGNAWDATKDKAADAKEAASEKAGEASDKAKSLTEKAGEKISGAWEATKEKAQDVADSFKGHATIDKDHVENKAAHMYNQAKHGAQDAWDATKDKAEEAKNAAGDAMDKTKDKAEDAKHEGKSMTETVGDKISGAWEATKEKAQDVADSFKGHATDSKDSVENKAADAYNTAKYKAADAWDATKDKAADAKDAAGDAWDKTKNKVEDTKDKVNDKAEDAKHEGKSLTETVGDKISGAWEATKEKAQDVADSFKGHATIDKDHVENKAAHMYNQAKHGAQDAWDATKDKAEDAKDAAGDALDKTKDKAEDAKHEGKSLTETVGDKISGAWEATKEKAQDVADSFKGHATIDKDHVENKASHMYNQAKHGAQDAWDATKDKAEEAKNAAGDALDKTKDKAEDAKHEGKSLTEKAGDKISGAWEATKEKAQDIADSFKGHATDSKDNVENRHAGAYNEAKGKTAGAIDATKEKASDAWEATKDKAEEAKDKAADYWDSAKDKAEDYKDKASDKADDFKERADEAKDRALGQPHGPWETAKDKAGNAWDNTKDAVSDAAESAKDNTKSFTETIGEKLSDAWEATKDRAEGVKEAFTGHATDDVDFEEPTHHRYFNAFSNFE >CRE09431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2052160:2053603:-1 gene:WBGene00063685 transcript:CRE09431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09431 MVLSKFPLLKLPKVALNDILKLFTPFEIVSLSLCSNYTKSICKTIRAVTQCKETLSCFDVSISYYESIIRLRFTHFPLDEWSFHLLKEQRLEKLISHKFCLFFRGIKRTVFPKNVGNNALENLMLPSWNPTGNTISEDSDVVLDHSYCLKIYACENVLSATKKVYSYLSDIFHVKMKHFSFDYRHLSIEKSQLVMDSFFQQPIQRFKLSEENTIDAFKSDALIYILQSQKTTSFFDLYENPSPGFTCDFEQMETVPELMDFSYSHWITFKQILKLKNENLWFSRSNFLQTDFEILIKKWRDGWTPNWKSLLIEFNEDVNIDTCVSGYFIQLPPNSGHWNKTTVYRNFPIELNRFIMRYKTAKEPINFIGYHILRSDGRIATIAVDNNKIGWFQIQSDDKDQQMVFGFHPRVFDLL >CRE09011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2050007:2051956:1 gene:WBGene00063686 transcript:CRE09011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-53 description:CRE-NHR-53 protein [Source:UniProtKB/TrEMBL;Acc:E3LIS9] MSSSHLMNGFEGVSTAPQYCLICCEVADGHHFGAPACRACAAFFRRTVQLNKNHECPKNNQCFILSNVRNMCRACRYDKCIDVGMKRSAVQQRRDQLGKRDEIRSTSECFSRRGEPVLDTMKRAYEKLLVVRKSVHNRVENQPPRPICFSELQQVYLKEMTVVYQFLCEAFPEYYEFLPDTKRSLFKNFFLPFTLLESSFNGHSTNKQDVMLIPSGDYIDLEHLESYFTNNHDKFSQEKTISMFAQQFQLLRTCITHPLHAENVDTYEFLALAAIILWESDLEADLDRQNAQEEAIQMRNAIIRDLLFYYQSMNIYDDVALRLGTILSILPSIQRASYRFHEYMEIKNLLNLYALPQNLFDMFSPVS >CRE09426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2037653:2038536:-1 gene:WBGene00063687 transcript:CRE09426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09426 MDFWTTIFIFEITTDIFKLLSLQFYMTTVKYAVKKLKTVRDDIFLITVLLHQGSCCFFPEKLTSQKPFITDASNPGTIFFPTIFFLGDHKNRQIVVLLYVSHLEKTHFLLTVFGRHHYFENPLLTMEKLSKSDNEITDTRMESNLDPLRTASLGKCDKKEMCDKECGQFKDIKDTVTGERDACPDGFGSCPRKGMAYNAGDEEDLKKRRESDVRSKEM >CRE09425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2035591:2036999:-1 gene:WBGene00063688 transcript:CRE09425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09425 MDSETENVLFFSQKLHMNLYSLTTFFLLPAYLSAGPSCPAGFSLLNQNKCIKVFTSSAKRSDATTQCISLGGTLVTIKNAIDNRAISTIAASAGLQNIWIGIYCYNFHNVTCYHDDSTGVISYNSFRPGYPKEAYDIGQSVYMQTNGAEWHTGYRDQMSLPFLCELPTTVSDPTCTHNYNGYCYLPSHEIPGIDSSTTYSKAQAICKANSANLASIHSKQEIDYIKSIYTNPNEISQITLGAEARQLHVFNWVDGSNFDYNYFDPLVNSTGNCLQMDLSYRYDRGLWSEISCQSVNNFLCKRKIGATEQIMEPSQPINHFDLSDPSNCNTTLLMAPGVFTSFGYGTSPLPNTYCYWRLATVGAYKVGIYFTDFSVWFNMNIMDQYGETIARSTGNLQPFSVLASTAIATVTHLQTDDTPSYNRHGFRAVVLPY >CRE09418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2019343:2024654:-1 gene:WBGene00063689 transcript:CRE09418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09418 MNILDKIEDNISANVIDAKLDPLDDSGKENESRGLISSAFTSLKETVFGDSKESEERKEERKAPEPVEDVAPEASMDEGERRSFGKMKDDSRGIFSSIKESVFGSADDKNDQSVESRAPEPSEDQAPEAFQSEEGQKRRSFGEMKDDSRGILKDLKESVFGSQDDKNGESKNPEKEVTMVPDRSGDKTPESESLDTSSEPPQKSGGILSNAFSSIRESMMGASSHKTGQALLNQDEEIPNEDDPDDAAEDLAREVPKAGQMPFERPGAEESLAYDEDARDKAHSEAAEKEMEQGSEDKEEKNPPDNTKEHAEISAENSEKEDGDKTTDDDDKKRAPQSDKRVKDTGENDDKEDSEAKKSEKSESESSKDHNSESNDPAKKKDSEDKKDDASKDEKSGESDSKKEEGTEKEPSESSDAAKNQAAKDANDAKDTAKNQFDSVKKEDSEKKDDADKEKKDDKKGGDKDEKADSDSKEEKDSGKSDDSESGEKKDEKSQDSEAKKSEKTKKEDKKDSKDSDDTKKNDSEKDEEPKKDDKDSGVKLASEAQEVGDHSKDPNSEGKKTEEPKREDKKEDSETRKSEESKEEKKKEDSDDTKKNDDKGSKDKKSEDAEGKESDSGDGDGESGDPPQNTADEVSYVSSIHKEDNAHKAGEASGETYNEAKDKAQGYVESAKETAGNAADAVKEQVSSAGSAISNVISSITESITGDNSHKTGEVFNDAKKEASEFADSVKSHAQNAAETAQEHAANTGNVITNAFNALKESITGDNTHKTGEALQDESEALHNDVEEKYQAVKVSALETADTAKEKVEDAAEKVEDQAPTYAEVVKENIEETAESAKEQAAETGSKISDALSSVAESISDDTSHKTGGALSDAADKVEDKVDSAKEVAQEYADSAKEKASEATNTVEEQFVFGKEAAKESAEDAKEKAGDAVESVKETASEAGSKISDAFHSITDSMASDSAHKTGEAFNDDTSNVGDNLQKNVDAVKETAGNVAEGVKDKVEEVSDDVKESASEAGSKVSNVFSNITDSIADDGAHKTGGALCEDAPTYAEAVKENLEETADSAKENLESAKDTVQEKAEDVKDSAKEAASEAEGKASNALSSVADSINDDNAHKTGEALNQDTLKEKAEDFVDVAKEMAHDAADAAVEKKDELKEQAEEIVDSINTNA >CRE09416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2013424:2016936:-1 gene:WBGene00063690 transcript:CRE09416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09416 MTLNFDPSVHDAFHAYMSNKPKIVTATGASRMSRAVKKPPSPKASTSEFFKCKTEVDDTPTECASRKKKGVETDMTGQKCRVCGDERANRHYGTIACNGCKGFFRRSIWEERDYVCRYGGRCLIVQEYRNRCRACRFRKCFTVGMDARAVQSERDKSMRKMKQSSRKEATERSTPYSVYSTVSSAEGSSATPQYNVPFVQYLMDLEKATDSMVDISYNFTNMDPTFSTSVIIETAFREPGIVSKRTLPRWGDMERVATVNDIPVTWCRSFVLCVDYAKALSDFRELGEADQFTLLRNRVVALNWFCHAYKVDFLLIRTQLVNFQTFKAGCDGIVLVNGTWYPRDPQLQTSLDPGCNNYFRVLSEHLMQNLVIPMRELEMDEGEFVILKVMVLFKAYCRLSIHGDATIKQTHEKCIDALYEHIQLQHPDFTPQQISMRISKILLLLPSLEHLTQKVDDNIQVLALFQLPCLSGLPYKIHCSMKQIVFDEQNDEKILFGLFGLFGQIMQVYVDREKEREKRETCWSVLRRSKNLMFRRREIDGEGENRDMCVRGFEGTIQKWISFLNRDDVMVFAQMTSYICRDQRMLEYLN >CRE09415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2010868:2011910:-1 gene:WBGene00063691 transcript:CRE09415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chpf-2 MGSNNSQNLRDEEIKELMDETEFSKQQITRLYSRFLSLDKKGQGYLSRDDFLNVPELGVNPLGDRIIDAFFTVAETNGDKEEQQLNFRQFVRILAHFQPTSRVKKNSLNSRRDKLFFAFKMYDLNKNNYITREEFKVILNSMVGANITTDQLERIADRTIEEADKDRDGKISFEEFCGAMEKTDIEEKMSIRFLN >CRE09414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2008153:2009230:-1 gene:WBGene00063692 transcript:CRE09414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09414 MPDVVLNAIFANVDFRSILTLRKVSHGFRTFIDDVRPMSPVTNFFVSVHPDLVSFDLFFRTEYTSFNGKQCFSIKYRKSGKSGCIVQWQSRKKMEERILKKTDFLEVAMQDFEILVNHQWKSVLEMFRLEFENQENIPTEYVLKEIGRILKARKTLLQVQDFCIGLSNRGNQIERILKLIDAKTIQKIRIIGKGNEMDQLDIQKVLELKQFKNAKELKIWKFDLSTPIEELVDFSKVEVFIETVSVKSLLSLKEKFLHSKSLEMFSIKYNRIDSMSMLEEKLGKRSIEQCENVWHFPYPNSSDFVLSIKIISSSQITLERLLGTVG >CRE09413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2004406:2007510:-1 gene:WBGene00063693 transcript:CRE09413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09413 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:E3LIQ8] MSSRLFVFILICYATADKIEESDSDGYKLFTWKWEEVDQVYLITVWLLIAALAKILFNLMKPISKWLPDSSLLIIIGLALGWVLHQTTLIGTSLDSHTFFLYLLPPIIFDAGYFMPNRALFENLDSILVFSVFGTLWNTFAIGGSLLLMSHYDLFTMSFTTFEIFIFSSLISAVDPVAVIAIFEEIHVNEFLFINVFGEALFNDGVTVVLYQMFKSFALIGSENLSTLDYVKGGLSFFIVALGGAVIGVVFAVAVSLTTKYTYDVRILAPVFIFVLPYMAYLTAEMLSLSSIIGIAVCGMLMKQYIKGNITPAAANSVKYFIKMLAQSSETVIFMFLGLSTITSQHHFDLNFIIATLFFCLLYRAIGIIVQCFILNRFRAKKFEVVDQFVLSYGGLRGAIAYGLVVSIPASITAKPMFVTATIAVIYFTVFLQGITVRPLVNWLKVKKREERDATMVESVYNKYLDYMMSGVEDIAGKKGPYTLIENFERFNAKRIKPILMRHQKKESFDASKIIRAYEKITLEDAIRLASIKTTIQSGRLESTVAVHSSSNQKTVTPRHLQLEKFMNSGENIDSLYSLFSDLLDRKLLEMNTKSPASEVKGGGEDIQDDYMTEVKSRSNLAAMFRSAEQLDSDPAYNRQRRQSAGDLDAKKKNDFLRV >CRE09412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1997033:2000999:-1 gene:WBGene00063694 transcript:CRE09412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-34 description:CRE-TWK-34 protein [Source:UniProtKB/TrEMBL;Acc:E3LIQ7] MTNKGNSQIRNGGPGNSHPAVLEEIDEEEDGGIKSSNPDIPQTVCNENKEPKPAEEKKEEKREEKKKPRVHPTMQNLNLVRSNSSTSLSKAEAVEKYYERNAHTVSGIHKNQDTFGQLQRRYKPKQDGVSVLSSPGALGDTVIPTKRHFDRSMYWFAFHRKQIGLRHILVAFMVLSYTIFGAFMFWTVESRYERAITLERVTNLEELLGKIAENITEIVNDPNTNTTESEMKVYIRVSPKPRRPLPQHLFSFQEAYVTLMRLEGQYKGSTYYKLEDHGRNWKWTFESAFFFSMNVYTTTGYGSIAPESTLGQFLVCIYGFIFVPVTLVALRDLGQFFLVHLTKLYAQLKQRMRELNGDRTLDVNEIIQIPIKSCLLLLALYLAFCTLFINLYDELSGQQPGTGMSLFLCFYFSFISLSTIGLGDIMPNNATVSFSPIISIMFFFGMALTKVVNRNTFIAVENGIFGVLTLVENKLDGIVRRGKVLPEEKEQQKPPKLTPRTPPHIQRALSVEDYVAAQRENTNEVLNNFTVRSIATFMKSNTDIYGGGFGRVQLRRGDLMGSDHQMTTTSVEHKESGHHQDSQV >CRE09411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1993552:1995414:-1 gene:WBGene00063695 transcript:CRE09411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09411 MRVSRVSQFFAIFTREFEKCDHLQEREMKERENASICENENILAFTPNKKIKCEYCVCEKCEISRARRALLIACRNTKRFLVFKKQGLLHLPVSLMYLVLPHLPNTSIVCNPTFYSNYPFPPSIPPTEKLAMSLSKFPILKLPVLALNEVLKLLTPFEIIFLSFCSKRTKSICQSIRLVPRRNADSSLGIWFKAIDEIYFQFSFSKFERWAIVLKKRPEPKNTKENRCWSIFTRGLMKLFRSRKSSWKNIAKPIENIYFPYWKTSVIEKEYDLRGDGRFSYTCHLLHVYTSENLLSASKKLADYISEILHEKVYFLTLKQDLYSREENEAIVDLYCQQPIGCFELTWDTSNDPPKNDVLTSILKKQNATRTLDLRINPSSDFTFDFEQFKNSLYSMRIWFSHWITFEQVLEMNTEHLFLTKSNFLQEDFKLIIDEWRDGWNPNWKVLMIEFDEDIDIDECVDGEYINLQPEDWKRKSVIYRNAPIQLNRFEGSIEKSWGTVIRTGYHILRRDAIIASIGIETGRFGWFHIQSDNEDPEVQMMSHYRAFGLE >CRE09410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1991436:1992736:-1 gene:WBGene00063696 transcript:CRE09410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09410 MTQSKFCLLKLPRVALNEVLKLFTPFEIISLSLCSNYTKSICKTIRAVSQCKETLTKFHVFIDSHYEIHLQFSYLPAHDWVFYMRKKRPEKEASWDPRRRVVEHGTGGRGTITTTEYSLRHRTSDCLISATRNLCFHISEIFHQEIQAFAFDYKMFGIEENQLILELFCEQPVQQFQLSGDTSDDPSKNDILTNILTRQKAINRLNLWFNPSADYFFDFSQLENRLDKLNILHSHWITFQQLQEIKSQMVFLSRSNFLQADFKALIQKWRDGWSPNWEALMIEFKEDINIDKCVKGEFIQLPTKDWKNKKAVYRNSPIQLNRFVGSHKNSWGRLIRTGYHILRSDGTIASIGIEKKRIGWFHIQSNDNNSEIKMIVHFRAFENN >CRE09007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1989057:1991148:1 gene:WBGene00063697 transcript:CRE09007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09007 description:Dimethylaniline monooxygenase [N-oxide-forming] [Source:UniProtKB/TrEMBL;Acc:E3LIQ4] MPSEKKQLLIVGAGASGLPSVRHALLYPNVQVTCFEKSNDIGGLWNYKPHQTDLSTVMKSTVINSSKEMTAFSDFPPEDTMANFMHNTEMCRYLKNYAKNFGLTKYIKLNHAVVSIVRNDDYAETGKWRVRYTDGNGKEHEKIFDGVMLCSGHHALPHIPNPWPGQKQFEGRIIHSHDYKDHRGYEDKVVVVVGLGNSGGDCAVELSRVAKQVYLVTRRGSWVYNRLFDRGEPVDMVFNTKFQMLFSQVVPTPILNWSFERLLNQRFDHAKYGLKPEHSALGAHITINDELPNRIACGTVRIKPGIKEFSQKSIKFEDGSVVENVDEVILATGFSFHFNLVEGGELIKVNENKVDAYKYVFPLATADHNTLAIIGLIQPIGSIMPISEMQARVYMESFAAGRPIPTRAEMKADIIQKREEMSRRYVESRRHTIQVDYASYMHELGDLIGCNPDMKSLWMWKPMLAWKVYFGPCVPYIFRLNGPNKWEGAENAIWDVEYRAEKPTNSKLERK >CRE09409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1986701:1988131:-1 gene:WBGene00063698 transcript:CRE09409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09409 MPQTDVPFKLSVDPLPSIHQKPTMSLSKFPLLELPTVALNEVLKLFTPFEIIFFSFCSKRTKSICQTIRSVTKSKEALYNYSVWINSVHAIYLHFTYFPSELWVFYLEKYPESEHTKRNKFWSILPQGFLKICYSRKPTKSSCQKMAEPIESIHFPNWNPSVEAIQRDYYGTTLTTRHSLYLYTSDNQALATRKLADFISEIFHEKMNGFGLEWSRYNSKENKIIMDVFRNHPVRDFQLTGDTLNDSSKKDVLTSILKKQNTTSLLDLKINPSSDFSFDFGQFKGTLETLVASFSHWITFQNILDIRCQNLYLQKSNFLQADYKLLIDKWRDGWNPNWKCLMMELNEDIQIDECVDGEFIALERSNCRSKSEIRGNYPILLNRYEGLVDNSLGTIRDVTEYHILRSDGMIATISTEAGKIRFGWFYIE >CRE09006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1983859:1985897:1 gene:WBGene00063699 transcript:CRE09006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09006 MDYKIIVKKTLDYYHHDSERLRHKKPSGKYISYKPYFYLTVPTASQEIFRVAYSVSDGGKHPKELNGLTDYLKPANLRFPLRDLKSYDIPNPGVYDTNLDSFLDYIRQKGWNGSNEPDFVTNKNLLKSVAASKTNLVYACRMNRVIFMINGGTNDPVIFGYGPVFELIMTDKSDDGTERKGVFEAKVSRGDDSFRIYYSGQIDGVTKHGGTSTNNYRHYELKLFQNTKGGEIGKGFWKDSSCIFFWQAFFGYCESLIFGFRTGEKKWKRIGPYHLYKITEMKVLEMPTKAAAELLDSDNKWTVEDGKCNLFSLLTFVKDNVTLDEDCFVFSKNSNDPFDWSAKRDEDGPVSEFREVIREKLHRDSQF >CRE09408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1981078:1982858:-1 gene:WBGene00063700 transcript:CRE09408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09408 MIPQFHVLFQLPIFPLPFVHQVTKMSLSKFPLLELPRVALNEVLKLFTPFEIIFFSFCSKRIKSICLSIRTVPKCKEALHNFSVWIDCVQEINLQFTYFPSELWVFYLEKYPVSEHTKRNKFWSVLPQRFLKMCYSKGRTESSCQKMAEPIKGLGDYRVMRVPCHRQLNENVNGFLLEWSRYNSKENQTIIDAFCNHPVPDFLLTGDTSNDSSKNDMLTSILKNQNAKETMTLWINPSSDFSFDFSQLNSSLETLDITYSHWIKLQDILDVRCRNLYLRESNFLQDDYKLLIDKWRDGWTPNWETMTIELNEDIDVDTCVEGEYIDLEPEDYKSKKFVCGNFPIQLNRFKGYFENEYGETVFMHGYRILRADGMIASIGIIDDEYRVGWFRIK >CRE09005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1977380:1978821:1 gene:WBGene00063701 transcript:CRE09005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09005 MKPLLFFILIISIQIQLVSGYCRQDILTTKKSTLTSSSSSSTVSETSESSTTTDSPSSRSTSIEPRESTAIPIESTSSLSSTVSETSESSTTTVSPSSRSTSIEPRESTAIPIESTSSSSSTVSETFESSSTTVSPSSRSTSIEPRESTALPIESTSESKSSSWSTESSTSSRATSSVAFSTESTYETSLTTEYQKTSAETSPSSTIASTTSSNATTILESSSTNDEISTSTLSYSSTLLSTSNYESTQPIELNCENGYPLDCEQSCGCPRYTIDYKWIMEHDPSYNESQYGSIPFQPKLTWKDCIPSPVRCKDPENGISEWTYEFDGTTDSLDYNTLYFQPIERHTCNSETKQWSNVFNGIEEGKFWTCANTRSGTTTTPA >CRE09003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1973428:1974439:1 gene:WBGene00063702 transcript:CRE09003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09003 MTKPIENIYFPYWIPSVIEKEHDYRGDGRFSYTCHLLHVYSSENLLSASKKLADYVSEILHEKVYFLTLKQDLYSSEENETIVDLYCQQPISVFELTEDTSNDSSKNGILSSILKQQTATCVLDLKINPSSDFSFDFCELKNSLDTMHIWFSHWVTFQQVLEMNTEHLFLTKTNFLQEDFILLIDKWRDGWNLKWKVLMIEFDEDIDIDECVDGEYIDLQPEDWKRKSVIYRNSPILLNRYEGSIEKSWGTVIRTGYHILRRDAIIASIGIEIGRFGWFHIQSDNEGPEIQMLSHYRSFGLE >CRE30498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:67347:70032:1 gene:WBGene00063703 transcript:CRE30498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30498 MSSPSMSSMDKNKESLKDKMKAPFGQSKDSSRVTRHGKPSRPGKPSKTNPGKNKKKSTHKRSSTSLSTKSETAWTHLGHAKTIFSKRAKKTVLMIEGIQLILSEPQQPEMVSILQASYSKLSEQLNKLDKSDSEALALVLKHPALCSNSETRINNVLELCDHLKERKYPALIEKCKAILLHIEVMLKQLVDTQPLATKRPSTSEGVVKPEVRDALQAFPSTSKSSDIHDLNNENNPSIQSEQEHSSASHSDSSQSHETDQSQLTPIKDDKHSSSKKGHNKFPSSSEPNGPILTGTQPRQQHAPATEHRDFNTIGPKPADNNAAQLILTPSAMEAMFHRFSENIKHEIMYSVNKAVHQINMRVNKTVETQRLFHQTIQAMNTNLEIVQVQLEQQQQHSRYEPSLQSMNTPPPHNPKQLGICDSSNNKIRNEISKGSPTIKSPETPSPVIQSPSPLRGSPTINMGNYPTDINTIFNTLKPFSGDTDKYSLFITRFNSLVHSNPAIDTIMKQNILISLLEGDSKDLITSDELSEGAYEDLRSNLEQIYNKRTDRRKQLMENYRNLPFHQTNYEQMEKDVFKHICLTNSLQKCQVAVNDPFLIDTFADKLPTMILRSFIKHTRHSTPTFLEAATIVQTLISENKAVEDAEQRKKNRAQ >CRE09407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1959902:1961267:-1 gene:WBGene00063704 transcript:CRE09407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09407 MSLSKFPLLELPTVALNEVLKLFTPFEIIFFSFCSKRTKSICQSIRLIPKSKEALCTFNVWINSVHAIYLQFTYFQPELWVFNLEKCPEQKYPKRNKFWSVFPQGLLKMCYSRKPTTSSWEKMEKSIEGIHFSNWNPSVDTVHTYSWLTNGTTLTTRHSLHLYTSENLTSVTKKLVDHISEIFHERVNAFGLEWNQYNSKENLMIMDAFCNPVQDFQLTGDTSNDSSKNDVLSSILKNQNAKETMTLWINPSSDFSFDFSQFKNSFEILDITYSHWITFQNISDVRCVKLYLHKSNFLQADFKLLIDKWRDGWTPNWKTMSIELNEDIDVDTCVDGEYIDLEPENYKSRKVVCGNLPIQLNRFKGFFEGNCGAAFMHAYHILRSDGCIATIGIADDKYRVGWFHIR >CRE08999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1950415:1952241:1 gene:WBGene00063705 transcript:CRE08999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-yif-1 description:CRE-YIF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LIP2] MSNDWGNDWNTDTWNTGYSQPQQPAAPAASPTPVAQDNSYGGGYYTQQSTGFDSGYGQSGPQQNQFNNGGGYGQQQQQQNYGYSQNGGFGGFQAQQLMSDPMLNAAKQFGGQFAEQQKEKLTKYLGTFNLKYYFAVDNAYVGKKLGILFFPFFHKDWSLKFAGSSDPAPARDDVNAPDLYIPLMSFLTYILVSGFVLGTQGRFSPEILGILTSNALIWVILENIVIFISKYILNISQALSVWHSLAYSTYKFAQ >CRE09405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1952994:1954305:-1 gene:WBGene00063706 transcript:CRE09405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09405 MPQSTWSTITHLFGWFSFLIAIWATITLFVLIEKKSRKEFGGYKNFLRIYCCYAFIFCVVDWLVQPYTVVDIYGVGYVFYSENRLFDMGYSVTHFVQVLYCAPHFYPLISSIAISPLASESLLLQISENENNSSRHWLHHFQGLGLIVVILYCVLPFLIWSFCVTVFLGPTPEMTAYFNISTMYIEQFDLTDKPYVGPVCYHVSTTPFHQVGDVNWGSMGSFIGLGCFQVLFYGISLVCGILTYKNNMKLLKLAQLSKNLYKTQMQLLRAIVMQAITPLIFVYIPPAIIITGSMTGIYVGELGHFVVMSISMYPPLDSLVFLLSIRDYRNALFCNTKTDSRSESSNIRPATAKVSVRNREWSGLNLEFSNET >CRE08998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1938059:1938336:1 gene:WBGene00063707 transcript:CRE08998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fipr-13 description:CRE-FIPR-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LIP1] MFSKLITLLFTLFALATCQYYGGYPTAGGYYGTNGIYGGSGYGYGGYGAPAYPGQYYGGFEYGSPYGYVGKKK >CRE09404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1932412:1935360:-1 gene:WBGene00063708 transcript:CRE09404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09404 MSSVPPDIAQNTTDEMPPECSHNESYDFNRYALIVYIGTPIAIAGVICNWILFRLFTKSKSAKSPALYLLLLAVLDLLMDLLYIPFFTVDALAIYHKNEFLYHIWHDYAMFVFGLSRLVQFASTYIILCATIERFIVVAEINSLNFLINSTGRFVTIGVTFLGVAILRLPAFFEYYITFRPDCPIYENYDYTPLLAGWEHYQMFNFYVMTVLHIFVPFALLLMLNISIVVVTKRKLTGIGWAVTTFIDMPKVSEMIRKESINSNKRRRDELRYATWTMVSIATTYLCCSSLSLFIGILENVWPENTLLFMEDGSSTKFYTLASDTVSILVAVNSLLRIFVYLLCSPNFRKQLVKEYPCLKCIACGADSDEKTKKIKEEKKLFIGYQNLIMGARVDML >CRE05055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:2826:4022:-1 gene:WBGene00063709 transcript:CRE05055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05055 MTSAFLTITEYCILVGKFGFFSNAIFGGILVYLTVFCIKRQFGSYKKLLVNFQVVGFIFASFDYVFPTLLHTYNRTLVYFSFFHPFQLPNYILQWMSAAYCGIFAATLCILAIQFLYRFWSVFDTPKLIYFDGFNYFIWIVYYIFFGALWAFAVGYFFALDDYGKQYLADEFVVKYHKNISDIPILSLLSYEENSIKWESLYGLSMITGISTVQYTVICVCAFKMYKGMREKLLAMSPLHRRIHNQFYKALLIQTFAPSIFLFSPVFFMLSIPYADLNISFPSSIFASGFTVYPAIDSICIMYCVSEYGRCFRNLVSSVKVKLGYEHSSSITQERCGNMANLTATN >CRE05058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:7513:8760:-1 gene:WBGene00063710 transcript:CRE05058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05058 MDPTLTSAIRQKVSDLMDASSEFESSNSDDLINQIERGCTCFGMFSNAILLPMILYRSPSDIGVYKFLMMYIAVFELFFGWLELMTVPQLFTQGSAFIVTIDPDNAVLPDSALQISILIYCGSFATSLAIFGVQFAYRYQVLQGNTTWTAYSLANFTFWGGIPLFVAMLWTLSCWIFLGRNEYVDMVMRQDYFPSNLENKTIGFVGIYFYPKLENGMSVINWNSFIGMALCTCILFGSETLMVYFAVRSYLITKTLMASTCSPNFRKLQWQLFYALVFQTVIPILFMQIPLSVLYLTLFLNISTPFFGNLQATTISFYLATDALPTIFIIKPYRETIFGKKSERTRE >CRE05013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:9438:10692:1 gene:WBGene00063711 transcript:CRE05013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-231 description:CRE-STR-231 protein [Source:UniProtKB/TrEMBL;Acc:E3MYZ8] MTMQSWLPIFNKIQRGATCFGMAVNAFLVPLIIFRSPKDLGLYKYLMIYISCFEIFFGCVELLIIPDFFTKDSAFFVMVDPRKTIVPESLIQEADLLFCGSFAVSLGIFGFQFAYRYQVLRGNAAWTSSRPMNFIFWLGSPLVFASVWTTALGIFMPLNDYGKAVLIRESIFPSDVDLDKIGFVGALFYPKLDDGTEVINWDSLRGVSVTTTILMSSEFAMFFFATKCFLATKGLMAQAGHSKSFRRLQWQLFYALVLQTMIPITFIQGPFSVIYFFTIIMDGAFPFLGHFLALTITLYLATDALPTIFIIKQYRDTVLSTLSEKWFFKILNMFVF >CRE05014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:11179:12381:1 gene:WBGene00063712 transcript:CRE05014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05014 MFALHPVLNLCQRILTCVGMLSNALLINLVIFQSPKDLGLYKYLMVYISCFELFFSTLEILVLPELITLDSEFFIVVNPEHSYLPQQFLQFLDLLYCGSFAVSLVIFSCQFAYRYQVLKGNSSWVATKPINLFFWLGTPLLLASFYSFFVHEFLQKNDFCDVVLRELGYSEDLISDMGFYGMVFYPKLKDGTFLINWISFLGVSVTTGTLIASEITMIYFAYKCFDATKSLIKKGNCSSNFRRLQWQLFYALVAQTTIPILFMQIPMTMIYFSTMVLKSSKPFFGHLQSITISIYLATDTLPTIFIIKHYRDTVMRMICFWKKGSMYKVGPRKLHVTESSHSKHLHASIISTHL >CRE05015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:13196:14452:1 gene:WBGene00063713 transcript:CRE05015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05015 MTSIFLTITEYCMIFGKIGFLSSAIFGGILVLLTVFCIKRQFGSYKKLSVNFQVVGFIFALIEFLFPVILHTYNRSLAYFSHSPVFQLSKFSLELLIGISTGVFAATLCLLAIQFLYRYWAVFDTSKLVYFEGWYYLIWILYMFVFGFFWAFSVSYCFSLDEAGKQYLAEEIYSKYHENVSDIPILSLMSYEGNSVKWESIYGVLLITLVSVVQYSVICVCAFKMYREMSEKLLKLSPSHQRIHNQFYKALLIQTFAPSTFLFTPVFFMLFIPYADLHFSFPSVIFASGFTVYPAIDSICIMLCVSEYGKCFKKLLSSVKSKFGCAEVTSLYSTTQEGSGGFHRVTGTI >CRE05060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:19468:21758:-1 gene:WBGene00063714 transcript:CRE05060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05060 MTRKKKKKKGENNPRDDMNPFKSSTTSKSTKTSENKKTVDELPPQRHSTAPHKTSSRSSRCTIELADALSRRVGTMETPLKLLVYSNAMATMRPLLKSMVPSRGTAVNTAPQLVKWSESLAETGKNMPKEWRGLQPNYRYFFVGRNKDAMDLDNKLFNWTEYYMMNDSPAFTKWSEKNENYTVFRFEPLLPLQTRIGCASVHKQMSYRGYRRQLYAEYSSQCLIGPERSFHTVKYGPPGSDCGDDDVEDGLCVSKLDGDIEAFGSPPPSLGETVHEETTPSDDDDDMEVNWKRL >CRE05061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:23712:24520:-1 gene:WBGene00063715 transcript:CRE05061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05061 MNLLIIGVVLFGATVHQSTAHNYEELLAKLNYERREYAKANNIPNMYKLEWNDELARVVVGYGDYKPNFRYVPAGRNKDAMRFDNWAESLEWAYSKKSDVDEVEEIQELSRMEESSPLLVLERFTSSIRGIGCYPLPTNYTTSNFHNVYSSICLIGPKATNTSQIRGSAGSACGDDDVEDGLCVSKLHGEFKLFELPYPPLPPQRNLQPGPTVDYSVVTIIAPAILPQLVQSNS >CRE05062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:26683:28262:-1 gene:WBGene00063716 transcript:CRE05062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05062 MNLLLIGVVLFGATVHQSTAHNYEELLAKLNYERREYAKANNIPNMYKLEWNQELAEIGEGFLNFAPNFRFIKVGRNRDAMRFDNWVESLEWAHSKKYVVDEIDEIQNTLNSRELDFKEAFEKFASNRRGIGCRYWQRSFAGAVFKRNYSSVCFIGPKYTNTSQIRGPAGSACGDDDVEDGLCVSKLHGEFKLYELPHPALPPPPPPPSSHPQTNLQPEPTVDYSATHGYVELLAKLNYERREFAKAMNISNMYKLKWSESLAEMGKNMPKEWRGLQPNYRYFFVGRNKDAMDLENKLFNWTDAQLKNNWSALKKWTDKNENYSVFLFEPLLPLQTRIGCASVHKEMSYRGYRGQLYAEYSSQCLIGPERSFKNSIRKLGLPGSDCGDDDEEDGLCVSKRDGDIEAFGSPPHSSFGETAHEETTPSDDDLGENDSDAERTPRPPLDNSDLEIDWKKV >CRE05017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:29695:31085:1 gene:WBGene00063717 transcript:CRE05017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05017 MENFERLVTILKNFAMAANSDPPIPLIRTRIRLLALPQKAFENVLRQMDFIFVFDLSLTSKRIRGLIKEMNFQFDCVEINNMHRRKSIVFRNNYKEPDLIFRFDEKCCEWGWQIQMGKQAILVRGRNNMQREYYCETKSFESDLKPMLDYISSVFRFRAGFAQIELNQRAAKLRELIEHPIFNNRLVIQLSGYLRDGYRGLESLLHTYRNLEGLLINFQFIRGYFDFKDIFHLKRICFVDAMWMTREDLLSLEFQRLKLLCHNLCGEDVNLFIKHWQAGGNPGLRRLDLSTAYGRNIEMVKVLDGLNVVLWDKQRRDGDYVMDMIQSPYTIDARRGYDIERSDGLLATILQTDVSFYFLVWHNPFNTQFSYPNKAYRIVNNL >CRE05063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:31197:34018:-1 gene:WBGene00063718 transcript:CRE05063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05063 MVNYTINEVRSLMGRTRNIRNISVIGNNEHGKTSVTNCLTSSAGIMIMEKDTTEMYITVTSAAISLELKMKNDDLDFVKGEDQMETVEIDGKTEKVNSFLINLIESPRLTNFSPEMSSQLRIVDGAIVVVDCVTGVEIQTESVLRQAIPERVKPILFINKMDRTLLELKLGPEEIYQTFKDIVDNINTVISTFGNEDAPFEPMNPSIGNVGFGSAVQRWGFTLKQFAEMYAEKFGISVNKIMKNLWGDRFFDSTTKKWSSTKTNENQKRGFNQFVLEPIFMVMDATLNLEKEKIETISEKLGVELTDYEKDLEGQAVMKAFMRKWLPGGDSILQMAAIHLPSPVTAQKYRMEILYEGSLDDETALAIRACDSNGPLMMYVSKMLPTSNKERFYAFGRVFSGKVVTGQKARIQGPSYVSGQKKDLYEAPIKQIVFLMGRFIEFIDEIPVGNVCCLVGIDQYLVKGGTLTTLKDAHNIRSMKYSVSPVMRVSVEPKNPDDLPKLLDGLKRLTEVDPTVQFISEEEGELFIAGSSDHHLETCIKILEDDGYIPLNTSEPFVLYRETVLSKSNQLCMTKSPNKMNRFFCTAEPVPVDLIKDLESDHVNGIEGYVSKDNGVTLAKKHGITDADNIWCFGTQVAGPNILCVNTKHSEFNHEIKKSVIAGFRWTTAEGVLCQENMRGVQFNIVDMNLHQRSNERGSGQIICGFRRNFYSCALTAEPRLLEPVYLVEIQCLENAIEETSELLSGRRGQVFEKFKTFGASIFTLKGYLPVNESIGFITDSRSIPGVLSIPQYVFDHWQLLPGDPLEDGTMANKIMLDIRKRKGLKESIPDLNDYLDKM >CRE05064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:35184:37671:-1 gene:WBGene00063719 transcript:CRE05064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05064 MSTSSRKALVFVVTFVSYGLYHASRKSLSGVKSSIKADWLSNTSGHEPLFANEADAKHFLGSLDAIFMAAYAAALFFWGWLGDRLNPKYVVAAGMIGSAVTLTLFGTIPKWLNFYHAPYYVITYIAFGLVQACGWPSEIAIMANWFGKGNRGFVMGVWAACQPVGNIFGSIFTAMVLPLGYEYTFLLNSVLIAVGAIVVVTAIDSSPNEEHEAGVEANSNEPHHNFHGEPISLFKAILLPGVLAYCICNACLKLVNYAFFFWLPLYLTDAYHWEESQADQLSIWYDFGGIIGSVLGGYITDKMGKRSPLIVAMLICSIGSLYFYAHVGPYMFWNALVMTTVGVTVSGPYNLIVGSISIDLGSQPALAGNTQAMSTVSGLLDGTGSAGSAIGQLFIPIIQDDLGWKAVFYVFMLLNGFAILAILKRCIIDMKSLRKLRSGEESPLLNDGEGGEHED >CRE05065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:38257:39158:-1 gene:WBGene00063720 transcript:CRE05065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05065 MTTAHRPTFFPARGGTARGEGDLSKLSAQYSSKDMPSHTKMKYRQTGQGTEEDLRKKDLRRELEDKERNAIREKRARESASSTSSSSHSKRQRMDQIAAESAAAVDADEAVDELNSSDDDDSDEDDTAALMAELEKIKKERAEEKAARDEEIKEKEEKQRMANILAGNPLLNEGPSGSSGASTSAGDFTVKRRWDDDVVFKNCAKGVEERKKNVTFINDAIRSEFHKKFMDKYIK >CRE05066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:39853:41617:-1 gene:WBGene00063721 transcript:CRE05066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-44 description:CRE-SRX-44 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ10] MHFRADVEKKKSTFRNDSYFKEADENYKFGPEDTLIGIIISSVCILGVTIQSAVVTGAYNIKNIKGSVFGALILNETFGQFAATVFSFSFFFFGLALNWLAIVSHSEIFGNISLIIFPIILGSHTLMAFNRFCASSMPFSYRKVFSPKTVIIYIIINWTVPPVVYSYFYIFHGCYFPFFQFGWVFMEALRNEKCGSKLLLLSLTFQFLLVFAISVFDIVTFIILVTCRRKVFKSQSKDIRKREINFAMQVLVQGSVMMFVGMWYVSGYTFLPGKRLDWKIFTTTTLSSTGVNCIAPIVICFFNAEYRRWIISLIRKRRSGHSLSVVTSRVSDKF >CRE05067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:42293:43623:-1 gene:WBGene00063722 transcript:CRE05067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-43 description:CRE-SRX-43 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ11] MVVRNVTAIKILDQSFIYSSDDALAGSMVSVECFIGFWMLFAVVVGCHRIPAMRSPFGILMINQNITQLIACLTSGSFFFLGVLLNIKVVLDHSNYFGNFTICLLPVVIGSFFLMSLNRFCASTMVFTYKTIFSNENIRRFIIFNWAFSGVFWAWVILSRECNFVFFHYGWIFTGDVYTEICRDLIITYNTVVQLTMTSLIFVLDVATLCILVCGRGRVYKKQSASVRKREMSFAGQVLIQGIVFFMHGFWYDMGYSWLPGNDERWKYFFTTSFSSNLLHTFDPLIVFLFNPEFRRWIIRFHHSAFKKNQVTAVSAISS >CRE05068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:45610:47910:-1 gene:WBGene00063723 transcript:CRE05068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05068 MNLLLIGVVLLAATVHHSDAHNYEELLAKLNYDRREHAKARNISNMFKLEWNEKMAEETRHYPFKLKNFNPNFRVFFVGKNKDAILWDITFSRKIETRDYNHNKVLPFKPMKPNESQSEVFEKLVPTQKVIGCSLYNRSKERTLVSPNTGKITSTLKTMYTSICFIGPHRNIVNEDIFPKLEEIETIPCDEVEDGLCVSKLDEDFEIYNSTSVPKRRPSTRGHELPGPTVDYSANHGYAELLAKLNYERREYAKAMNISNMYKLVSWKWSEKLAVAGKTLPEDWKYFQPNFRHFYVGRNEDAIVFESYVSESLEITRRKKEPVLNPWASEKKERKLNKLEYLVPLQTSIGCAPSSHTIDAVAFGKNFTVKYSSICLIGPEYSFENSSWEAGSPASFCRYSETEDGLCISENDESEVYRVPFPEKSTRTTTAATSTSAATTTTAESTTSTESTETDATTSKTSTAGNYSDLVVKLNYDRREYAKAKNVANMFKLEWSETLAEIAKKFSTDRDIPRGPYRFFHAGRDGDTIDYETKFTNAINDIAHVEDWEDMKKYTARLEHLFIPALEQLVPMQRNIGCAPWAHPSDFQDVWDGRRFDLKYSTVCIIGPESSFKNATRKVGLAGSWCNVDETTDSAVNDDGLCVFRQSSEASNSSNQ >CRE05070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:56457:57796:-1 gene:WBGene00063724 transcript:CRE05070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05070 MYPMVDRTNAAVDIPFVSATHSVQKTSESYEKKNRRTIDGTDNYDDFDPDSFQNIDIDQEEDLAASEIDTVEMFYEDEHDAEPSALGRKNDGVKVQNVGQREFQRECKRLGVTWAEGIPTPVNTVFRAKFEILLKDVIGLKKKNFSRKGKQSNLKGMFGKLLWWVYSVEFQQRGMHHVHMLLSLKEHITNAAQVDKMILAEFPELPLSSDPDYEEVCCFLTFLNSLYNYFQKLCYYELVKSLMVHFPCRDDPTAYCKDGAKSHWNKCTKGFTNKFSDSTVLTDNQYPDYKRTKSNQFTMYWNGKEIKAGSDCFTIY >CRE05073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:62165:66325:-1 gene:WBGene00063725 transcript:CRE05073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05073 MKWSIIFLVAAGLLSCAHASQDCLVGNLLVLENLIVSKSVESSCSSSELEVSCFCNGDKCNKVELEENIPIGIMRSVSKIIETANQLMCYSGLHSVSPSNTISIGAQIACDGQCASFSGFVNGNITTSYKCVPNSICDSFGLHNSCQHNGTFTVCCCNNANNCNIASTGIILPPPQKTTDFPIACHSGVYVNGIAITPVTLEVCQGQCSSTSLNSSADGVVASATYYGCDATPVCQQLNMNNLCSTVSPGVQGCCCNTDVCLDPALNKTAPAVVRTCFTGVYEQGRGIGSEMICEGSCFSMSSLINDDPVTILGCIETKFCRQLELYNECNTVAADRDITSCCCDNYNNCNIDFAGLQGKINVILPASSLRDYPISCYNGLFINNAPASVIGWQSCTGIKDSCRRLYEDRAITFCCCNNVDNCNVQNTDIIPPPLTPSDDSIVCYSGLYVDGSPLSTSSDTKEVCQGRCTSIQYTSAIQGQIHIAKLYSCSPKTICEELDIKNGCYALTPGVVECCCDSDLCMDPTKNRTIPYTPQKCFSGIYAQEKSNGSEVLCDGSCASISTTVNNDPVTLFECVHPKYCQQLELDNSCETLFGDKKTCCCDNYDNCNVDLAGLTGKIDTSQPIANLSNIPISCYSGLFVNGQPMSTLGWQLCFGECASVTFTTTNFDAPMNTTSYFCDQTNCNRLGLSNTCFSIQQGLTGCCCSDNACLVPNVTPTPRLTTISTSTVSLVTSSSSPTFSPISSSTLPFASTSTLTTQPPTPAHPLNCFVGIQSTYDALSLGSQIACDGQCASFNGVVGGYNVTTYHCLSIQVCDSLKITNNCANLVGYGKLIGCCCNSSDNCNVKDPNFKPKPPVIPAVPVSCYQGLVLDGQKNYLTIQECNGYCASLTVTTSGQKQNHISTLYTCDSTSICQYLNLTNGCYTLQSGLSGCCCNTDGCLNPYTDSWPGPVNCYVGVYASDNKTNYGATVPCDGYCGSMEITIENTFYKSYHCVPRSVCKSLNLVNKKNPISTDKNVTGYCCTAGSNCHVTEPAVNTTNLISPEIAHPNLIACRSSIYLNEVAITQDSYSLCHGQCATVSYTSLFNSSKSILTLYTCDTTSVCDSFGLSNSCSEKTSGFSGCCCTTNNCVGPHVSPTPPSGSSFGISIFIVVIVNVLFFTINNSF >CRE05074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:67716:69477:-1 gene:WBGene00063726 transcript:CRE05074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05074 MKLILFSVLAATVCWAAKIEPSCDSGYIEYNPPPFFSGNDLIELYPDGYNGNTPVVFPTNYNCTYRINVPQGQYAEVVMTIITTGTTFSLPLVTVTDSLGRTENILSANKEFFYFMSTGGNITLATVMTNSSFAFSIHWRQCNSYRLYPSTFKPNSIQLNQSDTEPQVISYCHPNLTLVTAQSRVSALVIPTSTPEFSSLLRGIVFYDGPNWNSTYLGTAKQLFESGNQLVSSGNQLTVQTLFNQDCSDTHILFQEYENTKNINSLKGVACSSLLSGDCQGVLDNSKGLSAFMTYLNGDFGYETVTSLSGNGTLDVYIGGVTANKNNLIASYPIDNSAIRLPQSFNGNARTYVLNGANARATINFTLLYTASEKSQMGRKGFIVSNHYTEKTLQWAFAKISAPENTPTKFSIVIRTADVTKSSQFNITVSNGDSAAYGQSFSAYNLPAMNQVIQVVGDALLVEFDSKDDLSNGIYIDFELLKASSNRISLFVTVLMCFFIIFH >CRE05020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:70039:71062:1 gene:WBGene00063727 transcript:CRE05020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05020 MIHLILLFLVCTNFSLVYSSTSCVTGYTLVNQKCWMLVSQTADEPTADEYCRLNGGGVIATLKNAIDNRGLLTILNGTSVSRLWIGMICTGLSPSTCRWADTTTVQYTSFSSGNLDFMIRELTLQTLGFPNDRFGQCVYYSADGYPAGQWASGPCEEQLPFVCELPTTSPDVTGDCLDYANYNNYCYMKEEDVQSFSWAQKDCARHNANLVSIHSYLENRFITSLFQEGKMDLWIGALAPGSALIVWTDGTPNNYYNLKNSGNGSCVSMGFDPNTNSTGDWSSGDCEAEYPSLCKRPNQSS >CRE05021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:71457:72445:1 gene:WBGene00063728 transcript:CRE05021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05021 MHKLTHLIFSFVLFSIISADPICTLGYTMVNNKCWKLFTKHENQTTADERCRENGGGILVTMKNAIDNRALLTVLNGTGIHRLWMGMTCRESSVSWCQWADKTDVQYNSFSSGYPNSQVGKCVYYNSYGDLTGQWTSEPCEEKLPFVCEILSSTPEIVGSDCYSQYNNFCYTRVRDKLSFKSAQQNCVLKNSSLISIHSYLENRYITTLFNIDGSVWLGGQITPYDTLEWTDMTPYDYSNLKHVGNGTCVSIGLVKYTNAMDWSTGNCESTYPSICKRPLTI >CRE05076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:73814:77035:-1 gene:WBGene00063729 transcript:CRE05076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05076 MTEPFLLNVPDVLMNFILKRVDFRSILVLRKVCHDLRNFIDEYKPESHLQNVGVCVTSDSIKLDMPFNIISLNYQKSVDGCLITSYKSKQKLLLSSDFIEVFLEDFKSLLQFQKDSVNHFYFHFKLFSSDENNFLKRMEQSLKSWDHPIPIQRFNSTTVSQKEIMSILPYLDAKCLKTIELSTPDYDRIIPMEMNQIIELNQWKNGKTVTIQKLMTSTSLHHFSHFSRVTIVCNFLTGQDVTILKDVSFLKLFESFQSVSLQMFLASTSLKSFQVNAKCVNIRQYCEILGPASTDRSRFGKITNAWTYNIPQNDRCLKFNLSGEMNNWMSTVSTVTDFSLRTETTESTVTSVTEDKDTTESIATNSLVTTATDKAATQDAEVTTAAAEETIFLWKRSSVDDKVNIVMDDFAKVTQQKPPELVSVVVQVHETKVSLKRLYRLQNGQSEWLDRTFSRVNKNIPLEAQGTRFEGMDLISKAFHDLNAIMEGHNNNFSWFFLQLNCRNWLGTEEYKKINARAIGLLNTNLRSKHALVKVKKFEMHATEEEILQVLPYFDPKSIKSLDLHIHDHKKEKLLLLQTRKELTFWYINFVNMDHLLELLGNATTESVGEFAKKGWSFPIPGNENEELCIEITSKWVLFKYVEAEGWILLDSLLIIHD >CRE05077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:77982:79090:-1 gene:WBGene00063730 transcript:CRE05077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05077 MSLSVSLLEMPDVVMAIILRNADLRSILILRKVCRGLREFIDINKPETHLDFVRISVNTESIKLDMPFDSVKYQKNEGGCWISGRKSRRKLLKNLDFMPVFLNDFKIIMKNQKSAVGNYQVHFHLFKDHDFRNEELLETKFLEMWRQSVQQWGHLIPVKELIMSTVSEQEVMSVLPYIDSEILESIDMFDARYVESFPIDIDRIIQLKQWKNLKTLKMNHLIVSCPLEQFSHFSTVSIFRTSLTGEELTELKEVFTKSTTLTSMELTYSSINKTEICDQLGQPCETHYEMSRNIKTWEARLPYSTLQISLARKEKNYVFRLQKFPQSEAVKCPPDK >CRE05078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:79337:80526:-1 gene:WBGene00063731 transcript:CRE05078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05078 MPDVVMNIILKKVGVQSVQVLRKVCRDLRNFIEEHKPESYIDQATLSIDLDSVHLGFPFNSIVVKYKKHEKGCRVICPKTYNTTEKILDNSDFLETALNDFKVIMKNQKNGVGFFNICFKLFSLDDIGDQELIATGFREKLEKSLQSWDHPVPVRRVIIGTVNQTEVMSILRYLDPKLLEDFEMSASRYVDSIPMEFDKILGTDHWKNLKTLKMSVFRKSLSGDELTELRNAFQGSTSLKSMEVTYQFLDKSGICNQLGQPTVTQDFLGTRIETWEVGVSPNTLRFTLVPKEKSYAFIIRKIPIQKTMPTRR >CRE05079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:81733:82923:-1 gene:WBGene00063732 transcript:CRE05079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05079 MSDNPHPNLMNMPEFFLKSILNKLDVQSVQTMRKVSPTFRYYVDHSRLDSRILKISVFLQSPEKISLDLKTANDLFEIEYLKNGENGCFVKYRNTSKEVTCQNIATTLGNDLGLILKKQLSVVKEFSLMCNENCLTTTCDEFVGALSGKLAERESPFKLKTLSWEGSIDEGQIMPLLSHCDRIHINKIELQRLNKSSELRPLLGVEEILNSPQWGRIKTFEAVGFVVANQMRHFTHLTSANFLIETVTTEDIMTLRQTALQTTRFNEFSFSYVNFTDETQLFGLFGQPHRTEHMKNKWIYKYPGGRDALLVIWETNRFKFSHIHPRSFDKFGIPKHDLVVL >CRE05080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:98206:101552:-1 gene:WBGene00063733 transcript:CRE05080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05080 MNMEVSYISSPEFYSLALYILGSISLPIHLFGAYCILCQTPDTMKRVKWVMFNLHAWSCSLDILLGLLGQPFIVPPVFGGAPMGLLHLLNVDPGIMVYMMVTLILMVSISTGAIFENRFYLLFVEKTWWRFARYPYYIINVALAFLYYVPTMIGIPDQTEAREWIFRKHPEVRRFDSPEHPIFVVAYDSVARDWIGIRMIVSTCIVGIESLTFFFLLRFKMKNATKLMTMSDKTLAAHRAFMKAIHMQIAIPACIIATPQILIIFIGYLNLNTPEMNSVAYMMMSIHGASATLIMLYCHHPYREFCKELLRGRLRVFNRWTPFVSVTSHSEVIIVYTVIKHFFNATCLFYALTTFCVTYIYIQYNGSKAENDYTLNTLNSLPGIIVICFFFVGSFFLVIFIQAHSFIISLLAIQRFIIFYYPQFERIAKASGKEITIVIYMVYNCFFAINIILLAWYGHQLWYKYYNDWPEILFLIFYALHNLFLFASAALYIPIMISVRKLTRISSSVANMPHKYILYQTLFIIVFKSQQTECINVAEKYKYTRINPFDDVKTNSKIKSGSICESERRIIKCISMRNCQVISTNIYVGSTSITVTV >CRE05027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:104106:105212:1 gene:WBGene00063734 transcript:CRE05027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05027 MAELAGESFFASAQFFSLTLYTIGFFSFPIHLFGGFCILFQTPESMKSVKWSMFNLHFWSSCLDLTVSLLTQPYLLKSTWSGIPYGILIKFGVPLSLQSYMVSTLFCLVAVSIITIFENRYFLIFAEHTWWRHARYPFLAINYILGLLYYLPTVLSVPEQSSAREIIFKEFPEFRALDTPSNPVYVLVLNNPWVSVRQIAMEATVVIETLIIVLLLKMKMKNVVKEMKMSESTAKLQKAFLKALYIQVSLPLLVILIPSAISVFSGILGISTQSVNNLVYITFSCHGLVSTIVMLTIQMPYREFCMGMVGKSDKRQRKVTVSNISARSSTQVTTF >CRE05081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:106704:107812:-1 gene:WBGene00063735 transcript:CRE05081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05081 MFVDFPACIFLNMNTVILRSKCAGFGSWTVKLVEETINGDTYFQPQINCRYENLPKTVNIRYEMGLGHDNSSYEKTCEGCSYWNTDKPLIAKSLKMLDLLNPESGHVKEDKLMLHVGIHVESIQYSDGIWKFNFYDKLFPEEERKNMITMERKKEKILFYSHMQLIKFHTENFTENFSDVEKHVNTKFDCLEKCLQIAHGVQLQLTGEQINCIFSETEFNLSDSELFGTIRIADIFGFKNVARYCERRLIQNLRWKTDVLDSCRIAISHNRDRLLTHLLKVLKFSDFSKVFKVEDVPNMSMECMKLCTKFVFDNVDRGILE >CRE05029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:109040:110887:1 gene:WBGene00063736 transcript:CRE05029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05029 MLEIIKLSSNTDNGFLVVKYSKKAFKSPIFWMIMVYSVFLLRAIHEDDPLEIPYPPAQVNYKTSRKFISSNYAASSRLGNHLFELASVLSIARELQRVPIFFIEDCYHEKMWEDTNALIPGLMNQFLVINGSVPRSIRRTTFHAKCCTFENPSVLENVQDEYLHLKGTHYQSWKYFSQMRNELIGFLKMPTNHFFYLPVSSQSTFVTCVHVRRGDFLRVGFHVADEKFIRSAMNFVARQGKNCIRNLKNLDMISEGARRKHMTTVYFGDDFQFMDYLRNGTTSSDAYVSQNSPSDDLLYSKSNCDVVFISAAHSTFGWWMGYLSKGNRVYYTDIQYTKDYILETGEFKSDDYYPPHWIPLKYAGSDNLTVIKSFK >CRE05030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:111797:113566:1 gene:WBGene00063737 transcript:CRE05030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05030 MKFKRKEWKLLLTILSLFLIFFIILPSLIGSDDRFINWYLSFRHKITVLILGDSASNTDAYIINTYYYPSSKSLGNNAVAMVLLLDRNTMRDITRHQMTLIATNADNQSVAVVPKLVKEQLNEACRFVNIVATTNVLPRMTKLEISDGEHKVEIPFKLPRNTSPSRVIICISPQFIAEQWQLFITHSHVARRFGGHLHIYVTSMLDSFFELVQEYERLGYVTLDFWIRMKFQNGSDTSLEPNSNSELRNQAGAQTDCLLQYKEAAEFITFFDIDDILFPRGYDAYFDEFTALHAQNPGILTFHYSKREMMVHNKADIHDINFLEIFGHTWFVNEEDYGKVMAKPTNINSMWIHESFNFSYKKKFFMDSNYLIHTQKPIDTDGKDTIPYKMSKFEIMPEMQLNSSVLLEIQEDFVSLLNFTNISTIAEKLPKQSYYFPIIYRCYYEKFYKKFQKQCPNGEGCLIPQRSDMNCVHSEVDYKSGPQMTPITYHFHENPRWIKTIGCHA >CRE05082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:113913:114745:-1 gene:WBGene00063738 transcript:CRE05082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05082 MNVSLLASPQFYSKTLYVIGFLSLPIHILGGYCILFQTPESMKSVKSNLLNMYFWTTLLDVYLNLLTQPFLCPPPIDGFAMGLLSRVGINLPLHIYSGITIVALVAVSVVSIFENRFYLLWAKGTWWRYVRYLILIGDYIIAVLFFVPSILGIPEQETARKELFEMYPHIQVFDSPEHRIFIVDLRQDNSYMIRTACTITYIVGQGAIFVILLQYNIIRAIKRMTISKSTANLQRAFLRALYLQV >CRE05085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:122167:125307:-1 gene:WBGene00063739 transcript:CRE05085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05085 MIGMEKLPFSSNRCHPPFIPGHFSMMILSIVSRILLLIFCASSIIMMYYSYNSDYGSVGLPTDHNLKRISKNASAISEFKYVRPVARVKKGNKWIVVTSISYPTEDVKRLASIEDWNLVVVADTKTPIDWKLDDVHFLPVLYQKTLPFSLSYSLPYKSYTRKNIGYLYAIAQGAEWIYDTDDDNKPYDKRGLDQFDYDETISGVRFQVKNSNAGVLERLFNPYRFYGMDQMWPRGFPLEHIEKHSNGKEQQALCYKMKRSAVQQGLVHHDPDVDAVYRLLHADSKSGLDIKFNMFTPPITLSVGTYSPWNSQNTLFHKSAFHALFLPTTVSFRTTDIWRSFISQKILHLSGLTVSFVPTNAIQFRNAHDYLKDFKDEKQVYEDSGKMIEFLSNWKCSNGNSLEGCINDLLKDLVTNNLWGKEDFKLMSFFLNDLKYMGFEFPELIGENYQDPYTASNNEEDRNVNCRRMNLEFDLVDPREYQRQNIIKAEQKLNYFGDLVEWCNEAGYHNLSKQFPSANQLAKKHDESYVLQKDKDSVSQYKTFVIFSFQFLKKCNSNYCFQVLIVVNNYPWKYGHGIIQRLYQPYFASVVFCGPWYPDLIIEQDNFTSTINPINYIHINPVELRRGYYGYHCVSLVKEMRFNNVRGYFVMSDDAIFNLWQRIDYSRVHSVTGVNHENDPAWWFNRDYGIVAARKILESINTNTDPKVSETWKRFSDGLKANGYVRKGWSVNQEMTSGSGRSEADFFYVPSSEINYFGSLMRIFYQHNFFLELAINKFLKSVRHQTSLIRSSSYIWLEERDHWAEVYNKNMVGFHPVKLSNFQKPGEKRKKYCEAFLQLWSDIIFGGYQNFTVKADDDADFKNG >CRE05084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:117574:120724:-1 gene:WBGene00063740 transcript:CRE05084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05084 METGKLTVLILSDRCRSPFLSGNCSITLWPIVSRTLLLIFCASSIIMMYYSYNSDYGTVGLPTDHNLKRISKNASAISEFKYVRPVARVKKGNKWIVVTSISYPTEDVKRLASIEDWNLVVVADTKTPVDWKLDDVHFLPVLYQKTLPFSLSYSLPYKSYTRKNIGYLYAIAQGAEWIYDTDDDNKPYDKRGLDQFDYDETISGVRFQVKNSEAGVLERLFNPYRFYGIDQMWPRGFPLEHIEKHSNGKEHQVLCYKMKRSSVQQGLVHHDPDVDAVYRLLHADPKSGLDIKFNMFSPPITLSVGTYSPWNSQNTLFHKSAFHTLFLPTTVSFRTTDIWRSFISQKILHLSGLTVSFVPTNAIQFRNAHDYLKDFKDEKQVYEDSGKMIEFLSNWKCLNGNSLEGCINDLLKDLVTNNLWGKEDFKLMSFFLNDLKYMGFEFPELIGENYQDPYTASNNEEDRNVNCRRMNLEFDLVDPREYQRQNIIKAEQKLNYFGDLVEWCNEAGYHNLSKQFPSANQLAKKHDESYVLQNDKDSVLIVVNNYPWKYGHGIIQRLYQPYFASVVFCGPWYPDVIIEQDNFTSTINPINYIHINPVELRRGYYGYHCVSLVKEMRFNNVRGYFVMSDDAIFNLWQRIDYSRVHHLVGVNHANDPLWWFVDDYGIVAARKIVESIKTNTDPKVSETWKRFSDGLKANGYVRKGWSVNQEMTSGSGRSQSDFFYLPSSEIDYFASLMRIFYQHNFFLELAINKFLKSVRHQTSLIRSSSYIWLEKRDHWAEVYDKNMVGFHAVKLSDFQKPGEKRKKYCEAFLQLWFDIILGGSQNFTVKADDDADYRNG >CRE05031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:126807:128194:1 gene:WBGene00063741 transcript:CRE05031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05031 MSCSEISNRIRPKYNMSSLKLGGVAFARNVFTDYELIEKQVQMTRHPDNRYCFVVDKNAKDDFKRRIEQLVGCFEDQMVMLPVSLYMDSAGHNQNLGHTQCMEALLRFPNWSYVMLLQNHDLITKSVYELDRIFEIMGGAADATSYWERAKRRLIGSKWDPKSLKFFRNESMVPAHVLSTPLTFVSGLVEASLPRAAVHWLIETVDLTLFIHQLNETVSKQDNVLFRGFFFTIFTYLLFKEYASDEQFMSILQINSQLGMPGHFTNDCLDQGIIVNQVSRFSQWARTGSVNCATKTARHGICLFGIEDLRAMSELQPMAWNKGSIKVNPSFDWSIIDCTAELIFNRTFLGQENNFLDEDYYSQMVTVGIVLIGLSKYHNFRSNTIRITIILNTS >CRE05086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:128522:130162:-1 gene:WBGene00063742 transcript:CRE05086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05086 MIASLREENSDYCGESMNYCKRPETRHIQCGEVLKRDKTYLSSIIEDNRIPLIRNPSLNMSCPEISKRIRPKYNMSSLKLGGVAFARNVFTDYELIEKQVQMTWHPDNRYCFVVDKNAKDDFKQRIEQLVGCFEDQMVMPVTLFMDSAGHNQNLAHTKCMKALLQYPNWGYAMLLQNHDFITKTVYELDRIFDLMGGVIDVKTGGVIWERDMKHLKWDPKSLKLFRNESAVPEDVLKTSLTFACGSVQASLSRETVRWLIETADLTRFIDQQNQIVFGGDEQMISTFQINSQLGIPGHFTKDCLEQGVSVEQVTRLVHWSSTGHANCVTKISRHGVCLFGIEDLIPMAELPHLSFNKVYPKFDWSIIDCAAELVFNRTFLGQDNQHFDEDYYSNLVTVSTFQVTSFEKSSLFLVQYHKNHKKPGYKLNCTSNRKPRRYEDYIGS >CRE05087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:132070:133018:-1 gene:WBGene00063743 transcript:CRE05087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05087 MAAKESTKSTKSSEDGAAPQAGFYGLADHPNHGIISSILKRKNRKFKMADDVRKMCKQVEAYKTSADRLHQALMTMLVEFPEYARDLVFVVKTDPNYRYAALYLRTFESILNKGRDRTTYESLEPVMKTLQSLDLEHERRVRKQLDNLKPLMKFIGEDYWEYARLRKGEILDSRRQNREGFAVYWEAMESYDDALTQQHKERTDLAEQATANAQTWRNDCRQKLMDFIKTCIFDRQGKHAECVLKFRDEAVFYHRSMSELIPFTEKPEKGESKSAERGKTPK >CRE05033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:143297:144410:1 gene:WBGene00063744 transcript:CRE05033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05033 MPNHRKRRPRVGLTDMPEVVMKNILEKSNFQSILKLRKVSRDFRNFIDDEKPEFHLEIIGIHMTSEQIKIFLKGLSENEAPHIEYQKHEKGCQIVCSRRTKSKTYLLENEDFVEVFCRELEQIMSHQKNSVLLSFSLTAPNIPDEFKRILKAKPIPIKTQIFAMTTKTHSQVMDVLPHMNPKYLKELCFYSSANSPHKKWIFDGIVESDQWKTAKTLEAVQFFVDVPLKNFHHFSAGNYFVNAVTAEELFELKEVSGWVLSRNKKKHNFQNYLNSNKLKRVFIGYLDEEPLKEQELHVLFGKPYFPNPRRKVDRGRWYFRVAETDSAIHFVLYFAKTLQLSLVNLSEVPSNAELR >CRE05090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:144651:145913:-1 gene:WBGene00063745 transcript:CRE05090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05090 MGIVVDPAWYFYSMHFTAMLTTPINFIGVLIILFCQKSQLASLRWHLLTYQIMVFVSIFITAGSVELLFFLRYQAILPHSHPYKLTTLISVILVSLYQVVLITIMIISFHSAVPDQEVARAQFTNLYPELQYLVIDEHVYFVCVIVELVHVIFLFSCFFRLGLGMITVILLIWMSNISLHRFDLSAKTRKIHLQLIRNLCYQVGFCHHFLVLLYLFTFYVIPHILGYYQYALFQSSLSTLVDLNGSKQVTYHYYTDLKIYVGC >CRE05034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:149647:151582:1 gene:WBGene00063746 transcript:CRE05034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05034 MVGFSVSRKLSQVQNTSEEDLNFHHKTRFIILIIVTLCLSIAQSDTLTLNFTIICMSGDGAQVGNHSALSNLEDMEMTLVNGSGTLRENVEHMEHKIADGRYNYTPSEKSLLFSLVAVGAMVAVYPVMLLIGKFGSRSVCFWMGMLSAVTTALIPWMAYIGFYPLLVMRFLQGAGLSTGFTLIGICTRQWSMQVQNALYIAVLTTFFQIGPIFTMPVAGALCTSSLGWPAVYYIHAVVTFGLFILFYFFYRENPTRHAFVSTKELEKIQRDKGEGKREAVPLKAILTDPVIYSIWISAIGNFMGIQLTMQFSPTYLNKVMGFAVEQTGTFSAIPQVVTFFLKIAAGYAADKMRCCTPQTSVKIFNNLALGGMSFSFLGLALIPTSEPVLGLCMLIFSCSIIGFNCGAFFRSSAIYAAQHNHFIMGVNSFLNCLASLIAPVIVNIFVTNDTWDEWWWVWMVYFVALFVANIVFQIYGKGVPAEWTKAGYGAKSAVGDVIPSIPKPTEPVETPKV >CRE05091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:151967:152677:-1 gene:WBGene00063747 transcript:CRE05091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05091 MPMRSISIKKIAKRKAVFLILISNVIFFTIPLYFLVIGIWKVTSCPGNAFLPPWMIIVAFLIVIDRLIFWRRIVNETKFEKTFPRPSIIGSMERIKTWEENRVWSSSRTLLGFMAVVRVVLFSSVLIGKLWSYEVIKNDQCDHLVSYSVLIFCIFSIIIYLFFFIGTLYIHIAEWLRSLEKTMVSCVNRVMKVEE >CRE05093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:154979:156116:-1 gene:WBGene00063748 transcript:CRE05093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05093 MEKNEKKKKTEMTSDLIVYWETSLLNRRQPLLLGSLEFAKNKTKRMKTLLEYGMFFVLFYSSSHHYMHSLVVIYVTNVILLGIGVLFLYVGIRDNCWSGHLDVCFYLPTWMIGVAVLIFIDRLIYWKHKFNGVIFEGKFPRPRSSIRHSNKEQIKQWQKDKEKRSSSKKLRTSSVILRVILFLVTLGLTIVALHVLIKAVHGKGSADPDPIIFSFYFGMLALFVYFCMFVKYSVNFCTSRMKKSKSTSPAPMPNP >CRE05097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:163726:165464:-1 gene:WBGene00063749 transcript:CRE05097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05097 MPKSCDYKKQLIRYMVEKTKDRTTPLSLRVFARDFLTTTDVVRQTRSIEKVCRRIMAKAHLLKKSTLTKVRIMFVLSGVVNEEFKKEMDQIGTYTIDNAGHIETFKANDGSLTLVCMNNGNGTRLRSRSEKVKAKKVDSESDSDEDEEMNATGGDNDVIIDNYPPNHQPPTVQYNRSEQKLEVNQQPPPTWIGMQPQHSWNWGNEQNPDYSRNQMTNSWNGMNPNQMYSAQQPVAFASVPPPAAHYSGFSNANSISQSVLDTPIKSEVPDTPSHTIVKSSEAPEDPNNISGLSSSGIMSMGGTETISALDLVKGIRRISSVYDLDNLEYEANLRVRDLQDNNKNISQSVDFSIFIEGIICKIKRAPAPNFGGISLKEFLMFFRSNVLYDLEAQSLEQTMQGIKEDIEQLQNSGNQKMVSIETIRSNLEALLNLTRSS >CRE05037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:172322:173421:1 gene:WBGene00063750 transcript:CRE05037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05037 MKMSSSGSIMRSCPRFYFSALVKKVAFCNHPLPTNIASVPLFSFRLRMNTFVLALLFITAATAIYIGEGGGGGRGGSSSSSSWSSEEGGRGGGRGRPHRTRPPGRPPGRPHRPPRPPRPPPRPAREECPTGWHRFERPNGVWCILVGNPGITNGYFSHQEAETACARQGATLTGFQNDNERMTIANDALRKVQAVGRTVGGLWLGAINNAGCAVASCGPFNTFRWTDGHTTGNGGFKWGVGEPDNLNWGASSCIQQFIVAPNFVAGRDDWAVWKTSFQHGDLDKYRCGVQAKPVTRLYACGKRGVRR >CRE05038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:173985:174880:1 gene:WBGene00063751 transcript:CRE05038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05038 MNTLILTLLFVATATAIYGGGGGRGGRGSGRFSSSSWSSEEGGRGGGRGRPQRPPGRPPGRPRPPPRPNREECPSGWHRFDRPNGVWCIMVGNPGVTNGQFSQQQAETACAREGATLTGFQNDNERMTIANDALRKVQANGRTIGGLWLGATNNAGCGVASCGPFNTFRWTDGHTTGNGGFKWGVGEPDNLSWGASSCIQQFIVAPNWVVGPGDFAVWRTAFQHGDLDKYTCASPASPITRLYACGKRGARR >CRE05039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:175332:176318:1 gene:WBGene00063752 transcript:CRE05039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-110 description:CRE-CLEC-110 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ64] MRKLILYLALFGIASAIVVSGGGGGRGGRRRGGRQFSSSSSVSSHSSSEEHGGHRPPGRPPVRRSTQPPTPECDSGWLRFVRPNGVWCVLVGNSGVVNGYMSQPDAEVVCSRYGATLTGFQNSEERMKVADEALKQLAPLNQQIAGLWIGATNNPGCRVASCGPFATFRWTDGHTTGTDGFSWSRDEPDGNNWPGPTACIQQIIMAPNYVTGPNDYASWMTYFRHGAFDKFQCVSPALPVTRMYACGKPGVSR >CRE05099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:184412:185116:-1 gene:WBGene00063753 transcript:CRE05099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05099 MAGSYIYVFESRSNSLQMNRFRVSSTLVRIVYHSSVYILNCSVLLLLFKSPEDQEIAKLDVLKIEPCPTEAFFKYEMFILTTDYELQKITKRYIGPVLIFHVMFHILFHVTCTVYYLFLTPNKVLSPETRRIQKKFFIGTVFQTTIPLAVLAILLVGILLDQLTNSFTQGMVNLAIIGLTSHGIGESLAIILVHRSYRKAVWELMTRKKIESLFLKTFCISNKLFSEVNFSVKT >CRE05040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:187915:189403:1 gene:WBGene00063754 transcript:CRE05040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05040 MTLYQPSTGILETHVTWQDVEEDMQKALGTEAVFGPNKKTTNIGDMKGFMSRIALIDPDWQSVEKNENLPTKFAVKISSQLAHVALSNVIGTDEFSEEKLEHISTFTRKFHNQEVEAYKCLMKFDHPDIPYTKVYALKPFLNDTDLKGYMVFDFVSNVYTMGMHQSIPAEDLTQIIRGVATFSALGESLNPEETQLFGGPEFLEIGLSEFFGEEQLEKLFGNMRASFGIEHSEIVEKVIPIFRHYTKLLKKFSKISELLGFKLVLNHCDLWQSNMLHSMCDDGKLKLEAIIDWQGVSRLSVGFGLARVFAGCLNSKDRREHGLSLLSLYQKTFTEVHGKELFSLQELQDAYNLHLPIKAMILVPLILSFLDAQTSEEEKEKYHEENVAKLVALMEDVLIVHDYNLKKHSEFMKI >CRE05101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:191798:193383:-1 gene:WBGene00063755 transcript:CRE05101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05101 MFYRAPPQNGKLIILISCFVTLFFVLKLLESRKSVLFVDNFFEKFENNSSDENRIIRTQIHEDQFCIGYNFLEASETFRDSDGLEPVTLATHATSDMMKTLEEMPKMWDGPISVGLFLDIHSVNALKYLEGLHKCLPDFNRKMTIHFAYRISVFQTSCPTVSVPKQTVSCESFLRNKESLRRKISGPFILYPCSLMRNIARWGAKSDIHFIMDGDMIISERLAQKIKSITNKMIDGKSRNVLLVRRFENANDTVIPRDFGQLKDSLMNNKTFEFHHKFYFGGHKIENLDYWINRTGKSSQIESWPIPYMHPGWEPQPILHKNDPYNADYFPSRLKNMQSLIYKLCRANYTFHLLSHVFDVHEGIKLVDTPYSQAVAAHQQTYARDLAQEKYTQEMDYLYPNTLEKCQPFSL >CRE05103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:195152:196591:-1 gene:WBGene00063756 transcript:CRE05103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05103 MFCTSESAIDVDSAREDIRRLKDGEILKYCPGFFSSKWKKIYAVLFSDSKLVWYEQKGDRKPKGGVQLTEVIPYICVGLMTDRMPIKRPSIPEGNSVHHVVGIGMNSKADPCHWILFSSDSDIESWFTEITKTLPKPANPPQNGPPQGPPQGSPQVAPPPQQQNNGGYAPPPAYPNAPPPNQYAGHHGGQQYPPNNYAQGSSTHTTVIVQDGGGGYGNDGYGSAFGAAAVGLGTGMLAGGLMGYGLGSMWGGHSFGGMGMGMGMGGGYYSDNDTTVTNNYYNTDGGNNAVGGTNNANNTENNRNLQNQNNQQQQQENQDVEQGNDPYDSGNYGEQDYGGYDAGDNGYDAGDFGGGDYGGGGDYGGGGDYGGGGDW >CRE05104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:196974:199774:-1 gene:WBGene00063757 transcript:CRE05104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05104 MVRLNRTTIYALVLVFIVLIYLQRLRNKWIQKRQEHDEGHQKPQVARPPIQYTIEQFGEEGDRRNYSIPTEMHDDQYCIAYKFLEASETFREADNLEPVTLATHATSDMIDAVENMPSLWDGPISIGIFVDYHSSNVLEYLAEIYRCDIRFRRKMTVHFAFRKSPFQTSCPVFELPQSNRTCQEFFASYELLRSAIVGPFQLYPSNLMRNIARKGALSDIQFIMDGDMIPSTEFAIKIKPIANQFIDGKSKRVLVVRRFETSTGADIPRDHRKLLKSKKLHKYVCFARGLEIQRFHSRTFEFHHRFFAAGHHIENLDDWFRTSIHTDMVSTNEITYPGYLWEVQTIVHRNDPYNADYFPSRIKVMHSLVYALCRAGYTFHVPSHVFDVHEGIKHTNTIYSKATIAHQEAYAMKTAGDRFIKEMDEQYPDTLQKCGEFSMI >CRE05105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:200617:201552:-1 gene:WBGene00063758 transcript:CRE05105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05105 MLTEYFSVFLLLCVSLSFAARTREDCQKIADGLDPIVEAINVTDRFLRSPEEYKEYADKCEAIINCGTELDATKVPLLLQKISPCLFYMFYNREFSTCAHKLIAKKDDKIPCLNTLFNDIHEPEVDECVQWDGLQPCIKEQIGKECDAAMLKEYEKQEKNLRPELCD >CRE05106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:201976:203525:-1 gene:WBGene00063759 transcript:CRE05106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05106 MVKKRDWRLVSRSIFGPIILLLLVAGAYAAVIYGFSHFEYDSEWRSEQENFETLAANAVFEKQRLAASAESAQTYTSKYSLGTNDVYGIISGFLNKTMLTVETYTFKWTKSLSFKRMYDHAFQLSDDSDLKFCKPSTKIIDIIFRSILPIFTTFAVGASVSAIHSIVTNVLQICIRHKKHEAWTVGRNRMLRVNVVAAMVVAIVYFVVCFFLITLACTRWQDVSYADGVKITINLIATLPPKVKQVSHLEFWMYIHICCYQTSIIDAHTLAALSFVIHSGTAYVIFTLINLMKTWRISEFSIPLIKDIDQADQVDKNMQVQYKMKRAEVLQKNVVENLEQKKVE >CRE05043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:204209:206902:1 gene:WBGene00063760 transcript:CRE05043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05043 MKYFIFLLLIGLQSDSVLAEDWKETLLLHHSKNITGVNAQCANDTETWLHSLDILTEVSAECILTKKCTKKEEKLLEENFYALEQLDSFGKFPSSGLFEIPLIFDGSYQECERISGKKYETNYCYVILIPGKNSSHCHVSKSGDLTPTTVFFRSAVCMPNSCDQTEISNVINQIADIPFTVCGSHCSRYPVKKDSAFWGFSAFMIVMISIAILATSVDYILETISSEEEKKEKKNLALRILLSFSLWTNAELILSVKEQKPGFIKCLDCIRFLSMLWVVSGHTVSTIMFPGIKYSSKHRSEMLFSDVLLPITTFTKHFWNHLLLNAFVSVDTFFLLSGIVVAYLFFKQRPKKSQILSPITWTLFYVHRYLRLTPPYMIFLGFYIVYSEYIQGPVAAVGLNAGIYAVESCKKTWWRNLLYINNFGDSTQACYGITWYLAVDTQLYLVAPIVLIALWFSFIWGAVAVAAGCVGSIITVYVLYAEYHLPADQFGKGSLINFGKMLYQKPWIRCTPYLIGLLVGYGIAVFGKRRVRLHWALSVVGWLIALGIASACLFSTNEYDKGAIWTDFEKATYYNFSRFLWAIAVSWVIVANHMGWGGPINNFMSHPLWQPLGRLSYCAYIVHFFLIDFYTNINDSPMHYYSTFQVWIYYAVPITIIAYIFAFFWSCLFEIPTLKLEKMLIEAILGVGNGRASGKVGDVAKLEIKSENPLQVKRMEDVEIVRIEEKLPSDFNMKL >CRE05044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:208730:210316:1 gene:WBGene00063761 transcript:CRE05044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-127 description:CRE-NHR-127 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ77] MVASLLAHEVMMPNLSPPAPIPCEVCTYPSHGYHFKVMSCRACAAFYRRSLIAGLRYICKGRNNNCHIDSSVRYFCKSCRFQKCLKSGMSAESEFVLLYTFKFEIFFSEIQQNRDPISSTIPGASADFLPPVIDESVKKSMFDGPLYDFESFIGKIRAIFAQKNFPIDEPKLPPLREMEQGLKFIRRNQKRRFIDIADEIDFEKITKTRLGVIKDAATWLMYSSFFRNLEEREKLLILKTTWHVWGRLELLSISVEIFGEKVSKEKIVFISENEAVYLIEIFRNNLMNLDPKEAEDVDKEIQPLFTAVFDDVAVKLCSLNGSLIEVAYMLWQMIWCVAGKILTGSSLTAGEKFLDELASDLHDYYENDLKIENYATRVIKMMSIIRTLQKTYIDIHRVIDHANCFRRFFVAK >CRE05045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:211540:212860:1 gene:WBGene00063762 transcript:CRE05045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-183 description:CRE-STR-183 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ78] MSTAWLSIQNRIQHVSTAISFFLNSILIYLILVYSPKKIGTYKYLMVYISFFQMFYSIMDNVSLPVYHTVSSVFVVFKDIHEEDYLPVPLYYWLLVVYTGCYGFCMAIFGIHFFYRFLAIYGNRNSNRGDDCGINLCTSNSFSDVIYYHLQVTSLTAIFYFGSRCYYKIQEQLKVAISVSRITKNLHRQLFYALVMQTAIPIMLLHVPVSGLFMFPIMDSDLGFLTGFVTITIAIYPAIDPLPTMLVIENYRKAVFAFFKAVFCCKHGNNSGRAEQTSSFTEGQISMATCN >CRE05046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:215047:217056:1 gene:WBGene00063763 transcript:CRE05046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-37B1 description:CRE-CYP-37B1 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ79] MQLLTLAIIFFTGLIIFYYKLIYEVVRDRLRIYHYLRKFEGPLAFPLVGNLYMVNIFNISQLTVKVMQLAVFYCNKGCGIVRLWVGPVPMLAVVNPAYAKEILESTEVITKANEYDILFPWLGTGLLTSTGKRFSKFSVFSVLSVFGKLCSWLIKLVSFKCYVVETNGANVGKCSLRPFISKCSTTSCVYMTIKQRLSICVVVYTDVFFQVFLEQLKPHADSGKEVDLFPFIKRMALDIICETSMGASVNAQNNHDHPYVKSVHRLSEIAFLWIIYPWLKLKPLWYLTGYGSEYDRHLKVVTDFTKNVIEEKWEEYQQFQLGTEKKDKRSMAFLDLLLQLRSEGLMNEEDIREEVDTFMFEGEFSSNQRYYQGLVLSGHDTTAASIGWTLWCLAHNPEIQEKVIEEVDRIFGTSDRDCTNEDLKQMKYLEKCLKESLRMFPSVPFFGRRVEKDTVISEFPPFAQSIFLLFHFQTKFAMMEEKAVISWLFRKYRVTASKQFEENKILPELIMKSSLGFPLTVRHRMENK >CRE05107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:217148:219087:-1 gene:WBGene00063764 transcript:CRE05107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05107 MVGHAKHSAPVLIILVVFGIAVLVIRFTIPFEKIHNDYEFKEFSNVLKSMPKAPYLILYDSSNVDVVLNHICNLKFIPNTLSRLVAVAFDEQAELTLRQKHPMIPNVLIDLKPLVDSLHPQKQNRGYLIYTLALVVHSKICASLALQGIDFWSMHQDTLWTQNFDMMNVEDRYPDANMLFDTIGNENPLYHRMRDWVCGATFFVRGNPTTFQFFSQVESFMLSYQSPDSSIMTYLCGHHHYKCEFLPQWMVSSFNYFEGPRDNVPVLIQLDGGKKTGETKMDVLRRGHFVFRHENGTCDERSFHKLREFVKFGFPDVIRKDGSLKENWFSKTIYFFKNLFNIDPWNRKFYLTIHQTLI >CRE05047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:224861:227592:1 gene:WBGene00063765 transcript:CRE05047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05047 MKLLTFVSFLFLFHTAAGQSWKDIFLTSKITGVSAQCASDTESWQKSLKLVAEVSAKCLIEKKCTKAEEKIIEKNFYAVEQYDAWGKIPLTGLFQISILWDGSYQECERISGQKYETNYCYMILFPGKNATCHMSNGEPTSSLFLRRAVCMPYSCSREDLPAVYNQISDQPFTACGTFCSKYPVKKTPAFWGFTSFMAVMVAIVVVTTLADYLKDTLKKEDEVRKDGKLLQMAMAFSMWTNASLLLSVKEQKPGFIKCLDCIRFLSMLWVVTGHTFQHLMVPDQLLGLLPFTGRFWNHLIMSAFFSVDTFFLLSGIVVAYLFFKTRPKPSQIKSPVTWILFYVHRYLRLTPPFMIFIGFFVVYGYYIQGPGVASILSEFSDIKEFLFKIRINYTFSDQMNPEVDTCIKYWWKNVLYINNLGPDAKQCYAISWYLGVDTQMYLIAPIFLIGLYFSFAAGTALLTAAIVGSIITVYILFSVNDLPADFFGNGDSTNFYDLIYDKPWVRGTPYLMGLFVGYFLATFGKRKIRLNWALSVTGWLIAFGIAVMCLFSTYDYDKRIYWSVFIRASYYNFSRIAWSVAVSWVIVANHMGWGGPIDNFMSHPIWQPFGRLSYCAFIVHLVVMYFYLSIGDASMHFYSSFQIFMYYSVPTTLLSYIFAFFWSCFFEIPVLKLEKMMIEAIIGAGTRQSSDRVGDSGIENKLTLKTKIPKPKEGELWDADMEEVSEKPWSTKVEEQNRETLRV >CRE05048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:228053:230564:1 gene:WBGene00063766 transcript:CRE05048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05048 MNIFILFILAVILSKASGQAWKVIQFLFLEKEYRILCVQDQFQKITGVSSQCANDTETWQNSLKLVTEVSVKCLIEKKCTKEEENIIEENFYAVEQYDAWGKIPLTAIFQAPLLMEGSYQECERISGQKYETNYCYMVLFPGKNATCHMSNGRPNSIYLRGAVCMPYSCSQEDLPTVYNQISDQPFTACGTFCSKYPVKKTPAFWGFTSFMAVMMAIVVVTTLADYLKDTLKKEDEVRKDGKLLQMAIAFSMWTNAELLLSVKEQKPGFIKCLDCIRFLSMLWVVTGHSFKYIVVPDQVLTILPFTTRFWNHFITNAFYAVDTFFLLSGIVVSYLFFKTRLKPSQVKSPVTWILFYVHRYLRLTPPLMFFIGFFVVYGYYIQGPEVASQLNRLLPKVDSCSVNWWKNMLYINNLGSGKDQCYGITWYIGVDTQLYLIAPLFLIGLYFSFAAGTALLVSAIVGSVITVYILFSVYDLPADFFGVGDVSHFYNVIYDKPWVRCTPYFIGLFVGYIIATYGRRKIRLNWALSVTGWLIAFGIAVMCLFSTYDYDKRIYWSVFIRASYYNFSRIAWAIAVSWVIVANHMGWGGPIDNFMSHPIWQPFGRLSYCAYIVHLMVLYWYVSVSGVSVHFYSSFQIFMYYSIPATVMSYIFAFFWSCFFEIPFLKLEKMLIEAVIGVGVRQTSGKVGDSPVQKIINSHQKTQEEDLGC >CRE05049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:231182:233856:1 gene:WBGene00063767 transcript:CRE05049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05049 MIILTFSFFLFLFTLVAGQSWKEVFLTSKVTGVSAQCANDTETWLNSLKLVAEVSNNCLTGRKNCTKEEEKIIEGNLYAVEQYDAWGKFPASGIFQVPLLWDGSYQECERISGEIYETNYCYMILFPGKNATCQMSDGEPTSTAIRGAVCMPYSCSQEDLPAVYNQISNQPFTACGAFCSKYPVKKTPAFWGFTSFMAVMVAIVVVTTLADYLKDTLKKEDEVRKDGKLLQMAMTFSMWTNAGLLLSVKEQKPGFIKCLDCIRFLSILWVITGHTFSYLMTPDQVLSVLPFTGRFWNHLVLSAFFSVDTFFLLSGIVVAYLFFKTRPKLSQIKSPVTWILFYVHRYLRLTPPLMVFIGFLVVYGYYIQGPGVASQMNQLNPQADVCAQYWWQNMLYINNLYPNANQCYGITWYLGVDTQLYLAAPIFLIGLYFSFAVGTALLVAAIVGSIIAVYILFGTYDLPADFFGNGDSTYFYDIIYDKPWIRGTPYFIGIFVGYFLAIYGKRKIRLNWALSVTGWLIAFGIAMMCIFSSYDYDRGILWSIFIRASYYNFSRIGWAIAVSWVIVANHMGWGGRALNYFYPYFIFRFQDRLIISCHFLLGSHWEDCHTVRTLSIMLFYIFTSASEASVFIFTQFMYYSVPTALLTYMFAFFWSCFFEIPFLKLEKILIEAIIGVGGRRAGDAGIDNLKIPKAKEGELWDADVEEVSEKPLSTNLEEQNRKTLRV >CRE05050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:234425:235844:1 gene:WBGene00063769 transcript:CRE05050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-7 description:CRE-SRXA-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ84] MFEHIPMFHVVFQLIFCFLAFLFMLYDIALLMATYAYRNDQNIPFAYLIVMNVCGVLCKIAFITDFVTYLVLPYYEYLAYREFLGREFTMLGTLTYFIPMCVSVLMTLNRFFIVLRPTDQRAFGQKRIFFYSFLILILCFTLLLIPRLSYCPVNFLASTLVFLTACAPERHPVTKFTNINAIWVPTTLLVINLLMVLHLKANRYDIYSRIRQKSSVISMSSSNSLAQSQIRREHMLMRQTVAITVGLSFYEVGSLLMRTFPDTYNSLPQQVRDLTFYFRLETICAINFIVYYMGSPSTRKMLKKYFRSGELKRDLSTRKISSTFQMSRIET >CRE05051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:236202:239055:1 gene:WBGene00063770 transcript:CRE05051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05051 MHMFLFYFVCFINLSAGETWKKIFLGGKNSFSSLEVSDQCQIDTNTWLNSLKLVSEVTVECLVSKKCTKKERKLIEDNFYALEQLDAFGKLPSSGLFEIPLVFDGSYQECQRISGKKYETNYCYLVLVPGRNSSCSIGGGGGFTPSAAFFRSATCMSESCTPEDLTTLFNKLPLMPFTACAAFCSKFPVEKDSAFWGFSGFMAVIVSVLIVATFVDFIRQSQEVGSKAPGTGLKILLTFSIWTNAEALLSVKEQKPGFIKCLDCIRFLSMLWIVTGHTFTFLVPPGKTTETKVTYSRKILSDTLRSLSTFMDHFWNHLLLNAFVSVDTFFLLSGIVVAYLFFKQRPKESQIKSPMTWILFYIHRYLRLTPPYMIFIGFFAVYGQYVQGPFSASQFSKCFIRFLHALFHCFTDTLVPSIQTCQVNWWRNLLYINNMGGSETACYAPSWYLAVDTQMYIFAPIVLIGLYYSLAIGSGLVAVGCLASVVTVYVLYAIYDLPADFFGNGNTNLLYDMIYHKSWIRCPPYLLGLLVGYGLAVYGQQKIRIHWTCAVIGWIVAFGLGAVCMFSTYDYDKGARWSIFARASYYNFSRIAWSVFVSWVIVANHLGWGGPIDAFMSHPIWQPLGRLSYCAYIVHFFTLFWYLNVNDSSMHYYSTFQVFIYYAVPACILSYIFAFFWSSLFEVPILKLEKMMIEAILKRGTQSNEENRNHVARNEETTETGSSERLIRL >CRE05108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:239105:240667:-1 gene:WBGene00063771 transcript:CRE05108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-36 description:CRE-SRV-36 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ86] MLTTNWQADVVIYETMVFMPIYAVVLWAIWFMRPRKLAFRTHYYTLVMSQGAADFLTVIIFVTQMLPRYFNIGNSLVWWLDNYGASNFYVNSGPQMFILRSIGLFLITMQRYATICRPHGRLSHVSFYTLKNTKMTDNFLSRFQILSITSSFTLILCHWLIAFSLHMPAVLICHAHFENPQSFFVITSEDHKKTSATIVICTFLFCGISTLFMYSSIIRILCSAKRTVVMTTNSWQSRQNRYQEARLCIHVFFLAVMSAATFSYYVFQAIYSNEIGVGDVVLSQYDPVSENRNLQQEALRSLRLWYPLVSGNLSFLNPLMLLLLNRDIQKCFVNFCSGKTMDRTSSILAMNRTI >CRE05052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:242194:242700:1 gene:WBGene00063772 transcript:CRE05052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05052 MMKTLLLLLALVSVSYGFALGIGVPLGEKDVALPISSEEVKAFTRTLKNGQKQVWNLSGPNKGTWVDSKGKKVPSTNFVFKAPGTLIIKKLSNDDAGVYDYEPLKVIKEEKLPPGVHVDPHQQRIELSVIGKL >CRE05053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:243103:244416:1 gene:WBGene00063773 transcript:CRE05053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05053 MISFIIVLLLPSVVQSCLKVRTVEPPKCECLYDALDKNDFYDTIDSHPFYSNLTFYGSDKFAKPIVEADECYVSLYCEGDFSLVVLDTQKTTIFGAYPADGMCDPLVQKWLVDDETGFGYYDRLYGTCVDFNNGGHLPSTKNPKTCGCVYKSLDSDNVKEYASHFDIYPTLLSKYKLEPALLSGTTEDGCATHWHCSSNNLKKIVVEQSRAYETTGPEVVTCYYFEDKNSYEWSIIPGDNKDAMSTFIYVTCIDYAVPMPTLLPPSTPTCPCKANLLLDRSIIYPASLITNWNIQTKISEDRCKWNISCKDNDDVFFSIATWASVDVVKVCVFFFF >CRE13014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:1186:4171:1 gene:WBGene00063774 transcript:CRE13014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13014 MAFKRSKYDVYFQRIGEMAHCRLCTRQVRWAKNGGTNCLRLHLASRHPQEMEQLSHALAKQARSPDESGISLDYTALFGHEVWETSHSPGGNEMIQPQPKIKISEHDISVIAMICEDRLPVTMLDGSGFRKFLEKLRPGVQLKNVPYYIQNVLPALCDNLEEKVQIDLMFANNVSLVFDTFKSDADRAEHVSLSAYWTNVETMEPRHALLFYETGPEFSEISSPFLHSKLTKYGVLHKIIGYMSESQSISKIDWLENSSTKLPNFEEILDEIARSIYSNSPDLLRKIAHTVIDFQNAQKEQVTLASVILFQDTALPNVVLEKPNSLSWSGHHEILRILLQIYAIRNSTNSSDKENRSYTELNEEEMKVTNFIYSILEQVQEAHDQIRHRHYQTASVIIPSLRVLLHKLTDVVKNDPSSPEQLIGRNVLNKLETAANFSQENMVLKTATFLDPRFKEEFFFECHKSYMLSNFKDRNNKVIKEEVLIPPKYEENTRGMSLFDRFIQEKSDRSSSEPKTESLEKVSFQPDSISYFIFQEIEDYLREETNSQTDPTDFWMQNPCKFPILKSLASQYLAIPASASGAKKLYEDGEKMVPTGISDNIRDCFVFCSANIDIYGY >CRE13015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:5131:7213:1 gene:WBGene00063775 transcript:CRE13015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13015 MNSVLFLSLFFVALSFSDKNIQNQLKKSQNQGRFVLYFFSNSDQIVNLKGKTINYIILFTYSLFYTKLLITYVILDVTGGFKTDMHTKLIIIESLSIYIIIIMSTLLYIPIILNIRKNQHLSSVVQFRPDKYVFYQLVFIAGMKTETQRTILQASDMFSTSVFVQVSYLICNKRNMDTLRKTKTFKAIIKLSVMGFFKAQQVQPDTSQNDRSTTVQT >CRE13016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:7457:7897:1 gene:WBGene00063776 transcript:CRE13016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13016 MKTLILLLALSAVSFAQIGLAVPAGTKDFELPIHASDVKAFTRKLKNGETQTWNLSGANKGTWVDSVSLFSINLILVVNFFQKGKKIPSTNFVFVAPSNLKIKKVTKGDAGFYDYISTFAPVDPAPLPPGVHIDPGMPTGFDLTVN >CRE13017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:8186:8627:1 gene:WBGene00063777 transcript:CRE13017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13017 MRLSSVIFLVFLTAFSYASFLSTLVGQEVTLQLSIKPVKAFTRKLTRGGFHTYNLSGKNKEVWVDESGEAYPSNNFAFKAPGTLIIKKANNANAGTYNFIPKNTEPTTTLPPNVHVDPVPFDGVTLVFL >CRE13051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:8699:9889:-1 gene:WBGene00063778 transcript:CRE13051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-87 description:CRE-SRW-87 protein [Source:UniProtKB/TrEMBL;Acc:E3N7B6] MRTTSMNSILLGIALADMIFPLVAIKKKVRIWIIGSNECIAPESILEMNFDWLLYTLRDDFRRCSTWLGLMLAGVRTIAVRNSMNQSCSEYELGHAIPNLTFQERDFYKAANGLLRKINLLVVGGLAKFLPCILFPALTIVLIRELRKARKVREAAKKEVNAGKRKELTTRLVIYMTISFFAIEFPIGICFWVEAASSAYNEGNVTTSIIQLLNMIYVVMTLTHFSICFFMSSQYRKTVKMLFTRGISMKNQTMSVVSVIQNARSGNSSNM >CRE13019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:15563:15909:1 gene:WBGene00063779 transcript:CRE13019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13019 MAETDNTSTETNMKLTHQPATKVGGRRVAGHKEYKNESSNDAIREVLDYELPAKMDHAYPTESVKRIHEKPTPAVQPTNVNRGTSGGQQRFIPRKQTH >CRE13020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:16200:16989:1 gene:WBGene00063780 transcript:CRE13020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13020 MKTSLFFLFLIFFTGGYCNPSPGSREEKIWKEYNEKRRNYAKEHNIANMWKLEWSEELVGKVHSSATYCDELKSGPNFRLASNGNPFGKKKRRDVNQKQRRASENMDEVVWPGQKMVGCTQRQCSPRPGVHTGGPFYSWRIPPNVRTIPLILSGFCLAGPLGIDDKNKIENGEAGSKCEAEGGKNEDGLCVPADPIVETSTSNSSASSIALFSFPLFLFIYLFDLISFQ >CRE13054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:17144:19953:-1 gene:WBGene00063781 transcript:CRE13054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13054 MDDHRSRSSSRERSSRRKHRKHKHRSRRSRTRSRSRSFERYGQPGGSGIHINPAFGMPPPHSAPPPQSQMGYSATQLLQTNNMMEAEIARLNSALIAEQGQKQIEIQRNHMLQQELRNAQIQRDQANKKCNEVMSERRMMIEMKTEMANRINGLEVSGRMLREEVANANKGIEAKNEEIRAITYQSEIVRQQINALNERNAALKSKCETMVAQNRRQYEELEGKQKLVETAQLNVRKAETDLQCANLEIQRLLRELESSRQLETTSISEKQSFEQLLARKDDEIRVAGYKATSELKNANEKIEKLENRLKSENPAAYDDMTVEAIYVAIETIKSTYEKQLTRLEEEIEELKQEKTKQMEIDVESQEGNQMIEELPAYLQTPMKPDPAKMGGVKRTIQCKINLESGTPSQPSTSSPDRPPRPASGPPVDSPVTWHKRKTFERLPNMIVPLFGTTGISETSQGEPSSQQFSLDDMQTTSISSIPSTSESSNHQNSSLEPMTSSEDVPQTINLDTHHFSEEDLLLTEPNDLISPYQNLSEQQLLDLE >CRE13055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:20874:24348:-1 gene:WBGene00063782 transcript:CRE13055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pptr-1 description:CRE-PPTR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N7C3] MHGSGHSLTGAPHQIPPPRTQGAATGGQQLSATANQFVDKIDPFHNKRGTSRRLRINNSSRYNVDSAQELVQLPLIKDTATNEQPALVIEKLVQCQHVFDFYDPVAQLKCKEIKRAALNELIDHITSTKGAIVETIYPAVIKMVAKNIFRVLPPSENCEFDPEEDEPTLEVSWPHLQLVYELFLRFLESPDFQASIGKKYIDQRFVLKLLDLFDSEDPRERDFLKTVLHRIYGKFLGLRAFIRKHINNMFLRFVYETDSFNGVGELLEILGSIINGFALPLKQEHKVFLVKVLLPLHKPKCLSLYHAQLAYCVVQFIEKDSSLTPQVFEALLKFWPRTCSSKEVMFLGEVEEILDIIEPEQFKKIIDPLFRQLAKCVSSPHFQVAERALYFWNNEYILSLIEDTSSLVMPIMFPALYRISKEHWNQTIVALVYNVLKTFMEMNGKLFDELTSTYKGERIREKQREKDRDAFWKKMEALELNPPAEGKEVTPSLFPEKLTDYLKKDGSNVVPQPVAAAGGGDKSPSVVKKSSTGSDTTPKK >CRE13021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:36995:38261:1 gene:WBGene00063784 transcript:CRE13021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13021 MSSTNTTLDHSTDDVIAGATISVVLTIGFILIVTVLIGCHRIPAMRGSFGILTANQNFSQLIACVSTFVFYTFGLAFDFTVLVDNSSYFGNCSALILSVITTNFLLISLNRTCAICFPIYYKLFFSHNMVLLLVAICWIIPGGISFYYIFGLNCRLSYTHFGWLFTSDLTIETCGLKFRSFLLSSQSALSFMILAADIATLALLIMKRNGLGSMSKEAKRTEKNFALQVLLQGVVFNLHTIWISNAFKWLPGDSTEWKLFFTSTFSSNLLMIFDPAVIIIFNREFRNWVFNARSTVFVATISASAGARSPNASPKPINSFMVVSSVSR >CRE13056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:38480:39676:-1 gene:WBGene00063785 transcript:CRE13056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13056 MLNEPTCDLVFAEWLRISQEPQTDIPQKEIPIRQTEQFLLNGYAALDSWYFNDKNAVGGDKPRNWNRISDLMTYTKTQLADLAYPVESVSMYHAMAENRLDNLTGFIVGSMQPWVEVMALKHGAKQILTVEYNPLTIQQEYQDRLSSILPVEFVRNWQDYAGTFDFAASFSSIEHSGLGRYGDPMDPIGDLREMLKIKCMLKKGGLLFLGVPFGTDAIQFNAHRVYGSIRLAMMFYGFEWLATYSGEEEKAFDFTSARLHFKGVFGLTQYTMVLRKL >CRE13057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:43699:44731:-1 gene:WBGene00063786 transcript:CRE13057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-34 description:CRE-SRV-34 protein [Source:UniProtKB/TrEMBL;Acc:E3N7C6] MDAKIIKPKNHLQGLADICTIVIYSSLLAARYFSFGNIFIYSLSPYSAMAYTNSGPFMFTLRGVGVFLITTQRYLTVCKSHGPFNYQLNHCPPFLIGLGHWFLALLIYLPALLHTETRFENETTLLTIASRTHLQYTSITVMSTYLVACISVILMYSQIIIVMIRARKVDHGISQITMKTSNKKLKDARLTLHVFILVIFCFIAFFFYIGEYILAYDEDNTRVRAFRLYYPTVSGNLSFINPIMLLTLNKDVQNAFCCKLKRPPTTLSLIARNGAKSTTG >CRE13022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:46105:46772:1 gene:WBGene00063787 transcript:CRE13022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13022 MSLTFNTSSLKWAAPEGQAIVKITNPTTTRFAIKIKTSNVDTYKTTPNTDFIHPGYTLNLVVIRSKSPMKEDKLAIHYIESESGETDAAEVFKKPGIRPNVYMITMRCEETPPAPGAP >CRE13023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:47823:49243:1 gene:WBGene00063788 transcript:CRE13023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13023 MLTPTWQMDLFIYQTIILIPIYIFMLLDFVISRGKHKTFQSPYYTLMVSQGIADIATITVFSSLVLARYFSFGNLFLYSLRPFMPIFYANSGPMMFVMRIVGVFLITTQRYLTVCRSHGTLNFHLNNCPPILLILLHWFLGTLIYFPALLNSDATFENEISLFIMTSSIHAQVFSVTVTTSFFTIGLSIVIMYSRIAVVMMKARRKGRMSNKSSTRVQDARLTFHVFVLIVFCIICFVYYLGEFVLSFDVDRTRLKAFRLYYPTVSGNLSFINPIMLLTFNRDVQCRIRKVFCGCCYSSPKVTVASVIRPGKSETGGMV >CRE13024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:50152:51140:1 gene:WBGene00063789 transcript:CRE13024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13024 MLTIYWQMDVVFLEAFTIFPLYVSLLIDIWTNRKKQKLFQSPYYTLILSQGLADILIILTIFNLLVARYFGFGNMLLYNIQDYGVASFHSNTGPLMFIVRMFGIFLITSQRYVAVCWHGSRLNVFIDRLHPLILVAIHYIFPCVIYIPAFIVSSAKFQDTERLFIINTPTHLQTFSIIVVSSFLVASVLVVFMYLSILRVLFITRKNGKNSMGQCVFRQQALRYREIRLAAHVFLLSVMSATIFVYYWIEFSMAGNPDVSSELL >CRE13058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:51409:54088:-1 gene:WBGene00063790 transcript:CRE13058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13058 MKVLNGGIRIVNSKLTNLSFFKNGKNQEIFEFGCQTHGFYIQNNTQLIDAKTLVSIDYQDGRSNGKCDIRLEDNMNLDAKELCDNGHLSSFLEMSVTGNLRDCGCQGGTIFTNTSSLFTNCRTIFGGLKITNASLSTDFQWLSNITVINGDVDIGFTSFQNLSFFENLEFIRVDNFEYSMKVVLDIHDNPNMTRLLIPNLKELTNTREGYRIANIQNLHSDFCLTIREIVTFLESNVCFMNLHAKYCEETGDLNEIKLCHFSGKISELGDDCVYILGDLKIGPGDKKHVAKLKKISHVFGRLIVKNSDFEDLNFLSTLEYIGLLDGMVMLISGHNIVENVGYFQLFDIKYLPNITFYGGDWGCPSDKSNVIDPNFYNSCTVLINGLKLSNSSIPSFIENLSNLKILSGAIEITDTQLRNLSFLENVELIESPTYEKMQGININIHNNQEMTRLGLKSLKTIDFYPSPTINFENLHPDFCLTLQEILLFLDSNAIFLFLHARVCDFEISEIKQKTCRFENMTSLESSCIYLFGDVLVGKGEEKQTDKLKTVNIIFGTLTIQNTDLDDLRFLGNLYKMANLNGESGRLLILSSTCNQINTNFIISESLPLIRIINNNNMKNAELPKMNGSICRGFSRAVIEDNYIFDSVKSCMKFQYHTGTNVTYNGGNCRGYTNRSAPIDCLLSMFMVIVANFSKELMRNSIMSYNFLIHSL >CRE13025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:54644:56200:1 gene:WBGene00063791 transcript:CRE13025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13025 MHFQSVGNQFSNCEILPEMLGNLSEIQLTKLFEQMHTLFGGINIIDSEQKTLSIFNIDNEYGEFNFFCETYGFFIRDNIRLANLDALFYFYMWGDDDYNECCRGDDLFTSSLDSYKTCEFLFGGLHFSSTPDSSILSALSRIRTVKGRFIIENTDLKDLSFFGIFYRFEIKNVGLKDEAFINIRNNTKMTGLGMNSFRSNGEFQYDWENNRLVNLENLHPDFCLTIHEIEVFLKYNVFFVNIHAKYCKEIEYFEILKVCTFNNMSSLDGDCDYVLGDLNIGSEDEKYVIKLGRVTHIFGSLKIKNTTFKDLSFLSGLKYIASLDESLPAIQLISNKELRKASLPSVENIITRRKQFVLIEDNHPKLFNSSQFYKMFNPTFEARSKYLSAKYHL >CRE13026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:56403:57752:1 gene:WBGene00063792 transcript:CRE13026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13026 MFKISTTTVIPSGPTTERWYGRDFLDYYYYYDGGPFPNWEVDTDLVFEKRTQELIVEKSKDVDYGASFVGFFLNLVHLLILTRKELRNNVVFIIMIGICICDLLVSSCNIILKTYEKVDSSTCGTNEQWWFVFIELIALAVQKFGRLNSAILALTMAGIRAVTVMFPMNKVSDSLMKPSYGLRISIFESLVCVIWYILSYSSYIIHKGTGFREGRELVWEDSCYFASNNGNGLNDYIEGIFVFLLTAVYLITTATLLITLKLAQKTRKNLKSDKGNSTSLLVTIMAVSFLISEIIYSFVFVVGSTDMKRWSLVIDQLAIVFTDISKTILTFNSVFHCLLSFFLSSQYRGIVKKMLRMDKPQKEFVGPASSSTGAHTIKTSHGSSH >CRE13027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:58469:59585:1 gene:WBGene00063793 transcript:CRE13027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13027 MTNFLTSPIVYSFICYTIGCFSLPIHLFGGYCILFQTPSSMKSVKFALLNLHFWSCSTDLLFSVILQPFTCFSAPISVSLGLLRFLIVGPNFGVCLMMTSLSLVAVSTIFIFENRFYILFMQQTWWRHFRFLLLLFNCGVVISNLIPPWLEIVKDQDSIREIAFQKTSELVTFDNPKLIFATLENFWISMRGLALLLVFFSQTVTLVILTHVFMKNAVKTSSAEFHKTQKTLLRAIYLQISISFCLIFIPELAAIVVRLFANSIQEAANLTNLFLSIHGASGTIVMLYLYRPYRTFCRKLLNYAICCRKNNKQGFLKHKNLH >CRE13028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:60308:63096:1 gene:WBGene00063794 transcript:CRE13028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13028 MPTILDSPTSYSILLDSIGCLSIPVHLFGGYCIIFQTPSSMKSVKSVLFNLHFWSCWLDLIFSIIVQPFICSPTHDGVILGIIRYINMDTYAQVSVTVTLLSLVGVSTISIFENRFFLLFMQRTWWRYFRYPYLATNYILALSNFIPPLVDIPSDQGSLKFKAFERIPELKKFDSAEHPLIIIPLGFSIRALLVSFVVLFQAVGLAILINIHMRYTFKKILMSSGANNIQKMLIRAILLQISIPLFIMFIPQLVVLLTRLLINVTPSITNIINIINSIHGVSGTIMMLYLHKPYRDFESLKDRETRVKCARVVKRAENFRSSVYTFPGLREIPQIFPASVQCIVKTFPRPPAEALSNQSDEMFDELEGMEEGGGEEQQNQEIPQEEVPAEDFPIDQDIDDLLGDAPLPDDDEIIEEDEERPQTTESREKSQEIDEDNGSKEDDESPIAPSNLGNAIDALMMNWCQLLTNVTVKPPVPTPSTLDHVKEGAEVCSKHFRDASVDVNNEFTRLGIQWEMEELMDQAVVEEKNLDEAIERQFKLMENAREIIKQRTEMYAQKCPEAGKHLTT >CRE13029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:63696:65589:1 gene:WBGene00063795 transcript:CRE13029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13029 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3N7D5] MGGFLSHLKPEQNSEVLPATCGPVRGNIYKHNDVIVDGFLGIPYAKPPVNELRFKKPIPADTWTKPRNCYEYGPACVQTGGFEGIAGPRQKTPEEAGCLTLNVFTPRGQSTEFKSGRPVMVFIHGGGYELCGSCDYCDYSLSGTLPLKDVIVVTVNYRLGVFGFLTTDDDSCRGNMGLWDQTLALRWVQKHISSFGGDPHCVTVFGQSAGASSTDLLSLSPHSRDLFKRFIAISGSAHCDFALRTCENQAKIFREFAEFHGFTGGDSKDLFNWYQTQPAETLSNVKNFKKSMSGFLTFIPNFDGNFFPKPLDELRKEAPKKQMMTGVTEYEGLMMAMINPTLSPADNGIKLLAKESYGKDVVSNPEETQRMFYENYTKEMDASDEFAMKKKLIEAFGDEYFNFGVLQAAKSAAKYGNDVYLYTFNYTNPDGFGMWNDMLPFKSALHGTELRYILGEGAYSKFDPNEEDLKVLEKMTTLFSNFAKYGNPNGKDSNDWEKYSLDNPERHYHIAYPSSEMRNIFHEGRISFLEKLDGESEKYQELMYGKKK >CRE13059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:66187:69840:-1 gene:WBGene00063796 transcript:CRE13059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13059 MFNELEEMEDVGEEEQQNQHIPQENIPAEDFPIAQDIDELLEDAPLPDDEMIEEDEERPQTTESREKSEESQEVEEENGSKEDDESPIAPSRLGDAIDSLMMHWLQLLTNVTAKAPVPTPSTLDHVKEVAEVCSKHFRDASVDVNNEFRRLGIQWEMEELSEQSIVEEKNLDEAIERQEKLMENAREIIKQRTEMYAEKCPEAGKHLTT >CRE13031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:72791:73736:1 gene:WBGene00063797 transcript:CRE13031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13031 MGIQPQHSRNWGNDQNPDYSRNQMTNSWNGMNPNQMYGAQQPVSFAPVPPPAAHCSGFSNANPISQSVLDTPIKSEVPDIPSHAIVKSSEAPEDPNNQSGLSSSGIISMGMTETISALDLVKGIRRISSVYDLDNLEYEANQRVRDLQDNNKNISQSVDFSIFIEGIICKIKRAPAPNSGGISLKEFLMFFRSNVLYDLEAQSLEQTMQGIKEDIKQLQNSGNQKMVSIETIRSNLEALLNLTRSS >CRE13034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:78317:79430:1 gene:WBGene00063799 transcript:CRE13034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13034 MNVTFLNDPYNYSICLYVLGITSLPIHVFGVYCILFQTPEAMKRVKWVMLNLHLWSCCLDNLLSILGQPFIVPPVFGGASLGLLHHWNVSPGVMVYCMVTLIELVSLSTSAIFENRFYILFAEKSLWRIARYPYCLMNIALAFLYFVPTMIGVPDQKVARDWIFKNYPQISHFNNFDIYLVSYETKARDQIGYRMIISTENDYVSQDFSGAFRFLRAINMQIAIPAAIISTPQVLMMVLGYLDYSSPEINSIGYMLMSIHVPLLSCCTVIHRIVSFVKVWSVED >CRE13035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:80225:81391:1 gene:WBGene00063800 transcript:CRE13035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13035 MNATFLNDPYNYSFCLYVLGITSLPIHVFGVYCILFQTPETMKRVKWVMFNLHVLSCCLDISLNILCQPFIVTPVFGGILLGLLHHWNVSPAVIVYLMVTLLELVYVSTSSIFENRFFILFAEKSFWRIARYPYYLMNIALAFLYFVPTMKDVPDQKVARDWIFKNYPQISHFNNFDIYVVAYETKTRDQIENRMIISTVILTIELFTFFFLLHWNMKKAKRNMTMSPKTLAAHSAFLRAINMQIAIPAVIIGTPQVLMTILGFLDYSSPEIDIIGYMLMSIHGVSSTLVMLYCHTPYRQFCQSLVGRRLKIFRHHTTSLTVT >CRE13061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:82117:83238:-1 gene:WBGene00063801 transcript:CRE13061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13061 MDFSFLSDPYYYSLCLYTIAFFSVPIHFFGAYVILFQTPHTMRHVKWVMFNLHFWNSWLDLTMSLFSQPFVIPPVFGGFFLGILNPLGVDMRLQVYIMVTLIMMVGVSTIAIFENRFFLLFAENSWWRYGRVVLYTMNYALALLYFVPTVILIPDQNLARQVIFKMYPQVKPFDTPEHPVYVVAYDHEIRKYIGYRQLISLGTVIAEGSTFLFLLHFNIWNSIRKMTMSQNTLRMQRAFLKAVYMQIAIPAIIMIVPQIVMVILGYLYRNSPEMNSLAYLLMSVHGVSATLIMLYFHAPYKEYCSKLFCRKFQVVKVEANRASTTGTDVLPLKI >CRE13036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:84048:85134:1 gene:WBGene00063802 transcript:CRE13036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13036 MDFSFLSQPYYFSFCLYLIAFFSVPIHVFGAYCILFETPQTMKHVKWVMFNLHFWNCWLDLTISLFSQPFIIPPVFGGYFLGILNSLGVDIKLQVYIMVTLLIMVAVSTIAIFENRFFLLFAENTWWRYGRIVFYIVNYALALLYFVPTVILIPDQSLARREIFKMYPQVIHFDTPDHSIYVVAYDMEIREYIGYRQLISLGTVIIEGSTFLLLLHCNIRNSIRKMTISESTLRLQRTFLKAVYMQISIPAIIMIVPQIVLNVLGYLYANSPEMNSLAYMFMSIHGASATLIMLYFHTPYRQFCSRIFCKKLDLLKIDVNYANTTATDNN >CRE13037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:85525:87740:1 gene:WBGene00063803 transcript:CRE13037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-112 description:CRE-CLEC-112 protein [Source:UniProtKB/TrEMBL;Acc:E3N7E6] MNTILFILMLCASLTTPDKMIVIFGKPQEESFSKISLDFMSCVDYCYELDSCMAVYSASEAEDCHIFDVGQLSKVTETDESDGQKVAFKMNTTMCYESSEDNSMIVSFKKSRPHIQNLEFQTDGPPNQICNITNANGVWKFTPCPSCPSNFTMFTRPNGKWCIGVVPTIPFVTNPQAESLCQTNYGGVLTREPQDHANAFLAETFRIDVVIPMLGSPLWSAWTTVGFWINGQRKEACKTQKSSLCNTTAGFDFTDPLLSENPTGYIWGVNQPSGGGTFDSSCLHFSFNTSTKMTQSVDDYTCGVNSSTTGSAGFVGYICGVVPS >CRE13039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:89970:91498:1 gene:WBGene00063804 transcript:CRE13039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13039 MASHFDVVVVGAGIFGSCTAYHCQKLGLRTLLLEQFSLGHSNGSSHGKSRTIRYAHTDPEYVPLVGDSYSQIAELEKKRNETLWNKLGLLWTATGNQVNSISGHLKKHNIDHSVVTGSEISKHYPQFKFDDSWTGLIDPMGGVIYADKWLSAFHEEFKTLGGTIHENELFVSHEEKNGAEITVTTKVCSYTTNKLIYTVGCWITKLFPNINFEIKFVNNNVFQPTSLAVCYWKPKEKKDSHLVNEEHMPVLIVKDLEKHEEYLGLPDVDYPGHLKFLTDDGDALRGDLNHPDEQSGELINLPAKFIKEHMPIIDGSKPYKVDKCKYTCSPDHHYVIGPIFPNHPNVLVGGCGSGSGFKVAPGIGRALAEMAAGKEKTTVDISLFSPRRFDKI >CRE13063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:92416:92943:-1 gene:WBGene00063805 transcript:CRE13063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13063 MNLSAELDLVPFEKREQVKESFMNLVERDLLCNRLNSKWRNTERPTSCLNITFIMKDKRKIVQIQFVKEEIFKTVEQQAEILFPNRKWRMFCGFKNEENIEFTNSEQLRESIRQSPMIRLSYLFIRLERSDDDSRNCSIS >CRE13064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:93207:93938:-1 gene:WBGene00063806 transcript:CRE13064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13064 MDILDELELVSAEHRQEVENEYKAQKNYDEAIVTSASENSKKLVYVTFYTHSDKKIVQMYLSEKHALEQISEKVAELLPTIQWRVFSGKFGNSLKTIHVLRFSGTQSQADFEFTSSHQVCNSIKRTPICKFYYLLVRLERLHPVRFDDQEANCDECHQKIFGHRYKCTECADFDICQKCEAKSLHPEHAMLRIVRKGTTRIPNYITSNAPRYVFPSVY >CRE13065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:95082:95780:-1 gene:WBGene00063807 transcript:CRE13065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13065 MDKSEELELVPPELRQAVEDEHNNPTEVWKSGMGQLVQCSGTPGKKVYVTFYTHLDKKIIELYLEEAYALDQISKVAAKLLPTVEWKVCSGTQYQTDFEFNSSRQVYDSIKTTLIYQFNYLLVRLERLHPIRPFDQEANCNECRQMILGHRFKCTECADFDICQRCEARSIHPEHAMLRIVSKGTTHIPHYITANAPRYVFA >CRE13041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:98878:100518:1 gene:WBGene00063808 transcript:CRE13041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13041 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3N7F5] MFLYLFLAFSLFSLCQNAQIEEGTEWNLDKDSGLFQGDIVLSKEEHDIIYGPSESTKKIRSRRQIRVDPNRQWPGALVPFEYHSSLTPSTKFSIDQAMKYISARTCIKFKEDITAKDRVLFIFRGYCYSTIGKTQAGGVQEVGIGDGCSLTGNSIHEQLHALGITHTQSRYDRDDYLIIKPELFNVSIKDSIPSLSSLLFDFQSNDEVNFMKYTDTQTYNNIPYEYGSAMHYTAQTVSEPKQTVYRETLGIRLVTFYDMRAIQENYKCSCPVELDCKNGGVTNPANCSECFCPAGFGGKLCDDVPRGFSKKFVARSNWSDFEITFGFPWLDESELKRLTLFIEAPKNKTIQVQVTNIEGYTCSSGCLWNGYEVKHMGDPRIMNPLFCCTTQPLWNTTYTSKINPSPVILYNRSGQQKFAMRYRYIDGNVADLPKRNNTYDSFEYPI >CRE13069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:107187:109061:-1 gene:WBGene00063809 transcript:CRE13069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13069 MTGDTLFIEQYRGRATKLIKETSECCVDNEVFMANLTKDRKQHSLFERKQSLVDKKKALFILQGETSIQEESTRLEMVAHLKSQGFQETTERVQRIIEKINEKLTQEKAQQDQRQKERSLSGIPSDAAIGKPGIVSNPAPQSHAPAGGVNSVAQINAIEHGNKEMRQHHANYSTNVPIARTVRKECWNPSYSDESRGRRDPSFQNQGYPETTTTRGEGDSLQVANQNFRDKQQRRRGSDDCFNQGGKHSKEQFYPRIETSVQRYYMPQQERQVSMEELKCRIAKAQMNATIIQSLMATLKPFDGQPHEFQAFMAQFDSMVHENKYIDAKMKQTILFKLLTEEVARLHNPTEYSPKGYWILREGLIKQFGNPDRQMFELLKQIHFTPFPSNDLAQLINHLHMTRVYARKLMMFGVNPSDPSFQFIFAYKLPQQFKEQAFELMARRIHTFDELVQKTLEAVEFKLRMEENQMEKSHTDTILHRKSNNIQENQVSGRSSSSCLSPAQRLQQPEAHRTSTFTPPSRTKPCRYCDDKGHAAVDCSSSLKKKLEAVTRKALCSNCLSKSHGVFTCQSRFNCSSCHKRHFTGHCPDSSKDGGIVATNSDASDDKGNRDQLSRKEKDENPKL >CRE13043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:115080:116068:1 gene:WBGene00063810 transcript:CRE13043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13043 MPAASVIVVASIAFLSAQTSCFLNFFLLYSIFFKKKSPIKPDWSLIYFRFGADSVYTLFIALNMLYYLLLQISSVFNFKNFIFYLLWPSLTLGTIRATVVFLITLDRVIAAYIPVFYHNYRSRIPIIVVFTTIICYIVFEQYVLFGFCGYEIDVPLTCDNLRCAISQCYYEYWLWYEQIMYVLIGILTISLVFRLFVWNYCNGVSTSHVVTRATRIALLESVMICTFDAFPSFLLAHIPSVNFQSVGPISAASKNTGFVIEAIIICRLLIFKKESVQPMWSTSRISPSS >CRE13070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:116678:117913:-1 gene:WBGene00063811 transcript:CRE13070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13070 MNKILEYGSIENIPLYNCSEHSSRKWSKMYGVRHGYLGQFDVAYGTIINIMYLPILSVMFRPEYYKMSCFKIMICLGIVDMLSLCINSIITGVLAMKGAVWCTYPVFNYIVGMIVLGLWCASCIIVLILVANRLLDLSEHSLGKTLFNGNRTFLVMLAPFFYGLYFIIFANPVGFNSKYYTWLFNPLITDEKTDQYVNIPHCINNVLIVAITCVLYVWLYREVKEKLAAISWKNRRHDLSFQILVQSSMICSINFIASIIFVLMNIVELPIWIIVVAHKMWQLIHGAPAIIYLTLNKTIRTGVINKFLIVKKYIPLQIVQLFLRSDSK >CRE13044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:123049:124767:1 gene:WBGene00063812 transcript:CRE13044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13044 MTSSSSSIMISEESNTLQKLCAVCSRPASDFNYGVQSCNACKMFFRRHVVRTVPLRPCTGGESCYNLIPTVHECQCCRFQKCIQTGMTILTLLQKTDFHKTIQTLSEWNYNRNNTIFNCYPTDIDLTVCSIILGPVRYTPRAPYLDFQSWTYTSTVTTIDFMRKFPFLYFSKLQDQEILIKAYFVKVGSLCAAFRAYSEGNGFLTFPDGSDVLPPGVMSPDLEDLENRIRCRLVGKLTELQITMEEFLFMLVILITNPAIPNLSETGRILSSSYRNLYTSALFEYCMLTHQKYGPTRLTDLLSLSHVISKHYEDLNQYFVLLQLNNTVFQIKYIVREGLDLL >CRE13045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:126017:126866:1 gene:WBGene00063813 transcript:CRE13045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13045 MLAPIFALLAVSAVAEIIDEFNCTTYTTEFVYSPSAVVCDNTISDTSCQALYLPADDAADVLYPVAGGNAGRPFNCYNTAGEAGAANPDMVRAAISTCPKTCGFCCLTSDYNCRNVQFPRLNCATITAAQCRSTQWRTIIAEDCPSACGFCNSGGCVDGVMDCANDLSVCNAVGMQDFVNTYCQRTCNRCASTTAASSGTGTSCTRFVGDSSTACSAWAANGFCTNTFYTLAQRRQYCATTCRIC >CRE13073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:128565:130461:-1 gene:WBGene00063814 transcript:CRE13073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13073 MFLILLFSTILAVALVHQWKARKQLPRGPYPLPLIGNLHQLLYYCWKNGGIVEGYAEIEKSFGKVYTLWIGPLPTVFISDYEVAHETHVKRANVFGTRYAPGLMNYIRFDKGVVASNGEFWQEHRRFALTTLRNFGFGRNIMEERIMDEYRHRFEEFLSTGNNNKGGSFSTCARSFFDLLTGSVINKILINERFEQDDADFDKLKTNLSKGFENTGFLDIFCPVHILQSKWLKWRQDAIFKPFDWVLELTKRNIAKRVAQIESGEHVLHDEPDDFLDAYLMKKEKDEKDGLESTFTLDNLAVDLYDLWLAGQETTSTTLTWACACLLNYPDVVLKAREELEHVTGGHRSLSLNDRKATPYLSAVISEVQRIASILNVNLFRIIEQDTVIDGQPLRAGTAVTAHIGMIHVDEDLFKNHTVFDPERFLKEEGLDKKLIPFGIGKRACLGESLAKAELYLVLGNMLLDYNLEPVGEIPTMKTVTPFGLLKRPVQFSVKFVAVK >CRE13074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:130980:132639:-1 gene:WBGene00063815 transcript:CRE13074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-96 description:CRE-STR-96 protein [Source:UniProtKB/TrEMBL;Acc:E3N7G8] MNSCSTKWLHIAFYTECVGFLLSIAANCVLLIAISDKSRKTFGNYKYLMMAFSVLGMFYSTCNFISKPVSSEKVILFFMKKKQFQNVHITENSFIVFTVLRYSKLNKFLGSIAVGIYSSCYAMMLSLLSIHFFYRYTSVTAPLYLASRFSSKSFIFWTLFVVVYSGIFGCSSYFLCGPTEIKDRELETEFQNSYCLKPEEYAYLGPQYYYKNAENGERLFHAPSFIGIGIQTFLMSSTFFFVIFFGCRTYSVLKENGLTCYASKELQKQLFKTLIIQTIIPTIFMYLPGSIMFYLPMFGVKIETMANSIPVFVAIYPCLEPLVAIMCIKSLKLRSSSELRIKKMDLLMS >CRE13075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:133819:135093:-1 gene:WBGene00063816 transcript:CRE13075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpr-3 description:CRE-CPR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N7G9] MVSKFLIQLFLLSTTYAFVVQENYAPPALTTHLTGKALVDHINTAQTSWLAEHNVISDSEMKFKVMDERFADPLPEEESGEILVSGEIVPEPIPDTFDARENWPDCKSIKLIRNQATCGSCWAFGAAEVISDRICIQSNGTQQPIISVEDILSCCGTTCGKGCQGGYSIEAMRFWKSNGAVTGGDYNGNGCMPYSFAPCQKSPCVESTTPTCKTTCQSSYTTANYTTDKHYGTSAYRLATTNNVVSTIQYEIYHNGPVEASYKVYEDFYQYKSGVYHYVSGKLVGGHAVKIIGWGTENDVDYWLVANSWGIKFGEGGFFKIRRGTNECQIESNVVAGVAKLGTHAEKGDDDDGSATSCSFVMCTLMMLTYYLF >CRE13048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:144323:145664:1 gene:WBGene00063817 transcript:CRE13048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13048 MADPDHDYFNDYTEFDIYKTIYRASNDLNIFLQFFTVIMNILHLIVLLQKELRCGAIYILMIGICFADILNFLLDFYNVGFERAWWTRYILDFNTYCADYTYVVINPIYLFNNTLVLITRPVAVWLSILMALIRTLSVYFPMSTWINNLTKSKTVVKIIVLVYAFWFVFYTCNLVFYKIVWYPNILRKSCEYYSLHFKIFAFHVLVVTYRDMEFQLKREEWEPFVRFVPTVFYPILTLALILQLRTIKKKQRKVNKNALSDRSNNTTNLILFMTGSFMLSEGLAGLTGILAQQASKSVAENETEENINWLSALGTFQFICINLRTINASTHPFICFAMSSQYRETVKRMLCFGKKNNGKTIKVSTASAVSLQSITERSTDRKK >CRE13049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:146531:147933:1 gene:WBGene00063818 transcript:CRE13049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13049 MTDYDYQYQYEYKSSPTSSSSYPSYSYEVSKDYNYLEEQTVYDKIYSYSSDVNFLFQAIVILINLVHLLILIQKEIRSNTIYVLMIGICVSDLLNFSISIYLEGVDRGWYRRIFSFSNLDCLREDYEIFNVGYEVFRALQNSTRPISVWLAILMALFRTITILYPLKPFTAKISSFYFALCQILLHSFTWLAYYSWNFLFLSSLNYPQNLCDDEEKKIVNRNSTQYVFAISVHFYEITNTRESWEHWIRIVPTLSYPVLTALLLFKLSEIKEQRRHANERNNKKKEKFDNLTKLILFMTISFMLSEGIDGVRSFLIIDAFSWGSEFPNLRLVSILPFHPISSLSFRKTLLSSHYIITSLRCFNAMSHLFVCYAMSSQYRNTARRILYLEKKQSISMKVIPVISASSTSPRTSRK >CRE18279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig390:4578:6449:-1 gene:WBGene00063819 transcript:CRE18279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18279 MAGFNYPRLKMTKKPRIYTTTSLPASEIPIQPDYDSDMEFYNKISMACAMIDLILQSLTIFVNIIHLSVLSQKELRGLSIYLIMIWIALLDTTNFCIWVFNNLDMFFRMLSIPGTPCLSYDFTEFTAYNFFTTEANFISQRLSTLLSLLMALTRTLSVRFPMNNLVQSMTSCKMTLRVMVLLLLLFMGISFWPLMSLKKLWLPDYVNPSCTLSPAELNATGYVWAFPKSVTNAIEFSTIFDKLFMKFLPAIGYPILTILLIMELIKVKKRRSKMQNNESKDNTTGLILFMTISFMLSEGTAAVQGILIYNHEWFDDEEVVNAIAFSSYPIYNLRSLNAFSHFFVCYFLSSQYRDTLYRIMCCCQEKSKRNKVSLMKISLLFNLELQLTIAQSSTSNSTTK >CRE25773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:218337:219254:1 gene:WBGene00063820 transcript:CRE25773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25773 MGIDETLVEKIVKPHCIKLKTDRDHSRNEVNESNKSADEVEQQTEIRSDEDDNERDRNVNIPSNRIEEEEEMNSEGENRGEEENEEAVVDNGRDENEIELTEEDLEDLAKDLGKGVFENVMNKASEFGGSKWESEASDDDNNNGVDPNSIAAQAKMPSDSGNAGVEVVEAEEDLDGVVLPDEELSDEELARIAAESSKPKEPVVLRVLTINTQRHSAKSSRAYRNLSASVHVDTCTPRGNK >CRE25817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:217192:218035:-1 gene:WBGene00063821 transcript:CRE25817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25817 MDSITQLQQQLDASKAEIESLRSDIYIKDRKIKHLEIKEKYGYVKRTTARRHLGLEVTQLEVNRLNEKIAVLREESIKKDDQLEFQKSRNEKLCSDLSSEREKVEYLTRSIERNFKSSGDGTDDSTLFFLSSQLRRTRDELKNKEEELTTVRYENLSCSLKSFRETKRLENEINELKRDNELLRNQMKDLQKEMNAKEMKSKETIRQWSNRNKDLQIDVNLHKMTIEELEYQLADLKEDCENQTEWNHNLKSMEKTIKLLELN >CRE25772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:215715:217059:1 gene:WBGene00063822 transcript:CRE25772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25772 MRFDFRTVSRICLLLIVVFSIESEASIIHKHKAKVVRVVRDNAEEKTRLADGTKFERFLERDVPPLPIEEEEADDLNDPRIGHLDKDGGIAVPVDSEGRVLKGFEYLLKPIEIVLDDDETSRSRPSGDQYEVSKDSENGKQKDYKPYHNSQDLAPKPEFLRDGQETNGEEYDDVASEPRESLPDIPDLPKVEYSDSGELDEDLKAEDGAGEDDDDTRVAEEDLSDSERRIVGGE >CRE25771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:212642:214378:1 gene:WBGene00063823 transcript:CRE25771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25771 MSLKRFPNAEVVPSEILGEKLKFPNGRTAQNRFLKAAMTERLSTYSPEDLKKHGLPTEHILNIYDKWGHGQFGMTLTANVLVDPTNLEAVGNAIIFKEGDSSERRALYTQWAQKMKQDGSLAIVQLSHAGRQTPSYVNPSPWSASDVQLKTPDNQYGKPIGLTLEQVKIEVIDRFVYAAKFSFECGFDGVELHGAHGYLLSQFTSLTTNKRTDRYGGSLENRNRLILEIYDEIRKEIPSEDFLIGLKTNSVEFQSEGTTLEDAKEMCRAYEERGFDFVELSGGTAEKFLFNHERESTKKREAFFAEFAEQIRPVFKNTVVYLTGGFRTVGAMVDAVQRNTTQGIGLGRPVTAEPDLPKKILNGVVPSAVKDEFNQNEMGKTIMASCSQIEQMGRKSVETAGGNLMDQISDFTDGKLVAKYDEAVVEFMIELKKDLSEGRTPKSIIVFN >CRE25816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:209569:210988:-1 gene:WBGene00063824 transcript:CRE25816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-121 description:CRE-COL-121 protein [Source:UniProtKB/TrEMBL;Acc:E3N5S2] MKVDVARLGARLICLVSALTVCFTLISFIHISSKIQKTENEILGKQAAFKAKSQVIWAELIETGRTLRIPRNAYGASEEKKGILHQCSRKFSSLLILSPSLLISECTRLHCPQGPIGEEGSPGNDGQPGTPGKPGSPGLEGTDVDLEPQDELPCSICPSGPQGPRGAQGERGLPGNPGRKGKSGKSGHPGQPGGLGASGAKGPTGPRGTNGLKGPPGDTIIAGEGIKGPPGMAGSQGPKGPPGNPGRSSNIRGPPGKAGQQGGIGATGKFGKFGEQGGIGAPGEPGLPAAYCPSDCGVNTILSQFGGRAQHPESINGEDNGAPASSNPEEYAQEEPVEAPPAPPAPQPTVQQQQETAAAEESFEESSYRSFFGH >CRE25769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:198889:205442:1 gene:WBGene00063825 transcript:CRE25769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-15 description:CRE-DAF-15 protein [Source:UniProtKB/TrEMBL;Acc:E3N5S1] MEEDRFLTEFSSFAERLTVNMWHAQRNVERVTLYLQEPRHIEDIAGSMEDEWDCIEECVNRRKGSDRLRTASVAIIMCLHLGVDPPEAPSRKDAPARLLSWVDPYKCGAHKAAIEVGLSTQRAYEKWQPKSKTRYKICTDPPIDDVRKVATNLRRISGNDRVLFHYNGHGVPKPTDNGEIWVFNKSFTQYIPLSIFDLQGWLDYPTIYIWETHSAETILHNYRRFGEDQKISWQSRFDRWQEEQRNLPPISSKMTDADQAEALGFPEKYPRYNDCIHLAACSAGQWLPMSNPHLPADLFTSCLTTPIRTSLAFHLSHSEHRDEYPENILDMIPGNLAERRTVLGELNWIFTAITDTIAFTSIDRDSFQKLFRQDLLLATLFRSFLLAQRVMSKFDVLPVSQPALKDTSRHDLWRCWDQTMDMVLDYCRELMYVKESERALFCGRDVINRSGVFPFLVLIDFEHVLTFNETHQYNTFFTEQLQAFELWLDYGVDEGTPPLQLPMVLQVLLSQAHRVRALRLLARFLDFGRWAIGYSLAVGIFPYVLKLLQSNISDLKISLAFIWAKILAVDPSCQMELFNECIDESASTTQSPAQPGQQQQQPQAPPQPSVALKPSAMFANHPPPPQLTMDKRALTIGQQTGGRFQNNQNQALDMQLQYAHQQAHQRQMDPAGQHSPTQQSKLQHQPAPQQQQPPPTQQPPQQQQQQQQQQGQQQGPQQGPQQGQQQQQQQQQQQSQQQQRQPGGIMKPTTQTQEKKTMLRFQYFLQLLGDPDIKPKQKTVAAFVLAQLTSNNFRLAQKELTNKGYMGICTELMVDDTARSVKLLKLWILIGLGRLWSDYDQARWQGIRLMAHDKMILELSDDSAEVRAAAVFALGSLLRNSSRSNEHASAVEENLADEMCAQCVFDSSVLVREELIVALQWFVFDFEKRFVKFLLDLSNQIKFKLPRRRNSSENENEEGFDIAAEMPSNRRREPPVNEFMQPSVMRKKMSTSVFSTAVEEVVQTDPISGHVYLVGHDEGHHVERESEDIEYRERARAQIKHLEAKTFNEAVARTWLSLLRLSLDPIERVARMAQKIVHRVEAGIPEMQANIDNTMAHLNRKMIARKTSRATVEMPRRPVGPNEEVSKLADQIKRNLEVEKHLPTIPETGSNSEGIDFTQMNDQVHKERPRGRGKGQLKRLQDESKVKFQVGSPGDLNQHHRNALSPGSSFTDETQSSELFSKDETDSEEEEALRNQQRQIQEEEVSITEEREVEREVERDVATTASGRTLKGNFRKSDFIDAATMEFTPRRTKAVPGDFAVKEESKTLRNVIENPIVSTQFVAWCSKVFVEPILHVITLDEEKPDDWEHEDEDEFMPDEYREKIKEIQKQDRKLHEEKRAKDKKEGKKERSQEDVVVTTTTISDWAIHAMEGMLQSAEVEGRDFQNTKYDECLWRVKLTHPARSLITSKLRRCMYASDGQQMTIIRQDTDTRCFRRFDLTASNPFCPSYVSQLILINDMSREMVIACSSNGIVRIWDPYFFGWCDEYEKTPELVSASFPLEAQMKLSDDTNKCLFDWNQQNGKLMCTGTRSVRIWDAHCEKVCQDVMYSPGSKQAGGLGVKLDKHTLPTAMSGNLDEEGNMIAVGYGDGRVDYFDMRMANPKAARCSLAPANVGNQFDVKPPGIMHLRVNRKGFGTELFAGSQDGSIYKLQLRMFKEATPSIITPWAPGEHSYMFVHEDSRILASASNGNLAIYDVAQNKKMAFIAPPREVDMIRREKSSGGFGIFGSQRKLSAAQMGARMNVVAAEKKAPVPKIISLTMHQMRLLTVAGYSDNTVCVFGSNTPGLTGHYEEIHDASVAPLN >CRE25767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:194052:196053:1 gene:WBGene00063826 transcript:CRE25767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25767 description:Aminoacylase-1 [Source:UniProtKB/TrEMBL;Acc:E3N5R9] MENIAVTRLIEYLKINSEQPTPDYGACLKFLFNYADELGIMRRSVETAPGVFFIIMTIIGSLPELPSIMLYSHMDTVQTSSDWTHHPYSGYKDENGTIYGRGAQDMKSLGIQHMEAFRNLFEQGIKQWKRTIHIVFAPDEETGSENGMKGFVKSEEFKKLNIGFSLDEGGPSQNDIYDVYYGEKVTWFVNVTITGSAGHGSKFIKNTALEKLERLLYNTRKFRNEQETLMHKNNLTLADVTTLNVNIINGGVLVNIVPEKIHVSIDMRLTPNQDFGKMRNCLDKWVKDAGEGASYQFVQYSDFKPVSPSTRDNPFWAAFEDGMKEMNCEFNKGIMAASTDARFVREAGIPALGFTPMVNTPFLLHDKDECLNEKEFLKGIKIYEALINKLANVDG >CRE25815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:184582:190754:-1 gene:WBGene00063827 transcript:CRE25815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25815 MTVPPFVKSCTVKLLLPGALLAAAIIRPSFLSIGYVVLALLSAVVPPIRKSLALPKIVGTFVTITFLFCLAVALGIGSYQISEQFVHKNDRTYICNRSDTTLFRSIGLVRFHPTGTFDSTRAFLPEIIALSASLLSFIIVMFMSHRDEQLDTVGDVVTVRSESGREQRRQRKMAAIMWSAIGNSLRRLTNFVIFLFTAYVGIVKPSVSNSIYFGAFLFISTWWATYTPLRHGVYNQIKKFLIFYSAIHVLVLYTYQIPIVHNSWLPTGSFLSRLFGLTVLMDSSCPEWWKFPFVAPDFNDDDLIMKWPLYANPIVVLIFYYLTVAQYKFTRNGSRQYIDDNDYGSSVHEEVSFRFNRFLLLHRFASGATVDTNVDDVGQLISISESSAAPSGRGRGNTLLLSTASSSHEDEQGRARSRSPLRNGEGQNSIPMRKVTSQVVDRNKLSNIFNSPGDKESAASKGMIAVMTFVIFHSYSIALTAMMTWALLYHSIFGLILLILTCILWIFRDTRRSSFTMAPLILLYIEFLLVLQYFLSMDIHQEIGDPAWMNFVGIEWATLPVHALIILCVQTLLSLPVFLLLRLARREKYYESLSDFERQRRINSYGTFGASKTGAGGVAVAKDPKSRKFAAFVEYLSNKVSIYFIFVVSFVLLLVSIHFKPNFYNILFFALWALNLIYLKFSFRLYRGLAYAFWLTLTFYTSFVIIALYIYQFPGVSQWIIDNTNLSKEWLDAIGLVDYSAIGESGALFLQLFAPIALFVVTMLQLKFFHGPWSRATSPRRAAEDPPTESAVATTSETGRANAGGRLITDRDT >CRE07135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1134:9:5448:-1 gene:WBGene00063828 transcript:CRE07135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07135 MVYGEFVHILDYYFRQLREYSRQAQLERQGDPERRRSSWSPKHWFGVKNGINPDDILKLPIHFDDLPLSPSSSHPANIHSQHHQHHNYPDTTLSSSSAPSTSNYLSTSQYSDHRRSLYKVDVEKYWEQLLFHKLLPSPTDRNMLTKSIVSSSGDSGIYDDGSAGIMPYIDEDDDLTPIIVARPPIGSGYSNGRNGWHRSPRQYITNWWSDTLIKKLHVLVNNTIELLWRILEVHISKIVFIVIASLLSANMDAIFIPLVILLSLAVCLPSAADGIFSLFMCAYLFIIALTKMVYQLDVIPELSGIDRGVGAENCTFSNISMADWFGLKKEQHSEPIYMIFGVIGSIVALAFQSIVMYRQKHHRSALGLPEALRGKVFPDFYPAQYDRSLKHAIQFFIDYGFYKFGFEITLIVMGVDIWLRMDALAAIQCVWLLLFALNKRLFNRRLWVFYVIYMSIIFPLEFIMYVGLPPDSCIEYPWSEWLSSYSPDVNYNLGMLLNLPTYGRKWKKVYFLCDFFVLLLASCQLAVFRREGEDNDSIYKDGQYIIKHENPHHDFIDSKKSYVDYFKSFVFHYGHWITLMATLGAGIAGTSLFALGYIIFTLTMLWSGNNLYVMNARLRSFEHTLKRWNILLSYTLLSITMKVCIQLFGCVFLSWFDKTGTAGKVLCITRQLFSIICVNDQCHDLLDLDSFNKSCMVDKKEAQIGFDIVALGFIVFQIRILHSWYFQHCMVEYRSEVILANRGAVLKNQLIEKEMKEQNEQQKAKFNDIRRRTEAIRDRYQKQIERGSVERDFEPKTYGQAKRAGDYYMFKYDPENDDLVEPVDSFVPEMDPKATAYDRLDPGQ >CRE25813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:169380:172085:-1 gene:WBGene00063830 transcript:CRE25813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25813 MDMVELGRRITTTSSGRLSTFSSILVFNEIGDPQLLWDQFQKGMSENFIHIGCSEEEAEALAYDQIREKMARFSKSIESFISPPTYIINSPFTPQMDFDEIGEEGARLYETLTLEQKNACDDSLAALDDPFLPRLFYLDGCGGSGKTYLAWTGIASTLLPDGRTIASMYKIDINKDCRASLLKLNSKEAAALRETSVFVENEASIISKDAVETMDQEKSSSWAETFDKFTKSSEEDPGPMYGCLKMSSLWSQFKLIRLTANMRVTSGDKEWINFLLRVGDGSANDFTNRIQLDPDVYTNGDLIEEVFGSNLDQFTDLSESAILAPKNVDVDKMNEEVHNRMGGSEKILHSCGEVVDDSNMKVVLTEFLNSINTSSLPKHRLKLEVGSIRFLRNLDVASGLCNGTRLTVLELLRKMLESYRENSLNFYAEFNDFQRLKHSKVAAWADGDVGLIRSATKKFFLELRDIRRGEQDSEFICHFETRDADLGGRPTSTLAFVKTHGEADVLKYNVKCLHYGPKGSNSGQVPDVTEFYIYKLLSLIDVGPITHIVPPETTTGSKTSTYIATQWDDRFELLKDVIGKNKLCEDVAVQLVMLRVLLFIADLHQENCGRWRGTTNAAIVDFAPTSDFEVYEDIRFALRTRFPHRSWKNEYTAVRNKFDEKSWLKIGKVHFDRWDLVNKIELAREEFDPTKGILKQLEIGIKKRRSRESPTDQLKRF >CRE25812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:167322:168102:-1 gene:WBGene00063831 transcript:CRE25812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25812 MKFPIVFFLILPIIATYELDIYERLRNLTSPEYQDQFQFIIEDLSVSEEETNRKLIELATSFDQQVFRSSSKLFKILFFQNLFRRELVANKILRNMLYADFLSATQRIKEAKRQAQTILANRNRTAEEQYEAAVKLDKHFPMEMSVLLDICKGMGYKNAKEVIASSLKDIPKTVQLAKDLQKYADEAQENGYAIAQALNDLPFVSRKSRLDAREDFLKLTPKAMVVHDFVVRAVMEGLNSILINK >CRE25811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:165741:166783:-1 gene:WBGene00063832 transcript:CRE25811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25811 MTSPTRWQKILYRKQPFSDNYSGGDAQFLKELRKNVSVVHYDYKSAVFGCMNFLTHLDMLTMYFVLFLNILHSNWSPNILYGVFTSTIVFYLFFCKFLTPNVANGKEHARTIVTLFLFAYAFTPVIRTLTTSISTDTIYSTSIITAILSCFFHDYGVKAPVVSYPTSVSSGLSSAIFLLSRLEEDTPTLLLLVVAFTLHAYGAEFRNRLFHVYPSISSILFCALSLFSIYCISSFSVELSVFWTLLHVFILFVCPLILVLKQTGKCTIHGPWDEAVPIKSVNR >CRE25810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:163660:165371:-1 gene:WBGene00063833 transcript:CRE25810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-26 description:CRE-VPS-26 protein [Source:UniProtKB/TrEMBL;Acc:E3N5R2] MAMLFGFGQSADIQIRLSNEDTRKVIKSRGDDGNMHDNFLYYDGESVTGTVQVNLKKANHKFEHQGIRIEFIGQIEVYYDRGNQQDFISLTRELARPGDLTQNAQFPFEFNNVEKPFETYMGTNVKLRYFLRVTVIRRLTDLTKEIDLVVHALSSYPDNDKSIKMEVGIEDCLHIEFEYNKNKYHLQDVIVGKIYFLLVRIKIKYMEIAILKTEIVGSGPNTFKESETVAKFEIMDGAPVRGESIPIRLFLAGYDLAPSMRDVGKKFSVKYFLNLVLIDEEDRRYFKQQEVTLWRKADKVMRRPGSDEAEEELQTSIPGTQKFTAPAPVEHPKPESPRSDPKSGSTSPDDNSDSS >CRE25809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:162673:163303:-1 gene:WBGene00063834 transcript:CRE25809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25809 MNAEPHDFRDHKAHMKWTQEKEAYRANIPMIPQDMSGGSRGQTAATTVLQKALNNPLVPLGMLATTGCLIGMMVATLRRSSRDAQLFMRGRVVAQGLTVAALVGGAVMFGIGAPTDAALRAPGKGQANVVSLTPPPAVSNQH >CRE07918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1015:25558:26751:1 gene:WBGene00063836 transcript:CRE07918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07918 MDFLNDFETSDDEDQTKTQKTPIYPPFSTNLHDKFWFRDVSKENQTATAVNEIDLFRVADYFYTGDFEAAENRLKELRENTKNNRTHEVMLIDSLLQCSIGKKEKLSSSEISSCLRLLTDYESLLVDFGDQVQFLRTKALLLAKLPDDTRRENFRNTMALLCQLCGSFENWQLFENGAKYFSDLEMYGLKLKTKKVLHYEIEHSRGFVKEKLQKKLKRIEDETKVIEKKLQRNDIDLILSSLNSHKDTVNSSESATSSQFRAHDSRSKNKLIPSSDQPAVISDFFHRFPFLSSS >CRE25764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:154572:155498:1 gene:WBGene00063838 transcript:CRE25764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25764 MRSAVIALLVFVAFSRSDFLLPFSLSEKFINFTAQLEKPTNNDTSCNLAQLNYCQYSFNQNFGLNSSVSYSNGSQIFETVQNYLNSNVTQLSKICRARTNFYHCLGHTYYTCMNLHTRLESNNTDSSNGFDYVRTFRGLEWICGGGYQETINQWNNFDDIPTSTPYRNCVNTFNQTVSTVHFCSSVQQTGDCLNTVYSNSTGDGSAGHYGCENFRYTFAKACPGLKCTIGK >CRE25806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:152717:153643:-1 gene:WBGene00063839 transcript:CRE25806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25806 MRSAVIALLVFVAFSRSDFLLPFSLSEKFINFTAQLEKPTNNDTSCNLAQLNYCQYSFNQNFGLNSSVSYSNGSQIFETVQNYLNSNVTQLSKICRARTNFYHCLGHTYYTCMNLHTRLESNNTDSSNGFDYVRTFRGLEWICGGGYQETINQWNNFDDIPASTPYRNCVNTFNQTVSTVHFCSSVQQTGDCLNTVYSNSTGDASAGHYGCENFRYTFSKACPGLKCTIGK >CRE25805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:150981:152475:-1 gene:WBGene00063840 transcript:CRE25805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25805 MADSMSDAVEQIIVSIDDKISGYQVVEKIDEGAYGQVFKVTKDSKKYAMKIEPKRLDGAHSTLKKEMEIMLELNRQNAKYFPTFSKGGMECKFHILVMTLLGDNLKKLRQKSSNPNSCSNGTWSRIGIQCLYVVKQMHDCGILHHDIKPSNFVIGDSDSEILMNRVFHLIDFGISRKFVRTKKGGPVNASQPNDLEFRPFKKSIDSLKGTPVYTSPNAHNMVDLGRLDDIWSLMYMIAELVKPLPWSHLEGKQLEKVKLKTKLKSLFDNDSFDPIEEMLRSGNFYSYPNYETVYNAFKSVYDNSGCSWLDPYDWETRQMPSYARWKEQADQRRHLFAWEYSEVSTYFKKDQWSILQVELEKKSKDKKDKKKKSVDSKKNKDEAGTLSTTTCEEIASTPVKKQKGGPLKKAVTPKPK >CRE25804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:149207:150109:-1 gene:WBGene00063841 transcript:CRE25804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25804 MLGKLVFLAVIGVFLVDATCDTTKFVACQDKFADKLGIDRVYNWLNPLGLTLQIQDIYINGGVAAERGLNVVCNAYNSMVQCLSDASTTTFECFDIGYLLTHSSAPNQAYSYGFLMSMLQYQCGAGFYLASDNWQCMQRIYNGKNATMYGCITDFVLNAQEDPKRGCNYVQTGMDCFSKASTLQGCPDELKYYGCESFRQYSLPQFARCDKQCTIDTQYRGI >CRE25763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:148379:149073:1 gene:WBGene00063842 transcript:CRE25763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-17 description:CRE-VHA-17 protein [Source:UniProtKB/TrEMBL;Acc:E3N5Q3] MGILIPLVSVSAFWALIGFGLPWIVPKGPNKGIIQLMIVMTAVCCWMFWIMVFLHQLNPLIGPQINVKTIRWISEKWGDAVPNNN >CRE25800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:138185:140156:-1 gene:WBGene00063843 transcript:CRE25800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25800 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N5P7] MMSEKWINPWIGICNTSVLIASGYVLWACAAKKEKTINSPPSVASNETRLRPPVPSHEAAKVEKNSSVSSDEKNTDSLGEQEKEKKILKEGNNKKEKKKSKILEVKGSGEEDADGKNTGSKSKEEEKKEEKGKKKEGSKSRKSKKSKMKILLEKEKSRKSKKAAKEKKKEKSESDDEDNERPPEPGSDPRKIWAFKKCQAICKLHQDKIKGYMPPNCTYSAYEANPDLNRYTDVRCIEETRVILKNHERDYIHASWMRMPGKDQTTYITTQGPLPETLTDFWHMIYQEKISYVLMLCTLFEGGVEKCVLYYPEKLGEVVKFGRYEITLTECKEEAVANTTWNALTVVDTLDQNSDPLYINHVQVVPWWPDQLAPEDASPMIALYKWVKQVNPKGTPICVHCSAGVGRTATFVGIDYATLRIMENPNIEMVEIVREMRSMRFQAVQSHMQFLFLYVVLMQYFIEDGIIERTGRIEAFMNQYRRHAQKKLAKRAAQNQQGEKAAAEEKEKEKAKV >CRE25762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:143600:144157:1 gene:WBGene00063844 transcript:CRE25762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25762 MSGRQGGKAKPLKAPKKGDKDLTEDDIEFKKKQQEEQKKIKEMAAKAAQRGPLLGGGIKKSGKK >CRE25801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:142394:143485:-1 gene:WBGene00063845 transcript:CRE25801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25801 MNLLLHISSIPGRLWLWFFDRFIMYPLMKIVAPMLGVRFMNLGYWPSTSEDDRKLIKFMDDADDLEEYESDRSHIHLYEKAMSMHPKYPNFQDLEVLEVSCGQGYSLEWIERWHGPTKCLIGCDKVVTRNVNNIVYGNATDLPFADKSFDFGKKFQNDQAAHLYSDFRKFLKEVSRVLRSGGTFCYVDVRYPHDAYLVNEIAESFGFILQHFEDCTEEVVEGLNYSARKYDDLLERAPFFVKLFKKSLRETYCAPGTDGYERLKSGQKMYVAASWMKN >CRE25798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:132893:134066:-1 gene:WBGene00063847 transcript:CRE25798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25798 MVYLHLKTSCKEVPIGDIISTGYDQFFNDLSDNCAEFRGLKTMDRYRLLYNGKALTEVDLKNINDNDSLRVVYLQKSKNKEPVAESHRQEVDSQLQMIATPFRNPEDRRLHGFNHDFGLPEHIAKLIKAHPRLVFDNQFACVLRDWYLFRAYCIRNNFKDDESRNSFKYRNPDFLKIVMGLIGKIGARYGFAVGSNQRLGQPGNAPRPAGVPAAQPITQAFLQNALQAALAGVGAPPAARAPPPVAPFLQHPAPAPAPAPAPVQPEPQQEEPMQEGYEQQAAILREYGFENAELIQLALEQTNGDIQAAMEFLIELQN >CRE25759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:130627:131981:1 gene:WBGene00063848 transcript:CRE25759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25759 MSFPLLRLPVLVHIEVFKHLEFQEIFLLSLCSENMKYLVHGIKLKPKEIQYTLGDDMIQVSIGPTSKDWQRLPMAVVECVSFIPSEEIITIKLGSERIACRFVENSSDEEYTLIFECLEDCLSEVLVLLQYHLEFLFPKDPCVQVQIKSVDCLLAADVLYNVTNTAIKFDEFTPTELENHLANNPNHESINILSKPSGRDLKCNSKLWKIKGLSFEHSRTITCRIMKYFTGRCLILLNANLKYSPWIQLIQKWKKKEAYGDLHAVIVRTPEDVYQEIDSDKLLRECNASQWDGLRRPRMFKFDPKIINFSQREPQMWDCSDLYDIQQDNGGKWASIKITETEIVFVVWD >CRE25797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:124029:125254:-1 gene:WBGene00063849 transcript:CRE25797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25797 MKMSKDPKKKEISNTFITMSTISDKLSTDQNYFSVPAPPKPTKICGYFGVHKVAILGILFNAISLFSFYVYVLVRLIQKTPKNYVEFIVISSVIIVLILSFSMLFIMAVFRARPKFLLPYMVLNTILFFAYAGAIIGSTITVPDEIREEVNGQDRSYKIADIILLRVAFLLFGAFEIFFIYSYIWTYIDVRRLYLFNRR >CRE25796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:120619:121833:-1 gene:WBGene00063850 transcript:CRE25796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spr-2 description:CRE-SPR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N5P1] MSDEPAAKRSRNADEFPNLRSDVAGDVKEKLIELDAVQHQLDVMSEKAAEEVLRVEQVFNKKRMPIYEKRKNLTTKIDNFWQTAFLNHHLLSTAIPEEQEDLLSALRDLEVQEFEDLRSGFRIVMTFDPNEYFENTIITKSYYLQSETPSTQITEIKWKENKKPPAKPEDESAITFLEWLNYAAPPDSDEIAEVIKDDLFINPLQYYVMPDMQEVDEDDIEGFLNEERGVDENGQPVRGYAKRVNVSINPTGESEELGEEAVEEMDDEETAEDEEVEGEDGEDEEEGEIEGEDVDDEDDDGEEGALEEDEDEEEAEGDGEGEGEDEGEGEEGGDEENDAEKAAAELGPAEEL >CRE04488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3331:3990:4718:-1 gene:WBGene00063851 transcript:CRE04488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04488 MLLPSRFTPLARAIPHRCFSIDFSHEKPAPHQLEHVTTRLVETVPLMFRHRMDYTFYRKDVVCDDQIFSVQKRGIEQLMSHFGMIGTLGQVFLPHVEMEVLSAVPVIDEGTVRFRWRVKYVSFLRLLTNPRLLRFDYRVQNLSWFDGYSVLTVDGNGDVFKVTLQKMQQDEQKSLITSTTEKLKEKLAPKTVNT >CRE25794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:114732:119135:-1 gene:WBGene00063852 transcript:CRE25794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rad-26 description:CRE-RAD-26 protein [Source:UniProtKB/TrEMBL;Acc:E3N5N9] MSEDKTEDAVTEEPLDEQGEVEEVEGEPNDDDKDEEKEEEEEEEEVKKDDEEEEEELINIRIPTQTKKSLKRKHIRTDFNAADMDADVSEAQRLEKQRLERLEKIKPDNDHIQQMESLFLAGAGESTQLPQLDLDDDDFEQMFGFSVETDNKRFRGDYEEQKPEAVFHQQQPSTSRGIVDVVDLTLDDSDDDCMITDIRSVAPQQIPGRRMRWAQAEKDRKDADQMEFQHSIEARRLKKMRSAKDLESTEKKGGRLLVNAGHPEEDPDIFVIGHLTHVLQPHQLGGIRFMYDNTIESLGEYKKSDGFGCILAHSMGLGKTIQVITFSEIFLRATKAKKVLVIVPINTIQNWYAEYDKWIPKFSDTGDRIRNFEVFLLGDAVKSFDQRVNLIEQWDQKGGVLLIGYDMFRLLIKMTVPKKAKKGRPKLNLSGVSAGLSRDQFEDSKDEEIEFETGYTNGGRIRQEAFSLLRSALLEPGPDLVVCDEGHKIKNITAEISTTLGAINTKRRIVLTGYPLQNNLMEYFCMIDFVRPKYLGIRKSFIERFEKPIKNGQCVDSSPEDVKIALQRTHVLVELVKGFVQRRTHHLLKKILPESKEYVLLLRKSQVQRQLYRNFVLWAKNEIAVNNDTVFNPLMAFSACSKIWNHPDILFRVVEQKRKAEDEKKRVEFMKLQVQQHQQHQQQMMQQQQHGMMMMMSQNGMMPGFGAQFHPQHSNGMNGMIMQNGSWPPNNYHINSPFASVSSNPSTPSTSAGTPTKAGKKQRKTKSSKKSAAKSDEEEEVEEKESRMRYDWTFQLFETYQEGVLENGYKIVVALEILDESTCIGEKILIFSQNLTALDMLEEILRKRQINGKNGPGERWEKNRNYLRLDGTTSGADREKLINRFNSEPGLHLFLISTRAGSLGINLVSANRCIIIDACWNPCHDAQAVCRVYRYGQQKKTFVYRLIMDNSMERSIFNRQISKHGLQQRVVDDAQVDANISQKELETLLMYDEAQDVKNDTWNTDDWDFGDHVLESITKKMSHLFSQKPFLHETLIMESEQSLSEQEKREAQLLFERERRMENYDPMSGMNNPNFYNNVPGTSMGIMGPPPVPMFNNSYNNQWNNQIPFQPQPPYPMQSFSPQQMPNPSFQPIPLQHQSPDPHMMPNPAMRPTPLPHTLRMNVSQFHQPGGHTMTSYNNGYSNNNNSMGPQQMLAPIDPTAFPGASTSKKPNVTNAGIVQSLVTDRALVFPVVGQREQLRSVPVNTPIQLIIPKKGFYVKLSDGSILDAIGSVFENHAQQLRNVYLNKNTNPDPFLAQEVIDLD >CRE25793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:112161:113952:-1 gene:WBGene00063853 transcript:CRE25793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25793 MSETSSEAMENAAAPVKLMDRNAYYRSPRSANPWPSPMSHNTGTIGNTGGSSEPVKKYGGGFGVAKVDGQSTTARAGGATETISNFDDFSGFTFRKDTSARSGFGGSFGGTALPRIMSVDLNMTKDRSVPPSPPDVTLLANVPRRGGFGVAGSPRSDGISPTKRPRSGRRTGRRGRSGPGHCFHCQEHGHISRLCPKKAAETDEFDESEAVQLLDNDSTKKNASPAGRDGLYQNAKLENQKARESYYGGYNYGNNKSSVPMKSAVVGTGRSVGESSVPPSEVDKFSEQLDNALNLHTKTITLQPRGSSASTVATKAPSARITPNKAPVAPVTSSGVGIRDETKETTIDTLTIETRRTVKREGDIHFEETTTICFPRVLPSNVRIINAQIMPVSQ >CRE25758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:109625:111911:1 gene:WBGene00063854 transcript:CRE25758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25758 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N5N7] MFETEQANTEIHELCHQMIARIEKFGSLEGFADSDILEMLKMMKELLEPLPCLLELVAPVIVFGDIHGQLSDLLEPLLSFRFVKQVGRPPDFQYLLLGDYVDRGDKSLETVVWLFCMKILYPKKVHLLRGNHEVRRVNALYGFKEELVRKRNDHMWKVFNDVSLSVNESPNRLLQVFAELPICASINRKILCMHGGISTEIKSWLTLSEMSKSRFHKDCENGIVVQMLWADPNRTEDKCRFNRPRGISNLFGQTAIEELCTALDIDLVIRAHELKDNGYAFEFDNQLLTVFSAPYYSGCNSNSGSVVTISRSLKLRIVTLKPIRGHDSMVLERQTAEEFEGNFQPLNDDPLKTVTCQYNMPKDREMDQHIDSHSMFAHETKHCKKLNEGPLKPLVCVKTAEENTALATIRKTMKGYGMAISLKDESMSLESIRRKLGITSGKDKEKEKDKDKDILPPPPPPPPPVPPVKTPTPDPPASSPPVPPSPHNSAESA >CRE25792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:101155:108455:-1 gene:WBGene00063855 transcript:CRE25792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25792 MTHPFVSGQINDDSPLFISASVDNALNLNITVQKKPYFSGSVTGYKLYYTKDSKQTVEEYEDWNNQEVLSNDNSYHFLIDSKRNSIVSGTVYRARATIFFNNVESVPTGILVIHTRKAAPKAPLIVNTKILHNSSVLISFVPADDVNAIENYTLMYKQMEDEEWKSINFQSDVDGKNYGSHDYDLFQVLLDGLTPNQTYEIKMFVSGGIIQGTPSNSASFTTNSTALALIKTDPDEEYTADPQTNEPLSITCTVKSVSKASVLWKVNGIKVSVDSSFYTVVTSVHEDFIESTIRAKSRTRSAKFTCIASNDAGDSAKDVNVVIKGPGSPPSEITLVAEKRGYTISWKPPSHPNGEIKKYVVYHTLNREDPLSDWRKIDLDGSESLVRIIMDTEESFYGRVQAATELGPGIISDIVAMERDTQPITVESDIGGVSAATMVVDPRELLSIHCTARGKPRPSISVAISDRKNASRVEVDVWNRLQATSSGGVVSAMHNFSVLTSKFVHCRAKNSAGSNYSTIELKVDKPGDAPTQVQVLSVNALDATVVWHSPQFPNSPIVSYIILASNDAKEDKSSWLQYESNAKETQINRMLLPTGSLEKSTEYFVCVRAKNAAGIGPTSSLTSFVTLNGGPDSPPDNMKVLINEANQVIVYWNTPNSTTEVTGYLIYYTRDLSLSNDDYKNWQSVEMNNNSTRYKFDLSVGLKPKTFYRVRIAGKNSHADGPVSDVVEFETAYSEVPIPTDLKTEVLDDNTIHIKFSAVRDPDDHSKAVDEYRIDLAATDDVLHAQWKHIEPKAIRIDEISSMVDVEIDGDSVEKNRMYWVKVTAKLDNPSWGMHSSKPRWFRTGHGKLMTTVTLEGTPLIEKEPNLYEKLSVTCTGMGSPAPIITWEWMNESIENGTEGWDIHNVLLDDTTVVSKITRNDIRESGNLTCMGNNNEGNSSASVEIRVLGPGNPPENIKLTAYRNQINVTWQESTLPNGDIMVSSFQQFVCNLHFQKYIVYYSENENVDLSDWNKFETAELETYVEAFGPSTNHFIRVQAVSDRGPGIISEKFSCLSDVLYEPLNLEILASNVMEFEAEPNQNVEIHCKGTGKPTPKLYYQFGNDTEKQFEEVESTDPDSFEAKAPEINSRRNVTVTCRASNKYENVTVSKVIIVKRPGEAPNNISWSFEEEDDSTLYINWNPIENPNGEKLEYNLYLSNYKTKVSGPPVKIPDIPLDVNISLRISAENEYGEGEKTFPIWIPTPNGGPKTAPILSSLHAQDSKVYIFWAEPRLPNGEIQNYTIYIRKESGEEKDGEEEDAMRTDQTPEEWKKYTYGSNITHVIIGIDEGLEENERYQMKMTATNERHEGPETKVRRGLGMEMVQFKLLDLLREFGKRL >CRE25757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:97945:98539:1 gene:WBGene00063856 transcript:CRE25757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25757 MSRTFLIFALLATILVLEAYKVPTDAATQLKLKKRGQGCMKRILPKNGPSMALQRELAVCFKVDCAMAIIPKVERQFPREFPVLKGCMGY >CRE25756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:94675:97034:1 gene:WBGene00063857 transcript:CRE25756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rap-1 description:CRE-RAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N5N3] MREYKIVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDGQQCMLEILDTAGTEQFTAMRDLYMKNGQGFVLVYSITAQSTFNDLMDLRDQILRVKDTDEVPMILVGNKCDLEDERVVGKDQGQNLARQFGSAFLETSAKAKINVSEVFYDLVRQINRRYPESGRRQGQSNKQCCSCVIM >CRE25755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:86355:87887:1 gene:WBGene00063859 transcript:CRE25755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25755 MNDDYKSVNGEGSHEDLIEGHDVYEETIGEEQIIYCDEDFDGDPGTIFQGEEEEEEEKVEPADVPCYVMEGSYFTQESIDAANQDHSVCYFCKEDLKNKRFYVHLFDHHGFTKQQCEVMKQHKRLENHKGNSGVCEPQPPCFYLFVFQKKIKSLHSCVNCGMEFITKTGLNNHLKKDNTPCGRMLANEENGPGANNIVCPVYGCTSRWTTYLELAVHVDCAHRDLVCPSEIFMIRRKTFPDKATFLKWKKAMEKETTSEFFLRTSQKVNFAVRTLLYKCLCSNSRGQTKRACEQCPAFIKCCQRNHGQFEVVACFGHLGHEHPTETPKAIEFRHLANQERSNVRICVVDNRFKVIIFFQYPNRVRIPNQVINRRQHADQYGNSTHSNGMMILMPGEVEEEDEFSQVIVDDDDIVGPSDPKTSVSERQVYMSSSHHYPRSSHY >CRE25790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:83848:86044:-1 gene:WBGene00063860 transcript:CRE25790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25790 MAELDESVLLGDSTDQHDGPIDENALLDAKDFKVEDPDDLYDDAIAPTSLEEPLKSSSPPATPVTAPTVSLTAKPATSSEGRKYCCYVGNLLWYTTDADLMKAISTTGLARSQFADMKFFENRTNGQSKGYALLVLNSDAAVKQIMETLPSKSIHGQSPTVLAYNKTNQAKLEEVQAKSQTRPDVKKKTFEDGCVNMGTIRIGTGNQAGRTTTNNTGRPGPPPLMMQQVRPTPLMSQQPSLMGNQNQVPQMRLQINGQPVPMVNRAPVPQQGLMGTAPMGGMPQQMPPQQQMMLGQPQVRPMMQPTMGVQQMMGVNMAAPPPMNNQFQQRPPQLGPMGVQPLMQMNTAMRPPVNGLPPVHVNPQNVKMQMFPGIQGPTLSDAEFDDVMTRNQTVSSSAIARAITDASVGDIKGASETILTAINLIKNSRIGHDERCRQLVYGLEHTLKGLESKGYSSRSKSHRDRSRSRERDRKRRRRSRTRSRSYSRSPSPRRRRY >CRE25789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:80750:82259:-1 gene:WBGene00063861 transcript:CRE25789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25789 MPSEEGSVVRWLVCGASAGLAVDIGLYPLDTIKSRMQSKQGFIAAGGFKDIYRGMSSVLVGSAPGAAIFFLTYKYINGQMKRSIEGKDALVDAFSASLAEIAACAVRVPTELCKQRGQVNKNTRLTLICKEIMESKGLKGFYQGYGSTVAREIPFSIIQFPIWEGLKRMVADKKESGRCSPLEGAACGSVAGCIAAGLTTPLDVAKTRIMLTKTGPTLGILSTLKEVMILTPLPSNPLLFQVYTSGGIKGLYSGVVPRVMWISGGGFVFFGAYETAMHFTKFLD >CRE09931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1739:771:3505:1 gene:WBGene00063863 transcript:CRE09931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09931 MITADTLKAPFFSATRCSTDFVPAYKSFLHRTRFLLLLLVIVLNAVVYSNTILINFVVICMSEENLEIVLNGSHSDYEILLREAITSNMKEFRSSWFTFNGLQRSIIFMMAPLGGLVAIYPTWKLIMKHGYRRVCSITCLMSTLLCAFLPWAIFYGFPFVITVQFFLGATAPSALLLVPSVIRKWSTRKNDHFCFLVLSTFQQISPSTLYPISAYIAKSFLGWPVTFYFQSIVSFIVTITFFYFYKETPVRHANVSDNELEKIQKSEAIRGDLDYKKMFLCRQYLSVSFSVFTYFFTISFFIQYLPSYLYDIMDQSLEKTAWTVSLIMMIHLVLKLFISRIFEKNPPLTNIYSIKFISFASFTGSTVIMITLFVTKFHFDIQLILYAAIFAFLSLSWPSIFKSSNIISNKYYLPVMVRTHIIMFYFASLMSNVLPIIFGRRDSWDSWRFIWLGISALLVLSVVFFWMTFRFEKSDWDVVIPIEPPQPPRPEDIAPVSHPRPCRYTTSFDDEVRLSQRIALFIVVLQIVVSIISRGLDRCDTCKKHGIDARVHPKDEDEVIPTRQMFDRLTQERQEERNSITRF >CRE25786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:72005:73056:-1 gene:WBGene00063864 transcript:CRE25786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25786 MSTFPLLSLPSLVIWNVIDNLNTEETLDLSMCSRKSRFLVRACSKNRYELKIEVCYENKLILYSKQTPADKVTFAFENYSVRSDYLSVAFNPLIRYIPYHRQSGTFSLEKEFIEHFLGTFHCVIDKILLSRLYIPWIYFQDILDYFSSLQPSVRYFYLEGYVEEEELNYFLNTSTVSEHLSLELGTDHTPGTDFYIDLYSIILWSGGWVNLDHFLKMNFTACFYDADISLITPTDWLLLMNSWINGWNRRMKIFEFQSHEFDFELTETIFEQVPGQRIDQNVVRLFESPSAEFIEVGEVLEIYGGYDIRRAEDGVVATVVRHPICPVRFVFLVWN >CRE25753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:69210:70257:1 gene:WBGene00063865 transcript:CRE25753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25753 MAPFFLLRLPLLPKHLLTDISTSRYNFSRCSKKCQQTVRNAAGNHFELRLYFWTENEMILKSKKGIENKIHFSFENFPVIPWSWTRSDNSVRRFPYKKYSGLYIKEKELIKYLVETFNCIIGELRFHCDATQNVFQPLLNFFLQSQFEFHLVAFDEGIVNDEDFKYLMDNCKMKELDLLCSVAVLSIEDFKIDVFCLRTLYSDWVTQNHLLKMTFELGYIQINCNMKKWMEIVKAWMNGWNKRMKFALFEPTRFDLDLADQIIENVIPGKRIDKEVIRQYPMISSDLNFTRHSSVLRGGYDFQRKEDGVLATITQDSGPQKRYVFAVWQ >CRE25785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:66375:68652:-1 gene:WBGene00063867 transcript:CRE25785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25785 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N5M4] MNQNKQVVKGAGVKKTGAKNSNENLKINQAQPLSYQEKPKQHQLSGSQEKLKFLPSVNHEKNQPRSGEKWSHSKLYPTSNLRKQLPPKTKNGAKKRGSNEKKSSSIMKSISRDEDEEKAGGMLERKRSVEQQAEMEDTLKLEKKVSTSTIPAAKVKVEKEKEKGKKKEEEGKLKKKEEEKEKEKEKEKEKEDEFASDKKDDTQKEREERAEMIKKWASHVMLSNPTQLLREYKAVSADAPDAECVFYVKNTERNRYPNIPCYDRSRFILPGDESFYIHANYVKVCSRPDRFMCTQGPMEHTMCDFWKMVIALESTTIIMLCAFVENGTDKCSRYFSEAIGCVDLEDVKVFTESCVEVECGSIDKYIQRHLKVVVKATGKEWKLTHYQWATWPDQGMPESCEMSLRLLSTVRKNKKPIVVHCSAGVGRTGTLVFIESLISSLRLLKEPGVKETFAILRKDRAKSVQTFAQYVYALRCVLEYIFSKGVQKNEQEWAKFEHTYAKIKAKKGKPQLNEKKVKKNSLSQENSETNLADPAAKKLTPTQPAPPAGSSEVQTPNPGDSTGPSPMTSPLPSPLTPSTSTAPSLVSPISPMTPPTHSSKIIDLTLSMPPPVSKPSFQFPSNE >CRE25783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:30864:33163:-1 gene:WBGene00063868 transcript:CRE25783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25783 MSVIFHENPGTSLGSVVTDTNTSLDRSIETSPEWIDDLFPNVSFIDSPTHQVIRGFCRDVFVYRLPGGFRIRYWDAAIFLPNLLFLLFLIVKCGSVIRKLRTGNSPVLRAFTLLVYVSTLVNLIRCAYSMTLSMTDGLEQTVDQTLWTVIKFFYLTAEFCALTFGLLFGHLDNGKSILVALLGTLLVSIPHTAVQVIVEMKIIDNSWLPLTYFDVQSDGGFIFWVLSSAILALVYFFIMCLPLVCCQKYTKLPSKGSFFIYCMMMVTLNVLQSMGAALILFKSSDGLCFVGLSTYVYFVLYPPIIYFTFLRKKLKTPPNNTSGLFMYRKHKDEQGSGDLPDSYYPRFSGLTSPSYDDLFDYDRDARFTHYDISTNEYVQNPHYNTYSTPLIMSTVETAESTVTTRTGSDDYAHHRDSMLSEPSTGTTTRHLKGLGPQGSLIFEEDPACLRL >CRE25782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:28224:29631:-1 gene:WBGene00063869 transcript:CRE25782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25782 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N5M1] MCITKKAKKEPVSVAATPTASPGVTPTADPSGKNKKPGDKSKAAKAAAFPDTPAAPVPKPPAKAMIVVKPWVQRTLDTGVANLVEEFRGLAKWTPEGMTTEAFNANKDKNRYQDVPCQDKGRIVLKFPGLASDYIHANYLETAHNPKKFICAQGPLEGTQHSFWAMVVQEKVECIIMLCNCIEMGKFKCHQYWPLEKDQKISFGEAPNQIFVTKLDEKKMSAEEQCINVTTLKIDTSDGSRKIQHLQWENWPDRGVPQTNLTAIVSHFACLNYFSYIYFQNLLSATRGNQNPILVHCSAGIGRTGTIVAIAYVQDKMMAGEDCMAMNELLKELRSQRPWSIQNEFQYLYLHRVLLSYFLERHKSSYGELLSAENATKYKKWIEDYAKITSGK >CRE25780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:23277:26790:-1 gene:WBGene00063870 transcript:CRE25780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25780 MAGAWRLDIIIMVLYQITLVFSAQLLFVIFLDYMPPTYCTEDNFCYKMKSKCLTDYDHNAHNLCPVNMTGNRRDCIMDHKKLYFYSAQYQYQQDCTMPSGMQVVFNISAVTFIGVLLGNIILGILADKYGRRTVYFLSLLFGIPCLVLSALIKNVTSFYIFRLLTGIAISGTLTVGYTYAIEMISANRRLRIFAFANWPNARMIQVGLAYFTQEWARTTYTTASIACLALPVLWYLPESPIWLEQNHKYEEASRARKRIEKISGVTEDHHNSYEVVAFEKVTPKRVIMDPKLRTSFLMILFMYFYVGLAVYITDLNGADMTKNLYLGQFLAGLVLSIAQFIIGMTEPYLTGMGRRVLFLLSQLIAIICYILIVICLYLDWKGSFLYLTAYTLAYASQSICLEAAYLSLVELMPTDVRATVGSMANICMKIATILATQTQSLKYNYEPFLFFINLVVCTIGMILVFFCLEESREADMKMVGQTAVGKIFCYDEEGTPPGINAHDPSPPRDANTPEDPKMDKQLPLAIAEPVTAKPLVSKEQLRIIEKNNERNRPIVEKPKKVLEELAKIPDPVKKQLENEKASTDESLKTIEITKKSEESKENTLETKTGETNIVLPKSVKKPVEEPKDPMPESTDDWQPNSKNTKSGQKQLGKRPSLKNFSRYDNESEEE >CRE25752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:20039:23050:1 gene:WBGene00063871 transcript:CRE25752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25752 MTATSPNTIKIGSFEVSRPIAFTYLLTIFYNITFFLQFSATPYLVKQLQLSDADFGYIQTIFGVFQMIGGPLFGYVIQKFGIRYALHLCYFSTLLSGLSLYFSFDYYSLLLSRIPVVFMHGQQAHQTLLSALTTPGKERTSAFGRMGLTFGIGFIFVPIFSIAATKIGGDLAPLLVSAALCALPSIVLETCIERKSYEHEISQDDSNGPPPQINITNVVRILQKPGVLNVMAKKNGGVVPFLMIIAVLNLYIIEKFNATNTENQIMQMMMGVFIMFSNGFGVIWLRKKFDEQTLLIIGSLSFVVGYTLFTFVFFNFWMLLVIMPFVSLGMSVVATCSDSLLTALVDESEQGIVLGTATSLNSLVRTFSPLFAGSLLQNYGFQSLTILGVVGSLLAIGLMIMSPVDENLIKKHKTE >CRE25778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:10311:13529:-1 gene:WBGene00063872 transcript:CRE25778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25778 MMEKFDKKKKKAIFFVDNAHKFEKPPIVSVQRAESARVPQLLISQPSFDLRRTQSESHLDAKVIDAVLSSSADFGMENCVEDEIKMLLVGDLNRSASAGHNLDPQLLGVVRKEDKDKTLTQADAAKGLALIATQTGSVASLASMVSNRTDTGTEDCGDDEEAHLDRKRSASTRKAKIQATFAAGKKKVLDLMPQKRKLTDAAAVDFNGESIEIGADAGSLYDTDREHSVGRSPMAAVGKRKESPSEDKKEKKRLKKKLSESPKGRRSNGSGQKDQSPMTTIKARTTKSVQFQKFQDVMWGQSLHFELDTPAEITTRSTIRYLNVTVHAREVKTAGTPNTSASILPNMTPSTPDSVASSSTNTENSAPMSPMKSPESKPILLGSVSLFVPQLIDDCRLTLSNCHREVFQLKQPNTSATPPPPTATDDPLISEFSRHAGFDPRLCFGDITLGFRYFPDGFPSDKAINSGDDSEDELHRIQHANEAASPSRPFSPPALAPANHDWKLWVGRNATTCAMCRGKIWLRNASTCSRCLVICHNKCVVKANNGGIACSPQQLSPPTNLPLPDDSQFEEISASELDGVVTVSTPDHPHEEKHALLVQSPSTSSSNVTGPFDTPETTKRARFRKVTEKFSNWRKGGKKTEGTPGRRDTVDTESSSTDCNSMLNQDIVDRDSPMASIQNVLADVLPELDGSPFISGLYFQPGNAYNEQTIRNAKRLGREIFSELPSEERVEKINAQIDRIQTAIRETKDDRLSVMQSGGEVSESSSKFQGLDERLQALAVLMLHYCSALQDCQSGRSTPNPPTEEDAQKEMNEETPFVTADHEEDEESVMDEDTVVGDAPFQSEPSSSTPLERPTQLDPNSDAVSV >CRE25751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:13836:14705:1 gene:WBGene00063873 transcript:CRE25751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25751 MEIDSKIKAYRFVGYAALTFSTVAVLSVCITLPMVYNYIHHARKTMHHDIVECRAEAKKLWGDVNQIPDLMMAHNRTARQAGNGQCEGCCLPGAQGPPGTPGRVGRPGKPGAPGLNGNPGRPPKEPCEPITPPPCKPCPEGPPGPAGPPGPAGNKGPLGPPGPPGPGGENGQPGNKGPAGPPGPGGKPGPAGPPGENGRNGEPQPGAPGEPGRPGQPGPRGAAGQPGKDGAQGGPGEKGANGEPGQPGRDGQPGRPGQPGRDGHPGEKGVCPKYCALDGGVFFEDGSRR >CRE25777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:8268:9422:-1 gene:WBGene00063874 transcript:CRE25777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25777 MAAAVISTTLFGCVGCQAGIEYLQTYVKNSLNLITFSSFIFTATYGLIFHSKFFTVPNQIPIRSYAKIVAIFFTVNMANNLALKFAIYFPLFIIFKSGTLLTNMTMGWIIRSYRYNLKQIIAVVVVTAGIVIFTLASYEPGADINIRSGIDSNSWLIPVPPFVVGIALLSFSLILSAYLGLYQETFYQKHGKHNEEMMFYVHFLSIPAFALVGDEMTPAFHAANETPSFVLAGIDTIIPSAWIYIFAICLFQFGCTKGVYMLSAVTTSLNVTMVLTLRKFFSLLISFFVFENAFNMFHIIGAAFVFIGTFLFSVSFSRF >CRE25776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:3180:6091:-1 gene:WBGene00063875 transcript:CRE25776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bre-1 description:CRE-BRE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N5L3] MLTKHSQFSDISEMIGTMGTCKVEGLEACIGQSHEVMTTPAADLAAFRARKVALITGISGQDGSYLAELLLSKGYKVHGIIRRSSSFNTARIEHLYSNPITHHGDSSFSLHYGDMTDSSCLIKLISTIEPTEVYHLAAQSHVKVSFDLPEYTAEVDAVGTLRLLDAIHACRLTEKVRFYQASTSELYGKVQEIPQSEKTPFYPRSPYAVAKMYGYWIVVNYREAYNMFACNGILFNHESPRRGETFVTRKITRSVAKISLGQQESIELGNLSALRDWGHAREYVEAMWRILQHDAPDDFVIATGKQFSVREFCNLAFAEIGEELQWEGEGVEEVGKNKNGVIRVKVSPKYYRPTEVETLLGNPEKAKKTLGWEAKVTVPELVKEMVASDILLMKSNPMA >CRE18256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:147:1807:1 gene:WBGene00063876 transcript:CRE18256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18256 MTAEMESKQLEVEAVTKQLAESRTTLRSEQRHLEELEKEKEKLASKLATTTESLEKCMKEMRKLRDTNEMEFQGYQKKESDLEEQLRSVLEENRSMADHLTSLEELKTNLHGENKRLTSTVESLSLESARNRQEADNAKTEMSEQRERFQAQLEKCQQDHTERAKMAHSKVERLRNELKAAKSDKNGMEKVLAELKEQVLNTDMANHQNSEGFLSAKACLEGAKKKIEELQVDVKGKESMNLVLSQQLERTKDILKNEQLIRDASTAQFNDRYSKLQNALEEKKKEIELLRENMENIVFKNQNEVAQHESEMKECREQNEKLIDQLESMTTMKSEVEQKKCYLEERIKLFQEDPPSPIERCDTPDSLVEYLSKEGPLETEEELRRNVEQTPRKSIAFNFDMNSIDSIRGTPIGFKSNPRESICSNYDLFERCSTARSSMRSETNTLASTSEFKPPFTPSGTTKERIGILTSRNEKVKPHLQSSYVVEMADVNSPSADEENVRKGGGVEKKKRRNSIFAFKKH >CRE18257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:7813:13124:1 gene:WBGene00063877 transcript:CRE18257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mltn-12 description:CRE-MLTN-12 protein [Source:UniProtKB/TrEMBL;Acc:E3NHI0] MITTFDEKSAVFFFVFLSDVIGERTFDNVNCVQSATKLAAMDKMKMEAKEIDKLQQLHYGWYIQAVSSLLGSVGKQMYMQMKKPQRRAFVACLDSIPKEYDVKAGAKCLVNAFDGKLEDIYGKAALDKKLDFIDKQDTVPMGKLDIKKLKRRKIQKRVVQKQLKKRVRTNFQKINEIQAEKLKLARFRKMKRRNFAGQYKMSPKMLEKMEKINSMKYRMRRVKRNILSLYSNKEAADEAKRVDSLDGVFYKPKNFAKMPDLHENNKSPIQEFTKMIREFAHVKPTRNMTSSYTNLKKLQDAVFGAREKNRFKNRMLDMVIGKNHPLRQTKSFSDRVRDITPDGMIDETVFGLVDAVHKHTKDSNANFLSPRFMPIMPEKLNTKRRLLSPDMFPLYRDESDNSILPIPNVLEKAGLKDKDRENVLELVMDITGVNNVVDDALNLVKGLRKSGLDKDLVDMTSIIDQAYTSLSSSLTRPQNLDFVNKKFSFMNKEQMQSLYGETGIYNTSVSDLPFDIHEVDSLTTEQKEESIRMTIRELAKGNGAQGFGKSGRRVKRQTISLLNGLYQIVFLNPTVFSPQAFAPTINKVSMKRICKLDFRFFAAKAEAVFLKRDFFFEKLNIIHFQLSVLGPLVLSPQLFCPSVLSPLLMSLPVISPQVGNPLIFSPYVLGPNVLSAAVFNAYVFSPYVLSPNVVNPYVMSPLVLSPFVLCPDVVSPTVLSGVVLSPSVLSPSIFTDSALAANVLSPTFLS >CRE18261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:14840:16326:-1 gene:WBGene00063878 transcript:CRE18261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18261 MNSVSCLLFLLILFYRCFHAVDGQFFYEDVKMYGCRCLQKDQTKQLLTSLTNGIPVNANRPIWKSFVREQPTLSNNLQSKIQYLKEWLATLTLDTPTVPPTTTTTTTSRPQTTEDPVALPFDEAAVGNNVFLKLAYKMMAKYFKKFRGEWTILDRFECICDGDLIELLKSKTFINIQVAHD >CRE18262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:16764:19655:-1 gene:WBGene00063879 transcript:CRE18262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-129 description:CRE-UNC-129 protein [Source:UniProtKB/TrEMBL;Acc:E3NHI2] MRRLLIVSFCLLFSIVNSAKVDIDLINETIRDLLHFKNSDPNITSFHRQSHTLTEHMKDLYQIFIDEDSNEDGNLVRAIEPAVGKLDGQEVLVFDVEGFDSDESIMRAELHFFLRRRDAFSRRRSRQIRAKSVCVNEYCRPQTLKRIRGSSTSGDEMLEDFKVVWDATKSVFDSYHLDGKQAVFRITREHSKMRPYAEMIRKSTPFLVIYSKVNHTLDTKSVIQQAEQTKRKRRDLGNEELREYYTYNSIPLDNDEREPVKRKAGKKNSLSEEMSSEDVWQGFGEETSREDRERKENEEMANDVRVVLLQNKNRCHKEGTLVSLKHFGWDRFVMEPKTIETSFCKGKCAKPMLTSGKASNHAMLQSLFAAEPVCCAPTNLKSLNFLYRDEKGRTVIRNYSKMLIGSCSCL >CRE18258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:29689:31867:1 gene:WBGene00063880 transcript:CRE18258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18258 MAPEFFIYLFLGLIELTVSTFLLATVVNNTRLRDKYSIFLVKFIVDIVVACLLLLLAYLDRRSDERICGATLVISTSIPLLQVLLLLCEVIDWSLAAYSPVYFHHSSLFSRILPFIAGAICYLIILTALLVIDATSMTVSCITSPEASAVTSAYDFSLAITTVCVVGLALLLRRNLNSAYFRPVMLHFIATLFLEEIPLLTCILLKYANSKSAILAADMTNWLVCIHSLLHSSYFVYNHQDYREVVKTMFKKWKVVRSGSG >CRE18259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:38410:42128:1 gene:WBGene00063881 transcript:CRE18259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18259 MIFTLLSTLPVLIITSELDYSELVHSAELVSSSSSHLHHKSFNSRKRNCTRDTDIIDRLLNGTGYNKFRIPQEEGMTVVVEIWIQAITSIDELTNDFDMDIYITETWLDPALNFQHMSPCKGNLSLNHQVLDRLWTPNSCFINSKVAQIHNSPFRSVFLMLFPNGTVMVNYRVRVKGPCSLDLSNFPLDLQKCSLIYESFNYNRQEVEMKWSDAEFPVFNLSKIVLPDFDLFAIQTARKQEPYPAGMWDELHVTIIFERRFIWYFMQAYLPTYLTIFISWVSFALGSRAIPARTMLGVNSLLAIVFQFGNIMRNLPRVSYIKGIDVWMLVSMTFIFCSLLELAIVGFMVRDETVAKKKQQKKISGNPSREESPHGIISERRFMFPPGCSDSTKSLNSCGTGCWTPERIDSISSVMFPLTFFVFNIIYWFYYIHRKEIIRENMINRVDG >CRE18263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:42175:43860:-1 gene:WBGene00063882 transcript:CRE18263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18263 MLAQVLLTLTITLNTIQTVMEREKALFDVNKFYVNPDDLTSDHCTIQDSLRRAEHYFLETCPTTYDPVCDDPIFNKNHEFEFRCRFPMRTNRVLSMNSTSFLDLMKMRDPYARDWCMVVLFHSPSCPFSARLAPHFNQIPGKFENILPVAVDASDFSKSHRLNFRYGVSGTPTVLLWVNGQGVARMGNKDLDLENIKKLITTHTDLIEVKEKVEKENIIPEKFIEFGAELKDLSGEMIENQLSNILTFLFSVFVCATTFIYHVRERILLSAPVLQWFQSRCGGPLCEDIYFLFYVVAPRNRAPRPATTAPAAAAPAAPEEVPEAAPEVAQNDDELAPLVIED >CRE18264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:44363:46414:-1 gene:WBGene00063883 transcript:CRE18264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18264 MTLFHVSTPFVYDTTTLMTATTLMVSVGSIGLISNFIAMFVIYRNPLLHNTFGLLCFSHLVSNVGMLFCFTTWPVAMILNQDNDLAHSLAGKRFGQFTIIFWWSCIIAHLAISINRYVSIVFPIKSYIWFTVPNTKYAIFCIWLVGFLVTIPYFWHETCYVAFNAHTFQWTYAENPCGQFLSLFDFIGGVILCSFAFTIDMLTLFRLREANNVIIQSISPNITQSQMMGLSTQTAVEAAKRRKTEIRFFTQAFTQCIVFCICLLSFHIFTLLSDSIWWQFAMVTMIWILAHSLDGLIVVLFHFRFSLCKNKRLTSTMMLNSSTNRVETTHAPAKF >CRE18265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:49431:50063:-1 gene:WBGene00063884 transcript:CRE18265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18265 MADDGYEMMGPPGVEAKPEAPPPPPAAPAKATAAAIPEFPVSASGPDKPSATASASSASFVSTATASASVASTASSIPQFPSTVLTSSAVDSDEASTESLYDALTYSDSDEKKPRKPLYDMVDGWFESDDDDEEEKEKKGTPDVDDEKEKKEKMLKPYVDDEDPNDYDVINFILLVFFLIFILSVSIPVLLAASGVWHVDLIMEHLKYGM >CRE18266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:51675:54364:-1 gene:WBGene00063885 transcript:CRE18266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18266 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NHI8] MASIFRRLLSIRKPKKVLTRKDSITGRNHTFEVPYLSRLDGNQLQTGQSLIARGYITGSEGFIVNLTSGPSVELDDNETGQLDDRLLALRVDLSKGKVFLNACINGQWGKEAFVKQSYKEGDEFDIRIRCFEQHFEIYVEHKLIANFKHYVPMSNISHIYVTGDVRLYAVSWEGKLYNMPYTADIPGNFYVGRKLFVSAIADKKPKDLSIDFFAGEDVPFRLNASFIQKKIQRSSEISGTKSTPETTFEGKNKFPLKAKRSFDILIYASDDKFLVSNLSILRAKLKLLFQVFINDVLYCTFDHRMPAAKIERLQINGDIQLIGVHIK >CRE18267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:58803:59788:-1 gene:WBGene00063886 transcript:CRE18267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18267 MFVQISILLLATIGVVSSQTCKFSKNAVKANWKIQNGALQIQYQNNRITNNQWTAIGFGPGMSNLNVIVFMVQNGQVTTRTGRATGYGPPTFDNQNNVNVQMANHSGSTLNALVSVPLNFNGMNVQNCQTWNFVQSGPINNGQMGVHTSRPDQVNNVCASQCR >CRE18268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:61717:62705:-1 gene:WBGene00063887 transcript:CRE18268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18268 MMSKMVALLFALYFACVSAKTCKYDSSGFQSHWRFANNSIMLQFMNTDIKNNHWTGIGFGDDKNNLVGVFFMVSNNQVAVRTGATTEHGPPTFSQNGTNSAPIQTQSLLYFPEDKTMSAVVQIPVQFQGRNLQSCQKWRWIKSGKIENGQLTRNDKSPKDKKVCPMECN >CRE14118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:837:2121:-1 gene:WBGene00063888 transcript:CRE14118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14118 MKMFLFICFILFCSTIPSAYSTTCEAKNEETSMNWHVENNQLEIHFEHNNLTENRWTSIAFGNGPGMNGLESIIFSRGNDNTITTNTGFTPKKKKVEVDDVSYVTVKNVELNGDKLKVTVTRPLGPAGPRNFSLDQCVNWIIVPGGSVKDGKFKKHHGRIYFIKNVCAAQCTNEKKLRVMSNRIQ >CRE14061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:16204:20628:1 gene:WBGene00063889 transcript:CRE14061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14061 MGPLCAVCESPTAFTLHFGGRCCKACAAFFRRTIALDLKYECAADVPCEIHFKFPGMRLVCRECRLRKCYSAGMRAELVRSRRENFACTRRKDSRNNSDAAPNSNSPSMRQSSSPEEDDWSFQMFEEKPVKFDEPSTSTVSHPPLPTTSQMMTEESSRTSSFDGGYCSSLPSSSNVIHPSPPGIGLTTDHNSILQYYHSMETGLCSRRRIMYTNTDMDYILDSHSTLQCPYTVSDLRPHDFRNFRGMLRHDFVILFDYATRFPDFNSFTSHEKNMFYRLILAVDFILSSAYYSAKLGQAHRQMIMTNGEFLCMDPLPMTGNEPDARGYFQSDEDFSKYKALMPMHIAIWEESVLPFARMNVSFEEFCLLKALTVWHVTYYKFTETGREKCRHQRNIIIDCLSKMCHSHGANGENRVGELLMSMNYIMESTQKLTTSYVMLTFFDVLNCDAMLHEMLNFKY >CRE14063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:25604:27251:1 gene:WBGene00063890 transcript:CRE14063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mppb-1 description:CRE-MPPB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MRB8] MYRRLASGLYRTSQRKIAQAQAKSVFVPETIVTTLPSGFRVATENTGGSTATIGVFIDAGSRYENAKNNGTAHFLEHMSFKGTPRRTRMGLELEVENIGAHLNAYTSRESTTYYAKCFTEKLDQSVDILSDILLNSNYTKKDVDAERSVIIREMDEVAQNFQEVVFDNLHMFVFEGNPLSYTILGPTELVQTIDRNDLRSYVDSYYRSGRMVLAAAGGVNHDEVVKMAEKYFGGLKHGDSSADFIPAIYKPCDVRGDIRGLPQLCGAIVVEGVSWTHEDNLALMVANTLMGEYDRMRGFGVNTPTKLAQKLSTDEGIESFQSFNTCYKETGLVGTYFVAAPKSVDNLINSVLEQWVWLASAIDEAAVQRAKRSLLTNIILMLDGSTPVCEDIGRQLLCYGRRIPTPELTARIESITIEQLREVCQRVFLEGKISSAVVGETKYWPSREEIHGRLIRMTR >CRE14119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:27415:29322:-1 gene:WBGene00063891 transcript:CRE14119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mans-4 description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:E3MRB9] MVYIKLNRTTLFLIGIVYMQLLAFLYFYLNFIELSESLNPKRQKFFGKSSEQVQIRQNITENCSGCLPVSNTKLKRAPPIFQGPTNDRQRAIVKAFQHAWSGYKKYAWGHDELRPVSQRFDDPFELGLTIVDSLDTAIIMGLETETREGLEWIRNSLNVSPSRSVNLFETTIRVLGGLLSGFHLTGEDILLKKATKLGDNLLKAFEKSKSPIPKSDVNLQTGDAFSPNRDFSSLAEVTTLQLEFRDLSDLTGDKKYEEVTFNASKHIHKAKCLEYDGLCPYHIDSKGEFRKTCEFSKKILLNFKHYISAITLGARADSYYEYLIKQWLQTKKSIDWLRDDFIQSMKAVKKHLYRQSQPNGLWFIGEITDYKDFYPKMDHLVCFLSGSLVLSHLNSLDENNEHLEMAKQIGDICHKMYDNPTGLGPEIMHFNMENSSDISKEDTYVKSLDAHSLLRPEAIEAWFYLYRATKDKKYQEWGWSAFEAIEKYAKVETGGYSSIDNVLRKKIRRRDKMESFFPAETLKYLYLLLSDDQEVLPLDRWVFNTEAHPLPIYN >CRE25993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1166:1166:3709:-1 gene:WBGene00063892 transcript:CRE25993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25993 MESDMYEALKAACKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENAKKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSMLEVVRKWSSRDSDDEFSMHGRRGEFSDSERSWGEDWKSGRSSRYSAGNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDDELVAILEERYLKGAAKSLFKSLGGRQERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQKAPGQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKERMGRLGDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVENAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKTGCRDWEKEVEVLGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRLVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSGIQCKSVAVRGERGRRASTGDVGEVFKSEGEEKERKKVKIRASVAVIGPRLEARGPGVLEDRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPISLQCELGDVDNVAKQWKEWLKTSANVEVVDPLMPVRNHKTPLILEKWHWKSLDGLQKYLHNALPSRSVRCPWGRTQHDDPIWMKIPRNDARSQRRGEG >CRE25992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1166:6773:8383:1 gene:WBGene00063893 transcript:CRE25992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25992 MSFPKRFPNAAAVSSEILGEKLKFPNGRTAPNRFLKAAMTERFSTYSPENPKKHGLPTEQILNIYDKWGHGQFGMILTGNVAVDPTNLEAIGNAIIFKEGDSSERRALFTQWAQKMKQDGGLAVIQLSHGGRQSSIWANRTPFGASDVELKTDPPGSMYGKPIALTTEQIRTEVIDRFVYAAKFAYECGFDGVQLHAAHGYLLTQFTSPTTNTRTDRYGGSLLNRNRVIIEIYDKIKNEIPSSTGFLIGIKSNSKEFQEKGTTVEDAKFLCEAYEKRKFDFVELTGGTAEKFVFAHERESTKIREAFFVEFAEAIRPVFKNTVVYLTGGFRTVGAMVDAVQRNTTQGIGLGRPVTAEPDLPKKILNGSVHSAIQDAFNPNDMIKQMLASGSQMEQMGRNSVRKAGGDVMNQISDYSDERTVEVFNGKAMEMFGNIQKDAMAGKAPKMLVVMS >CRE14067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:58371:62957:1 gene:WBGene00063894 transcript:CRE14067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14067 MEMAIQSVVMFVKVSAVLVLLAVSAHAGFFDDIQGVSSDVGNFFSNQFQNAKDLFSNNQSELDKNVERVKELLTGLKEKVKSLEPLANDAQKETLKKVDEYLAKVTEFQSEVKEEGAAKFEENKGKWQQMVTDIFDKGGLNNVVKLLGLQNSAPSSFISAALAPIFYMIFVR >CRE14121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:63338:65112:-1 gene:WBGene00063895 transcript:CRE14121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpl-1 description:CRE-LPL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MRC5] MLRNLFSVCRRFASSDSPRKVVVCANGTIAAWHPPQEFPYEHTKPIDLSALTKKDQSSRLSAAAKASAVPREPINAELKEIFYTSKHEWYTRNFILALEHKPVYTVGIRSKGYTKEEEERLKGLGAEFHRTSRGGLITFHGPGQLVLYPICDVRRVSTRQLGVRNFVVKLEQTIIDSASQGFGIQNVGRTENTGVWVSGERKLAAIGIAVSGGVSYHGIAINCNTDLTWFDNIVGCGIEGVSTTSLSRETNRTVTVAEARPVLLNHFAKNFECLLDSSSSNNYCFSHSKLSSAVSNS >CRE14122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:65381:66336:-1 gene:WBGene00063896 transcript:CRE14122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-icln-1 description:CRE-ICL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MRC6] MVILKEVSQPTEGIKLAAENVQAFYKTDSLGNGTLYITDSAVTWISRADSKGFSVAYPAIVLHAISTDVTVFPSEHVFVMVDQRKSVKRRRRAPILRTIQEADEQRGLELAAAELEDEESDDDEEGPGLEIRFVPDNKDCLPDIYHQILKGQEENPEEDDPMYDDDGEDEEEEEYGEMDQSEDGMPPGQWITADNIDQFQGGDGMQMTEEGLATMKRIFGNREHHDDSME >CRE14123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:66598:66925:-1 gene:WBGene00063898 transcript:CRE14123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14123 MARGQQKIQSQQKNQKKADAARKAGIDQKAAAAKALNHKCSVCLAMMPDQRHTSSISRINIQKSPLPAELVDVAA >CRE14068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:67591:69379:1 gene:WBGene00063899 transcript:CRE14068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14068 MLLVAPEKMAAYGVEITLAIRNARFYPSADGVRIRVFSLDKESEIVEQVAETETIYGQADSFFQEKLNLNFRFEKLQRFRAIIYVLNSSTNTVMGSMGSGDFDLSMMFACGGRLTLPITSSLSTITLEISGKVPEYYSQFLRLRFSGSYIHSPDGLPLQLYYILSIPAEDRTIMLHKSEMLKETKNPEWASFSVPLFLLNYFNESSIQVFVYNYTPNHDDQLVGHCTTTLTQLQQGVGHFNSYMLMEANGKRIHEKTCVELKEMMLENGPTFFKMLEDNVKLQLNTAIDLTASNGNPVNAGSLHYIHPHHPSAYLESLLHTTPPLLGYLPNPQNPYIGALGFGAKVQGPGGALQLSHCFCLVSLILILRFASHFQNGAPTDPRVEGLAGLISAYRTATMGVQPFAPTDFSEVIYFVSKFAKAESRRHVGLYFILLIYSDGGPANALNMKRTIDAIVDASAHPMSIVAVGVGQDRDHSPMRNLEKLTLKHSDGRLLVRQNYTFVEASELESSDALAMIPVQMTQWKRMFHFNPQ >CRE14069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:69648:71354:1 gene:WBGene00063900 transcript:CRE14069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14069 MFEKQEETEGGHGFGMVEVKRFFQWILGCTYAKKTFRTRMAEMFHFADAPHIVVYERSEERPWYWMVGIITAVLIAVLSLYYTLEAGVRAVRKFMYSVLREPPQSTVSTPRCLSPTTPSSKKTSPQIPITSEAPRQKVPMNEPVNCVFIRPAIPKLSAEGTVPIPNMNSEEDTMVDADRVMTSKNEKDKEKRDKTKSPPSMKLEEVKFDASSNVNIIPTMVPSPSVSSKKSNRKSTGSEEKEAAPETSVSEGGHMDEWLTKQVAGCEKLIAERANAGEEIDFEETGAQMVSNGFIEKIQQLIEAQLEQSSCLEDSSNSVLSIESIISSLIEIEPSFEETQSNSPLSEVTDEKPTFKQSTVRAMATVSSVCENDDVDIVIDDNSLYTDKENECDGSILVQEGQQKVLFRWTDECPNTIDTVTLTGSFFGWNMNIPMKRTGVTTFEVSIDLPAGLHDYLINIFRFD >CRE14070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:72510:74397:1 gene:WBGene00063901 transcript:CRE14070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14070 description:Sex-determination protein fem-3 [Source:UniProtKB/Swiss-Prot;Acc:Q8I8W7] MGAMKMTCDDFRAEDEQPIEVDERRRRLKRKANDDDDDETVRERIDDAESSMEVFEAEYPPILDPLQDQREAKYFRERMQRFDLYSRTTGLSVDDIDWPLIRGRSLQKGRVAGISFVYDDTRYPINRFSDTWLLCVTKQKLFSFGAGCVEDLNITSFVLRRTMKVLSTYCNWLFEAAKRNNRRHITHKEIQELINRDGFRFHQYLQKFLIGRGMEYTEYNNRFFKYLHEEYNKNPGGLETIYSNQDFIAKETAQANYIYDTVRAKYGGFEELPLFRHALKISFTQPGEHYFSRFYAKRFHEALGCPPLDSEIIMILDWFGVLIMNQIVYKTIRWHEEEYNDGSFPVLDSYHKALADESKCPKACLISISLFPDDPLFDLDIDYGTNPPPVNTAYQKVRRTPRDPTPFYRLMEFEEYKSCLVKMHFNFSELTGEWLRKICARGR >CRE14071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:76347:77104:1 gene:WBGene00063902 transcript:CRE14071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14071 MARAIAVDAPLSAGIRRGKSFKKSSVKKSTMGIKKRFSGAGKPSGGPRRMSGGAPRRQSGGFQKLDRIIPSNDNREVRINISNLAPTVLAGDLQQLFAEFRIKNVSVNFNEKGNPVGTGDITLSKRHADRLVQKFAGVALDGKEMKFAIIDTSNIANRVKFPEAPQRVPAGSGRQQSRRPQSGKPNQQRTPKKQNVKAAGGQKAAKGKKPKKVAQPKKTVEEMDAELDAYMGHAI >CRE14124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:81837:91116:-1 gene:WBGene00063903 transcript:CRE14124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14124 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MRD3] MCKYCKVPAKNSFRDQKSKLPAANESCESSKETSRLESKKQADPKAPQTPGGQPKVNQKAMIVKNIFRYQDVPCQDKGRIVIKFPGLQSDYIHANYLGTANNPKKFICAQGPLENTQYSFWAMAIQEKVECIIMLCNCIEMAKIKCHQYWPLEKDQKISFGEAPNQIFVTKLDEKKMSPEEQCINVTTLKVDCNEGSRTIQHLQWENWPDRGVPQTNLTAINLLSATRGNQNPILVHCSAGIGRTGTIVAIAYVQDKMMVGEDCMAMNELLKELRSHRPWSIQNEFQYLYLHRVLLAYFLERHKEAYGELLVGDNATKYQKWIEDYAKATNSK >CRE14074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:101392:114473:1 gene:WBGene00063904 transcript:CRE14074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-60 description:CRE-TAG-60 protein [Source:UniProtKB/TrEMBL;Acc:E3MRD5] MDSNRNPSGGDSPQPITWISYLYTSFLYYFWLIYARLPQRITDDKSTEEQIRRDADTPRAQISRTSDETQTVRQVAGLVASLPAPKDSSLLSLTPPSSFDCNNCLTPHSSQKTCSPTYVSNLSLRLRSSSSSSKKKPSSTSSSTVSFHTPSRSSSTSSVSSESTISPKKVIAVVPSGSHVLVRVPKLDWKTESIRIKRTRMSDWLDAEQRDVMRQFDEVIRIEEEAEDQKTSSRGKKGILKQHYGSTRSIESIPSSSSRRSKSKETPVFVPPPPPPTDAMPYLPRLAELKKSTPDQEFGFNLHAERNRGHFIGTVDNGGIGQAAGLVIGQRIVGVNGELIYPNTGHKEVVSLIKKDNMKTTLLVASEEVDKYHRDHSIPYSWDNVERFAPEINVETYHEEVLVAKTNGYDIQPLNPHSIQVNEEREISKMTTTTKTETISSNTASYQYKESTTAYDAYAAPPAESGDLMDQVFGNVSLPTVGNSVTIHSHTEELPSAEDASSVSSLSSHRESAVDVPVSHQYVPSYATQSHQQHEQHSQTHHHHHHSQQQPSPLSNGSSHGYAASSTSGYDDDDIYHLSASEARERLRMKNRKNHLHEMSLHEKYQMVSNM >CRE14125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:115057:115920:-1 gene:WBGene00063905 transcript:CRE14125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14125 MVKATPIDMALSDIISTKRKTKKGVKRPIKKSAGGIKKRGSFSNAGTPRRQSGGGQGGVRKVIRKSVGGGSNDNRPVRINISNLAGTVLSSDLQELFNGFNLRKVSVNFNEDGTPAGTGDLTLSKYNADRLIQKFAGVALDGKVRKVMHFAVIETTNVVASRKPEIRGTPNRRNSAGRPNNNKKIVQKSPRNQNAARPSKKTQKPKREQKTQKTAAELDAELDAYMSRS >CRE14075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:116745:117291:1 gene:WBGene00063906 transcript:CRE14075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-12 description:CRE-RPB-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MRD7] MDGSATPGPGQGHVKSNSMIYICGECHGENEIKPKDAIRCRECGYRILYKKRCRKLMVYDAR >CRE14126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:117862:119674:-1 gene:WBGene00063907 transcript:CRE14126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14126 description:CV-FLP-1B [Source:UniProtKB/TrEMBL;Acc:Q23806] MTLLYQVGLLLLVAATYKVSAECCTPGATSDFCTVFSMLSTMEQNEVMSYLGENCEGDAEVALQKMEKRKPNFMRYGRSAAVKSLGKKAGSDPNFLRFGRSQPNFLRFGKASGDPNFLRFGRSDPNFLRFGKAAADPNFLRFGKRSADPNFLRFGRSFDNFDRESRKPNFLRFGK >CRE14076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:119975:127327:1 gene:WBGene00063909 transcript:CRE14076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-10 description:CRE-DAF-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MRD9] MMRLFLGNMEKNEQFLECGDSINSCIVFIFRVCVYDLAFKPDGSELLLAADNKLFLFDVAEGGQMQILKGHKDLVYTVAWSHDGEMFASGGADKLVILWNEKHEGYLRYSHTDVIQSMVFNPVNLILLTCALNEFGLWSTTNKNVVKQRSNTRCCSCAWNTDGTVYAIGHGDGTVALRKGTIVTEEASMIIQRDSTEPVWGIAFSSNKLFAPKDSQGNPTNIDEIMAVIDWSKTLSFYTMEGELKESRQLDFEPHCIKYCIQGEYLLIGGSDRKLKIYTRTGVLLSTVAQMDHWIWSVAVRPNTNTIAMGCVDGTIACYNLIFSTVHCVDHARYAVRKSMTDVYVQNLEYRTSSNIRCQDLVKKMSLYDTKLAIQLSDKIQIYKQSSGLNKQERRKYLKYTLQDTIRKDLEFSLMVVTHGHLVVCNEDKLECYDFKGIKKRSWNMKSVVRYLRVLGGPPHRETLVLGTVDGCVYKVLIDNDYPILLDTRKTPIKCVDINANRTILASIEDTLVCKWSDIATGETLLQEPGCYSVVFNTVNENLFAYTTNMMLHVRTLMAPGHTSRGVGYVLGFVKNRTFCLVQYSLIPLEVAYTVILYQYIDRNDFKEAFRIACLGVVKNDWIYLAEKALNALELDVAMKAYKRVKDRAMLRMIFELKKLKENGETEAIIRATICAYMKKFREASKIYKENGFENKAMELFTDMRMFEDVQEVMTTASGETKKMLMRKRASWARDANQPKIAAEMLISSGDLDKATVLIIENDWLELAIEISHKIDKGDLETMKKLSSYFIRKHEFGLASRIFQSINDMKSIVDMHVNAGHWTDAFAIADRHPKYVEDVYLPYARFLAERDRFEEAQKAFHRAGKEQEAMNVLEQLTSNAVDENRFADAGFYYWLLSQQYLDRSQSEENLTLLSKAREAAQFADAYYAYYPVFIFCSQPFSFERNENILNMARYLTFTPYINNISKVFVYFTIAKLAGEMGAYKSARTALDQLTRLRVRPHFELDGQIDTMTLNIRAKPFTDVDSMQPMCYRCGLNNPFLGGTSCIHCETPFIISFVSFDVLPLIEFKIEQDITFDEAKELIESEPPLADDDYNPLRSIKKGVKEIILNRDSLSRLERGHVIIQTFQPPLAPKFLFNVMPSITIAQCKGCNKVFDLDDFEMACLRKGHCPFCRTSYDRNEAFFVEEEEEEESSNVPSFGQFSRFS >CRE14077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:127621:128958:1 gene:WBGene00063910 transcript:CRE14077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14077 MSMLSSVFCLKCKNMYGEYAFQMRPRSSFGSLFLLFRQFGHSDLFADLETRKMCIGTCNHSICEKCFDKKLTGTCPICEKGNSFDLKNINYQAQEMVGTVVQSVSLTDLVDLKLNQKSSGHGECSECKKYNEKLRICVECAMRSELLTKSKDGEFEFSAEIKDGELLETKILLIRSFALCANCVLDGNKHDGSDGKQHKLVPMVNIKGMSETFYKLRAISVVSFALGNIKKEVSECKHMPLAPKLCAWVSECWKTIEEFEQKIAEGNGVDHASNSSAVITMINTVMEILNGVSWVYKEVVERCLADLSVEMNNAESVDEKRELKKTLEKLESLRNFYGSRKMFDLRTSKIVDLFYMYQSGTLGKTFANDNRIDKSSIEEAVREGLNVTKDVRRMIKLPPRYEKYANFAGSVLQLFMGEKSNQLEEPDLD >CRE14078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:129489:130698:1 gene:WBGene00063911 transcript:CRE14078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14078 MSMLSSVLCLKCEGMYNENRKTCIGSCGHSICEKCFDEKQSGSCSICKAEHAFDEKRFNWHAPDMVKTLLESISLTSVCNMNTDHTTVGHGLCSECKGHSNKLRICADCAIQHNLLEKSDNGGLKLSKEISEEELLEAKILRIRSNALCADCVMDGRKHDGHQMVPLGDVQYMNDATEVLDSHAALIFLFHNIWKECDQHKYMKLDLQLYNFHCECFLIIEKIIEHLENDSSADSELFKPTEYMDQVYGSVWHFRIRVEDSLKEASNYMEIESSTEEKLEWKKTIEQLECIRGFYDFIILKGHFEGSSPIPMDGVLKHGSSLKLGQPSFGSDDSVEGMRLVFKTLPMFGSRFGQSERDKEQQLEKPKLDEI >CRE14132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:136327:136851:-1 gene:WBGene00063912 transcript:CRE14132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14132 MRGSSAFTLLLLLNIFCLVHSTNYYFSFYVTLHCRISNRQFGYNAQFFDKDVAWFNGDDAITDPYINYSLPGDAFFKSEGMLTGDEWLSKFFDLKMVLYHNCNLANEEVRVDMNLLPLLKIPQTLLDNKYYQFELSVDITEMSGEITYTGKLVQNR >CRE14134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:140542:146235:-1 gene:WBGene00063913 transcript:CRE14134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14134 MILHSIFLYLIFLLSVHSIIPNHFHFSDNFRKVDPSQLNEDISVSLSYLIQPLDPLSPESTKKFQQRYRYSEHFTSNKKTAFLYVSGRDDFNEAVLKNDGSPLVKAAERFGATIFALEHRYYGNSTPNFENFTSESLQHLDSYHAIQDVIFFIEHANTQFKMDSDVRWVLFGSGYGGIIAAETRKWDPITVSGVVAISAPIEREMDFWKYNNKVEKTIMKYDSSCYNKIKKGFRQVQDLMNFSEGRNELSDLFELNPPWNERDLALNEIQMFYLSIIAPFQQVVQYDNQLELSIKGLCDAIHDSRDSVEAIHQAHVYLSTQLTGSMQQMNSTYEKYVNDLGSKILNCLKDYQHKSCLSAQKRFWQYQMCTEFGWFPTTNDNEDGLFGSVVPLSLFFNQCFDIFPDLYKNETAIKIRDDIEKAKNFYGKYSGTNAVFINGENDPWTVLGRNVSDEFSVVTLTVPRASHLGIYKQKEIRKVQEIVMENIRVWVRGPKNSVTFMDTVEPWRRPETYDANSKSSKQKMSKQENDDRFSKFVEKFSSEKKESEDFHFFGMKPIARKFRGDEDEVFDTEGMEIGMFRQRIDHFNNKNTKFFQQKYFKNSRFARPGGPNFLMIGGEGPEYGHDVNLNSSIMRRAEEYGGTVYVLEHRFYGDSVVENNTDLSTLSSLQMLYDLAEFIKSVNFKSETSNPWITFGGSYPGALSAWMREIFPDLVIGAIASSAPVLAKTDFYEYMMVVENSFLRYDSACYQEIKNGFDEIHELFQTDSGREKLSVLFKLNPPFRDNISESDKHFFFFDIIGPFQFAVQYAGRGSGGFVEDSKIAMLCRNITNGTQSSVENVAKVVLDDFKNKSIIHSFYDKNKWKHMKKTNENYLWRWQTCSEFGYFQSADSGNSIFGAMKPVSFQVQRCMEMFGKEYTRGKIEENVEATNYRYGGVDGFRGTNVVFINGDVDPWHILGLYNSTEKSVVSYLINGTSHCVDMYPPQDNDIDGVKIARKLVDDNIKVWLEQTGWKAETRKESTTEGSITEMVTVTERSSTTKSTVSNTFFVSMIVSVAILCRLYS >CRE14080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:147776:149214:1 gene:WBGene00063914 transcript:CRE14080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14080 MSRDTKARSLIILLLFVILVISISNYFTVSKIEYHVISSIDIDSSNIISFFPSESIKELRKKALLNAENDRKLVSDASKKDNYQEFYKTVKVEAHCSQKERIGEKGDGGKYVCNPKKVKRDCTLMSLGLNNQIGFDKHIYEATGRQCKILGADLDPQNQRTKDSYAKMNGELFAGRIPNEITIPQILEKAGRKEVELLKMDIEKGELTALEPLIKDYFVCQIFIELHGKPSVHLEMLQKIAKYGFRIFNVDENLLCPHCCEYSMINELCMTQFEVVPLGITIPKSQ >CRE14135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:149923:153689:-1 gene:WBGene00063915 transcript:CRE14135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pcp-3 description:CRE-PCP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MRF2] MLRNLFLLAFLAATAFSILPNHYHFKEYLNKAAKNSRGNDAISVTTGYLNTPLDQFVGNASGTFSQRYFYTRQYALHQKVAFLYVSVSGDFETSVITDERNPIVITAKQFGATVFSLEHRYYGGSKPNFDKFNGTTLRHLNSYQAIMDLNAFIKYANVQFNMDPDCRWILWGAGYGGIIAAEARKWYPDTVAGVIASSAPLTHQYDFWQFNDHVQTAIMQEGGSLCYQKVAQGFADIRQAMRTPEGRSNVSDLFQLNPRLDQTNLNYNDIQQFYLAIIAPFQEVIQFNNDFNISIIDLCTSIDKGPWTPMQVIWQAWVYFSTTVTGSVQPLVTSYQAVINDLGDQSPNAQFIDQRMWQYQMCTEFAWFYTTNNNEQGMFGAVVPSSIFLNQCFDLFPDSNLTPTSIRELVINYNNFYGSAYDYSGTNVVFTNGWYDPWSTLGKEFSADFSVVTYVIPQGSWASDFFPGDSDNMFINTAHRLMIENINIWVNGPRNPRTFVNTTVPWTRPYWGEFGEVGKTLAVKNTESKFAKLGDDVPSKKTFPQPKYKKMLFGRPPHGFLPEPDYNKDATYPPGFEQGTFRQKQNHFSNQDPNTFQQKFFKNAQWAKPGGPNFLMIGGEGPEGAGWVLNQDITYLTWAKKYGATVYLLEHRFYGDSVVGDNTDFQLLSSLQMLYDLAEFIREINYRTGTSNPWITFGGSYSGALSAWMREVFPDVVVGAVASSGPVFAKTDFYEYLMVVENSIRTYDPTCADRIQSGFNTMRTMFLTKEGRKSLSDLFQLDPPFGDNVTDTDQHYFFSNVYGNFQGAVQYSGDNAGAYANGYGIPDMCKIMTNDDNTPLNNIVAFNQFMSIFYNGGGNYTGMDNSYQDLVNYLINAQSFGPDAAAGLLWTWQTCTEFGYFQSADTGNGIFGSPTPVNLFVQMCMDVFSSYYQRSTIDSRIDYTNYMYGERYHFRGSNVVFPNGNVDPWHALGLYNTSTDPSVVSYLMNGTAHCADMYPARDADVPDLAIVRNRIDQNIAIWLGQAAAATTTTTTTTGTTAAPGTGSTASPGTGSTASPGTVPTSTATTVQTTTKSATSSTVILSFIAVFARYLL >CRE14137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:190491:191397:-1 gene:WBGene00063918 transcript:CRE14137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14137 MLKILSLLTILVAFTVSRPTDDIPDLFLFLMNNTPAECIDQTYELAAKLMKTKNDLELKYGNIEMLYNKELMNSLVQKFKTISDSINSPNCSTINFVKYFLDGTVFIGNEIYGEAFACLSEEDLETKFTDCNTGMVPKDSDVLEYLKPVSYCVTHKLECSPEDRKHFISAVYAGADLFETFNNGREVLKKMESNKLTLKFVPEKYDHILN >CRE14082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:199014:200664:1 gene:WBGene00063919 transcript:CRE14082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14082 MSSTRAQIEENSEELNLIFKQLVMTDQGDQDILLPKGATEQVNKTMRNESDSTTNGTSSESEDDSNETNNQSEIETPLRLGTITQLIFPFDGKMANYGTFTSQFDHLVDKVKEIKLELKQVILVKLLPSSLAEELCPAEFSEQEYFSLRIRLDQQYNYELLTRSVDMFVRQLKTLGSNLDNEFSQHVFVCKPKGGIQLQQAPNKSQQDVEQQLYTRCERRVQTDKKQEDVDEKTLTNKLIKEFQKSFTPDGEGRIHIGIPYTGQQGELVDNSVVAKQRLSSLLSHLQEKEAKEAYQSIIAQQKDSGITEEVKPKTSTLRPEEDSFNNKHPILVNISFKQPSQRSVLEAENFREERKSKQKVLDWNKTQEATTNGPTTTGTGDEPTNEEFVRQARHKSTEVFTQPYRLSVSNIVCRQWSSDNSSGQSAHPQLAQQTSPASGQNAPLGQPDAT >CRE14084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:215810:220089:1 gene:WBGene00063920 transcript:CRE14084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wnk-1 description:CRE-WNK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MRF8] MMEILGCDESRNFALVSCRLDTSHKSVTFQFAPGTDKPNTIATKLLAEDCLLKIHVHIVEAQLSEVIQLVNTDSKKGVGTKLATVLDPNSTEPPTITAVMPKDSVAVAAATRPRIEIEKTPPTRESSQEPNNVQVTNVRKVSQESNAESVQSIPRPAVTSPTNHSDPGTTAPPIAPAKPSRFQVTKSADPIAATPTTVVSTTTITPVIAATPPTTAEPVIVQPITSHVITHLATPSPVAHSLSSNSSPSATTHSNTSSIQSTTSVPGRRFTVQPVSQAESGISSSISTPHPEAAGAIIPTGPPPVPSVPPVVATASLNLEAFGQPTTKQFVVETVPSSSTASTATLVSEPPAVVQTIPISVPAPVQEPLVVNSQPDVLTQLESELRKVSGVSHSASPSTVVESHTSMTPQTIPLACQTVPASIGQAPAVIAAAHAAALIPNASVPQSPSRLDAESGLAGLHEKLEALKLEQDRREEGDEQAAIDGKDEIPIDTLKGLAEALGKVIHTDGRETTPMPPDHPDLTDASTQQLVSPPNPDLLSTMSAPADGSLSSTMLEDMADVTLTSSVPQTTPSAPATTEAIPAAMTMSTDQECAQSMTSSMTRNTTGTKLATFENLETALSSTLGTHIRQPNAPSSRDETTAPMTPSFTNERNGGGGGAFSIGTPPSHSPFPVSECDYDLKGQMDMEGEDPEVIQMIVRHRMEQHKLLEKQRLEIERLRSKVRVPPRATSVNPEMIDDDADTTLQSLQQSLTNASISLPASPPPNIEVGVNETVKLIPRTLLMTHQIPDNDENTPERSDNNIIGRVGTPHDTVSIVEQIKRRLGFLPSPGQSIGSSSTPQQSPKPPPPPRFISYCCALSSTPPSHHQQQPPSHGELSPANTTSSGSSSSSDSSTDSSTGLIDNA >CRE14138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:224788:225948:-1 gene:WBGene00063922 transcript:CRE14138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14138 MPTWLFTEKIHNMLETLNDWTTMLDNQSQVDVIYLDFAKAFDKIPHDILLNKLIALRLIEHLIRWLNDFLTDRTFQVKVGSQLSRFRSAPCGVPQGAVLSPVLFGIFVNELSSLLPENVHYKQFADDTKLYSSVNNKTVENSLQKGIDIVVEWSRSSKLPLNNAKTVALTVGKVRKETEYSIDGQTIKKENLTRDLGFLISNKLDFTEHWRKTTNTAKFLTAQIFNQYNSKKIRLMVLLYKTFIRPVLEYGNSISSPLKVSDENLIESVQNSFTRRLYSRHTGKYLRPNDPGYKTSIEHQLYGLSSLKDRRLQIDKKLIEKMMSGKIDLNTADFFTLSQNNRTRAKTSFVWKRPKNKLRRKFFVNRTLTYLTQLNSTSNAPQRSLV >CRE14088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:237856:240096:1 gene:WBGene00063923 transcript:CRE14088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14088 MDDFANPYTVHCLQYDNFPNVCANLTGTALSECHTTKSHSYYSSAAMEFEIYCRPGWKDFRPAFVQYVGVLIGNIILGYVADQMGRKKTFILSMLIGIPSLSLSATFNSIAAFYFFRALTGIGIAGTMIVGWAYFSELVSPHQRFKLRTFSNWANARIMLTLVALLTGEWRLSSHVSACISLITLAIVYFILPESHIWLRKKGRYEEAEESRKRIAALAGIEFVPMPPPEKVENAEPEKAVNILTVFGDPQLRKNILILWLMWFVTGMTAYLTDLCGGDMTANFWIGQFLSGILLSVVRIIMGFADGYLPWMGRRFVLLSCQSLAIFFFGCVIAFLYAGEKGQWYYTAVYLASFVFTSICWEPCYLCASELMPTDVRATSTASCSIVGRFANIGASMLSGLKTIYEPGVHMISISLGLTNVIAAYFFLQETKNCSLDKAGTSASKPVEKANEQEMKDLIDRTVAEDVEKK >CRE14140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:234927:237727:-1 gene:WBGene00063924 transcript:CRE14140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14140 MPSSIFKDHSNRKRVHDDKGKSPRRRIMKNPIELADIMHPRVEERESLPRPRRDSESFDFLSTNEEVNDSNLTLDFGELEVDHDCEGNGSNALISGRKGFERFLSAHDEDFASSVRKIWDHKKNKCSISYHCNKCGSNGKDNVKCCNELPAKFVRNGIMGQLQQLMSAYLNKIISIRKELKEGKQQTHNLASQFFSPLRDLEENEYELRLSGILSIDGVAVPGTQKKLWPISLMLVDLPTNDMQKSSNVMLEGIIESSSNPSTTLWNAVLPIIMTDCESREGTVGKYKYKLHIATVCADQPVMWVKQILMIENVQAKRSLFGMRAHHGSSSCFYCLSPGTFYKMEGEKRVEKRPGNLTVLDSEHGVNGFGTVPALIISYVKPYETPIDLLHNLGEGICEHITKELFSKVNKIIPKSDLFVCNSDDLQNTLDSVTLPTSFSDISNCRNGTDKISFFRLNIALSAIHGDFLKAEARFAIVALSMIANKMFATGMGPPLFDEQMCAAARWFLKEASNEYLNCKTHELLFHLPDVIHTFGNVGPLGTFAFESSYQFALMGYSSRLTRNFPETVCSRVLIHNSIRREVSRRSTHNPSSSFKKFLSFTKGLSPQQVSCKNVISSQMEPEDKPFSEGRILYGSLSLPCGRLISEYHDRNTTDDVFYAVKENGQLECHRFVAATMELGEIQLITEPFSEIDDVYQFSSLSKALQELDGTNFYYGQEVIRMLKEYEGVKYCRLSEKRVVVPLKSVISIGSYIDCGDGLCVLAVNGTMIHN >CRE14089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:240406:242076:1 gene:WBGene00063925 transcript:CRE14089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14089 MAPKPNPPPKEDTWAFQPIGSPFPPSPVKCMGEQNMYVALWYKHGKPIHGRSWNNGGVVECSFPYKEAELTTKAQLEGQIQVLQYVGDHNNQGFWYEWIKYKDRIEKLDDKHQLVRCGDSFPIFWKRKEGNLLGYVDNKTEEAWFSFNGKVIKQVGPQLNDMYIITRNCIGGPPHCECDNCPKPPPPPPIPPPGPPPPRVMHDEWIDIREGDPFPTRKLVQALDKTLDTLPGVNPDQYVALWYMQGEPVMGRVWNEGGKVAANFSWFNNEYCKGVGSIQLLVRLGPHVVGYEYGWIPFPEAATFEEGKTWKPVHVNNHKGDISVGVVNLAGGKQILAKVDVRNESYGYGYQGKEISARGPACASSVTVLCRKALPGYKLDG >CRE14091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:243676:247460:1 gene:WBGene00063926 transcript:CRE14091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14091 MEVNTYDKEIQGQTRERCQKRTIRDSPNIDRKRAKSASSSIDTTGGFSQLETTDNSKLMDFSRIFNNESSNLETPKEVIEVIKGMASALTLIHNQNRTLITQNKKLSEKVVSLELLVGSIVEKMPVPSSLGKMNEAVPTEQPNYPKSYSNAASKNLPKKTPSKSGPTKLREAKLLNVTPTTIAGPQVKPSQRGDTRKSTVIIKNVNLSGPPENDKDAVSELSNACGCCSAKPLQNRSPEIPSILEGSNCTEQRTEIPLVHCFQSEGDKNKLQRRTVALGMGNKRTCNHVGKISIGYANCNSISNKLSKLDLLCEYYNFDVFCLSETKLDNSFTDSLLSINDNYSILRKDRNRHGGGVGILIAKHIRFVPVDLPASSQSAEVCAIDIFAGGTTIRIITAYHPNHGHSKSTLFDTLEYLLIPGKHTVLLGDFNMPCIDWNLLSAPDKACADFVDFVVKHGLTQSVKSPTRFNPENILDLCLSNTGIISDVSVGDLFSDHCLIKVVLSCDRRSLKSLRKIINYRKGDYDSMNGILSRIDWPLLLANLPTNDMYSLFIGYLKELIYNYVPILEIDESKVRHSPAIIKLQKRKLRIWKKEGNSLHYKSICASIKELLLEEHKKKFEDKLVEGNPKNFFKLINRKLKPSNFVGPIKSGNGILCDDHEKAECFLSTFSEVFVSDDGLTPSIEPRTTTLIDEVSYDPYVIEYALSKLEPKCNNSPDGIPYIILKKLCTSIALPLSLIFNQSIRSGSLPDVWKTAIVIPIYKKGSRSDPGNYRPISLTCSISKVMEKLVRRSLIEHLTRNKLLSISQYGFRSRMNTELQLLTYIGLIIRDLQKNNPVTSVYIDFRKAFDTISIFKLASKLQAYGVQGELLRWINDFLSGRSQRVLLNGVCSNTSSVGSGVPQGSVLGPLLFILFINDIGDKLESELLLYADDLKIISPNAATIQKDLMKLSEWCQTWQMKVAPSKCEYITFVKSKRTNLRVNSNINIELDGLRLPQCDYIRDLGIIFSRDLSFDSHINSILRRAQCRINILFNVLKNSVFDIMLKCYKVFIRPIMEYGSTLYSPTLKCLIRKVESVQKSFLYRCSKKFNFEYLSYFDTLEAYGLESLELRRLINDLVYLYKILVSNEFYSPNHVYTSFTHFQSLRRHSYHIKPILSNKTKFGSQYLPNRLLSCWNSLPVHVFPVKSSSRCFKNNVKRLNLSKYLTLNISTY >CRE14094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:252545:254912:1 gene:WBGene00063927 transcript:CRE14094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14094 MNFFLLLFSIGLVSSLPPDETVPSKKMKTEKPEEKLNQPVSTTPDFSQSSSSINVPDQSESSSCYFSKVRKSELEQKERSSFTKVTTSCGGNLVKWSSLLDTFKGKMFGAQNEMNLLAARGAAEYHDFNNPEVNKEVGNRMICDQHLKELVTEWATSKNPNHIRKEYDSGKVQLKCGMPSDVSPHSTLTIPKVSHDVLTRDDAQRLLHEHHSHVHIGTPLCKFHKDFVSVAQCIGLFESSVSIDAPVAKRLRSAVAKYSTDSESCTSDQVMDVDENDDTNSQSPAENPEHNDGVCDTKFFEWAKSLGIERIVSRKPFSQLSRRSKMRKASVINKLVSEALELLAPGNSKELKTFFLDLIKGIQNMYDFRFNNSNSEMISRKFGLIGDGTKHDSRKFVAVKGTMTIETSGGYGISDHETFWKSSKCSKKCSEEDIEVQTAAGEIIPFNDADVDQGEHTPKPMESAVFECPEEGCTAVFTKYGNLERHLALGKHQLVPEKETLLDFAMERFAETIEGLREHSTPNTLKDALTTLPAGVLPFSNQKGWAIPSKKVYKKYNKDVVQFVMKKFEDSSKKKLKIYPKIIAKELREQKNDGTLQFAPDTWLNYKQISNLYQTFGRKSRELEEKKKKEKKTSKGNQSTGTQVPPTIPSSIRTPQNRASATRKPKTTSTGEESKKRSRRETTEELVDLVLEELNQKEYDDSEEIYDEISEENQDFYHLIEEIEKEKQEIFDS >CRE14096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:262296:266879:1 gene:WBGene00063928 transcript:CRE14096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14096 MESSNIPYVLEENSAKPRRFWMELTPRRHELLCTYLLGIGTLFLYTGYSLQQFIGESVIHSIHEKSPDTISAYAGYYGAAFHLSAFALCTLVTPSLQHFVPSKWFLTLASALFAVYYLGFFHLNKYYFYLTQALMGIGYSFYNNGEGQYLSEHSSRRTIESNTGIETAVGHASMFFGGIALIVLCFLLHTEGGNGIEYSDLQIRFIYGTMFILNVFSVVVFAFLPTKQYDSIASKSSTVVPSLANQIKQFLKTFQSKNLLILMPFFFFQGFAVSFLMTVYPTTLSFTYAFKTDIYIIGIYSVSMGIAEAIGGIFLRPLLKRAGDYGLYVIAATNFLSYSIIIILAVLSIPNLATFGPTNSAPLFLTPSRLLVFIIGFLIGFSDFCVTMARAVICQVAVPDCRMQVFSLSKLYQSGSSVIVLLLTPYMTIYIWLCVLTVFLFIGTTGFVIVARRTTADRQKHSVAHPSQAQLADKI >CRE14141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:266983:270321:-1 gene:WBGene00063929 transcript:CRE14141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-28 description:CRE-RAB-28 protein [Source:UniProtKB/TrEMBL;Acc:E3MRH4] MATMGEDDAPELPKKSPVPGQIDDSDGEDDIEDKVIKIVVVGDGASGKTSICQRFAKESFDKSYHQTLGLDFFSRRIMLPQEMQVLVQVWDIGGQSIAGEMIDKYLTGANIVFFVYDVTNSKSFENVGDWLSVVKKNLKGSENDVKLVLMGNKTDLEERRVVPVDSHRNFSNSNNMMPTYVSAKTGDTVYMIFRQAVADCLKIGLSRAEIESDIDIVQGSVIEATKVGGKVADGVGDTRKVHFVEDKDTAQVQRSDQSRNTSVCSIS >CRE14142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:272604:273735:-1 gene:WBGene00063930 transcript:CRE14142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14142 MYLFLFLFSFPFLANYVKSDDNILMPFERFQYDLERFLPVVEQEVEFAELSSLTDDQAYEQIKTFFPTLQLRIQQLRNTGIIADTNRIKHKEGATQMCGQGHNFAYLLVDHKLRNINVVLNRKRISAVNNLKMLREIRDALIKWGLSSKFPCQRLHAYKKG >CRE14098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:281235:285704:1 gene:WBGene00063931 transcript:CRE14098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14098 MGCGSSSAAEVAPAEIVEPSPSSDVPSDPKIPTSYRPSIISLQIPNEFGDDVSSDALSDRSDAQEENVDYLYATDVTLQWLLERIADKFKKPIPEDPQWIIERLNRPSWDLDYATSSVVRVTFGWDDDDLPRSVVLKTPVAKDQRDDEEGKYHYIMFKRECNVYEWTQKFPKLVAPNIIHIKKHSKEGSGVVVMEDVSEKGQEQDPVKGLTLEVVRDLLKQIAYLHSVSLKHTSWSTLVADLPPSYYAHTIGNFDETMTFFERSDVDHSRFVHIGKYFSDEYLHSTATETTELLEIPKVLVHGEPYASNVFTKMEGKEQRILSLIDWTEGHSGCFAEDVAKIICWNLNAKERVDNTASLLEGYHFHLARYYDGDCPFTVDIIQRCYELFVPFAMVSLCGKVMSVKNKTEKEPLIERAKSLIQQVYAMERLNE >CRE14143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:285884:287518:-1 gene:WBGene00063932 transcript:CRE14143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14143 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MRH8] MSVVFAFIFLLVNTVVLSTILFQCATAKRSNQHENKSKKSTQSRKSSRSGKSSRSRKSSRSGRSTTSSKSGKSSRSGRSGKSGKSSKSKSVKSYKLKPNPKGQSNKKVQAAILADQKAAKDAINPSLKEVHDLSTSEKQMNQLVTKKEGNSKVSYTNIRVIPHEISFPQIGGLKHVRIKNSSGKRIVYMVKCSDNMMYSINPVYGIIENDKDAQINILRENGEAKYDKLVIITAVHTDKNKTAEQTFASLNDNNSHDYNINVVPLLIQ >CRE14144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:288110:291113:-1 gene:WBGene00063934 transcript:CRE14144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14144 MSSPTSTVEKGIRRRLPPPLNRMGLFEPYIKDGKKITEIVRLNPVEVFLAGFIPPMFGSVSAIAIALIFHNDEISNYNWQCGRARLPSLSRIINLPVERTFWQLFLLFHVPIRVVELITGFSRYKRMRNVNYKRVWLYELSRYLYFVVGLLELIFLSGLSIIGERENIQVHVIFFYVFGICGIVHMIANIFCHAHSLYYLNPYGRLSYYLKILFTSLYVLSTPILVASFLLYWRKCITWAYDVFALCEYSGVFLNICFHGCAFFDIRYKVTFSVRKIEDAIQNEPTKQQQVEAIPEKH >CRE28647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:555:1374:1 gene:WBGene00063935 transcript:CRE28647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28647 MISVAENTTKKTGQNEQTTRTYHTVVHHPTNIMILKMSTVYTVAAFIGALALFVFLFIFLWIKDTQRLKREIIELKKKVQDLEEYRMMRPYMPSNCVIPMKPDKKEKKIVEFEAKKEKEIPVFPNVKTLKKEKPKESVKKSLHKSVKKSAKKSKTIETSEDRTKSDETKSATFESPSAEQESKTTKSSVASVTLDLAETQEKSPIEDVATAELQVASKRRY >CRE28755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:1500:2677:-1 gene:WBGene00063936 transcript:CRE28755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28755 MVSIKEHFNWTHAIYFGIFLIIMPVYFLIFVCLLKLRRSDFSFQTTFYSLLIQHAIADLFAMGLYAFQKISYILIPNFIFEKQETLYIAPIFYNCFFWFIIIRSNGVALMTLHRFMAIVRPTFTFSSIIKRSKAWVVFLVYWIPPVVFDSFFYSDLTVRFDSVENLKNVVKPETTLKSTIVCIAFLFISCAVCLASNVFIIKYIRENSNSISKSVQREIRLTLQVSFPFGAQLVLLCFMIFANLYAKTGNTEMMVYIRDFFPIANGLLSFISPFTIILFNRDLIRKIKKMLIGNKSRTVADNQSEISAKTSVVLRMR >CRE28648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:4622:6216:1 gene:WBGene00063937 transcript:CRE28648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28648 MIQWIRGFFSLINGIISFIGPITILLFNIELSQKIRELVFNNKNNSHPDSSIRPSRNDNTAKLRFKTAHHIISDLLSLTFYTVLKLSTSLFPEYFFFQEAIPFAQVFLNGVYWSIVFRAHGIALLTIHRYLVIVKPSSVLTHFIQREKQLLICIRFWIPPIIFNCFFFLEWKIRFQLASILIFAMDTSIVDRYSKLIIVFLGISCLLCLFSYLSIIVFVRSKVQTMSQSIKRELRLALQMSLPFAGLLALLLYMTFLNTLAINDNSMIMQWIRGFFPLVNGVISFIGPFTILLFNKELTQNVKELVFNNKNNNRPDSSMQPARNNDNVSMMR >CRE28650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:9278:12346:1 gene:WBGene00063938 transcript:CRE28650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28650 MNPDTSDFGKTEQTNTTSYQMPLVVYYVLFVIITPIYFLILVCILKLRRHVVMFKSTFYTILVQHSISDISALIFYAFQKVSYVLISNFLYNYQRYRFAAVFYDGIYWSFVFRTNGIAFMTIHRFLIIVKPAHKITRMVQQFEPWKIWVVFWIPSLILSAICFSDLEIGFDSPEKMLLAMDPSIISRYTRVIFLYLLVVCVVCVILYGLIIKSIRTSSHSVTKSFQREIRLALQVSLSFAAQVVLLIYLFFSYIFAEMDNTAQIVNLRRFFPLAYGTLSFIGPFTILIFNKDVSKEMKLMIFGKKLILLVAALCICYSVCDHNAGVRFYFCVFIENERTNYIVQICVLYNIDSALYYNGLYWCIVFRVNGILFMTIHRFLIIVKPLYKVTWYIQQAKPWKIWIMYWIPSVVFSAVCFPDTEISFDYPENMALVMDSAIISKATRISFIYLLFTCSACVISYGLMIKFIRVKSHSMSKSLRREIRLAFQVFLSFSAQLILLIYLSCLNVFAVMDNVRFDLLYQEYYNFFKKEGIVKTRKYYPLVYGILSFIGPFTILIFNNDVSRRIQLIILGNRMVRRVESLTTSTSRKLTDFPTTRF >CRE28651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:12955:16586:1 gene:WBGene00063939 transcript:CRE28651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28651 MGDLIQLNVGQKVKKWKIDKKLGEGAFGAVYKCSNPKGDLFALKVEGKDEKIQLLKMEVYVLNELKKAGGRHFCNIEDKGQVDNFNYVVMTFVGLSLADLRANAPTKKFSMGTAISVGRQSLEALEDMHNIGYLHRDVKPGNYTIGRAEVNELRKVYVLDFGMARKFAHEDGTIKKPRNVAGFRGTVKYAPVSCHAGRELCRQDDCETWIYMLVEITKGSLPWRNMTEIGQVGQEKRAIRTDPIVKKKMFGGCPREYLEILETIDKGKFFDEPNYERMYYLLREAMKNTGSTEYPYDWEEYLNKKMKEEQEKKKNGVLEQTQKVIKKKEVELEAGKSLKEVKEPKEKKEEKKEEEKPKEEKKVERYEDDKKEELY >CRE28652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:16974:17677:1 gene:WBGene00063940 transcript:CRE28652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28652 MIRSLIILVLFYTSVAAGLESKHWQWRLITCKAGDSPVQKKDASSCKVSLLETENDPNPRPAPFDPCFEEENDGKPRNYCNIVCPGADTAYLIKRIPQNHRSCFGHFTYKIEKRSPNFFIWRDAKCRSSSVEFLIRCEFLSPRSAFRADEDIFEEANRIATDLRQ >CRE28653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:17790:18566:1 gene:WBGene00063941 transcript:CRE28653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28653 MNKLLICAVFVSFPYYCHSAILDELFDINDVENKACKDGCETENYRTCPDICVPEMAVLPYKQAKEMAERVRPPINPCMDIVSACNYETMDSYENLRTENPFLYI >CRE28756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:25075:26916:-1 gene:WBGene00063942 transcript:CRE28756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28756 MANKNKKKSEKRNPVTMKTIKWSCTRELTRTRTLVSLANRALALERNTQNITVLDGYLAQLLNQLALIEGLPENAIDLLKSNKNLCAKNVFEANRAEISNHLAARGHDGLVNQLIALIGDVTTTVNNFRSGRVSATPGTPPPPPSNGLAPGSAQTTDGIAPNQEHPERRNPEQSVQTAIDQSDQLHQGSESNTGRHPPNSTKPCESNHSSDVSTHPPSNILNRGSSEASQDIAEFADDLAVRIGAIEHTQTLLLDSSATANRAIKNLQDNMQASYATADRAIKNLQDNMQAIQENTQKMQEMMYEVISRQPLWQNKPEKKQEETESATMSEATKPSIGQPDASALGQSFPIPLENSSPLPPASTPKNDTVDSPIRRQNPRVSQDSSNPLITNNTVYTVMNTVPVFDGEPADYSMFMQLFDSMVHDNDDIPVTLKHALLMKLLRGEAKSMLRSVTLSEEDYYVLRDSLERQYNREKDTKQHLIHQLNKFTFSEDSFEDMEKDLNKYSIIAYSLRSKGCTLDDSIFINSFIGKLPQQIMGTVFMKHHQKDRTFQELVGIAYRTISEKRALDQALKIKKGRIVTNEVYDDRSDNAKMTVGHNTALVSSSDSNSSSD >CRE28654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:22242:32263:1 gene:WBGene00063943 transcript:CRE28654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28654 MHEAPEIDVRMRQRDWRATEERRGLPTRRETQSQYVKHNYSSTNRRTELNGSLASSSPMTSSEEGGHSSDPWNTSSISSGKRDIISPPMYSSTPHHHNHQQIRQVTSPQPPVTKTIEVKVERSEKVEQQVSEPPKAPSTQTTSSTSSRIQHHHHVAPNSNATYAQPIIRGQPSYGSRSSSIATSQMRCDQSEIDFSWVNEEEDKLKRESKRIDSLPEFYFGMDPPPVGSNDEKENEEEKRRRRKSSVLEKTAAFEIEARRNDRKEPVSWTLFDSEIRRKFQTFLSRSSHQLLTTSEIPPADYSPLSIHTKEMGGPAERRIYRIQKQQQQHQSSDDRRYRSSIAF >CRE28757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:32632:34479:-1 gene:WBGene00063944 transcript:CRE28757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28757 description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:E3MJV2] MVHKFLFTSESVSEGHPDKMCDQISDAVLDAHLSQDPHAKVACETVTKTGMIMLCGEITSKAVVDYQVLVRNVVKKIGYDDSSKGFDYKTCNVLVALEQQSPEIAAGVHLDKSNDDVGAGDQGIMFGYATDETEEAMPLTLLLSHKLNYKLHELRRSGELEWARPDSKTQVTIEYASEGGACVPVRVHTVVISTQHSPDVSLEDLRKELIEKENVLRNIVLQVIKAVIPANLIDDNTIYHLNPCGSFIVGGPMGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDPTKVDRSAAYAARWVAKSLVKAGLCRRCLVQVSYAIGVAKPLSVMVFSFGTSALNEADLLTIVNDNFDLRPGMIIKDLDLKRPIYEPTAENGHFGHNQFPWEQPRHLKISAELLAKVQGVPLAKGNGIAH >CRE28655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:37202:39088:1 gene:WBGene00063946 transcript:CRE28655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28655 description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:E3MJV3] MSQHKFLFTSESVSEGHPDKMCDQISDAVLDAHLDQDPHAKVACETVTKTGMIMLCGEITSKAVVDYQVLVRNVVKKIGYDDSSKGFDYKTCNVLVALEQQSPEIAAGVHIDKSSDDVGAGDQGIMFGYATDETEEAMPLTLLLSHKLNHKLHELRRSGELDWARPDSKTQVTIEYASEGGACVPLRVHTVVISTQHSPDVALEELRKELIEKVIKAVIPANLIDESTIYHLNPCGSFIVGGPMGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDPTKVDRSAAYAARWVAKSLVKAGLCRRCLVQVSYAIGVAKPLSVMVFSFGTSALSEEDLLSIVNDNFDLRPGMIIKNLDLKRPIYEPTAENGHFGHNEFPWEQPRHLNISAELLAKAQGPVLPDVIGIAH >CRE28758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:39840:41960:-1 gene:WBGene00063948 transcript:CRE28758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28758 MWRLKAFLFLFLLKFGDANGNCDARNYRSVENAPEDALIYGITQDCQMFFIRPSQLHLLSTIRVDKRHSFCYANLVQLHVKSHDTLLLTFKQATNRICTLEVHIPALNMLFGDHLFRYSLLNSLPYASCSYSKDQTFQLEPDLSFMDPVYSDVIYFIDALSSGKQWKVHQFLLKDTGNMNMMNNLTVTLQTRDSSANEFVVSLDNQRDKLYRRNRFDNLIFQQCAFEMMFRPKESEVNSFETPARGYGAVLNGQSVDGDVMIYVETDRTTDPPTTRLNMLSTSHPEHVSCVSATSFSFDVGIIRESTVEKLKNDPRPPFGTKVSPRPSSKSTKLNEQVNQKLKNSTPASSGKPTIIPTQSFESSVTSSAATSQKPKGAYSPSKSFFSYEFGGAGTTTTTKSVAEEKELISNEIPFPEMTEEEERKQEEELNEIIKELKSDEEENEEEKGEEKSEVNQEKEVGELKTREVNRTSENGDKTADPSTSSNATSSDAEAVKSSRISMNLNTIVILLSVISFCKYFI >CRE16507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig625:12261:13323:1 gene:WBGene00063949 transcript:CRE16507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16507 MKTLKMLDITLIFLILNSTITISGCLINILLLHIVLFHSPKVIKTFSVGVINLAITNIGSCFSGFLIGERIIPSGKQLFYISYGLCSKIGPQFCFDVFGCLLHFHTHALWLVFLSFAYRYYVMIRKEPSRLALQLSILIIYIPSLIQLLAMFSQEMDIEEIRNLLHEAYPEYNLTGLTVTGAVDSFQFAPLYTLIHMTVISTPISIGIHILKNKIVALLQSRGVDLSPKTRNLHAQLLRTLRFQATVPLIYIFGVFCFFSSHFWSHPIIEFFTVIPPLLVPILTPFSCILYVTPYRHYVFRFISQKVHPKNSIQTTMSIVCVD >CRE16506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig625:10535:12021:1 gene:WBGene00063950 transcript:CRE16506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16506 MSEVTVSFIVINTIGTGVGTFVNLFLCYVAIFYSPPIIKTYSIILINITLANVGACVSGFLLQERIIQDKKSLFYVSYGPCSLLGERFCFNVFGAYLHFHTHALWLVFLSFAYRYYVMIQKEPSRRALQFSVFIFYLPSMTQFLAMIFQEMDLNEVRVVLQSKFPQYNLTGLTITGAVDIIAFAPLYTLIHMTIISIPIAIGIQILRRKIIAVLISKGVDLTTRSRNLHAQLLRTLTFQATVPLIYFLDVFFFFLTRFWSHPILEFSIIIPSLIVPILTPLSSLFYVTPYRNFVLRLFSAKSKFQTVGSQSIIQVISLD >CRE16505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig625:7355:9672:1 gene:WBGene00063951 transcript:CRE16505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16505 MEEAKNMALLFFMDHLMQKNGRRTIHDLSCQFGARGFSEEMRNAVGTTQEGLTEFLQGHPSLFTVEGDQVILNGHNDLNAKNNPLLQSGVRSRNYEKEAVDFFVTKLTKFGPELQIKSLLGHRSQAAPEVRLVSGRHLKEFCEFLQSQVDYFVVEGDRVRLKNMPEPDENAIEMDDEGRPLAGVKAKQAAVEYLKSVLEQNEDQPIPLDLFYQNFCQRFSHTIRQDVATNPKELLQFLKLNRGLFFIRSNKVSLVKNRPNEEGSENGSDEGDETNNNGMFPLDQSSLTRIHFVKALKPAQDLIARLWQDINNMEKKVVGLDLKTVTVGVDGEIFLSLGKFFDFRNFPKISYLFSGVIATTSQIGIFDLASSDVIILESGFKGILESEKVVKVIHDARRVASLLAHKYAVHMRNVFDTQVAHSLLQHDKFGKSLHEMRPISFINLQRVYYPQSIMLSDVTPRKMSQSPNWGVRPITEEFQLTIVEEAHCLLSALYQALSNLIPVHLRGLFEDKCIEVNHPEVLLASPNRPPPQPFISSPYRASTRRDARNGGSIMQSFSPAPYAAAPRPQMSDACTQTFSTGDIEVLNVFYE >CRE28657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:66534:69433:1 gene:WBGene00063953 transcript:CRE28657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lntl-1 description:CRE-LNTL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJV9] MLKSNRANEPQSFVKGNDAKKSDLIDLEEVVTNWAKTMFDVTKSKAEGKIKKKYLSYNINWTKLFQECSEPTYTILGAKTNVVDSKQDEKVIFKTTFTNTTQREQEYSFKTERATRSSSTVIIEKGVCRGAEVSLKLKTPCEVVEANAGFTTEVMLNHIGENTSEEELTWGVDSCVRVPPSAETVAELVIIEDVVSFLMRIYSKLVTREFRIENRLSGKILVTITNLKENNCLVTILEGNICDIIRGMPDYTAKGFRFDGPAAVYETRGQCIFRYGIEQKVRINEFSLNSSRRY >CRE28762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:71220:72908:-1 gene:WBGene00063954 transcript:CRE28762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28762 MNSKPLSYLCQRSVMEQFDPNFRIKLAQRVPSLQSVDQLTPLSLEYLRLDDNITVVNDTKYALKVFRKYPANSPIPRHHVIENRDGGCNYDIDQLGFRDLEKQKNPGNIILEGGRVDDLQHQNSANGKKELERLLAYHEGILASCKITVSSRPKVIEPSIPLEPMVDGNDYEDDDDDEDEELSETEEDNYDLFYLDDVVPKPTYWEEINRIEAALLPFHYDGRLPFEPFIQLIIESDSAKSIECYKYTKLDNAISLLRKKMFGGRKLITVGCLEIGMNSEILRIPPNLKFKVNELRIMGSLGLTLEELEPIIDPSSYPLEILEAGIDEHQSKVTETVAKTFVINNDRFISPDVVRNLNHPNVHFKLDRLKGLQFPGLILSWIEMNKSVGTRVSFSICLEDKAAKIVEVVGKRARNAMMANDKSCVTIPMTNSSETKVYYEDLEIGRILIIEVVPANN >CRE28659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:97214:105861:1 gene:WBGene00063955 transcript:CRE28659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-46 description:CRE-NHR-46 protein [Source:UniProtKB/TrEMBL;Acc:E3MJW2] MEFFRSPPQTALFPVSGAFVTAALQQQQSSPSSNLPVIPSPHDIITTTSSSPIPITTSASPPSLPMFLSFPSTSTTTSILMDTVLAAQKLNQQQQQQQQSPFNFLVVKQENPLPVMPASPPRNSPPHAIKMKNEWSTSFFHQSQTPNPYPPMPTGPPHSTASFFTSTPSMTSHHSSPINQSPMSLHQPIPLLQSPLKSSPRSEQESTTLSAPSSGGQMKGERCVGCGSESAIRVHYGASSCHGCKAFFRRSVFEGRVYMCSADNQCDITNESRNRCRACRLRNCLDGGMNPKHVREERSKIERMPGNTCTVSATNGVTHNVPTTSAAPVIEQKYSVTLQQESSPTTLAPSTSSSSVTSVSQPAPVESTTSSGSEAEVVDKKTLQENQLTLFMCALEKQTEQLTDDDVKDNDVMGAWSRDISLTFGLQHPQMVIKRLPLMYTCDRIMEAADLYLSWYRSFVFCADWAMGIPEFRVLPLADQTTLFKQNFMAFGWITFAYKCFELKQHEVGIPLGNGAFIPYNDEEQKKLPERWALTYGVVCKKLIDLIVKVMIELQITEQEYCLIKTISLFQQDCILSEPGAGICSRMRDRLLDALSTHIERKFPFQTQSQRLTRALKISLMLPSFSHIGQVESTLIQQLTAADLHQLSGVPMEICTAQQSL >CRE28763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:106491:107239:-1 gene:WBGene00063956 transcript:CRE28763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28763 MLRRSKRIAEIEKRKNQPEDLIKKPKLSEELIQREIEELNANIVNLENNTRRTDDRCATEKTENDVLRLRIHVSEMSTRRAKHQTSEVKITLKKTTKEAGSLKKQIGEMLGELENFKDFDEAITKAKKETSSNRQKWMEEIEKIQEKQEEKNGGPIEWKMCEICAFEYSEEEKKVPRVLNCGHTICTECAGNFIMNTFNHHNTIRCPFDRQLTQLRSGGVYGLPRNCALVNL >CRE28660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:107596:108334:1 gene:WBGene00063957 transcript:CRE28660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28660 MLRRSKRISEQMERNNLSNQVSVKKSRFNEELKKKELEALKNKLKIAQGNLSDSKNKLNYELRLKEILKKKLEESERAFGAANTVATEAKTMLGNYTKLTENQKQIIADMEGKMEDFKNQSMFNEALNREKATAESYKKKWVNMMNRAKNGEDENGPFPWKHCESCDEPYGDTDIRIPRVLVCGHTICTECAGKLMMNNNSIRCPVDRQSTKTENGRADELPKNFVLLNV >CRE28661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:109066:110776:1 gene:WBGene00063958 transcript:CRE28661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28661 MRFKKHRLLSVIAAALIFLFILILNEVYYDSSEVLIDEKWEQIIDKRQREINESVGCHDFLQKLQTKVSVSVLLIDMDILEIIGQNRCNQLKMYETPIQVATNSRKDLNFIHRNLFEPYFFESNEQNDYLEFDTKPRRIIPKNFETMKFGNIAVPMKPFRFRKYWEKSRLIECSNTTMNRNDIEKKRRINLQSSVFEMSRLRDLLIQYDMYPFISEGTLLGWYRECSIIPHTQDIDFAIMAAEFNPKFVNDMREGRTNFKLTRRLGGLDSLELTVTPRNGYKLNTNVFFMYRDKNETGGNEFNWISGLCGDGEKIRYNFPLLEPICSADFHDHLVWVTCDPKKAIIHEYGEKWYEDVSTNNYSRYESVNNVERNVGWYSSWELRKVTFEDGYEYH >CRE28764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:110896:112155:-1 gene:WBGene00063959 transcript:CRE28764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28764 MLPPTVTKKELLSNFDTFVFDADGVLWTGDIPIPGAADWINTLLDDPEKSVFITTNNSTKTLDQYMQKVSKMGFGRLGKRNLLSPAIVLCDYFKRNAEKFEDQWIYLIGVENLKHSLEEGGGVKCFGTGVDHKDDYAEGDFINEVDVTSRVPKAVVVSFDSCFSYPKLMKCANFLSDPSVEFLICNEDTTFPGPVPGMILPETGPWSAAIQNVSGRKPDIVFGKPHKEMANFLKSRVDPGRFDSRRTVMFGDRLDTDMMFGKNNGFTTVWMQTGVNTVLDIEKARQAGELSKIPDLTCRFSEF >CRE28765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:112472:113849:-1 gene:WBGene00063960 transcript:CRE28765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28765 MLRFLTCSLLISMALVSAKSRFGRQADETLTDSEGSGLQFINFDEGSASNPIVARDQEGSGMMYDEEGSGNTLLFDSETPIQVTNVTGTQAEPSAQSNVLNQSINITDSDAETLVITVHLPQKTTSQLLDDLKDDTAQQTNPLNTLDTAVSLTSVLNELLGRIQDATNQ >CRE30543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig528:9518:11207:-1 gene:WBGene00063961 transcript:CRE30543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30543 MEFTPMTPETTFQYADLNIKHINPENTLAMVKRAIRMGYDTVAINMELGDISDYYTELDALWTPANQSGTDGEPPKKKKKKQQRKDELADGIAGILKKKLIPPPHYVDISQLNTSDLEKRGKVFRQFSRVTFTANEQVVINKVFIHPTILSYDIVAIRPGEPAVLDTLARKTELFDIITIDHLEEKRGKWLSMSKVMDRIRNDGVFYEIAYAESLMPATRRNTLFNGRVLIRSLKSKNVIFSSGAETMLDLRSPVDVMNLSLLWGVANNEARKMISGFPKNLLLQAECRGTGNGDVCSMKLSEAEKLAGIHKEDEEKDTEGVKNGEEKEEKIEKVLPPPIDVRMNHSQYQALLQATKNANDMMKRIKASKAASSSSDSNAPTTSSSSS >CRE28766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:118336:120476:-1 gene:WBGene00063962 transcript:CRE28766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28766 MFAIAHNSILRDSLAGILQLSPERVFDEYRPPPFTGNLVVGVEDLRDANLRFAVRYFLANVEKNISLFIVKQEWSENDEKFFDDLVKTEYRRAHDLNQVAIDRVNKLPENDWKTDRLEQLNEWRTIPAINYSLLTLNDNLKNQIDSFAKVTACAISDDDEQLTSKPPVLHWTADPLEGEIQFIAQLNFPLSGPDDSTFREICRLLKPHFESCYEWTGGILLTSEPVRLHVQRFSDTQIEFAARICVDELEGDEADRPMRFIWPYLAVSLKQAVQVLSEHPHLQYSISFVPFGTIFFKSKEIEARVFDATVFCSTALKYEKVGFRVGDAVHHVDIAHIFPDGTYPSLSRLLSMNPPSPKFSRPDSVNDSVSPSLISEPPTPGGLAAPHNRGRRVSFGTIKLMSDAPSNPEIPILNGNDDGGVKTVDAFIDKMLQQTMDQLAV >CRE28767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:127266:130244:-1 gene:WBGene00063963 transcript:CRE28767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28767 MTPCFDRPIISLFILLFFCLFINLINSIDSDTHVFHPLLAAPRTLPGVRVRILPRGLAYLNNIAANLLADQLPRLVIPDVEHILPSNQGIIYISRIHLSRFRRAEHHQLNSTAPNKISWTMQNMDIGLLGDLSGSVNIVVPLNLTGQVEILAQGLTFHLESSIEKGINGSAKVTSLSCLATIRDVTVTNHNGGLFGLAVSVFKQGVSDNVRHMLQSIICKKVRKYIDEDANEKLAEAQTSSKLGDALETNALKMISVGGDEKSRIDIASIFDSSLASKFFIDFRLKEHPICNENTVDLASWGEISYMGQGDTPFGPIDSSWPGKSPFKTNVVDSVTSKDSMIELVVSDFLPNSLLYHAYVQRFIKVLLTPRTKGVASFLRTTCEGSFCISDLAPQLAEQYPNSTVELAMSATRAPAVLFSEKNGGTISVSMGGLVVVFAVNGNHRRQVIVVDLDVVADAKLSIQGHNVSGSVELRKFELKRRTGTVDISDAEIDDIALLVSQLSENLLNGLLVNGMPIPLPHVLRIKDSHINVLSRRMHIQVDVDVDERRLSKLASQTFFKTPQFSDVNFSPLRRMVRPVPFLEGRQHLQQFNFNNWSTDNTRRIFR >CRE28663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:133751:138830:1 gene:WBGene00063964 transcript:CRE28663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28663 MLQTFIIKCSIFLLLFSSPGQCSEFGVTLGDAAISQLIRHTASQFLEETRVANILLKSNEEPSFGSLAHLKLFNTVSYRNVSVQFRPKVVHVFFENLNITSHANLSDVIWPIPFADSLVDSHVKVPRGHLRFIVDDQKVTLSKCALFNPDIAFRLRDSWLVNKGISTFGSMVSSFFEGALCSALSSSTNDLRHRTERKFPIYEFLPKKVQDHMAARNTTLFYRVNSIDADDHQLTVRAQIEWQKLVPAANDETSNLLNGQEEHSNATKLLDMEMKNGDLVTIWLEDAILNEILDQIDWNFEWMDEQIPVSSPIIPPDSREFLSTLCTECYFQVNVNAKGRPTISATNSSLQLTKTDRIHLQVVNPEQKKTTVFVSLVLTIQAELRPSFDNGTLRTNVELLDTLIEMEKGAFPKTWGFFMSDLIRGMIMDMMWPEIKSAIEDLTYGKGLKLSKFCGIDPNNVVIDIAEGSFSLSTRLVLPMFQSEACLKDLKSSIPNTSKLLQKTTPSPFSRRRRSLLDFF >CRE28664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:141756:142889:1 gene:WBGene00063965 transcript:CRE28664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28664 MKTQEYLLFCFLTFYFIGVSFAQLAKCAEPGCPANGVWGEWKNDTKSICNMTCGGCGTSFQTRECLSTPVCNCTGNSHRYIGCNFGACEYPTQKTCCPPYLPMVINGVYMCGPVPKTIESTACCPQTDLFSPWTGWQLSGNMWSRTRKCIAESIGCPCTAASTQTQTQPPCGQPGDATEYCKNIPVGRGVKHQDLVMNDGEDSADLVMIGKNQDGNTYCQSLTDKYGAGMEFEGVLLIMQDASGVCTTDTVFDCSPINSTIGNATFTCNGTSGNWIYDYTGKEVIRTVIGSWV >CRE28666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:146925:151802:1 gene:WBGene00063966 transcript:CRE28666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28666 MKKWNTPGAIEETIEEVRAKVKLVTGSGKKTIKPLHQVVVEFENKDGTRFMTEEEIIEMKNHIHFEYEPKFVYRNEKRVSLPETRLRFKGKTNSPELMERTFDQFIRYCIGKAGGNLERSKMSFGFFHEGFHKTEGFWINERTYQTFNGQVLMEELERITQSKAEVDIDDTFIIHMHVFNNFEGGAGRHRNKMFDEELKIPAYVVGDGKCLPKAVALAMTFFASKEDVEQFSKWERMIRVKYRSLNEKLQLTAANEILEKSGLSTEHQVFNIDDLEKIATAYPEYKFEVYSRPAYEKYYQIIKEFNFDASKLVTLAFKKLDEVGHYDFIKPSFMHMKATYCHKCKQKTLSTGHSQVCEAKCEKCGYYECDNTQIETIHCEICNTNFPNEECYNGHLEHAYRAKKTMCEKRYTCLECGFRVCKDKVSQDEVHECEKRSRCMQCKEMYDATRYHNCCFQPPRKRFKESKLKAQKSYRILCYDVETIVVNSANGPDFSKPQPNHEVNLVCFKMCCNLCIEEGMECDCETGNFHYFEHVDPLEDFVDFLLHNTKLDNAYVIAHNGGRYDHNFVLSRIMTSFGIIPDYVSNGTSLIMANITTHIKKTRVHNRLKFRDSFRFIPMPLSKMPKTFGITEQKKGYYPYYFNHKENYGKVLDRLPDKLFYDPDHMKPEPRIEFEKWYEDHQNDEFDADMEILVYCQSDVEILTTGLSEYIKNPIIYSCTIASYVHHILKFEHFQRGDLGIISENGWPERNNSVFALKTLMWLEKKDGVTIHHKLRGPEKMIKMSNGDCFFVDGYEEKSNTVYEIYGCFYHGCPMCTNPTLEHPNHTGVENKAIYDRTMKREERIKEAEYDMISWWEHEINEMLKKDSEMRDFFKKCRHASHLVPREGMFGGRTQPYQMIVECEEDEEICYDDFNSLYPSVNIMFQYPRGQPIVYKTNFPSITLGKGVDKKGLYFCSIYAPPDIKITVLPYKIPGFLTFPSCRTCIENNQKTACNHTKVSDRYLTGVWTHAELNAAIERGYQLLQFHEIWWWPDDKWQTADYFVNYLKSMIQLKHESSGWPKDGMTDEEKLAYINEIAQRDGVTLVMENVKKADNMREMSKLFLNTCWGKLAENPVRTESKLFETLDHVSQSEYMSAQGYEVKGIKDWDDGRTLITRASKTESVKTKEFTSIVIGIYTTSYARLRLLQAMEAVGSENLIYVDTDSVIYKKNISDPSPVKALIGDGLGKLKSEIPKGYRMKKIICMASKVYSYLLKHLETGEEKIVTKFKGVVLNSSTSRNINMKTMEASVRQFLDGQTNTISVPERTMRRSKVLGGITTAPFEKRLKPVMDKVRVLPGGKTLPSGYYLNCPLIEDYPYC >CRE13428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:5702:6915:1 gene:WBGene00063967 transcript:CRE13428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13428 MLDKATRAVIERFPDSKDDPEQEKRELNVVYPYVALLIMDEVDGGCTYDYVSECINVNKRQSTEASFTCNTETRNWIYDYTGKEIRSYVQGAYSTAQNICQYFELYSEAQICLKVCAH >CRE13429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:8283:9452:1 gene:WBGene00063968 transcript:CRE13429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-5 description:CRE-SRD-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MQY4] MSLIFVIFHTTLSLIGCVLNSLLCYVAAWKSPTVIKTYSIITVNFAITNLAICVVNFVLQMRMIPIEKTIIFVSYGPCQWLNNRICFDLYSVLVHVYTHTIWLLFVSFCYRYYVMVKSEPSKTKVNLLLLIIYIPSFFQMILLFFDFTDPSILLKIQQSLVPQYNLKGLMIFGIADSTSFNAMFSIIHVAVMSTPIVLCIVVLRKKILKKMSFKGVEVNSNTRTLQLQLLRALTVQAIIPCFYLIGVVSYFISRLKIYRDPFFEYLIFSAFLFVPVLSPISAFTFVTPYRKWLLRACHLSIYRVESEKNETTKEVTNETRWGQSTKTN >CRE13430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:13580:14396:1 gene:WBGene00063969 transcript:CRE13430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13430 MDSATKNEDEKKMFREKDVSTRLIRPTITEIHVDKLALFVERWNHDWEIDGSIQIFDEGIAQYMLTDIESHYKYFAALILSKPSLRCRIVKEEPRDELEEQENRIILLGGEKLDHQSHGSIEFLKNILHKRGYRFE >CRE13431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:17825:19716:1 gene:WBGene00063970 transcript:CRE13431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bec-1 description:CRE-BEC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQY6] MEQRSYICLNCQNPLRLEFAQRRPDSADSEKKSETVITEALTGHSRNLMKLISDAQFPSDAPVCNDCSDALVKEMDAQVTTLDDEVKTYQTYITYLKENHPTTAIPELKAKLQNVADEERDLELQLKKLLCEEEAIDTELQTKRRAAEASSETSTELWKKYRDNLRQVFDDQDELHSLESERQYAEVQHRKLIDTNVLDLCFHIWVDGIVGEINGFRLGYLKEAPVEFTEINAALGQIVLLLEILLERIGVQHHELVPVAMGSHSYIKLRRNGNDIENYALYGQGTPLSGSSGIDAGIRRFLQLLEFLLKELKDRNKNFKPPYQIHAESLVDNGVKYNAVMTLNTDVRWSRAMALMLTDMKAACAQCDALRAPI >CRE13433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:25898:28500:1 gene:WBGene00063971 transcript:CRE13433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skn-1 description:CRE-SKN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQY9] MTIQPANHTDPLNQEERDAYEGLSLNLYQGFFECQYQKAQPEQVKLHTPEQHCSHDESADDLPTDNDLEELLKDVSREESELNKVFENTNPVLNNISLSEGIVYTQANLTEMQELQDSCNQVNCTASSVVSSSQAASLFNDTDAQTRERWQDADMVHTDLFQPPNYVYVGMTNDTPQAIVSNGQIGYDHAYSSSVQAPLSPLNLARSTNGRQQQTQTSPGSATVTATATHSLFESHYMPRNSFSDCTTDSSSPCSRLSSESPRYNSESSSGQHESRFYGKLIPSRSRFDRPSPSRSPHSSKINRVMNVATRKRGRQSKDEQLAAENALPVTAHQISEMSLSELQQVLKQDDLSEYQRQLIRKIRRRGKNKVAARTCRQRRTDRHDKVSSMTHYI >CRE13501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:30130:31404:-1 gene:WBGene00063974 transcript:CRE13501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csn-5 description:CRE-CSN-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MQZ0] MEVDNVKPSGSVPQRNWEKENNVQNVDSIFEYNNQQQVEIRNAKPWDKDPHYFKQIKISAIALLKMTMHAKRGGNLEIMGLLQGRIDANSFIILDVFALPVEGTETRVNAQAQAYEYMTVYSDLCETEGRQEKVVGWYHSHPGYGCWLSGIDVSTQTLNQKFQEPWVAIVIDPLRTMSAGKVDIGAFRTYPEGYRPPDDVPSEYQSIPLAKIEDFGVHCKRYYSLDVSFFKSQLDAHILTALWNSYWISTLSNSPLFSNVEFINNQIHDINQKLLAVDKKLQLNERSTEAQEALSKVVTDAKAVGDELETGRISHFVKQLLFARQNGGGCGCGHASVVSESAMDVAVVPEVEKEQVADGIIPEPAVEMTDA >CRE13502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:33573:34334:-1 gene:WBGene00063975 transcript:CRE13502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13502 MKLHFFFTFSLCILSATCDYANSGGGGGGDVSPPAPPVEPAPAPEAPPADAGSYAAAAPAPPTGGSYPTKRRRVARAYAEGGDAPAAPAVPESAPPPEVAPEAAPEASSSDAGSYAASAPAPPPSYPAKRRRVARDYAEGGEAPAAPTAPELAPEVAPAPEAPPSDAGSYAAAPAPPAGGSYPAKRRRVARAYAEGGDTPAAIGPAPVPEPAPEAAPEAPPADAGRYAASAPAPPSVGSYPARKMIARVSRLV >CRE13435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:34857:35921:1 gene:WBGene00063976 transcript:CRE13435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13435 MLPYLVLIFKITHTVCFMIGCFFNFLLCYVAIFHSPSLIKTYSIIVVNVALTNVGACVSDFLIQQRMIPSGFNLFYISYGPCVKSGPEMCFDIYGIMLHFYSHSLWLLFLAFAYRYYVMLREEPSRWMLQLSILIVYIPSFIMMVSLLLDHTDPEIVRKVLKSQFPNYNLSGLIITGSVDTTKFAAMFAIIHMSVLSTPISIGIWVLRRKIVKQLTYKGIDITKATRNLHAQLLRALTFQATIPVFYLLGVIFYFIAQFGIWSHPIIEFSIFTCFLIVPILTPLSSLIYVTPYKRFVLKIIKGEKSNEVSRARLHTNSMITIGA >CRE13436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:37676:38791:1 gene:WBGene00063977 transcript:CRE13436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-12 description:CRE-SRD-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MQZ4] MIDFSIIFSYAHAIIAILGMLFNGLLAYMALFQTPRVIKSYATLIVNFAITDFFACMFDFFVQQRLIPTGLTLAYVSNGYCSHFGPRTCYVAYSLMLHFLSHSLWSLLLSFSYRCYILYKPAPTRHILVFILLVIYLPSLLQWVSFLWAQDDPEEIRDILKEAFPSYNLTGHTVTGTKNILCFSALYTILHMTLPITPVYICILILRRKIISRLSFQGVNITKDTRNLHSQLLMALTYQAAIPGFYLFSVASYAIGQFGIYNHPALEYFTFSSFLLIPFLSPLASFIFVTPYRKFIKHSFFKMAHVEPAETSSTPHNYTSHIHVIG >CRE13437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:39811:41039:1 gene:WBGene00063978 transcript:CRE13437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-14 description:CRE-SRSX-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MQZ5] MLSEETYQIHRTIIYCFVLFFEVFGLFGNINLVVLTIRKKSLRTKYGCILATLATIHTLCLLYELVDMSFSIAATFYSYKILRGVCFKAVFPYIFLNSMQTGTMWILSLDLLITIIFPLKSRHFNIPIYFSLLFFFPVVYGSTAIIFGYMYLDDETLPMCNPPSALHPIVQAQWYYFMMVFTILTVVFYTIALALIYYKAHRHNSDIRYVERKALKTLKYLIFLFVMFRFITITIASVLIAVGVDKEIVALVQNYNVLAQIVAYSQNAYVCYYRSSEYRLLLSEQISKIHPKLVNILPKLSGESSIEGQQWHLSGVSIITTKPFKPRLKSKSEQQNNLNDN >CRE13438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:42677:47099:1 gene:WBGene00063979 transcript:CRE13438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-16 description:CRE-SRSX-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MQZ6] MVMSTEEEYRMHRIAIYSIVLFFEVFGLFGNINLIVVLLRNKMLRSNFGCIMLALAFFHTICLIFELINMGYSILATFFSYRIFRNVCFHTTFPVILAHCLQTGTICILSLDLFLAVAIPFKYRNFNLSWYLPFLFFLPVCYAIGITTSAKILLDNSEILMCNPPSSMVPSVREWWYEIMLTFSFLTVIFYSGALGLLSIKMRRNSSDIRLIEKKALRTLKVLIVIFLFTRFFSTGIATLVSVLKVNPEYIALVHNYNVIPAMAAYSQNAYVCFFRSEEYRRLLTEQISSYMPCIKAVFPIKSAERSTSFKRGVTVVKF >CRE13439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:48559:50318:1 gene:WBGene00063980 transcript:CRE13439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13439 MTERSRQAYSATVAQPIRIPRIAIAPPSATLTGTHYITTHASTLPRSNDVLTSPTYITDHLPSRRLSEIDSPFYLPNLLSSTSERPPRAHLPISGYSTTPLRIRTDVSNLPRFVDTATDYNTTARDYIRERAITSADNREDFIRFSEYPATAEVIGLQPPRMITPNGGLETTSVRSHNPIIPETPADVDRLALFNNTSLGYQRLCYFFSRYPAIAMTIISTWMLWGRGLGLNSVNSNENVCYIVSFVAGVFILSSQVVLTTAHGNSYKKQSILSNLYHLSNTIGVLISITVIVFAAISYQNVNSVNHDTDQCTWMDPSLGGLLEYYCFTPSERIAFLSILCGAAGLIIVVFTFSGIYGCVGQSLMKKKRQDEFREYAAAHENAAFRF >CRE13503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:51345:81787:-1 gene:WBGene00063981 transcript:CRE13503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkh-7 description:CRE-FKH-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MQZ8] MPIFDTFHFILMFLPTLHHLIFSEHLNTPIDMPFYIQTPPLTPAQHMSSSSTVTSPTSHNNHLLAEDEEEQIDVVHEEEEEDMEEMKMEIDMQNLKTTPLRRSFRPLIASELRSGIHKTCSDSNLKRFSGSSGPLSSSCESTGPSSAFGFFQNPRPNRPASNLPGGVSHELKIDPLQTVQQFLQMHQSLMNSPSVPTCLSKLLIEVSQLKTHKKHKPKLFFVCLLLCFPFLCSFFLFYFCINSDTPAPSIQDASSLVSPGRLPLHGRVSWPEKPKKQKRHRFWSGCLFCSQRGHAAAYCEKFDVCRDSGCPSQRGPPSDHQHRQSTTTLNNVLAPSSMITSESLPRPTQAPSSSFSHSTIGGGNSSQLPVSLDTGNPLNDNLLLLALQERIMRPQQPTLTQSATTPSLSHLAAANSSTNATSLASADTTRLIQQMFLGQMLIPQLPSALDLASSMSLPLSTAIPQAITTPQHALWQHSMCAWPNCDQPCDSVMALIAHLQQEHPCCERTNEEMRAQIEKVESLEHKLSVERNRLQGMMQHLRMKPSPDTTTPSLGKIDPQSPMRSPKVEGAAFSIQPAHQQQQQQQHQVTQQISQVSPTSEAASSLLSIAASVAASTAASNQMTTVPSVSSMPTFINHQLSTSSQPSSHQASGSSGPILLQRAASSASTETSPNPDSKSFVPRRSRISDKTVQPIATDIAKNREFYRANDVRPPYTYASLIRQAIMESTDCQLTLNEIYTWFTETFAYFRRNAATWKNAVRHNLSLHKCFQRVEQNVKGAVWTVDDSEFYRRRPNRSSATRSQPQTPLPEDISQHRMFDSNALSSFLEMQNFDPATLSGEQFQLNGGNLDSVLSLLANADVNNPLQMLSAAAAAGNHSGILEGQQLNNVKDEIMDVVEQHNGHTLKVAGNIQKTTKRPASANPSTVSSC >CRE13440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:89728:94091:1 gene:WBGene00063983 transcript:CRE13440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13440 MKLLFLVLLVFQLGFSWPHHIIPLSFDLLFKLPIHEFNGFTGSMVLHFNLSSLSDNITLDAVDLHSFRNISLIRSSDLTEPSLKSIKILKETVEFKFEKSLFPGQYLLTIGEYNGRIGNASEGLFERRRPLLYTTHLQPNHARRLFPCIDHPAVKALFRLSISNTIAMDVHVENRKWQRTIFQATPLLPAYLVAFSVMPDSNLQLSRQTSFGVTVRVNGESRGIVLRVLDCALASFEILAGLIDVPLPLNKIDFILVPDYDGGMENWGHITVSETLATSGDDAHLIYLIAHEIAHHWIGNRATIDSWNWICLQEDLADWMALKAVKALLTDEIRLQRFQLAQYVEIQLVEDFLSPGHSLYMPSKINQELINRHCYLKGVVLLDTLESVVGEQFMYSVIKSLVASHSTFNLTTFTSYFDQIRVDRNATVGQIYEYWYTTGGYPSILVENNGPSSRLQQLSRPLWPLKMTSTLSIPQFVFSESIVFASESAPLLVNLNFTSFMRVNYDSLTWTAIFKYMFEEPELFSAVGRAQLVSDFCFFYANDGVENGVILKEAVVDMVYSKPEFFELCDWNLYWCHSRSDQSLFSQIVRSLALRFSSATFESSSAFGCKNGMAARNMNQFCEKIFGRKCI >CRE13504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:101550:106438:-1 gene:WBGene00063984 transcript:CRE13504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13504 MDDEDMSCTSGDDYGGYGDEDYYNEADVDAADDVAVTPTHSEEADYECLNVNQVERVFTDGVNSLVSRVPVNEKFARILLEANQWDVEKIVKHFRQDRTEFMRRSHIDARPEPRRKLSATATSIAKGYCSVCAMDGYSELPHLSCGHCFCEHCWKSHIESRLSEGVAARIECMESNCEVYAPAEFVLKIIKSSNALKLKYERFLLRDMVNSHPHLKFCVGNDCQVIIRSTEIKPKRVTCLSCHTSFCVKCGADYHAPTSCDTIRQWMTKCADDSETANYISAHTKDCPQCHSCIEKAGGCNHIQCTRCRHHFCWMCFGDWKSHGSEYYECSRYKENPSVAAEANHVKARRALEKYLHYFERFENHSKSLKMEEELRDKIRKKIDDKVNEHNGTWIDWQYLHKSVSLLTKCRYTLQYTYPFAYYLSAGPRKNLFEYQQAQLEKEVEELAWAVERADGTARGALEAHMHRAEHKRQTLLHDFFF >CRE13505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:108526:112889:-1 gene:WBGene00063985 transcript:CRE13505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cec-6 MSEDEEVEYEVEAVLKRKDFERLLEEALASNAKFTPASIEEGRSKHSKYAYLVHWKGFPIEERTWEPESNVKNCAALQKFKDEHSMPHGHQKFEKKYGSAVWRNLGLASEKVIGYKYLMTSEEIQEKKLKKQKLANKDEVEKEKNKEKPRERMVTPEDPEAILKRKKKNKEKSKEDSPDDFRIPKKKIIIESSPEPSPPKEIVTVVLDETENNNSPSTSQMVTSTRTSKDFADSDKEFKKTGNALPGIKPLDEHTPRIQKKTVQVTQSNSLSPESTSGTSTVGRLSPAIMEPPKVQAESSSSSTSTAEPIRIKMKRTADGEYEIINSEDSAIRSDSESSTISTCTPSQDSDFVELVDANLDKKIQEAEEELDALENKLKKYPKKIKVQRVSRSFSHALNLRKFRRCQSEGGLNEFFNSKADLEIFGKNYESSVRVENDVPAPIIRVYPTEPWLGGKKVLSSEQEENILFDEIVKENLLKMKQVLQGKVRYHKNFKKLMELLVTAYSRDDNIRFKKIFLSGFAGESFDSHRYAAIIMIITYCRHRKWNADPKGTQLMESDESNTLGGVREQEHICSLNHRKCTWVRLFLELVPSRLRFLETSGRCFQGVRGDDGPFRDDVYFQCMRSGAECQKRLFFEFDKPIGIQEEIEGDYMVDVMHILAVQHGNLQRIPSYMSIGGLDINALATHMPTKQVYRLQDYLKNWIDGNIGSTHRYKKYYQNLLEMVRLTSESMERFLKTEVNEMLASRMNSRWKIHLDLTYPHTLRASPINNVQNLGDGTHQVLACLFSPLGVGIRNGLEVDTKIPIADLKNLKLRHQKFQKLVTEQQGRLVVALFRVEIEYQANVPDGYALPVFKHVRSDDGIMIEKLTLLRQGEGLTANDADIGLIEMTPFSSPGCRYYCIENSKCEKSLVVPGNAHIEIRGEFTEPMTFVTQVLFIKKNI >CRE13506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:113381:116250:-1 gene:WBGene00063986 transcript:CRE13506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drp-1 description:CRE-DRP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MR02] MENLIPVVNKLQDVFATLGRKEDQIQLPQIVVVGSQSAGKSSVLENLVGRDFLPRGTGIVTRRPLILQLNHVALDEESKRRRTNGTLLTDDWAMFEHTGSKVFTDFDAVRKEIEDETDRVTGVNKGISLLPISLKIYSHRVVSLSLVDLPGITKIPVGDQPANIEEQIRDMILHYISNPSSIILAVTPANQDFATSEPIKLAREVDAGGQRTLAVLTKLDLMDQGTDAMDVLMGKVIPVKLGIIGVVNRSQQNILDNKAIVDAVKDEQSFMQKKYPTLASRNGTHYLAKRLNMLLMHHIRNCLPALKARVSIMNAQCQSDLVAFGEPVEDKNRTLLQIITRFATAYTSTIEGTSRNIETTELCGGARICYIFHDTFGRSLELVNPLENLTQLDILTAIRNATGPRPALFVPEVSFELLVKRQIQRLEEPSLRCVELVHEEMQRMVQHCGFTTQQEMIRFPRLYDKINEVISGVLKERLKPTNELVENLVAIELAYINTKHPEFTEANLVTLLKEELSLEDRHGRSRNRHASTGERAVSAHGEQVGSGRVVWQIAPVPGINGVDLNAALQQQQQQNPRTSAGSGFLGLFGNTSTSNKSSPQEKQSANFLPEVPETQLGRKLTSREQRDVAIIERLIRNYFIIVRKNIQDSVPKAIMALLVNFVRDNLQSELVRQLYKPDEMDDLLAETEDMAQRRRDTLETMKALQQASVIISEVRETQVW >CRE13441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:116924:120534:1 gene:WBGene00063988 transcript:CRE13441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13441 MDPVGFRSVIYNACETGQLQRIRIFMANKVDDKNWIHEVLNSSGLERYPIVIAARNGHVAVVEYLLTVGADPSVRGTVEFDNDQILGTPPLWAASAAGHLAIVKLLVETGKADVNQATNTQSTPLRGACYDGHLDIVKYLLEKGADPHIPNRHGHTCLMISAYRNKVEVVRELLKNDIDVNCQTERGNTALHDAAESGNVEVVKILLSHGAILKKDHQGVDPLMGSALSGYPEVLNLLADEASSSIPRRDALKLLGSTYLDKKMDAMSAMACWRQAIEVPLHSDEMKFVHELETFFEPLAVYEYQEEAQTMNQIELLDGNIEAQRMQSLVIRERILGGAHTDVHYYLRFRGAVYCDMGQMNRCYELWKHALKLQQSHFAPLYFGTVTTLQSFQETFSMTLNDYVNNHHANINLRVKSTWVKYVFDQVCEEMERAAEWKGAALLEDTECCGKDKCQHSTVTSEFKKLVVIAVHLMNVLERISLPSARGDEVGDDKALSLNIPRLVKVCRKLRVPLLHYALEEKTPDQNTSDLGLPKAAVLEQLLEHDLDVNAADDEMNTPMHLVLGANEFRKSLVRALLNYGTWIFARNKKGQVVYAMMHELASTESISFDDMRLGRHVTLLGLASNAMRVRYDGMFDGVEKDFPLELRRFYLAH >CRE13443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:135245:148130:1 gene:WBGene00063989 transcript:CRE13443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-5 description:CRE-UNC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MR05] MDEITITSQPKSGYVIRNKPLNLQCRANHATKIRFKCSSKWIDDSRIEKLIGTDSTSGVGFVEASVDISRIDVDTSAHIDAFQCQCYASGDDDQDVVASDVATVHLAYMRKHFLKSPVAQRVQEGTTLQLPCQAPESDPKAELTWYKDGVVVQADANVIRASDGSLIMSAARLSDSGNYTCEATNVANSRKTDPVEVQIYVDGGWSEWTPWIGTCHVDCPLLRQHAHRIRDPHDVLPHQRRTRTCNNPAPLNGGEYCKGEEEMTRNCKVPCKLDGGWSSWSEWSACSSSCHRYRTRACTVPPPMNGGAPCFGDDLMTQECPAQLCTADTSRIVISDTAVYGTVASIFIVASFILAILAMFCCKRGGGKKRKSSKPLKMTPEKAGGIYYSEPPGVRRLLLEHEHGTLLGEKISSCSQYFEPPPPLPHSTTLRSGKSAFSGYSSTRNAGSRAALIQECSSSSSGSGGKRTILRTSSSNCSDDDNYATLYDYMEDKSVLGLDTSQNIVAAQIDSNGARLSLSKSGARLIVPELAVEGEKMMYLAVSDTLSDQPHLPPVESALSPVIVIGQCDVSMSTHDNILRRPVVVSFRHCASTFPRDNWQFTLYADEGTGWQKAVTIGEENLNTNMFVQFEQPGKKNDGFGWCHVMTYSLARLMLAGHPRRNSLSAAKRVHLAVFGPMDMSAYRRSFELRVYCVPETGAAMESVWKQEDGSRLLCESNDFILNEKGNLCICIEDVVPGYSCEGPEVVEISETQHRWVAQNGLHCSLKFRPKETNPPPFSTRVIVYQKASSTEPMVMEVSNEPELYDATSEEREKGSVCVEFRLPFGVKDELAILLDMPNESHSDWRGLAKKLHYDRYLQFFASFPDCSPTSLLLDLWEASCSGSARAVPDLLQTLRVMGRPDAVMILERFLSAFPQIVSP >CRE13444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:149884:150402:1 gene:WBGene00063990 transcript:CRE13444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13444 MKLVPLTFLLLFFCVFDSCLSQLISQQELLGTQVQGRYQYICGSDPYRFYSEFPCNMYPVCINGGFKINVGCTADYQCTPYSSNSVCVNNCCCTVPRIIGSGIVTTTRRFLDDSASIFNYFYVIVTVAVILLF >CRE13445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:150830:152089:1 gene:WBGene00063991 transcript:CRE13445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13445 MGQVTYFPKAAQEVSTLVTFYSIILMLGNGIFDSSDPFYVPRLFLQFAFASSCVFTFFKSLMVCHDKMRKTGNREKYRRDYLTGCFGMILAIYTAFIYMCYNQFQLVTSSFLFFACNYVSILFYLTFIRDCESKCRVRPVKGHSIMIIALFHVIGVITTYNLLILRGFPHYGGVLLAIQVMYSFELTLLVPTFFAVLMNHIEIPINSTVMKTGEVGTMRELRSFDGDGVSYTSVDETGESALMSETGAECEICLMKYDGAVEKHTPRILIKCGHTMCQGCIGNLLEYNSHQEVCCPFCQQVTVVNGGSVSYLPKNYGMLKLIR >CRE13446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:152732:153789:1 gene:WBGene00063992 transcript:CRE13446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13446 MGGLHLTEISHHFNLFITISIAIFLFSFGGFDFKSKYHWRIFYATLFTISLISCLIGILKSWTICRNGKNQTGVLERCKRDYTIGSGGLLLFACIPYFVICYFHFNIKLQIALYVFFVFNISSVVLHYAFISKLKRLCRLKYKGSHCVIATCFHLLVLISIFTFKVVFDRQIAFVILCFQLTFLYALIFNTITLWTVLGNRIELMNIEMRIIENGEAGDDRTPMGTNVREQDSSETGDPHEVCCSEIDETSPREIDAQATCLKCDLCMLEYDKTIQQQTPLIPTSCGHVLCHKCINIIMNQYDQQHFYCPFCQSCG >CRE13507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:154843:158460:-1 gene:WBGene00063993 transcript:CRE13507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13507 MIFRKFVASDSWGIKQSVVRGLEHRTYGAITIAPMVREETGYLEYFRSLSPKGFVFLEEFFEYLGCSATEDIKTFGDCFDMVNVTLKQESYVPFVVDTVKIIAKAISMYIEDDCGKTPFHKCSLAQSGFRGERLQRYYRNMSLNKNEPALIDANGDGIGRYDVFQLDMNGIYQKVGKWRSTDDFLSVEVEKIRHAFKTAHGERPMSVCSTDCPRGHYRAYQDQTCCWACIPCDTSTSIHNETSCEECAIGMVPDRTLHFCVPIPPVSMQWDTTWSLIPAAFSTLGIASTIFVVSVFLKFSNTPVIMASGRELCYCMMSGIGMCYVLTFFLVSQPTVITCSLTRILMGLSMSAIYAAIITKTNRLARVFKPDSAQRPRFITPKAQVGICMGIVSVQLIGTFVWLFFDPPGTMIVFPSRTEAVLTCKATTSHLLISLLYNILLIVACTVYAFKTRKIPENFNETRHIGFTMYSTCILWLAFGPIYFATQSDFRIQITSLCMCISLSGTVALICFFAPKVYIVLFQPYKNVRTRQSAVGRLVNQQMRFMSQLTYNPDGCNSYQPMSSNQSYKPSTEESSHTSNAPGLPQTRAIPPHVIEQLAASLPISDKNDLVKKLSLQDKLLNNNNNNESSEEIRRRRPSSVHTVGAIANGASVAHIPPRSYTDEPKSSMIRQDTTKSRASLAESHQVDLILEEIAADTNSTFL >CRE13508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:164667:169442:-1 gene:WBGene00063994 transcript:CRE13508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13508 MTCASLYTPRLVQRYSSSILQILIIFLYVLPIISSVKQVTVPGQIVLGGLFPIHEAGRNASHQCGKIKADQGVQRMVAMLFALEKVNRDRQLLPQASLGAQILDTCSVDSYALEQTLEFIKSVMSNGDGVTCADGSTGSYTRQPVVAVVGAAGSQVSVMVASMLQLFKIPQVSYSSTGAELSEKPRFAFFSRVVPPDNLQAQVMARVIGALEWTYVHAIADTGSYGERGMDSFRAAAAENGICIDGDVQKISRRWTEKNFRDLLIRMHRTRKARGVVMFVDEDNLKRLLKTLDLLVAEGHTELDRHFWFVASDSWGIKQSVVRGLEHRTYGAITIAPMVREETGYLEYFRSLSPKGFVFLEEFFEYLGCSATEDIKTFGDCFDMVNVTLKQESYVPFVVDTVKIIAKAISMYIEDDCGKTPFHKCSLAQSGFRGERLQRYYRNMSLNKNEPALIDANGDGIGRYDVFQLDMNGIYQKVGKWRSTDDFLSVEVEKIRHAFKTAHGERPMSVCSTDCPRGHYRAYQDQTCCWACIPCDTSTSIHNETSCEECAIGMVPDRTLHFCVPIPPVSMQWDTTWSLIPAAFSTLGIASTIFVVSVFLKFSNTPVIMASGRELCYCMMSGIGMCYVLTFFLVSQPTVITCSLTRILMGLSMSAIYAAIITKTNRLARVFKPDSAQRPRFITPKAQVGICMGIVSVQLIGTFVWLFFDPPGTMIVFPSRTEAVLTCKATTSHLLISLLYNILLIVACTVYAFKTRKIPENFNETRHIGFTMYSTCILWLAFGPIYFATQSDFRIQITSLCMCISLSGTVALICFFAPKVYIVLFQPYKNVRTRQSAVGRLVNQQMRFMSQLTYNPDGCNSYQPMSSNQSYKPSTEESSHTSNAPGLPQTRAIPPHVIEQLAASLPISDKNDLVKKLSLQDKLLNNNNNNENSEEIRRRRPSSVHTVGAIANGASIAHIPPRSYTDEPKSSMIRQDTTKSRASLAESHQVDLILEEIAADTHSTFL >CRE13450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:182462:184477:1 gene:WBGene00063995 transcript:CRE13450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-7 description:CRE-SPP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MR14] MRVSLLFVFAVLGQQFQVTFTYFWNEESVVNQRPSPSPNGNTPSNLGCFMCTQLLSVTKHRVGLSENQLRNQLYEKCRVLPSVFKEQCFAFVETSLPEIYYSINYDLSSKDVCVRMNFCDETNPFAVGGPLPPIEASTQYPEEEIEEIELPTTTTRRRTTTTTVPPTTTTRATTTTTTRPPPPPPVIPERREEHTDRIGHKNVLEILIPPPLRAKYVSRNTIVETSREKVSEKEEVDEKRLTCAFCERMLENAKNYAVTSKTDITSFANTACASLPKGRTSDQCYQMADKKIAELAKFVDQQVVDALWCAELNRC >CRE13510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:186697:188731:-1 gene:WBGene00063996 transcript:CRE13510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13510 MVLGRSTFLTTFITVSYRFQAPKTLIHLTQEVVIGSVAAWLEQTNDSILSIEVAFQRDLTQTSNQDLIATTRIDILKGEDFRPMNRGKHMWNGEDQKMIEKMQRKRLEVKQKATIGLVKESVEKAKSKSKSISNEPEKKKGEDKSKKWSDKVEKKVAVKWEIPSTEYYPVRYDERIIRNPTAKKQCAELQTAQEAPAIRERGDANDRTDQDCLTHPRDLHSSKESLASQGSTQRDSSPERKQEMPVEPPPVKPIEEIVPEKIPEKIPEKVPEEPKKEEEVKKVEEVKKLEEVKPEEPKKEDPKIEEVVKKLEEHPPKVEEIKKVEELKKEEEIKKEDPPKKEEEVVKKEEPKKEDPPKHIGEKKEEKKEEKKEEKKEEKKEEKKEEPKKEDPPKKIEEKKEEKKEEKKVVEEKKEVTNEEPPVVVVEEKKPKKKIQMNVKRFPDMGELTILSENNGMVTFSCSTRHRNKKAKKSEK >CRE13511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:193223:195023:-1 gene:WBGene00063997 transcript:CRE13511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13511 MIPDNFCDRLYVGGFSTNLSSDQIRLFTKVNFAKREPKPADNVMSNISNVFVRGINREMSDTELFQAFGGVTDGVVQCHVADGYGFVLFDTRANAQKKITEMDGTVLNGKSISVSWARPDTLGRKRKRPMNEESSTLSTPDLYASLLKRPAIPSQLFQLPPQPSVLQPSLFPLLNPTYPILFPPNPLLAQPDLSQYLNFLM >CRE13512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:199586:200078:-1 gene:WBGene00063998 transcript:CRE13512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13512 MAKEEILKMGREEFFKSVKMEYRRYFEPFEEPESVYPDGRINIDCTCLHSALAHRCGYLIRQALVCFNASKTTPRGLDCEKEFVAHAICTEESNSNSS >CRE13513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:200249:200794:-1 gene:WBGene00063999 transcript:CRE13513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13513 MFFFPFFRRIHCHLKDEVLYIRKEEFGEPIKSEWVLEMQNIEKYRPNGPTLPDGSINWQCSCMAGGSLVAHRCGNYFRELYVCMKSDDKRDPSEKCPNQFVNWAACMQNMSDERREKMRKAMTEDSTELKISEK >CRE13514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:201163:202867:-1 gene:WBGene00064000 transcript:CRE13514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swsn-6 MSGAVYGGDEVSGLVFDAGSQSFRVGFAGEEYPKGDIPSYIAVQESLPDDVIEKDEAMETGDNSNVQTKREKNFYIGTTKIIVPRARTTMENFMKEGLIEDWDLFEKIVEHSYENILYSNPSEHPVLFSESAWNDRGRREKLTELMFEKFQVPAYYLSKNVVLSCFAQCRTHGLMVDSGASQTSVVPVFDGYAVTHAVVKCPIGGDVIADQLANMLEQQNVDLVPTYKIAGKEEVSEGDPAKWTPKKNLPEVTPSYDKFMKKMILEDMAATMLQLCDTNIDMDYVEKLPSTPYAFPNGYTKEFGAERIKLPECLFDINYLKTDQKKEGLMTVAQMAATSANLADIDIRPTLFSNVTVTGGNSLILGFTERLNYALATKCPPTIKLRVFAAPTPQERKYGAWIGGSILGSLGTFQQMWVSKAEYDETGKVIVDKKCP >CRE13453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:203107:204309:1 gene:WBGene00064001 transcript:CRE13453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-perm-3 MSLSQWKLDYSYSSKNCRTSDSNFNPPGFYSSAATVQHSAEADRSADQHEHLARKRAWDTAMGPAKSLPMNMFMMYMAGGGVSIFPIMMVGMMLFRPLKALFSVNSTFKPLESPATGAMILHKLIFCLGNLGAIGLAIYKVHTMGLLPNTPSDWLEFIPQPGRAQYSIVDEVFL >CRE13454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:205594:206610:1 gene:WBGene00064002 transcript:CRE13454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elo-6 description:Elongation of very long chain fatty acids protein [Source:UniProtKB/TrEMBL;Acc:E3MR24] MPSLQGEVSFFEVATTVPFSHELSKRHIAQTQWPAFWISMAYVVVIFGIKAVMTNKKPFDLTGPLNYWNAALAIFSTLGSIATSFGLLHEFITRGFFESYIHIGDFYNGLSGFFTWLFVLSKVAEFGDTLFIVLRKKPLMFLHWYHHVLTMNYAFMSFEANLGFNTWITWMNFSVHSIMYGYYMLRSFGVKIPAWIARNITTMQILQFIITHFILFHVGYLAVTGQSVDSTPTYYWFCLLMEISYVILFGNFYYQSYIKGGGKKFAAEKKTDKKAE >CRE13456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:209158:210369:1 gene:WBGene00064003 transcript:CRE13456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elo-5 description:Elongation of very long chain fatty acids protein [Source:UniProtKB/TrEMBL;Acc:E3MR26] MMDQILGTNFTYEAAKEVARDLEGFSAKLAVGYIATIFGLKYYMKDRKAFDLSTPLNIWNGILSSFSLLGFLFTFPTLLSVIRKDGFSHTYSHVSELYTDSTSGYWIFLWVISKIPELLDTVFIVLRKRPLIFMHWYHHALTGYYALVCYHEDAVHMVWVVWMNYIIHAFMYGYYLLKSLKVPIPPSVAQAITTSQMIQFAVAIFAQLHVSYKHYIEGIEGLAYSFRGTAIGFFMLTTYFYLWIQFYKEHYLKDGGRKYNLAKDQTKAKKAN >CRE13515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:210612:214708:-1 gene:WBGene00064004 transcript:CRE13515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13515 MPLREPIRVDPEEPSTSTGPQRRLDENLRKFDELFSKTPPTLWEVCAENQRGRLVKTKYSTELRSLRGVNFKEILKSHQIVLNDLARISGTTFGELCEGLQFLVHFKKIPIFKTKKEDALEFFQLVCNCIHFSNDRWTNVLDSKNLLNPRTTDLVKKSIARSKCPLPPKGAKEGEEVVETAATSSLFPPSKHTTPSPVTRLQDREKVPIPPPPSVDVLYKGPFNVLEDEYLRPIHRFNFSKTTDNKFTVVHEKLLKQVTKNNGNIRVKELELSDLDYYQDDKDIQPTQISFRLDSVVQIVHFDLPKVEDDGYFSPNLPSRGWYLLDKYMESNVECTEAFKHVRPNGRPMKPGFKRGVLHAHECLQFHMAGRHIHGFFDVWEESERGWQLSNGKWINRRYLVDIYNQLMFPLYVEWCHWSATLRWAFEKYSWYGLRLMSMVKRHGHELRNAGDLLFSRYPRHITDTIRYDLTTTKGRNQFYTAIQQENNTVVDRFVVTTLHSYDVHEDGRRRKRRAPKSDEMQLLATGTPPKMSRSPRKALEPPQKLWTGVHPTRRSVNPQKENMDKYYVNPTHTSPHMPSTSQPSILNRTPPTPGFKRKIAENGHHFTPVSHPPPAKKLSITLDSWDNHRAHDAIEEEHVDETEENPNEEDCRQNVWVPQDRGQEVPPEDYAREIIDHCKCGNTFIHTFITTFSKTFDMNHIHHLSVQGRENEPALKSEATPPSIPAPKPRRRKSNTKTSLEPPPPSVEPEKTTLNTNLESTKPPTSTVLTPLSAVSALTVTPSPSNGHLLVPPGKTVSKQTTRVQYSMKPPIPPSANKTVYPVKKLANSSPIVMNGAVTQTKYPAASLASGTPSIGSRPHPYGVQQKAPYYPAGMRSRVAQQTTPYVVHNQENGAFKSTPLPPISTPLPPHRTPLQSYQTPASRAIQNQKNGVAGTDLCRRVMPFNLSMALWAEERNREKNLLPGDPGAFPTRQWPPPSKIDTPINPPTPTVPYQSQRATSSGPPPVDYRSFSNVTRPASNTPPLAAPTVCLPPPHAPAPSNALERSVGVTNTRQERPSVANSRALVDGVAATVNGYHPTTSSTPLPATIPMGANPMVTTRMAPVSVAADLNSISLRKYSHHFRKQNGTMTPEQIGNAIRNESQRFQEDNNGAEGPTVRTFLMNLKN >CRE13516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:215852:217402:-1 gene:WBGene00064005 transcript:CRE13516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sand-1 description:CRE-SAND-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MR28] MEVEVPGGSEAVGGTDTGSESSFKVSQVEIEDVEENLKNAEAIFEHLEQLPFQVFILSEFGKPIFVNNDRNEGEVVSLAALICAFVSRCQSWGDSLMTMTSQDNHIQFLHKSPLIFCVVSKYPEQLDQQLEVLFEQICSILSKSQLENVYKKKGDNYDLRKLLRGTDRLIDSSISSWRASPINLVDSSISAIPMNPSDREFLSTTMASSLGAAKLDGALFGIMIARRQIAAIVRFKKYVIHPRDLNILINLVSDTTLQTDSQNWVPICLPRFNDTGFFYAYISYPWCKTDQEDIPACLVLLSVKRDHFDGLKEVRQQIVTKLEGNAKFFTNFSQAVKTPNLYQISQIGSNSESLWSFLYLNHSSKQVCMSSSKIPLITRAERWIARCEMRRSSSLSTRHPHLRTLFVRGTRHCLFVWVTDLFSLYCIFGPFVTATIAFQVVEKLLKSLKSHEQRYFIINTTSF >CRE13517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:217700:219653:-1 gene:WBGene00064006 transcript:CRE13517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13517 MTTESGGLSKEEFLLVQEQLLQLRNENYELREEIKKKNAASQHQNSPKNEALQFASKLINRASSSKKDEEKAEIDALRRKLETQEEEFRLQQSTLFEELKTISSQNESLKTQMASYSDHPLEKEAGKPTAEQLEESIKQLTEEKTLLCQETIPSLNKKISTLKEELDNCQTLNVELEDRLLTMTAEAESIFTEKEIVDEESVRVMEEMEELRKENQEIVDEMQQRNNEVVEENRKLEEENARLQQTISEQTPELETLRIEHKNLISENQLNQDVAKKFAELQEEKRMLSEISQQEISDLKKELEVFKTENQKLSAEIQQNTEETAKNHEKLLEDRVLLLETRYQLEVDDLKKSFESEKEDFHLKITSLEEKLKSADEDKKMAVKKSLAQVKELQKTLKEEKKRADSYERKSEERSGWHVVPPENDRQSSHTFDGNESVSSMSAIESENVELITRLATLQKIHSENADTILQLESENSRLRREVTEKGELIENLIREKPLGSGFQPQFGGNGQPKLEVSFRKLLNTLGQDSRDSDVREMNKKLQRMLEETLSKNIILQRDLQTLMERSSL >CRE13457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:219959:221812:1 gene:WBGene00064007 transcript:CRE13457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hda-6 description:CRE-HDAC-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MR30] MLFEDRQNNIKTGPTLVGFNESQNEHENTVCPKHPESSDRIQKIKEALQQTKVLEKCHVLTSFLEIDDADLELTHAKSMVKEMVESKTKTQEEINSQCEKYDSIFMTENSIKVAKDGIACVRDLTNRIMLGEAMNGFAVIRPPGHHADSSQPCGFCIFNNVAQAAEEAFFNGAERILIVDLDVHHGQGTQRIFYEDKRVLYFSIHRYEHGVYWPHLPESDFDHIGAGVGLGYNANIPLNETGCTDSDYLSILFHILLPLATQFDPHFVIISAGFDSLIGDPLGGMLLTPDGYSHFIYHLKSLAQGRLLVVLEGGYNHQMSAVAAQKCVRVLLGHAPYPASMEEPPKESTVTSCVNLASVLRHHWNCFDYFPSRATMRLAEWPVVNPKIEFKYDPSSRSADTGEIIQSDLSSTKFTESDNMPSDEMETFIYFNEGDDAHFDLEEDNHPEKPARTRRILKTLKESGVLEKCIEKNSERVATDEEIRMVHTKKMLDHLKKTETMKDEELMEEAEKEFNSIFLTRDTLKVARKAVGAVLEVRNIL >CRE13518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:221929:222857:-1 gene:WBGene00064008 transcript:CRE13518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13518 MTEEKLPLSSLPEYNMPNFLNLFLPYNIIPLIVYSLIFRLARQYVKTHFWLKFEGFKRYRLQNLTICWAHAVVVGLGDLVLMFSHPHEIFHEVIEWYDPIAAQLPLISVAYFFQDAIDMLMYEWSSYTLELLLHHFATCAALVCPGVTGRFTLAAGWALLMEVNSIFLHARTILQICGLNTQFPGVFRFVVYSNIISFFFFRIVSQLLWTHWAIYNVPGLHWYFEMIGLLGPVVFGCINGLLFMRLLASDGFLPESLRAKFMVTRDKNDDQDKEKKKTT >CRE13520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:230881:232430:-1 gene:WBGene00064009 transcript:CRE13520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13520 MSETPTKKQKRRATIFVTGPQSKKTIYEEDSVQEEKNQLTLQDLTLARQRLHNELAAVNTASGIEILVQIFMDEMEILRHRIRESGQRMRNFFYDGSHLIRAILLRIFSKSISSRNMIEYKNEARVIMNEMRDQTNTYKMPRYTMNDIEEVLLSDDLEDGIIQRRSEKWSYLPERQKPLYEDDEDVFSEFRKLNVPMSMTYDEDEEDRLSMPSTRTGVTTFTTEDQMAAIQNQLAMLSKQLMSLQKGGGVEVKRGSSRASSRRGVKTMTKVTVFFEKQNKTNFLQKASVEISSNSSSSSDVSEDEGKGPSVCSPSLSSNRKTSESSSSKQLSSVSIQIAPLSMAPPPPPPLPKMAPKAPLSEIKSDNVRTPLKPAEKKTTPNSLPPAETILSSRPYLTDIAQGRNLLKKTVRSPGGSPACQDRHQKRAVSSFEAALRDRFRGFHGDNSYSEQEENGDDDDVNATWDE >CRE13461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:233300:234525:1 gene:WBGene00064011 transcript:CRE13461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13461 MAKLLILLILTIVSTVSALRCYEGTVKGLESNAQTPKKECGGMSNYCIQRIDKKSGEMRRECSSWSDEHSMEEKCPMAGCHFQTKESTFCCCQFDECNEWKGDGTEFKAGETTVQKAVPSAPKLSDVVMPTAASRKNKNEDDAVRIPTTPAPTRKSSFVELP >CRE13462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:235162:237330:1 gene:WBGene00064012 transcript:CRE13462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gba-4 description:Glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:E3MR38] MLRNVSFVLLLLLGLAGFSILDAKSLPCSQIEKDHGIVCRCNATYCDTIEPLGTVTSGKAVVYTTSRKGKRMERSELKHSTSSTAKTKVYVNGTSTFQQILGFGAAFTDAAGINMKMLPQTMQDQIIEQYFSDDGLGYVFGRVPMASCDFSTHEYSYDDTPFDFQLTNFSLTMEDMSYKIPFIKKAMTASGGKLKLFATPWSSPAWMKTSGRMIGAGELIGDQNGKYYQTWAQYFVKFFEAYHAQGIDFWSLTPQNEPTTGIDPLWKWQTLFFDASMERNFIKKLLGPALAASPVTKNLKIMINDDQRINLPHWPKVILSDPNAAQYVHGIALHWYEDFIDPATVVAETHDLFPDYFILATEACAGYFPADGPKLGSWSRAEQYANDLIKDIGNWVGGWVDWNYMLDLEGGPNLVKNFVDATLIVNATAQEYYKQPIWHVMAQFSKFIKPGSTRIGTTIIEKSVDVEGLSFLNADGTKTVVLLNKNEVLDFEVAISDISAPNVIYDLTIQANSLVTVVYK >CRE13521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:242365:244109:-1 gene:WBGene00064013 transcript:CRE13521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13521 MDQPHVNEKGMSILVTALFIVGETAGGGLIALPTAIVSTGAVTGAFLLLLAAVICTYTGTLLAENWIILQELYPEYRDHCRKPYPAMGLRAIGPKFAHFVSAILQVTQFGTAVVFVLLAAKNGENMLHANFGTHVSFCYMILIVGILVFPFTLPKSPKDFWYAVVAAMISTTVSVVLIIIGSVKDYEVCKDDVFYPSFNLPKTLMSFGTIMFSYGGHCAFPTIQHDMKKPHHFSRSVIIAFIIIFIFYLPVSMSGYFVYGSSLTDSIIPSIQNINIQTTVNLLISLHVSLALTITFNPLNQEFEEVLNMSHDFGWQRIVSRALVMISVVFVAESVPNFGVLLDLVGGSTITMMALVLPIIFNLSLTTIRKKRENKEDEEKISIKEVFQNSDKIKLLANILILVFATLGGIAATVSAMQSMLQSEFSAPCYAKLWSEDARLLEEQRQLTFEHGKIACCGMFRNISAMGSEVCLNAASLMKVGAGSHG >CRE13522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:247615:250565:-1 gene:WBGene00064014 transcript:CRE13522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13522 MTRLWGNYFRVIIVLTSFMCLVSVCSNYIIINFTFICMKDDFSQTRILNGTEKSFYDYTPTEKKYILWAVALGTVVGIFPMNVLYVRLGARIAFFSAGVLSAFTTGLTPWAASQSMWFLMALRFLQGVAYSADFAAIGIVISKWAPLDETAVFIATLTSFTSISSIITNGVSGVICESDLGWKWSFYFHSAACLFVFITWALIYKDDPTLHKSVSAKELGKIQKNKSEAHINDDMKIPYVKIFTSPVVLTVWLCAFTELSTLILIATYAPIYFRSVLGFDVSIIKIIGFYLGIMLAIHLPFRFVCAFLSDKTKCISEMKKIHIFNTLAVGFVGISFIVFSFSQIPAEHNISAVVCLAILECFTSFNCGGFYKCGTLHARQFSSIVISAIQFTKCISLFSGPALVAFFVTDEKNQVEWSYVFITLAGFTFLANVLSFIFFTDQPAKWTEDGGVVKYSKEKEAVKI >CRE13523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:252550:254644:-1 gene:WBGene00064015 transcript:CRE13523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13523 MIRLWGNYFRIIIVLISFLCLVSVCSNYIIINFTFICMKDDFSQTADVNGTLKSIYDYTPTEKKYILWAVAFGTMLGTFPINVLYVRYGARIPFFSAGVLSALTTGLTPWAAGQKMWIFVALRFLQGVAYSADFAAIGIVISKWAPLNETAIFIATLTSFTSIASIITNGVSGVICDSSLGWKWSFYLHAAACLAVFIIWVLIYRDDPTFHKSVSVKELGKIQKNKSEAHIKDGMEVPYKAIFTSPVVLTVWLCAFTELSTLIMIATYAPIYFRSVLKFDIKIIGFYLGVMTAIHLPFRFVCAFLSDKMKFISELAKIHIFNTLAVGFVGLSFLVFGQIPAEHNISAVVCLAILECFISFNSGGFYKCGTLHSRQFASTVISAIQFTKCISLFSGPALVAFFVTDESSQTQWSHVFMTLAGFTFLANILSFIFFTDKPAKWTEDSGAVKYSKKDGDVKI >CRE13524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:255995:258631:-1 gene:WBGene00064016 transcript:CRE13524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13524 MIRYLRMLGMEIPLFLYMLGSYLNYPVFQNLIYEKECLIKYEQNVTFCRDVSGYNKDLDIQAAANHFYFISSLTLLCPSLVTTLLLGAATDFWSIKIPLIIPYIGCILGTINYVFQSYFIHTSVYFLLISDALFGLCGGFIAIISTTLTYGVKTSMLRYRSYRIAGVEGAIGLGGTVGFALSGTIREACGYAVTFLIILGLQLIALLYLLILAKETEFEPVRPDEHTSLISTTGKQLISVIREFYRVLTKSRPFRLILSLNLLAFGVEMLIFSGLSDIQYSYLRYKLQWGDKKYGWFSGLSYGITTATVLFLYPLLRMKWMSDGMLATVGLFFKMISLFMFAFVQNEVMAYSIAVVVMFNRFVSTGFRAFISSLIDMQEQGKIFSVIALLEGITTLVATSIYNNLYPKTLSFFPGLLYLISAALLLVPLTIVSTSDYVVRNRRPEVSEGILNSHNDVIDEVTSTDST >CRE13525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:260621:262099:-1 gene:WBGene00064017 transcript:CRE13525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13525 MQLYAVLCFLALLCLSSGQMTFTDQWTKKRAALHKQQFPGVTPEEPICPSDRVQLVFEQLGQLQRAQQRLTEYLASCAYPVEAPQKLEKPQQ >CRE13464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:275545:277824:1 gene:WBGene00064018 transcript:CRE13464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13464 MTRIVWFCWNLLILYGSCNGLYSMEFNLAPNKSLVKEIDLNPTSDTSSSSSSVLLSYVLSVQTSSPLSVFLSGSAECLKMEIARYSGSIEVQISDEMLEDLIKCGKEKGVVGKIYLHLENPEDHAVGQIKFSRTARHIFRAQVSETLGDPNEFAVSENNPYIRKVKLQSLLDNVLISVTSENVEHAVEVFISFCPSKPGVLYTTSYAPNFEITLKSPQMELYYDSYSCDSDIAFYSNSTQPTSPLIIRLESKFYINGTMLVLINGAEPTLDSHVAEYETGKFTLNGGEMKVVELKYQPTMKNIQAYLDVLSGGLMVYISPCKSQETDMFYSNLTTGTHRIDIDIEAMMDNTTCSLAEIDPSTVYMFLKPSVTTSFSLWIPGEIATWHVAITIGLIILLLVVCMFLIIHFGRKKTGKLVINRYQK >CRE13465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:289998:293100:1 gene:WBGene00064019 transcript:CRE13465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13465 MPSSSSSSPSSEEDKHTSADEDVTPKASPTSSEGAGDEDDNDRTLTMPSTSQGYQSVISPAQGQSAPTSQEATSPSTARRVATPLVAGEQEYYYFTTDMANQAALDIEREKEKFDSLITWYKLTREDIPNLGTSSVGNSTAPSYASEKGSSTPMSSQPSSHQSGTSSTNGALSGHNGFNYSPYAFENNPNSNDPMQCSSSPVDLDEICPTLEDYMSARSMPSTSSAAPVSTAPSTSSPSVNSQPPSQGENQPNPYAYPSHPQSHEMNPMMMYQSPHHPHPNSYPQPSPLNPVNFNHQIPTSASNPSSSASLKRKATSDDFSGEGAPKLAKLDSSAGGAADVENCPMRKLEMMANSQNFRTGNTINEVVESNNKKDERALKMERLEGIEKSVEEEMAQQAREAQMKRMQQAQAAAAAAQPYPSPGQYPGPMPPGMPYPGMPGMPGMPPHPGMPPMMHPGAPFSAGAAYPPMGAPFPPYGHPMYPGMTPGGYPMSAPGKMPFGSPSFPQPGTPSPAAMAAMQQQQGRMPGPQMPPHPSMMSQQQQHQHFMHMQHMAHMHAQQKAAAAAAAAGLSPNMAARASPANPPQFPPQIPPNHPMYHHMMMMRQMHAQQQGFPGGPPGQHGQQPGSQGTPGHPGMPGMPGMHPGMMQGMQGMPPGMMHPGMMHPGMMHPGMMGGMPGMPGMPPNMPNMPGMMPGMPPTSTPASTNPQNPTLMVAGNRSGGQGEPSTPNGMGGPNPHHQMGNMWQLTPHYPAPFPVCGPSPGPGSSTPR >CRE13526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:293727:295234:-1 gene:WBGene00064021 transcript:CRE13526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13526 MSALASKLFSKCVVGRALQAQTVRQFSAYPIDDTMFGLNEEEITLRQSIRQFADKELAPFADKIDKDNGWDQLRPFWKKLGDQGLLGITAPAEYGGSAMNYFSHVIAMEELSRAAGGIALSYGAHSNLCVNQICRNGSEEQKKKYLPKLISGEHMGALAMSEAQAGSDVVSMKLRAEKKGDKYILNGTKFWITNGPDADVLVVYAKTDPSKHQHGITCFLVEKNTPGFSQSPKLDKLGMRGSNTCELVFDNCEIHESQIMGGVGKGVYVLMTGLDYERLVLSGGPLGLMQAACDIAFDYAHQRTAFGQKIGSFQLLQGKLADMYTTLNASRSYLYMVAKAADKGTVSNKDCAGVILYVAEKCTQVCLDAIQILGGNGYINDYPAGRLLRDAKLYEIGAGTSEVRRLIIGRALNKEYST >CRE13527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:297014:297479:-1 gene:WBGene00064022 transcript:CRE13527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13527 MMSSSVGGEVSINSGDLLLGTLSKAITKLEQQIQATQASQRKLNSDCDTMAEYLRDLSEYKQPVDLLPYVGKLNDSTIRVNNTHAKLDELLERLTKLQRQIARETYKKKSSIKEQEPPVQPEN >CRE13466.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:297982:299186:1 gene:WBGene00064023 transcript:CRE13466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13466 MYADDIEKERSSGGYKKSFSGSRGSGIDGKWKRDMFQTANRSPKTSFVTKKRQQTSFMSKAGAAAIGGGAVSRNITTAAIRGRTAAPVVKEETGPSTLFISNLASSVTQEDLEELFEQYQPEVVQLHFDAAGASLGTADLVVPQPQVAIIRKALEGVLLDGQQIEVLETNVAKPVSVFDRIKKVSRQNDFKPKMRVVVGNRGSRVVKKGGRGGF >CRE13466.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:297982:299133:1 gene:WBGene00064023 transcript:CRE13466.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13466 MYADDIEKERSSGGYKKSFSGSRGSGIDGKWKRDMFQTANRSPKTSFVTKKRQQTSFMSKAGAAAIGGGAVSRNITTAAIRGRTAAPVVKEETGPSTLFISNLASSVTQEDLEELFEQYQPEVVQLHFDAAGASLGTADLVVPQPQVAIIRKALEGVLLDGQQIEVLETNVAKPVSVFDRIKKVSRQNDFKPKMRVVVGNRGSRVVKKGGRGGF >CRE13528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:299777:305167:-1 gene:WBGene00064024 transcript:CRE13528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13528 MRTGALCIVLLSIGASMVACERPKIKDTHGNLLVKLSDIPIGSCGDDSYFGLGIMDGGLEECDRWKLETTNPEYEEYKCKVLRVHASVKNGKCSCNENWKGPICNEYNGCGKGETLFGSSCTPHMCHHNGTIAVGKKEVECICPPPWDGRLCDRLACWRKTIPTQQHRYRNNGDHCICGNHYSGENCDIVKSCLNNGQLIDGKCKCGDGWHGELCDKRCPKGHVTCSTCSSFTAATLVAIVLLCVNKFNY >CRE13467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:310844:311970:1 gene:WBGene00064025 transcript:CRE13467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13467 MDTYIKCIFYKFWDEQYQTSARMSFGRRFHLSFHSLPSQIVASFSKESDGYLKYRTNFKPAYKHFLTCVGLESSDFKQDRSPSEFRCNRLFQSLSALYSLNYQEDSKKFLDEIRPLFESAIATEYEFHKNMKAKLQVINSFFANEFEVKKKEFDDLGPVLEKLQANRPAEPEQIQQLDKVMARFTDLQRKLKDMIREGLAAIQAQHLKVIRECTELYQRQNEQWSRTFAPFAKFKPDVTIKPRKDKEKEHDKASKLSVDDKDGK >CRE13529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:312137:313193:-1 gene:WBGene00064026 transcript:CRE13529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13529 MARFSGKVALVTGSSSGIGRATAILLAKQGCKVTITGRNTDRLERTKQEILKNGTPESDVLVIAADLNVESEQDNLIDSTVAKFGRLDILVNSAGGAFVDPEGKIGVNQGMEVFDKHMYTNLRTIVMLTKKAIPHLMKTKGEVVNVSAMGADHHGNPHFIYHSMPKAALNQFTRSAAIELIRHGVRVNSVSPGFTNTGFGTSMGIPDEIWRKVSFEISFSKNISISQMVEFMSSHKECIPYGAVAQPDHIAQVIAFLADRTMSSFIIGQSIVVDGGSSLLMGMDVHDVTGFMAL >CRE13530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:313718:315893:-1 gene:WBGene00064027 transcript:CRE13530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13530 MSSSNSLHANAPPHHFEQIAKNLQSKRRVGDFSTCDIRLNLKNGFDMVHSMVVCAHSALLADALEPQRAPYQPIDLKEFEGASVKRVIDWMYSGNLNIPESQIADVLAVASYLRVTMLQQQIEQKIRNHSGNPILALNIASVRDFSVMDATMNGLVYDLTDKVAGLTIEEISKLTVNSMIAVMASVLPMQKKVPLINMFILWIMAKKPEKETINTILQSLVISDITFDALYAIRYSLKQYLTNLDIASKSQLSISPSGTIGIKIVTKKAAKQSEKVASSTSVAPPAEPFHRSRSEISAIEHLPDPFNSRSNYRSVSEIEAIKKIPDPFEKSLASSQSNNSILVRETNTGPKYFTKSEVESLQNMTDPFASSKSEKLLPPISPVYKPSSGFKKPHCTAKYPNWSSDIILKNKEMERQKMLKASFTASEAQLVRDIPDPFGPSDPVIMSPANGSLNKKFSGSGAKQSFSGHSMVNNNYIVKNQVARADRPAILSTMSSASPNVLSSRSEIDPKMMGARKTESEIREIQALPSFHSASFHTAKTSQYSHTPKGNNDKSEKSQKSQKSDKTLKSQKSQKSQKKLPRSQYLYPN >CRE13531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:318109:323249:-1 gene:WBGene00064028 transcript:CRE13531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tkr-3 description:CRE-TAG-49 protein [Source:UniProtKB/TrEMBL;Acc:E3MR54] MNFTTISDSSSILTSTITSSSLLESTAAVPDSDDSDFYELSPVELMIWCMLYAVIAFMAVVGNLLVLYITLFRLRVRSITTYFILNLGFADLFTGIFAIPFKFQAALFQEWFLPHPLCRIVPYVETVALTVSVFTLVTSAVHEFRTMFFSKCSQMSPKSAKRCVLLIWIMSVIVSLPHGLFHQTYEFEDDNDSSKTIVQCLPVYPEAGWWKSYNIYLVIIQYFFPMVILDTAYTMIAVKIWTLSKAGEEMDETKTANQKISVVSMVPPNTQLSQLMRTLIIVVACFSLCWFPLETYLLLNELKPEVNGWKYINLVFFFSHWLAMSNSCLNPIIYGLYNTKYNEEYRRLFRQIGCFWQRGKSVTDPMKPERRWNSSNDCQDQQEIDQIVDIPSIIPTNNCPR >CRE13532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:327650:331193:-1 gene:WBGene00064029 transcript:CRE13532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13532 METSKEFEFRPAKETSRSKSPGGIVGRLSNFARNKARHSLSEKGSNSVGGSGGSGFDKPRKDLLKEFHKCKEAQDQRLDLSSIEITSIPSPIKELTQLTELFLYKNKLTCLPTEIGQLVNLKKLGLSENALSSLPDSLASLESLETLDLRHNKLTEVPSVIYKINSLETLWLRYNRIVAVDEQIGNLVKLKMLDVRENKIRELPSAIGKLTSLVVCLVSYNHLTRVPEEIGECLSLTQLDLQHNDLSELPYSIGKLTNLVRIGIRYNKIRCIPSELESCQQLEEFIVESNHLQLLPPNLLTMLPKIHTVNLSRNELTAFPAGGPQQFVSTVTINMEHNQISKIPIGIFSKATRLTKLNLKENELVSLPLDMGSWTSITELNLSTNQLKVLPEDIEKLVNLEILVLSNNQLKKLPNQIGNLKKLRELDLEENELETVPTEIGFLQHLTKLWIQSNKIITLPRSIGNLCSLQDLRLGENNLTAIPEEIGHLDSLKSLYLNDNSSLHNLPFELALCQSLEIMSIENSPLSQIPPEITAGGPSLVIQYLKMQGPYRGVVMTGQ >CRE13536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:349272:350219:-1 gene:WBGene00064031 transcript:CRE13536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13536 MLFSSPLLKEKALARGKSIYPKVTVFSEILPLASKNRVQAGQKPRAASSSCTQELQALFGCLKKWEFDDVPCAKQHTLYMDCVHKGAEEAAAYREAARKGTLGETGAGGKQSMTSAQFNKIQKLFPQPDLGQQPYRQMKRLPTQDYADDTFHRKHWQGKRS >CRE13468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:350460:351621:1 gene:WBGene00064032 transcript:CRE13468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13468 MTDNEEVAPAAARPMTAEERRQRRLAKILGNPEERINRILNNGSDENGKRHAPAIEGGEGYNILPNPSTNGNALTTTDEEVDAMVDNFTGFNNGIPPGFPPEFSQFAQFSGAGLTPLNLNQGPPLPPVKGINVPLVAVILGLLSRAIMLFSGPINIGILWAIFYVTLVSRYSAAGLNNQTRNELAAVFQAMGSGNVLEKISKCRILFYLEENSFVFFLFSVNAGFKVIEFIQTTVTFAASFLLAHMILELYDFSADYQLVF >CRE13469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:355480:362763:1 gene:WBGene00064034 transcript:CRE13469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13469 MLRLPFWQGLLLSLLVISTQSQQPQQSPPQQNEDRCQDRSCYPITGNLLIGRKSQLKASSTCGTQGRQRFCIVSHLEEQTKCFYCDSRTEWKPQREPYRLSHRIENVVTEVMDDKNRNWWQSENGVQNATIQLDLEAEFHFTHLIMTFKSFRPAAMIIERSADFGKTWQIYRYFAYDCDSSFPGIPEGPPKKHTDVICTSQYSDVAPSTGGEIVYKVISPHIVTENPYADEISTLLKITNLRFNFTKLHTLGDDLLDYRPEIDEKYYYAIYEIVVRGSCSCYGHASRCIPIDPHVSPNTVMERADIVHGRCECMHNTEGLNCEKCKAFYNDLPWRPAIGDEKNECRQCNCNRHALRCHFDRAVYEASGFVSGGVCDDCMHNTQGKNCEQCKPFYYRDPRRTIDDPHVCLPCECDKAGSQNKGICEGEEDAERGLVAGKCYCKTNVDGNRCDRCKNGYWNLTETNIDGCVACTCNLLGTYNNEGCDKYTGLCTCKRLVTGENCDQCLPEHYGLSEHVDGCKACDCDIGGSYDNTCEVSTGQCKCREGFSGRRCETADSSFYCADITHYVYEAEYANLTRGEVKTREWPTQTHEQTWTGEGFAQVSEGSIITVNPIVEVSQKYNVIIRHDGARDPVGWENVQITVVRPETEGSGFCADAPPSDDFLIARIYPGSRYIEVQPAICLEAGVQYELRIQFNEKRTNSHPQERAASNILIDSILLAPPTSELHIFQGSARAEQHLTEYNRYQCRHLALSLTLFKDQRNEVCERYVCPVAAALLNKTSECNCDATGSVSGICSVHGGQCECKPNVVGRRCEQCAIGTYGFGPTGCKKCDCDAVGSLGNDCDKQSGQCVCREKGIYGRQCNQCQPGFWGFPECRTCQCNDHANICDQTSGACIECRDLTTGHYCDRCQDGYYGDPRLGVGIPCKPCPCPGGPTSGYQHADTCYLRNSGNNTQDIVCNCKSGYQGERCGECAQNHWGSPREVGGTCERCDCNGNIDMSMEGSCDAATGECLKCLHHTEGAQCEHCVDGYYGDAKLKTCQRCVCNELGTNTTKGACDRVSGQCPCHDNVIGMQCDQCAENHFNLASGAGCEACGCDPNGVVPNHEGVPHLQCNIFDGQCQCKPGRGGRKCDQCEDLYWGDPTTPDGCHRCECNPTGSKSLQCHRNNGTCECQPGSGGALCNECARGYTGQWPYCNPCGECFHQWDNIMQKLQKQVHALIDTANNIEDTGVASAYDADFEKMEETLKETKKTLADANISKEDIEEMSKKLALLKKQVIAGREKLGAIETRISNVTQAVDFAQKDLEHLQKESERVEKATIELEDKASKIKEADVLGAFNITRESGAKSMDAQRRTDAAIGKLAAAESQALRASELLEKNKNDFEKQYLENEAALNEAETLLSSLESYLPRLNEQVCGASSAPCDALCGGPGSCGFCGGQSCMEGAVSKANQAKSFATEADTRLDEKQKEAEEVLAIVRDVLTETTKAKAEAEKAYEVAKSTAQRANNSRAGLEKINDEIQEFVNGQRSTPEQIRNLAEEVLAKKISLTPDQITDLTGKIRESLAKINNIDEILNETRGNKSIAANLEARAAHANKQAEDLQKAMEEIREGLQLAEQAHNNVTIALEEIEKLQIQAREAIDKSLNSTAKIEEKAQTANTTLTELEGVMSGVKVEYLQISESAKNALTTVDAALAAATNAEQKNKQIQVSIRRSDLERANELLEKRMEGNVAPQQRAEKLRERAAKLLYQAQRHNDDIDSKLHKKKNISRINIIPDLSKDSTEMRLDDYEAILADLHSRLERVTQDIHDKTDFHATCG >CRE13470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:364965:365909:1 gene:WBGene00064035 transcript:CRE13470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13470 MKHSHLYELQPDPYDCTQRKTCRVCLFKDVKNAAELSQQLKDGKIDAALIRAELVLEPFVLLAAANRAVHQSAHNRMSCRSLSAELVYSLSPSRNISDSLVTFGIAEHSTALIAAIFDDKSGSEMKKLAKKIKGTPEPLMTGLPKLANVSVIKKIYQVGNPAFAEEGLSDHIVSRMVSKDFVS >CRE13537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:366373:367037:-1 gene:WBGene00064036 transcript:CRE13537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13537 MTQISVILLAVLCVLVPTSLAAIQCWVGQETVSNGRRLPTEIGGPYQSVQCNDADFCFNSYVKRHKQGDDSYTITKSCGETGKCFEDGCMGPGDEKNCCCSDNLCNSSNGLTYSSAGILIILSLVFRP >CRE13471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:367392:368655:1 gene:WBGene00064037 transcript:CRE13471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13471 MLLRQPQFLLRLFRLSTLQQSTPSSSSTASSTHLTSENGEKFLKTVIERLKECQEGNASAAPEQISYWEAIAKQAAIVSDTRSELFQLNSIINDLKETEEMRKLAEGDVESIKETLESELQVLATRVVPLNNLDVLSKCQIELSSGAGGQEAMLFTGELLDMYQKLAASNSWKWDPLQVDNVPLGGVRSALIAVSGENVYAKMRFEAGVHRVQRVPVNDSRMHTSTSSISVLPEPEEVSVVVPSDSVKIEAMRASGPGGQNVNKRSTAVRMTHKETGIAVHCMDERFQHLNIQIAYKRLAAILMQRQVDAMLEKIVSRRKLQVGSKARAEKIRTYNFQHDKVTDHRIQMAITGVDEFLSAGETLHAMIDRLQEQHMEDRLDHIIENCIVE >CRE13472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:375500:377268:1 gene:WBGene00064039 transcript:CRE13472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13472 MSDVDADEARKMAERERKKEEVRKRLEEASRMKKAKKGFLTPERKKKLRKLLMMKAAEDLKQQQMLKEQERQRILQERIIPLPDLDHEDDLEAVYEEIRERLIELESENYDVSYIVRQKDFEINELTIAVNDLRGKFVKPTLKKVSKTEGKFDKLKKKETAKVDFRAQLKVVDKNEFAMDEEDTEKKEKATWAK >CRE13540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:379095:382326:-1 gene:WBGene00064040 transcript:CRE13540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13540 MTGNRNRKRKRRSGSGADKSSAKRARQEQDEEAGPSGTSVTPKTRTTPQSRSTPGERVRQEDEAGPSGTQGIPPIRRTPVKRVRQEDEPGPSGIQRTPPIRRTPMERVREENEPGPSGIQRTPPIRRTPMERVREENEPGPSGIQRTPPIRRTPMERVREENEPGPSGIQRTPPIRRTPMERVREENEAGPSGIQRTPPIRRTPMERVREENEPGPSGTQRTPPIRRTPMERVREENEAGPSGTQRTPPILRTPAKRVRQEDEAGPSDNQRSLPVRRTPAKRGRQDDETGPSGTQNTPRVRRTPAKRGRQNDEAGPSDSRRPQNNPRQRTPKTPKNPRTPNTPKTPRTARSQKSQRTPNTPKNQNKWKGPRTSGKPRTPNTPKTRRKQKWTKGMKNKKTGTTSKDGENSENPKKRKRCRRGGKGKRRKIFVKKVGKRKAIYQLQRRPRLNAIRLKVTRTIERALVEAPKVPLIYHLFTERDSMGTPRAPLRQVLSALKISDYVKRSHLLKDTHMKSLTKFTTLFAIEEDEPYGGLYLKYWPIYNKQAATVYVDNLPEGCCDAQLMRLAECYGTVAELSVSKKIPRMIRPRTKRPKAKPDDPPAPRRNRRDPLEEKKPLIDVGSRPKAFGFIRFVDQDSATNMIRAFIVNDPTILHDKMEERRREEERAAALARRVNSDEIPDLLIPGDIEDLVPMVPREIPRPRPKYQELYMKKLIREMRFLKQRRKRRPWPMYVRLLRLKRRFRALRRIEYICLRRAGIIEPRAKIRYAERRRIMAGFPRQKARTSRSGRRPPPASPEDEEESTSNAPFPYYINRRKRKKNIKYLKKRAEKRKLEMEEKRKKRLKAALWAKKHRIPRGTIYVKRYVHGVRVTVKKRMRKKMSRKLAKHIPGGQVRRFFEDVQVLSLKKYLALKKEYKKLIKQEKERHREEIEAPPAEADGPPMVVEEVIDPEFDVPDIDVPPGPAPPPNNEPFFDFQI >CRE13473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:382564:383176:1 gene:WBGene00064041 transcript:CRE13473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nbet-1 description:CRE-NBET-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MR69] MAYRGGHMRGPNEDSNYLERHNDDLVNGLSSKVAALKRVTIAIGDDVREQNRLLNDMDNDFDSSKGLLQSTMRRLGIVSKAGGKNMLCYLILFALFVFFIVYCLAR >CRE13541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:383353:386493:-1 gene:WBGene00064042 transcript:CRE13541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13541 MTVPLHLGVNDVPSVKEILGFGFQQAMLCMSGLLVYPFLISNCACAGAAAVQLRVQLISATFVSCGIATILQTTFGLRLSVLHGPAMAFLPPLLAYKTQNICPYTDHDNVPPEFWMGRMREIQGSLLLACLVFIFVGMTGIAGHLSNLIGPITIVPLMLLLTTSIVPTIEEKLSLHWISLVMLLVVVLMAVYLENTRIPLYYYSTKKKQVVSTKVRLFGQFPYLLSMLLVWFICFIMTITDLEPYNGAARTDNNLTMMVLRESPWFQVPLPLPFGFPKISAGIFFGYVASVFASIIENIGSYDLLARTSQQKPPPKDAINRAIAMEGVGSLIAAISGVSSGVTTYAENIALIHITKVASRTTMQFAGFVLILLGLFSKFAAILASIPDALVGGILTMGISMIGGVALSNLQMIDLKLCRNLSIMGLSLLLGMIVPLHFEKHPVNTGYFEIDNVLNMLLNIKMLVGGLVATFLDNTVTGATRAQRGFRDHLRLPSESDTSSSESSDASFEVISSSDAYTFPEVIQRLLRAVPLLQSLPILPKLSKSQYKSNRV >CRE13474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:388985:390123:1 gene:WBGene00064043 transcript:CRE13474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-thn-6 description:CRE-THN-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MR71] MIFLLVGFLFPLNSYCARQINIYNRCPFPVWPGIAGPGNPAGGGFRLENEQNRKINVVDDWQGIIWGRTFCDNRMRCATGGCANREQCNGTLGRAPFTAAEFSLNEANDEDVYSVSLINGYNIPILIEPFGGRGCLRAGGCISDINEICPVLMRVRTQRRGVNNNVVGCRSPCDALRSDRECCTGSFATPDVCFRSHVAQTFKDACPTAFSYRFDDATSSFACQTNAEYLVQFC >CRE13475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:390321:391305:1 gene:WBGene00064044 transcript:CRE13475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13475 MNFFPTLVFCLSVFKVAQLQNVDREITILNQCSFTTWVGIQGPRNPANGGFKLEAGARQTIYVDPTWIGTIWPRTGCDDDMDCATGSCGPHEECNGASGSPPMTVAEFSFETNGFNDTYSVSMLNGFNIPVLIDPYGPLECARAGGCYSNPNDACPMELAIQRAGKTVGCKTGCLVYNNNRECCRGVFANEVACSKTIAGQIFKAACPTAIAHELDDSNAMTCNGASYVVQFC >CRE13476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:392016:392809:1 gene:WBGene00064045 transcript:CRE13476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-thn-5 description:CRE-THN-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MR73] MKFLTHLLLTVLFASQVFAREIKIFNKCPFTVWPGILGPGTPEGGGFKLNAGETRSIYVDDGWKSARIWPRTECDGNMNCATGSCGPREQCNGAGGEPPVSLAEFTLRGADGKDFYDVSLVDGYNIPVLIDVIGGEGDCKRAGGCFKNINDFCPGDLAVKRGLTKRYIIFDKRKHFSDGRTVACKSGCLAYNNDQECCRGEFRTPDKCTQSKTARIFKDACPTAYSYAYDDGTSTFTCKNANYIVQFC >CRE13542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:394903:396656:-1 gene:WBGene00064046 transcript:CRE13542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13542 MDYQQEEFDEPFRIHLNLDNLSEDEAIARFSLLYDEKTNSMKRRENSDYLRPICIDGTDVSNRLNHVIRKNMELFPVDKTIFNMRAITTTLWYFISRGHSAIVFLPTSLRDFAQKCSDPHELSLLAKLELIVFDDSNYLHPSSSVLLSKTIATCAENNDGCIVGSRTKYAVLGQKYSDLIDRVTNSLISPSFSLDHELKIGDSVRLILSPDEVCRNDNNANCMNFQLLASDQVVIMSKLARIIGKNPMIDLCNRARELNISSYANSNLRNIFNSQRQSNSSNRLYQSAYHYNDPFLPDYVAPPPPIALETAAKPMKIGHRHSIYRVGENANREVQSGKERKITAFRSALIDALQPMFGLEKATSLVNDNPETDEINDLIEIGINQ >CRE13478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:405485:408320:1 gene:WBGene00064047 transcript:CRE13478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13478 MRLLRVLRMMTSSLLLLFYLHPPLHAYSTTFSRLEPVSTRLILGSSSSSGSSSSSSSTQRCYSCMSRYYGATWQFAGYSRIYQEPRAFTDHCRDPQARGADVPSTYCEEKANCVTLIEELKIGTGARGYIRGCWGSVLLFGFNRTGTVGALAEHSFCHTFNLTQLVSGGRPEESSINVCSCRGSLCNGSTINPSSSKFTISHMLLAILNVIVMSLIF >CRE13543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:408653:410531:-1 gene:WBGene00064048 transcript:CRE13543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13543 MTMSSVLTSTVFSILEDNSDMDFFDPEATTRRSTSRTYPLCTEFFCDVYFTPKKIISLAMMLGRVAIALLIMLLGLKMEKDYMRSITNTIFIPIAVSELFTIYIEIAFFQYMFSQSPSQSEDFAAAYIDWTPEFVKIINDYVSINMVVLFPLLLYCGRIATLPSDRINAFPTNMICLIMQVVPFFVCILNYVARLNGAVVLKILAATSRIVTIICFLAIFIQIFVSVITVMRELPDEAATSVDMQIRDARSRLAWTLAYILIPFISLIPFVIEAIYYIFQIVPEPNSAGKIIQVICDILVIMVEFYRPTWMVLITMIFLPPYRRAVPLLFCCCSCCPKVNVEPLPRKENESSLMYRYADL >CRE13481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:417599:419690:1 gene:WBGene00064050 transcript:CRE13481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13481 MNNFRFFLLFLATVSMTFVYSNRVVFGFTVICQQSGNESETMTYVDPDYYLLESLNVAWMFTATAIGMCLGPAPFYFAHFLSTRVLIFSYGFLSGISSILYPLADSLGFWPALVCRFMAGFAQASQLHFTNDLVLRWTPQSEASFFFSIMLATSQFGPLFTMILGGEMCSSSFFGWEATYYILGVGTIAASMAFAYYYSDSVEENKNLEESEKKYILAGKHSTKEKESVPYKALLKDWTIWISLLMFTGYYLAMIVYQQYSPIFIKQVLHYTIRETGYFSAIPQFIAIFIKIGCGRLLDVKFGCGPKLTLVIPLLILESMSAFSLFLTGFLDDRVWALICMMIFASLHFFVPVICSRTIQIRAAQHSHFALNLNMVIAGIAQILIPLGVQAVVPDNSRSQWALVFYFLVITIVITSILYTVFSKVAAAEWTKPKRASEYTIYRLSDISPPEPITIPMPRECKY >CRE13544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:419968:423552:-1 gene:WBGene00064051 transcript:CRE13544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srm-1 description:CRE-SRM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MR81] MASLDTINDTWFDEFNSIFTGCLSLIFNVSLIIATSQVKIYSDHVKRMQIFAAILRLFFSVLIIFCSPTLAYIIEAEAVYIVKGGFQLPIKFGQAILISFVTFVIFSCMGPPMQFLQVVFIVKKNPRTQKQIVFITTIISFIVSFNATLLISFGYSPDASDDKLSEEIVYNLNGRGKCAYLIASLERFDYTLNHFVPDPISWACTLYILAVLVITTIISLVCWIIIKQEIHKGSKSSNSMKATQQLNTVLIVQFTLPFLTIHIPFYVSFLMPLFRVETSRLSIYLPYLFSWCPALNPILVMIMVKTIRDQTRFRINKRSTNNNHSSATAAANMFTKSSNK >CRE13545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:424850:426601:-1 gene:WBGene00064052 transcript:CRE13545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13545 MELRENINDTGFDEFNSIITGCISLIFNITLIIATSRVKIYSDHVKRLQIFTSVIRLLFSMLIVFCSPTLAYITEAEAVYIVKGGFKLPIVIGEAILIAFVTFVIFSCMGPPMQFLQVVVILKKSSRSQKQIVAITTVITFSVSLTATLLIFLGYVPNESDDKLSEEIVYYLNGRGTAAYLIASWERFDYDLNDFVPDPISWICTFYILAVLVVTTIISLVCWIIIKLEIRRGLGSSNSIKSTQQLSTVLIVQFTLPFLTIHIPFYVSFIMPLIRYETSELSIYLPYLFSWCPALSPILVLLMVKIIHSKTSLNNMFQVLQTSQVSRCTCTLSNPPWGIRIFNSAGGGDTERTSLNRQTDFDFITK >CRE13546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:427361:428852:-1 gene:WBGene00064053 transcript:CRE13546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13546 MDILRRKMSTSTTPLPSELHETPVNEANAYIAGFISLISNIALIYATSTVKTYSNAFRWIQYYVCILRLMFSMVVVITSPTLVYVAKMKSLYIVKGGFYLPFDIGTFFLTLFVFFVVISCSSPTVQYLQLCHLLSDSAHKREHLGPILSTVSVIAGIPTLVLVYFGYTPSTAELLEAKPIVYYLNGEGDSAFLMITSSRNNVFDWLSIICTAYIQFIMLASVITVIVCGFKIQAQMNKKMMSEVAKKSQNQINMILFLQFALPFVTVHIPFYVSFILPAFDLENSFISSNLPFLFSWCPAINPILVMVMVKNIRDRMFCKSAVSKISSTNMIQVRQSSQVLSARAH >CRE10703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig499:6329:8792:1 gene:WBGene00064054 transcript:CRE10703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10703 MMEHYTTIPQMEEINAGVAGIVSLIANGLLIYLTSKVKTYSDTVRWTQYYSCSFRLAFSLLVFVTAPTIMYLTEVKALYIVKGGINIPRDIGDHLLNLFLVFVVTSCTGPTVQYLQVAYLLSNPALKNHLLLRTFIGSIPFIVAVPTFWLVYIGYTPSPYEMDISETLIQEITEHEDSSFLIAPEEKIMMAETGTYEYDVSARICTFFMFTAMVLSVLVVIVCFSHMQKMARKKKSITSQQSVKSQKQLNLLLMVQFIFPFITIHIPFFTAFILPYLDIEFKILSSNLPYLFAWCPAINPILVICMVKNVRDTLLSKKNTPNTGATFTSSQALHAKHSTSSRRRSTFYI >CRE13548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:435156:437363:-1 gene:WBGene00064055 transcript:CRE13548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13548 MMEHYTTNPLMEEINAGVAGIVSLIGNGLLIYLTSKVKTYSDTVRWTQYYSCSFRLAFSLLVFVTAPTIMYLTEVKALYIVKGGINIPRDIGAHLLNLFLVFVVTSCTGPTVQYLQVAYLLSNPALKNHLLLRTFIGSIPFIVAVPTFWLVYIGFTPSPYEMDISETLIQEITEHEDSSFLIAPEEKIMMAETGTYEYDVSARICTFFMFTAMVLSVLVVIVCFSHMQKMARKKKSITSQQSVKSQKQLNLLLMVQFIFPFITIHIPFFTAFILPYLDIEFKILSSNLPYLFAWCPAINPILVICMVKVINSVIFSISNVNFQNVRDTLLSKKNTPNTGATFTSSHALHVKNSVASRR >CRE13549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:438898:440230:-1 gene:WBGene00064056 transcript:CRE13549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13549 MMEHYTTNSWLEEANAGVAGVVSLIANILLIYLTTKVKTYSDTVRWSQYYSCCFRLAFSLLVGITAPTIMYLDEVKSLYIVKGGVEIHADIGRFLLNLFLVFVVTSCTGPSVQYLQVAYLLSNPTLKNHSVLRTMITLIPLFVAIPTAVLIFNGYTPNDYEMEISKELIEEITGKNNSSFLIASEEKIFMASSGTYEYDIPARICTFFMFFAMIFSLIVVIVCFIHMQFTMKKKNSMSNKKSQKQLNLLLFVQFIFPFITIHIPFYTAFILPYLDLEYNDLSSKLPFMFAWCPAINPILVICMIKNVRDTLLNRKGTPLTGTTFTTSTHIFHTRSSTQIRK >CRE13550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:442181:443911:-1 gene:WBGene00064057 transcript:CRE13550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13550 MKLYQNFNDFPLGDISNYCAAVTSIISNSLLIFATSQVKSYNRNVRIAMYYMAFWRFVFSVTLGLTSPVIKYYSSMKTMYIIKNGFTLPSPIGEILLVVFIVTIVMFCNGPTVQYLQVKTILKATSKQDVSFCIYLIPLIIGIPIVVLIYFGYIPNPQNIMFPPQLVEKMKLQGIATFLMVPMSLEVEKMEIMALACTLFILLVMIVSIVFAIFNFISIQFLMKEKLKSSASNNSKKSQEQLNTNLLLQFIFPFFTIHTPFFITFALPFFDKNLEFLSDNMLYLSAWCPAANPIILMCVVKNLRDIMRDKILPSRFSISESKTSSQEAFVIQRRSF >CRE13484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:448610:449202:1 gene:WBGene00064058 transcript:CRE13484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13484 MMHMMMEMYFHFRIEEPILFREWKPLNTTAYVFSCLGIFLIAFGLEVLKFGRMKLVAKNEVVEKKVDCCCSTEEDGLWNIPETRPLNPTTVNLAPFTRDSLLKKSHLASSILIFIQHFVDYSLMLVSMTYNWPIFLSLLAGHATGYFFLGPMMTVEESEAAGSCCS >CRE13553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:453507:454883:-1 gene:WBGene00064059 transcript:CRE13553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13553 MAAFYDEDNFYQNFNNSYFGDVNFYISGVISLVFNVILIYVVSKVKGYKAQVKLSMNLMALLRILFSLSIALTCPSILYSRRASSLYIMKNGINFPNAIGHTFLALFVSFMVMSCNGPAIQYIQVSNMLSFSYGKVHRHISIIPVFVAITSLALIFFGYVPPFYTIRISSLVRRILEQEGDTPYLIITVRLTYDETNTSNTFQLLSQTCTLFILITMFFSIITVILCTVYIQKQMKIRFIASSNSKKSQEQLNKALLLQFILPFITIHIPFYIVVVLPFFGIAWRNFADRIFFLFCWCPAINPILVILLVKKIRKELFTCENGKKSQAQTRSIALVISL >CRE13554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:456064:457694:-1 gene:WBGene00064060 transcript:CRE13554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srm-3 description:CRE-SRM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MR96] METIAQRIYQSFNETLFDDINSYLSGFISFIFNILLIYSTSKIKTYTKSVRFSMYSMSVLRLAFSATIVLTCPVIIYSKSIKSLYIIKNGFNFPVPIGDGFLALFVAFIVMSCNGPAVQYLQVAHLLSTSSRKELSKTISIMPIAVVMASLILIFFGYIPPFYEIHLSSFLLEKLAEHGDTAYLIVTVRLTFDSSNEGYTFQLLSQICTLFILIIMFISIIIVIVCYFYIQKQMKIRFSVSSVSKKSQEQLNMALLLQFILPFITIHIPFYIIVVLPFFGIAGRILADQVLYLFCWCPAINPILVIAMVKNIQDQLVPRKLTRKSTSKKVSQNASRSRSVRVFHLE >CRE13487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:460726:463759:1 gene:WBGene00064061 transcript:CRE13487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-amph-1 description:CRE-AMPH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MR97] MADLFNKHLKKATNRTKEKLLEGIGKAKATQDEVFDQHAANLVKQSKSCEKLHKDVKTYASALKALCSAEKQLRDTIREAYEQEWPDREHLTAIFDNLDIQTNELEKTVCEDLPQTVTQYVNQFPDLKKKIEKRGRKLVDYDSAKNTFNSVKSSSKKDNDPKLAKATVELQNAEQMYTEMNNELLEILPAVYDSRITFFVDTLQSLFNANSVYQTDASKFHKQIVMQLDKLGESMDYLRVARPEARALTPIDTSSLASTDAPATPDPSKSDSTSLRQSTPSPTVLVSPPPAPVPTAKPRESVVSIANSTNPFDDDEAEDEKEATPTDVEEKFEAKVYPKLNAQQTAAADQAIAAARRQKREPSNPFDDEDDEKTEKGDSKETKKNDSEPPPKPLDGITNEKRKTLYFVTSTHQYKAVDTDELTFEPGMKIKVIEANEGDQLDDGWRLGELEDGKRGVFPENFTKKI >CRE13555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:464019:464745:-1 gene:WBGene00064062 transcript:CRE13555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13555 MALPSTEGLRKRKDSLSDEFDSELDDNEMKAIVSDIEQDKIHEETPDTRWIRFKNHLKDWGETATIHGIPHMAQAHTVIAIVVWSIIMIISAVGFVYMFYSIAASYLAFNVVVQLNTGLDSEPFPSITFCNTNPYKLSEMINVPELNALLTVYQASADGSLS >CRE13488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:468027:472756:1 gene:WBGene00064063 transcript:CRE13488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-del-2 description:CRE-DEL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MRA0] MTAEVIDCTRRNLEEAMNHSERRMLFRRSRSEPMTLTNENVMMTSRTDPEWNMTVDSISIQSSFAEYQQRRRQRLKKQEAHKTSEISLSSGTSELVMKGHAEFEDVPQFSDEQMPSTLSLTDSDNSSNDITSSQAQEISYEEEMRIFMEKTGHMITNVFADPFDSDERKSRTEMWVEASTENLAEGETSEDVGKGELKLELDGLEDNEGEEKEEGKEITTENDFDEEDETGEFGEETSGTSKVQEDSGENDVEVEKDNEQDEKEQEKFNVPPIVHQDTKESLLSSTVTVTTLMKSSMDNRLKEATYAESEVDVKDIDRFWDYLYDKDLKDKDQVYSINIEAPSTTISDRSLHLGLPPIKVDFTGVHIDQDFKWEGAEGIEMNAEQDRELGEPLEITDHTVESRFFELETTKKLERIDGSHPIMMRTTASQTPVSQELVKIVNYESTRFRSQSQDGRMSYEKENMVREFSFKLSENLYKDLKVLVVERDRAKHAMTILPAKSMKELSDECNYYQTRYRVRIEQNKEKLDRRIDEIWKKLLKMPIESQDVIDFISVSESELLTCNARRNLPEMI >CRE26596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:20235:25621:1 gene:WBGene00064064 transcript:CRE26596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pcp-5 description:CRE-PCP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MKS0] MKILISLAILLATTHAFTRLQDPVTQKGPQKFEKADGKYKYEEGYLKAPIDHFSFTNDYEFDLRYFLNTDNYESGGPILFYTGNEGSLEAFAENTGFMWDLAPELKAAVVFVEHRFYGKSQPFKNQSYTDIRNLGYLSSQQALADFALSVQFFRNEKIKGAKNSAVIAFGGSYGGMLSAWFRIKYPHIVDGAIAASAPVFWFTDSNIPEDVYDFIVTRAFLDSGCNRKAVEKGWIALDELAKTDSGRQYLNVLYKLDPKSKLENKDDVSFLKQYIRESMEAMAMVNYPYPTSFLSSLPSWPVKEACKFASQPGKSQEESAEQLYNIVNLYYNYTGDKSTHCANAAKCDSAYGSLGDPLGWPFQTCTEMVMPLCGSGYPNDFFWKDCPFTTEKYAEYCKQTFAQISYNKTLLRPQAGGRAFGATSLPSASNIVFSNGYLDPWSGGGYDHSDKVQGSVISVILKQGAHHYDLRGAHPQDTEEVKKVRAMETAAIKKWIKEKARNVRRYN >CRE26652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:26320:29262:-1 gene:WBGene00064065 transcript:CRE26652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-24 MTINYNLAVSTSKPWTLFKLLLKWRGSIWKAVVLELAVWLVLYGILSVIYRTALNPGQQRTFERIVQYCDSRLSYIPLNFMLGFFVTAVVNRWTYLYQIIGFIDNIGLMAAEYVRGRTEQARMYRRNIVRYCELAQVLVFRDISMRTRRRFPTLDTVVAAGFMMPHEKERYDEIQYKYSKYWVPFQWAFSLTYEARKKGLIESDYYQVVVQDEIKKFRTGLAWICNYDWVPIPIMYPQLVCLAVHTYFLVCLLARQYIVSEHADNKTEIDLYFPIMSTLQFIFYMGWMKVAEAMLNPFGEDDDDFECNALIDRNITMVLMMVDQGYDRAPDLKRDDFWDEEVEPLYSEATAKIPNNPLKGSVSDVKLPEYVHEIKMVPHCDDTSPLVPGDDLRRRRVSVVPVKPSDQQHHHHGHRTRTSLGNIEMFRSFKNKIERSFSKPHLHDDLGRKTFSHGMLENMEFDGSKSEVGSPPNNNSFTNSAFVNSGEDISAKRLDTSSSQPVLSTGKRGSEHPFQHHVLDDVLEDDESDENQLTIRKKTSVLEPAITLVERFNETTGQLEVKKLEEDEMPKIEEKEEKEEEKKEEEKRETVSRPSPTTRPFFIGIVRSESEDQSHPHLRPPTKFE >CRE26597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:38460:42399:1 gene:WBGene00064066 transcript:CRE26597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-17.3 MAKKFPLFHPFSRRLHIILLCMIGFFCTTFMRIHFALTMTCMVNSTALAVKNEANSNITLIEEIDLVSNGKCGATDEDGHQKIVVDYGGELIWTSYEQNLIFSGTFWGALVTVLPSMFFIERFSPRHVLQIAVAVYIVITVITPFLATHFGYFAVFIARVGMGLGEGFIIPTNNAIIGNWFPSSEKSTALSIFTLGNQIASAGGSPVVAAICASELGWAATFYFAGIFATIWSVIWFFTASSHPSKVKMMTKKEREYLLENVVKKVHKSKKSPSVPYAKILTSSAFLAQLQCQFFVNLIMTLFQIYLPAYFKEVLHLGVIANGTFTSIPNIFNMIFKIVWGIGIDKCKEKKILSDTAAVKISHGFASYGGAFSLLLLAFFVDCSNPTTALFIFCLMYCSMGTFVSGFYTSLLSLAPQYTATMSAISMFCAMIGRLSTPAFASVLRKEGTASEWQNIFIGLALAHIISGSIFLVFGSGDLQEWAKTEEQETELTEKEKLKDGVVEEVDVRIERIATLVKEDSLCL >CRE26655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:48613:49039:-1 gene:WBGene00064067 transcript:CRE26655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snr-5 description:Small nuclear ribonucleoprotein F [Source:UniProtKB/TrEMBL;Acc:E3MKS5] MSVVQPINPKPFLNSLTGKPVVCKLKWGMEYKGILVAVDSYMNLQLAHAEEYIDGNNTGNLGEILIRCNNVLYVGGVDGESGETSA >CRE26598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:50361:50671:1 gene:WBGene00064068 transcript:CRE26598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cuc-1 description:CRE-CUC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MKS6] MTQYVFEMGMTCGGCANAARRVLGKLGEDKVKIDDINVETKKITVTTDLPASDILEALKKTGKEIKQLQ >CRE26656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:51177:51567:-1 gene:WBGene00064069 transcript:CRE26656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tomm-7 description:CRE-TOMM-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MKS7] MKISHFPSISPRFPGKFSRIFLLFIVIDFSAPNPYFQTRMKLSPATKSFLGKTIDVSTFAIQWGFVPFVVYLGFKKGAEPMPNGQVIPLSVMSLLWG >CRE26657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:51685:52016:-1 gene:WBGene00064070 transcript:CRE26657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ufm-1 description:Ubiquitin-fold modifier 1 [Source:UniProtKB/TrEMBL;Acc:E3MKS8] MSDGATAGSKVTFKIVLTSDPKLPFKVLSVPESTPFTAVLKFAAEEFKVPAATSAIITNDGVGINPAQSAGNIFLKHGSELRLIPRDRVGCC >CRE26599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:52551:54414:1 gene:WBGene00064071 transcript:CRE26599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-coq-5 description:CRE-COQ-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MKS9] MKRATNLLNVATKSRNFGKIRQFSSDPVKASGNLAMEPKKTHFGFTDIDESEKEKKVHSVFANVAKKYDLMNDAMSMGVHRLWKDYYVGGLQIPYNAKILDMAGGTGDIAFRLLRESPTATVTVSDINQPMLDVGKERAEKERDIQPSRLEWVCANAEEMPFESNTYDLFTMSFGIRNCTHPEKVIREAFRVLKPGGQLAILEFSAVNAALKPIYDAYSFNVIPVLGEILASDRASYQYLVESIRKFPNQDEFARIIREEGFGHVRYENMTFGVCSIHKGTKPRK >CRE26600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:55320:56521:1 gene:WBGene00064072 transcript:CRE26600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-307 description:CRE-TAG-307 protein [Source:UniProtKB/TrEMBL;Acc:E3MKT0] MKLRNVIQNGIRSFSTGKIVFSRFRSATTPTLKEIGLLLPSTNAAPFEAGQIFIHRTFAYKGVVVCSFPCRLHEKRSQQNEKHLTTRDFYQVLIHRHDWSHMGFPVDITSYLVDAPMENKRHGQKMLTLINGMDCVSHEDIIPFTPAGGVNFDHDLFDHIFNAAHDGSGLYNVAMKPELVERYTNSNRSWLGPRDVYRERTENIEVTVMTFYLGANMVGGQQQHMWRYVIRIENKKPEQGVILRERTLKVYSLNNMNQMHGHGVVGKQPELNAATPAFQFSSTLELKHTKGGHMWGRFKMERENGVLFDVHIPTIVFESTEEINETPIAAPDAA >CRE26658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:56678:57174:-1 gene:WBGene00064073 transcript:CRE26658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-35 description:CRE-RPL-35 protein [Source:UniProtKB/TrEMBL;Acc:E3MKT1] MTKLKTKSLRGQKKDALQKTLDEQKTELATLRVSKVTGGAASKLSKIRVVRKNIARVLTVINQTQKQELRKFYADHKYKPIDLRVKKTRAIRRRLTAHEQSIRSHKQQAKLRNQAVRKFAVKA >CRE24917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:253669:255092:1 gene:WBGene00064074 transcript:CRE24917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24917 MADIETQRRHAILFQFLKLLVTEENLDYARLGYRLLHDLDFRLEHYIHIYDIAMDARNDIAEAGLLVEKIERMQNQSPFISRKMAKDDKRAIRPLHRAVAFACCLSALSHPPLLMHPGPPVFRRVTPGDAALGADARSPLPTTPTGLLPSLHPHLSTVKKLTHRRPPRRPVVLQKRRAYMNVCHERRGEETSTRGEVISLLFHVYLFCERRPLKPP >CRE26601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:67339:68404:1 gene:WBGene00064075 transcript:CRE26601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26601 MVQDRKVADKSCRAVQWPDSACEVTRVHSLLDTFRPLVIFGLFSSDLSSPCPIHSIRFSFDNFRSLSISFILSTHSFHSFSGNPGRPAVLSHRRNMPLPIYKHVTLNFSENLKYFQPNEGEEEMKKGWVRHEKLCAQLEACSLDLKQNEAQMTILTATGGELKEKHKKIRGLLDEAKANGQQKELEELSKEIENVETQTRVWLNELHDVHDKRVDIDCQMIRLGAEVKKNETYVQLACIDIERMELRHEIRWNKFLQNNTCK >CRE26659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:71085:74139:-1 gene:WBGene00064076 transcript:CRE26659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-23 description:CRE-CEH-23 protein [Source:UniProtKB/TrEMBL;Acc:E3MKT3] MDTHHNHNFHTTPLPAVSTQSLTDVLQTIAAFQACPTSCIPSTSTAMLSPNLPFPATIPSVNLFPPPAPIPAPTYSVQQFIPNTSLLQATTVEALANLFATTSRRASCPEPPATSQATVTLQIPATGSPERRRYSETNMEMLIREQLAQIMPSTAQLPGMPGCYYQHVPPVSSAGPVTAGGGTIGLQSALEQARIGVANNIANHLNNNRRAANHRKARTIYGTTQTQQLEDMFRGQMYVVGAERENLAHRLGLSPSQVRIWFQNRRSKHRRKQQEEQLNTPPMDKEIEREEEDEMDDDDDGDDVKVLN >CRE26660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:84487:89970:-1 gene:WBGene00064077 transcript:CRE26660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26660 MVSPLLGNHEGVSCDGCTQTAFYGNRYKCLRCADFDLCFSCFTTKNFGQERPDPDVPPHEESHPMQLILSAVDFELVYEGDPNRRYDERKIVSFVCPYCNLSGLTERQFGSHVTTVHSDPPGFSVVFHQFLTLKNQNFLKICPLCIGITDMEHNSSKTTENLSHHWLEVHGNAMDVLRASEPLINATRPAQRRPMLARRTQRAGGGATRTTGVPGAGRLLQDELGGDVAELIRNIRPDTAEELRRMTELLSAPTNSMIRNAQRMMANAAGIERPPVVVESAMTVDHHVQQVIRPMTTIPIYPPTSDESGDETPQPAADSADESEDGNEIRDDLERKPVVEDEDLKNDEFWQTLKTRIPAEDVEMILETMKTTAKVKEEKEEKMMPVWTARPSKPMTNAALITTTSDSEGEPGWLPLLFETTPLRSTGCGGYWSDKRFLRPRKMQREQSVASSNAEIMEKAEVAMALCRASCAHQPEFSDLSKPDIALKEALKHLKLGEKPKEMMEYQPAEELVQMPERDPITTGEEEIEIPDFTARGYGQIVDGNVPLGVVPEADEAITNSEDEEVANESSGEDEDEQEDEEDSQDSSIQGDVNIA >CRE26602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:90870:93314:1 gene:WBGene00064078 transcript:CRE26602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-45 description:Eukaryotic translation initiation factor 3 subunit A [Source:UniProtKB/TrEMBL;Acc:E3MKT5] MAPNYFQKPEAALKRAEELIQVGKESDALDTLHDTIKARRHKQWTQTHEAIMIKHMELCVDLKKQHLAKDALFQYKALTQQINVKSLETVVEHFLKLAESKTEEAQKQSIEKVEEIGDLDQGDVPERLLLAVVSGAAAQDRMDRTVLAPWLRFLWDSYRNCLELLRNNAQVEHLYHQISRHSFSFCLRYQRRTEFRKLCDLLRMHLNQIQKHQYAPNVNSFRVKLTSPESLALMQDTRLVQLDTAIQMELWQEAYKSAEDVHGMMQLSKDKDKRTVKPSSYVNYYDKLALVFWKAGNSLFHAAALLQKFIIYKDMKKSFTQEEAQEQATRVLLATLSIPEGSDSPSDLSRNLDIEEQHVANMRLLSNLLRLPIAPTKNGILKEAARIGVPEASGQIAKDLYKLLESNFSPLKVAKDVQAVLDTITRPDHQQYVESLQAVAAVKALKQVSVIYEAISWERIRKIIPFYSDLALERVVVEASKHRIVKAQIDHRADCVRFGSSDATLAGGVDECDNNEGFTGDDTQLGVEGVRNHLEAMYTRLRVLVEGLDAEKRRKEMVRKIENHVTSYEKNRPTEIDRIHRRKKMLENYKENWERVKAEKTLQAATEQAKREEAARAEEMKRLEEQNKESERKRKQAEAEEIQKKIKQDQLYKMQQNAIYQAIIKEKGLDQFRDMDPEQVLREQRERLDKERAETQRRLQQQEKNFDHHVRALHLEEMNERRAVMHMRMNEAPKLHDQYEEQRITKEM >CRE26661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:106227:108911:-1 gene:WBGene00064079 transcript:CRE26661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-5 description:CRE-EGL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MKT7] MNTATSAFDFGSSTASSAATSTTSSQPDANDHLSRLAAMTQGVGKEDPETSSTPSAEASLYPVSAAYMQSYAGWPQNYSYFGQPLGPAAAAFPGWPQCYPNPSWPNYGELFASSKKGRQTYQRYQTSVLEAKFQQSSYVSKKQREELRLQTQLTDRQIKIWFQNRRMKAKKEKQRVDDHTEHTPLLPANPPKGMGMDVDDEKKWQMAHWPQGHNPYQYPLCPP >CRE26604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:136894:140641:1 gene:WBGene00064081 transcript:CRE26604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyk-7 MSDNLSARWHRILELTTMMRAETSEDVVIARSSYDDFSQPTPWRSFEISAGNRSFFVNAGWLAELSPYFAEEFYVRKPNSKRYVIDSSTPEEVLEFLRCITFCPMRKPLTVKNVSLVLTFANRFEMRPVQARCENFIGLNATTLSRDKTKLFQVTCAMSQCDPNSSTMSVLVDKLAGIKEDELSRLHFAEMPGDVVAEVYAQKLQRTKDKKAKRYMDAGDEGAAPAGCCFTQWATSLFNRRRRRRNLANQSIVPPSGDHHQKQQQRH >CRE26605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:157632:170021:1 gene:WBGene00064082 transcript:CRE26605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mab-5 description:CRE-MAB-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MKT9] MSMYPGWTGDDSYWAGAGTTAPASSGTSASSTASSTAAAAAAANNLKTYELYNHTYMNNMKHMLAAGWMDNSTNPFAYNPLQATSANFGESRTSMPAISQPVFPWMKMGGAKGGESKRTRQTYSRSQTLELEKEFHYHKYLTRKRRQEISETLHLTERQVKIWFQNRRMKHKKEAKGEGGSNESDEESNQDEQNEQHSS >CRE26606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:171054:171813:1 gene:WBGene00064083 transcript:CRE26606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snu-23 MSFYGQSGSQAAGTNHRRTWDEKEYSLAAQQRMLDEKEAEDIRTGKKKKDEPKVKREMLKAREYKVDLDSKVGKSVVITKATPSAETGGFYCDVCDCVVKDSINFLDHINGKNHQRNIGMSMKTKKSTVDDVRDRFRLLKEKKEREKKEAQVEQLLEDVQEEEARMADYKKDKKVDPSRKRKRDTRKDEEEEEEEEDDDGLDPELRAMMGFSGFATSKR >CRE26607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:171987:174304:1 gene:WBGene00064084 transcript:CRE26607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26607 MRTLVPLLFVLIAVCSAQQTLDEKVQNLVDLTTRQSIVKFNMDKWKNLVRMQPRNYSIIVMFTALSPGVNCPICKPAYDEFMIVANSHRYASTESDRRKVFFGIVDYEDAPQIFQQMNLNTAPILYHFGPKLGAKKRPEQMDFQRQGFDADAIGRFVADQTEVQIRVIRPPNYTAPVVIALFILLLLGMLYMKRNSLDFLLNRTMWGFVCLAITFIFMSGQMWNHIRGPPFMITNPQTKEPSFIHGSTQFQLIAETYIVGLLYALVAIGFICVNEAADASNYKEKKGGSGKKTAGVFSLFSIPANTLAIVGLVFICIFFSFLLSVFRAKYRGYPYSFLFS >CRE26608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:174789:175501:1 gene:WBGene00064085 transcript:CRE26608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26608 MNQWDVVVLRLDDVEIDDVKIHKIQNTSEEEAPEVPEANILQPDRKFEKDSEFAVAGIFTMSSEPPTSSLFVFPQKRGKRGGVGGIQNPGAAGDVPQQPPPATNRKPYSYNDRKRKNADERRDIKKKLFMDLGIIRSSSGIDGRSPTRSGDMGRDLYHWKAEKTLISNIYSVFALEAWYSRKTRSKFGHLTSHYLPDEGKTSAAWCGG >CRE26609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:187456:190662:1 gene:WBGene00064088 transcript:CRE26609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtx-2 description:CRE-MTX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MKU4] MQKNDQALMYDFADCLAVQTFLRMTSLPFNVRQRPNVDFISPDGVIPLLKINKTLITGFNAIVDFVHKKGVTLTSHLSETQVADMRANISMIEHLLTTVEMFVLWKHDETYDKVTKLRYGSVYHWPLSSVLPFLKRRKVLEELADKDWDAKTMDEVGEQADKVFRALSAQLGTQKYLTGDLPTEADALLFGHMYTLITVRLPLTNITNILKKYSNLIEFTKRVEQQYFKQ >CRE26611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:196187:196865:1 gene:WBGene00064089 transcript:CRE26611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26611 MGYLSSIEAPLSALITVTFLVNNIYSIIYGIVESKDLFDMDALDTRDMLMSALAIMSLIGIWSSRRAFGVFTLVFMIHTFFYSIFNLFHVMVIVVKSSEDPCRFFESSGRLSDNVCHVVNGVILASAVVWLIATTLASIAVFLRLTTTAVYTRSMATSRSSDNSTPQLISRKSIGSEREVEAQTPRRIMTDIFV >CRE26664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:203935:204916:-1 gene:WBGene00064090 transcript:CRE26664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26664 MRTLLVLLFSALYCGTAVVEKCWTEEEYLAFTRPKPGQLLRQKDLEWYNEERQLLAEKHQIANMHELKYDHGMEKDAKRIKTCEDFKHSYNFRLLDYDMGESSFEYLEFIHGLQEFKEYLHPLQTGFIYCNLTTRCHIAMPIAAEMVNLSYFKAQLFGYRGTFSKFDFQRGPPGSNCTRGKNDKGLCIAPPRSEMETFTKGPETSANKNILSLSSRITCLSAIFIIFNL >CRE26613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:205634:208893:1 gene:WBGene00064091 transcript:CRE26613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pcp-1 description:CRE-PCP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MKV0] MRRFLALLLLLSIVEASRRSRLFQKLHKASNYDAAPSNVETVWYKNMRLDHFTWGDTRTFDMRVMWNNTFYKPGGPIFFYTGNEGGLESFVTATGIMFDLAPMYNASIIFAEHRFYGQTQPFGNNSYATLANVGYLTSEQALADYAELLTELKRQPNQFNLTFQKDTQIISFGGSYGGMLSAWFRQKYPHIVKGAWAGSAPLIYMHDGGVDPGAFDNITSRTYVDNGCNRFILANVWNAVLNLSNTDAGRQWLNNNAVFTLDPRTPIRNQTDGWNLNAYLREAIEYMAMVDYPYPTGFLEPLPAWPVAVACGYMNATGTTFSDQQLVTMVANAANIYYNYNKDPNFKYCIDYSVCGDQGTGGLGGDQLGWPWQECSEIIMAMCARGGSNDVFWSECGANIYDVLKQECVSIFGSMGWTPSNWNIDAVKTLYGYDLSGSSNLILTQGHLDPWSGGGYKVDQNNAARGIYVLEIPGSAHHLDLRQPNTCDPNTVTNARFQIIQILNCWVNPNCNDVPTITPLPTISIPVTECKDVVGGYPWGQTLKQGAFSNSLIVSVLAMVYALF >CRE26615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:231826:232644:1 gene:WBGene00064094 transcript:CRE26615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26615 MFVPSEPIEQIALHGFNLLSNWESRDSLEELTKNDYTLEIYYLNGSCDFMARRIIKKIEALEMKEQNEKSGTTSSLKSSAVVATSSTNKPPTSALPTVTVNKPSVVEMKPTSKDIPIPPKPSVNQPNVSSVVKSSKPTVPSKKPLPELAISSKRTLPTKFVPKKKEEVPMAYQKLSSVQNPEVSRRPSIDKKLDEEKRLQKFIENKKIVEEKKKEGAIGVSKVMNSLEEARLKRKQEALKAKQLGFWEEEPKAKKARHSKY >CRE26669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:234950:235768:-1 gene:WBGene00064095 transcript:CRE26669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26669 MFVPSEPIEQIALHGFNLLSNWESKDSLEELIKNDYTLEMYRTYEIKRFCRYYLNGSCDFMARRIIKKIEALEMKEQNEKSGTTSSLKSSAVVATSSTNKPPTSALPTVTVNKPSVVEMKPTSKDIPIPPKSSVNQPNVSSVVKSSKPTVPSKKPLPELAISSKRTLPTKFVPKKKEEVPMAYQKPSSVQNPEVSRRPSIDKKLDEEKRLQKFIENKKIAEEKKKEGAIGVSKVMNSLEEARLKRKQEALKAKQLGFWEEEPKAKKARHSKY >CRE26616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:238503:239321:1 gene:WBGene00064096 transcript:CRE26616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26616 MFVPSEPIEQIALHGFNLLSNWESKDSLEELIKNDYTLEMYRTYEIKRFCRYYLNGSCDFMARRIIKKIEALEMKEQNEKSGTTSSLKSSAVVATSSTNKPPTSALPTVTVNKPSVVEMKPTSKDIPIPPKPSVNQPNVSSVVKSSKPTVPSKKPLPELAISSKRTLPTKFVPKKKEEVPMAYQKPSSVQNPEVSRRPSIDKKLDEEKRLQKFIENKKIAEEKKKEGAIGVSKVMHSLEEARLKRKQEALKAKQLGFWEEEPKTKKARHSKY >CRE26670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:240043:261825:-1 gene:WBGene00064097 transcript:CRE26670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdh-3 description:CRE-CDH-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MKV9] MTIRISPLPTLLVSFLLLKFSWQFSEETIKFAVSEDASINTVIGRLEAEKSFTYRLSRGNSKIKFDDQTFEFSVSSPLDRESENAIDMLIVSSPPSIIHVLIDILDVNDNPPRFPIDIQKIEIPETAPVGWRVSISGATDPDHAENGTIGKYELVETLITVDTPAPFGVVQGPDGFVYLEVVGKLDREQRELYSMRLTATDNGRPELSASCILNIIILDTNDNPPDFGIRSITLHWNGLPNTQLFQLNATDADSGDNGHLIYRIHGPASEMFSINEHFLVTQNNTECLPRCEFVIEARDSGVPPLSTTLNVEVMVEYGNEHEPNINIRGLELPKFLFRFYPSDFPFIVVQPEDVNGKTLAILSLTDPDGPLGENATIWIENGNENSIFSLISRQSINILTLKNVENAEKEQYILEFAANDGQGPMERITRKTLKIFFKKHVKSTIIQVKKEYHVTLERSTVPGSFVEHVETNCSEMCTFELMNSDIFRIDESNGIIVTSSFLPDDVTSYHLPIRIHPPPPSTQVLETDVFVKIIQELAPKNLIRSSDDTTVHLKRVYTFSTWQNVSVGTVVGRLPRGQVYSTIDTKSELGVFPDGSIFVGKTISGDLVTLPVILEHRNTTQFSLVTVLIKPLNLHSPICHVTEIHIPENQQIGSVIGRLHATDQDSGVNGIVTYSGESSEFFVDTATGEIRNLKELDAEKRQNYSIPYEVRDLGTPSKSINCTVAILIDDVNDNAPEFSSKYYSAKISGKTNETVAILHAEDLDVTEGNRKIKYRLLNYLERFHVDQDSGKVATIQNLQKTWTRINVSVEAWNPEGYLTSRTFLLVTVTNSSQLKIDNLHNTFKIFKNDKIGSRIGKIDVMSSESLYWNSEDPRFHVDSSGDVILLKRGKASESFDVIVTSESGESQKLKFKVEYVDTDRNDDLEKVMDVVLKENSTEVLDLSAYSKNWKIERVVSDNSDSGLFIKDNILYRGEANSTIDAFVVLESQEESPSTSYKVLHVTTVTARPEISTTSCPSSVHLITPPATVTLPANCSDVSLQNPQKPLQIHENTLLIPTQSEITSHVDLLSSTIQKPFMMTLIKEQTSEDVRFATNNVLMLLSSVHPIGTSFGRVTAESAYRIRYYLVGTDKINIDADTGELFLKERFYKNLNDILIVAVIPKGIAKARVTIEVIEDRLVLTKSNFFIISPSFIKSESPLGSISIDRDDVIIDVIDDHFYVRKAEIYAKRHFTPTDTFYDLTGTVKKGKLSAPINVTLFFGSSMDKKSLKENELMFQVEENSPIGTVVGEVPNALTSKYRLIDPSCGLQIDKNGIIRTTEMFDREKTSLLKTKMIEPSENRIWDLLVFIGDVNDNSPKILNAPGRIIVSDDALNYRLIWEDLDDMASDFHFDIVDRDPFGHLEISDSGEITLTSRPSESFNATIRLYDNRPPFKVNSDDVTIEFHVEKKPRILKCVDSEFWLTTSAEIGQMTSSDSEVTWRIVHVTGSKIRFWIDPMTGKIQKASDSPVLRKGEIVELKIQVISYDAERTCYCSARIHVAQNSESSGRSLEFSNLTYEYFIPETADRFTEVGRVALNSDDDVIFKILNDFNFTISPFDGMIFTNTPLDYETTQTYNLTVTAATVTCHVIIHVIDENDESPRFITGDVVNLRIREEEDTIAYPMIIGSSIAEDLDEGQNGVVTYSLLSGNTSLFAVNSTTGDIISLAPLDREESELYELLIEAKDAGFPSLSATSKILIHVEDVNDNAPEFQLPSYFAKIQENLPIGTKILRIQATDRDSEEHARLQYSLDDDDIGTPFRIDVATGWITVAGKLDREENSEGFRIHVVASDGQKSGKVPVEILLEDVNDNSPRILNQNLDVYIPEDVTPSEVIHVIDVIDGDLEDHLKFYINDTSFKITEYGEILTTSESTFPTSIRVTVTDDVGHVTSSEYRFYKNPGREFPRFVEKMDMVTVREHEEQELAVFKAKGKNVRYGIVSRCKGDLEIEAISGILKTRRSLDREKHSECPVFVIATSFVENKPLQAITKILVKVIDINDNDPRFDLQLYHFNITENSGPTIIGHVAARDADSSRIFYEIVSGDPNHEFIISEHGQIESVRDLDRETNSEYRLILEAFDDGKPRRRGNTTVVIRVLDEDDNAPRFSRIFHVEIPEDVAMGEQIIQLSASDSDEKSSHRFELDSGGEQIPFRVEEQTGMVFVNDTLDFEKVSRGWPRNTYIGKLKSSYRIKVRLTDGAWLIETSLFVNVKDVNDNVPVFEKPEYFFVANETSPEIGQIHATDLDSNQNGKIRYSVTSPYFRIHPDSGILTKLRPLPEALVVLEVIASDHGVPRLQNSVKVYVAEQKAFGIQKTERIRESANPEDVIGEGISSGAHVFPRAIATVTRDGKLVLKKRIQKKQERFWILELEKNTMTSYVVVKEDSESVNLESKKSEETVILNLTSSEAESESWSRQQLQIPGCVVFENLENLQNPNILISRNGTLRIFAPPTSPLKVTCHDGIWPIQKLKTIDVRIQMTPETREDVRIQKPRIQKTLPKKLDVKMPSESPDGTILWILKDTPISMENSGFLNLTRGNLILTSSESLTISQHIEIFYAEFRRSTLTIHAEKETSPCPVFPQTLYFFERSSESFLENSVIHNFGWNSETMKTCGMEIEEKNPLFHTNGSSLITLKPLPPGTYQFSLLLRTLPDKTIRSQCHVTVTVPLETPKIVEKHLPTVIFAPYKNYSNPTTVIQLPSGYSIPGSANFEVMTSGAVRSRGKLTGGQIYELGAEPEVVRILTEDSEDSESADVYYHVVNPQGALKIGNITIKEVVNQTIVTSESQNLHVIKESSDDVTNLEKNAVTLEIISQKSGIAHLITDLRATYNDMRIHCLATWQISEDVKYHVIFSLVDRNGVVVEASEAQKTLTSFFKKYQPRYVEFLCFKRDVCDGVTCIQKGATCQSRLEEYSGRRLVFRSASTVFDLPMTSLIGKCVCHQGACQESQEEQRIFQKIQKDDVTRHSCDDVDCGTDGRCVLENGTLPVCRCTSGKSAFESLYSCDKASEVFSTSSGGILEISLKRSTSKDQLKCGNCSEDVQKMEFDFRTIQMTGDVLRVNFGKQMALIELISGSITFSISDEFTRPIQTQIEKRVNDGRWHRLLLQMSDDGRRISIQVDGRGKEVKSRVALPMLFTSKSIQLVTSSEFCFRRLLAQNQFVHPILSNNKFFNVNFSSSTPISSKCQFDSPESSFSFLPNFSNTTTVILLTILAIISLIALSVCLLAIRRRWRQKSSGERETERSTGWKKATEINAFAVPRRIGGHVNRSMVRSPDDDTYDVAGTQMKSTSTDDMSHIYTSSASRRYQPPVKPYQRDGHINMAYL >CRE26617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:274533:281515:1 gene:WBGene00064098 transcript:CRE26617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26617 MSFSTERTKKRSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAVCKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKDVEMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWSSRDSDDEFSMHGRRGEFSDSERSWGEAQETEEMRETQEQARMDIVRVVNEVALLNEAERKNRGWRVLLEKDERWKRIIGKIEKGEQEGEVDVPYEGKKELREFMLIEGDLMKVTKYGATMCVVPGEKQKEVFLEAHGGTFGGHWSPAKVTAMLLKKYWWPRMKALITKWSRECQQCLCGNAKQIMTSPLTPFEASEPLEIVALDLLDLGRSSAGNRYVLSIVDLFSRYAGACAIPDKSAETVARAFVENWMLKEGRIPKAILTDQGLEFANATFEKVAKMANVRIIQTKGYHSRMNGAVERFNRTIQTVLKKITVIPTDWDERLPYAVFAYNACKHEATGESPHYLMYGRDARIPLRTDPKELVGKYQVDVDEYKFRHAEQMNMAHEEARAHIRREQEKAKKYFDEKNKVHQRVFPVVGDRVLVKIPAEKLGSRNPKLANDWQGPYRVINTTANSAEVQIIGGGEKLWIPWEQLRKVPKEVPEIQCKTAAVRGKRGRRGGTAGDAANVQTVISEENGDICRDVIEMGCVCEGGKCGIKEGGIDAASTKEMALQLLARKKGVPMEEFHLVRHLESFQQEVSDGEKEIALRRFARKCPAVAEAVMKGSESAAGWQTVVDALKSEVMERNAKKKTKIGTDMVVVGPRVEARGPGVLEYRENTMVGWAERFDWEAVEEVVLLVGVTTDEEANSKRLEFVESIAKEAQKVFLILDGLQCPFGKVAEVTDKWRIWLKTAVNVEMVDPLMPVGTHQTPLILEKWDHKSLESIGKYLLLALPNHAIGIRLKTTPKTLPNGLKRDFNPGRPAAQRGGEVAPPQPHVHGNTRNHRRQRK >CRE26671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:285226:288367:-1 gene:WBGene00064099 transcript:CRE26671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cup-4 description:CRE-CUP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MKW1] MKILIFFVFIFVFYLPIQKKHVNSQQQGIDSEDGDAATFFNKSYSEHQSSLELKIFRGYNPKTRPVKNISQPIVVDVHWHIIHVSINQLEQTMTVHGHIYMRWYDEFLVWDPKDFNGIHYARVKKWQVWQPKIKVSNSASGLGSAFDFSTSAHVIIQMIEKDRAKVEMYPTFSIKVGCSFDFGDFPNDANKCAVNLFSTATMNEVQLQNLYSIPPTLSFGWEEQKMKRIISDFKIQNVSCSSFYYSQGNISNTAPVTGFDAGITWSMLAITVNFVRHSPLFFSAILAPCWITAVITVLSFYIISIPLSIYMLIMNTYVQMIFFNDFTKKLPLTLSKTPPSVTLFHTLVLSNGAMIFVQALLLTFQHHRLVVPLPLQKIYIVKEYMPMMFKEEAVVKKEEATNNWGDWVKTARPLFGLVLLIFYVIVFVLFAFIGI >CRE26618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:292972:295112:1 gene:WBGene00064100 transcript:CRE26618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26618 MSIQVQISLKEEQDRLWKLFHSHRNEMIVMKSGRKLFPKLEYVIRGLSQNKLYAMMLHIEQSDDCRYKFSSGEWMKSGKAEQHEEPKKLWHPDGVRSGKDWMANPICFDRVKITNSVDSSNASMIFLHSMHKYTPVLSVYESQSETPIGIPQPSTRLVTSVRLDYTEFIAVTAYQNDAVKKLKIQFNPYAKREGSQGDRKRRSPSADDSTTDESTSQVSSPQPKKSITAFVYPPLMPRLPPPVFPNSHFPTSTPINPFIYTLPYFSQFTTGVQPPNPFPFPLGSPCFSPLSFPSQPSVKVEEKDQEEIEPEINVV >CRE26619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:296947:297873:1 gene:WBGene00064101 transcript:CRE26619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26619 MSSSSDVIPGSQATSSGWLATMGTAAGWAWDGLKVTGSVINDIGQNTTRNTVCSTATVAGGVLGGWSGAAIGGAVGSLIMPGIGTAVGSFLGGASAGLVAGKAAIVVTDRVLDDINYDIETISCETCGRGFRCKIYKEGRETKCFRCK >CRE26673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:297941:300769:-1 gene:WBGene00064102 transcript:CRE26673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26673 MSVLCLTVLIWVTVATVAHACAPHGVSQPWTKTTNVNNHHHHHTVENIYFDNRTIYNKIVEIEKRIDRIEEMEKMIPLEPEKPKNPIVVNPPVKPPVDPGNPIIHPVEPPKKTDEVTVPEKEEEPEPEPDKEKNCLSCPSKPPVLEDCENCVSVITSPPFEYYACKAVEIKCADGAKKLKISESNTRFIVENKFMLECRKGDWVLTAAHQEHKIDTISCLTM >CRE26674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:304151:305232:-1 gene:WBGene00064103 transcript:CRE26674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26674 MPPGFPLFLLPDNVVLSVFQSMELVEQILLSMLSVRTKKIVCSLNHHSDCEEISLHTYASYELFVRLYPFIIRVAFKKFEEVAERELVEIERPERIKFHVSRIVGGRNESVDYQWENGTFEVRDYIDHFMEILHHNWIDELNVTINTAYPLESIQQHVNGLDLRKLTIFERNSEDEMINYLNAIKPSRSLNFERSPSFVWNHTKMSQFFIQNLDQISIGKAPSLTLDDLLLMNMSEIKINKTRMTGKDFNRFLKHWMAGSNPRLRYLWIECHLTDDFEEEQYERDVLQGIDHRKMADGEERTYVMNMGLYEERTETFSGGSYIRRKDGTEAIVHTEEIFFTFILQ >CRE26677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:308333:309435:-1 gene:WBGene00064104 transcript:CRE26677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26677 MPPGFPLLRLPENVVLSVFRSLEAIEQILLSILSMRTKKIVCSLNLHSKKISLDACASCGLEIRFYSCVISVTFDKFEKRDAEREIVVIERPESVKLHVARKVNYRVEYEDYRWENGTFEVRDYIDHFMEILNHDWIDELTVRSDHAYPPEEIQQLVNGLEIRELSFSHDGNLRISDDGMIIYLNAIRPSRSFYLDRTPLFVWNHSRMSQFFVQNLDYIVIDDAPLLTLDDLLLMNTSEIQINRTRMIEKDFNRFLKHWIAGSNPRLKYLTVGDDSTTDDREEFERELLNGIDHRKIPDEEERTCVRRMGTYEERTETVSGGSYIRRKDGTEAIVHAEYLRFHLILQEFLND >CRE26620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:314373:315492:1 gene:WBGene00064105 transcript:CRE26620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26620 MSSNFPLFLLPDNVILSVFRSMEAVDLMLLSMISMRTKEIVCSLNLHPDKISLDTRASCELEIRFSPFIIRVAFKKFEKKDAEREIVVIERPEKVGFYVSRIVNYGLFKGLENVNYQWENGTFEVRDYIDHFIKILHHHRIDELSVMGDDAYPPEEIQQLVNGLDIRELSFSDEGNVRISDDGMINYLNAIKPTRSFYLDRSPLFVWNHSKMSQFFVQNLDCIVIANSPLLTLDDLLLMNISEIQINRTRMIGKDFNRFLKHWIAGSNPRLKYLTVGDDSTTDDREEFERELLNGIDHRKIPDEEERTCIKRMGTYEECREKMTGGSYIRRKDGTEAIVHAEYHWFHLILQELMSI >CRE26621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:316531:317666:1 gene:WBGene00064106 transcript:CRE26621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26621 MSPGFPLFRLPDNVVLSVFRSMEAVELMLLSMISMRTKEIVCSLNLHPEKISLDTRASCNLEIRFSPFIICVAFEKFEKRNAERELVEIERPEKVGFYVTRIVDYRTFEGLEYFINGTMEQDYQWENGTFEVRDYIDHFMEILHHDWIDELSVMGDDAYPPEEIQQLVNGLDIRLLSFSDDGSLRISDDDMIIYLNAVKPTRCFYLDKSPLFAWNHTRMSQFFIQNLDYIVIGDAPLLTLDDLLLMNISEIQINRTWMIEKDFNRFLKHWIAGSNPRLKYLTVGDDSTTDDREEFERELLNGIDHRKIPDEEERTCIKRMGTYEECREKMTGGSYIRRKDGAEAIVHAEYLRFHLILQELVNF >CRE26623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:320170:320922:1 gene:WBGene00064107 transcript:CRE26623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26623 MSSSSIKPNNKKKKLESGGVSMDHVNHIREYFRSKEFSDLLDKAVDRAIEKNLASLDKLSESSKVVIQQLEVELLKQQNKLKEIEGTIQKSRKGGEEEDPAEAKERRRSVVLLNIPESKSYFQHERNMLDVTSVNILLRHLNLGCQPMALYRMGKERSDGKPRLLKLVLASSQMQRELLKVAPQLKSFDTRGHPPVYIRRSMSKQELEEFREERKKIKQSQVIPSSANSEEMIIDPPASSSVNVTPAPKN >CRE26626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:327827:328514:1 gene:WBGene00064108 transcript:CRE26626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ssp-36 MSVVTVDPPNATFPASGGTSTHFINSTYESRIAFKVKSSNNENYRVRPVFGFIEAKGKTKFEIVRLEGPVKDDKLMILWAEVPADETEPQAPFKGGAHQGDATILMKAT >CRE26680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:329025:336354:-1 gene:WBGene00064109 transcript:CRE26680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-3 description:Polypeptide N-acetylgalactosaminyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MKX9] MLSVGGGRSAVCRAVIATSIVWLLIDVVILFYYLDPSSTSQQDDNRILTRGQRRIDGADALHLAAPRHESNVDAIRNKPEKAVEQVFPVDKETANQLRKLMETQAFGPGYHGQGGTGVTVPEDKKDIKEKRFLENQFNVVASEMISINRTLPDYRSEACRTTGNSLKTEGLPTTSIIIVFHNEAWTTLLRTLHSVINRSPRHLLEEIILVDDKSDRDYLVKPLDAYIKKFPVPVHLVHLEDRSGLIRARLTGSGMAKGKILLFLDAHVEVTDGWLEPLVTRVAEDRKRVVAPIIDVISDDTFEYVTASETTWGGFNWHLNFRWYAVPKRELNRRGADRSMPIQTPTIAGGLFAIDKQFFYDIGSYDEGMQVWGGENLEISFRVWMCGGSLEIHPCSRVGHVFRKQTPYTFPGGTAKVIHHNAARTAEVWMDEYKAFFYKMVPAARNVEAGDVTERKKLRETLQCKSFKWYLENIYPEAPLPADFKSLGAIVNRFTEKCIDTNGKKDGQSPGLQGCHGSGGNQAWSLTGKGEIRSDDLCLSSGHVYQIGSELKLERCSVSKINIKHVFEFDETVGSLVHKKTGKCVTGADQRVTLDECGVGRKDQLWQLEGYQNSH >CRE26681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:338390:349056:-1 gene:WBGene00064110 transcript:CRE26681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-250 description:CRE-TAG-250 protein [Source:UniProtKB/TrEMBL;Acc:E3MKY0] MTDGASAAKFMSGLVPSTIFDRIHSDMDVSEEMEEIREVLYEMIKKNFPMGVAATHLAEKYHEEFVSKGLGRELPEDWIQQVTAAEEFEAQTRGPITILFVRLSNTSSFKRPPINSVNVRVINTDREPTADELKKIKQRKENEPPEHAKTLSRQSSALKEGSTVSIVYADSPRRFFIRALTDDDQYEKIGTTLAEIYAQESPPSPLDSRVAIYEIVAEGAYALQDSNGTWFRVIAKQPPQSGQVLCHFVDVGVCEKFPVAAIRLLPPAVHPVMSIGAMAREVCLDINEEDALKWNNRFIDLTFETKDDGVQVPVNLTLSKFDTSTTDSTLPIVDLTNSEGSSITEILKKPSGVVRLTTPTKTASSSPVTTVTPSSTASSLTCLFDKPATIQPMTVSQMPMSAFPANAIFAAGPTDISLRQLSLDPMPDYMYTKLKEECTLPDSQLDTPPELGAFYAAFIDERWERVQCIRASKIDKQAYCVYLLDVGAFQYVRKEAMRRLNSTSPFKKMLMFKCKIGGIKPVTGGEVWSRESHEAVREFFEAACGEPVVVRFWGSGKCVEPTPPGWCQWKQLNAPAVPTCEARLSCCGRDIGDWLIACGLALPLNAPVPSPNSQSLLTFVPPPINIVGGRI >CRE26682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:353879:358055:-1 gene:WBGene00064111 transcript:CRE26682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26682 MNKKEKEIVAKILKMNEKKPDLFMQDLEEEEEQFYETIDPAQPSTSNRYMHEKFHYAVAVPSPPTSSKNRLRAQRQTSKAVAEAAIKNGMQTVDRSGSSFVVPITMQAHPTQLNDRILAEKDDILSQSTAFPYCCYCHSIFKTWRGFEYHVLQVHLKYRPFRCFHCQKESFYTEEEGRFHSSTCHPHQDITLVKEFKAAKETEAQEAFKSIFLMCRDGPEVTRERVFQWEQEAFQQVMKFHYLKFKRPIVVTKKLPTMSRESQTEMVNIRMMIPPLISHEHQTPPIFQTPPPQNISLGGPSTYVYQGYHQMTSQPRHQVIGRPRRSTATQHDEDPSDRDKRVAALMLAVTSSNNNNR >CRE26627.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:358650:366891:1 gene:WBGene00064112 transcript:CRE26627.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-15 description:CRE-NPP-15 protein [Source:UniProtKB/TrEMBL;Acc:E3MKY2] MPLPEPYTHVKMVTDKELELTLDKVPIEYPALVKEAFLNNWHASAHQTEVTTNCASLNDRHCWVLSRNQMFIWERAKTSHRATNPTQLPLPTSGLPRSAKCVVVYDSSHISQKTKLPIPAVLIISPEGVLRHWPSIESQNYIEKTLDINSEVALRVQITDQPVEGKSASFLLTTTSGTIYFLSGEGSDSGKTGALTCTKVIGRDSRGFRRRLSSIMFGGQEDTSLVMNSFQYDTKAALLVVTISTDAVSVYNMLTPKEVWTLRADKFFQPKVCGFFESDLKRIANLVKCQLIDAAVFRDGLLVLVGGTHEDSNQVHMFTCWFGPNWQTEEPKEIQWSARITLNEYRSVLGKNDPSVYSSLTICIPEDTANSKSADRTDGIIFLHSFFALSVYLPINLMNPPKKYQCISRHVALPASDQLIGYAVGKQYVYTIMLEGGISTVRLLPRGFSDGSVMYAQQHQIAVPSLAAGPEDWGVLGDLLSEMVASGLPKTPVFQSLHKAFELFSEKDMVNSAKELKEIHKMNDQELTRVVAQFLNAIIDYSDAANKTDTELHAKRVLTSRMNLFLKHMAIFDRMMATECPVMRGGVSVQRVGPIMLGEVSERVAATAAIWKWKCTNEANAAIFDVVIEKVLRIPEVSSLGLKDKDALFGRCNLLHLIPVVAAQQLDKKILTKTRNHRLEIFHSVCDLLMDIREAIISWRKTRIAVPKIILWWTVETFVDSYRQVAERIIEELKEGQASESERTRLMLYILGIYDFYLHESDLRPDNDKILQELINLGKVPDAMELAERHKDFGLLIKHYLAVDNRTRQKTFERFKNAYRNDDFEMYLCDYLKRNGRNDVLLQQGGQRVDAYLDNFKELRYSREIANKQFGKAAHTLMSLADTETKSFEKFADFLTRAYYCACSCRDGTDVSEVVDFYKRRYPEMKHRMRIPLEILKTGYGDDLDVMMSVEEMLEWNMAHQPNDEATVQGFARAFHLLADLLVFHLDSVELKDKIEKTWKALIDYDEWTKIRNKDDVEKKTMFGKFCKWLIEEFPADQGDSFPAWVPLSRMLILPQNLELILEEATANTTGNHSSWIKGHIKWVQEQLVEKAKTPKSSFFHPDTQEVGSFAQAALEGFGPILKMRQKRLGQ >CRE26627.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:358650:366892:1 gene:WBGene00064112 transcript:CRE26627.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-15 description:CRE-NPP-15 protein [Source:UniProtKB/TrEMBL;Acc:E3MKY2] MPLPEPYTHVKMVTDKELELTLDKVPIEYPALVKEAFLNNWHASAHQTEVTTNCASLNDRHCWVLSRNQMFIWERAKTSHRATNPTQLPLPTSGLPRSAKCVVVYDSSHISQKTKLPIPAVLIISPEGVLRHWPSIESQNYIEKTLDINSEVALRVQITDQPVEGKSASFLLTTTSGTIYFLSGEGSDSGKTGALTCTKVIGRDSRGFRRRLSSIMFGGQEDTSLVMNSFQYDTKAALLVVTISTDAVSVYNMLTPKEVWTLRADKFFQPKVCGFFESDLKRIANLVKCQLIDAAVFRDGLLVLVGGTHEDSNQVHMFTCWFGPNWQTEEPKEIQWSARITLNEYRSVLGKNDPSVYSSLTICIPEDTANSKSADRTDGIIFLHSFFALSVYLPINLMNPPKKYQCISRHVALPASDQLIGYAVGKQYVYTIMLEGGISTVRLLPRGFSDGSVMYAQQHQIAVPSLAAGPEDWGVLGDLLSEMVASGLPKTPVFQSLHKAFELFSEKDMVNSAKELKEIHKMNDQELTRVVAQFLNAIIDYSDAANKTDTELHAKRVLTSRMNLFLKHMAIFDRMMATECPVMRGGVSVQRVGPIMLGEVSERVAATAAIWKWKCTNEANAAIFDVVIEKVLRIPEVSSLGLKDKDALFGRCNLLHLIPVVAAQQLDKKILTKTRNHRLEIFHSVCDLLMDIREAIISWRKTRIAVPKIILWWTVETFVDSYRQVAERIIEELKEGQASESERTRLMLYILGIYDFYLHESDLRPDNDKILQELINLGKVPDAMELAERHKDFGLLIKHYLAVDNRTRQKTFERFKNAYRNDDFEMYLCDYLKRNGRNDVLLQQGGQRVDAYLDNFKELRYSREIANKQFGKAAHTLMSLADTETKSFEKFADFLTRAYYCACSCRDGTDVSEVVDFYKRRYPEMKHRMRIPLEILKTGYGDDLDVMMSVEEMLEWNMAHQPNDEATVQGFARAFHLLADLLVFHLDSVELKDKIEKTWKALIDYDEWTKIRNKDDVEKKTMFGKFCKWLIEEFPADQGDSFPAWVPLSRMLILPQNLELILEEATANTTGNHSSWIKGHIKWVQEQLVEKAKTPKSSFFHPDTQEVGSFAQAALEGFGPILKMRQKRLGQ >CRE26628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:368717:374326:1 gene:WBGene00064113 transcript:CRE26628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ran-2 description:CRE-RAN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MKY3] MLPRLFLTILPVCSPNFSGEKSTQNHGKYRLLFEMDISGKQNTLSFFGHQLKLDSEEEIEKVVNLISKHANLEVLDFRGNTISVDAGKRIAEALTKRKELRECLWSDMFTGRLKHEIPLVLDYFGEALTASRCHLTTLDLSDNAFGAGLRDSLFNFLQSPALFSLENLILNNNGLGLAGETVGEALCELIATSRKEGRPLILKKFVCGRNRLEIVSTIALTNAFIMMKTLEEIRLPQNGIGGEAIKALAQAFAANPNLRVIDINDNFVCPEGALELAEVLPELHHIQVLDLGDCVCDDPGVVAILSGLDRRRDCLQKVVLSGNNITSDVIDAIGDFFNSARMSHVHVDISFNMFGEDFDAAKARHGRGNIDFGRRGDDELLSSDGEEQGAEDASMEEGQFSDVLNTSGNTVIERSPADAVLAENMMNEMLTRGFGCFKISDSDQSAPNGGLISFLDKSLKLDTAESADQVVKVINSAKTMKALELRGNTLGIAAGNVIAKALERHPELERCLWSDLFTGRLKNEIPPILEALGKAMIKAGCKIRELDLSDNAFGPIGADALKEFLESPSAYTLEVLKLNNNGLGVGGKQIAKSLTECLRKSIAVGGENRLRLKTFVAGRNRLENPGAHALAATFKSLETVEWFDVRQNGIHEEGIRALVTALKHNRNLRHLWLEDNTVLPKGAKALARALESWPKLEVLNLSDCLLRDAGCNYVIDHLNPQLHRHLKHVYLCGNELTPPVAKLLIQKWIQKFDGFTPKPTLHIHTNSFGDEFDEVAAMAPDNVNVGDVDDDLGSLDGDQEEYNSKSSDSDDADLDSDGDDVVSDDDDDDDDGVQVIETIDHLKQAMDRIDQLDIDFESRFQEDTARVILQLSAPLKSCGMSLQAFGRAVEVAENIVKRVEAVKRNPIPATTQLINNIVAQCQGTGVKAESDWGYNADTQVISRLFAELVKRGHFEHEKSLIERFFVSFLSFRLISNSDMIFSALEVKQ >CRE26629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:379063:380723:1 gene:WBGene00064114 transcript:CRE26629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26629 MSSTLEPKEEEEDIFGVFSDDIEHENVGIATKKDIDSLLKFIDGFEHWPLLNEDCKIEVVKYLDYPSRCKLERCSRADYEVVKKTPIKVYSVEMIDNEKHHFSLSMEPFDNVVVRVQFHHDFNSGKRFELIFSQLGDDTEVRWLQYVPRKRPESRNLILKSCNYYEEAVKFGEKWIKKGNYEVKHLTIEMTNYPIETSQIKFLPRCKSIRIATDDVEMFRWWFERVPDQLVDLQLLTNFDNRDTWTIPSEFLNASQIMLTPEFYFWCRAAFTDEQFLNLKANKISFMCVNVTEDGINQYIKRWVSGKGVENFKQALLWSSSNWDQSAIIRGLELRPWDSDFREEAGGFCYDFERVCGQGTCYQIYSKIDPYESLTLLMPGDCVAIYGTGRKTEWNGKTYSYYSIP >CRE25348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2114273:2115717:1 gene:WBGene00064115 transcript:CRE25348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25348 MSYNPAEEPKEEEDECKLEFNIFGELLDDIEHENVGILNPNKPASKKDIDSLLKFIGGFKHWPLLNEDCKIEVVKYLDYPSRCKLERCSRADYEAVKKTPVEVYSVELFDNEKDPYTLCVEEFDTMTVRVQFHHDFISGKRFEFIFSQLGDDTEVRWLQYVSKKRPKSRNLILKSCNYYEEAVKFGEKWMKKGNYMMKRVTIEMINYPVETSQIKRLPFCKNIRIAADDVEMFRWWFQKVPDQLVDLQLSTSYENRDNWTIPTEFLNAPQVGVSKNFSDVSIQTFQIMLTPEFYFWCRAAFTDEQFLNLKASKFSFDCVNVTEDGINQYIKKWVSGKGVENFKQALLWSIRDWDQSAITRGLELRPWDTDFETEAAGFCSDFERVCGRGTCYQISSAINPYESLTLSLSAVRVSIYGTGKKMEYDGRIYTYYSIP >CRE26631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:393261:394778:1 gene:WBGene00064116 transcript:CRE26631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26631 MSAANTTDDQERVNNQVPRNKLPPIALIQCPPISQQRIDELLEVGKEPSPAPPVFGLGLLSVEPGTDAEKELLDYGQGKFRRGQIATLQGISKNMGIFLEQLTRIAIDQRRLSRSRGKGNEKVELLLKQGSLDWKKFESTSLKITRVYDSLRSYIARKVSSMETATEVRDLKIQMAGIKKDQDSFKQILEPGADHDDSVLIALDEIEAISTTSERAHNRKVAEIEERKLHEARKTSSTKCFYCTGTHDAAYCDVHLDLQSKRLALITQQRCIICGKNDCDGSPELCKAKHRLCVRCDPYMPSKEREHHPLLCPSRHQKHPSSSAGETSKVGNRSESDPKDDSSYFGANMDKYLDHSRPRQEGRYHVQRKYVDFKENGFFSDSGSDEEKKPSTSQRALASSSNKRSGGEPSKKYGGYNWQRRGAKHRSSGKREDAKRPRLAPAAKSESSIKKEPEAAD >CRE26632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:396582:399440:1 gene:WBGene00064117 transcript:CRE26632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26632 MCGHIPSKMHSPTETSQKATYFETYMTQRGYTMNGANPLPLCPSDDFARRLDVSENILNIHRELTSYAERVPLINGDDIEYLDNPTSRGCHLWYLRREQLPHPAIFLREVVKREPYMANNCRLKRWIRSMLRGTYSSQHDPADHLITSACSACGSSCLGAALVDHSEKDCGFVLYLSNEEKLQFSVANTYAFCGFCNSRSASHTHCDMPRRCRRCHREGHQHYHGVCALERTPLQFQEMVHDLRIQRGRRIKWLLENGSLGFPLPNDYIPLGVHQEIRFVHNRGLTIRGVGALPRPAADEFGKIPDCIYRWKPYYGLLNRELDHRELLVNVTLTRDEHEWFILLERQARRVYREIRDSGRVPYIMKFTYLLEDPVFPPEIYALGLNQNHGARVVELPNNGDPRVPLVHEEREPQAMENIDALVESFRTYIQANPPVVADQRLQNRIVMQSPAGTLREEMIQISNRILASPRGTVFTMENGVETDNVELYREERWSHLVLQYIRNYINCMSDDRYTFKVCALAVAEFNSADTPTFRPAMLWRIQTWQLILTGQFDDERVSEEVTDVTLARYVRFLADLGQGLAGAPSAYVRLAYERVDFNFREVFMVIPTLRLFEDPVMIDIVKRWMEEPLDGFARFNAPRHRFPYSEDLRGLIDIGISEERERARELEVQAVIDFLDVRRQAFNYRHRFPSLEVMDILLVYPFPEQKPQIISRVQTMQLTLTGNSERTDELNRCDAGELERYYEFCKASLHAFRQIATTGASAPVRMSECVVELLHGGKTSFEVALPSMRVYRLESRSWWLVWIDKTLVPQLCRISGNQCRCGGHSAPARPRDRHDEPGR >CRE26633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:400793:407344:1 gene:WBGene00064118 transcript:CRE26633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26633 MFEDLRVVVRSHQTLENTPLVIHFSSFNTSCEQSTMSGTGQQHSRIDPSSTSGGTAANNNVIQRQPSENFKKAQKKVKTAITRASSAGVKAVAEAQPFIDDTSVVHDAIELKTINDLRKKLIVIKAMIETDNHFHDFVSKVQEVLSNKERHRLREAAKAHLNLKPRTNTLPVLRTTILALQEALEKQKYRYDEEVSSTEAADISELVETSPQEDEIVSDEDDLNDPSLSDTSQEVIDPLDAKNEDSNRVQHTSFDGITNSGGTQVPPVVTSDIRSNTETHKASSSAPQGSACPLCRGSHDLLDCTSPKLPVFCAKNDLCVLCTSSRHKTHQCPLREPRSSPIFASAMRPESGGRFVTSATPIVASTPRQVQSNFPNLSDSEGKEQDVSARSPAPQIRTEVSSDEEADDECREAQRGRKMKSSNKGLSYYDLESILPKFSGDALRYKKFISMFEKLGMQNPRLSDEMRLAILEKKLVGDAKRFYVDLGDPRKAIEASLEGLRSTFEGDTSGVTEALTRFRELTFHETDLKRSSRQLQDAKTLVLRLRDLGEDVDSPAFVRNLMEKLPEKMIRVIKPLFDNGGQPSTNQIFNRYASYLNDRAFVDRFRTAKVSERLKEIPGESVMATNAILSTSKNPRGNSTPGGSTRTAPKFASSPKPANVSNNASPTSGKGGKGQNPSKNSNQPNKSGNQGSSGQAGLGYFGSQATAQHQGNQASLSQGSAPFGRSSFPNPQGIGSPGNRQGGGPTSNPNSGTLKPRIPGMKGQPGEKLEPCYKYGRGYDERFIAHTFPRDSEVASKCCFICGPGHSILQCALPSYEVRQFFRSSGSCHNCAQRTHPTEECKSFSTCAYCQGKHNSGACTLKEYYRDPRNYPSDAPAPILSEFFRGPLGGSHVPTVASPATAPLVVIGTFKRSSTGEIRSATVRCTGKIYERPENQLTPLELSSSDDDPALEPVQGTHDSQDPPDPPRTATFPILYQMSFHTDGTPFSMAFASSPRLPKTKFVCPRLSAADIRYSRDNQIAPRRLLTDSSFNGQVIGMLLGIDLLPRLLGTSRRLLLPYEIFVKLVSGIIEMVTPEMDNTTDPVYYIPHRVVVKESSLMTKLRIVFDASSKKGRELSLNDCLDPGPSMLVDLYDILIRSRLPDFLVEADIQKAFHQVRLDTENRNCTRFILKDNAKPPVRDNLIEYRFTRIPFGMTCSPFHLAATIVHFPNGMTDPIAERIRKNIYVNNIMITSNDRAQTQNIRSDSSNAFTSMNKRPREYISNSAEEMSKFPREEITADPSVNLLGYHWNSVDHRNLAEKSVVSTAKSVDTVEKSTKPNTLKTSVEGKRLAKVKVQSFSESLVRADSKVPDLDIGRSGTSIGGMKIPFAPDSDACRSDASGDGAKREVPNLEASRSGASNTKNGLKSSGPGKIFDSGSPRTANSLAFDGTPRPDTPRPVESATDLDVGRSGTSIGGMKIPFAPDSDACRSDASGDGAKREVPNLEASRSGASNTKNGLKSSGPGKIFDSGSPRTANSLAFDGTPRPDTPRPVESATDLDVGRSGTSIGGMKIPFAPDSDACRSDASGDGAKREVPNLEASRSGASNTKNGLKSSGPGKIFDSGSPRTANSLAFDGTPRPDTPRPVESATDLDVGRSGTSIGGMKIPFAPDSDACRSDASGDGAKREVPNLEASRSGASNMRYGLKSSGPGKIFVRDSPRAANSLAFDGTPRPDTPRPATDLVACRSGAPRKRRRLQSPDSGSIMDVGRSGTSIRRILPSAPDSDVCRSGTSGDGAQRKVPNLEAGRSGASKAGKSPRTPDSDASRSGSSGNGYMRVVPNLDANRHASVNDSAKLDSDSNAPRQRNTEIMDDLTQSSGSAISHGDIVPQDTANHSPGVSYPMMSSRPDSVDHAKTRLPAHRVRPYQPRKAKAKLARYIHITQAAGPQTPRSVDSLLVPESGSCPTPN >CRE26634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:409470:410424:1 gene:WBGene00064119 transcript:CRE26634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26634 MKTLLGLVVLFLSAHLYSTEIISACYTEESYEENAREKYRNPLNLMNEMRAEYSVKHQIANMHELKYDYELEKEARKMKTCAELKHGSNYRIARYKQDESVAVRDEFEKPSVKELHLSYSMYLEPLMTAFIRCNLTATCEFPFGNRTFRHRATFIDIYGYRGTFSVSDFQRGPPGSKCTHGKTEKDLCIAPPRSEMETGGATGSGQESKESNIGAVNSMVSYLFIALTIVFFK >CRE26686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:449970:454703:-1 gene:WBGene00064120 transcript:CRE26686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26686 MSTIFPLFRLPHVVLSEVFNLTTPDEIIKLSLCSKKAGLIIKYTSKKWRNLGRLKLVLRGTEPSTVQHSDCTLLAVSDGSAMKDPRQLVDFKIGEITVPVNISNMVTYWADKLEGVRSVMEYVMDILNSEIEVFDIKEDATSSEIHNIFQWMVERNTVFFSVDFDSGQTTSEDLNFFFQNIKTSGCLQIRGSPNRDYRNPSNSCFSSLKYFINIIDGFWLEKWHLNSLNCSIICLFNTSFSCVDMNVYLRKWKNGQAFSLLNIGFFESSDRNVDQLLDGLDSTEIPIETRREYRRVRIYMCSKKASLIIKYTVIKWRKKPGMILELEGTKPSVLKFYEHTLLSVSDVFDRQTLGTCKMIKIGNSIVPVETKTMMTYWGNKLKGVRNGMEHVMKIFSSEVQSYKISNDLKPDEIHWLFRWMASRNIVFTDCTLHFGQATIEDLNFFFQNIRFDSKVIILGKPPDGFQMPSTSFLGYPSKSVEVYNGNWLKISDIFSIESHTILLVDTPFSSVDMNGFLKRWKCGKATTRLKVGLFYTKELNLDDVFKDIEKDEVPKETRRTFKLSYDHSLDMEGGYDIKGHDEVIATICDVVIENGIHVLFMAVWPDWSTGEESFDECI >CRE26687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:455144:456278:-1 gene:WBGene00064121 transcript:CRE26687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26687 MTSTSSPFPLFRLPHVVLSEVFNSTTPDEIIRISLCSKRAARIIKYTSSKWKKRKDLELVLQGTQPSVVQYEEITLLSVSHISEVEDQRQLVNMKIGESIVPIDTSNMITYWKDKLKGVRSVMEYVMDIFNSEIEDFYITEDATSSEIHYIFQWMAKRKTVFSVCFLKCNNVTTDDLNFFLRNIQFNKIVCLEGRLPKTYRYQRNSFWTFPRGLVNIDNGSWMKMSHLLTMNCRMITVSYVPFTNKEINCFLKKWQAGEACPLLKHLHFEFRKLLNLKEVVEGLDGIKVPDDIIREYKIFPDKNISLPGGYDIKRNDGTMATVCTETLPELSITRLKIAVWPELVY >CRE26637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:456658:457801:1 gene:WBGene00064122 transcript:CRE26637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26637 MTSTSSPFALFRLPHIVLSEVFNSTTPDEIIRISLCSKRAARIIKYTSSKWKKRKDLELVLQETKPSVVQYEEFPLLSVSHISEVEDHRQLVNMKIGESTVPMDTSNMVTYWKDKLKGVRSVMEYVMDIFNSEIETFDITEDATSSEIHYIFQWMAKRKTVFSVCILNLNNVTTDDLNFFFRNIQFNETVCLEGFLLPKTYRYQRNSFWTFPRGLINAHNGSWMRMSHLLRMNCLNITVMDVPFTNKEINCFLKKWQAGEACPLLNYLHFGFTKLLNLEEIIEGLDGIKVPDDIIREYKIGMYPGKNIPFPGGYDIKRNDGTMATVCIFTFPQIPQTHLKIAVSPELAS >CRE26639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:462787:463894:1 gene:WBGene00064123 transcript:CRE26639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26639 MTSTSSPFPLFRLPHVVLTEVFNSTTPDEIIRISLCSKRAARFIKYTSNKWKNKNSLRLFFYENEPSRIQYFDYPLLFVSKFSKNENLEHFENVKVGNAIAKMGKRNMTIYCKNTLEVINRVVEHSMECLNCEISSFAISHLTMSYEIHWVLQWMSSRNTVFTYCWLDCNQDAIEGLNNFKNIRFNSTANGSRTNNPTTSLMAIPTEYLSIFQGSWLKKTHLNYMNCTLLDLERTSFSCGDINEILKKWQRGEILSHLKCGRFGTTNQDLDQLLEGIMYQMFIEKKEYKMSESDVHPIYGGFNIDREDGVVGTVTNYSDGFHNMFALMVWPDWTN >CRE26643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:482708:483087:1 gene:WBGene00064124 transcript:CRE26643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26643 MAAEAARKGITAFNTIKNIISELKKIDKTFTPASQQYKYLMEQMRADQVTTRRYSKAENESESVAKLYLSYLQGNRRLNALQQEYKGGEKSIEESARIVGLKLPEKKNF >CRE26644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:483304:493729:1 gene:WBGene00064125 transcript:CRE26644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kle-2 description:CRE-KLE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3ML06] MSNINPQTGEERSNLAYLIQPAKDLVENFSIDVLKCLSVYLQVIQDESIRNEEHSREYAKNPKLDDKGKVIPEVFRFFDFQNASRILSGSTSVYCKKVDHVYELTLSVVDLIENKGGETGGNGGRKGGRGGRRNLNFGSTDYELIDIKSLKEEGLQNYEKALAEEKKAIDLLRLVETAEIAEAQNERRSCLVAKPTQFMFKLNYGQLNRTDEQIYNAKQRADVIGKVKDFDLKKGEILHEQQVIVSHDDYRKNVDVFTLPGARWVPDNKVLAAHFGVADVEVELDREQNKTEINAYGPFTDPLSGREVVAPPRWFIEKEATRQNLEAQSRATSRATSAAITKQIRDSQGYGSQQSQRLSQPFIERRRYNINDLVSFVEGRQIKDRETTHLDAGLVNRIVDEFGNDMDGGGAKGGENTRPPTFGNYDMFDDDFGGIDDDFGGDDDNDDDDGAEDYVRNLTRRHERKAPVPWEDLEKVKIPMYTGDEDIPVTRKPVKVLAKPQQTVQNLLKKKRAREAKIPMTRRDEFMQTHNYLQDNYYWRSAARINPDKDWKIEALRTAILTEKKRRCKEKTARIRDLRAQNVQRKRSTRGTIPLEDYEAALMGDEKENEVPNRRTMGAEYDDVVDEDLAAEVEFSVLGGGFEDDDDVEPRGEVAPEEVNQDIPNYEFDAPSDITAPRPSTFKPLLFEDIDDAELNSVINLPGNLFIDKALPLLKKFAEHKTDREQMAYEMAKAYEDVDIAVSNLQEQVDTWHAKMEPILEEGETRKEYDVNFVSRIVIDEYEEIGETKSILDLVIGRPWFEISRYFLSCLFLCNLGNVRVTDDKTLSLEERVNSMQITMLKREMHADKFKELDGMQGLAAVEERQEPPPPPVEKPFKKPANPVVEEEEEDDSMDFE >CRE26690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:494788:498954:-1 gene:WBGene00064126 transcript:CRE26690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gsto-1 description:CRE-GSTO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ML07] MVLTGVTSKAVRKGDPEPPLAPGKFRVYNMRFCPWAEKAMIYVAAKGIDAEVININVTDKPEWYFSKHYQGKAPAIEHNGKIVIESGYIPEYLDDAFPESRILPTDPYEKVQQKLLMERLTAIQSPTQCHFCSSDNLRDRSLKEEKQPKVFEVLKQAEDLLADEFYAGSAPGYPDYLSFPFFEKCWWAASLDGVVDLPTTSFPGEEKYPKLTRWFDRMLNSDAVQSVTQSIEHGAAFMNAYATHQPMDYDLGL >CRE26691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:506716:509577:-1 gene:WBGene00064127 transcript:CRE26691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sup-26 description:CRE-TAG-310 protein [Source:UniProtKB/TrEMBL;Acc:E3ML08] MPPTAQNSQQQSYPRSDKGGLLNYPPPPIPQHHHHQHQRNQPYHQHHSSSTNSPQTHSSSPHTTSSNQYHHHPPSRTNNNHHQNSHSSQQAHNSRMNTSSVAAPQQQQQQQQPQGAPQQGGQGQGPPQHHGQRQFYGGRPQNHMRGGSGPFGNSNGYGRYTAPRDGQQHHDSTPLSSVSSRRVPLPPSQPPTSQRQPHPSSNFATATPSSTNLYIRGLAPNTNDDTLREMCSKYGNIASTKAIMDKATNNCKGYGFVDFESPQAAAAAVDGLNTEGVQAQMAKLQQQEQDPTNLYIANLPLDFTEQMLESELNKYGMVISTRILRTPDNNSRGVGFARMDSKEKCEVIISALNGGRFENMTKEGPALLIKQADTGRKSKVGTGIPRHPFQQFSSLGPPVLNAFLNGQHSINNPDMIQRMQYPQVYQSYYGYHPAAVYQQHYDVNSLASQMGGMHVGGGNPQANGGNDMYGAHMYGAQNAGGQGGQAGGQGGQGGNQQFYNMNPNNGRNKKVCGVSAADSAHFQIQAAS >CRE26645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:529816:533242:1 gene:WBGene00064128 transcript:CRE26645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26645 MPPNRPSAEEYPVDIVDLQDDFQPMKTLEMVLDEENHMHRYWIYLKCMKWQIELRANSLECFAAYFKAPATWSAEIEFELRTHITNSVRSEKFVARFTGNNHIYFRALKLQEHISRENIKALKVELVMNLVRVHNFGMVYEYFDMMPDGESTLLKVEDRLIRVNKRYLSMMSPFFKALFYGELGQDLEIHELKEKFREMICFIRCLYPHRQPVTKKSLDFLLQMADRYQCQPMVDACEMFMCAHYHEYQKKTEKCFSYVEKFKMQRLLRIIVEYVAVTQTTQQFRAQTYWRDLSEKTQLAVLEFMAKNATRRGKELERRRGVNAHRANIDPVDDQGPPNGRLIGIADPVRNADPRPPPPRYIRQWGAVRHVVYE >CRE26695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:538047:541928:-1 gene:WBGene00064129 transcript:CRE26695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mcm-5 description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:E3ML13] MSNLDNPGIYYQERFFANDGVPDTGKELIAEYRQLITQFRNFIRDFSTGGFGMIYRDQLKRNYFSREYRLEINMNHLKNFDEDIEMKLRKFPGKVMPALEEAAKIVADEITAPRPKDEEKLHDIQVMLTLDEYPTSLRQVKSAQVSHIVKISGIIVAAAQVRSKATKVTLQCRQCKHTIPDVVIKPGLEGFSLPRTCAAPQQGQMQRCPIDPYVMLPDKCECVDYQTLKLQENPEDVPHGEMPRHLQLFAERYLTDKVVPGNRVTIVGVYSIKKLVQRKGGDKTLQGIRSPYLRVLGIQVETSGPGRTNFTIFTPEEERMFKTLAQRKDAFELIAKSIAPSIYGSADIKKSIACLLFGGSRKKLPDGITRRGDINVLLLGDPGTAKSQLLKFVEQVAPIGVYTSGKGSSAAGLTASVIRDPQSRSFIMEGGAMVLADGGVVCIDEFDKMREDDRVAIHEAMEQQTISIAKAGITTTLNSRCSVLAAANSVYGRWDESRGDDNIDFMPTILSRFDMIYIVKDTHDVNRDSTLAKHVIEVHVNASAAKERDNAGVPKAPAVDSDGAMTMFDTDGYLTIEFLKKFVTYARLNCGPRLTPQASEKLVNHYVKMRNPVVNADAFKSGKKAHHSAIPITVRQLEAIVRIAESLAKMELQQFATDKHVEEALRLFRVSTIEAAATGNLAGVEGFTSNADQEALNRIEVQMKKRFAIGTHVSEHLIVQDFVSRQHYKESLVKKVIDNLVRRGDLQQKMQRKMLYRVR >CRE26696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:542596:543864:-1 gene:WBGene00064130 transcript:CRE26696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26696 MNQLTSPKILRSCILFEFFRGKPVFETYKSFCEVMGYETITLKEFEIWYHRFSRGEFDLEHNIGPNTSALEFSNLPTDAIGKIIEKCDLKELTLRKVSKNLRSLVDEQKIAYKSIEIYLEDSFIFCAHNDKKVVYASENLDEKKGYGYIFKRSFIIRSEDYVKIALNDLSIALKNPKLRLEFFDLKIFSDSNDIMNRLESLLKHQNHKQYVKRVSVYSESPECLLSGLPCLRPKVLEGISIYGSGEDSDVWASKESERVREIANLEQWKQAEELFLFPFNHFPLEFLMHFKRFLIFDDVGKDFLMVLKNLFSTSTEFKSCTVELFGLESCSEYLESFCEKVDTKDTSVLLYRFKIPDESNKVLEFKLDKDEIIIKKKNF >CRE26698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:549129:551545:-1 gene:WBGene00064131 transcript:CRE26698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nth-1 description:Endonuclease III homolog [Source:UniProtKB/TrEMBL;Acc:E3ML16] MKRLVTSSSTAVTVATVAADLEDTVVVKWRRDVDWIQKMRKDMVAPVDTMGCHKLADPLAKPEVHRFQVLVALMLSSQTRDEVNAAAMKRLKDHGLSIEKILEFPVPDLERILCPVGFYKRKAVYLQQTAKILVDKYSGDIPDSLDGLCSLPGVGPKMANLVMQIAWNKCEGIAVDTHVHRISNRLGWIKTDTPEKTRKALEILLPKSEWQPINHLLVGFGQMLCQPLRPKCSTCLCRFTCPSSTDKKMKEEESDEEAGPSEKPKKLKIEVEKVVKIEKIEEEESVEIEKPKKTRKVKK >CRE26646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:553623:555564:1 gene:WBGene00064132 transcript:CRE26646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26646 MVKEAFQDAIEEENGIDYYARGWAESNYSATFLGSRISGNEVSQFCGRLAANQFFHVPDAYRDGVWFNPSKPIPIPVLNVTFHIPTRWFRNIAERSPLIYICYEGDSFLKLLPFETKPTDWTEDGRYHRTVLFNSYNDRCRIREVMIKLDDHWNNRYLLPRKLSGLVTVYSDSILPRMVFDKECDMDKGTNQQCCLWKFFFNDSDGTTKVFHRCFGSIPMEALKNAQVPDALSDVLISGGFTRRFLNAPTRVCRGIEFRPFVMKQPDGKIKKLEKVDAIKCAAFY >CRE26647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:555755:558267:1 gene:WBGene00064133 transcript:CRE26647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26647 MHLRSLVRVRLTKYFPSDRYVKNRCNGADGLLIDMERRKGRVDDYKLASFMKLRDSKLALPKLLVDPVNHAHNSWIPRLIADKSIAGIAMRNLNSEDVESWDNTVFTMIWDTKERRITHSIISYHRINDGDIHWNSSIRTAVQGSLDHDIQPLAARILRFRDMESATQEFEILRQIGFTGAVIRNPNLIEMTNKVFEK >CRE26699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:559726:565301:-1 gene:WBGene00064134 transcript:CRE26699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26699 MTEEAPDSPVRRSFRLKDKPTPEYSYKNYLRKDYLPPAVIETNKKYEDLFSSVVTNALSMDIQKIPDEFRTSKEVSQKRRISSSEEEECGDSDVSEDMDSDDAIESYHEIQMRIEEDNLLRRERNLPKKKKKRYIYKKKKEISFKEAKPDKQTEKMMSKLKNIVEKIEKGVERMKKNSEVIRRLSENSSDCEIVGVLVKESTCSCGKQLNDHMDCHRHIYEEHFDNDINADCNKCGWRLEEIRKHHACPICYRFALDLEEHLASHYRNCTSKYAVMECRFCTKQFKTVKEAMAHEQEKHLTKPLRKLPLCYKCNECPSAFATQENLTYHYNSHIDLNELSDKIDELQYKVDASKEECPFCRINLVSRKTFRAHMLKKHWNACKSLSKMTLSEVQTDREIKQEIDELTRLAEIQETAETTTLKEVKKEVKEEILDDGYDVITLD >CRE26700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:565891:566626:-1 gene:WBGene00064135 transcript:CRE26700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26700 MGALKDMVLFIYTMALFVLHAAGLYHLFHSEWTSGFEYREMAFYLGAITAIQYLDIPFSFFLTRSNPYAVFVQVSGRLFTLYAATYMVGWKYVAFTLVAVYLISELCRGPYYMTNCLKTPNKPLTWLRYNAFKVLYPIGFTCEALVFINVLFVSGRVPIDIRNKNFMFSLVFAAFFFTIVNFLYQSMSKKAEQKNRQLKNIKTK >CRE26648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:571027:574318:1 gene:WBGene00064136 transcript:CRE26648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26648 MVRKVDTDIARLERTSNSEKEGGVAAGVATSEVGTWDDHRVIPPTNACGAGEASTVIHTQPKDASGVNPSISQNPTKTGGMSGVGSDANLIVNQLKEIGEIRDLKSLSDTDGTWKHQLLGYTAKPVKETEEDNGKDSVPRVLTETAREDCNVSASANKDRIATIDEKTSEDDKNRAFPTGRKNLATTWDAVETIQAEQPSNLVSRTGRMNRASLEAGRASQLVDSPSTKTKCLERKVSETCLCSGVVDMVGKWDDHRVISPTTPTSPRARSLSSNRGLRPQILHTPVVVRQQGVSRDELAADLDLSQRLIDASEGIMENSHPPMANNLTPIRNGSPQSEEEKDESMPHANLDITVRYEVYDSTPNERNEESPNPEDEQAAVTASGGTTSPGNTPPLRPSNGNIPNIQEAVASEANDEDKDEANQVNQGRAENNEEVGTLPHVMAPSFKSAASLPAREDINNSVRSFRDHLVTINPDASQEDSRRAFLTVLKYRARRRAESMLIEKPKSTLNELIQGLKEMFECTSHVQRNKTHSRSSNELPGESSADSLFHGTIKLAKQSYHEYQKNPEYQKEDVTLEKFLEGLNQAIKSLVIREAQSPTDQTRSTALEGEACLVPNEQPPELTQSPAQLAASLANTATYHRNQDDCRYHRSERQSRDDDYRGRSSEIDSQVSRREILLTFTGKCHYCGKVGHMARSHKLKQRSVANQQKSKDPGSNHQAFQVDAEEEAKCLREMILWQDLRIHELKEWNDRLRRDNSSSTSRDQTSQADPPSAMALWTIFGQKNKFPRSKFNLV >CRE27340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:597992:599148:-1 gene:WBGene00064137 transcript:CRE27340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27340 MLAIPASHRVLIFDEYNGPLEVRQFTVPEPEDDELLVKLEYSGICHSDLHAWCGDFAYATVYPLIGGHEGAGRVVKMGAKVKDWKLGDMAGVKLVNANCLNCEYCKTGHEPLCDRIQNYGFDRHGTFQEYVTIRDVDTIKIPDGMNLAAAAPVLCGGVTAYKALKETNVKAGQIVVITGAGGGLGSFGIQYARAMGMRVVAVDHSSKKYHCEQLGAEWFVDGFETPDIADHIRKITNGGPHGVVSFAASKKPMEQALEYIRKRGTVVLVGLPKDSKVNSILVDTTSLIFNAITIKGSVIGSRLDTDEAMDFVARGAVKVPLEFVKLEDVPNVYEKMKEGQVNSRVVVDFSL >CRE26703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:594979:597782:-1 gene:WBGene00064138 transcript:CRE26703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26703 MSKYGKSPTSVSSSRDVYKDASKTTPADLPEPTPADTSSTQSEMSDNTSDNNSGDSDEEVSIRIQNDYDTSPVVRAIQEKSTPPSAPSKRTSRCSASSGRKEEDKENVPTSFAKKRGAKATETRGTVPQIFPKIGRLSKPTPAVVQPQDWEVRSVVFKPMDTAFAYGSSSREYKELRETIKFVKNKLETFKSADEVTPEWISSADQVVSKLSCMGKNIKELVLANNKTVDTLQRACDIIRGLHGVVAGLVATHHQESWHKYMANVVGSADETCKLVRSLTAANGRIEGLLMATAPAITHAIPYKLVNTKSENPRKRAAKRNRGCTLCNKRTHNTKSCRKYPTSVDKIRRANKLNICLQCLKKFPKNDTGSVFCGLKRQNSETGGHRMPRNKPKTPRIKTLNIFSSTGSPSVESTPTSTDDAAPQIYAEVVAGPAVPAGPESSPPPPMFIRHPDGTEEVNPEAYIRRGYGWDGAHRQQLAGRLARGRIMALSRIQRS >CRE26651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:600088:601958:1 gene:WBGene00064139 transcript:CRE26651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26651 MSTVFPLFSLPYLPLKEVFDHFEPQGILIISLCSERSKNYAISYRGPSKNIRIGLNSGANSFLRYYEDSTFFGLFDVTVISNLPKVKTLETVKIGNYPKVPVIMGTVRGLTCLKTYWEYRFIGLEEIINYARKIFNRDIYHMTLGKQQTENDHRLAVNLIMKIQNSIPSIYCDFPLTNHADLDMILENCNTQSLELFVWNTKDYSPAKQPNFNLDSVYIHHSYWIKPNHFMAMNCKYIALQESLLTNEDINTFLKHWMNGGCYPLKELIIRNEEPEDYEDVLDGWEFTAIDDEVKRDFVNDEEDLQSIYGGSDIKRPIDNVTATIINSPREFWMIVWPDYAGNSHRQTGSYPQL >CRE17957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:505708:512702:-1 gene:WBGene00064140 transcript:CRE17957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17957 MLKLAAFFIFVIGSVYGQAFTCPTSSITVATPTGNLPTGATNVTTVPAGTNCSFVFDIPNNYVLLLKFSIDFQSDDDYVFIYDNNDRHKYYMTNPGYAYYDSPLYMPARSAQVRVVGVSGNSRFFMSYQYQSLSGYQQITKNTGDAFSLTTTTDYVYFTITASTANDQVVPNFAQTAGASLDPYLHDIFVYDGDNINTATFLGNLDDIGSTLTVSSGRSLSFVNPYGETSDPSAWFLGNDASAVQGYNKYFALLATSQTIISGSLSDVSEFGAAYTFICVDCPTFYWTVMQFDMSITANRGYISFQGQTPTQKREKLVRYDPSTYSQNYLPQILPTNIFTINLFMARVIFNVTTTNGAANFHIPYDGRQGYIFSPNLWSGASNSYQYELRDDSALFNYTLNFDKMSFASVNDQLTLKIGSGTGAPTVDKQYPRDTFGTNITASGNYMQIGLAASDQSDIRLSYQMTQSSASICPTTPINATWFSGNLPIGATNITTIPAGTNCSFMFDIPNNYVILLKLSIDFQSDDDYVYIYDNYDNHKYYMLHPGYAYYDSPLFMPARSASVRIYSASGKTRFMLSYAYKSLSSYQQVTKNTGEYFPLSSVTGNSYYTISSVSDQVIASTAQTISAPTDGYLHDVFVYDGDNINTANFLGNLDSLVNGRVPSTGRSISLVNLYNSAPPSYFLGNDASTVEGLNKFFTIIVNSQSTVTASMSDTTEFGAAFTFICLNCSTFWWTQMQFDSMFTTASRGYISFQGQTPTHRREKLIRYE >CRE17958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:513502:517398:-1 gene:WBGene00064141 transcript:CRE17958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fgt-1 MGVNDHDVTVPLQVERQPIPEGRLTRCLAFSAFVITLASFQFGYHIGCVNAPGGLITEWIIGSHKDLFDKELTRENADLAWSVAVSVFAVGGMAGGLLSGWLADKVGRRGALFYNNILALAAAALMGLAKSVGAYPMIILGRLIIGFNCGLSSGLVPMYLTEISPVNLRGMLGSLPQLFVTIAILVSQIFGLPHLLGTGDRWPLIFAFTVVPAVLQLALLLMCPESPKYTMGVRGDREAAENALKKLRGTNDVAAEIQAMDDEAQAARNQQKPNMGAMFKGALAWPMSIAIMMMLAQQLSGINVAMFYSTVIFRGAGLTGNEPFYATIGMGAVNVIMTLISVWLVDHPKFGRRSLLLAGLTGMFFSTLLLVGALTLQNQGADYKWASYSAIVLVLLFVISFATGPGAIPWFFVSEIFDSSARGNANSIAVMVNWAANLLVGLTFLPINNALGQFSFFIFSGCLAFFIVYTWKFVPETKGKSIEQIQHEFENRKK >CRE17862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:525566:533724:1 gene:WBGene00064142 transcript:CRE17862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emc-1 MRLPLLVTITLIASATAIFEDQVGKFDWRKQLIGCPARVDFDKIGSKSDRLLISTEQSILSSLVLNTGNIGWRRIMEDSNQVVQPNGLTFTKDHEYIYSISSDGRSIRVWHKNNGVMARQLTISEQKSTIQAIHVSNGRLHVASGKTLMVFRLGDDKPLETIVAKRERSFSAFFQQDDHLVHVSAFPGDFNLEIVKISDDGSFETPKQVIIDGFDVEKCHHQSQYISCYHNSQLLVADVFAQKVHKTTLDSKLQSISGSGRLFLARGSQKVHILEVTSENGLQVRKSIDLTSSDTVGITENHESIIVASPESIRIVFVNSGKHFEAKREKNEQNSRIRSIFARKNEKDWEIVLVGNDCRIEFVTVDEGSKIVNLEWAREESLINTVSVEMVDLPLSESQQMIEDEFEEEGQQNIIAAFVRRIHSQVGQLIRQVTKNVEKAIQVVTSLSRDGNGVADFINSVRAAGQIGSASSSGPFERDYFNLRKVIIVVTSSGTVFGIDSSDGSYLWKLWLGDAFSPLESQLDQKRVPLFVQRTTAHYQLDGLASVVFSNKITQNGVIVSFNPMIGKVESRNELGYPVKRLTILPIHNHRHVFPVMLIGKNDEIAVFPSIAPEELTPSTTSLYLLDLQKSSVQGLKVDISTQKVTPIWQGNLGLTSDDEIVAVKGKSFNQKVHSQGRVLVTREVQYKYINPNLAAVATINKNTQQLTITLVDIVTGQVVHSASIGKSAKPIHLVHSENWIAYTYWSEKGRRTELGIIELYEGTEENHTQKEMFDSKIVQKLPPVVAQQSYIYAQGVDAMSVSETEQGLTTRSILVAHPSGNIHEVSRRLLDANRPMELTPAMREEMMIGYMPEIAVATEEMINYNQTVHRVRGIKTSPSGLESTSLVLAYGTDLFFTRLVPSGTFDILKDDFDHVLISLVLTGLVVGSYVTKRLARSNALASQWS >CRE17959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:534069:538310:-1 gene:WBGene00064143 transcript:CRE17959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-6.1 description:CRE-TAF-6.1 protein [Source:UniProtKB/TrEMBL;Acc:E3MDS0] MGQLNVSTVDTLNIGIQHLQQVAGTSGNPLFAYQKSDIDVDKEDTETFVKIPRDLRIISFSSEKPENPLQMIFLCGKRALPLILVTIMLLSMENDTISTRLSVFLPYLTERICKSISANISQRCLSLIIYAGRVLRSLSLNKACDMTVSLHHVIPSLLSCCVGRNMCLRPETDNHWALRDFSAKTLVMLVRDQVDKRDAGFTARRLFDFAHRIFRDSASSFSMIYGTIYILQEFIVDTRKATWLLAELSEMATRCKQHIEAGNRMQTTTSQLSMTEAAKLSQQITKSEAVIRTRHSIPSTVAAGGVAPLNRRFH >CRE17960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:540181:546824:-1 gene:WBGene00064144 transcript:CRE17960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eri-3 description:CRE-ERI-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MDS1] MNPPEPAIINGQPLRIITRGSSSKNELEIKEEAFDAACYSSSSGIVISYKDGSGEVLTPESFDDPGLHFIFSRSTVFQYPSVYDKIGIGSVVQVFWTRSFERVIRGSHIVVQVEKMEVYKCATMKRERVFVTFNSQMTPGVATGITENYTTVAFHPNCSSKMAFEALKAHGEGRTEFVMKQTHRENTHRMLDVYLACVPFRVEISGNFDKIPYIVIRKKGPARGKEGVAVITTILKNHFMEAYFLQSSDRVYFDRKACHSNILEKVSIGSLIHIQATPAFPSSFYKWYGYDVTLCHNYLADIHTQRSFQMDTANKILNDTKDDEEENDQPMKSAKIAFQTKPVVVVRQQDEVKQKIGQNRSEPSKTFRDDVYHALGCHDDLKWVLMATFIEPTAENSARKEGLLGGKDARSIPLPYGSESITSPYTHLIYLIPILRKPLNLHKFNSKHAQYKMRHLLLDQCYSTLIPREAKIILDAYLVDQYPEYDDSGSENQYVMTTRLESDDMNTTRPVYSESVEDDVYHALTPFGLNRKPRRFRATPPPKKSKKGNELPPKLSPEEVRQRFDCLMDSDGYALNQKVKDAFVMPDTKWKPTERRWIGVTMI >CRE17863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:547150:551130:1 gene:WBGene00064145 transcript:CRE17863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sut-1 description:CRE-SUT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MDS2] MSEVGKKVSEEELEKQYADYRAQFEQWKESNKGSVGTDAYNQYVHQFEQWEREVEARKAQQMKHRKVIDKEAEAAAVYAQSQQAYMSHHLKAMEQQEMQQRAAAAAAVAAVQQQQMMQNMMMRQTAEQAAPQTERDLFIRFMMGGYDGPPIWGNSKPTYDQRDPLFAKWGERAAPAYHKADTEQQIIIAK >CRE17961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:555328:562135:-1 gene:WBGene00064146 transcript:CRE17961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17961 MATASRNVSMRLLAPGDEKDVMTYVPYRKGMVSMRTLTWTFILMQLVISCACFIASLAIISAKFNSVSVYEEKQYVSFEWWIFCGLSFSMIINTVAAMYALSEHNRFLLIPHILVLILCNSLACYVLHYTVSNFDSTDFNWHIGLMTIIFTGHVYITFCNSREFPAIMFGFRGTDVAIDDLNQFTSLYEKCQISIIIIFLFMFNFFKVSLYVLLLHNSFDQFSRMPALFTGDYGIFKFRTIIFLSLQAVISLFVLVGAVPLSLDTDNKFPHPAIRPIILILLTITFIWFISSILAVYVVFRDLKLYLRVHICFNSVILTLYLTKLVILIASGDIVTSVFCVIVNLINFYAVYYEIKFHGTFE >CRE17962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:563146:563463:-1 gene:WBGene00064148 transcript:CRE17962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17962 MPNPPPKEDTWAFQPIGAPFPPSPVKCMGEQNMYVALWYKHGKPIHGRSWNNGGVVECSFPYNEAELTPTRSWRDRFMFFNISETHNQVCAWWRRXXXKFLNSNF >CRE17864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:568638:571292:1 gene:WBGene00064149 transcript:CRE17864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17864 MSVVFGGTMAKDYEEYLDFDEEPQARTEHEKISAFGYQHHGFFRAEIPIMPLTLAVFCCFLNMVVPGSGTFLAGISVCCCSDSRGSSKFRCLVVNTLAAILQFHLPKEE >CRE17964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:580493:581537:-1 gene:WBGene00064151 transcript:CRE17964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17964 MPTALSYPGLRCVLEFLDPMKRIHIASRNHSLQTIDKTIPIWIKDLGINLNCLSMKYYAIDNYIDNKFRFKNRHGKYFERIWPSNLKPEEARKKLFNSYLRVKSKIYVNCFFFFTAIPDFVPTNLLFQINELICSSHYIDGFLPVIDPSSFPLKKFRTGIDELDHLNYPVLTSAQELTVLHGLGGLTQLINEINTNKLVIFEKYSFRAADPVSLIKSWKENGKEIGTTFKFHDYKAFLRVMHILLQELKEFENEKFNNHSSNCPRLMIPINKTAEIHVSQNNENYIVVEVVPITLKRETDATEEACSSKRVRHS >CRE17971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:594694:595734:-1 gene:WBGene00064152 transcript:CRE17971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17971 MSNTLSYPGLRCVLEFLDPMKRIHIASRNQSLRTIDKTIPLRIEELEITTYIYCLNLNDHVIDSYIDNYIRFEYGHGKKFKRIWPLILNREEAMKKLLDSYLGARSKIYVNLIRIYEMVSQSSLPLNFIVRINELGCCFSNIDRFPINIDPSSFPLKSLRTVIREPDYLNHPILTSAKILIVVDGIDRVIQLVNQNNTNKRVKFEMSSFRIEDLLSLVRCWKDNGKEIGTTFKFRDYTNNQSVMYFLLQELKEFKIESDHHSSILRVPINRTADIHISHDNGDYIVVEVVPSTLKKESNATEEPCCSKRARHS >CRE17972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:596524:598362:-1 gene:WBGene00064153 transcript:CRE17972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17972 MQKFLFIFIFASLARQVEPQLSAYATWKPEMMRYCETIRSTDVLNQPTAGGYTCGSSSWNVMSSFYDLEITSTTSLGLINVGWLTSEALRDQAGFWKTCKPYLIRIFDGYFTDTRKACNLLVNPPKGFPNCTQDMSYFKYRSGSGYCMSSKVCGASIPITFYSNADKTSLIYSWTSSDAATLLNVGYTPVGTCYGYDRFPNPNKNATKMTEADENSVY >CRE17973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:599380:600319:-1 gene:WBGene00064154 transcript:CRE17973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17973 MPVGLSYPGLRCILEYLDAVKRIHIASRCAVLQRCKKSVPLRLNYLCIGSELRLDYCLIWLSYEMELEFNDTRRTRVQTRDEPRSVLHAVSVPQNLDHQTVNEKINQYYLGGRTSVYVKRLDIFGSASTLAWSVDSMFKITELNAEDNYFEDFLPIIDPISFPLKSLQTKTAGLHTYDHPVFRSAEALSFNLFEEHSETEIICLHKLPCKTILFEYDLEWINIVRMIRYWMENPKEIGTKYIFVEYNRKLVYNELLHIKREFEGIRHDLNYERPNTFGT >CRE17867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:604599:607372:1 gene:WBGene00064155 transcript:CRE17867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17867 MKFLRFPMLVMKDILRNMDPIVLINLSLLSKKMSILISFGDSSRFKISLGDYLTISCGLESYNIYLCRGKSNVVAVKCFGGMSSVNWNDTWPKLLFHILKVFKCPITTVTGFLLGPVKFKEAMQQVIRGNFEIQDMDLKNSSMESDVLGRMFQEANVTGTLNLNREYGSTMQCPKNLKYLLSENSDWFTIDKLLASRCVVIEVQKCSLTNFELDEYLKKWQNGDYPNLEYFFISGMYLNDWSPVLGMMPPINDIRNTQIIEKKIGSINRAVLYGVKTQRADGVVAWVRMVQYWEPSGLVIMKFSMIVLN >CRE17868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:608072:609264:1 gene:WBGene00064156 transcript:CRE17868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17868 MRRLTYLSLKCVVEFLDPAKRISIFSRCPHLKRMEKIVPLHVKCLKIDDDFLSLDAFSISCSIAYEHEVKFEMHNTKKEIGRPFPMHLSPLETGDHLLEYYLGGRTIIYVDLIQFENSIADFTYPENLMFKVNKLDVGSHYFGYFISVIRQDSYPLKMLRTGNEGVNYHMSAVVRSAENLIVVVDKPVYEIEGIHKLLNKYVSFEDNYGNIGFVLRVISEWMNNSRENGTTFSFTSTHDNFIEDSLTAFNELFNGYKDDFEGVDEQFMPDKPRFLVPILQDTAKIQIYVIQSEESPKPKLVLRNILSL >CRE17869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:611496:612659:1 gene:WBGene00064157 transcript:CRE17869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17869 MTAILSYPGLKCVLEFLDAGKRIHIIFRTPWLQKVDKKIPLRLENLYLYEKCLWLSKLAVKLNSAGEIELHICQDNKNKKFRLLKQVESLEPVYSYYLGGGKRVYADEVHFTLSKSDLLQNVSLRINKLYHPFLGLESSLSFIDPKSFPLKKIKMSIFDFKNLDHPVIRSAEYLILNGNYDEDGEDFRKSLNKISNKNVLFKLYDDLKTANLKSYINYWKQNEKEMGTHFEFMSHYTKNNDIYNILSELKEEFGRLPENNLVGVDEQRIILSYPSFSIPLGSTSKILVYGADTSTNGRNEQRIVMKVVSIAAFNSTAQNFVQ >CRE17871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:614618:615710:1 gene:WBGene00064158 transcript:CRE17871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17871 MVDPSLFPNQPPFFLFLMPSVLSYPGLKCVLEYLEAIKRIHITSRTLSLQQIDKIIPLRLKSLSVFEGCIFFPNSSITIEESTVEYNCYKRKKALTVQLEQIESEFEKLCNYYLGGRKVYVHNLRLVLLVEELTLLGNVRFRINELDNTYCHFEASLPFIDPISFPLNEIKTSSENIDDLHHPSFVSAKNLIFDVEYDEDDQSVPENVFKIQNKKVLFDHFVMETSSVKKFIKYWKDVAKEIGTTFEFINKRVGYGSNYYILSALRKEFGKFQKELVGVDKRMIPSFASYSIPLGYTSKILVYGTITSLSAEPCEKRLVMKVVPATEVHS >CRE17873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:624086:625183:1 gene:WBGene00064160 transcript:CRE17873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17873 MHIVISYPALRSVIEFLDAAKRQHFTARCPSLRLVDRTIPLHVENLNIGEFYLEIIGKSQFSAQFFINQVSFRDKNNAWRRTARFDMEQKEAIKKFYDFCLGGRSEIYVNHVSFGGSSLTMGNLPANFSLTVNKFLASHGNFESFLPAINPISYPLYSVEISTTDVLSLNHPVLHTAQNLTIITNQDTDHEHLLIDKIRNKNVSIGYEFIILVDLLEIIEDWMFYKREIGTTFTFFEDFRNDVSRTLNQLYEELHDCRKEWKEEDNQEHMIREYRHFFIKIDEASKILVYGEKTQEGTGSRVVFKVVAMTDAVEDVEMEADNMEVDYMEE >CRE17980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:627535:630330:-1 gene:WBGene00064161 transcript:CRE17980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17980 MSFSTERTKKRSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENAKKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESELKKAGQVRDTKEVPVPSRLEVVRKWSSRDSDDEFSMHGRRGEYSDSERSWGEDWKSGRSSRYSAGNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDYELVAILEERYLKGAAKSLFKSLGDRQERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQRAPGQKFWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKERMGRLGDQGNSVGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVENAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQVKIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSGIQCKSVVVRGERGRRASTGDVGEVFKSEGEEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVDKVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNADRRPQSGLKKDLGKGCPIAERRGKWLRRSYTFSKPIGREGTKGEKSRGIWTTQAT >CRE17981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:630874:631815:-1 gene:WBGene00064162 transcript:CRE17981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17981 MTPPLSYPALKLVLEYVELEKRIHLTSRSSFLQRIDKAIPVYARYFSLRGDNLTLDTFLFAVEHNYHRVEDEMNGKLLMHFLRGRSSINVALAVFTGVKTSQEIPVKLNLTINKLTTYYCNFEIVLPMINLRSLPITALSIILKEPTNVDHEIVHSAKNVCFEVNSLRNNLIGVEKLRNKSVQFEFQDLPITDVVRIIKYWIQHGKEVGTKFLLSCFANSALDEVMVNLQEEFNKARGYSEAINEHFLSGFSIPLSSSSKLLVYEIGKHCDKLVLKVV >CRE17982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:633010:633938:-1 gene:WBGene00064163 transcript:CRE17982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17982 MTMTPPLSYPALKSVLEYVKVEKRIHITSRSRFLQRIDKTLPVRAKLIYIWRDYLRLDSFLFAEKNNHLRAEDKKNGKLLMNYLRGRTNVDVDLAVFTQVKTSQDIPVKLDLKISKLKSDDSNLEVVLPMINPSCFPLTDLSLIINEPSHVDHRIVHLAKNVTFDTNDDLIGLEKLPNKSVYLRVRPITDVVRIITYWMQHGKEVGTEFLIFYFTTSDLREVMTKLQEEFHKAPEYSEEINKHVLPGFSIQLSSMSKLLVYGIGTHVNELVLKVV >CRE17986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:639444:640374:-1 gene:WBGene00064164 transcript:CRE17986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17986 MHPALSYPALKSVLEYVVVEKRIHLTSRSKFLQRIDKTIPVYAKSFSIWGDLSLDGFQFNVKHQSWDKNMEDEKNGELLRSYLKQRSSVNINLACFSKVKTSEDIPVKLDLTVNKLQTICCNLDAVIPMIDPRSFPITELSMALNEPTNVDLEIVRSAKRVIFSTSKEVIGLEKLPNKRMYLRCQPLTDVVRIIKYWIQHGKEVGTEYSTGYSTNSDLRNMMANLQEEFSRSRGYSEEINEHCLSGFSIPLSSTSKLLVYGIGKHLDQLILKVV >CRE17987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:643055:644031:-1 gene:WBGene00064165 transcript:CRE17987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17987 MPPPLSYPALKLVLEYLEANKRYHITSRSSALQKIDNIIPLRAGNLTIWKDYRVYLDELEYSAEYTTWKINREELLKIHVVNEKLKKYLEGRPNIHVGCVHFNYVKGYEQVPLKLNLITNKLVTFCCSVVFTNFLPFLDVRSFPLKKLKIAQENTIDIDHPVRKKLTIQNIMDGNVDAVRIIKDWMKNGREIGTEYLLSFSFDIYFGQTLRDLKKEFNEFQNMKGINGRFLRGASRFLIPMSPTSKIVIYGTRIKSKGNTVYQLVLKVVSTD >CRE17989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:646541:647490:-1 gene:WBGene00064166 transcript:CRE17989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17989 MPPPLSYPALKCVLDFLDPARRIHIAARSPSLQRIEKPIPLVFDSHHVCYGDVILNSTLIQFGPCGQLQFCSKGEIHKSYKPGSLSGTKALTRMLENYFGDRPIIRVNKVYFDHIMEYIDRTTGFTYTVNEIDSRCPDFAHVLPFINPDSFPLKKLATSVNEPEQLKYPAIRFANKLKMRFIQDCDTLQVIDIDKLCNKSVFFDFKKEELVDVMALIRYWIDNGRELESTFIFPFQREKGALVGLFDAEFGEFKNTDISNNRYSIPIRGSKSIHVTVDKYTGDTDFKSTATLKIVSSL >CRE20105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig829:5544:10303:1 gene:WBGene00064167 transcript:CRE20105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20105 MLSKLSSSTTRPRVGEEEQIGGASVRVVQKTSEKAGGRYHGTVETVTLALQLSKSLTTDPQCAETFADTLVQLVKKHDPFKTPRTRVGLVFESDEIFDAVGLTFREIKKVRASEIVESMSRMSQSSRSPLELDVPNISVRITYLNPPAGSGKRKFDTGSVMDLTAFEKRPKHEESLEKEKADKTTRSNMMPNEVLEDCLFHALHQSLMYHQWKQSKSLEDYNKYRSSIRKTYKKPGMCSDVYEAVKVMKEEAEMTKSNNFDFIDVDHLQKTVFSGKYQIIVFCQNSTTPYYRGPYVGEKKSLVLYLSNGHYNGVRSICALLKTSYYCFLCNSRTENAVTHYSCPLLHRLCGKKNCPDVKEGEQKKCERCTVVFRSIQCYENHIAKGKNMFYVDNHDTYFSGPNGGKSRCDYTAVCKKCDGIYYTNKGKNNHQCGAKWCSRCNCKRTTPHKCNMPKSVKNEKKLTRKRVYFDIEVSLTNNFNVHCFNFQSRADESTGQQHPVLFVALRCCPKCSANISKCLQSLKSETCEHCAPDGRLKIIECVTSKNRHVNVGSQMTKWLFADHHRGRVVVAHNASGYDAQFILENIIASNTAKPKLILEGTKLVFLEYNDVRLLDSMKFLTMSLASLGKSFEVDSVKGDFPVLFIKPEHYDYNGSIPQDKWYNLENKNSSVKKQLLNFLESERKSNKTFNFVDEIMRYCYNDVYILAKAMNIFEKEFETMTDVCLLEVSFYLMCHIILFFFFQESTTAASAAALVFRRNHLDPEKPIVLDVKPSISIKCSVISQKYLAWFSKKENVSLSMSTTYGEEKVNHLCFRFKINLFFQIGKYRVDGFVSPCEKYPDGLVIEFFGCYWHAHSCSYSQESMIGDMSAKEIWVRDKERLSYLRRKHPVKVVWECEVNQELAENHEMHDFFENYEPVDILQSEKSLAGGRTEVFQLQANNFKKVLRYLDVVSLYPTVMKHEAYPIGAPENVSRSTIKTPMTLPEHITFRGFISCNVLPPRHLRLPVLPIKYGGKLLFGLCKQCCKENCQTDCVHNNEERSFNGTFTTVEIQKALSVGYTITEVYHGIKYEHWVENNADGEGGLFTSFINQMMEEKIYSSGWPSNVKTDEEKNAFCDAYLEKEHIHLSDRNRFKKNPGKRAVAKLMLNSLWGKFAQNVDRDATEIIIDPMEFWKLVYDTNVVISIVRCVNDVLVVKYRKQPETMQSLKTSAMQLASFTTSYARLRLYDFMERVGGENIIYTGKKKKSNTEYTYFLFSDTDSIIYAVPDGTQDPLEHDIGPYLGQLTDELDGKMTEFVSLGPKTYCYKDLSNNEEKIVRKAKGITINAKVEKRLSFETMKHMVEEVVKNIPRTTLNLPQHIMYRDNNHRVYSRNIVKEFKYTFNKRRLLTDGSTLPFGYCGI >CRE17878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:667840:668834:1 gene:WBGene00064168 transcript:CRE17878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17878 MSPPLSYPGLKCVLEFLDTKKRIHLTSRSRSLRKIDNSIPFRAKILFIWENCLLLDDIVFQTDLERSADTDKEVIERLKKEEANGKEMIAFLIRRFNIHVDEVTFFDVKSLHRCPVGKLDLIVNKISNSGSDFEEFLPMIDKRSFPLAEFSCLVKDPINHPIVHSAKHLDFMMCQCHTKFPGVEKLPNKSAVFRHHGLNSGDILEIIKYWMKHGKDVGTEFLFGYWKKQSESLKIMIKLLVEQFPQYQNNLEGVSEKFLPEQPRFLIPIDSTKRIQVFGKVPPKRYYDPQQLVLRVV >CRE17879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:671531:672488:1 gene:WBGene00064169 transcript:CRE17879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17879 MPPQLSYPALKLVLEYLDVKKRYHITSRNSALQKIDNTIPFHVKYLKIWKGCVYLEELHELLKNHEAKDEIVKKYLEGRPDTHVNHVKFLYMKSYEQVPLKLNLIINKLETFCCSDFRNFLPMIDSRSFPLKKLKLIQEESIDADHPIIHTTADVVFQFNGQNEPMNGIEKLQREKLTILNIGYKNVDAVKIIKDWIKNGRKIGTEYFLCFTYLDWIQWVLSDLKNEFDEFQNDLEGINVRFLAREPRFSIPMSPTSKIIIYGTEIQSKDRTVYQLVLKVISTDE >CRE17880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:672936:673902:1 gene:WBGene00064170 transcript:CRE17880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17880 MPPPLSYPALKLVLEYLDVKKRYHITSRNNGLQKIDYLIPLRVGTLTIWKDTSVSLDYLEYKTDYKGTFAKLMKKYLEGKPNIHVGCVGFYYVKSYKQVPLKLNLITNTLVTIGCSNFSNLLPIIDSRSFPLKKLQLFQDRLIYVDHPVVNTTEDVIFQFEGENELIKGIEKLHRKKLSIHNVGYENIDAVKIINDWIKNGREVGTEYLLGFTFDFWMKRMLRDLKNEFDEFKDDLEGINVRFLAREPRFLIPISPTSKIIIYGTEIQSKNGTVYQLVLKVVSTEE >CRE17883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:679335:680310:1 gene:WBGene00064171 transcript:CRE17883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17883 MPSPLTYLALKLVLEFLDVKKRYHITSRNSVLQKIDHLIPLRAANITIWKNLAVSVDDLEYNADYKQIYTNWKAGKLVKEYLEGRPNIHVDRVGFYYVKSYEQVPLKLNLITNKLETFCCSDFRNFLPMIGFRSFPLKKLILTQEESIDVDHPVVHTAEDVILQFSGQTKLIKSIEKLRREKLIIQNIMKGNVDAVKIIKDWMKNGRKIGTEYLLYFPFNVWIQETLRHLKSEFDEFQNDLEGINVRYVINKSYFQFALFYRFLNRWPRFLIPMRPASKIIIYGTKVQKDRTFYQLVLKVVSTDEL >CRE17884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:680776:681883:1 gene:WBGene00064172 transcript:CRE17884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17884 MVASTPLFLGLHRVSELFAQSNGDVQMVSRPRLLPYLPHYLILSLFLPQITAMPPPISYPALKLVLEYLEVKKRYHITSRNSALQKIDKTIPLRVKYLRIWNGCVSLEELHELFHEAKEQLVKKYLEGRPNIHVDRAKFLYMKSYEQVPLKLNLIINTLVTMGCSDFRNFLPMIDSRSFPLKKLKLIQEESIDADHPIIHTTADVIFQFNGENELIKGIEKLQREKLTIQNIGYKNVDAVKIIKDWIKNGRKIGTEYLLCFTFHYWIRWVLIDLEKEFDEFQNDLEGINVQFLAREPRFSIPINSTSKIIIYGTEIQSKDDTVYQLVLKVDSTDE >CRE17885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:682385:683386:1 gene:WBGene00064173 transcript:CRE17885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17885 MPPPLSYPALKLVLEYLDVKKRYHITSRNCALQKIDKKIPLRVGTLIIWKDSSVSLDDLEYSAEYTKRKINREELLKIHVVNEKLKKYLEERPNIHVDHVGIYSVKFYEQVPVKLNLITNKLHTFCCSDFRNSLPMIDSRSFPLKKLKLTQEESIDVDHPIIHTTEDVIFQFSKRNELIRGIEKLQRKKLTIQNIMNGNVDAVRIIKDWMKNGREIGTEYLLYFPFNVGIKGVLKDLKREFDEFRNNLKGVNVRFLKRAPRFSIPMCPTLKIIIYGTEIQSKDRTVYQLVLKVVSTDE >CRE17886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:684378:685296:1 gene:WBGene00064174 transcript:CRE17886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17886 MPHALSYPALKSVLEYVVLEKRMHLTSRSSFLQRIDKAIPVRANHFYIEEDYLSLDGFQFTVKPGPWYSNEDKKNGTLLMSYLKRRQSVNVNLVVFSEVETFPKIPVKLDLTINKLEYIFTDLEVVLPMINPRSLPLTEITLFDYDDTDIDLEIFRSARDVIFGTSQQSVGLEKIPNKKVYFSRPKTDFVRSIIRYWMQNEKEIGTEFSMSCEDRSDLVEKIAILQEELHETQGYLEEINEHFVSGFSIPLSSTSKLLVYGVVKNENDELVLKVV >CRE17887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:686120:687131:1 gene:WBGene00064175 transcript:CRE17887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17887 MMTWQISTPPPPPTVHISSFFSPFLHNSMPSPLSYPALKLVLEYLNVKKRIHLTSRSSFLQRIDKAIPLRARCFWIERDWLNLDCFQFTVKHKSWYKNMEAEKYGNLLISYLRGRSSVNADEVYFFQVKTSEDIPVKLDLTINKLVSDVCNLEAVLPMINPRSLPLAELSVTIDKNTNVDIEIVRSAREVIFVPYFCELIGLETIPNKKVYILQPETDVVRSIIKYWMQNGKEVGTEFLMSCNNRSDLVEKIANLQEEFNKTPGYLEEINEHGFSIPLSSTSKLLVCGGKKHFDEVVLKVV >CRE17888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:688025:688957:1 gene:WBGene00064176 transcript:CRE17888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17888 MPLPLSYPALKLVLEYVKVEKRIHLTSRSSFLQQIDKAIPVRAKVFWIQEGYLSLDGFQFDVQQKSRYTSEDKKNGKLLMSYLKGRSSVNVDSAIFETVKTSQDIPLKLDFTVNSLTTFCCNLNVVFPMINPCSFPLTEFTMIPYEPTNVDIEIVRSAKQLSITTDDELIGLEKLPNKSVLLIRPVTDAVRIIRYWMENGKEVETEFAMICSQRFDLVEMLDALQEKFQEVREELEEIDEHILPGFSIPLSSSSKLLVYGIVKNENEVYTFELVLKVV >CRE17991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:690426:693521:-1 gene:WBGene00064177 transcript:CRE17991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-37 description:CRE-CDC-37 protein [Source:UniProtKB/TrEMBL;Acc:E3MDD8] MPIDYSKWKDIEVSDDEDDTHPNIDTPSLYRWRHQARLERMAEKKMEKEALEKEKKTTSKKMQELEKKLADASVDSKSSIQQQIDEVKAQEEAWRKKEAELEEKERLEPWNVDTIGHEAFSTSRINKITEKKPEVKKTDEEDSHDMASFFEKNESLLERIGTLKGGAKATEILLAEHPHLACDYTANWLTIEALNAAIFEQEEKMKTMAEQCIIIQYLLELSKSLNAVATNITVQKQFFKKFECAEPVYMKHYHDEVKAFEDRLKIRAQTKREAAMEEAEAVEKEERIKASPGGIDPQEVYEELPEEMRKCFESHDIEALKGVAQKMDEEVFKYHFDRCIASGLWVPGKADEEEEEEVVASTSSEDVPDTKA >CRE17889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:694703:696479:1 gene:WBGene00064178 transcript:CRE17889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17889 MTLFSSKLRYLLVFYAAFQAIQYGLRWKSYDISPKQFKTVAGKAAGAATISQSMSSLTSGLRTYYEPILPRHIEWHAMQMGGLSLRIYPYLTAFTEFAAAFSAPFPTTGRPGFHWANTTCTVLKGKVSRFNDGTQDESSETFSSGMVFRHGQFESFVYNLEKDTYVACYGRGFLPFSSTVHVTSGISLGEPLSIIKFYITQAQNYWITLSQSMYQVFQHYKARATGEL >CRE17890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:700489:701560:1 gene:WBGene00064179 transcript:CRE17890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17890 MPPALSYPALRCISENLEAVKRMHIACRSPSFGRIDKSIPINMNSVIVDCQSVTFNNLTVEFYYNDQLLFRYQNDRQKTVMQRIPETMTPFKSIDRFFDYFLKGRKIINVDFLTARYIPGYLIPPVFRINQLETILASFTDYLPIVDSCSFPLKRLSTPIDEVEIFTHPVFCSAKSLRFTVFENEVIGLNDINKLICKNVEFGSNSLLKMDIVELIRYWEKNGKEIGTRYIFSQDYDGHIEDEISGLKTKFDGFIDDLEGINERFLPSSPRFSIPINSKSRICLYGIETDSIDRSQLIISVMPTNSGKETSALITDYSSCNTSDL >CRE17993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:704158:705175:-1 gene:WBGene00064180 transcript:CRE17993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17993 MPPPLSYPALKLVLEYLEAKKRYHITSRNSALQKIDKIIPLHVRDLEIWSDCVYVDNLSYATGFQHLFSSWETDEELQELLKIHEAKEELVKKYLEARPNILVNCVGFYYVKSYKQVPVKLNLITNTLVTIGCSNFSNLLPIIDSRSCPLKKLKLFQDRLIYVDHPVVNTTEDVIFQFDGENELIKGIEKLHRKKLSIHNVGYENVDAVKIIKDWIKNGREIGTEYLLSFSFDFWMRRMLRDLKNEFDEFENDLEGINVRFLDREPRFLIPMSPTSKIIIYGTEIQSKNGTVYQLVLKVVSTDE >CRE17994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:705866:713592:-1 gene:WBGene00064181 transcript:CRE17994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhl-3 description:CRE-NHL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MDE3] MTTSTSLVETVNINVDDFSETFLTCSTCLYTYDGNTRKPKLLPCSHSVCLYCVTQLAGLSAETIPPTLRCPLCREICPIPAGGVISFPAAFFINQLLDVMQRQRKDVVPSCSNHPSDQLLYCETCDLVFCEHCQTSVINKKCDEHTVVPLSIAIKRMSEIVVYRAKGRLRALDDAHVAVNREIVQLDGNVDKIVEQINTAIQEVSNLVENRRRVLIETVRVRRDEKRKVLKDQLEVIQDEKKKLQKELESCKMDIRSMARQLKDGAAEDNWQRKIIEPRENAFLRINTNSEQMLVDVEKNLNEFGKLYASNTFPGTSTIEVPPHMGIHVENTVTLITRDVDGKARKTGGDPVEVELELIALDSHLSTNRLADAYKKRAEGEVKNIRVADENDGTYQLYFKLTHAGDYQISVKIFGRPVANSPLQIRINNWHSYDWQMPVTFKYPTKCWWDEPTKRMFVLDSGNKRVRVLREDGDVITDSGTVGMVYLGNEELVVLSWQQKMLSKLNTRGEILKAVQFSEFYQPTDVAVDSRGRFVIADKTKIFIFDANFKPVLSFPVRETKGAEVKCVGVGMDDDVIVGTTDELLLYDGAGKMMRRLNVSPPGTVMPKIGGRFNITTVTCDVTTGQIVVIFTDKKMDRTTIGVVSYKGDFLYSIEPGPHEKFMAPCGIFVHKNKAFVTDFERNTVRSYKYK >CRE17891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:721939:734918:1 gene:WBGene00064182 transcript:CRE17891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17891 MSNDGSTIFGGGGGSSVTSYGGIVNEMFDSGGGPVDGPPVSANGKSPKKGAVPLIDEDSQSTPRGSTAGDGGAGSPSMEFANSRPGGLSTISGVFAPVALSMFSILLFLRMGFVVGQLGFLMTILQLAMAYAIVMLTVLSLCAISSNGAVEGGGVYYMISRSLGPEFGGAIGVLFFVANVFSCALYISGFTEALMNNIGNGQFPDSPTWRFLYCVLVSLVLLILSLLGSALFAKTALITFMLISICYFTWILSVCFNGHMEVAIPKVNTPAYRVPVNVSDPSQGMVEDFNQNLTANYTGWSFHTLGENMFPNYTMDYTTEKPTDFALMFAIIFSGVTGLMAGANMSGELARPSVSIPRGTVQAVFTTLFAYIATAFLMAATSSRYLLQNDYTVMMDTNFHRIFILIGIFSTTLFSSMSNLIGSSRVLNRLSHDKLFGCLLRPAKIEIGDRNPVVSVVITWICVVLVFLVGAMNKIAKLTSIFFLLSYMGVNVATLALELTSAPNFRPTFKYFSWQTCALGVLATGTMMLVVDASMSALGVVVLMTLIMVLHYQAPSVSSGSISQALIYHQVRKYLLLLDVRKEHVKYWRPQILLLVSRPASACSLLDFVNDLKKSGLYVVGHVRKGDMDSSVPVDPLQQVFPYWLSLIDYLKLKAFVELTMSNNIRHGIQQLMRLSGLGAMKPNTVVIGFHELAPSQVTLQESQLLKDLRFSKIDRAAVVEYFTAGDYMPKELDGNLERLSPVDYVNVLKDVLHMTKNLCVARHFSKLDKEALSRGWNGQKRYIDVWPVDLQKPQETGLGWDNSSLFLLQLACILSMSSRWRSYTKLRVFICVNSLQDMHRRERQLKTVLQTLRIDGESSVVPWDHVVCHYQPANGAASANTTIPSVDLPAAYISAFNDMVKRYSEEAAITLLNLPVPPDNAEDDGDRYLEMVRNLTDALPPTLLVHGVSSVISTAL >CRE17995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:735201:736503:-1 gene:WBGene00064183 transcript:CRE17995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17995 MQFVLFLFGLTSLFGYVSSRTLTDLVCKINPSRCEDFKTSGGSRRKEAEPHRGHHHIHESPLGAPSLEEFRRWNNYEEEAQGTGFLKPASGSNPVPYGQGLGDIGIYTGVGVQHPFGGLEIERNFGIGMGGNGRIGGIPVGWGNGGTRSVGSAPWANP >CRE17892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:740165:742806:1 gene:WBGene00064184 transcript:CRE17892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlt-8 description:CRE-MLT-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MDE6] MLLLLLLVGTAVAQNPLTKAWNEAVPGVQPFWEKYQTGPHGVVIRGWQFSRCASEQWTNYVVNVSNIVIWPDYPRFPGPIFFNVTMDVSEDLPLDKIEMDLEVRHAVTNKQGSKGWQVIPCQGWNIIDGCDGVGSCRYCDMLDKCNEAVSGAHKYVKDRKALDFLKQNKLCPPPKGHWTMTFSKVFSSEDLPKSFFGPLQSNEYWLTFSFTDGKDKKLGCARLWVDVCKYHLQDKSQKCLRDPNAFKNFINEISSQAEQIRSRTGK >CRE17893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:751030:756776:1 gene:WBGene00064185 transcript:CRE17893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17893 MSATFTLFRNVAHCLAVSRHLGRNSTTRLDTPFPPAPAHTVHHSTQPSGSRERSRSPLLAGGGVGQYETVPCQPSSSSGGAAQQYQPPIHIEVSVDFTRPDGQRTRPLLVENRRLYVDEHYISVWSPVLRAWCVECPDRELILANVQYEHVLEMLECIHPTYKAVDDQSVHILLPLAYDYQMEGLLHRCECFLISHNLPFLEKVWIADRYKLNRLLVLCLREMRPNSKVDLNGSRYYALSDRVKVLLLERLHGAAAPEEILEPPLDLEPYQRQSDVNFAAVRAKTGRLYYVNPYYMAAWSNVFEEKLLGTSSGVEEMFCPCTHEELKAFLMAIHPPQLRINETNIGPILMSACKMESPALLRKCANLLLSPHTQLSVFVRLSLLDRCFLHEMLPQCLQMVVRPENLIQMTQQTTYDCLSTRAKAAMMDRLGVLLDNPGLQSHHCTRCKATNTCGSVTWMCPQCKTYSTDTSLMRNTTNNVSTTTTTGGGTGTVTQYGTSTGIGGGTVTQHQGYGTTSVSGTIGGMMNR >CRE17894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:760411:763152:1 gene:WBGene00064186 transcript:CRE17894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lips-7 description:CRE-LIPS-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MDE8] MFLCILKLYLVIFYRFHQFQFQFFFNFSDPPFQMIIQTLLLLFPLISCDFSPHFRKFLHDSYGIAITDMLERTDLGMDASFGGKDSAADVPRNQAVIIVHGITNKASRFAGTAAFLKSKGYKNAEIYGTTWGDGGRTPVGLVEMKCNYVKQIRAMIIAVRQYTGQQVDVIGYSMGAPLARKAILGGQCVDTREILGAPLTELVDTFLSVAGANYGSVLCIVPVPVGTCNKRNGLHCDSEFLNDINNQQRYEGSHTFSIFSTADEKIGFRSCGRPVSPIRGGTGFVKRDGLNHDQLMDTTHPLQRNFILYHSPKAPKHNRYLL >CRE17895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:772078:775819:1 gene:WBGene00064187 transcript:CRE17895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17895 MIHVDQLYGYSIIVLAAAPMATQLAIADIRWIFFYPIFAIPAITLMMTIGHLSKSAAVQTFRKLAPISAGIGWALTWHVAEKLYSESLRAVQMFLYILYSIRPTLSWAVSCEHSFNSEYCHDFDDPNVTRTDGGKMYHYPDNFWPAQEFNKFKIRHNSMITKMPVAWEPNAWYLGEKDSPFEWGIPSIPLVTGHLMTWIVIYMVLTKFYDRLGDILVKIFILIPVLLYIVVIIGLTGFGFHFTTADIQKTLDDMIISLDPQDFWANMRGAFRTSLLIVDYSTAFTGFILLATSRLRSGVNGLNALILMPMMMCVPTMLTLIRLGCEGHVADLQPNYHIYASTEETISFDLLPVCFATSHLGPVWSTLYFTAHYLYSSLGPMVRTFLVSDKPFALADVRKFFLN >CRE14367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig502:7704:10823:-1 gene:WBGene00064188 transcript:CRE14367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14367 MADCLEEKDESIDSNRVMDQSDFLANQFEKFTHDFREKGEPTCCAETDSDHSKCQQAETQSKRAKKEIVNVTRKLQRALVSLEMMPHATDLLKALRDKNAELRDVIIKINSEFSTSSVPIPEYDTVDMKSLLGDDQVADQKSLLELVEEDQKKVQSDLERILVESEILLQEYDHMKNGLKS >CRE14368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig502:10958:13289:-1 gene:WBGene00064189 transcript:CRE14368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14368 MYINAIFHSGCPSSSLAAEPIDFNERKCPTCMQKIDRNDPKWTINRLPSPIMGTQLEAAASIVIKPSNGHFRSYKIGDDLHIGICDSRGVVTSFWTNGVVSEKDTWHKCVVLVDLRPYFFENMENLDNCIEFFVETEKMTKRFHKSKYRETTWNCFDFVLEFLKFINFRSNYSKIDFSREFSTKKVQNVVKYCTLYEKLRME >CRE14369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig502:14610:16624:-1 gene:WBGene00064190 transcript:CRE14369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nfyb-1 description:CRE-NFYB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NLL6] MHDYNGHHNHLSHHHGHHNQIINGMEEGIEEIVGGDHGGDGDDDDNQMGNAIALEQQQQMQIYIDPKSKQHYAAKETPNGMELYPLIIQNTPLHLENVTGASQYVLNLEDGSTGHVMIPDDSMPSTSSAYYHQNSPSTSSSSTSHHQNPTGRHLENQKAPKRRKPNYMSQEDSMGFRRPDDVNSVRQHLTGMGGATAPTRGNKKTPAKRRRS >CRE03508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig450:8731:10101:-1 gene:WBGene00064191 transcript:CRE03508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tomm-22 description:CRE-TOMM-22 protein [Source:UniProtKB/TrEMBL;Acc:E3NL23] MAGHRDEWDEIPDSDLHETIIERIEGLGEMFPDSLRSLVHSTAAWSSWGVKGLFSLTKSTIWIVSTTSLIAFLPYIIEKERSDLEKTQVAQQRQMLLGPSAAIQQAKTA >CRE03509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig450:11172:19824:-1 gene:WBGene00064192 transcript:CRE03509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nol-1 description:CRE-NOL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NL24] MAIVKKKKVSTPAKVVEEPKKAVKRPVSESDASPKKKKKVVAKKAQNGDGPAKKKVKKTKKVQEEEEPVEEVERLQLIDDDDEEEGLDALNFTQHDGSDDDLRDDYSDDDDDDDLPIEKKSAALDKKKERILAEGEEELQLNIANQATFELPSVEEIENEMKSVPNLEIIKQRIADVIQVLGDFKNRRDPAKSRTQYVEVLKKDLCSQYGYNDYLMGKFMDLFPNGAELLEFLEANDNPRPVTIRANSLKVKRRDLARNLINRGMNVDPAADWTKVGLVVYDSQVPVGATPEYLAGHYMIQGLNSLLPVMALAPQPGDRVLDMCSAPGGKTSHIAALMKNSGTLFANDASFDRCRAIIGNLHRLGVNNAVVCNLGGEEFAKIRPNGFDRILLDAPCSGTGVIWKDQSVKTSKDSQDVQRRHTVQRQLILSALDSLDANSPNGGYLVYSTCSVLVEENEAVVNFLLERRHCELVPTGLSIGVDGYTRFRDYRFHPSLSMTKRYYPHVHNIDGFYVAKIKKLSNAKMSTQAVMEKEKEKAQQKSQKKKEKAAEEAEDSDEEDSDSGEEDVVVNGSAPKKLNQKKQKKAGNVSDDDEDVETAPMKNIGSGARANKKRRNQKKAAAKQAAVKEDDGFNTVGNVKRAKKPTQFKSKVPKRAAARTGAKSVKNRRKKMLAKVQ >CRE18124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:321055:321987:1 gene:WBGene00064193 transcript:CRE18124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18124 MTEESTSPQISVSFVSEDENELGGSGILVPVDISTNQLQILCNQLLGNSDDPVPISFFTTEGAEIVDTVRKSLEKIDFETTLKLVYQPQAVFRVRPVTRCSASIPGHGEPVISAQFSPDGRGLASGSGDQTMRIWDIELELPLHTCKSHKNWVLCIAWSPDASKIASADKNGEICVWNAKSGEQMGKTLKRHKQWVTRCGIGTEKWNFDWKIMIFKLKFTKLWSGSEF >CRE18147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:312221:320526:-1 gene:WBGene00064194 transcript:CRE18147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prp-21 description:CRE-PRP-21 protein [Source:UniProtKB/TrEMBL;Acc:E3N371] MLSRVSNREEDSMNNEPTLSGKTIIGLINPPPDIRTIVDKTARFVAKNGVDFENKIREKEAKNPKFNFLSITDPYHAYYKKMVYDFSEGRVEAPKVPQAVKEHVKKAEFVPSAPPPAYEFSADPSTINAYDLDLIRLVALFVARNGRQFLTQLMTREARNYQFDFLKPAHCNFTYFTKLVEQYQKVLVPATNVVNQLLDDASNKKRLLEDINYRVSWEKHQKGLKDREEAEAEKERMAYAQIDWHDFVVVQTVDFQPGDSSQLPPLCTPKDVGARILLEARNEMQKAAAEMQEMDMEESDSEDEDVGDKTAPESSFTAPLPPTKQKDVIVRDYDPKRNQPTTQKKAVENWIISPLTGERIPSDKLAEHVRYNTVDSQYKEDRDRHIGERSTEEPVLALGADISRNLGQFAERRTDIFGVGGEQTMIGKKLGEEDNSQQGQSNKLIWDGTEETRDMITRAVQNQVTIDQQINEIHRQHGFIADPSKEKIGAQQQLPRHQTTQGNVTITQGIANLPGQMPWPGGPGGPPMGMMPPGGQGLPPHMRPPMEFGGGGPPAKRARTEEDLEPEEEWLKKVNGTIELIVQLPQAPENGMDGSFVQFSVQVTAPMSELKQQIQDRYGMGIGKQKLLSEGFFVKDNLSAAYYNLHNRSLIVLQVKERGGKKK >CRE18123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:309541:311779:1 gene:WBGene00064195 transcript:CRE18123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18123 MSLTYLSSKSVLQFMDPNLRWVSSFTQQFSRSKKNFRIELRQRCPGILSTEKSIPMRIDEVQLTPTMIRINKKTYRLCLYECYREDSDLTVDKMPEGIRNVRKMSRERDHDVDHYGIRDQPEATIRFIERWRQDLDIEHSKDMYYKAKQLLSIETNPVEMKALEERINHYGSIYYPYLLREKETPRYRMPYFYFIKLAVGETTEVIEYSKTLGDALKYMVGQLFGTASLITIRVLEINPTAPFYYSMPYNLSVCNLKISEQTSTALNAFSFSSPLQKLEILAHPREIVDYSNPTLRDARILVSTGVVTREHVENFINPRVIFSKPPPGDFFVLNVLPGLVKKWISVTPDIGTHFSFAQRDSWNADWLMEGVGRLDGARKCRIPGGRDSNCRVYITIELRNEESEINVFYEENDIHVKVYPKGHAMSVEMENVLQLNQ >CRE18146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:307316:308894:-1 gene:WBGene00064196 transcript:CRE18146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18146 MSLTYLSSKSVLQFMDPNLRWVSHSLTKVRFIKNFRIEFRKRCPGIHSTEKSIPMRIDEVQLTPTMIRINKKTYRLCLYECYRDSSDLTVDKMPEGIRNVRKMSRERDHDVDHYGIRDQPEATIRFVERYRQDLDIEHSKDMYYKAKQLLSIEKNPAEIKALEERINHYGSIYYPYLFREKETPRYRIPYFYCIKLVAGGRIEVVEYSKTLGDALKYLVGKLFGTARSIYIRVLEINPTAPFHYSIPYNLSVCNLKISEQTSTALNAFSFSAPLQKLEILTHPRDYSNPTLRDARILVSTGVVTREHVENFINPRVIFSNPIGNFFVLDVLPSLVKKWISVPPDIGTHFSFSHRDCCSADWLMQGVGRVDGARKCRIPEEGKSDCRVYITIELSNEDSEINVFYMENDIHVKVYPKGHAMSVEMENVLQLNQ >CRE18145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:302508:304002:-1 gene:WBGene00064197 transcript:CRE18145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18145 MSLTYLSSKSVLQFMDPNLRIKFRQRCPGIRSIEKTIPMRIDEVQLTPTMIRINKKTYRLCLYECYRESPDKMPEGIRNVRKMSRERDHDVDHYGIRDQPEATIRFIERLRKDLDIEHSKDMYYKAKQLLSIEKNPVEMKALEERINHYGSIYYPYLLREEETPRYRMPYFYCIKLIVGDDKTEMVEYSKTLGDALKYLVGQLFGTARSIAIRVLEINPTAPFHYSMPYNLSVCNLKITEQAEKALDAFNFSCPLQKLEIMTHNHEIFDFRNRIIRKTAIYSNPVVRDTEVLIVNGVFLSEDLQQILNRRVLFSKPHRGSTMSRVLPDIVKTWRRDTPVIGTHFEFNMEEHFWDDAFFDDIEYLPGARKGISKIYQNVDLREFYVRDLLRRGWLFASVPRTRLECLFWPFTLSSVILS >CRE18144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:296111:299972:-1 gene:WBGene00064198 transcript:CRE18144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18144 MRSIDNPVKISSFLTQHYGVDYEVIGALPKSNLKRSSSQFLLNQNTSTLDCLMSTAESRENSMMGSIDLEKSEKSLENSLKSPERREKSSDEKDSPTKANQEWLNDIGVSPRHVKGNARSENPGVSSSQIIPKAGSKCSEYVLELDGGPVLPHCTKMALRESQPDEFLRTSNIPLKPAEPVQESTPDLPIDLRLGEKLRLVPKVPFPWMNTRKSTGIVTMRSPIQFLGSPIPILKCLVPVLDEFTLILLSMMNRRVLKTLQMIRGQTHTISLRFSNHASILVKTSPNRLIDIKIRKKERIPEYGTAITLDSNSWGIDVKVMFIRRLSSHKSIYFDIEGSDANEDLLMWNVSQMILSLFDSDSSEFHWNLPISRHIKDLPVWKCRKSWTLIELRESSKTDDADVDTGLTWIGNNVKSGKYDFRNSVQTPPRAIADLGSQTSWFATVAFATDGFANVVVRKRRGSQLTGSQTSRSHIDLSSRLPNIIWKCKDILLRNCGFNDWRKFVTETIETVKWKRTIISTKELNQMMKLWVDGRLPRLRLFESSSLGGEFLSDEPLFYQIRTQDSLEPHPDFDQNDSRQWWFGAQDIMNKQNRKATTVYAPPGQFKMLVWTSN >CRE04392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig491:1104:5397:-1 gene:WBGene00064199 transcript:CRE04392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04392 MSEKQYNPKDRIKNHLKRKSSSRTLFSPSVSSPAVNFENLERENNTESCPAPLNPFKIQSPQKKRRAHQVTFSDDSENANDSFGANIRKPLSPQKTPTKGVRSSPRKKKNTQLERFTSFDPTLLQEFHAVDFSQLLPTASIPLKPAEPVQESTPDLPIDLRLGGKLRLVSKVPFPWMNTRKSTGIVSVRIPAIDRYEGVRYFNRMYISGQEDPTAYLPSSTLSLLEAATLYYQFPVIPGMAMYPRITSEIRNVTRVPLAQPTTNTMFNQWVECYEQLFMSYKKGERDHFYVASAVFNVLFTKTTVTDDSDGVTESVCADETSQSCFKAFSGQKLVALVSYTNSATREHLRSQGVDYEVIGALPKSNLKRSSSQFLLNQNTSTLDCLMSTAESRENSMTGSIDLEKSEKSAENSLKSPEKREESSDENDSPTKANQEWLNDIGVSPRHVKGNARRKLSTQLSKNEGGISCLLVRGAAVQSLYNTLMSSDIVHEKTGPFTKIPPTLIATSPFLYGQLISMNKSSQIISKAGSKCSEYVLELDGGPVLPHCTKMVLH >CRE04391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig491:5720:11722:1 gene:WBGene00064200 transcript:CRE04391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04391 MSPDDPTKKKKKSVKFAEEEPPKTEEKSKKTKNGKKIEVSVDLGGKDEKEVTGRKRKQRQKKTQAEKRQKLMEGLVGPQVDKMDFESEHKTFADFGLDERILKSIGELGWENATQVQESLISLALENKNIMGRARTGSGKTGAFLIPLVQKLIAESKTNDGSIGPSAVVIAPTKELVTQIYKLFVKLAQALPFLQAINLCDINEEENSVWLEDHSHVVISTPGKLLRMCSIRPEYCSLVQYLVMDEADLLLSFGYEEEMIKIRSKLPSTYQCVMTSATLKDDMTTLKKLFMTGPVITIKLTEGDLPNSDQLTQYQLTCGSDEERFAILVAMYKLKLIVGRSILFVNSIDRCYKLMLILRVFGLKSCILNSAMPANSRCHVINQFNEGAYQIVIASDVSDADGSKLKDLEPEGTEQKEKKSKKSGSKKLDKESGVSRGIDFHHVSNVVNFDFPETTDAYIHRVGRTARGFNKGTALSFCTPQERPHLETIQDEINAQMGRKVLQPYEFRIKELDTFLLRTREALAKCTKGVIKKARLKEIRQEMMRSANLQTFFAKNEREKLLMQTDCHPVMLKINSPAIADVTPYMVPEALRGMDFSAPGNKHRRYNMGQKHRQKLKHKFQKKGKDPLKTFTI >CRE04393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig491:12048:13207:-1 gene:WBGene00064202 transcript:CRE04393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04393 MRIDEVQLTPTMIRINKKTYRLCLYECYRESPDKMPEGIRNVRKMSRERDHDVDHYGIRDQPEAIIRFIERYRQDLDIEHSKDMYYKAKQLLSIEKNPVEMKALEERINHYGSIYYPYLLREEETPRYRMPYFYCIKLVVGDDKTEAVEYSKTLGDTLKYMVGQLFGSAKSIAIRVLEINPTAPFHYSMPYNLSVCNLKITEQAEKALDAFNFSSPLQKLEIMTHSHEIFDFRNRIIRKTAIYSNPVVRDTEVLIVNGVFFPEDLQQIPNRRVLFSKPHRESTMRRVLPEMVNMWRRDTPVIGTHFEFNMEEHFWDESFFDNLEYLPGARKGRLPETRYMRSQRCVDFELDNESEVTVFYYGNHVHLVVHRK >CRE18122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:273240:279014:1 gene:WBGene00064203 transcript:CRE18122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18122 MKKDAENETLLHAYEHDEQSSKYFIKPDSRFPIDDDLEAAAKSDGKQKAVSKWPTIVATVMVGFGWLSNEVALAWVHERVPDDYHPLPDLFFSHFPEVNHFFFLFFLAQYLPNRSNLFQIRGAIRIAEYIMIILLVSALLVMFTHQHRWIVIRRTFFCIAMAYSFRALCVTIFQVPVPSVNTYCAPKSNSSFELVAGRVVKMFWSAGIEQLRPRELCGDLIVSGHTLTIFTSFLVFKTYAPQRVQPLSHIYHILAFTALFSILLARKHYMIDIVLGYTVSTRIFMEYHALAASYHNGTFETNPLSWSWWSFLIPYFESDAPSNFHNHLLLYNRSTTRVGAKNVSIKKRSFE >CRE18121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:253420:259717:1 gene:WBGene00064204 transcript:CRE18121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlt-10 description:CRE-MLT-10 protein [Source:UniProtKB/TrEMBL;Acc:E3N365] MTLVTPMTIPTLAYANDQQPHDISRNVAVKEGNHLRVDLNETSSEELMDKWLSQAFSGLMAAVASKKISKVSAEHQELIQKCSKEAKDVPSHAKCIVKLMDEAEKKIHVPPMKNRKPYQKVVKTMTEEPKENMEWIGSFGTARARRSSGFNVVQKDNYSLRSTDDMDGMTRLAKSMTNTARVFKNKTEKSEPWIEAVGRIKKLGEEAKREKKNRDIMKKRLRQMIDNTPAEFIDPRKPVALKQAEMEDEKTEISKMMRKKEADEIRVPLKFLREAVKTAMMLGGKNVSDFDQKTLKMVSPRIMSIVPEQEDDSLFNLLSPSLFSLHDEGEGVEKLTSLPHLLKKLDNHGQNAWMDFIVEAAGVSDHVAKTEKTFREKKEKELRGPDGVPLYFTKENATKIIGEEEKSKIEVFEYLDKSYDENQKKKLNEDGFAFLTEHQMEKLYGKGSPYNHTKALKKFKRLRDDPERYIEKDIRALAEAEKFRVARRADIVGSPFILTPLTFASVPLSNKFIVLSPLVLSPITLSPAVLGPIILSPWVFVPLVLSPRVLSPLILNPLVFSPIVLSPLVLHPLILVPGVFNPIILSPLLLSPLILSPQVFTPLILSPFALNPLILTPMVGSPLVLSPFVLSPIILSPQALFAVVLSPYALSPLIESKLIAAEVVLSPSWLS >CRE18120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:244551:245579:1 gene:WBGene00064205 transcript:CRE18120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18120 MPHPFVPNRMHIRNVILFLFLSGLKSPDIQKKITDVHQAHAPTAPTIRLWIQRFEANDFNLDDQTRSGRPVELDLDELQKNVEADPYQSSREIASTMGVSQSTTIRGLKSIGKVKKLGRFVPHDLKDFDKKRRVDMSMFLLSSHRTKAWLDDLITGDENWVHYSNNVRKAQWVDEDEQAAAVPKPEIHVKKVMLSIWWSVRGVEYWELLDEGKTITADVYSSQLQKLRQAVASSRGEKARVFFQHDNARPHVSKVTNAKLMSFGWTVLPHPPYSPDLTPSDYWLFSHLQHQLEGQNFKTKDDIKKELTSYFAERPAEFWQEGIKKLPGRWQQVLDADGEYFD >CRE18119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:231865:242550:1 gene:WBGene00064206 transcript:CRE18119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mab-9 description:CRE-MAB-9 protein [Source:UniProtKB/TrEMBL;Acc:E3N363] MSKRSAPSDEKEVEVLKKPRFSIANILDEVDDEEEEVDVEDDVEIEIKTPEKTYRGRPKIGAKMKEGNLPIECKLEGSELWAKFFDLGTEMIITKSGRRMFPTVKVSFSNVMIDALYYIFLDVVPVDSKRYRYIYNKSAWLTAGKAEPVPKNRYYLHPDSPFTGDQLLKHVISFEKTKLTNNEVDKTGHLILNSMHKYQPRIHIVQRLKSNPLDSNKFVMADEQHCTYTFPETQFMAVTAYQNQLITKLKIEKNPFAKGFRDPTGRSPDEMERSPGDMSPMMLSNFYHSSAIQQAMFQQCINKTLQLNPSIMMLYQNVFPNGAMGTVPGATVLPTTNPEISIK >CRE18118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:219048:221815:1 gene:WBGene00064207 transcript:CRE18118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18118 MFACPKHELHTYLPSLRVTNSLLPYAMKRVRIMRKEVSINDMSWSFGFRSEKSDPNQTTVSFYDRISDKRTPNYPVKKSLEAAVEQCFNVYCKDGSIIQDLDLCRVPKFLCERDGGLKLSVSRFQTYGVNVDDIDYFIRFMDLDKLERVHLTISRERDAPPEKEFGMLEKPVFINCKELYLKINIHRELPINSFFDLRNQTLVLEHDRLCVNDLQKLIENWKTSARPIGTTFCFVSYDGDVPITKMLNRSKLQYHFPVDVQCDSTEQIKGIGMKMDEHRNLVLYLGKHQIRTKTFSALKMEVMERGLEEKNEITRPCTSA >CRE18143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:205135:216628:-1 gene:WBGene00064208 transcript:CRE18143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18143 MEVQVGLIDFLGMWLQTYSKKGPSAMVRHSLSLSLRIRNTIRRAAVTVRSLGNSIRRTENNQDPNPTESPENLALVPYSVPIAEQVKLLQDLRFRTLHPIQTPTRVIIPANSPLASSSRSAQFFTPKGSFSLRNRGQSAPTSTTTAAPSVETVPVTVTQPQAQGNNPLVDTPRTTGVYRFIPKVFRKNYFFKSNKLSRNPTPKGTENHLSTSSQPSVVVPHSSQVTETVIKEEPRESKPEMAKKELSEDLKWTDLAIPAETDTQKALRAVAGHVPKFNEGNTAALRRWLVEYRCALHNLNIKQEAGAKIMPFFLEGLAKSRFNQLRQEQTNTWDKLVENLIAAFEVPGDRELAQQEITTLKQGRLSIAEYARKLKTLGEYAYEGMPETVRDGLLVNHFMHHAASHIRRRLLRMDNPPKTLEELIRRAEKFQRLHDLEELEKDDELVAAMTQLMRTREDRPQESNFRPFYRQPPAPPREENREYQQGRRDWKSNKPRYPPRGRGGPPQNPPKRFPETRPPGRFREERPPRPDNRQERPREESYRNSRGEGSAAKRLLAYLTIAMTLVVPALAGKPQICGFQQGGNMFVPPSILPCESPRTSIVSTRADLFELRTDPMRQIAHACYKQVFKVSTFSIFRIYSTAQMTDRGSNTFQQVRTQECREAVRSKQYAGKDMTEGPKGVYRSASLGENAENYTAWFGGKEVEHDEFTIVVGEVASFDGEATISTLGDTSKCSYPSGSCKTAESTIVWTESSPYRACKYQKMTSVDAFITDKHIAVPELKMFSTISQDMRFTQLEAKGCLAGNVYFTDDGKMLAFPELPSDLWIPDYVRMKQEHHRQKRVAYLKLGGPNNTTISISLGEKFATPLIYKYFKVDALEKIPQFDTDPITNPAILADIKAFGVTNELLRDRAKKYDQERRNSLGSQLIVLKCIRIRQYWYREFTRIQKLSGERTEKDAELLTAMEEELVNVFDELLDLEFGKSKRNPGNFPEGYRVPRVDESKVQSMEHIEPYIEAPYQPPPPSTSTTTTTTTTTTTTTTMKPRTVPPAATTKSTPTTTTTQTPTTTRRSVVIPPAIITTEKPIPYYEDIVPPENRNVYFEKPHSNPFHSPAKDIFMQTCANQQESIILFLTLLNIDPTAAIRQLFKRTDIAARKAGQGLLISQCRPVEPEEVYWNRRINDTCFDLVPMLVEGKIWFLLEGTDDLVADSGSVECKRPMITGKIHVEGETWKNEQGTEMWVQTLNRPIRRTASQFLFQAPAVIGNDLLGPGTSSAANEELNKIYRRRVNNITFRLLEENIVKAKDYITTKVKVHKDKVANTLDELWNSTGKKVFSAIKHVIFNVWMFILVIIAPVLFVVILVIILYVYCKFRLSRRAASATANRLIEMATRQLRGINHVDYEGGQRVYMAADINDEYPIPGVYSVLHRRNRGHLPVIKIEMNGKIVHALLDTGAGISYLPVSQIRPEDLDVGKEQQARAANGSVIRFLGTTSQSIKIGEIEVDQTLLVSHDEDCPSEILLGVDFIRNMNKLGHPVSFDMLKKEVQIGTEISLVCNVELAPEREEIKVAVSHNCTVNPTSEAIIPVKLVNYRKEFGTEFMISDNKKESEQIYAIARSVVSTDQEGKTFLQLVNPSATPIKLFAGQALATATIYEQIWEDSYTPPEADWTAKLPLMPKPTPPDYKPSNEIDLKDSIFTEEQKSRLRNIIDRHPKAFVGPDGVLGCYNGTIRHRIDFVKDAKMPAPRNYRVPLERRHEVEKQVREMESQGIIRPSSSPFSAPIVMVRKADGESWRFCIDFRQINNITEPVQSILPNLQELLDVTAENAIYTTLDFASGFHQIPLEEEHCERTAFASFMGVYEYIRMPMGLKGSPGTFQRIMHKLLKPLRAKVFCYIDDIILTSPTSEQHLEDIEEILTMVEESGMKLKPSKAKFGQNKIKFLGFIVSAEGIHPDPEKTVAITNYPKPKNVTEVRAFLGLASFYRRFIKNFSKITTPLTELTKKDSEFLWGVEQEKAFEQLKFALVSSPVLAAPKLGFPFVIETDASGKGVGAVLMQARDRESTDFRVIAYASRVYNKHEKRYPAIELEALGLIFAVTKFRPYIDGAETTIITDHSPLKALLYRTDLQGRLAKYQIILQEYNVTIVYKPGKTNVVADALSRHHPLINAVIPLWVDLKTIKAEQQAAPELEKVRDMFGNFENRDGVIYKNNVEGIPVVCLPKNTQYGQTLAEMVHGSLEEGAHLGRDKTLHRIKEIAVWPGLEATVRKIVAACEICQQTKDSTKTRTQAVLHELEEISQPFERVHCDFIGPLAETERGNRYILVFTCAFSKFVIAEPTENQSSMSTIRTIADRLFARFGIPKVLVSDRGTNFLSKTTQLFLQSKNCEHRTSTPYHHSANGQVERANQTIEGLLRPHTADGCWDVYLQKVVHAYNTSIHATTRRTPFEIVHTFKPGSPVKNALNRKGGEVISEKCLDDPRKEEEKQPDKHAEVLEYEAYKREILKRAHERVKAILSEKTAERNRKFNQKPTINDVKFEIGEKILLRKGKSDKLSPPFIGPFEITGIREPNLIIKGFGRATRSGEAKESVVHKNRYADRKTPSSGNPSGKRNPFYCRGIDTTPRVHFDVFKEVRETACDYGGVGRSPTAGLGFPHNSFEKNYTPGKYHLNNANCTGWNIELAPQQSRIEAKYLPSSTDAGYGEVVLRILYSDPWRMTGRIGEFTNEIIPFSTIVMNEKQREWTMQEAQAGGLLAIEVQVALDGLLMIGGSRLDRRIRDPVSKVQQERGEIIGRTEIKYIEQTGLDRILMSTHPFLFNYEENKEETKAKEEPSGEGNDDEENDIDSIADEFEFIETEDTEE >CRE18142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:194975:196388:-1 gene:WBGene00064209 transcript:CRE18142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18142 MNGKVNHGISVKKNLMLDHNEYSVQAMLHLLPHPQTEGADLLLDEARLHNRRRRVSDDEYVDKLVTTQMVNKGQVIMEMHGYVGMEKEKREGSTVFMYEGLAGRTISIDTRETEALRRSCCPNSVLKHVLGSRTLLGIMVVAIKDIPNNTEITLPFNSDWKDSDVPIECVEHQKNILECPFEKERLKAARRLVPKKISKEQRHMEEDSELEKYLINQLSEIIDVNSTKQDFQLPSKLDDVSKCAKWVREAAAKRNYGAVTTLHDDNDSQFDVAHQEEEQKSHIPKAEDVPTASKTPGPTPFVKTPADIASVVPETPKTETPDRRSRLLDLIRQSLKNVDFKLPNELENVSKCAPWVREAEKKMLETKRKNTPSVASSVADRRLKKRNESSVTEKNEQGAKRRRI >CRE18141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:190209:193244:-1 gene:WBGene00064210 transcript:CRE18141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18141 MPHQPTPRIHPIPMLNEYSQLVTPLLAKLKPTPDANTLLQTLRHHKRARRMFVESQQEGLVAQEPVRVGQMIMEMNGYVALATEIATGDSVFQYGGLGLKKQIFIDTSALGNDTKVIRRSCAPNSILEHVLGSGATLGVMIVAQKYIPRNEEITLPFDADYKDSEVALECAAHREDILACPFEQERRSTRSLNQERKDSEGIAISQNHTPEDVPTSSTAPSTSGTHTNHRCIPSRVERRRALQNAAIPPPAEAPSAPTTSAIFAAKRPRPLPGNMGEDIERLEQGKENESEKKKDAESSPSNDNNFLVQLDSRLMSQTSKSGDVSTIAPKLSESHQPASSATFEDTAAPTTSKVQISRRFSNRSQAISKIPTTSCRNSERMSPRAAAAKRPCPWSGNLEDEVVEKEQRKEKKSEEVKVAVRSSSSDNNVHVQQSSSRLPSQPKPYFSKTENVPTSSPASGSSEDPSTLEARHLSNISQRRSSAAPQPEDAPASSSASTATPSSSDHRLSNRYRAALETPLTTRRKSERLIPAAKKPRFSLAPSTPGSRHALRHRLGYLGTLMKENATLNAMEDDFKPSIGWIDVTTCSEWAKEALEIRREVEDQNTPGPAPLAASSKPKSSVNKEPFANRRSQNREEPGTSGSLSSAAVRNGAITDVFEGNVLTYKRRRYN >CRE18116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:179360:181762:1 gene:WBGene00064211 transcript:CRE18116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-qdpr-1 description:CRE-QDPR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N357] MSSGKVIVYGGKGALGSAILEFFKKNGYTVLNIDLSANDHADSNILVDASKNWVEQEQSILEQAASSLQGAQVDGVFCVAGGWAGGSASSKDFVKNADLMIKQSVWSSAIAAKLATTHLKPGGLLQLTGAAAATGPTPSMIGYGMAKAAVHHLTASLAEKDSGLPENSSVLTILPVTLDTEMNRKWMPKADHSSWTPLSFISENLLKWTTESSSRPSSGALLKIVTANGESTITPQ >CRE18140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:170544:176880:-1 gene:WBGene00064212 transcript:CRE18140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-17 description:CRE-DNJ-17 protein [Source:UniProtKB/TrEMBL;Acc:E3N356] MKCHYEVLEVERDADDETIKKNYRKLALRWHPDKNPDNIEECTQQFRLLQAAYDVLSDTREREFYDRHRESILKGKNTEYVEQSVPLFPYFNASCYQGYGDGPNGFYAVYRKIFKILVTEEYDQYDENPIDYPEFGDANSDPEEIVNKFYGFWMSFSTSRSFAWLDHYDITQASNRWESRKIDQENQKYRDIGKQDRNEQIREMTTFARKRDPRVKQYRETLERKKAEAQQKQVENRKKQIAKNRELADAHLNDKEAEAARLAHLIEVSLQMAEDYDTCSDECDEEGEELPYCVVCSKSFKTVNAKLNHENSKQHIRQLNELKKHLKEEDAELFAEKEPQEEQKKGGGGKKNKRKDRKKGNGIFDEGPPNDVMNDVNIVIQETTEGEVETEKECTPEVNHAKEKKKRRADKNQKSAAPEEPAAAVAPPSNEPKPAKCDNCQEKFDTRTKLFAHLKETGHATLLVNKSAGGTVGNGQQKKGKNKKGQRGNKDDDW >CRE18115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:165596:166761:1 gene:WBGene00064213 transcript:CRE18115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18115 MPLSYPALKCVLENLEAAKRLHIVSRSASLQKVDKSIPLRLKKLQNHGKEWSINELSLIVIGNEYRYRNSKRGSPYAPKDIVNPSKEFLFKFLGGRSSIHVDVLCFYIKKLDKDSLPAGLKLRVNYFDTELEYFENNLFLIDPISFPLKKLWTGLTGPATFDHPVVKSVETLKLRSSDEGPINNLEFIKKLTNQTVIFTNLEWTRGGTIEIVELVQYLVKKPEEIKSDYVIYARGEAYLSETFSKLENAFGQFIDELEDVKERFISDKPRFSIPTNSNSNLHIYGVHRTGHMQHPYHIVIKKGSAI >CRE18111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:158980:160035:1 gene:WBGene00064214 transcript:CRE18111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18111 MPSKPLSYPTLKCVLENLEAVKRLHITARSPRLQKIEKLIPLRLEHLYFGWNKKFEINELLVKFKWGGGNGYLMMNGISRGIISVEKKEVMKKLIFNLFGGRSNLLVNRLDYDIDYIPEFLTSSADLKLRVNFLNPIDHQCFEAVLPLLDPDSFPLKTLRTTVTGAVTHEHPVLMSAETLILLIDPPHTTIQMDDIEKLSNKTLIVNSCYNSTLRFHMFGLVKYLKTSQKPIGTTYIFLACGDNVKNLLFIMEMAFKNFKNPLNDVQERFIADAPRFSIPINTDSRILAYGRRDRENVKDRLSLVVKVVPALK >CRE18138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:147664:167963:-1 gene:WBGene00064217 transcript:CRE18138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18138 MSIGIDLGTTFSCVAYYQNGQVNVLENENGCRTTPSVLAMTEDGECLIGQHAKDVITKATSSLFDIKRIIGRRYDDVLLQRDMPLWPFRVEKDTDGTPYLQIQNDTKKVKFSAVTVSSLILKCLKENAERKLGLEVKSAVITVPAYFNATQRRATEEAAELAGLKVLRILNEPTAAAIAYSLKGQRLSRRNILIYDLGGGTFDVAVVNVEGPRITVKAKGGDTHLGGQDIDNIIMIKMIEEFRKRHGMDLKGNYRALKRIRKAAETAKITLSAGSVARIELECLHLGIDFIMKIARSDFESWIENLLMATVIHVERAIREANLKKSQINEIVLVGGSTRIPLLKKIIKASFEESTKICESIHPDEAVAYGAAVMAAVLSGVEEVQDMRLIDMIPMSIGVQCNRDYMSVLIKKGTVFPCTKKKTFINSEDFQTVINVPVYEGERVLCSHNRQLGEISLPINPKKRGESVVDVTLEVDHNGILQATALDLNTKKGITTTIVYDHCTFTQPEIDEITSQSEEDRLFDETFKIRYKQLQKSEDMAYDYKHRLEKIRGSVDREKFSHLMDAVEREICWLSGFPKVPPSDYLHRRRALRLKVYPTLFLNLSFY >CRE18136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:140310:141317:-1 gene:WBGene00064218 transcript:CRE18136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18136 MPLPLSYPGLRCVLEHLEAVKRAHIIARALGLQKIDKLIPLCLGSLTIGITELSINKLSIKCNKKEVKFQMNGKTFSRQISESEEEKMKKLINYYICGRTIIHVDSLDWCDSFQRDVKAVNLKFRVNSLKALFPKDFKTVIPLIDPRSFPLKTLLTMPNTSTLDKHVVKLAETLHLNLTSDPIVTVEDIKKFNNKTVVLDRFSYSSINIVSLIKYHVKTKKDIGTTFVISTGTEDVTNLMLCGFALAFNEFRFNLDGVNERFIPVSLKFCIPINKESRIQVYAIEDPDERGRWKVVVKPVSELS >CRE18135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:134363:138902:-1 gene:WBGene00064219 transcript:CRE18135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-34 description:CRE-LGC-34 protein [Source:UniProtKB/TrEMBL;Acc:E3N344] MRVLLAVIAVIGVVSAQKAFHSRRLGTEGQIVGRILSEYDPSTRPPVRDHADNSAILVITNIFINRLIWHNNYAEVDLYLRQQWQDSRLKYDVDTREGIEEIKLPQNRKIWEPDTYFTSGRELSRSEKNSKNLVVEPSGYVRSSERILLELPYTYGTMFPFTNSRQITIKLGSYNYDIDDIVYLWANSPPLVNPIEVSQDLLRGDLTFEEASAGDCVGNYTVGVYSCIDAHVYFSASTLSGLMSWFLPSLFLLIGSWLHFWIHGSWSVPRTISAAVPFFILAAYYIFMREDSYTQAQGAWLGFCTVLTFLSFVEYFVVICCGGRRSIRYKTLGGQEEHPMGAAKETIEVAYDQGCSSFRNNNGIDVISRVAFPVVTFIFLIIYFIFIV >CRE18107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:128473:129562:1 gene:WBGene00064221 transcript:CRE18107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-219 description:CRE-CLEC-219 protein [Source:UniProtKB/TrEMBL;Acc:E3N342] MVSHRLLLVLFILAIEFVTGENPEDVPCEEETTTRTSIPSITPTTVTTTTTTVPKTTTINPCGNVRGPVSPYRRKNGYWCSLLFFGSYTAYNYDEAKEDCVMNKLVVGSLEVDQEYIDYIKLAVTDIRHVINAYWVGASLNTTTQQYYWDDGQAVQLMNPQPTVVDPNGHVAWFLNKNSSVPGYGDFRVVAKSGFGKPNVNSILCGAPGIQFN >CRE18106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:126781:128222:1 gene:WBGene00064222 transcript:CRE18106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18106 MVSYRLLPVLFILAIGFIQVTGENPDEVPCEEETTTRTTVPSTTQTATPTTTTTVPKTTTIHPCANVDAPVTPFRRKNGYWCSMMFSLTANSINVFEYNRGVFNCKINALVLSSLESDEEKSRYIKFIQETVLYDLTALWVAASMNSTDGKYYWNDNQAVGLLDPQPTVVDPNGRVAWFLNKNSSVPGYGDFRVVPTSGLGNPQVNAVLCGAPGLQFN >CRE18134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:125469:126301:-1 gene:WBGene00064223 transcript:CRE18134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18134 MVSYRLLSVLFILTIGLIGVEGDNQEEIPCEDESTTSNNNGKDTTVTTTTTVPKTTTTRPCANVDAPVTPFRRKNGYWCSLMFTFTANSTNSYTYSRGVSDCNLNGLVLGSLETDEEKAAYIKLVKETVLYDLTAFWVAASLNKTDHKYYWDDGQAVGLLDPQPTVVDPNGRVAWFLNKNSSVPGYGDYRVVATSGRGTPRVNAVFCGAPGLQFS >CRE18133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:124074:124994:-1 gene:WBGene00064224 transcript:CRE18133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18133 MVSCRLLPVLFILAIGFIQVTGEHPEDVPCEEETTTRTTVPSTTPTTTPTTTTIVARTTTRRRCANIRAPVTPFLRKNGYWCSMMFTFGANSTMNYDYEDAVTDCNINGLVIGSLETDEEKAAYIKLVQETILYDLTAFWVGASLNTTDHKYYWDDGLAVELMDPQPTVVDPNGHVAWFINKNSSVPGYGDYRVVAKTGLGNPKVNANMCGAPGLQF >CRE18132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:122228:123154:-1 gene:WBGene00064225 transcript:CRE18132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18132 MLVRTVLVCLVLASLSECMFRPQRHHYEECSCEEEVPCEEEPTRPPTTTTVKPTTVPVTTPTTTRTTTRTTVPSTTPTTVTTTTTTVPKTTTINPCRTTDQPVAPYLRKNGYWCSMMVNFGVGSSSGYPYDDAVFDCSLNGLVVSSLEIDQEKIDYIRLAKTDVLYDITAYWVGASQDPTTKQYYWDDGQAIRLMEPQPTVVDPNGHVAWFINKNSSVPGYGDYKVVSKTGEGNPKVNANLCGTPGIQFN >CRE18105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:116927:119770:1 gene:WBGene00064226 transcript:CRE18105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18105 MNSHLFLLLVFVAQVDAACGPAPTAPHHPSPSTLEASTLMPSTLEILSSRNPSSSTPSESTVPTTTTVEPTTTVQPTTTALPNSTTPEPSPTAEPSTAAPTTTMEPTTPEPTSTAVPSTTPELTTTEEPTTTPQLTYTAIPNSTPEPTTTEEPATTALPTTTPELTSTPEPTTTTVTTTTLEPTTTEEPTTVAPTTTPELTSTVEPTTVEPKTTAEPTATVEPTSTAEPSTSEATSTAEPSTAVRTTTVKTTTTPEPTSTTAVPTSTPEPTTTTVTTTTPEPTTTTAPTTTPELTTTAEPSTAAPTTTEEPTTTPELTSTVEPTTVEPKTTAEPTATVEPTSTAEPSTSEATSTAEPSTAVRTTTVETTTTPEPTSTTAVPTSTPEPTTTTVTTTTPEPTTTTAPTTTPELTTTAEPSTAAPTTTEEPTTTPEPTSTAAPTTTPEPTPTAEPSTAVRTTTVEPTSTAEPSTSEPTSTAEPSTAVPTTTPELTTTLEPTTTTVPTTTPEPTSTAEPTTTAEPSTAAPTTTPEPTTTVEPTTTEEPITTPEPTSTAVPTSTPEPTTTTVTTTTPEPTTTTVPTTTPEVTSTVEPTTTVEPTTTVEPTTTTVTTTTPEPTTTTVTTTTPEPTTTVEPTTTTTVPTTTPELTSTPEPTTTTVTTTTPEPTTTTVTTTTVEPTTTEEPTTTLELTTTPEPTTTVEPTTTTVTTTTPEPTTTTVTTTTTEPTTTPVTTTTPEPTTTEEPTTTTVTTSTPELTSTTVTTTTTEPTTTTVTTTTPELTSTVEPTTTNRNCGCIDVSKIPLYSDIFTTEWWSQKRNETVLEFPSPDYSASLTVIKQPVNATNCEAKLECKYVQSTAYTINNSNIYFNYYNNQNSSIRIYNGNLSPDITCIENQWIYSGQPIKALGCIAVTEKN >CRE18131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:108287:112857:-1 gene:WBGene00064227 transcript:CRE18131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-36.2 MGEMFGSRVRDSRSITADQALIHMIKVMMGTGMLSLPLAFKHSGLWLGLILLCCICLICIYCTRQLIFGQHYITFIKREQRMDYANVMRSAVELGPAWIRGHGYLFKQMVNINMFVAQFGFCCVYFVFMADNLKQFFDQTSNIHISQAGWIALLLIPISALCTIRELKALAPLAAIANFVYLIAVVIVLQDLFSDWQPWDQLPAFGSLESLPLFFGTVMFAFEGVAVVLPIENQMNEPIHFITPNGVLNTSCILVLLVYMTVGFFGFLRYGLDIKDTLTLNLPQTPFYQAIKIMFVLCILVSYPLQFYVPMERVEKWIKRKVVETKQEPMIYAIRFGGVVLTCAMAQLIPHLALFISLVGSVAGTSLTLVFPPLIELLCCYSRQELTKWVWIRNIGLMAFAMVGFTTGTYASMVQIVEAFGKSDV >CRE18104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:100541:103046:1 gene:WBGene00064228 transcript:CRE18104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18104 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3N335] MSRPNVFFDISIGGKPAGRIIMELYSDVVPKTAENFRALCTGEKGIGKQGKPLHYKGTKFHRIIPQFMIQGGDFTRGNGTGGESIYGEKFPDENFKETHTGPGVLSMVSDGANAGPHTNGSQFFLCTVKTEWLDNKHVVFGRVIQGMDVVQNIETNGSQSGKPLMDCTIVDCGQL >CRE18103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:85302:98018:1 gene:WBGene00064229 transcript:CRE18103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubxn-3 description:CRE-UBXN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N334] MIYAILTLYGNVFGDITQEHDREVAIGTLASLNWNLEQAIEAHLMQEDMNQDDDPEIVEQIPARSILHQNPRVAEVGGAHFGRIVNNQFILDEDDDDVIVDVGAGKQRGQAEPTTSGSSSGATSTSASSSSTATRRHTRANPAPQEPAHPEPARHQNGILTSSRQNQSSRRHAPAAVPVAPVVIDSDEDDDSMIVYDDEGDNHQNEPMDNNEIAPRAGVQNGRVPMIPDGYSSVPDALRNFVAIFADRFCATPATQFQAFMPPFYTDTLPNALKEAFEHPNSELRRPLVFYINHDRSIAANIFASQVMCSEAVSSLIRHQYILFPWDISSDSNLMNFLEFLQASNMGDVRNIIQRLAVHKVEAFPMMAIVIRERNSYRLVDYCKGTDTADQVLEKLLAGVDEYSNVRLNEASERREREEREAIRSQQEAEYKASLEADKARMEAKQKEIDEQRAEEERRQKEQDDEVMRRQMVASTLPEEPPVDSPPGEILNVKFRLPEGGQDMRRFRRVESIQTLIDYLSSKGFSPDKYKYFNSDFPKKEITRHFPDLSANFIDSKWPAREQIFVEEI >CRE18102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:71884:79794:1 gene:WBGene00064231 transcript:CRE18102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18102 MTNMQHYLDDIQDLLDGLNEEMAKRLHRISKWDDQYLEKSARTKQLEDVIFDATKSMDERKEAVCQLAGLRDDIREIAEKKTNLAEKNHETLTKVVDKISELAYHCKCEIEIDNPGSTEQRERQFYRSLSFAADLISEYHGSGALTAQNLMDSLPPRNGGKREASVLTDDDRTSTRSVTPFGGARQNKKRPGRPRNTFKMSHFEKIPETSNASSCRSSVDPDVVHARAFIAENAQKKKKLSKYMRKKQEKLRKAEEDARRIKNFEVKKEVDTGDYEDFALSQWGDDMPSSRNTGEGASASSALIDVCEEDDEQELLDCLIGDFADLPSPPKGIMEALDVTESGLYSMDMYPPGHKSQQNTPEKAQKKASGEFRHPGNSMRRMGGGGDGPSSSNGHGFNLKRANNGSSIATMASESTTASASLFSDPIRPHHHQQNQRVLFGTIADTSFSGRPRKLTDRVTEMIQSNRERSQKSRTSRDEAVDDEWCICNGAPNQSQFLQNTNSDMMVECENPNCPKQWFHFDCVGLSSPPDDEWFCPDCSPLPTFIHHSSSSRRHD >CRE18101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:67106:71401:1 gene:WBGene00064232 transcript:CRE18101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-laat-1 MKTGGLSDFADFGEEDANCTQGIQWIKDVFTDCVDTDLKLLGFVVGLISLALWLIPLFPQLWQNYKTKKCEGLSLAFLFFWLVGDTCNMLGAILTNQQPIQKIIGVYYIFQDLILWAQYGYYMKIYHRAAATSARSNTIVVPVLAVASIGSFVLLDSALPGVETHRIKRSFLESLNHQQGLPLEGILKMWPIFTSYTDMLGYIIGSMAAICYFGGRIPQIIKNYQHRSCEGLSLTMFYIIVAANFTYGVSVLMATTSWLYLLRHLPWLAGSLGCCCFDAVIISQYYLYRPKTPVADDVERTGLLNSQDDSE >CRE18130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:59246:63303:-1 gene:WBGene00064233 transcript:CRE18130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18130 MSKKAAENEEKRINNTLSEWTVEKFLDMRKEVLDRQKDLEKSNMEMVEKLRLYDEKIEKLEKELQPKLNETDKTLKPEISSEMEENNDSAVTTDPVDSTQDFQENDEEMMSTSGKYFVLKHTFNNVSSFKDGTYYFSEKEEHFGFPWRICVIRCDGFLGLYLCHLLLENTEKKWEIEVDYEMKIMSPSSREKKEKSGGKGCFVFKMKVKKMTGIYKENLRSFNKTMEEYSDVVLIVNDQKFYVLKLYIATHSPYFKNLFSGISNETENTEIKLYGIDADDFQKYLEVIYGEQAIDEFTVEAILMVADKYDTPVVIEKCENFLKEKSEKTLKKKLELSNRYNLVAFTENKEMMSTSGKHFVLKHTFNKVSSFEDEKLYRSEEEEHFGVPWRIIVRRHDGFLGLHLCHLLLENTEKKWEIEVEYEMKIVSPSSGEKKEKSKGKICKVFKSDATFTASGYPQFIEWDELEKDFVVDDCFCAEIAVKVKKMTGIYKENMRIFNKTMEECSDVVLIVNDQKFYVLKSFLSTHSPYFKTLFMRKLNEANKIEIKLSGIDADDFQKYLEVLYGEQAIDEFTVEGILMVADKYDTRVVIEKCKNFLQYESEKTLKKKLELSTRYNLAALMKECLEEIESVSDIKSLIPGDVHDLNPSIMAELFQKSLSLHNSN >CRE18100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:45646:57849:1 gene:WBGene00064234 transcript:CRE18100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-85 description:CRE-PQN-85 protein [Source:UniProtKB/TrEMBL;Acc:E3N330] MPKLTGDRSQRVPDRFLKFFRYENELTDLRIELPILEELRRGSTRLRENNALTALGADRLMKLVTMLDRNIRDAISADNTRLLVPCNDEIDVGDIFEKEICEERVKRATDAAVIALNVMSSHRMHKQVIIEDVIDRCIGLTRLLLVHLIYPASDSVYKMANTRKKDRAPEDARRRKKVGVCTRDKFTEYVYERVTETVQLLAVLAKSDSMTDTSVHSIASISLTPFFVANVGSLQIASMLLSANIFSRAEDSLRFSMITDFLSSLHRAPQYSQKNTNNGYTLPDGSWISTTTALFIQLVQAVIKVPKHKRHLDEEELAKRAKKDEALVKEAFLQASKVTNAFLNGFLAKCSQKGNKMDGEEDYRILFSNFLQELLVALNSPEWPASEMILTALGSLLVKNFRSKSTDMTIRQASLDYLGNITAKLRRDMKEAIAGERRLDSVVKKAFYLMDDKGYDHYESVDISMLTQNEKLKMLESSLIDYLVISNNSEIIIYPCSFYVGEWYKEVVEDMDSVRTNHKTSLESSQTEKETRKAEKKYERMMRRGADMKDFLSKLLDKKEIKRRLEKSNKVKMLDSDAIWAVKYLAQCREFTHSFETYLKHIVFGAGSETIVALRSKALKCLSSIIEADSSVLILEDVQQAVHTRMVDSHAQVRESAVELIGRFVLYDEDYVRKYYSQIAERILDTGVAVRKRVIRIMRDICERFPSFEQIPDMLARMIRRVTDEEGVKKLVYETFTTLWFQPVDPRINPNGVSTKVTTMCSVAQHCIKDSMNDYLEMLILHIVKNGTEASGMSVAVKQIIDSLVDHILNLEMRKGRGEGAAPDAEMRRLKEQEDKYMAYLSTLAVFSKIKPNLLANHVEVLLPYLTFTGAKTNSENQVTKEMIGMLERVIPLIPFPSNEVLDSIDENLSKVIMFNGMALVVSAVACVASIYRKFNRGATKTIEIFSTYMKHLELIKRNFDTNPKYDLDPKTFAILSRSIFTVGVLSRYFSFEKFVRIDSSGVTEAEMSALKDKVFIVLDFFSRYNKGGIRQKALTSLGHFCAQHSTYLTKKQLTNTYLEILNAPAATSPQSLQQRILVLQNLEMFLQCEEQKLAASHEKWEENKEAQNLKEMELSGSGLGSSVIQKYWKAVLESYVDTDVNLRRAAVQVVWLTLNQGLVTPGASIPTLIAMITDPVEIIRNRIDILLKEIDSKYSGMVQSKAMLGVRLAFKLHQKLHIHKFVRGFRFCDFHLNTHPGALPEKTHDGMAVLSGLYQSLRTNRQQRRSFLQSMVKLFSEEFSHDKPQLTEYIFIADNLAMFPYQMIDEVLFVIRVIDQNIAQSGQSLLVQYKHHLQMQEGEDEDVVFIDQNLMSRLSDLGQIHVFHQLFLDSQVPSLLLYVRTFLMQLYGFNEAKVAEYLPSEAVKVYEKAVTRRNIGMFKPITAIEALNFPYEWASFQHLTFLADKICSFRKMLLSLDQTDAVDMGGNVTTANQDDDYDEDEMEEDDGGIPPPQQLMDH >CRE29703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:463456:466375:-1 gene:WBGene00064235 transcript:CRE29703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-8 description:Dwarfin sma [Source:UniProtKB/TrEMBL;Acc:E3LV95] MKRATVIGMTRTRAWAVKAVKNISRQAKKNNCFDVFFDAVIHENPGTRCCKARNDKIAGANGRLIILILRCFRFSHVRYDSQIKSMGSCRYQFDSSNRTICMNPWHYKLTELPKKPVAPIVVNKNLDYGEPPVRMDDPFEHGGIVWEQGRSSEEVEDMTWMNIPNVTIEGDEIKNLYDRHALETVQEPGTYAEFNTPTSSNAPNGGPTISSNGTYPNFQSPVQSPVESPAQFNQQNGEQMVEEELNYRSGMSSPASYYGSPRMYPGNGVGTVDEEMMEDSERELASLNRNFPRNGNGRIMVPTYPNGRPITPIEHGGMFRGSIAELGELARLGVYECVEYEERANWLGLGYYEEGLHIGEPGSFRAQNVLIDGFTSTEMKSTNRFSVGFYTNPKRSQATSEVRSLIGRGVRLYLLGGECYAENLCNVPVFIQSISANLKNNFPMNTVSKLPPNGTMKLFDMYQFSKQLALAAERTYNDVHSLSRMCTIRMSFVKGWGEAYRRKSVIHSPVWVQIQLNNPMQWIDSVLTCMGAPPRQCSSRT >CRE29478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:460073:462819:1 gene:WBGene00064236 transcript:CRE29478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29478 MEKKKSKTNLLQKFAEKFKKKKRSKSASMSRERGSEMSRRPRRPSRSASKTKVSGGNKEGGSPNASAETKSKIDLLEVTAKGIKSKESKEKKSSGEKKKEKQNIPTPTKTPSTMALRRTDSQMEVSQNNRCVEKQMKIWIDSLEKMDIRKVLETEYEGIEKMKADAEKCQVFEKKLDQCSSESIELLDANRVKGGGEDKDFFYHGSVLNCPTTPPKTTILAQLPLIDNAESLESFWLMVAAQKIQRIYVLAGEEEFDKKQLSDYFPDDFKEHKTIRVNHRKTLPKTDDQLNNQLYYEVVPKDCAEAPFCMVEICDFWDDGRVPVKDYARIATTAASVFDSDIDADASCGIVSFYGAGRTGAFLVGALAIEKLRSGEQPNYKDLGTCIRSQRPGAIEVLSQYIFSHTIGLTYGMKHCKDAGLKTRIEKLIAQFETLACAKMAEEEEEDSSSNATNSNTCE >CRE29702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:450309:455849:-1 gene:WBGene00064237 transcript:CRE29702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rskn-1 description:CRE-RSKN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LV93] MPLAQLGEPFGITPAAIENVSPGIDQCKDHRIDVSMRSEPSDCSSDATNTFHSSIHVIHPSSNNGFRTSNGKLIPFFARTFFPISCWENECKKWKMSSITFSNFFPFFPEGVSMVTRHFILRHLDLCRSLFPDFHFSPRKQRLKYSNQTREMVIKTRKICYFQVNPISIPFIFQTESTLETEIDIGDVRKCGEKADPRQFELLKVLGQGSFGKVFLVRKVRGRDSGHVYAMKVLKKATLKVRDRQRTKLERNILAHISHPFIVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEDDVKFYLAELTLALEHLHSLGIVYRDLKPENILLDSDGHIKVTDFGLSKEAIDSEKKTYSFCGTVEYMAPEVINRRGHSMAADFWSLGVLMFEMLTGHLPFQGRDRNDTMTQILKAKLSMPHFLTQEAQSLLRALFKRNSQNRLGAGPEGVEEIKRHAFFAKIDFVKLLNKEIDPPFKPALSTVDSTSYFDPEFTKRTPKDSPALPASANGHEIFRGFSFVSNAVIEERKLIQKPIRSIPTAKTQPFTDDYEILEKIGNGAHSVVHKCQMRATRRRYAVKIVKKAVFDATEEVDILLRHSHQQFIVKLFDVYEDETAIYMVEELCEGGELLDRLVNKRALGSEKEVAAIMSNLLFAVQYLHSHQVAHRDLTAANILFASKDGDPSSLRIVDFGFAKQSRAENGMLMTPCYTAQFVAPEVLRKQGYDRSCDVWSLGVLLHTMLTGFTPFAMGPNDTPDQILQRVGDGKISMTHPVWESISEDAKDLVKKMLDVDPNRRVTAKQAVQHKWFGHKETLPDRPIQEVKGEPLDMTDVKTCLNQTYKAMSSAPSVTLRPVGSSALAKRRMKDIIYANYAKNVSANAYCRMDHTLSPTRPPVTKMFCRTKL >CRE29701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:448523:449713:-1 gene:WBGene00064238 transcript:CRE29701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29701 MTTEISIDEMRAAHKRTALFIHNTPMMKSEKIDEKVGANVLFKCEHLQKTGSFKARGALNSAILAKEQNAKGVIAHSSGNHGQALAWSAQKIGLPCTIVVPKNAPNSKVEGMKEYNAEIVLCEPTMASRESVCSELGEKRDMFYVDPHNCVSMINGHASLVFEVLEQAGDKIDSIFLSVGGGGFAASVAYSIGILRPDIEVYLVEPEEKQLSNLLENGVQCNVDTLNTMADGVRVAHVGSLCEPILKKYCTRTVVSVKEDEIKEALKLIWTRMKQRIEPTAALAFAGVLYHKPAHIKNPLVILCGGNVDTNYIIN >CRE29700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:428507:447865:-1 gene:WBGene00064240 transcript:CRE29700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gon-2 description:CRE-GON-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LV91] MMSDLDEDDEHSACQDVDDIVIYVPEFAGYREVNIDTAISLCPTPPPARNKSPNRNLEMRRRFDKIRREKKEERKLLADEKEYDEEDDVFEEGEGLQMRTFEPKASHRNSDESMPSVLPRTAQMKKTRKHRRRRSGSFTGGVYPRKGHRNRSLLGYAIPPPNIHSADWRDMLAITDNKDDKLMKTLGVTRYLQPKGGDQTAPTTSTTTIGGDVGPTTTQTQAQTMNGASGGGQQASTSGVSPGDRSNGDVSVTATSGQLVLPIPLFSQMRFTESNMSLNRHNWIKETFTRRECSRFIPSSRDLKKCGCGRSHDAHRNIPELTSEFLRQKRSVVALEHQRAASTFDNDDINTQNNMYTKRGANEKWSLRRHTVSLATNAFGQVEFQGGPHPYKAQYVRVNFDTEPAYIMSLFEHVWQISPPRLIITVHGGTSNFDLQPKLARVFRKGLLKAASTTGAWIITSGCDTGVVKHVAAALEGAQSAQRNKIVCIGIAPWGLLKKREDFIGQDKTVPYYPTSSKGRFTGLNNRHSYFLLVDNGTVGRYGAEVILRKRLEMYISQKQKIFGGTRSVPVVCVVLEGGSCTIRSVLDYVTNVPRVPVVVCDGSGRAADLLAFAHQNVTEEGLLPDDIRRQVLALVETTFGCSEAAAHRLLHELIVCAQHKNLLTIFRLGEKGEHDVDHAILTALLKGQNLSASDQLALALAWNRVDIARSDVFAMGHEWPQQALHNAMMEALIHDRVDFVRLLLEQGINMQKFLTISRLDELYNTDKGPPNTLFYIVRDVVRVRPGYRFKVLSNIPNFLVFRLPDIGLVIEKLMGNSYKCSYTTSEFREKYKQRIKKFKNLQKKAMDNFLNRTSAGGSGLASRQSTERLGGIVANSSVAGVFGNSFNAQDPMCDPVSRSALSGSRALSNHILWRSAYRGNFPSNPMRPPNLGDSRDCQSEFEEEISLTQSSEGSQVEADFRYPYSELMIWAVLTKRQEMAMCMWQHGEEAMAKALIACRLYKSMSTEAAEDYLEVEICEELKKYAEEFRQLSLELLDHCYHVDDAQTIQLLTYELSNWSNETCLALAVIVNNKHFLAHPCCQILLADLWHGGLRMRTHSNFKVMIGLICPPFIQLLEFKTREELLNQPQTAAEHQNDMNYSSSSSSSSSSSSSSSSSSDASSFEDDDEENQDQKRTRKNSQGSVQSMTIANLFQSRRRKTKKETDASGGEIGVKPHMNGGLAAEYGTFGEQNRASPPPPYLRNRSRYASNHNRSDMSKTSSVVFGSDPNLTKLQKSNLTSTDRPNPMEQFQGTRKLKMRRRFYEFYSAPITTFWSWTLSYAFFIILFTYTLLVKTPERPTVVEYLLIAYVGAFGLEQLRRIIMSDAKPFREKIRTYLCNFWNCVTISAIIIYIIGFILRVAGKDRTVQWGRVILACDSVLWTLKILDYLSVHPKLGPYVTMAGKMIQNMSYIIVMLCVTLLSFGLARQSITYPNEEWHWILVRNIFLKPYFMLYGEVYADEIDTCGDEAWDRHLENDTPLILGNGTNGYSCVPGYWIPPLLMTFFLLIANILLMSMLIAIFNHIFDATDEMSQQIWLFQRYRQVMEYESTPFLPPPLTPIYHVWMLFQFVRLKFSRVKAVERDPMFDFSLKLFLDRDQIEKLHDFEEDCMEDLARQKMNEKNTSNEQRILRADIRTDQILNRLIDLQAKETMGRDALNDVEARLASVEKTQNEILDCVRALLNQNTMPMMGRCLSPSPESVTGTPGPMLLKLPGSDPIIEEHDHESVENNSLPPGPIRRNRTATICGGYVSEERNMMLLSPKPSDVSGIPQQRLLSVASMDPLPGPKLSTMSVRRRHEEYTSITDSIAVRNPERRLRNNRSNSSDHDESAIDSDGGDNTISSPRKRSTRDLRMNPNSQGEESISREQVYETDRPEHEEEDEAQADCELTDAITEEEEDEEDEEIEGDDDDDDGHHHHHHHHHHHRSHPERKQSGLRQNRPPSHTLETDLSDGEIEEEIQMEMKGLPVLHQIINEEEAVAAPHSTPVIVSPSTSQATLSSPEKKPSTHQQ >CRE29699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:421905:423497:-1 gene:WBGene00064242 transcript:CRE29699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29699 MTRVRPTIVEVSDATTSKFHTKGIEALVTMAAKLFGAVSRKLERRQSTSSSDESIESGMVPNVNLPAYTQSSTRKLAFGTAATEEHKPLDDFYNNSTLTNISKLFCAVVLLTAFFLVMSRSLQFAYEAQQAAARAANPPIVSTSNSTSLSSLFDLSSS >CRE29698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:418165:419929:-1 gene:WBGene00064243 transcript:CRE29698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29698 MGSRNRLVVDFQRNYIEFNGAKYCIKDDSTNKFHGESIKFGDLETPIYRCNDRVTHVFWRNDQLLKAVMTIAGHFIKTSNLTSIFQVLVSDNHPVIQIIDWIQIQNFGSTEDVKIDNFSLIDCQPEEKNEVAKLLYSDEFLQKITNNFTSLLQGFQVAPALSVLIDGNEENTFEIKGNDDLIAEIAIPEDGRSFKLFIA >CRE29697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:408948:410531:-1 gene:WBGene00064244 transcript:CRE29697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-15 description:CRE-GPA-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LV88] MGSTCSTPEYKEQIRINSIIDKQLRKDEDSEIGNQKLLLLGTGECGKSTILKQINILHSSGYTKTDLKNVAGSVYSNIIQGMATLLKAKDTFLYDLSSAELDADAQHILALAESSKDAMPFIPLTFNAIKRLWHDPMVQKTFDRRAEFQMMDTLVYFMNELDRINDPDYIPTVDDMLRIRIPTMGVVQQVIEIKGTKFRIYDVGGQRSERRKWIHLFDNVNATIFISAINEFNQKLNEDGTSNRMKESIKLFETICNSRWFVNAAMILFLNKRDLFEQKLKTTNINVLFSTYAGTNDYAECVAYITMRFERLNKYAEQKKIYTHVTCATDTNQIQLVIDSVVDMVIGRNLRGTGME >CRE29696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:405933:407045:-1 gene:WBGene00064245 transcript:CRE29696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zhp-3 description:CRE-ZHP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LV87] MSPGVKAYFADPVKAITEKLTRLQRKFEFQGNMRVHLLKHLIKEKEKKRQMESYFRKKGQEFEAQKKKLAEANAWIQTAERKLQASEEEKQKVQREMEELQEKLKNLSTSIHSQHHTSNPTQFSFMPEESQEPGIAPSVVNSTPNSTFDLVSPLVISPAPSLNSSNFHTFFENGNVASNGSEAAFNDDLMFNTMSSGQSVRGANLSNSSAFSVAFNNMFTPTRNLDATTHSMANQTAVNQTIMDKTSMSLDNWRASKSNSFGTHDMYVHMSFSPSNFLIPGPKERHLSLWAM >CRE29695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:403263:405374:-1 gene:WBGene00064246 transcript:CRE29695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29695 MASPRTRRTLKELRPLDDNNFCFECEANNPQWVSVSYGIWICLECSGVHRSLGVHLSFVRSVTMDKWKDIELAKMKAGGNRKFAEFLQSQPDYKEKWTIQEKYNSKAAALFRDKVASEAEGREWSQSTSPANNYVPPTLGGMGARTNSGTSKSSGNSSLGSYYGGNSSYSQSGGDGSYSAQDASSKYQGFGNTGYVPNQQQNNGDDLLAGAMSGLSMGWSMLSKGASQAAAIAKEAGIQAQQKASQLSENVSQNNSIFGGVASKASEVGTKSWDGLSQFVKSPSLNAFSGILSKTGYEDFGGGGGGMSGSSSQNEFNDWLKQSNLPRGTTEGANHFGSEELKPTEPVETRKKKDRSPKPAPKKVVAETVEEDDTSSSTIAQFESSFNKPKPAPTRAAAPAPKKQEKEKGWDDDAWDLLNQ >CRE29694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:398045:402916:-1 gene:WBGene00064247 transcript:CRE29694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29694 MNKKEKEARKLLHAGNFKDAVDKLNEAGLELHRAGDSDKAIEVLEEGSKIALQYGKLQYERSFCERALSEIHADLGNKEQCLRHIQKFRTLSKECKDKSQEQLSYHVEAWCLQQLFLNGKAERTDLEEAIKKTKESRNFVKKHEKEFKPDGVGGPPHKRKADLYTLEAQLQHQLGNTKEAMNLLAKTAQCLKSNDKSTKFEMLRTKCSVAPVSQRIDIAELMDDDAPEEKKAQALTELSHQYVLGKMLERGYKALAHALIMHQKQFGRDDLQDSVKRLCILYRLVKYSEILKSNKKSKQYSLCQLHEAIGDLYDKYYQTLLETEKKEYRQFLKENILQNYEKMLEFKRNDEDVLRANLAIALVYWDLEEYSKSRKIFEQRLELLEKIGASEDKILDTRVSIFNCKTKINFPGLEREFDVLKNAVEPFNNTKRELYEVWANYWSDKNDEEQATIWRDAAETVPEVLIRNQDDETDFLFFEYSDDDILEKCRDENELLKLDRLTDYQLTKTNSKGETLLHLAAMKSDNHRVVGKLCSLGSKVDAKDNGGWTPLMEAVANNQCGNVKVLIRFGADVNAKSIQSFECDSPEEINHGNLTPLMDACTNGYIEIAQILIDNKARVDLRDKSGWTAYHHLKQHIEDKEVTDEETLKFAEYLRTVTQNYGPLVDIPVCNIKTSANRLNQESDDSPPDDVEDGIILGMAASRKRKFSSEYENSRNLNKRKQRSGENMRMSPPLVHIPQKRNIQPSSSYVQPRKIYRQTSSSSLTSNPRYSMSPRRSMSPSGTIRSAPSVYAGDDDIQVVGVRRSFDRPQVQIAPKLPSPAFVHQRFVPSPRQQFDLNSSEIVVKCCFQLPAGSGDEIRPEKLPMKRTMSISEVKTTVISRIPAISQFKIKAVWNKDDEDKCGVDELTLTQVADKPNQREVALVFDLGAP >CRE29476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:395861:396767:1 gene:WBGene00064248 transcript:CRE29476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29476 MYFRLSLFVLAVCASTAFAMFDHGPKYGGHQFKPVYLNPYGNKYESYGKHDDHKDSHYQPSYYHESYQPKYEGHDQKYGNDYYSSYQPSHQPYYGSSYYNEQPKYNDYHNSQHYYDKY >CRE29475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:393576:394177:1 gene:WBGene00064249 transcript:CRE29475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29475 MASYSSLLVLSVCTIGALAVMEHNSYYPGGHSTSYYQPYQTHTYGSKYYNTESYGKYDEHGNNGKDSHYEPSYYNPIASYYHSYMPKYQGGHNDYYTKYNQYTPYYGGNNHQQNYYEQPKHDDYHGGDHHFNMHK >CRE29693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:391805:392676:-1 gene:WBGene00064250 transcript:CRE29693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29693 MTEITKTLNKCERPQNSKIDISFCELSMEDESNLQDLIDECSSLKIANTFSKSRFSRIFEKPKNRLEKLELICVDFRDESQIISIFKSCQSLKTLNLSGIRVASSTTSFMDPQLPAAIIALPHLVNLDLSFNSSWISEQNWNQLLKELEKLEELAMNETKCDVQFESDWLPHLSIFSARGSDLHWDSIFEMLSVSDRITRVDVRFSTIDSSLPEELQKGNLRIGDILY >CRE29474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:387910:391677:1 gene:WBGene00064251 transcript:CRE29474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-axl-1 description:CRE-AXL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LV80] MTMRSKSFSERILRNASFIKWCQKEESRNADSIALYTSILEFESKLKSGSPSLSLLKLARHIHRKYVSLNTGTCHVIDDSTRTEMSKRVHEVLDGKPPYVELFDPLKQPLFQHLKSMHTEYSTTTADINTTWEDASSTSSSNKGAMIWFNDDAIDRSSRHEIGQNTVTHESEDDRFAFFNAVCTRLNSLQETKNSSETEESPEKPKSSSSSNPYGNDGFAPPPHSTHTNTMKVSNLPKRFESLYKKKRQQNVTSDSSGFGSNASDFWSFERYGKSNHGTLERPNRLFPGSNNGFSTLQHKKRSPEVQKLTVELRYENDVPMIAKISANQSVTLRYFRHLFGLHYTDSCRFFFKSMCEDGSAQYQWTLLFQDDDILPVFQNRITAICRMCPPPEEHHLI >CRE29692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:381813:385921:-1 gene:WBGene00064252 transcript:CRE29692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-12 MTIFGGGQQKQAPLIGDSGIPAYCLKKIGSRHILVAGGGGASKTGVQNEIQTHLFTTGSANKDVGFQAQLVDTFDTGSMATMNMDVACAVDENAAKYVIAAGQDDNCVLYMTRKFRLNELENDSLCFEISKVAQVRSDYHSTSAYQKCVRFDKNSRGKIFATGGADGYIRLWDAQVVFRAENENAQPVLKIQAHKADVDDIDFSSDSNSIISVGAEGAFLWSKQTGERLLDLQFPIEIARGFKMRSARCTPLGNANGNTVFVAAYNSISRGSKDQACYLSLWTFNSQRKVARPIVTKLIAKNQAISSLAVSDCGNYTAVGTMSGGVGVFDTHEFRRLYFAPESHGLFVTGIEFVSKVAPSNCEDIRQETPGIASGFHCAVVTLAADKTMQLHRVPHAQPQPFSEFLFIISFISLIFTWLASFLIVV >CRE29691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:370631:374981:-1 gene:WBGene00064253 transcript:CRE29691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-6 description:POU domain protein [Source:UniProtKB/TrEMBL;Acc:E3LV78] MLIPSTSSSTSSSSSESSSESISLPLLADQNLLPNYHLHHHLAEMEANYAQVIKPTCEAFQEWPVYHQPQIQFMIPPHDWGYAHLAAPAFQPHHSLSTPSSAAVAAATIASQSTVLPQTSVVTSTPPCLMKQEMKQEIERPEIIQRLMPPWPPYQFSCDDNVGPGGNQQSISDISDDSEQTCPDDLEGFAKQFKQRRIKLGYTQADVGVALGTLYGNIFSQTTICRFEALQLSFKNMCKLKPLLFKWLEEADSTTGSPNSTFEKMTGQAGRKRKKRTSIEVNVKSRLEFHFQANQKPNAQEIGQVAMELQLEKEVVRVWFCNRRQKEKRIAPNQYDGPHPMSLQNGYPMPTDLFPYQAVVSHYAQQSPSLNQQQQ >CRE29473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:365488:369542:1 gene:WBGene00064254 transcript:CRE29473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-5L MVRVIIKGGVWKNTEDEILKAAIMKYGKNQWSRIASLLHRKSAKQCKARWFEWLDPGIKKTEWSREEDEKLLHLAKLMPTQWRTIAPIVGRTSAQCLERYEHLLDEAQRKAEGLDEEATEARKLKPGEIDPTPETKPARPDPIDMDDDELEMLSEARARLANTQGKKAKRKARERQLSDARRLASLQKRREMRAAGLAFARKFKPKRNQIDYSEEIPFEKHVPAGFHDPSDDRYVVEDANQRAIDDHQKPRGREIEMEMRREDREKLKKRKEQGEADAVFNIKEKKRSKLVLPEPQISDRELEQIVKIGHASDSVRQYIDGTATSGLLTDYTESARANAVAARTMRTPMPKDTIQMEIENIIALQNTESVLKGGLNTPLHESELGKGVLPTPKIVATPNTVLHAIAATPGTQSQIPGATPGVFATPGSVAATPFRDQMRINEEIGGSSLEQKANLKRALASLPTPKNDFEIVGPDDDEVEGAVEDDNDQEDAEWVEDASERSEKHAKRNAEIRIRNLKMRTQVVQRSLPKPSKINESATRPTNASGDLPKADDMIKLEMSRLLAWDVDNKAPEVVYSREDLDEAAELIKKEADAGPELNAMMWKVVEQCTSEMVLSKDKFTRIAILPREEQMKALNDEFQMYRGWMNQRAKRAAKIEKKLRVKLGGYQAIHDKLCKKYQEVSNEIEMANIEKKTFERLGEHELKAINKRVGRLQQEVKTQEAREKDLQKTYSKLSNKQWKLSQIEIHDSASTTAAPIAY >CRE29688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:360224:361785:-1 gene:WBGene00064255 transcript:CRE29688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29688 MRMTTPFPLFRLPFLPLVKVIKQMGSFQILKLSLCSKVSKFKCQLIKPAKPLHFTVFFGTEEIRMTVKFENLKPENESKENNARWRLLYVDDMMNTGEFSITHEFSYLTGNDYYIDVYNSKKYRTTSTYRISIGHFRAEFLKWIDNVIVVFNATLNVLAFDNICQPKVMKWIEERKPSLDKLALDCSFPSVTIRNILNYCHPKNEFYTRCEVFEPSGWTPSSETTKSTHVTNSLDFNDLLKLGVPIINSQCTEFTDNVLNDFLKNWTTSMRKLEYLSVVRTPNNPEINEDTVIEGLDVTRMEQTVRFERGGRTFSFTGYSILNGRGQMAVFGIDRWNKKNVAFRFLLSTFLENIQC >CRE29472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:352987:356456:1 gene:WBGene00064256 transcript:CRE29472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29472 MAGEQLFPDYDADEEDVFGSQSVMTAKRVVVTEQQKADPLRKPKVELQGILVAEKQPISAKEIERRMLDEYGQTIDPKKYGCKTLDELLQVCSDTVVHKRRQDGVHIYWAKVSEANQDIVEMVQQQHTGHEPKRGARSFLTHGATRGGRGNYGASFRTYRGQSRVPEEDIKTKGNPDQVNARSKLAPAKTIERVHNLAELLRECRAEIGTPHPDQPGRMIVSLGTLMNKFKEVYGLPAWGKNMSESELYKQISVEKYSGVLKFWSLRDGGDYYVEDWNSKHLSVSEDQKAPEEPIENEKVISESPSATLQKNINETTIAATSTHDETAADRSIALGLALDSSFEDFSTISEGSPAAMLISKAADDGFFESGPGFGGNLSTPQTQPNLNVTSSMSDLGQRFGNVSLGRTQPLNENSASSTSASRSGSRLGGAALTGNRQNSGHEVRHRQSSSVQPMRPVSSSFGPRAIIPQNGLQLLVKYVKSRGSTKYESLPEDDQTFVNFNSQLFKVYANQPGEMLVRLVNPSIDPETVKISETNFREPVEADLRWMSEVNMHVKRGLLVVRPVMFVAPRGFLMVACNTSEADLEGEKLGKMEDLLRGVMTAPLQELSRRNARPGMAAVYIYRQGEEVRYYRVLLVGRAQQGDDVLALLADHNDQHMMDVKLSMLYVLPEKASFRRYAPNVYFGTLYGVMALSPVEQENMWKNLDDEDRKNFVAAYIPQDETKILNIDMVWTNENRQYEWLSQLAKRRGAIPSPDSNSNHVTKQPEGAVKRMGEDCFMEFFDFTQQPPEEPNPPAPVIQRDFSQNQNILLLKYNFLETRQLVPEATRTVQPAAIVQPTFFNPRLLNMYAVFDELVETNNVVGMVKMLETVKIIRNMKQSKSNDDWKELINYMIDAGRRKGIQLEL >CRE29686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:351514:352408:-1 gene:WBGene00064257 transcript:CRE29686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29686 MDWVQCNNCGAKPNQVKMFLTRCAHVFCQSCTEKAKESQCYLCKKPLTVDEINKNLRPDLMELFKDPRQVSAELMTELQKVSTFQAKQREIFMKFKNAEMKKETDRFNDARTKQMKITQEIEKRKKAFGENNALLKAAKEEKKQLTARLHQLQSENSTKKSVSIPSRHLHTRTEPPTSKSKRIRPPPSVTRKASHDFPLNIMDQSTSKIVTSTPICNVDYSRRDYMNRMFGAGFEHPSPIPRQ >CRE29685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:350588:351476:-1 gene:WBGene00064258 transcript:CRE29685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29685 MSPNCETPPSSSPPSRFVPSLACIAEEEPRIIFTRRIYVPGGSSSKPFQVEIPIYDLNIYAPEPGKTSMPESYQRLLAQMDKYIEYQSNSERHKKMAKELRQKVAFEQAEAKRLSTPNFTHRDWYWYSEETRNFVDEPVFTRKIVEKCEEKTKESPSNVAALAKCDDDEFDLNADF >CRE29683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:347316:348422:-1 gene:WBGene00064259 transcript:CRE29683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29683 MPEPILKKCFNCRIFLPDDAKCQKNEAKYGVPGTCQYCKLNAAFHDQKCVWCSHAERKYGTPFSCQSCKLQCGFAKKESEKYEGAPLLCRLCILQARHTGQTSVAGIPIPPEKPEKNGDGSSAEQKAEKEREKERHRSSRHHKDKDHRRDDKRHREHREHRSGHKRRHEESNNNGTSSSSSGVPPLTINNENGHGFPPFGERDHGENMEKQHRMEDEIRRLKAAISEKDQLLFDKDKQISNLKADQYNLEKKHRERVQQLIKEKEDSIRAIEHMRSSKSSKKN >CRE29682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:344353:346112:-1 gene:WBGene00064260 transcript:CRE29682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29682 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LV67] MNSEYSRVKSIRRPVSLGNRSQRSKRTKKKKNDEEKTVGSGNQKTLFFRRPKNDSNPEETEAFANICRCLIEHRWYHGVMPRGEISTLLEDEGDFCVRKTTEKGKPIVCISVKCQKEVRHFPLVFENGQWTLKNLIKTRRFFEVVELLNALVTEKISLSGAILVRAVPRPDYYIPHSDISLICKLGEGAFGEVWKGSLKRHEDEKVKKVEEKSAPGLPTTGRQEGLSEKGRSKLYVAVKKMKGNATKAMTEEFVMEGKLMRQLVHPNIVTVFGVAPSEEPLMIVLELAANGCLKAYVSKYQCPMDQLMQFTADAARGMAYLSSKLVIHRDLAARNLLLGSSVEVKISDFGLSSSGKTEIKVKQMKVPIRWLAPETLEEGVFSTKTDVWAYAVTLWEIFTRCQSDPYPGLTNQQAKDLIRGDALPMNPPEGTPPTVVKIMEDCFAKNPDDRPSFPAILKRLCPDEDIAAYEPKSQCSQSQSSPAKKSSGPSAEPSALSARSKRPARK >CRE29471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:342575:343642:1 gene:WBGene00064261 transcript:CRE29471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29471 MNRSKSVGRRDAKPRGERRQNKVTVAVLGAERVGKSAMVSQFLWHKFVEDYRPTVEEFNWIEYEIEEGRVLMVQIIDSSGSRDFIGMKNLYIGTADAFLVVFAADDASSLEEALTTVADIHARRGKNVPVLLVANKTDQPCLSCCSSKSDMECCALKEEEVRACFHEILLRTRPNLNIQGFELRKRRQSMPSSRGYSGVEPKDIEKIKSARRQQKDHCIIS >CRE29681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:334889:338090:-1 gene:WBGene00064262 transcript:CRE29681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29681 MKPAGYSACLLLILATCIASVCSEDTKYDLSDKHQFTFYFRDRWETMFNTPQPVANPAKADIVTLIGDQLYFQPCYTVARQSGKRYFTDRLWGMMNALKNCHVTASTVLPVDPTINKDQAVLELEFIQNATYKSYTKRLHEISMEVKARKTGGNDPYRIYRITEILNSRGIHVNYSISSTSTNPHGISSDRKIDYFLILESQKRENRMSDEEEEDVNFKAGVEISSSKASYTVVRLLGEGGFGAVYLVKDAKDKTYAMKVERKLEKRKHSKLKMEIAILKLVGTGKHFTKIVDRGKKDKEGFFFLVMELVGKSLADLKQDRPDKVFSFATGLGVASQCLEAVEELHKFGFIHRDLKPQNYACGLDDKKHLIYILDFGIARKYLNTKNELKTPRESVGFKVKFLTVLVMKNATLGTVRFAPLACHRSTENGPKDDCESWFYLLIDLILVGGLPWRKITDKNDVLKCKEECRKEKRHTLYTGIKHTTELNKILDYIDSRAYQDRVDYAFIYKALGEACTSAGLDIDAPYDWEKEREKESLSNEKSSK >CRE29470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:330428:332473:1 gene:WBGene00064263 transcript:CRE29470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29470 MKMLMKILIIFGLLASITNAQVDRDWSFQRMCEFWDGGSSYRPRPNGFNSIEGDKCTFEYPVATDSRESAKRYCEENVPYHINDVTAGERTKCSAEATLICKNEWIQLFGRCYKMTKELMTRDNAVEHCKTQKDDATIAFLHRETLPFRIYDYFTRVSRLWIDASEAITKDLIDENVNGNLLLAIDGYMYNLPNVALTRVDSSETAMVLCEYTPPMNRAESNHLLKKYGEIYYPTVSTSEGAFIRTTSSLNRIDEDLFKDNRYCSRVMNPFIHNSNARSAIPTREFLDEVNKVQNGLIIRTAAFSKNSRKDERIGATCSAKKGATHRVLWRGSDGNDVSVPVDKSLWRSDEPNEICDAGSWSSALVSGRDGSPGLEAMSDARYAPIYCQNTVDSYSYGDCPAGFTEYYRKSIGQKFCHRFFSEKKTQPDAEAHCQTFGAHLTGYTDSEELKLIGTLNVLGIWETLIGGRRRSECITNGAKNEPGYSREESSPCSRKRVYEWKNGVAPNPPTIENDWSFDYEPNYVAYKEECLTIVRHKGVSLNDNECDWPFPFVCGMEAPIVKLLSS >CRE29678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:327110:328729:-1 gene:WBGene00064264 transcript:CRE29678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fut-5 description:CRE-FUT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LV61] MWLNSMKRGFNKKCPRHLNRPMVYLISFTYFLSIFFCLYNWESLMARWRHRNDSKSVLIFAATNYFGKPINNSWLESCPEDVKSYCSITNEETSFSIADGVLFHSRDFNEQLLSEFKFPRRFDVPYVMMTQENPFYSYLEEYKYFFNWTMTYRRDSDVHYPYGALVKNEKFVNLTEIWNSKTKEAIWLNNARASSRNRRVEFTEKLKSEGMNIDLMGNAFNNTPKECPRFARPPNCTHKLLSSVCFPLFRIFTTNFRPYKFYIAFENSNCEDYVTEKFWEKAGEYNMVPIVFERKIYRNLGIPDEMYIAVNDFQNLEDFISFVKSVSSNKDKYLKYHQWRKQYRIIESSSENYGFCQLCQKLLKLRGHKLKEKSYANLKDWYSTPSCDNSFVDKYLQ >CRE29677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:323541:325263:-1 gene:WBGene00064265 transcript:CRE29677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29677 MSRVDLNQSIWGCAAKNHFFIHSGVRAALSLTLFLSFIDYVNLPLDASPDLKGIFLGHFTMTFLVYFTLSMAYLLLLFCPNQIWYGICMALEGTRLTFFLYRTTYKLIGFGLTQEEDKHERELKKYYTCENFLYIPKRSSDLYVVRVTSPSAEQATDIAIDSYGNSYIRRGAPSPDPEESGSDSDENSGEEQIEKDHSGSRKSRKSQKSKKPQRSQKSTKMEKTQENTDKSSKSQKRKESSSKSTRSKSSKSLKNLGENSLNSDEKVTHIAF >CRE29676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:317045:319124:-1 gene:WBGene00064266 transcript:CRE29676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29676 MKITLIFGFSLLIGTLCDESKYRLTSRENEIRLGSCGESLGETLWLAPLYTEDGYVEKLREEKMNEQKKTTVLPEVERTTTNVETESTTTTEDATTGTTKLDSTEETVTVTEKTEETSTELMLTTTKPKPSSILISNAVFISQNHLILSSSWFIRYLDSAWKWKDNNRIVNDSICKEDRNASFLMKPSNGYVLDIHRQWRKVEEIIFLRFCEFHKNSEGFLALISVSRKGLTTVPVVCLPKSKQKERELNKRKIAEYGEESEKRVEQIEWSSDAEVVNSVRKCGTTGSSSYYTLGDSCKMSRGAPLVESDPLGIQRLIGVGVQDITGLKPHFHITQKYINEICKTVGICKLETGVIDQVPSEKDEEVKKIMDDDYVEIVEEPEISVYNGQEEKRMIFGTIGLILVFWIFK >CRE29467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:314817:316894:1 gene:WBGene00064267 transcript:CRE29467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29467 MNVPSEDFIEQLMEFRERNGSFDDFRFMCKQEMRENLVNNYFPYNKLIARALFKNQHIMFIGDSLIRAMYKDFLALLQTGDLCTVQDLRVSNEDSIFGDKHIDFLELEANRVFRQAREFQSNQHLIQYFFTNRAMREDLEKTCLMIEATDERPDIVVMNSAIWDISRFPARLSQRWTSQSMDNLEEEINVAEDYYNRIAMFCRRMRVLLPPTSTVVWVLMPPSSTPESNVSGGFLANNHLKFYEIRARLLEANVRAAQIVREAGFDVLDLSFHFRLSNFQAFRIKDGVHFNTIATRFMNQLLIGHIADAWGIDIRRKWPQKLIDKTLIKSQLFNVALDFLQDSNKWKHRNEVVHQHLRILAFENVKLPDAAGNPGTMTMQQLNEKMRVLLMFMKFQARSQSDAERNAKAFAGQIPEELSRFSQEDLVQIGKMIKEMCNEWKGKLPCVRLATTNKRVHEIGARKVLLDTTPSAPPGINSIPVPPVVEVEGSSVNITPSSDGSSPSGVPNKILPPHVPKKKRRVSESRQ >CRE29466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:314189:314690:1 gene:WBGene00064268 transcript:CRE29466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29466 MLDRLVSLAQEIQKIEDDVKELRQAEQAVQRTERMDLKVSKIDGFHDKLRVKMDAAVQRKMEKLDEKSDELEKIYRNLVCMSSEVPTAQNFEEDAELVSSYCLKLKTFLRSDRSEDCPKITLSVEQATRRLLNNPV >CRE29465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:312159:313957:1 gene:WBGene00064269 transcript:CRE29465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29465 MNFQRESVFTSVTHGLSADCRQANAKLRQTLESYNFGAFLMEKTCSKCPNDDCNTSFIFILQQRDFFRLKNQVQFEIPPTFIVHNSFSELIEADRKIRKKLLEDNSLGIQVGPEMANKLVDACPPVCNIMCQTDFKVGKRDMGDQTEEIVRKDAESQSTPEEPIISAQPSDSIHMPTETDTSFINRHESVVRQLESPLRTIEVQQEQDDDDVVFIEIRPRNGPPMAVKQEEPAEEPTEEVAAVVPVYVEPIEPAREVKEEMIEEAPEEVMQPLIEPPIEKQMAPPPAGTGITVELETPAAITPSTPNNLNHSFVTSMAALMSNVSTTSTSDSFYSPASSNTSMSESTGGSAKKRLKFSDVEMCSTCWAVSYYTKLEEYCSHVLEMHAAISRFKCTICDKRLGSTISANHHIRFHPEQGDVANPQALIVPEVSEESIQKFIRAAIQCYPEKFRNVQSDEIYGIIRNLP >CRE29675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:307358:310424:-1 gene:WBGene00064270 transcript:CRE29675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29675 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3LV53] MASGSGRGRGRGSGTNNSGERTQDYYGTIQPDLFVRQPGEPKIGSSGRPQRCFANFVPIEMEKADYSIYQFHIEFDPVVDSKHVRERMLLHENVTDEIGCYHVFDGMILYLTKEWHQNQEIEVPHPNTGDLIKVIFKQTNRFLLDNAQTINIFNTIVRRCFDEMQLVQLGRHYFNSKDARNVREYNMSILPGFETAIRMYENQLMLCVENRFKMVRRDSMFDLVSLYYIKTCNGGLKKEMGASQNNRQRVQEKMNEMYGGSTIITLYNNKLHRFTRLDWTINPLSEFQKDGQSITLKEYFKIQYGKEIKHDDQPIIVSFSYMVLDFRISHFYFQISEGKPKQPGEPPQVNYIVPELCFPTGLTDEMRKDFRMMKEIAMYTRLSPQQRLQETRKLITEFHSNERVQACLNYWGIRLADDLANVNARVLKSEPLHAEGTKKYEGRNAEWARGVKESGIYRRSDMTNWIVVGPNSGNSGMLIQKFIGKQKNFEFLKFQISFSGESSRLGSTLRVPIGDPMCVPIKGVTPNDYLEGVKLAIKQVAGETVHMLVVMLVDDNKTRYDSLKKFLCVECPIPNQCVNLRTLAGKSSDGGENKNFGSIVLKIFLQMICKTGGALWKVNIPLSDTMIVGYDLYHDSTLKGKTVGACVSTTSGDFTEFYSQTRPHENPTQLGNNLTHFVRKALKKYYDNNNNTLPTRLILYRDGAGDGQIPYIKNTEVKLVRDACDMVTQRAAKINNKEHKPITLAFIIVTKRVNMRILKQGASSNTAINPDPGTVVDTVVTRPERMDFYLVPQFVNQGTVTPVSYNIIYDDTGLGPDKQQQLAFKLCHLYYNWQGTVRVPAPCQYAHKLAFLTAQSLHGDSDEQLRDKLFFL >CRE29464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:304320:306048:1 gene:WBGene00064271 transcript:CRE29464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-120 description:CRE-UNC-120 protein [Source:UniProtKB/TrEMBL;Acc:E3LV51] MTEVEDFAQLLQKLQNSSPALPEGTSSTPTPSSSTGLLPNGKKTKGRVKIKMEYINNKLRRYTTFSKRKTGIMKKAFELSTLTGTQVMLLVASETGHVYTYATKKLQPMISSDTGKAMIQSCLNAPGGEGSDVQPSRTEFTFDSGNGGNGMRKRKMLNDVMSADASSPSMAGFSPFLPSMAPLFSTFGEDDYNNTESGDDSDSEEAASDIKEEDQGSPTMVKQELIETDSVTAALQQTIKEAMKQAASNRQALKKSKPTPNNQKAFFNPFLLQGGANASNLLAAAAKQGDEAGSLSSNHLLSLGLNLQQLIDSAAYASNE >CRE29463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:292843:295984:1 gene:WBGene00064272 transcript:CRE29463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29463 MRLQVFVLSFFLSFGFSEKLSPEEDQERAKTCGRISNQNAETNDELSPWTVRIQIKDKHFNPATLVSKRHILSSGSIVINKVKNKEKWKWSMNGEEIDMANCNDNKMEVPTELLTAHYIGPCDAKSSPEGLIIVEMERDIPGDSEYFTPACIPDISIAIDEQLTSHKAHIDSNQNIVIEMLPTKVVACATPSDHLFCGELSKCPTGSTIPLVKRVDGADTVVGFSIHYNGDCKLFTFASVMYYKEHLCDLIGICEKTSPGQYTPKQIPPPTEISLSNKFNKLTSSELSEYTKSCGKPTISGSSLPIDLSPWSVTVITQESTGMIYYPATLISKRHVVVVSFAFLKENPVKFFDGTDVDRSKCQFNVMEIPTEIIKKTSVDLSTCNDASKCGSQVSKQVKSAVYFGVCEPEVHAFGVILLEMESDIPAELPYLVPSCIPGKATPVFEDNLHVHSIGLDTNGQYTRQINEAKTEKCTYGYLFYCKVCATRIECNKDSSGGLSRNMNGQETLIGILYYYDPKCVNDQFVSMEMLSDLFCVYAGICAEKSSVPAAVPSITATSGYVPVKTTPSKPDPSTEKAVDQDTLTTMPSDSSAEDATTQKDDKVVDLSDVSTVREQKLEDEITPEAVQPTDKPKELETTEPAELDEGENTVEDNTPTENGKVPVKTTPSKPDPSAEKDVDQDTVTTIPAESLGGDVTTQKDDKVIDQKPEDEITPEVVQPTDKPEEPVTTEPVESDGDETTLEDNPPTEKPDEPAATEPTEPEDDDDNDEDPEEIPKEKPKDVQKPEKQVQNPRKVPRVDDEEEEEDDEEEEENEHKTTTAIPSSGAMIRSSGIVVSLICVIFDF >CRE29673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:290714:291484:-1 gene:WBGene00064273 transcript:CRE29673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29673 MNGQETLIGILYYRGPKCKNDQYVSIEMMSDLFCLYAGICAEKSSAPVTVPSIPAATGNVPIETTPSKSDPSTEKAGDQDTVTTIPEDSSAEDATTQKDDKVTDQKPEDEITPEAVQPTDKPEEPDTTEPAETDGDGTTVEDNPPTEKPDEPEPTEPGDDDDDDPEEDPKEKPKDVQNPEKQVQNPRKVPRVDDEEEEEDDKEEEENEHKTTTVITSSAMISSSKIVVSLICVIFGFEI >CRE29460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:273616:276161:1 gene:WBGene00064274 transcript:CRE29460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29460 MRLLILLVGCLLSFGFSQKLSTAEDEERSKTCGRIPNQNAKTNDELSPWTVRIQIKDKHFNPATLVSKRHILSSGSIVIGKVANEEKWKWSMNGEEIDMANCKDNKMEVPTELLTVDLVACSNHFLCPWRPEVPIRSVESDISIAIDEQLTSHKAHIDNNQNIVIEMLPTTIIACSTPSDHLFCGELSKCPEGSTSPLIKRVDGVDTVVGFSVYYNDECKKKTFASVMHYKQQLCDLTGICQKTSPGPYAPKQIPPPTEISLSNKFIKLTKSEDVANTESCGKPTNPGSSLPIDLTPWTVNVNTPNDNGYIYNPATLISKRHVVVAAFALFQDKPAKYFDGTAVDLSKCQNNVMEISTEIIKKTSVDLSTCNDASKCGSQVSKQVKSVIRSLSLSLSLEKIAPLQAVYFGVCEPEVRAFGVVLLEMDSDLPAELPYFVPSCIPEKKVPEVDDSLHLHSIGQDENKQYTRRISMAQTGKCTKGYRMYCQICATQTDCNEHSNGGFSRTQNGREVLIGVMYYHDSTCKVEDLVSMEVLSELFCLYAGICNTKLVLRPWGSRDSKLVSLIVCLSARCSSWFFEVLTTQQDRKTEEKIRRNNPFLKNKLEILKSRTEQKKLEMGAMIRKLTQPVHVY >CRE29459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:271282:273137:1 gene:WBGene00064275 transcript:CRE29459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29459 MLKLIIFFAVVSFIFCGKLSEEFDEERAGMCGKALTPEKPSKNPADDKAPWTVGVNFGDYFSSGILVSPRHVLAPGLYAVNQPSPKIWKWIDDVKVDMSECNDNQMKIPKKTLKGFSVVLSLCQDPEQCGTRIKRLVVEGYYLGNCVNSEVPFGMILLEISSNVPQDEPFFTPVCIPAEEKPVFIGDTVHFDSFKTVKDGQSEEIHIISDSANIEKCGASVGRFVQSVCGKRSVCNKARNGALVKKIENRDTVIAIIWQRDDTCKTEHYVSVGFFKDKLCDLAGICKATYTAEESSASSIQFVFFGFFIILGSFAIL >CRE29671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:263959:266963:-1 gene:WBGene00064276 transcript:CRE29671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29671 MYSIPNEFNNHPPTSGAPPQYAPPTMSSQQFDPLWSNNHQMMQSAEQYGSQSNFSQTQYGDYLAQAPMNQQNNLPNTVMPTPSSFNYPVPQIPQMAQQLPVPQPVAENNEPPSREITVKLEKPEIWNEFHKLGNEMLVLPAGRLIFPRLNYTIEGLNPNCQYSVGLKLRRVNKYYMEFNKKKTPIEWKESAKLVVEVPEESNEVFVDSRIGSHFMENGIDCSMVNINSIGRKTAEEGTDQTKEVEGEESKKKVYRKRVPTKEKKMLVSSLCRYLPVLRIYSVDPGSSSVELLKEFSFEETQFVVTTIIKNDAVTRLKTKHNKYARGDYKVSVFKTSDAKPATPPTLDTTTDSSGYSSMDSTIASTSSISPTPRGQKRSFSSEEEKRVSDTRTKRQKESRLEEYEVPISHCPSAQEPENLQNYNRYDSSSYGSSEASSSAAPYKPAKKPPALNDATNCLTNDNRGSVLPPQQYPGQPSTSQMHTFSDYSAASNEQYGHFPQYSANPTSSNNVQNHYAYTQTPYQQPWEPSSSQPHPYQYGHPTQFSSMAPTPFDQTAHSSTATPSSQQQTPYSYNQWNSGDLDFGMNSNYRF >CRE29670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:250952:256935:-1 gene:WBGene00064277 transcript:CRE29670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29670 MLKLISICLLIGTVRSGGQSGGYAGGQQGGAIQSVQGAGGSFASGPDFNPILLQGQRGYPGIRARLNSRAFQYASTLVAGLLNSEIKKARIPPISQCIPMVQGCVNIYNLYVSRYRCPQRVVLYPAPPNRIVLQVQNVDLGVTGNLGGQVVVLLPIALSGIVQLNIHQATITVQLAIERGPRGPYVRLLSCDMQIGYADAYIENGGLVGDIVNSQFRSQISNQVRQMIPGQVCGQLPNIINEKLNSKLGALPQTIALQQMISLFGGALGLGGSGGGGGGNCPSTCPKAPVFIPSTNPQPTQVAPSSLPATPIVGGAAPAPQIPTTAYTEGTRKAAAVRTLQQLQALRYPRSTNITQHLVLPQGIGRQRMYAATIRAAGVGQYQSQGQVATYSNVGANPRYPPPPTVAGGPVAVQPPPDCSKCSAAGGSGDDPASFLRQIAGHLDMSKLNDLYLSLQYMQSYATSNDYTIDLTGEFSPYGQGGTPFGPFPTQFPYYGGQMAEFIVTDYTVNSLFYWLHRKQFLSFRIGPETPKIGELLKTTCSEDDDELDGGTVELDEEEARRRRRLAKEKAKYRLRRGVHSNSTIVVSVRPSRHVATHITDPEIIGATNETTRLRSKRMRDSRRRRQEDTAGLADLGICFGDILPAVREKYPNKKIAVQIRTVRAPSVILSSAKGGMVTLDLIADADIYIDQTNTKVGTITIAATVVLTARIGGGRLSGTAEITQLHLSDRTGSLGLPQDALDNLGNLGKELLQKVANDGLQKGIAISIPQNLPLPIGIINPDVHIVEHGLHIATDFTISPSLLGGGGGC >CRE29668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:239866:241808:-1 gene:WBGene00064278 transcript:CRE29668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29668 MSDDSDNSSIINECELFVVESESFERTFQDDHPENSAPKSLIFNAGSPVNRAESLEQLREYVMSLENTGEEKKEGATRMKQGCFMGFLTKVQVEKKVRIPGQFATYYLIDELFDSLKPPKDSNISLNLFVCYLNTCHRYHHYPIVKKLESDSSRIFFQLAHFDYTKPANEPIFTSIKRLLKHYTQFVYVDSITETGGLGVEVFPK >CRE29458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:237254:239611:1 gene:WBGene00064279 transcript:CRE29458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tin-44 description:Mitochondrial import inner membrane translocase subunit TIM44 [Source:UniProtKB/TrEMBL;Acc:E3LV39] MLTRICGNGIRLTRTRLQFQPSLVTFRDYSNPAPKKGFLNNLMDNVRDEMQKNKELQEHQQQLKARMQELNDSDALKDARKKFELVEKETLKSSEIVKQKVEELSEQMKKMIQEIQKTEAGKKMTEAGEEALKQARKAAEQMEKVAEKVGDTEVYKQVSTSMKTVKDEIDSIADVRMYSRPDTLTKRTDGFDLDKDRVVEANDDATDVTLHKDSKWYTGWKNFSESNTYYHKLLDWKIKYEESDNIAVRMMRGVTEKIGSVFSGQNEVSEVLTEIHKIDANFDKQEWLRFCETKIIPNILEAFIRFDIEVLQSWCHERAFTQLSTVVKEYQKMHWSTKDSRIIDINKVEMATGKMMEQGPVLIISFQVYMINVTKNAEGKVVEGDPDNPKRINHIWVLCRDVEEYNPATAWKLLEVHMQETPLAL >CRE29457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:235714:237034:1 gene:WBGene00064280 transcript:CRE29457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chn-1 description:CRE-CHN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LV38] MATAAEQHNTNGKKCYMNKRYDDAVEHYSKAIKVNPLPKYYQNRAMCYYQLNNLKMTEEDCKRALELSPNEVKPLYFLGSVFMKSKKFNEAINCLSKALYHNAVITNAADIENALKRARHQKYEEEESKRIIQDVEFHTYLESLIDKDRQENADNPEGLQRADMAKKRLTEITTATQEKRQNREIPEMLCGKITLELMKEPVIVPSGITYDREEIVQHLRRIGHFDPVTRKPLTESEIIPNYALKEVIEKFLDDNPWAKYEPGAMD >CRE29667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:234377:235524:-1 gene:WBGene00064281 transcript:CRE29667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29667 MVQTRKSFGNPNPTTLDRNVRQKRRSLEISTSLTYQKVYPDLIETTSYATTIQTTEQQDDDDVYETENDDSFASSQCSDRSYLSDNDTWKYGLAVIGVILAIVFGVWITGIGSNGKQSSVAATSYQNYIDSIRPVLDSPKFSSISKDNRVLLKLIGRKVFLEPENLAPLVVLVGGKTAKEFCEAVNQVVQKAKSETPAGTGNKSIRVESDTNRAELHENLREILGPSLSSQSSPRSAVVLDVDLLKWDAVLVLHAFADHENYPVPKAVLFLTVSDDSSIDTTEESCDEKMVRFLTSRWIENGGSSDNIPPIIARISYFICV >CRE29666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:231827:234176:-1 gene:WBGene00064282 transcript:CRE29666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29666 MPRHQIKRDNRAAVEEEIEELEERMATYDETVLEDDENVGFNFRKILNFPVPNKINELEAIDDDAFSARKTAFFTERPIGRQEESTSEVQSSDDEDEEASSESGTEEEKRDKYDWKFWECFWFCFRQLFFSRIWIIILQFIAANYAGERFRTDAFNLADRIIEPGQSLLGDVVVRRGLLGLRRWDAQQFLFIADNHYIFEHSLAFFPGYPEVINIFRLGIQQYTLSTVGWSFPSWVLTGILSFGINMFFFHAAGMALFMVVTMITRNVKQGLLAVTVFAYNPASIFFTSAYSESMFFTLTITGFNFMLYSIRSSSYSQKMFGVMTGTFIFGLSLVVRSNGMLNAFFVAWYWCAALLWEPEKPVPDCHLLIESLAGTKNERYRREGQTRLRAFEKKRKQPRKVFRWTAPEHSRCTLVIFTILLGLFVLFIFFGPYVFMANSVAEEFCEADPHQKELVANVKRHIRMSPKIITIVDAWEKTTWCKKPRILGLVRQYYTDIQAKYWNVGLFGYWKIRKIPCFLMMLPAAILTVLAIQSAWKDVFEKKRWNNIWILTIRSDHTLHLAVHATVMLLTGIFVINAEVFTRLMFSSSPLLYIFIARYIDELTQGVSQIFIITQKFNKLFQNTPGNRFWHYTSTPGILPFFVFRRVWDDGWRGKALYIYIFGYFILGTMAHAAWLPFT >CRE29664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:225889:228637:-1 gene:WBGene00064283 transcript:CRE29664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29664 MVHFDQHLQQITSYNRSLKWLFLHSRPSQKTTRPLKTRPLTDDQLFQWLLDVSRCEILLLNLYTATLENREDEGSKRQQKIRTLLNQLADFFEHGFVKMSDTQKTNFVKWVRNLSETVEKIDLKNTDESIETVQQIIRRVKQVGDLLGLSINMTLKECLTTLDSELRALLSVLSLSDSIVPDVYSKMESTYLWPLISQLIPRIQQNLVSTSNTDVVRQIFTKLSISCWILKLKLSYFSDKDNVANRIANTYSYSLEKHLKTVLQSVPQHIFGIMYRVIMPGLGKTFEPYIEKTEVWGFSSSFSVFIVLFQLRDIAEFVTNSRLVETTSLLANTSMGISRMMLTRVGTIEINPKELLEEGMIRQLYKEIKKMMSGPSAASSIENLIKTCENIETMRASFSYICDYMNLDGERIWSVAMDDYFSRISEERAFAKSSGDLEQNDIADLFIRITNPKASRYSEGSMSWKDLKMSKTLLSFDVFDRIEKIVPFYILTSIETNVTVELEKILIDYVSTARKLGVTFNLQSSVSHENAYQFFAGPNYERLVKAIQPQAVALATVLAQIGQLVMLLKMICNSKQLANRHKEDSIQRDLIEINKSMARDPTNLPSEMDTILKLMMQYSLYNPERMIFRLKDEPSPLFMIALVQCVLPKIGDPYFVCPAQLEVGIRLVLRQSRLLPYFLPIIQEQLPQSTRPKKRFSDVDRFLRQLILNL >CRE29456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:228941:230373:1 gene:WBGene00064284 transcript:CRE29456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29456 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LV34] MPSNNDSPDQSDRKKKGAKDRKASAEDLYSLKSCRSSMTYDNTLIDEIQARIGYNVLMKLFECGRGGEKTLTSVVQAAEITTLLDCLKLVFLAQPSCIEIDGPINICGDTHGQFSDVLRLFDKSGYPHRANYLFLGDYVDRGRHGLENILMLFCYKLIFPNHFFLLRGNHECPSINKVYGFYEECSKRYEKGGIKVWEEFQDTFSTMPLTAIVGQRILCMHGGISPRVRTILNTLDKLRLFQRPNYQPSHDQIEIDILWSDPSNTAKGWSPNQRGVSYTFSPDALKKILNPLSIDLVVRAHQVVQDGYEFFAQRRLVTVFSAPFYCGQFDNAAAVMSISENLVCSFQILRPKKYFPKRRIQLSST >CRE29455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:223623:225796:1 gene:WBGene00064285 transcript:CRE29455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29455 MDFDPHSIKWKCCCCSLPSGMSLLASVEFAFCAITGSITMYNIIHQKPEDLTAFEVCLVGLMLFFGLTCIMLIIGVQKHAHQLIYPSLLARGLTTLFLAVFGVSTVFASSKSPHTAIEHHQWRHNEGKYAHDEPNMALRLVLFVFAMLFLTVIIFYCSYLVVRLIHYEQAYARLKERRSSLIQAGMIDPDFPSPNNYSASRRASSA >CRE29663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:218562:219632:-1 gene:WBGene00064286 transcript:CRE29663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29663 MTKTLVTVASVGSGVAVIACLFTVGYIFNDINSFYDEVMDTMTEFKFNENQAWNSMVKPSDMFGRIKREVNKRQAQCNCGAQSNGCPAGPPGPPGQPGAQGESGHPGVAGKPGANGVTIGIVTGPGPCITCPAGAPGPAGSPGAPGAPGPAGAPGQDSYGGGPGPAGPAGPAGDAGAPGQPGAPGAPGNAGRSGQRSRGLPGPSGAPGPQGPAGGPGQPGQSGGAGAPGQAGAPGAPGQPGQAGAPGTPGAPGNSGSPGGDAAYCPCPARSVAVRKHRKVFRKRVAKVSV >CRE26950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:73088:74067:1 gene:WBGene00064287 transcript:CRE26950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-141 description:CRE-COL-141 protein [Source:UniProtKB/TrEMBL;Acc:E3LPF8] MSTSTFVTVASAVSGLAVLGCLFTVGMIFNDINSFYDEKIEELNEFKGLEQHAWKSMIPSTRPVNAESFLLGRNKRQAQCNCGAQSHGCPAGPPGPPGHPGAQGEPGLPGIAGQPGSGARVNPATGRDSFCITCPAGPPGPAGAPGAPGAPGNSGAPGQDSFGGGQGPAGPPGPAGDAGAPGNAGAPGAPGNPGRGGQRSRGLPGPSGAPGPQGPAGRPGQPGQSGGSGAPGQAGAPGAPGQPGQAGAPGTPGAPGNSGAPGGDAAYCPCPARSAAMAARKRVVKVARSRAAVAKRRVVKRVRVQKA >CRE29454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:216349:217376:1 gene:WBGene00064288 transcript:CRE29454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29454 MTKTLVTVASVGSGVAVIACLFTVGYIFNDINSFYDEVMDTMTEFKFNENQAWNSMVKPSDMFGRIKREVNKRQAQCNCGAQSNGCPAGPPGPPGQPGAQGESGHPGVAGKPRPDLRGLQXXXXGVMESTSGIVTGPGPCITCPAGAPGPAGSPGAPGAPGPAGAPGQDSYGGGPGPAGPAGPAGDAGAPGQPGAPGAPGNAGRSGQRSRGLPGPSGAPGPQGPAGGPGQPGQSGGAGAPGQAGAPGAPGQPGQAGAPGTPGAPGNSGSPGGDAAYCPCPARSVAVRKHRKVFRKRVAKVSV >CRE29661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:211356:215320:-1 gene:WBGene00064289 transcript:CRE29661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29661 MLHVDKVDSHQAEKIHITADGLEEWRCYTFVLRLHYDRGTYASFCVIQSDSLGIIDFARDAPVRGMYHDVDPMGLFLSLEPTQSVRPGHFFKTYDPNTFYYTLQLLNDSEEKIDEINLKKHWKHPLVAQINVAENGLYGALFKPPGPGPFPCVVFIPGSNGMLESGYAAVLASEGFVTFTFAYYAYKKELPKSIPEVDIEFFGRPIEFVQNLPYCNGKIGLIGQSFGGLTANYLSTKYHQVSAVVALNCSAAFCRDSAVMRENGKPMDTQLLDEGMSDFVNGVLVQRPAFKNLYSKLTPTTSIPWWRASKDTAYRIVQCYDDMLVDGVPSRDNICQNLRDTGHQVESELVPGGHFLYFPYFPHHGIIFNAQINLMWGFGGECYQHSKSQETTWTKNIEFFRKHLGGGAVIPDWDREKKVVLPERKEKKSSLSFFDSGFRTPNFEILVSDVGF >CRE29453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:209764:211035:1 gene:WBGene00064290 transcript:CRE29453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29453 MAAELIQLNPGQQVERWSIEKKLGEGGFGAVYRVFDSTGKYAMKVEGCNEQIQVLKLEVSVLNELSKRGNRHFCKIEDKGRFGNFNYVVMTLVGKSLQDLNKAGPGGHMTMGCSIGIGIQALESLEDLHNIGYLHRDVKPGNYTIGRPELNEIRKVYILDFGMCRKFTGNDGTIRKPRQAAGFRGTVKYAPISCHLQRELCRKDDLETWMYMQVELSYGNLPWGHLSDMNQVGQAKQGIRTNLGQLFPPPCPQQFQDIMRMVDQMKYYDAPNYQALYGMMRSAYAAVGSSESAPYDWENGGPAAYLLH >CRE29452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:206718:209584:1 gene:WBGene00064291 transcript:CRE29452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ech-1.2 MLSRLVRQSSSTLRTNISFRLFSQSSPAMASTVRVEKQGDVAVVKIDLPNTKENVLNKALSSDMKATFEKLQADESVKSIVVMSGKPNSFVAGADIQMLKAEKSAAGIEALSRDGQEQFFRIEKSQKPVVAAIMGSCMGGGLELALACHYRIAVNDKKTQLAVPEVMLGLLPGAGGTQRLPKLTTVQNVLDLTLTGKKIKADKAKKIGIVDHVIQPLGDGLGPAAENTHKYLEEVAVKTAKDLADGKLKVNRDKGFVHKATQMVMTNSLFLDNVVLKMAKDKLMKLTAGNYPAPLKILEVVRTAYVDPKNGYEAEAKAFGELSQTFQSKALIGLFDGSTDAKKNKYGQGLPVKEIAVVGAGLMGAGIANVTIDKGVRTVLLDANPAGVERGQNQILTHLNGQMKRRKINKLEKERIYNHLVPTIDYAAMKNADVVIEAVFEDLQLKHKVIKQIESVVGPNTIIASNTSALPIKDIAAASSRPDKVIGMHYFSPVEKMQLLEIITHEGTSKETLATAAQLGLKQGKLVVVVKDCPGFFVVRCLGPMMSEVVRLLQEGVSPTELDKLTTKFGFPVGAATLADEVGLDVAEHVAQFLGKALGPRVRGGSADLLSELVNAGYKGRKTGKGIFEYGDGKKGSKKINDEATKLLQKYKLTPNATVSSPEDRQLRLVSRFVNEALLCLEEGVLASPSDGDIASVFGIGFPPFWGGPFRFVDLYGAEKLVSSMEKFAGAYEAAQFAPCQLLKDHAKSGKKFYN >CRE29451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:204184:204789:1 gene:WBGene00064292 transcript:CRE29451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-23 MTTRLARLWQPGNPQRRVFLPDFWLAVVESPDVGRNKLPRNCVKFEVDPRMSRHDIREYLTKIYDLPVRDVRTEVQMGDITWNSKHDHQYKKAMWKDDDKKFAYVFMSKGFTFSYPKMFDSLEEDLELIKAKQQQEDLKDKLNEGYANRNRRVGRFLAV >CRE29450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:201599:203935:1 gene:WBGene00064293 transcript:CRE29450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fars-1 description:CRE-FARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LV24] MSDPNRLNQILQDQPYIEGFAQPSSVDFSTRASINPNDLKGREHLERWFHHLSSFEMTADTSVRSTENLPQQILDFLGKSNEFNSIQLAQQWDIDHQKLIGAIKSLLANEGVLATKDVTEKRLELTNEGVQFANEGSPEFLVFEFIGADGAAQADIQKQPFGKIGMAKAMQFKWVSVDKGRVVRQTSDVADTTRKQLESLRIGGDVDENGKKELKKRKLISEVNIKGLLVSKGDSFTTSLAKQEADLTPEMIASGSWKDKQFKKYNFESLGVVPSSGHLHPLMKVRSEFRQIFFSMGFSEMATNRYVESSFWNFDALFQPQQHPARDAHDTFFVSDPAISTTFPQDYLERVKTVHSKGGYGSAGYNYDWKIEEAQKNVLRTHTTAVSARQLYQLAQEGFRPSKLFSIDRVFRNETLDATHLAEFHQVEGVIAEKNLSLAHLIGVFTEFFKKLGITNLRFKPTYNPYTEPSMEIFAYHQGLAKWVEIGNSGMFRPEMLLPMGLPADVNVAGYGLSLERPTMIKYGINNIRDLFGSKIDLEVVYNTPICRLDK >CRE29659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:196912:200424:-1 gene:WBGene00064294 transcript:CRE29659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29659 MHHLSFKTVQFYRISIDRLLDSHLLPQQNRLKCCKPLSPVEKKGVLVPHHGLETHAVLVQRAVSVALEEAEVDQDPADEVVVTDEMNGAVVEEEIIREVVRRHRPPMVRRTARHPTVTADDDDQEAPVEIGWDRNYRRNNHSNNDRYSEWNPGTPCNKLAIMNMPNDVDNTKITLSLGNYGYLPQDVRTMTKFDKVTNKIRTFAFVEFSSQETAEKWMADYQGYLTLDDGRTLTVEYAKGDPAAGSGNKSDDWICAHCSMNNFVKRHTCFKCEISREQSMELERVGAHMVGIIPCDTLLMRGLPDGITNNLIFDSLGALVCLSSISMIKLSDSKRFAYIQMKSTDEAKMLLNLTFKTAIKIKDKEVQISWCRDSMSKLIQQQMTMLTTNPGKNSVAGNAAQGNMTGAEIAAAAMSKAHAVRQASHQVGQIMTGLPVPAASMIPPNFNVPPPNMSMPPPMQPAQPEHQNGVIGTVQTPKGLLHKYLPPNPLTFVHDPNVGYYIDPITKFCYDQATGYYFNNATAQWCTWDLTYQTYFPVETPAPTAAESEAPEEEKRKKSEDGVPKTAQDLVKDMAKWAKKQEKDKKKVQISLKGKDTKGIELKNVFSQEKQRKLAPSVLEEDEEEEQEVKEEVRSRASEEPSTSSSFNSTPLAPKKPSLREVQEAMERALYDEAKKTCILCKRAFSDVEILRKHVEKSDLHRKNLEAKRAEWGRETAAKLKEEEEEATPDLGKIVYRDRAKERRRQFGLDSTGYAFDVMGGQPGSSSSGRSEDAIRRESEEASKRPLDDSNIGNRLLKSMGWKEGQGVGKHGQGIVNPIQAERFVQGAGLGAAGSKMRHGAEATHKEKTRQALYSRYHDT >CRE29448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:192823:193504:1 gene:WBGene00064295 transcript:CRE29448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-17 description:CRE-RPS-17 protein [Source:UniProtKB/TrEMBL;Acc:E3LV20] MSRVRTKTVKKASRVLIEKYYTRMTNDFHNNKRVCDEVAIIGSKPLRNKIAGYITHLMRRIERGPVRGISIKLQEEERERRDNYMPEISTVDPSQLVSIKVDSDTNDMLKAAGFNLPNVTVEDQGKNKGK >CRE29447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:191250:192384:1 gene:WBGene00064296 transcript:CRE29447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-56 description:CRE-COL-56 protein [Source:UniProtKB/TrEMBL;Acc:E3LV19] MKQGSWLAIRVFFAVIVTVLVFIFCAFFYFIVQIQDIQKISEEAIRDFENYEKTTWNDIQILMISLNSREKRKSRKKTRRQTDYLVPFPSPYAASPSIKPPDCPSGPPGLPGKPGINGEPGIDGLPGRDYNYMELITRDCILCPVGPPGEPGIEGSEGIQGPPGLIGQPGENGESGIMGAVGDAGREGSPGEQGEDGVMGPPGLIVMKMLNTRGPKGENGSRGLTGQPGMPGRIVKALPGSTGVKGKPGMNGLTGKPGATGKVGMPGEPGLDASYCPCPNRSYDMQKMVSPAPEKKYSYDYYEFEATKAKRNKKSPWKLRRIRYTR >CRE29445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:188654:189268:1 gene:WBGene00064297 transcript:CRE29445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29445 MNSSSSFPLFALPQLMQGLCFKQMDGFDLICWTLGNKIATEAVSLHKYSTPDLHVTITWDSGRFNSQFYHFNENGKVVGMWNIQSKNKKRPRNMKHIGADSVVIHTYPSSSITDIHSSNPISTTTALMDHFKKIYRKVNYSIRFEASTWENMPCTMETSQ >CRE29658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:186580:187768:-1 gene:WBGene00064298 transcript:CRE29658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29658 MNSSSSFPLFALPHLMQGLCFKQMDGFDLICWTLGNKIATEAVSLHKYSTPDLHVTITWDSGRFNSQFYHFNENGKVVGMWNIQSKNKKRPRNMKHIGADSVVIHTYPSSSITDIHSSNPISTTTALMDHFKKIYRKVNYSIRFEGVDLGEHALYKWKHLSEASKIELIDSNVYPHHIQEFQSMLRPHQELLIERGSVELENCPKVKKITINASKYFTANKFNNYDCEQLEVRGYTINHNDYVEFLTEWMGGSHKNLKTFTLFDADKGWLIYRLKPFKYEGEVKTFHESDSGLIDCSEGYYIMRNDGTLGTLVFNENGVMQFVVWL >CRE29444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:184307:186298:1 gene:WBGene00064299 transcript:CRE29444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29444 MASEEKPPSLKIDMTVPIRNKWHPVTVLGSGAFGKVMHVVNINDGSDAAIKLEKSGEGKDSILKIEVEVMRAMAGVKCAIQLLDNGSEPDYRFVVMTLCGMDLQKVYNGLKGKFSDSTILRLAIRSLLAVKALHEKCYIHRDLKPCNVTLDYNEESPIIFLIDFGMGRQFGMFHEDFSKKFVIRHPRDSCRFRGTYRYCSPRMHLRREQGRVDDLWAWLYMIVELRVELPWADVVNPDRIEVLKQDRFDAALNSNPLTKALDPIHQHLKTLDYACRPNYWMMYEHLAKMMVDIKAKHTDPMDYDDLRKKKSEMDPIKKKYMKKPRSMEKLMDEKSTLTMFEEAFRPNVKDVPGGDQFIVKALLKLPWGSVGADQVNAQKEEGVGDTEDEKKKEKDNESNEDKKKELEKKKEEEKKIEVRSKSKKEKEKKTERSKEFREPKDSKDQKKRHNTHTAREPHTHGKGSDVKSKEIKDTEQRTNRSSDKLKKTKTTGHHRKKEKGKKEKPESSTNSKKRVGSHGLLPVTPTATVPRKKG >CRE29657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:170293:183554:-1 gene:WBGene00064300 transcript:CRE29657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29657 MTFLALISLITSPLTFDLQINGPSSNPAPIILTTPSKNLSLSVHDGHIYADSIPVSAITENETTSFSLKCISHISLHFQSPHANSLVYSPTLCSAPNIGLTINDTALKGCMFDITQSNSIMTQRICGIDSKNAAKNPEKGPIYVAEPVELNEGGSMPLQWKNVYFMPSSQEVNVSHTDIFFTIVESAKHGEIRIDDVVTSSFTYAQLLARRVIYKHDGTESREDRLSFQIEFAVKHKELASAESKTYTLRIKINGVNDAPELVKRSKDEKLLIASKGSRVITNEILLLTDADDRPEKVRVQVVESNGVHIEVHGQKVNEFSHKQLIEEMVSLVDEGTDLGSGRIRLIARDGEARSGVVILETQSTKVDVKLVHNTGLKLLHHSNALITNRNLSFEASIKDMIVAYRIVDMPDTGVVECLQENDDKFSMCTTFTQSQVNEEQVRFRHTSQSSSPSDMFSFQVEAGEFASMVHVFRIDFIPMNIKVFTREPFVLNGTEIMWISRPNLFSWTFPKSFSAHNLVYHIDEPPKLGTLSRKLSAGKQRRIGVSSNFTQQDIDEGSISYKLHYQQYSIVNDFFVFRVVSPAVSSPLLRFDIVYVPKESSIRLVNKTIVVKEGEIASITSDFLSLSTPDDSNFIFRVVSPTLHGQIRLQIGEILKKDSNFTSDDISKHRLTYSHSGDETRSDECRLLAISRQNPMRQVPLTLSFSIILLNDNAPKLAQNVTVYMVERGERVLHPFLLPWIDEDVDASALLFDFTQNKDAAILSTVSPYLPLTSFTQHDIDEGKVMIRHLGHHGEFYIGYTVSDGAHRVEGKMHVLAAKPDVWIEKSEIVMICGAHFPQTIRLSSNNLTVLTNLDVRLEDIVYSSNTSRFSVGSQRKSARGFSQREIENESVWYSVPNENAVEKLRVAVADRNLEVELRVRCENSRDSFTYQQHRMLRVPVGGAVLIDKTLLEIAMIDAEPPVIYQVVKHPNFGYLSLDYEKSYSSRVSRFTDSDVSSGSVQYVHNNADHSNDSIDFQVLIISRNLSFHLKLDIDVFQRNITISTSLFSVPAGGRAPITTNFLKVSTSENEEAAITVVSPPTSGWVTTDSVHNITSISSIGTFTTRMIENGQVWFISDGSQGNDSIGVQACVQDQCTGTHLMQITVTRINEKGPVMIRNEVLRVTGNKALITNSHLDVEDTDTPTNSITYIIAQPSNGRVVKTGHIEEVISNFTQFDIDQSNVAFLATNHSNSFGGFSFHVTDGKHKIGPEWFSTELSSSSNILEANGRLVASPSSATVIGTELLRANMPGTRPDEIVFTILKPARFGEVLVDGIKNSVFTQLQINRRQVVYSAVGYSDQNEWSRRDSFSFRVHKNNSAQFLNTNEKFRITTTYAAISDKVLSKYITTRPLIVSRGGSACFNQSHLDVSALADAVDKEVSLGIVDHRKEQFCVFSD >CRE29443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:168149:168790:1 gene:WBGene00064301 transcript:CRE29443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29443 MQNSKTTSSESSERHHNKKPDAFKLELSTTVFVVPTKGTLHIEVKNIAKKVQEVLITLESAIFTIQNFQKEVYPTQQVSMILNPMETKSFTISVRDHFPEPFTFEKNQLKNPEGELTISHCDTTKKTGKVELDVGENGLMILGVEKNEKLRWGMFSHNIMMDNATRELKEQKERYLRERKEFEDRKSGKSSKESGSEKEKDVFECCGISCVIA >CRE29442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:164313:165062:1 gene:WBGene00064303 transcript:CRE29442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-15 description:CRE-SPP-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LV11] MKPINRFILALSFILFVISAVESSKKLHTETSKPLCGLCVNIVKQLDQVLEHGGDIEAAVDKFCKEDVPSFMVDMCEKVIEKNLEYIIEKLKDHEEAGKICTDIFLCRTPKQYYFLETEK >CRE29441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:162855:164294:1 gene:WBGene00064304 transcript:CRE29441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29441 MEEKFIGEKNVDLVFGDHKVPCIFKKYVKPEKGDTGSFDQLFHSSEMKRPFHRQVILTYWNYELFDAVLDLADYFSEVFATPITELITSRTMSVWKFRRAIQWLISRQSSIKRIDYLHNELSDADISMFFGNCNVTERLTICMKDNDRIRHHRYFDLDTLKISMASWVTIDYLLDMDCIFFRISGANMTSFDCNRFIKSWLNGTNHRLEIISMNCGQILERDDVLDGLETRHRSGYLVERFKNHKVGGSTFEFCIRGGFDVQREDGKLATVDTSMMDRGLFLFVVWTEDDISEGFKRQESEGTDGDVGCTLL >CRE29440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:158535:161209:1 gene:WBGene00064305 transcript:CRE29440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29440 MTETSIELSDLPPEILHLLVEKCDFVTRRRLRASSTLLYQIVESTKLYIPSVKIKELYEGVLVKLFKDDYTLQFEKAETSGTRMESDFRKEVLIENSDPMDEGIDWFRQMCHQKNVTIGKLQIESIFNSKKLTEKLDEVLGKSEIPLKIKSILCLGKKSDDSMWKVMEHCDKSLLKEMKEGSVFEDVIIDISNAFGSFGNHFELFGKQDEVVKNLEKIKIECLCNVSDEDVLSLNASVISLNSRNFTVDLVYKLIEKFTNRREDGSAFCIENWSKRNLDLEMIPPGFEKTDSTDEYKEYRNQLINTNHPTVYLRVSEDRVRLQIGDTKKVKFWNKDGRMYSGENYYDSNYYKFPTLRRMAFGASNLFKMVSKRKTLFAFIFRPAKLENRILTMEITTPFKLFELKPKARDRILLLMDPIHLYVSHFLSI >CRE29438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:154475:155957:1 gene:WBGene00064306 transcript:CRE29438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29438 MTKKPIELSDLPPEMMRLLVEKCDFLTRRRLRASSSLMYQVVNSTKLYIPLVKMTLWNGEVVVELRVDSNQDDYILHFEKNETGGTRILSDFREDVLIENSDPVDEAVDWFRQMCLQKNVTIGLFYLETYADSKKLTEKLGGVLGKSEIRLKIKSIHCVGAKSIDLMWKVMDCCDKSLLKKMKVNTAIEDNIFELFGKQDEIVKNLEKIEIECLCNVSDEDVLSLNASVISLKSENFTVDLVNKLIEKFTNRREDGSAFCIKNSQKRNLNLEMIPPGFKETNSTNEYKEYRNQHIHTNHPTVYLRVSDDRVRLQIGDTKIANFWIEYESDSETSDDSDSTPDWDMGYDAYEDDFDQNYDDYENAFDQDYDYFDDDFFWD >CRE29437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:152103:154085:1 gene:WBGene00064307 transcript:CRE29437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29437 MTKKSIRLSDLPPEMLRLLVEKCDFITRRRLRASSSLMYQLVNSTKLYIPIVKMTLWNEKTVVVELTFEPKFGGYHTLLFEKNQKGETRMESDEREPVVIGNADPMDAAIDWFRQMCLQKNVTIGQLHLGNVNKLTEKLFGVLEKSEFPLKIKSIYCTRIKSADLMWKVMDYCDKSLLKEMKVRTWNPNKIFELFGKQDEIVKNFKKIEIECFCNVSDEDVLSLNASIIFLKFENFTDDLVYKLIEKFTNRREDGSAFCIENSSKRKLNLKIIPPGFKIKKTTIKNGCKEFRNQLIDTSHPTVYLSVSEDRVLLQIGYTKKVNLWKKAEYNHDTSDEPDSSSDSVDPDDSYEESFDQDFDEDDEDDDYDDDFY >CRE29436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:150403:151832:1 gene:WBGene00064308 transcript:CRE29436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29436 MTKKPIELSDLPPETLQLLVEKCNFVTRFDIFPILSFFTSTKISRRRLRASSSLMYQVVNSTKLYIPSVRMTLWNKAVIVELTPEKSKDDHIVLFMKNHKGETRMESDFRKEVLVENSDPMDAAIDWFRQMCLQKNVTIGQFHLGNVKKLTEKLEEVLGKSEIRLKIRSILCSETESEDLMWKVMDYCDKSLLKEMKVETANTDAVFELFGTQDEIVKNLEKIEIDSLCNVSNEDVLSLNASVISLKSENFTDDLVYKLIEKFTNRREDGSAFCIENSQKENLDLEMIPPGFEETNSTNEYKEYRNQLIDTNHPTVYLRVSDDRVLLHIGETKKAKYWNEEESDSETSDDSDSSPGWESDYNAYDHGVYIDVGNDEYASDPEFHGYHPNHDYSWD >CRE29655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:144405:148735:-1 gene:WBGene00064309 transcript:CRE29655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cof-2 description:CRE-COF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LV04] MDEKLHYCNLAITLLSVLAFSHIHYRIYILESECKSLSDTTNFRSKRDVPVVSRGKNASDTLWLTSLSSVKIGELMHKCTEIHEYCSEQDDVRGKPGPVGPVGPPGKLGPPGPQGRRGLMGVPGHPGPVGPPGNIGKDADCSSCPVRDELLMEREFKCPTIENLECPNKDAIPTTPWPSITHQPMSGLVQQILTNYSEVEGCVKICLKNYTLDEEVEETITTPVAYIQGVTAHCRLQNVGKPVFHSHSTTYYGSWMRDAYPPTGDDARKRYVMNHFQGHELIEFRNEAEMRREHVGKVHRLPYIFDGTNHVIFNASLFYQRAGYPIIAKYEFNSKQYSQFEIPGAAYRGDQVTLNCYFQNFSHKFLILKSFQYLYNNSMNYFDLAIDENALWVMFHYKEEHFLSVAKVDINNLTIYETFNLTMVNHTDVANGIVVCGTIYLVESAAEQSTYISSAYDFYRNTYSQPLIKWINLYKNANMISYNAYDKRIYIYDHGYMLSVPPLLHWLAK >CRE29654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:142232:142624:-1 gene:WBGene00064310 transcript:CRE29654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cebp-2 MSGNRKRNTSEPRDDDEDDYSTKRKRNNEAVNRTRQKKRQEENDTAEKVDELKKENEALERKVEQLQKELSFLKEMFMAYAKNDGNDGPPPPPAAAV >CRE29653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:140192:142029:-1 gene:WBGene00064311 transcript:CRE29653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpd-2 description:CRE-LPD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LV02] MVETDQERFSDLVAELENASAEAVKAISKVVDRMDETKDDKEGLSLLKLKNYEMTAYLGELTVLMSKMMKGESIAADPSVKKALKHRVFIEKMKPIEDKMKPQIEKLLSKSNTQSNGVSKGNLRVRLDNMDVDGDEEEEEDEDDESGEKGAKEVKKYVAPRIRAVRYEEEDETPNKQQEKAKRRAMQSSLIMELKNQYSDAPEEIREISEKKYQYDRQRERYEEENFTRIRQNKEQKRRSEQLGRAETLDDLLSFGDYMMRGEDGRALTEGSNRKRAASSSAAGSSKRHKKSQKERKKAAKGKKEGRKRAIRRRQ >CRE29652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:137241:139982:-1 gene:WBGene00064312 transcript:CRE29652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-611 MGGGKYETQMCVIIVEDIFGKIVSKVLETLLNESCQLATLVYKLKGQIKTVLIKKSLSTLINFGFVTFSLDTNNRTTYVADSEHIQYMISAPRACLIAKTLYGPSAESICIELISQGRLTISETIRRIKATDETAEFSNIKHSFEELVKSNFLIRVPAVESEIHGCPQFITSFDPFALPTAIMEKKEEKVDESTDTASTSRKRKADSTPSDADAGQYWKINWSRFNGYLRDELIIDYLIGGGSTATMTHMNHKKGEEEDGDRTQYITQNVAHLMFKMNEVRAKPLSMDSITVSITDLQRLVRENELNLSKPDIEFACQILVDESDGIVRKVGESNGGLYTVDIRHAIRQICRHHCESLIREQFEGRAIRVMRLLENRHFLDEEQVEKLSMMSGKEAREMLYALVEEGYVFNKPVGRSNDFQPARTFYLYYVDLPRTVRGLVEYTCKLVRNLVIRQRHERTENKQLLDKDANVQPIIENIRASDQLDDASKLAQIAEVEEMYLPGPDRAQLARFRKAQATLLAAQDQSIRVLLAFKLFLASGQS >CRE29650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:127924:132080:-1 gene:WBGene00064313 transcript:CRE29650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29650 MPSTSKLIILAIVALIGVVTSQEQQACAVNQLFNGQICTCAPGYFSSANDDSKARCDDECEEVYFSVFTTGKCVDNIFGKVPKDQQPACNLRCGIRIRLWATIAAFVVIAAALVSLVLALPMCIASCSACLNAKKANKNAKRVALEAQNAPSKDQQVATMGYNPYAYWPYYGRA >CRE29435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:124791:126754:1 gene:WBGene00064314 transcript:CRE29435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29435 MSSKDKKTKKHHHHHHHGKGSVLPPKKSKKRDKTKKGAKKGKSGTGLNKTASNASLRAFDSKHKLKKHSSNDDVSTDGTPFLPTITVSDSAVNLFSEAPSAPTKFTTTARALTPMSHSPTPTAVPIMMPPVLTTPPTVQTVAAPVKWKAEDVALGYIEKMDAAMARLEYIEACASTKPLVEKDCLLWKKNLHKNQTDAYPCLDSTIVKIPNQPDDYVNMSTITVPHCRYPILMGQMPKRGFEEEFWRAVFNESVVMMYVLMGTEDEKNDFFPTTPGAYVYYGSMFVNIRLVDKMDEERTRYTIEALPNGLSNSNMINVYVHTGWEPFGVPVKYANTTRSVVDVMNFVKTSNGSEKMMVVSKNGCGRAGYFLSLGASFCCLNDGSEPRMGEIVKALRVQRPNSVDSMKQYASLYLCLLYYIKVNIINFKIKFKEFIFQKKITIPDDKKQKVEDVTKGLEALIREDLSIIY >CRE29434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:118423:123359:1 gene:WBGene00064315 transcript:CRE29434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29434 MNICIFVSLLLASSINSLFLKDGRSTLKQLLAKENNGTLMEMLSEHFKNGRANLRNILFSEKYRLTDDNFCLDSLINIGKSALKSLTNYSSESDYEPNWAVRLLDALPAKPPGLSRKGPFFFIGDFETCKELWSVEMRQVHYCTVRGEVKIRNEISPVTTGICAPSNCHENHIIELLKLAKQHFFNETIDVKSVYCSDGSDEIWSAKKPFVLFVFFFILALLAIGTFTEKMNREGLLKKSKTKMRRMIHKKSYRVSNNNSTTGSISEDRSSCGTETEDENESVVNSSINRTNAPSIALTYRNLSSIHLSNSLLINILSSFSIRSSLTYLFRNSTRDVKLVNLFRVCSSFWVIFSHTCLFSLNFTDTIRVVAKKGETVVGWRNFMLNSSLAVDTFLFLSACVATFSIRKKLMFRGADSMFSILKCLSLLIHRCLRLLPALSLYLIFMSLVYNHLADGPFWNTNGMFGTECTPSSLWPHFSFLANFFPSMCVPWLWYISLDFQLYLTLPIIVFFVSRFRFGWIFVVFLCMAALGYRGFMFFYQSLPANMFVEMLSGNFEKAELSFKLLYTSPISRCPPFFIGILTGWYICQIRYLGTLPTVTTIGLKILAFFFLAFALFGPFFNWKYLIYPHAVMNRTIWAIGLAVLVVLAQNGYNFGIFKVFGGQALVVLSRLSFGVYLSHEPILLYYLNSLRQPMSPSSFGYFMFITISIYSISLVCAFFIAIAIEVPLLTLERKLFMTTRRMNEDETKDRMERHVSFDDSEKVEKYVVMNENEELEVDDLNADEKTRQWIETGSLCAQRSDLDVIEKERRVRFKDPHLNTSTSC >CRE29429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:109124:111558:1 gene:WBGene00064316 transcript:CRE29429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-37 description:CRE-TWK-37 protein [Source:UniProtKB/TrEMBL;Acc:E3LUZ2] MAAYNRRLESRSPDPLRSPNPDLPLLRSNSVSSNDGTHRHTDRIINKFMERKMEKVPPETHHLVRKQSVFTFVDHAKDKSKEKPKPTNFRKTLKKIRKFNTIIAFVFLILYIGGGAALFWQIESKSDMNFEKYKEELIDKSKKLYKSLAPSKCAPLQPSDNEENNKCLKKIYDLLMDSNPSKHIRTYLDGLAYVLTCITTIGYGQLVCYTIAGKMVTVIYVIIGIALTIYVLRHNGKIALKVCNWVLGVVARCIRICGNNKLKFRMTVTKSFILLFVFWILGALGIASYEKFVFWDAIYFSFSTFSTVGFGDLVPTSHVSGAIIFTLHFIDLSLLSMVFVLVHQTMENHYMKVLELLDEGYQKYTTELNTGTTTNLQSPGGSKRNLDMITTAREKS >CRE29649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:91262:95111:-1 gene:WBGene00064317 transcript:CRE29649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chdp-1 MSDEIKKVDEIVAEEKAPEVPTSEPPVDTPAAPVAAEEAPKVAEEGVAAADATAAPAEGEAAATAPEEKKKSGGVARRFTFNKRWGKHRDAEAATPKEPEAPKDPKEDVLGWIAQQIPQAVHKANFQVIDWVQKTAIPEEGDRKTIPGKDQATTRNQFLGFFKDGDVLVKLANALQPGAVEVATAEENADAAAQKEVQKKNIDAFGTWAQQALGTETAPVTSDDLLEKGKAGYSAVFQTLWQLGVGAKEKFDKEGFNVDTIVQLANSVVKSGLLNTILGFFRVRYSSSYHIITIQFFQRSRQSTAAVDEPKDDAAAAAAGEGEAAKEEGATGEVTDTADEPVAVKQETSAPAAVAAN >CRE29648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:86945:91157:-1 gene:WBGene00064319 transcript:CRE29648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29648 MISDSASKDECWKSNRYSVMRYESEDDDEEGLIHEESLENLMKRRASDPLFLDFNTWKKKVGSLIQSENHVLMHSDETLRNAINDAMFLEVASDNLMKKRKMQFELLDECRKEEGSKAKKLYEENMDTLKKDYGWKFNSYSKMLTSAFERATLPFDAPDITRPPPLQLPKMNVPPPTFFNSTVVRPTSASSSTSLVTMALARAAITPSPRVSAPLISSHLLPPPVVKNVIRDFSREDLDEKKKKEEKVEVVKPNVQETKPTAKLPVIRAFQSTSWRIPYHPVPVPPPKVYFAGIPPTPPPSLQRNTAVARRSRFTGGNERSTPSPSSDSSQSSGVVGINVRGLFVGNRQRQSSGSSPAN >CRE29647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:82670:85585:-1 gene:WBGene00064320 transcript:CRE29647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pnk-1 description:CRE-PNK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUY9] MGHTSVSSRRISPRGSPPNLRRTPPKIRKILVIKEKRAAETEDPVMDELLLASKLKRVDSATGCVSPRAPSTDIAPTVPPTSTTTATVPRPTQKAPKTPYVILPACDTFEKFRKTSRVSVDIGGTLIKVVYSSVMDDELPEEKNGQKRKYAYEDGKRVLVNFKKFTDLDRLINFLREVWTDRKAGDVIHCTGGGSYKYSEIIMKELGVRLQRTDEMRSLIYGVNFLLSTNVDESFTYHHDAIGLNKFQYRPIAADLIYPFLLVNIGTGISILKVDSPTSYERVGGSSMGGGTFMGLGSLLTPAQNFDELLEMANKGDHRNVDKLVSDIYGGSYDELKLKADLIAGSMAKCNRFGETPKKQVHKPEDIAKSLLLMVSNNIGQMAYLYGTRYNLKRIYFGGYFIRQDPITMRTLSFAINYWSRGEIEALYLKHEGYLGAMGSFLDEEGKLDD >CRE29646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:77730:82538:-1 gene:WBGene00064321 transcript:CRE29646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-49 description:CRE-SRH-49 protein [Source:UniProtKB/TrEMBL;Acc:E3LUY8] MSSLPTTLLEYYTTNYTKCQQSFSIFSTPEFLSVGAKSQFFVIIPINLFGFYCIICKTPKYMKEFQFHLFHMQFWFLILTIFYTILTTPYHFYPAEVRCSVGLFRDLGISSRYQVYLINLVYGGIVSAVILLFENRHRHLVPSTDIFYNIKGFHRFFLGFFNFFVGSSNLWSVMLQDANQETLKLEYLKILPCPTELYFDSCSSAVERNPNIWNLGLLITSILIPIQVIFFIIHSFMYLRKSQNINSFSKRTKKLQKAFFRAGIAQVASPISVLIIPLALLIYIMGTRQYLPGLINICILFIPSHSVFSTFAIIIFNVPYRNYVKKILGIGQDNSISRVAIVSSF >CRE29645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:74246:76891:-1 gene:WBGene00064322 transcript:CRE29645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-51 description:CRE-SRH-51 protein [Source:UniProtKB/TrEMBL;Acc:E3LUY7] MSFLKPTTLAEYYATNYSKCTETFSVFASQDFLLIGMKLEFLVILPINMFGFYCILFKSTKYMKEFKWHLFHLQFWFLLLSIFYSILTTPIHFFPAQVRCSIGFLRERGVGPLFQVIVVHFVYAGIVSAVVLLFENRHRHLAPTTDFSYRIHKSPRILLGILNFSVGITNTIPVVLQEETQEFLKLKYLEVLPCPMDLYFDVCSFAQSKRITGWSLLAYLTNVLVTVEIAFFITHCFFCLRKSQLVDTFSVRTKRLQVAFFKAAIAQVAAPLVVLFLPFIMLFYLLATSANLQGLINICVLFIPANSAFSTISLLYFNAAYRKFIKGLIQVPALMEISNNRVAVASSV >CRE29644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:71689:74071:-1 gene:WBGene00064323 transcript:CRE29644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-50 description:CRE-SRH-50 protein [Source:UniProtKB/TrEMBL;Acc:E3LUY6] MSSQISLQDYFLTNYTKCSQSFSILSTPEFLSISSKTEFILILPINIFGFYCIVFHSPRYMKEFQWHLFHLQFWFLMLTVFYSLLTTPFQFYPAQVRCSIGLLHHLNISSQYQMCIVHFVYSGIATSVIALFENRHKHLVPSTDIFYRINNFHRILIGILNFSAGLTNSMPVLMQDESQELLKLKYLEVLPCPINLYFDSCSFAVVKKADIWSTLAYSSNLLIAIEIIFLVVHSYMYLRKSQLITTFSARTKQLQKAFFKAAIAQASAPIFVLVVPIFLLAYVYSTGAYLQGLINICILFIPANSVLSTASLLIFNAPYRNFLKQLLVSRTAPEVSSSRVAAISSV >CRE29642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:66031:68269:-1 gene:WBGene00064324 transcript:CRE29642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-58 description:CRE-COL-58 protein [Source:UniProtKB/TrEMBL;Acc:E3LUY4] MTSSSKWDNVAFGLSAACLSAGIILLVSIATWQTEITKIAEEAIVETQIFQETSEKIWDDLVFVATGETDRHKRHAYLTRAPVLPDVIVEDHQDNLDLMGRMDVSLKSMAFPNSSIDFSDDGEPGVPGQSGDDDVSVLRHDPGRCAQCPAGPMGPPGPRGDFGPPGRTGAPGINGIPGRPGQPGYPGEPGLPGPVGEPGTDGKPGNRGKDGVRVRRIPGPPGPPGAEGDIGVPGGQGSPGLAGGPGDSGAPGPQGPQGQKGIDGVPGGFGQPGPQGVDGEYCPCPKQIKKSK >CRE29641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:62916:64525:-1 gene:WBGene00064325 transcript:CRE29641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29641 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LUY3] MSKFFVPNVSHSEDPILFECDESYNSKTEILKYLIQFVYLSIGAFLNSAIIYTVCVKNRKVYRQNSFFIFFSMDCGVSLILLLSNIFFDRPFIYITPICPLLNEYFSTPLVFFKFIMVLSHHCKICKSVIQSLLVLNRMTSVLFPINHNRMWMKNVQWIVPLVLLIPLSVDWNLVISRAYMMPTYGGFWVNYIKKVSWVRKAFCVGKEKNFQASQSLFQLVFIIIALSFTFICTFVTLYTLILLPKRLRDLEKSITIVTIINSAAFSTVGVFHILFNFFSFNTMVTSVFALTLFAYDFLNVG >CRE29640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:58188:62579:-1 gene:WBGene00064326 transcript:CRE29640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29640 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LUY2] MSDSDTDRMYIDCDFSYDPKIEIMKFILQFIYLVMGIALNLVVLRTLVWKRRDIFMTNSFFVLFAVDCVINTVIMIVEGILPRFFIYVTPLCPLLSEYFSTPLFGFKIVMILSHHAIISKSIMQSFLVLNRMTCVILPIAHDFIWRENIKFVILFVVLCPLSVDWNLAISRVYMQSTYGGFWVNYMKKVQWASQSRFQLAFITIALFFTFICTTITLIKLPKRSKEIEKSITNATLIISIIFTCKVLFQIYYSFFYHHADSSSAIYGLSFLALDFSAIGSPIIMICVSSALRKHLFSNNRIDTTNVSKLQSRTSGIVVETSTMMIKVGAAAVGIDSPKAMKREHDNDDADRTGRHKRPKTDGFTEAIQQGKFEVRLLVSSKSAGAIIGKGGENIKRLRAEFNAHVQVPDSNTPERVCTVTADEKTVLNILRDVLPRLEDNFSERDPCEVRMLIHQSHAGALIGRNGTKIKELREKCSARLKIFTGCAPGSTDRVLITSGEQKNVLAIIEEVMKELKEIPIKGSSTPYLPSFHYDPSNISEYGGFPGNLSSGGPQNSRGPAPPRGGQGPAGGQRSYGGGAITPGGGPRSFESGDFQQFRGGPMPGYAMNAPGYAPQQGQFGAPANAGYGYGPGGGGPVTTAQVSFVTIPSDLGGTIIGRGGERIARIRQESGAQITLEPSTGQPERIITIKGTEPQIHSAQYLLQQCVRNSTQGRERFGGNV >CRE29428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:55293:57938:1 gene:WBGene00064327 transcript:CRE29428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-381 description:CRE-LET-381 protein [Source:UniProtKB/TrEMBL;Acc:E3LUY1] MERHSLYSLANALGPLKLDNDSSSTAQILPKIKTEKFEEEEEDEDNENLSDDYEEMKEDQDECRSKKRKEKPPYSYIALIAMAISKRPDKKATLAEIYSYLQENYEFFRGTYAGWRNSIRHNLSLNECFVKLPKDTGESYRGRKGHKWTISDSCEFMLEENGFRRRPRGYKARKRTHFSGSTDGNEMASIGGGAVYDYPCSTTDYTDSNGSSISNEVKSAMIGDDCGSTISDQLVSSTTSITLPPLTGPDHSPIYPTPSPYFGYGSADFPMQWASPTYDWPYYATPQIGLCSSDFNGIIPSPTITPHVTPQVSPYFYPPAVLGTSSFMDDWRFGVSSSSMPSGVYATSSSFLSSESSDIDVITVQQPSD >CRE29639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:20978:31808:-1 gene:WBGene00064328 transcript:CRE29639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bli-4 description:CRE-BLI-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LUX8] MRISIGRIGWQLLAVLVSVVLSNEHDSLCDEKKGEICAEPIHTVIRLAKRDDELARRIAEDHDMHVKGEPFLDTHYFLYHSETTRTRRHKRAIVERLDTHPAVEWVEEQRPKRRVKRDYILLDNDSRHANPFRRSVLSRDGTRRSQRQQPQSPREIPSLPFPDPLYKDQWYLHGGAVGGYDMNVRQAWVQGYAGRNVSVSILDDGIQRDHPDLAANYDPLASTDINDHDDDPTPQNNGDNKHGTRCAGEVAAIAGNNQCGVGVAYKAKIGGVRMLDGAVSDSVEAASLSLNQDHIDIYSASWGPEDDGKTFDGPGPLAREAFYRGIKNGRGGKGNIFVWASGNGGSRQDSCSADGYTTSVYTLSISSATYDNHRPWYLEECPSSIATTYSSADFRQPAIVTVDVPGGCTDKHTGTSASAPLAAGIIALALEANPELTWRDMQHLVLRTANWKPLENNPGWSRNGVGRMVSNKFGYGLMDGGALVNMAKTWKTVPEQHICTYEYRLANPNPRPIVGRFQLNFTLDVNGCESGTPVLYLEHVQVHATVRYLKRGDLKLTLFSPSGTRSVLLPPRPQDFNANGFHKWPFLSVQQWGEDPRGTWLLMVESVTTNPAATGTFHDWTLLLYGTADPAQPGDPVYSATPATSQGVLSRVQQLTSQVEETAPISFPDLTSAGNCHAECNGGCTESNSATSCFACKHLTQTLRNKGGSGFKCVQKCDDTYYLDGDKCKMCSSHCHTCTKSEVCETCPGSLLLIDVDNMPHYDHGKCVESCPPGLVADYESNLVQAKCIWRKDLCGDGYYINAIGKCDLCDSACETCTAPGPMSCEKCATGYGKGSIGYCRPCCTPGTGKSWQCEDCSKPDPALETSKSSTGYGWMFWISVLSIAACGFCACRKCANEVKGSSVEYAPLPHYNATNGAVNLGVNSDDDDDEEEDDVFVNVPTSQAV >CRE29425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:18604:20737:1 gene:WBGene00064329 transcript:CRE29425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mut-2 description:CRE-MUT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LUX7] MTSNRDAYQIAYEVCSNLGSFHKFNERFSPVLDVFEDEFHVLSCNMFDYFDTSKQPEEEFNRKMNWCYQLKNIISKHNPTWLFNIVPTGSTVTGLATKNSDLDVAIHIPQAARLLDELYPQIALSEEERFCKWRGMQLEILQTVRLILEKDEQIKPLVNWEKGIHLVQAQIQILQIETADGIECDISVVMEPFLSSMHNSFMIRHYVHIDHRFATLCAVVKKWAASTGVKNPKDGGFNSYALVILVIHFLQCGAYPPILPNLSKLYKDDNFIATNDKKYPELLDFGAPLPRDLPKIQMNQASTAQLFLEFVHYYFEFDFQETYISMRDSIVKSRTRCPNETVKNEKQKDVYIEDPFDAHNPGRTVRSLTNIKRILRETLNMFIPPIEPTGNLQNQKRNFLFPRLDDIINMPSSSHFSPPPPVPEEEESSDVPSTSGIPPVSFRR >CRE29638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:15887:18307:-1 gene:WBGene00064330 transcript:CRE29638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29638 MSADKMFSTPIERSLNRLTLIQQAAARSGALPAAGQFRNSKLSPFEVSQMSGVSISMIERGKINSREFIQELEWFQQETSTRCFIDGELWTNEILAYLPNEWLVESETTVWHTNVKPFVNDSLSSITSPSSSTQREDVTPKKDSYSSRFARTLIKNKDFRRAAHFLEKTIKDSRSDHFLYYRCLFLAYYQEHLENDSEGVERKTSFAEEKSPFSILYQRMIDEKLRENDDVWFEYLMGLLEVQLGLKVEAEKSFRSVIIREPRHWLAWEGLSLLICDIEDADTFVTSSNIKSVWMSDWFMVLVLQRFHQHSMAIQKAEQLVTRGMTGIPMIITKIAACSNARHDHDQAIANFEDVRKIDPYRLTDLHLLSDSLYIRNDQRQLSALAMEVYKVHKFRWETCCIVANYHAMRRDSEHAIKFFQRALRLNPGFAALWVLIGHEFMEMKNNAAACVSYRRAIEIDPADHRGWYGLGQMYDIMKMPAYSLYYYQEAQKCKPHDSRLLVALGEVYSKLNRIDDAEKCFTGAYLFGDVEGNALWNLAKLHEKYRDNHRAAQVFEVFLVVYEHVTSAEEKIIYAVAFLANHFFKNDNFEKAGEFATKCMAYESICQEGNRLFREISKVHRCEAEKAAERARRSGEGTSSQQAAQNDEEGG >CRE29637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:13713:15554:-1 gene:WBGene00064331 transcript:CRE29637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29637 MSEISYDGSLIIPDDDAEETIEPEDAVNPIDAALAADLVDSIKPDDPVDPVDPVTPADPVAPVDPVEPAAPPMPPPPPTPPKPAVKCSRSDNASSQQLIMRLEKFVINQNLDKSYKKIATDGISSSKRTLESLLARNGGHQNLLNEEMSRINNKNYTLDANGRVCLVKNPSNETSRHQELVTLQKDTHELRCLREYLMLALEAIMHNHREMMVNYVQTVRETPRQIPQSAIDNAMAKANTETIEDFVQMTLAVDETIAREEIRSEQRKKLKKSIEAETFLMRMMLEMEDIREANVDDLVALWKSHQRPVTAKQSPNPNANKASGDTPQI >CRE29424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:10746:13630:1 gene:WBGene00064332 transcript:CRE29424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29424 MIRLIPFVVWIGAIFVNGHPSGNLTRFSRATDAEFTTSLKQMQMLARITNGIYLQQGMIKGTIPPADLVSEILNLGTAKLSDIDKIKTENLKEMASKIEQVPGKLKTDKNVETIEERLVVLNSIVKTSNGVESLEKLGDDYKTEVKKLEALKTDWVTLETYAGYLQNFLVESAKLEGDIARGKIRPTFGTIASALDIFKTGNLEAYKTVTTTASLENYKPKFSSLSNFHDSVELFVDKNIKFEASDTAKMTTISGHASALASMITDVQSSKVEFELLKQILLQRTHQNTHKIFAHTSGFPNGFSDISTINADLDDKWIQKIVGGNAENLKSSFKSLGTIGNLSQIVDETIGKTSDGLDALLETLPRIAQLSSETMSGLASNLAGIQSTVQVDSITPKNYEDYKVLHGAIRSVFDQLSAIDKVIGVCEQLASPEYTGKLESVIKIITLDNDDQGPERLVQLKGDKNYQDLLTLVKSVEDSSKVLSAAVTLVDDAKTIDGKFGELNTYVDGSNKFLDMLKSLKNVESLGSVESFVKVRRSVGGMNADDIKKLSTVAGNIENAKSKLKELETAINKMKGFKSAGTDVLISLNDAKKDSDTLGSATRGIASMQQMTKDPVDMKQLIHAVGTIDSERKTSRVTLSAEEKKSLDELRRLERDINTLKSSIGQYISSVTASKSDKLSDHSDIFDKAASVNGISTDFKTAIVSIEKLANDPSSSAPDLLRKDVPIWEKLDSIGLDFAKYQTDFQSAKKSLSSLEATFAKFHGSSVVATSSSSNSSESESDDNPSWGAFGRNLGIITGIIVALLVIGIGGGMTFLHLKRKREMKRKGKTRGIEEGGGGKKSKGKKSKGKSTGATEKTGGDTETTGTPTTTNDTMSKSVTIQEEPKSKMKKTEKSKKKGDKKKKGTK >CRE29423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:5811:8433:1 gene:WBGene00064333 transcript:CRE29423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29423 MIRLIPFVVWIGAILVNGHPPGNLTRFSRASDAELTTSLKQMQMLARITNGIYLQQGMIKGTIPPADLVSELLNLEAVKLTEIQNLDVGKLEGVAKNIDELPGKLKTNDGVVNLENRLALLRSIIDLSNGVASLAAPDASFKTEVDTLKDIDGIEWDNFRKYSEGLHSFLSNRKKIEQGIPVKDKKAHEDVLQQVRKAADDLKLDLTQNYVNLKSDAITTAEGTFLNWFSIRRSMAEWNKNDKVMKYSKPDDDNNINFVGENIKNMGEVIGEVKKENLKFNTLEHVFDSRIHRSGSRDLKHTPAFSEGPSDIGLISKDFENGWIQSVVGKEYPKLAAALKSLETIQKLTEDVENSLGSPSKDTLKSISEVVNSITGASQLTVSFDDLASKLKDVQESISASNIIPAQWDTYNTLIEHVSSLFDQITAADAVVELSKETITEDMKKNLEHIRNITDPTHKDDPVAVLEKLDSFSDKLADTSSLVTALNTTNQAQPVKDSASQISKNFNELNNYVTNSKKFLDVLAQLRGIGGFESIEPVIKLRRKLSQLTKNKGNLDCPSLVQNIGKMQPKLKALEAEITKLKGMRNGETGALMYLNYAKKDSDTLGSATRGIASMQQMTKDPVDIKQLADAVGTIDSERKTSLVKLSAEEEKSLDELSRLEDDINSLKYIINVYILYLKISKSEKLSDHADIFDRAASVNGISTDFKTAIVLIEKLANDPFSLASDDLRKSVPIWEKLDSIGLDFAKYQTDFQSAKKSLSSLEATFSKLHRSFALTASSPSNSSESESLDDVFEIRYS >CRE29636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1205:4910:-1 gene:WBGene00064334 transcript:CRE29636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29636 MSEDGIKEIEENTEKVNLESEMANDGEVDEENDDITIPELPEGKILELRLLSNWGDPNYIGLNSVEIFTSTGERAVVENITTNVTEYTGNLESLLVERIRCKDTEKMWCAKKSPENPDGQIILRLEFKESCKLALVRFWNYNASRVHAQIGVRYLEMNLDGIGIFRGELECAFSADSEFTPIMGETVLFTTSESILELIAVHDVCLINLPEEPIANTTTLELLKADHLTPYRPSTCEEKDTPNTPTISLPAPKFPAALSCPPTGYRHDVKVLHLELLTNWGMDGLIGLTGMELVDDRNQLIDESQFTVVTSDGNKEQTAKLFNGRNLTRDPQDMWLVDFDSKKPVTITITFHEIVALKAISIWNYNASFEMSYAGVKASKIYVNGKLFKNIVFRKATGFVYFDYVQDIVLDPNMTENEHMPKGISQSIGGCKWNY >CRE04944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:22010:22763:-1 gene:WBGene00064335 transcript:CRE04944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04944 MTSKEKRCIGGYASGIEDICVVIEKTKLSFHGELEDLDSIRQKLQNVRRIESGVEVVGTNMAVFDLLDRVEEIWNPDGYALEFRNNKKLNRIRMNELRVLDGKQEDVLFENDHFIEEVHENSDSLSDFLHLESVARASHKNEECSPEFVKIITSEASEYGWDLYALIVLCAVLAVIVTVQTFYLLKGKGKNRKNKMRSKGRKKHKKARGKSERSEKDEE >CRE04945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:28721:29527:-1 gene:WBGene00064336 transcript:CRE04945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04945 MSSSSANQPTSSGSSSAAASTLSMLHDVQSIPQLVLHGSITNFTIGTSNFNVAEKSEQTDSEKTDFVQKNQELEQQLMEKHELMQKVLESENKLKEDMRKLFERNEEQITENQILKNQNHQLKEDIKEQMKTNMERLTEHQNLNNQNQQLKEEMKELMKRNEESTTENQNLKSQNQQLKKETEMMRQRAQHSETARKNERIVLRVEFGDMMRD >CRE04946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:32032:33074:-1 gene:WBGene00064337 transcript:CRE04946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04946 MFSSSANQPTSSGSSSAVASTNPPAQSSNSQVSLSMLHDVPSIPQLVLHGSITNFTIGTSNFNVAEKSEQTESEKTDFVQKIQELEQKLMEKHELMQKALESENKLKEDMRKLFERNEEQITENQILKNQNHQLKEDMKEQMKTNMELLTENQYLKTQNNQLKENMEELMKTNKELLTENRNLKSQNQQLKTETEMMRQRAQNIETARRNERAKMEEAKELNVKLEESVVARNQQIKILKDRNRYLINSLLRRMDELEQLQTQAIRRSARAQPGQ >CRE04947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:38020:38776:-1 gene:WBGene00064338 transcript:CRE04947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04947 MTSKEKRCIGGYASGIEDNCVVIEKTKLSFHGELEDCKCALLTINRISEIGIPVDSIRQKLQTVRRIESGVEVVGTNLAVFDLLDHVEDIRNPDGYALEFRNNKKLNKIRMNELRVLDGKQEDVLFESDHFIEEIHGNSESLSDFLHLESVARASHKNEECSPEFVKIITSEASEYGWDLYALIVSCAVLAVIVTVQTFYLLKGKGKIRKNKMRSKGRKKHNKARGNSERCEKDEE >CRE04948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:40192:41983:-1 gene:WBGene00064339 transcript:CRE04948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fil-1 description:CRE-FIL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MN51] MLRHCVLIALGAIFCNAASDIAGPLTDDFQAWLITNGYSSYDFVRADYGTQGSYGGKTENAPKVVNTPVVFIHGNSDAALRRSSAATGWSNSVQYFLEHGYTVAELYATSWQDTNALNAAKRTHDCTDLIRLRKFLEAVLAYTGAPKISVVSHSMGVTLARKIIKGGSVSAPDGSCDLGLPLNKKVEVMIGIAGANYGLCNCEGGSATLEKTCNRNNGLWPGDSCGLNYLDCGLTPLPWPCSGVNYSTFLMQLNSDKNKEGDYVFSMWSLSDDLIEYGNMVWGRSTSFIPTSDGKVIYHVYTHMETKELTYVDQYQMVKYKTIPSPTA >CRE04889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:43384:46762:1 gene:WBGene00064340 transcript:CRE04889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdt-2 description:CRE-CDT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MN53] MDFLQLTRTHKKYFRYPSAVYEDLESKHYFMPTGETDDHDCWVTARFSPHWNQEHILYMGDDPGNIGIFDVRKFHDRSVPLEERQLHCKIFYLLFNKIYKFSVFPAHDGAIMDVVGVPQKESQIVSISGDSTARCWDLNQPAMNRKSQIFYGHTGSVRSICFAKDNPHVFVTGGRDFQVKIWDMRVSAVKRQDEECRMATITYKSAHPKNSDSKSKTSGTPKSKNSLQENHKVTSVLFLDENHVASASENATSGIRIWDIRKPPKNGIGHPARVLKVPTSNKKSYGITCLTMDRFGNRLFASCTDSSIYEYSIQSESISPVNSYTGATIHNFYTEVACSPVSDTIACGSENGRAVIWDLQDQYSYRNDVTLPDEIDKRRTRLPRWSCGGHLKQVLNVGWSSRGKYFLSCDEGGIRIWTEPIQRRPWKCFDEDDSKYSTSSIPENDPEFAYESVKPFELKESDAAISGLDSISLSPRQRADSGLSGSPQKSRGSKRPIIESPFKSICSSPKPLRLHQSPRAKVFKMSSSPSTSPLKPSNSNIQGYCTPQQLRFKKIKKNPFYYEHPTKNLPNIVYETFRRKMLGESPPHSEDESNDKSLSKTGRKRIEDWWIKKGENVPTVTRARLPSVTEFGESACAKVIPEDERIALLSPRKLVLKTQQTSLTTPVSAKPKTVPMTPRKPTDKRPTSRNLFHYFKK >CRE04950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:47099:49872:-1 gene:WBGene00064341 transcript:CRE04950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04950 MLLQLLTISVVISTGIAEYKNYDGFKILEVNFQNPRVKKYVQEIDKNLGFMPDFLGENWKQKQAHYFIDKDSVEKVRSNLAMNNITYQMRDVNPQLFKVRRKRRDLNGVVTINDVNNRYLSYDEQMQFLNTLSQQYPDDVKLQKLGNSYEGRALTAVRIGDDGSNKPIVWIDAGVHAREWISYNVALYLVYTIVTQPVYRDLLNSVQLIVVPNTNPDGYEYSRTNDRMWRKTRSRFSNSRCGGADANRNYPFFWGTQGVSHHQCSEIYCGSRPQSEPEVLALTNAIQREETRIKGYIALHSYGQEILYPWGHTMHTYPSDVKDLIRVGKAMAKAIKAVNNTDYNVVNSGDGLYPAAGASDDWAKSRGIKYSYTIELSPVDDYTGFVLPEERINQVCREAFQAIQVLMIEVKTMFGIRSVTSSASSAQSSLLLKHMRSTISRGFGRG >CRE04951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:52893:55469:-1 gene:WBGene00064342 transcript:CRE04951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xbx-1 description:CRE-XBX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MN55] MLFLYIKINVLLSPFLFEFRFHGDRFILLSEGTRSSSLFPLFLFYTMNIWDLAKQKLVENKQRAAELEQKLDDENGVATDNYLAEVRRRHESHIIIAGNRKCGKSSFMLNFLERKEDLKESVGLEFTYARRTRGNVKDVANLWELGGGSSVTELLSVPITMKNVETCSLIVLLDMTNLDEVWITIEKTVDTVRRLVENLEKQDNNLQKRLAEKMRLRLEKYDSGSLKMCTPCPIPMTIVATKYDEFQNFESEKRRHLCQFLRFLAYSYGANLMMYSSRMEQFPKLVKNMISHFAFGTVCPQGYITDHNKPMFIKCGFDNLESIGIPPGSENFMGASSPFNLWRESFISIWPQKSGNLDVEDTKKQDPMIDPVFKEPNIDNLVEIKRKELENHIRSKRDREAAEARAAERIAKINLR >CRE04952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:55648:58023:-1 gene:WBGene00064343 transcript:CRE04952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grd-12 description:CRE-GRD-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MN56] MFPRPTVILSLLLTLKLVDGWFLGMLGCQNRCQTYAGYYQSRNPNPYPPQNFQPQMHYGLPQTPPPNQYATAPANYGLPPQSYPVAPQYAIPMTSYAIPRYAVAPSYAVPYPTPPAYVRPPPVYVTPPPTTTTTTTTIPPPKCFQNTHGYKCCNRMLDQFLDQKVVEMQKPEWQRCNLQRFATQLQQETQMMFNHSMEAIVASGDMENLSQYRGDLYCKKRSLDGKVVLIYGSAVPYALDAGVTRPMNDDELRMANYPAKYEEIGVHDGHEENIWF >CRE04890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:62755:63515:1 gene:WBGene00064344 transcript:CRE04890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04890 MTTDLRVRSKSFSNIFASKGSDDDEEDLIPREKDDDTKTSRFEKWKSSLNLNFRTSEPQQADRRTSRGNSIFGRPPAHPRRQSLAVTTRRPSDIKDDLKKLWDNRRASLTNLKTQIKEKITGRSNENLTTTRDGRTRMSRLTNNEPLYDDRMPPPFYIVDRGAWTFPYQ >CRE04953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:63619:64379:-1 gene:WBGene00064345 transcript:CRE04953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-183 description:CRE-CLEC-183 protein [Source:UniProtKB/TrEMBL;Acc:E3MN58] MRFLSFLLLIGIPVLVASQASKTCRTRTHEPVTEPITEPITTKPTTKPTTKPTTKKPATCPSGWTLVQRSIGGWCIRVFNGMNNQTESMKACQTRGAVLSGAESASELATMAALGRELLATSVSNWQYGTLRMAIERPNVNGVSIIFEHKNAWRVTDGNNNGGTAGMVWGPGEPNKDKLAYEDKNCAMLFLALPGAPPTVRVHGTLQTFICHITWFDMLRGYVCGRRAT >CRE04954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:65676:66795:-1 gene:WBGene00064346 transcript:CRE04954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04954 MSSLHIARLLILISIMSTVVVSYSVLPSGDQASGIRFWPPVYERSEFSDDIAPNDFDDSRMKREDLEQQLSKRTNLKRLVILSARGFGKK >CRE04955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:81420:82745:-1 gene:WBGene00064347 transcript:CRE04955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hil-1 description:CRE-HIL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MN60] MTTSLIHMANNLDASTEEISLNYVLLGRPHHERVQHHPSYMEMIKGAISAIDNGKGSSKAAILKYIAQNYHVGENLPKVNSHLRSVLKKAVDSGDIEQARGHGATGSFRMGKEREKNMQIVVPVQTQPMMMLKEVRQKLENVAVTKKADKNQPSTSSAVQKKGKPISTMKKRGAMTKKRSSKNKMAPKARSHGLKKKKVQASKPSGLVHKAARPEAAPSTTKMELRTGTRKSYC >CRE04960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:98816:99933:-1 gene:WBGene00064348 transcript:CRE04960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04960 MADTLQTNGKATALAIGTNRMSVRSTRKKKTTMLSPKKFCDFVSIGKEKVLVNRSSFQVIGKTPGKSFEAGVAELYKEYYLESPTFINYFRAPKEKNASKSVWLYDQTRVIVPDIEYYHASWVDGLRPNQYILAQAPRDAVAAKDFFKMVDHVKAEAIIIVESSDEFSSQIAPKFEKLATKKGEKGTDDLSTADIKDHGKNLKAVKFNRADQMAPAELVEMVERTRKYLGSPLKGPTVIICRDGATRCGVVAFIDLECDRLTKYGRVKHGDTIKAIRFTRCNTFDTFEAYDFAINSLVELCIKHKKK >CRE04892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:106164:110796:1 gene:WBGene00064350 transcript:CRE04892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04892 MWPIILLGIAGIVSAGSPGTNGDINQPQLQKELLEEAKVYLFKFGYMIPDAHQPVKSVQEPTQQQIHDALIRFQSAFLYYSSGTVDVPTQAKMNEWRCANNDMDRGSPIAPASKKELWIKKSLTYKIVNTPKTLSQAQIKSAAHEAFEQWTKASGFKFVETTAQNPDVTITFYDVPQSNLRIAGSASKPLNSHIILDKNQEWAYKSQAPMGISLYHTLLHEIGHILGLPHTFYRGSIMHPIFKPVLLPFGTVDTVPNVDRLAIRRIYGLSSIDHSVPSSDVAQSKCPKHIDSVVAINDQEWLLFRDNKVYKLNNRKFVDSGRPIQQVFPRGPQFVNATVSSGPLTLLFVERTIYGYEYDGVQFTEAQNYPKELHDRVLFYPQGAFPLNNGSVILLSGNVFATYNVNQNAPSFLNDKNRYFPNLPEDVRSGIEKTQGFTDSYYMFDEATVSDYDMNSKQVLQLQNIPDFLKCA >CRE04961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:110892:112208:-1 gene:WBGene00064351 transcript:CRE04961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04961 MLATTSVASSIPWNDVLYSNWCPIFTIVLLITRIIIVIIHRKMYARPAIVIIFLTIKFVIDYLMFEGVERFSLFSERINLQILTAIEIFYVIMSNFMIYSIFYADFALPLKVIIQFSVLNLILIILAIGLLIVIENLMCDITVVIALHVISSEFSRKRINVLEKCLSVPVILYYDKCSALIKCGIYNAIGKTLIYVTMVFKLLFDHFFRIFYISNLLINFTDPSLIRDRILFLIQILFTCLLLFVFLFYRRHNSELLGPVFGKLFLVISCYSYIFFVAAEKKIGGKQKSQRLPESNFVFENAAEELMFPAENELNTISDIASDDEGELDVEEELRNQQLHEPVVSASPVVSSREPSIFATGESGRSRRHEHRIQIIRRTLNRAPSIECYHPSTL >CRE04963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:118872:122541:-1 gene:WBGene00064352 transcript:CRE04963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04963 MVRDSFTILSLIIIQCQSTWIKDLNLPRQLLEYHVSSNTHLRDKCINDPGCKIDLNTTKCFGFEEDCQKENSLSSRSKFTSCDENLNPKVKDKFFEHGDFGYLESRLVKHSICSSSDKESTSLLIKMQSGITIKSKNKFLSSSSLSCSDHLTHCIGRNIYFDFSNLKIKSSSRYRQNVIQAGQVGGNCETFDEHLLNENTKVKGYLMSWADELQHFRSKDDFKINSDHCDVIFERPTIVMKLDAAVNLYHHFCDFINLYASLHLNQTFDQDVDIILWETHPGGYNDHYYGITWKAFSKHEPIELKTLDQKRVCFKNVMMPLLARQWNGLFYNSPVVNGCSGSTLFKTFSQFILHRFA >CRE04893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:116807:118660:1 gene:WBGene00064353 transcript:CRE04893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04893 MPNFPPTVYYRDTYGFDDRPSESDNDSITTKSTVTVRTTYNINPGGGGGMAVNPTYQQIHNRNMNNGDSSKMMNYSHVSNSFHVEQLVTSKILTPNPRTILICVLIAAASAIQLMIFAMIAVFYDGPFPWPLLLCFLMLCNCLVIFIFIRCQPTRAWLYASLIAAALSFLECTGLFFWTALLISDEEKYLESVGANDNRIVTSTRIAMYMCQMLFGPIHLIVCGLIIWKLQAQLSPSDDVVNGYFLSEPELGHQVTFFSLHGGGKIKNFFRKSWYPLNFVRSEIKVTMRPRTRQSVFRRADQEIIKRKVI >CRE04964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:124028:125264:-1 gene:WBGene00064354 transcript:CRE04964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mpst-3 MEVYGFTNVNLLDGGLDAWKAAGGSTDNKVVIPDLGNVDIRALNPEILANFEEIPFNHFAEKKVDYLDARVPAQFTGQDPLSSTYPGTKATGSHVTGAINFPMAEVIGPNGFLSQQEVDAKIAKLGLTAGNQVYVACNTGIQASVIFTALERSGIKAKLYNGSMTELAYRAPELVNASGN >CRE04894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:125472:126812:1 gene:WBGene00064355 transcript:CRE04894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04894 MVSTKLCLLFLIAGVAIAAPGNKWRSSTTTARPTSRPTRNGQNEFSESNSNNQMPSGANSNTRENSRGKREVESMGPGEQSGPNRQTGFGESMTNTQEPMGPGSSGHMSRNSESNENSHSNENSNEQLPFGGQTSKNEFGGSNSNTHGDTRNKRAVGSTSFGGQSGQGSQSGSGFPGQSGFGGSSSNGQSGFGGSSSNGQSGSNGFGSSENSNTGSGFGGQSGFGGSSSSSNGQNGQSGFGGSSSRFGGQTSQNGVSGSNSIIRGNTRNTRSTGSSTFGGQSGSQTGFGSSGNTNTGFGGSSQTSSNGQSGFGGFGGSSGNTNMGSGFGGSSSNGQSGQGLGKSSQKKTYDQCGFTGFGGSSLSGFGGQNGQSGFGGFGSNGNSNTGSGFGGQSGFGTSGQMGSGFGGQSSQTRQGTSGQSGFFGQGQGTSSSSNSQQGLNGFSGR >CRE04895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:131896:132520:1 gene:WBGene00064356 transcript:CRE04895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04895 MNSIFVFVALVSAVYSMPNPPSFPIKEICAAYGEKCVSKLNRRDCPERIIECEKYANQGIRTTWSFCMFSNNYDLSACHERIQIDYQIIQSWISKDQFKYLPE >CRE04896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:134992:136298:1 gene:WBGene00064357 transcript:CRE04896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04896 MLRTLILLSSVAILSTWAVKCKYDNQDLDNNQIIVVQNAFRIKCLTEDNGSWKTEIVGCVAPDGTEIDAGQKKEVGDKVHECVKTEGGQVSLKESKGRLAACPGGQKNGEEWQEKSFKFRCGDGGVVKFIACVGQDGSVINSGETGKIGGFDVKCLQHANGTITMQAANDPKSYECKAKDGSMKKNGEEYIEGNFVRKCADYGQGKIIGCYAESVGNTIGVNQNVTSGDVIYSCKQDGSSYSFKTYNLKAN >CRE04897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:136463:137561:1 gene:WBGene00064358 transcript:CRE04897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04897 MCEQDGKQYKNGSTFISRESFRMKCVTFKNLTSTLEVVSCITPAGVEIAIGTQLEEGDRVFECTSGNVTLKSSPGQTGKCRGTYKVGEEWVEDSFKFACEPYGKIIIKSCVTKEGTEIPLGDAKRVPAGYAMECVIVNGHVALQTAKTFDCETGTGEAKKFGETWNEGNFVRRCANHGVSEIIGCYVDNVGSVGLNQNLTSGDLLYLCIHQNDQFKFRTLRAQ >CRE04899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:142319:146606:1 gene:WBGene00064359 transcript:CRE04899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04899 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3MN80] MILLFFLLPYFAGGQYTKSIKVGLLFSKDAPSTMRAVGYRTSASAVLVARDRIREEHLLDEYDFNFTVKFDECSESLAAGKTVELINVDDVDVIIGPTCNRAGVSTASLSAYYNVPVFEWGLTTTADIGNFSRYPTTVTLSLDTHSELFSMAIGVREVLQRFDWEEFVFIYSNDGDEEKCAAMKDDMEKMSIEFPDTTLAYMYQIQTVTMDSLKRALKEVSKRGRIVVTCFAGGKGFKKAFVSATVLAEMSNDEYVYIFAEPQSRGFYVDEANGGVHYSWDDSDGNFVTGLTTDQIRAAYGRVLYICDVGLKLGVASLIECLQNMGEPPTKTPEFLNFTTQVIERMSEQPFNCVQDCANATYKVSATYAGQLFDAFYSYAVALNKSLAMNPSATDLKNGSLIMSSIGMTFRGVGGSSVTLDETGSRIVQVYMFAMNSSLLPYIAASLLVNGNQVEYTPFYSSESVLWKGGVRPKSRPICGFSGNECPPDFVKDYLIYTIIAALIVIAALLAGCAGLLYTIHMKKKEMERQDLLWQVPFIELQQIQSKSKAEASMHSFASGPSTSTKITIESRSETTNFIFYYYKQDITAARKHDLILMFDTNEKAEFRQMRNFDNDNLNKFIGLCLDGPQLLSLWRFCSRGSLSDVISKSSMQMDSFFMFSLIRDISNGLSFIHNSFLKYHGHLTSRCCLIDDRWQIKISGYGLKAVRTFENPKKEDLLWTAPEHLRNESGEKTSEGDIYSFGIICSEILTRSSAFDLENRKEKPDVIIYQVKKGGHNPMRPSLETSETVEINPALLHLIRDCWTERPSERPSIEQVRSHLNGMRDGRKSNLMDHVFNMLETYASTLEEEVSDRTKELVEEKKKSDVLLYRMLPKMVADKLKLGQTVEPETFEQVTIFFSDVVQFTTLAGKCTPLQVVTLLNDLYTIFDGIIEQNDVYKVETIGDGYLCVSGLPHRNGNEHIRHIARMALGFLSSLQYFRVQHLPAERINLRIGINCGSVVAGVVGLTMPRYCLFGDAVNTASRMESNGKPGQIHVTAEANKMLTQVVGGFKTESRGEVIIKGKGVMETFWLLGEESGLSVSAQRKKTPEEPPRRQSVRSISPIIEKNAEEEVAKGLYTEYKDINNGNEM >CRE04900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:156062:160373:1 gene:WBGene00064361 transcript:CRE04900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-13 description:CRE-NPR-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MN82] MNMEVAEEHNCIDVNAILKQFNDWTVLLEVRLAYSSLYTFIFVTGVIGNGLLISSILLRKKLSVANIFLINLAVSDLLLCITAVPITPVLAFMKRWMFGIFMCKLVPACQAFSVLISSWSLCYIAIDRYRSIVTPLREPWSDRHARWLLMFTWVVAFLASYPLYYSQNLKTMVIENVTLCGDFCGEFNWQSDELSKLSYTTSLLIIQLIVPALIMSFCYWKILQKVRTDWLVDEGSMLTAAQQAQTAVRKRRVMYVLILMVIVFMACWFPLSAVNLFRDLGMRFDLFQSVYKVLVMDQMYFKLLNVHVIAMTSIVWNPVLYFWMSKRHRRALKDDMTWLTNARRHTNVGVLSRFTPSPSVSVVYRRTLERHLGVNHFRRGTLADPTCTSRERSLPRELQSNCFLLVPLMPLCQSVTRKNSHLAINRDGIVIPQANGPSRRPSSVNTNSTRDW >CRE04901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:166067:174275:1 gene:WBGene00064362 transcript:CRE04901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ret-1 description:CRE-RET-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MN83] MDAEIDTTDNGCGNEEDVEVELFFRKPPEINNFELVKTFWIDPTEVINSTNDLAQEDQNNKPSSDPEVKVLQPLALRPLETAEDESGGSSCSHSEDVRLPDEILDEPLDDEVFEEEEEKTENVETGLVFRQPTEKEMELVYTISKSAVFNLDDLEEEDEDVEVDLWFREPTDTRFELVYTIPLMEDVSVDSLGETLEDLPPAEELDNVVEEASVAEFTFKPIENDEETETVTTEVVTVLERGGEGSRDFIEKVKLTSNDTFDNFDDEGEDAFQNAFVKSVETPETPVPEYDENQEDIIQDVSVSDVLKDAAEKLQIIQDSENTGTLKQTASAPEFVSDIRTPEGSPDETETTRFEISSVDEKDITLPDTDNVPFLEVTQETDRVPSQEPSDYAQLESISSPDDVPDVDRTLNSLKNEDVFPKEAIPNEVPKIEVTPEAETLPVVGLEDSGMVETPKVAGLSKEDVAEALNAEDVITGDDGVLAATPDVLSVDNHAKDDDPVIEDSGEVETTEVIELKQDVIEAALNSEDVLTDSHVMPQNETTQGDQPVEESGAIESPSVVDLNSEVVTDALNSEDVNSQTELPDGSNPDNTTSEEKPQPVLKLEDSGIVETPDLVELDKEDVVDALNSEDVLTEADVVQISTEIHDNETPIEDQLVADLEDSGPTEVVAGALNSEDVQKEDDTTSVLDEPVLKLEDSGIVETSEVVALDHQDALNSQEDECAVVSSEVTETSEATHEAETINGSNGDETPVDDSMIGLEEGGDVETANAVELSNEIAADALNSEDVTGVTSLPVVDSEVHEAEQEIEETSEENIQPVVKLEDSGVAETPDIVELDREVVEDALNSEDVQKEDNTTPVLDEPVLKFEDSGIVETSEVVALDHQDVKDALNSEYALLETEGAVVSSQVTETLEATHEADTIDGLNGKENPVDDSMIWQENQPVIGLEDGGDVETANAVELSNEIAADALNSEDVTGVTSLPVVDSEVHEAEQEIEETSEENIQPVVKLEDSGVAETPDIVELDGKVVEDALNSEDVVNETTAVAEASESHEATQEESSETELHQEKTAAVLGLEESGEVEPLNVVALSNQEAADAMNGEDVVKVAEEVAGEEAEQVYSELPPEVEESVVDSQSEEEEQVFIKNQEDQEKESTPVAGTEELATEIEETLVEKLVSMVEDTLPVDYVAISDEISDETPEEPKETKPKTSEPESETMIDADNERKDLDNVLDSSEDQSKPEEAEPAPEKTMVQKLVSMVENVLPAEAVLPSEDVVSEEHIEEREDDKKSAETSENPTIMEKLVSIVENIVPVETQDESLSPAVEEQEAKRVEKDSEETETLEDTQPEETFVEMVVSIVENDLPVDAVLPSEDVLSEDHVEDPVIELSSENAEDSTILEKLTSVVGSALPSKDNKNIDEEKEAEENEETATMSATENSELPAEPTIMEKLTSMVESAIPSKDSVPAEPVVVENEINEVTVEPTLMQQLTSIVESVLPTQEPVKNTEEPANPTELVEDTTPEVSAAPEPTLMEKLTSMVESVLPSKDEVSEENHVETSVEVSETNDAVTEPTILEKLASMVENVLPADAILLSDYVRQSEERNSEARPEELDPSDQVPLVQIEHLEEVSATQDSAVTTEAEEVLEPEEENVSGEIVPSFEDTTSDDRAGQFEKPIEDVADADKRDDLDRVLDSSEDPSKPEEVETAPEETMVQKLVSMVENVLPAETVLPSEDVVSEEHIKEREEDEQPAETSENPTILEKLVSMVENIVPVETSLETHDESLSSIVEKQESNQIEKDSEETTTPEATQLEEIIPVSADTSPQEEPDDTQRHLNEENQNESTVETIISTSDIILPFEENTSLETPFDAEGFTEPPVEKEKQESFKEPTAEDIIETADIILPVEEKESKNIETPFDAEGFVSEDPVETPVKNEIQQEDVTDETQPEKSTVVEELLAMAVDILPIDGTVAEKIEEESLVEKTTVEAFETPNEDPGEFRESGTSDPELPGEAEYVPEESQPLPRKVRENGEQKMTPWKNENAIEVNNEPQETINPTIQVVEVTVQSDDNHPEDVPTSSQDTEEHLTKTDQHQEPHKITDVHFDIPKHHDDYGNDYVPFGTEPSARVEFNNPEESKEEEEDFVAELNFHPIRQWKDEDVISLQSLKSLVAEVGCTTDVSVEPHQQAPEDNESTLKILKVVPSEPSLMELDISNDPSVIHVPIPLLEPATKYLEEMVKWIIADAVKEVGEMEVVTESEISEMVAQESENECPVPEPLADLKLPTEDDEKTPEPETPIEVARVIPVEVEPVQSIPQRPPRAPKVEGSKLDTSKSLDQHNKSKVRFRPLNIKLGRSYSEEQQKELVEMLERPLTVITSSEQKPSEPKPSPLSPNTLDEYEHVPMMDMQSVPHSPQEKLEEVVEENEEQKEPEDLPMVEQIEQPVGFVKKVEVKTVETPEDVHIPQTKIFITETRILGAGKSAEYVDDEDGSECLDSIGDLSERTIQRFNTSSEQQCALLWFSFES >CRE04903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:176935:182140:1 gene:WBGene00064363 transcript:CRE04903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04903 description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:E3MN85] MSSHHDDVIEKNYFGNADDAATAKLLESPIAEEARKLVQDAVESASEYSKHATGDDDDEIGRELLDNAQHKFEQVKEPVADTLHKAYDGLGDFVHETVPQAVDNFAEEVKNRLPESPVPEKAETPEPLVDIHDSVDQVHDEIDNYLRREPTPPLVEADENAPSDEKPQFGNQTPEEDETTYDRKGPLTIPEEVEKAAAAHKYEDEDLDEFDPLVTGATGAAFGAAVGAAAASEALTEEEMFGHQKFETVPRPPTPPKELNDDDVKPSTVNLGPAHHHSHPSSPHHSILKHHGEAWFDFKTVPPCDDGRDEKGQLNLARLQVDTMRENRRVAGGGCRWPAYWSHGPIEENTLVGTEEEQAMSKLGAIGRGLYALIAFVVNIVLRVGLNVALIGGVAVSGYEAYKFARSSGVLQRKEVLDVIYWRDAKKSAIVLSLALLALFILAKYPLLTVVTYSLLLALGAAAGFRAYKKIEAQIKKTDTENPFNEILAKDLALPQEKVHAQADVITEHATCVANKLKKLIFVESPLESIKFGLILWSLTYVASWFSGCTLAVLALLGTFSIPKVYEANQEAIDPHLATISGHLKNVQNLIDEKLPFLRSAPVAAEEKKDQ >CRE04968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:182192:185764:-1 gene:WBGene00064364 transcript:CRE04968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04968 MLIGLLACLGACLLLGTLFVPIKACPPTDGFATQLFMCLAGYIVSFGIYVYMEFPGIYSLAMVGGAVWSATNSFAVPIMTHVGMAMFMLFASSISCLTGWAISRFGLFGVPPSLPMSNILNYSGIALLILGSAIYPFIKINVFRAVKVIDPHVKVVPMNQLEMEESLQHVEKEDVDMNDSVRKVAAWIGTILVGLSLGVMTTPVTLLMTRHDIYPLSTEIKSSVSFHFSFFTGVILVSIVIFVFYCLVIKGKPAIAPKIVLPSMLSGVFFSSAMVFFFIANEHLSPTISYPICMMAPGLVTSAWSVFYFKEISERRNLLLLGAAYIFTLIGVLVITVSRIVQF >CRE04904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:187139:188295:1 gene:WBGene00064365 transcript:CRE04904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04904 MKFLRFPTLVLEKIFENFAVPELLFLSFCSKRMRFLIQLLEKCRLKDIKTINYSFVSDDRVMILGLSNNNKPSIDLVLTPVERKKMVPMGLFGMDRDMICCIDWSSLCWYSKYLYDKKKKEVIVQGVHNYLYQFFGPSIRYQVVSDCDELPPKLENIQLSHIALTKLKTKQKKVEDYFKASPYQEYMRIHENMNGMISQKSVVYLTKHLEIDCCHSFGDDALFRFKGSSLLLVDAMFSDKTLIRFLNEWKSGTAFQQLKHLSIHCPSHQFLDPSTVQREVGMQYFEGFLEMKWKQWTMVGRFQDKRWIPRSFKSRDYLIREFDGAGASIQISSTHFNFVVWSASKNSQHI >CRE04969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:188878:189959:-1 gene:WBGene00064366 transcript:CRE04969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04969 MVKIVTDGEYVPWYSRRAPPVFCFPCLPAYMGIWPARKCVLIIGALLFFFGVIILLAMLLTCIAIECAGVASALIPLALILIIVGILLFHCGYAAHLLDNHGEIPIKKTTTTTTTTVMDPESHEDEIRLFENVHREQVPNVRQGYWQFDENLSWQAASNPYPIQHTLKNCLERQPY >CRE04905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:196040:203645:1 gene:WBGene00064367 transcript:CRE04905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04905 MVIASIPTPTATVIPVPKPRRLLPLLSSDAPVPPPRKSLLKSKSVERECNEEVRLRKNSELIRRDTHQPIFIEREKLQRILEVAEPELRSSKFRPCSFPSCSSSCHLNKLDNNLETSSYDNAENILKSFCTPDDTPTMSARAIARNRGATTTTNYSNINNSERPLSAYEESAEATRQRKNRRASVAVMPMMELDYRDRVIAGSTRSATMGRRRSEGTAGREFSPDFGAIRVQVEDITSVPGSMMKEDDDEKSGEIDGGRSFDNGGETSTETITDATLNGSMLNGIRRVSDWAVPSEYASSPIAQELSQSEVEERPRPPIPAMYMNTSISSAATTTTTDRGEESVYSDFVLKRNSSTSSMSGKSVTLLEQIIRTHAVWYLPHMGRPEVLHLLRRMEPGNFIVRASTRENCMALSVRLAPGAHVDIDHYIIEKLIVPLKSGSSSNDSVAPTSVKAVRLEGSPLTFRSLPLLIEHYCVNEDELEHHLQLPSAIRACTTTKQLLSIAVMEQVKILISVSK >CRE04906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:206146:214903:1 gene:WBGene00064368 transcript:CRE04906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rin-1 MPSFRHLRSSIRAVWGKRKPITFYAISFPIRLCFFSFSKHTHKHPDDESEEEVLLLLQVFTHFTNEMLLFSEFWAREMSLSRKKTASTSSSSRNSIASSFRSNNNINSSNNNTMPRCHEAPDASTWFMDRPNVDDESIYDEVEPRMNSRTGVGGGGGSYYAVNDNIIKSNTLNNNSPRRSSGMLLTPEMALGPDQQKTSKKRRSSSRASSSSNPRFATVCVGDFTSLDNWNGTSSKNDPDETDSGLSTHSPPSKHDRLDDVTSSPKLGIPSSTSSTLSRPRSFLRSLLSFGSSNKEQKRKESLDIDSTPRLANCEYFTPWDSNRSNMQQVRTSRSAFDITSPPTRGFFGSATPKKRNGVMPSSVYQAKNASSSNMFDDENYTRGWKEAAYAETPNNHQADSSMRTFKPLNYDDVAGSSTGSPSSRSRPLAMRRERSDLGVMSNGFNLGVKNNAPRLAHHNESSPGPAHSSSNGRVPMTPPANAGDPLVQQNCVEELRRKRLQSANEMLSPHEINAAKHPYGEVHSAHASPQVSMRRRNGGFPSPSASHNGFNRNNAFATIDRSRGGDHRLSVPNLIAVTDPLVPGSVGQSAKALRERLGGRTTDGELMVINEGLVTPVVRRKTFAPAMKTVTTSMDELGGEVMKKREALEAALNEKRRHPSDSMSSGSSDHSSLGRRKWYLL >CRE04907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:219765:223196:1 gene:WBGene00064369 transcript:CRE04907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-333 description:CRE-TAG-333 protein [Source:UniProtKB/TrEMBL;Acc:E3MN91] MPSRNVHFSLSISILNAVFFGFGRAWLTSTSSPTTAQTSTESELVWRRAKHNAPPPSTTSKSSAPHQWSAVNHELKNRQQKIAKVLPTPQPRGHHNRNMSIVGGVGPAMMSECRRSSDYAQLCDFASEKHGVHLDVHSSEFWLKLLKLDQKLLSIFVEIEDDAVSVAGTVFNEPWDSNVWENLLDLAHHGDESTMGLKVSEPIQEEDSDSDRTAGGDSTCQMDSDDDDEDDVEDEVIRWQTEKMMRRPLPSDRVTVKGWEQDADAGSSKFSTLESRSKNGSIAGSTLRRQPEGSFSIRSLPRALSRFSQSIGMNGMSAAASFGSIDDLPTTLPSMSPLLSGRISRAQLPRSASTDEKIGRAVQEYVEELAEVKEGDNACFGLTLRQFIACTKDTKETDPAVVIRNVRQFINGMKNYLVKHGEGDLHRIIDEESARLNSNQILNIDAVLEAVLHKLLLREVKPLLYHVMIKEHSKAGALQLISQNQGVVRKMNLTELGFTNPESLVTPAPSILEQVKLLMRKMQNHYSPMKKLENLMKAVGLVLGCQNNGNENENPTDPMSCGHRGLPPGDDLVRWFVYILARTSTVGCEVEAWYMWELLPQPVVTQSDASYYLTSLWSAVHVLKSAEAIRRLCENDQRVLMSLDSSRHCCSSPLLSTATLTRGTSAGLVQMISAATCDAFVKIAIPDEAIGCVRYATFPGVPMLTTSKLSRLVAHQQGITNPEEHGL >CRE04970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:224289:228288:-1 gene:WBGene00064370 transcript:CRE04970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04970 MSSVTSRSRHSSVSTEMSYRGDENNSKSPRRSMSVNSAKDVFRDAEAQSFNAGPSAITNGSGRALSFPQIFEPRPDAAPIVHQTFGDRLSGAVLSNVPASAVRNPAIPSEARISCPICSGGHDDYACESPLRSRYCAKNDLCLLCTSESHKTQECPLNYLETSKNGAKVSLKPGATIGDKIKCSGNPSSESTEQTQSVVDSEKDYRYLSYHDLVTVLPQFNADPIKYGKFARCFDRLVMTNPRLDDLLKLSLVETKLVGRAKRFIVDLIDPRAALEATFKALRDEFEEDNYLVVNEIRARFENLTFHETDYKRATLELQDCKSLILQLEELKEDVSSASFVRELARKLPREAFKRLRPVNANGQTPTTEQVIGTFSEFLKENRFYERFCPWVQEDSSKIHEESVMIMIEGSTIPPPRKSGKKRNSKSFSRGAGFNTDATEMPEHSNRKTTGKGKKNSSGAKNQKPLVSSVAGAETKRQEFSNHGRIVTAPPTPSNRLGRQLAHSSKARLESEYPRSKNQNRVSEAHLKPETSLIPFGSVKNVSNRVLDDPLSHRARSKLLPQTLVNECYEASGVKEEGDLSHLPFLALRTAKGKVVLALVDTGASCSFLSQNCAKRLGLSPIGYRTAVIKGVSTTTTERMSMYRLSFATTGNPVEFFVSGRSRFPDTKFFCPQFGATDSDFLRERHIDPRVITKNRASNGRALEMIIGNDMLSRILGNSIRALLPSGRYVEFTPFGSIIFPAPRVIQFNPLIVPTATSRFHPANSISLVDPNPGNIDRAPSSPQVQSGSTDNNDGSIAVRRSRQIANLDLSLISKNHHGIRGRNFVSQQGNCNTIGLGNPRHGTVNRQTKGEKPRMLKCTGDIFLLDASDGSSTIRYMEPRITQKKDMMNCSLMEEPCVTTEFQRVSVGNRVFECILKEFEICTHPEVMSHERSFEFFDSLAVYPRDNYLVLEQVELSKDYRGFRSQYFDGIRSLLSENQFQTGISDRKEIIQKVHRVREKLDNGRAHVLKYPYLDAGRSGASLVRDLTASPAPDACRSGTSEEGVLRKLTSMDACRSGAPKDRKFNTTDNSVQTSDEYAASSDPPGRRVGLKSYCFDPTIESYDTVSDVVAGRSGAARGGIERLTPVPDVCRSDTPGKGFNRVVPNLDAGRSDAPTKESDKSAPNLGIRQSSSHRSRVGLKSYCFDPTIESYDTVSDVVAGRSDTTPKDTKQFNPTLGASRPGAYKSKDDQKSSGSEYSQNVKLRRPRTIDDWANIRLPTHRVRPYQPRKTESQACTLCSHNSSRRASDPPEC >CRE19054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2050305:2052331:-1 gene:WBGene00064371 transcript:CRE19054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19054 MIFCFILIFLLPHQLLTVEWSNGTLSRWKRVQKSRVTIVDPSLVTGPNSLLNLAELTTRNLETGPNSLLNLAELTTRNLEEYIGEMDHPTTTEKALEFVATYGLLANERECEQDWCSQYMSLVKDSSKKNDMLVWRCSTCKSDGMSSKVSIRENSFFEGLRIPLQKVLYIAADWIENPTKTAKDSAAYFETSENTISDYHEWFRDMTQQWWEREAGMNKNIMLGGPGTIVEIDESAMYKAKYHRGHMLRRPTIWIFGMLERGTGKAAMFVVPRRNRRTLFPLIQAHVRPQTLIVSDGWKAYGGLKTLQQKYDHKWVNHKVNFVDPMDRRVHTQGIESTWNAFKKSVKNFYGVKKFQLQGHLFSYMFPYMFVHIRCLREKYSQFSKDPCTLKGPRYFQRTSIFSKALDIFKGPR >CRE04972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:231026:237008:-1 gene:WBGene00064372 transcript:CRE04972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04972 MASSLFIARSVMILKSLESLITEKEVCGNFCDDFGSVFSINNAVIKLEESYRGEQVESRSISLCACVSTAILYKSWKEFKTNLVPGEISILLTMSSVTSRSRHSSVSTEMSYRGDENNSKSPRRSMSVNSAKDVFRDAEAQSFNAGPSAITNGSGRALSFPQIFEPRPDVASTVHQTFGDRLSGAVLSNVPASAVRNPAIPSEARISCPICSGGHDDYACESPLRSRYCAKNDLCFLCTSESHKTQECPLNYLEISKNVVNISLKPGATIGDKIKCSGNPSSESTEQTQSVVDSEKDYRYLSYHDLVTVLPQFNADPIKYGKFARCFDRLVMKNPRLDHLLKLSLLETKLVGKAKRFIVDLIDPRAALEATFKALRDEFEEDNYSVVNEIRARFENLTFHETDYKRATLELQDCKSLILQLEELKEDVSSTSFVRELSRKLPREAFKRLRSLNANGQTPTTEQVIETFSEYLKENRFYERFCPWVQEDSSKIHEESVMITIEGSTSPPPRRSGKKRNSKKFSRGAGFNTDATAMPEYSHVSSSSTKNRKTKGKGKKNSSGAKNQKPLVSSVAGAETKRQEFANYGRIVTAPLTPSKELGRQLAHSSKARQDSEFSQSNNQNRVSEAHLKSETSLIPLDSVKTVSNRVSRSMKKVLDDPLSHRARSKLLPQTLVNEFYEASGVKEEKDCSHLPFLALRTTKGKVVLALVDTGASCSFVSQTCAKRLGLSPIGYRTAVIKGVSTTTTERMSMYRLSFATTGNPVEFFVSGRSRFPETKFFRPRFGATDNDFLRERYIDPKVITKDRASNGRALEMIIGNDMLARILGNSIRALLPSGRYVEFTPFGSIIFPAPRVIQFNPLIVSTATSRFHPANSISLVDPNPGNIDRAPSSPQVQSGSTDNNDGSIAVRRSRQIANLDLSLISKNHHGIRGRNFVSQQGNCNTIGLGNPRHGTVNRQTKGEKPRMLKCTEEIFLLDASDGSSTIRYMEPRKTQEKDMMKCSLMEEHRVTTEFQRVSVGNRVFECILKEFKICTNPEVMSHERSFDYFDSLAVYPRDNNLVLEQVELSKDYRGFHPQYYNGKRSLLSENQFQTGISDRKEVIQKVHRVREKLDNGRTHVLKYQYLDAGRSGASLVRDLTASPAPDACRSGTSEEGVLRKLTSMDACRSGAPKGRKFITTDNSGQTSDKYAASSDPPGRRVGLKSYCFVPTIESFDTVSDVAASRSGAARGGIECLTPVPDVCRSDTPGKGFNRAVPNLDAGRSDASTKESDESAPNLGIRQSSSHRRRFGLKSYCFVPTIESYDTVSDVVAGRSDTTPKDTKQFNPTLGACRSGASKSKDDQKSSGSEYSPNVKLRRPRTIDDWAKIRLPTHRVRPYQPRKPKAKLARYVLITQAAEPQTPRSVDSCQVPDQASVTLQTKMH >CRE04975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:249201:253995:-1 gene:WBGene00064373 transcript:CRE04975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-haf-7 description:CRE-HAF-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MN99] MPPLLLKLLMLLHVVFDVTFTLFSLGFYSPGWNFNLNDIFKTFHFAHYSYWTSPLDYVVLCLLRQVSLLIAIIIITRGKSKKLKKWMLVYDILGILGYMVAVVKLLAFDETAEIMKYPGVFMSTGSAVFLTFSLPFAIRQTLLTKDSEEEGYERLQNENQENASVENGTATTSNGTSNATTSATTSSESTDDKEEEDAVSKEEKEKRLPLSKHLWQIVKLCGKQWKWFTAAYSLLLIDCILNLIEPALYSQMMSTAIQMKSFDVLKKACLILAIVQFSEATCNTVRYICMQYAERLTARNIRVGLFRAILHQDISFFDENKTGQLMSRITHDSESISNSLPVYIETTTNNLFMLFGSAPIMFYYSWQLSISTFITFPITLLMTKYYGLFVEKLSEKENDATAVSNETVEEVLSAIRTVRSFAAEKMEHMRYTKNTDAWFKISIKSVVVGTFYNYFWATMWNIEDVVIYLYGGYLTLNGRMAPEALLTYVFYHWRLHAALNAFSSLFSDVMKTIGSSRKVIHIMNRHPELDYEVGTETPEVVGNIIFEDVEFAYPTRKTANVLNGISLSCEPGKTIALVGPSGNGKSTLVSLIQQFYAPQSGRILLDGTPIHNIDHHHYHTKIALVAQEPTLFSGTIRENILYGIENGTDEDMMRVSEMANVHEFVSKMEKGYDTKCGEKGVQMSGGQKQRIAIARALIRNPRVLILDEATSALDAESESMVQEALNRCARERTVVVIAHRLSTVRSADRIAVIEKGNVTEMGNHEELMKNPDGLYYKLVSKQLAPMELKKVEEEKPIVDFF >CRE04976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:256735:257169:-1 gene:WBGene00064374 transcript:CRE04976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04976 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LQ92] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE04910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:258316:262904:1 gene:WBGene00064376 transcript:CRE04910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpsf-2 description:CRE-CPSF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MNA1] MTSIIKLRVFSGAKDEGPLCYLLQVDNDYILLDCGWDERFELKYFEDLKPFIPKISAVLISHPDPLHLGGLPYLVAKCGLTAPVYATVPVYKMGQMFIYDMVYSHLDVEEFEHYTLDDVDMAFEKVEQVKYNQTVVLKGDSGVHFTAMPAGHMIGGSIWRICRVTGEDIIYCVDFNHKKDRHLNGCSFDNFNRPHLLITGAHHISLPQMKRMDRDQQLVTKILRTVRQKGDCMIVIDTAGRVLELAYLLDQLWGNADAGLSTYNLVMMSHVASSVVQFAKSQLEWMNEKLFKYDSNSARYNPFTLKHITLCHSHQELMRVRSPKVVLCSSQDMESGFSRELFLDWCSDSRNGVILTARPSSFTLAAKLVNLAERANDGVLRNEDRLISLSVKKRVPLEGEELLEYKRRKAERDAEETRIRMERARRQAQANESDDSDDDDMAAPINVTRHSEKDYRSFDGIESDNTHCFDIMSKWDNQQKASFFKSTKKSFPMYPYIEEKVKWDDYGEVIKPEDYTVISKIDLRKGGNKDEPVVVKKREEEEEVYNPNDHVEEMPTKCVEFKNRIEISCRVEFIEYEGISDGESTKKMLAGLHPRQIIIVHGSRDDTRDLYAYFCDNGFAADMMKTPVAGDLIDASVESFIYQVALSDALLAEIHFKEVSEGNSLAWMDARVMEKEAIDNILVAGTSQLMIEDGVEVVEEEDISDEEHGFEIVENEEELMETVRVGNQDQNKSAPEGANTEADESLENAENARKANEAAAKPRGNLILEPLPKKLIPIHQAIFVNDPKLSDFKNLLVEKGYKAEFLSGTLLINGGKCSIRRGEMGFSMEGALSKDYYKLRNLFYDQFAIL >CRE04911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:266238:267623:1 gene:WBGene00064377 transcript:CRE04911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04911 MSFFHLFLFFSDFSQISQRIIQLDRITTPYFSVLFFLVIFPNDIIFIVAPPIFPNYLHFFIQFLPQSTSQTMSILYEDEYVRLGQFTLFVKNYHFPTKKTKSISLEAITTLWFEEQETCKSSTTKIWGKASSASIYWALDVKRSLGSLSPRSPAKSNVVVEVGAKLRVGFSVENIDEFMDAIRTLLDYHVIIVNYINLP >CRE04912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:268910:270139:1 gene:WBGene00064378 transcript:CRE04912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04912 MFFVFFCCISSHNHRQKPTKPLSYELLAMDTFSDILSFFQSFHIGFIAQKMFLNAHLIVFHPFFKLFPVSRKMSSSPVKYVLVISFLISIVSCRPPVRNDQEKKILGSIEEQMELGDYIDYFEDFFPVLNNSTKLTENYQYEKKKYLTSVGFPAKIKKML >CRE04913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:271664:272456:1 gene:WBGene00064379 transcript:CRE04913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oig-3 description:CRE-OIG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MNA4] MSYSPPQPPPRQYSSTLNVDCVDGVYRQAQQVSPLTKQVNHLAYEIPKNKYANNPWNYAPEFLKVFGDLRVHIGGKAVFDCVLLGSPRPRVCWLFNEDKLRFSDVIIEDTADVCRLTIPYVQMHHFGTFTVLCENEVGRATAEAQLLPLYE >CRE04977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:272752:275621:-1 gene:WBGene00064380 transcript:CRE04977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-61 description:CRE-UNC-61 protein [Source:UniProtKB/TrEMBL;Acc:E3MNA5] MSFETILYTAVVLLFIFLVFLLTTAFLIVRRSKQSSVNLQTVVVTQENPYVTMTSRSSKMEIPVILPKKFNMTDTEHKHLPPHNPPPPVPHHHHTQQTHSTHHNNSTTISSATSSINTTSTASKKPAVAAPAAPLASKAVGVLYFIHFDIYFLQMDTVGRVMQLNGHVGFDSLPHQLVKKAVEAGFQFNLMCVGETGMGKTTLIESLFNMKLDFEPCNHELKTVELRTKTYDVAEGGIRVKLRLVETAGFGDQLDKDKSAKVIVDYLESQFEKYLQEELKPRRMLQYFDDSRIHACLYFISPTGHGLKALDLVTLRELAKRVNVIPVIAKSDTTCKDELLRFKKKILSELKSQKIEIYSFPTDDETVSTTNQAMNTAVPFAVVGSCDFVKKENGQMVRARQYPWGIVEVENEQHCDFVKLREALLRTNVDEMRQRTHESLYENYRRDRLRQMKIGDGETGPKIIEKLAQRRFQKHREYQDEFSRRELSLREEFQKKLDHTEADMRKMEEALAAREREVQGKHEQEAKKLDAEIRQLTEERMKLMAKVSKKLRK >CRE04978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:276130:277191:-1 gene:WBGene00064381 transcript:CRE04978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04978 MFQRIISLLLLLYACKSVDAYMCHKCASTDAVWNWVKYGLPVNQGDSIVSDDKCLVESKLKKDGDACAGYCMTIHITRTDEENKGKTISIVRDCQPRTRNLPELTEEDAPLCTSYEKVVNRRNVNITTCYCRGHYCNGLGFAEATRHSKALELPQNSIEPKESSNFPCTCRRKKDDENEGEYEMGRQEEVIN >CRE04980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:282431:284565:-1 gene:WBGene00064382 transcript:CRE04980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lipl-8 description:Lipase [Source:UniProtKB/TrEMBL;Acc:E3MNA8] MIAIFLLLLISIGSLKSKEIEETLDAVELIAYYGYPVERHYVTTDDGYTIEMQRIPYGRDDRSIDGCTKRPVVFFMHGLFATSYMYLFNLPSQSAAFVFADAGFDVWLGNIRGTEYGLNHTTFHPKEARFWNFTLYEHSHFDLRQQIEYALEKTNQKSLFYVGHSQGTTVMFARLAEADATWQSKIRIFFAMGPTAGFLKPLMPFTLLGEGQLQKLIQFVLDGKFGILPVEVPKAISSIITNICQSRFFSPLCSAGLNAAGLETLGQVNTSRIPIIISHFPSATSTLNLLHWAQIFKFHELRRLDLGAKRNLIAYGQKEAPKFDIGNIVAQTILYFSKEDQITDEMDVREIIMKQMGPGLIESYSLDHFTHADYAIGLRATDEVYKPIIYRISAHLFF >CRE04914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:286899:288721:1 gene:WBGene00064383 transcript:CRE04914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04914 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MNB1] MSKLKEMKSRTGDIFQGETVDQLAHRIIEHVIDRVLLLNLFQTESILKPLPAMLEIEHPLTIVGDIHGQLDALIRYFDAVGYPPKVQFLFLGDYVDRGAKALEVSLLLFCYKVRHPHMLHLLRGNHECMKMNRLYGFHEEVARKRGSTMWRRYQNVFNELPLCARVGQRILCMHGGIPQNYKSWDSFRELKKPKTPKKCDEGLQVDLMWADPTQDKCNVFAMNMVSDRASCGSLNVMFQQRAISVIFGEKALTDFMKKLGLSLIVRAHEVSQEGFNFMFDRKIVTVFSAPYYCGNDTNCGAIMHVSPSYEISFTVLRPRMILTPENTEVVRQMENNYKTLMALSPDPSEIYIMI >CRE04983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:288842:291410:-1 gene:WBGene00064384 transcript:CRE04983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04983 MKTTSLLYFFLFFLFFESTKCADPLESLIRNGWLMLRDYGEKVISNIAIPRTSDVPEGVSSSFQLDPDKKKALETLTQMMNTCPRGYPVQDIKGLWHVTKVSKRMLHTSFTDIHEAIEKLSTGGSVTSRKSLFSLFKSDPIMRCFQITVLNDSTSSPFEFSYLSTDSQRKSIIGHVSRASSTQITLHLASVIDIPLSVVHASSTYIVLSQTDTIPAPCDSFLVIERNPTVSVSESSEISQVLSSLGANSASNPIISMNHCNDLPTKIPSDYSSNSIIRPASQVSDSNSNSNLYSRFNKLL >CRE04915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:292077:294413:1 gene:WBGene00064385 transcript:CRE04915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04915 MNGVGEGRGWTHFTLAIESMLRALGSNRGMDSIRSPIFQLLATFCFVNGDPILPNVQTGYVPIFGNRVTLEPITFTFTTPPPKSHNVLRTFPTLRPFSSSEFLNALPGPPIQNNYPQNNHIQEFKYEVQMPTHPRPFVAATPVYSQAQIYKSMQASLQQDVYATYRTMWKQMMDTWLRLRSHLMSEGVEADWHVFWQKFTGTVEPYMTTISNQVGKFLNVFLKHQHEKEVKESTWGR >CRE04916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:294560:295736:1 gene:WBGene00064386 transcript:CRE04916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04916 MSTSTLERTPSEDSLFLAALREPCTESIEKPFTGFYDKFNFTVSVLALLTFGAIVNLLSILPCYPTSLIGTIGTIACSIWYNLHGRKMTRNEVVYFNVSNMLEVEHTEFRFGLPANDDDCLTPGDGEQREAPQPQTLWDALFTDEKSMQMERFYKKHYGGVHANATKALMEYEAKKSKREEKSQKLSLTSTASSTSKSL >CRE04917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:305011:308154:1 gene:WBGene00064387 transcript:CRE04917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04917 MEYDYQIAEGELPAQPNCSYYGTDDVIGKMFLIGLFANLIAVTSIVFNTFYTIVFIRNPSLRRSGVFYFGVIAVIDIIMGINYIAVMVVPVYMDYYFYLPLWHVFLSYFRIVMTESNCAMFASMLMIVLATTERFLKTFDGKTISVCRKFLERNRYGVSAFCIFLACAYKYVIYYELDVEHHPHCTEFEEYEIIAGPYAMDPNYRFYFMFLLRNTLDRILPFLVLLTMNVLIVKALKEDERLKLQKESVVSNGKTVNLKSHRRNVKDATRALISLVSIYLLSQSLQVFLTVWETINRSSLEDGFPTMYSYLNDIVSIFTLLASCLRFPIYFCCNRLIHTASIDTLHGMRITCFMGNSKKPQEYSPIHGIPNSLECGGAVDGGSKTSLSSPESISKPSAVYDEKLQEWRL >CRE04984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:308586:310844:-1 gene:WBGene00064388 transcript:CRE04984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04984 MSRADEGRLIHLAKKREREKEDIEKQLRKLEEDKEKCRVGITNKFTANYETMEESVKSKTYGLVSLDDMKNIQKNEISNRDLQVARGESSGSALSKDSQEAREKEEHVAKHTQKRVLSFAYEDEEEEEDAVPIIPKKRVGMDPTVDTSFLPDKEREEFLRRKKEELAAEWRVKQNTEKNEEITVAYAYWDGSSHRKNMKIKKGNTISQCLARAIEALKKEFTELKSCTTENLMFVKEDLIIPHFYTFQDFIVTKAMGKTGPLFVFDSASDVRIRQDAALDYGESHPAKIVLRSWYEKNKHIYPASRWEPFVPSKKYGRNFDDLSDL >CRE04918.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:311530:313914:1 gene:WBGene00064389 transcript:CRE04918.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-21 description:CRE-DAF-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MNB7] MSENAETFAFQAEIAQLMSLIINTFYSNKEIYLRELISNASDALDKIRYQALTEPSELDTGKELFIKITPNKEEKTLTIMDTGIGMTKADLVNNLGTIAKSGTKAFMEALQAGADISMIGQFGVGFYSAFLVADKVVVTSKNNDDESYQWESSAGGSFVVRPYNDPELTRGTKITMYIKEDQIDFLEERKIKEIVKKHSQFIGYPIKLVVEKEREKEVEDEEAVEAKDEEKKEGEVENVEDDAEKKKTKKIKEKYFEDEELNKTKPIWTRNPDDISNEEYAEFYKSLSNDWEDHLAVKHFSVEGQLEFRALLFAPQRAPFDLFENKKSKNSIKLYVRRVFIMENCEELMPEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNLVKKCMELFDEIAEDKDNFKKFYEQFGKNLKLGIHEDSTNRKKLSEFLRYSTSAGEEPTSLKEYVSRMKENQTQIYYITGESKEVVAASAFVERVKSRGFEVLYMCDPIDEYCVQQLKEYDGKKLVSVTKEGLELPETEEEKKKFEEDKVAYENLCKVIKDILEKKIEKVAVSNRLVSSPCCIVTSEYGWSANMERIMKAQALRDSSTMGYMAAKKHLEINPDHAIMKTLRERVETDKNDKTVKDLVVLLFETALLSSGFSLEEPQSHASRIYRMIKLGLDIGDDDIEESAVPTSCTAEAKIEGAEEDASRMEEVD >CRE04918.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:310364:313914:1 gene:WBGene00064389 transcript:CRE04918.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-21 description:CRE-DAF-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MNB7] MSENAETFAFQAEIAQLMSLIINTFYSNKEIYLRELISNASDALDKIRYQALTEPSELDTGKELFIKITPNKEEKTLTIMDTGIGMTKADLVNNLGTIAKSGTKAFMEALQAGADISMIGQFGVGFYSAFLVADKVVVTSKNNDDESYQWESSAGGSFVVRPYNDPELTRGTKITMYIKEDQIDFLEERKIKEIVKKHSQFIGYPIKLVVEKEREKEVEDEEAVEAKDEEKKEGEVENVEDDAEKKKTKKIKEKYFEDEELNKTKPIWTRNPDDISNEEYAEFYKSLSNDWEDHLAVKHFSVEGQLEFRALLFAPQRAPFDLFENKKSKNSIKLYVRRVFIMENCEELMPEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNLVKKCMELFDEIAEDKDNFKKFYEQFGKNLKLGIHEDSTNRKKLSEFLRYSTSAGEEPTSLKEYVSRMKENQTQIYYITGESKEVVAASAFVERVKSRGFEVLYMCDPIDEYCVQQLKEYDGKKLVSVTKEGLELPETEEEKKKFEEDKVAYENLCKVIKDILEKKIEKVAVSNRLVSSPCCIVTSEYGWSANMERIMKAQALRDSSTMGYMAAKKHLEINPDHAIMKTLRERVETDKNDKTVKDLVVLLFETALLSSGFSLEEPQSHASRIYRMIKLGLDIGDDDIEESAVPTSCTAEAKIEGAEEDASRMEEVD >CRE04919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:314510:317394:1 gene:WBGene00064390 transcript:CRE04919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gasr-8 MKAASTAAASRDTGTNMQNKRVMRKPKAVRSTTTVAARRKVPATVTSAKKKVQVVEPIQVDARKIAEAEKKLNAIQIHKDRLKDRADKLSGELTKTRIELNAAQQERGRIQQFWRIAENGLDEERERTRDVEKSMFEMKTQQANQVQRLQQRIRSLVFHAKMNRNAGTQSEPIAPSGGLESVECQTMEELTKEKAANILRQSDDLLVAFIAELEKEKLEAQSDLKYAIVHLEQRMKEEQETQMEAMKEEREIEIEEIGLKHMEQIRTIESANSEQTAMMQGKIDFLQSEVSTLRDLRDSLSADLVESREKLTDQAIILGQNQANIDEMSKEIARLQDYKKNFQRDMRNVENMRKEVEKYKELNDIIVGEFEKIEKERDQLLGEVERNLGRLEISNQQKFERLEDRGKRI >CRE04985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:318606:323459:-1 gene:WBGene00064391 transcript:CRE04985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-112 description:CRE-UNC-112 protein [Source:UniProtKB/TrEMBL;Acc:E3MNB9] MAHLVEGTSIIDGTWQLPILVTDMNIQRSIYVRGDLHIGGLMLQLVNECDTERDWSDHALWWPEKRRWLQHTRSTLDQNGITAETQLEFTPMHKEARIQLPDMQMIDARVDFSVNSFKATKKLCRDLGIRYSEELSLKRYIPPEDLRRGTSDADNLNGPVSMRPGEESVGPMTLRKATPIFASQSNLDMRRRGQSPALSQSGHIFNAHEMGTLPRHTSTLPRGVSPSPGAYNDTMRRTPIMPSISFSEGLENEQFDDALIHSPRLAPSRDTPVFRPQNYIEKAAINRGWLDSSRSLMEQGIQEGEIVLLRFKFMNFFDLNPKYDPVRINQLYEQAKWSILLDEYDHTEEEATLFAALQLQATLQRDSPEPEDNNKDDVDILLDELEHNLDAAALNRRSDLTQVPELADYLKYMKPKKLAAFKGFKRAFFSFRDLYLTYHQSSSDVNSNPLGHYSLKGCEVSPDVSVSQQKYHIKLLLPTAEGMVDFILKCDSEHQYARWMAACRLASRGKSMADSSYQQEVESIKNLLKMQSANGNENGNNTASRKANAVKLPNDFNVEEYISSKYVRRAKSKQSIQQRVSDAHGNVRQLTATEAKLQYIRAWQALPEHGIHYFIVRFRNARKAELVAVAINRLAKLNMDNGESLKTWRFSNMKKWHVNWEIRHLKIQFEDEDIEFKPLSADCKVVHEFIGGYIFLSMRSKEQSQTLNEELFHKLTGGWA >CRE04986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:324379:325722:-1 gene:WBGene00064392 transcript:CRE04986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04986 MDCAESEHASYYWIMHSVFIISLPVYALAILALLQTKSPYFEKYKLFLIWHTSTNLLAELLNSWFLLPVVHLPLPLLRFTGYLSLWGFSGLLQFLIIGSMIYLTAYSVFEMFMFRFRASLLNYKATSFHVYLRVNTYIFRGVLLIFIIANCVTYTFGLELQAKNRQKLFSDHQPDASILVLCPTVIVAVPLEDPVAMYNMVVWVLVVFITITSTSATTVYLKRNLKENAQQSATVVRMHKMLLITLAVQTAIHGFMLGAPNAMFIFAAFFGVRHEFVAKLSFISLTIHGFASTLAMILLTKPIKNSVILMLKCKMSDKLVVEDTRRMSHKF >CRE04988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:330236:331573:-1 gene:WBGene00064393 transcript:CRE04988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04988 MDCAESEHASYYWIMHSVFIISLPVYALAILALLQTKSPYFEKYKLFLIWHTSTNLLAELLNSWFLLPVVHLPLPLLRFTGYLSLWGFSGLLQFLIISSMLYLTAYSVFEMFMFRFRASLLNYKATSFHVYLRVNTYIFRGVLLIFIIANCVTYTFGLELQAKNRQKLFSDQPDASILVLCPTVIVAVPLEDPFAMYNMVGWVLVVFITTTSTSATTVYLKRNLKENAQQSATVVRMHKMLLITLAVQTAIHGFMLGAPNAMFIFAAFFGVRHEFVATVSFISLTVHGFASTLAMILLTKPIKNSVILILKCKMSDKFSWSMGQSFPTQRI >CRE04920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:335794:339900:1 gene:WBGene00064394 transcript:CRE04920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04920 MSTLIDLFAGSLGGAAGVLAGHPLDTVKVRLQTQHGPTPQYRGTFHCFKLIVQKEGFRGLYKGMSSPLLSLSAINAIVFGVHGGTCRKMEDPNSITSHFVGGAAAGMAQSVIAAPTERIKLLLQIQDDKTKTKFNGPIDATKQLIKTHGLKSLTRGFLATVARDAPAFGVYFASYEWMTRTMSKDGTLSSAQLLFAGGSAGMLSWLFNYPTDIVKSRFQADSSYKSYMHCIKQTYAERGYRAFFVGLNSALIRLAITMYYSKTSTFSRAFPSNAATFFTVEWTYRLLLDFNLLSNVTKEAEKICAESQLLPKCKRLLTQTDFWASNGHFLLPEAGSTIVDPMLHGYRFF >CRE04992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:344614:349835:-1 gene:WBGene00064395 transcript:CRE04992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmp-3 description:CRE-PMP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MNC7] MARLALDFLFFRRFWRLLKILFPCHRRSITAWLAGALLVATAIDQVMTYLVGIQPSLFYVALGNRDIDTFKSLCARGAAIIIGKAFTLAVFKYLTNMLAIKSRQVCNLTMHRLYFKRQAFFKLSSSGDMLDNPDQRLTQDIEKATRILSNDLLAPVVTAPFIIGYYTWLTYESSGWIGPAAIYSYFVVQTIINKLVLSPIVQKVSEQEKMEGDFRQRHMEVRSNVEAIAFYRAGVLENIMTNQKLKSLILTQKSLTEWRMLLNGITNIFDYFGGILSYLIIGIPVFITHLYDDVTPAELNGIVSRNAFFYLYLIYSFSTVLKLAGDFGELAGVTHRVMELHEELNRLHSDCLETDRPPSTVPSSVVVIASDEDDKSATRHMQEIHGKQMSLERDEQEEEEAQYLLGGKTNGDDEWPDDGVAITVDSATLSPPNDHGHLIVQLLSLQIIQGQTLLITGDSGTGKSSLLRMFAGLWHCSSGKMDCHWRRLTSNLFFLAQKPYFPSGNTTLRQQIVYPVKALQVDKDVARITQILEWVKMEHLIERCGGLDTPVEWDWMKTLSPGELQRMSLARVFYTRPRIVFLDESTSAIGFELEMQIYKKLQEEKITFVSVGHRYSLKQFHDMELRVKGRGGEWSLHDIDTASIASRTASFLGTDTVLSM >CRE04921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:351054:353893:1 gene:WBGene00064396 transcript:CRE04921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rfc-1 description:CRE-RFC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MNC8] MSTKRRLVVSSDSDDDMPAKTKQEQPMATIPAKKRPKPVTLSEEEEEEDTHIRQKKTTKRSKKREEVESDISSEEDELVRGSDEKKKRVSKKDGKKALPPGQKTLDFMRKPSTSSTSSRNEEPKKKNIEKTLVNPADFFKNSPTPSASKLPKVTPKVVKTAEKSVTPVKISPSTKENTKAKKVSDDEFVDSDDSFDNFKPITAAKKTPEKKKEPEKKELKNKTPVKKETLKKELPVKKEVPKVTSDVSSSSQVSTSSVVFPTKPKGPEPVLSWVDKYKPKRMDQLVGQNGEKSPMNKLMDWLKNWARHNLGEGAKIKKPKPPPFMAQSDGTPFKAALLSGTPGVGKTTCAYMACQQLGYQLVEMNASDVRNKKHLEAKIGELSGSHQIEQFFGVKKCVPQDNLKVHHVLIMDEVDGMSGNEDRAGISELIQIIKDSKIPIICICNDRQHPKIRSLANHCFDLRFSKPRVESIRSRMMTICSQEKLKIKVEELDELIELSGHDVRQTIYNLQMRSKSADSKVSKKDQAWGPFEAARRLLDSRTTLMEKQEMFFVDYGIMPLFVQENYLNMKNDKHSQLQAIRGIRKAADFISLGDLVDKQIRGGGSWKLLNEQSMLSAALPAMATGGHLKSMLQFPSWLGKNSTAGKKKRLLQQLVQHTHLKVSASTHSFATDYAPMLRQKITKPLLQHEVDGIPAVIDTMTEYDLIKDDAEALTEIATWPGKIDPASKILSKVKASLTRTLNKTSRMLPYSIDEVSKGKRKGVNAIGVEMDEEGNLVEQFEDEEGESDKEEEAKPTSSEVIVKTRTVGPSGTTKSTRGGRGGARGGARGGRGSKK >CRE04993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:357908:358870:-1 gene:WBGene00064397 transcript:CRE04993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04993 MYIGWIHHYIPKLLGFLAFIVNPVFVYLVFSGKSICLGNYRFLLLYFASFNVIYSTLEIAVPIGISGYRYCFYLLLTDGPFEKASSFNHFVLTVRCSMLASSYSILISHFVFRYLVILRSKYSSTRFRFFMTGSFGLCAAYVLFFAWVCESWLYAPKTVKSYIRRAFREEYGADPADFNMLSAIYNVSKGEASGEDEFRSWTGVLMLVFMSSASISTYVFFGYKIMRKLNDSRSHTMMSQETAVLQRKLLIALTVQTIIPICISFMPSLVAWFSPVFNYNIRRLKFQKFR >CRE04994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:359198:360261:-1 gene:WBGene00064398 transcript:CRE04994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04994 MAAELIQLNPGQQVERWSIEKKLGEGGFGAVYRVFDATGKYAMKVEGCNEQIQVLKLEVSVLNELSKRGNRHFCKIEDKGKFGNFNYVVMTLVGKSLADLNKAGPGGHMTMGCSIGIGIQSLEALEDLHNIGYLHRDVKPGNYTIGRPELNEVRKVYILDFGMCRKYTGNDGTIRKPRQAAGFRGTVKYAPISCHLQRELCRKDDLETWMYMQVELSYGTLPWQHITDMAQVGSAKQNIRNNLTTLFPPPCPPQFQDIMRMVDQMKYYDAPNYQAIYGMMRSAYGVCGSNEAAPYDWEGNGPAAYLLR >CRE04922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:360857:362154:1 gene:WBGene00064399 transcript:CRE04922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04922 MSSPENYLPWITVTHLIPKIGFFASVILGLILLTLNLCGAQRHFGSYKYIISIFTIFGMIFATNEIIVYPNIHSYNSGFLYFSFDESFGMSSEKTKNIPLASYTFMFAVTLSLLPIQFIYRYWAVFNVQKLKFFHGYYWLIWPFFCSYFGLQYSLGVYFLMDMDEISIEYFREEFLLRYDVNITEIPAKAVLAYNPSDGSVRWWNVMSVLNIYLIVNTLYCIMIYCGWNMHKGMEEKVRNFSEILKNQHKQFYKALVLQIVSPTVILFLPITCIIMLPFFNLKISLPSGVIGCSFTLYPAMDSLIVMYVVSEYRKSAWRIYQNFLVYLNLCNESRGIATGNSTSELLFLLKLKHSTGQKDTKFNSF >CRE04923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:363022:365404:1 gene:WBGene00064400 transcript:CRE04923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04923 MKYLVVPFLLLGVLGVVESKVKCPRSTFGVELECAFECCTSFEGRDQGYYCCGIEEKQQLERGGHHLAMDGGVPRSDRFVAYGNTFQVDYTMLVIGLIVSILISILLSFFCCLLCNGCWLHRRRNPQQYESVHRDNGWYPICCGFGIPMGTVVFSTHPPQYREDSEMYGGSSMSSLPSSKQRVRFNPDGTPRGVLKNGHEGGQPQQYYRD >CRE04995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:365920:367298:-1 gene:WBGene00064401 transcript:CRE04995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hot-1 description:CRE-HOT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MND3] MPSISSPVLLLLMLSLLNQRSWAQNTVVGPFLTSDTARCYSCMSKLYEAVWPSLSHIYKKPRNFTDDCDDERIAEGRVPIVHCPTICVSLFEQPNIAGVRIKGYIRGCMSDVLISGFNQTIVTWYRWMHRDSCRPYRKKELFKLGGDSPDDSTIDVCTCYADHCNGNSASPTSSLSIVFLMVLSWLLLV >CRE04997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:379473:380610:-1 gene:WBGene00064402 transcript:CRE04997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04997 MAEFLKLTDWTWQLEALKVTPSILAGMNKDQELLYRREGIKLIAEIGAALNCKPRPTIGVAAVYFHRFYMEHSFQNFNREITAISCLFLAGKVEDFPKKCKDVCAAAQAQWPEIYGKYHHSLVDEVMGAERVLLHTLKFDLQVGLPYDALLEYKTMFPDMSREQITDAVQIAWTFINDSIYTTLCITTEPQMIAIALLHLAFTVKGYQPVQQNMDPCWWSADVSNWPQESVDKACHLVLDFYSATKEHPVLESRRLTTL >CRE04924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:381560:383250:1 gene:WBGene00064403 transcript:CRE04924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04924 MPYGHRNNSYKYGGFRQYRPVQQTQNYNQFEAPPPPPSRSVFDFTLEQGNLAGTKTAKTDLVFAKEIVERAANLTPSPEVRSQIKQYAQKVIVALEKEHRENTLPEVGVTRIMHVGSFVTGTSTHLSDKSDVVVQLKCLPSFETLLILGNKIVENIQSADPSETGVALPTEDGCIISSHNKQVRVLVTINPLDSVKLEPELHLDETRMMINHFSLRHVSWLTEMTECIPKETVQEYHALIRVLKDVRSRFRGLQPLSVWALQFLAFHCLFDGPNRQKTNLGTAFRRFFELISAGIFLPKAAGLMDPTAPNHRIGFDLTFEQMDEVCIAAQTLIRVFATGDDGYRAILGTEGTAADLTKTTSVWNGITIQPSETAYEDGCYDQPPSAEAEKIPVV >CRE04925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:383380:386422:1 gene:WBGene00064404 transcript:CRE04925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04925 description:Glycerol-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3MND7] MSRILGLGALGVTAASTLAVYQTRFVSRIHQASSCQMSRLEDLPSREIIVDSLKKEKQFDVLVIGGGSAGAGVALDAQTRGLKTAMVEYGDYCSGTSSKSSKLLHGGVKYLETALKEMDYEQYKIVQEGLNERINVMKAAPFLSHTFPVLVPTYKWWQSVYYWGGVKVYDFLAGKGILKPSKFVSKEEALEICPTIKKEGLRGAMLYYDGQQNDARLVLVVALTAIRYGAKCVNHTECISLLKDSDGKVNGAVVKDHVTGETYKINAKVVVNATGPYNDHIRKMADEKRKPMILGSSGIHLTVAKYFCPGNTGLINPKSSDGRVIFAFPWEDVTIVGTTDDPAEASHSPTVTEKEIQYILNEMNRSFEKEYQIKREDVISAWSGIRGLVLDPRKKDHKTLARGHLVDVGATGLITIAGGKLTTFRHMAEETMDKVVEVNKLEEAKPCATRGMKFEGGQEYTPMLYRIISRKYGLEESVATHLCQTYGDKVYEVLKLCKSTGKKFPAIGHRLHPDFPFLEAEVRYAVKEYARIPADILARRTRLSLLDARAARQVLPRVVSIMAEELEWSPEEQKRHYEEGLKFLKVEMGVCNLGKGKEDTFELNNAEKTAIYNIFKKVDREKRGYFSGADLKVFLNKRANVHQDILDDVILEANDGNKYISPGDLHKIYLDIKNGSFKGRRLVDHLGEVKWE >CRE04998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:386573:387393:-1 gene:WBGene00064405 transcript:CRE04998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04998 MASRLKNRQRTAQLEQSISTEKSTESNQQTDRRPSTTKEDGDEFPAAPNIAAKIAQRRLPRRTPTSHVKGASGDLIRRRLSKTNDEQGSSRSSRFSYGSSFEKSFDEPDKKISEEESKPAPLQRSSGPVVPSSSSQTADSATKTQ >CRE04999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:388271:390156:-1 gene:WBGene00064406 transcript:CRE04999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-22 description:CRE-GLB-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MND9] MMGAARAGFYKKRQSNPTLTSIQKKKRNSSTSVTSSPARTSANRLAVPNPPLRKRSGSAPAIKLITLASIWNLKHEHIRALKTTWARLCEPPRANCKGIVSLVERVWEKLDTKDKDVRNIFYNAAFVDSMHERCERRRSGSIATLRDHTHFFVSLVSQVVSSLEQEPAKILEHLDHIGQSHAYLKRYGFKSSHWEKVGEYFVDHVVIQDCVRGFPDACRAWTVLVSSIVDRLRAAPRRGSFLNSPSSSRRGSMCTSSQLSINDDAPKCPFMSKSANTSATRLCSTESSISRRGSIVLPATTIDMASLKDALPTPPHNVHNNNNHVIA >CRE05000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:394101:396267:-1 gene:WBGene00064407 transcript:CRE05000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05000 MGALLAAPFCAASAACCFGSAACSLCCSACPGAKNSTTTRIMYALMLISATFIAIMMLLPGVQQKLVDNKWLCDYAGVNCQHAIGYQAVYRVCAGAASFFFLMMLLMFGVSSSQDGRSSIQNGFWFFKYLLMFGIIAGYFFIGSESLATPLMYIGMLGAFLFILIQLILIVDFAHGLAESWVAQYEDNDSRACYVGLLVTTFGGFLLCLVAAGYVFVNYAIGDGCGLPKFFVILNVLICIAISLLSVSPMVQEVMPRSGLLQPVVISGYIIYLTWSALLSNPNESCNPTVANITQSSISTGTANKDDSFVTPMPVHSLISLLIWLVCLVYASIRNSSNTSLGKITGGNEENVQLNDVEGGKAWDNEEEGVAYSYSFFHFMFCLASLYVMMTLTSWYHPDSDLAHLNSNMASVWIKIFSSWICAGLYSWTLVAPIIFPDREF >CRE04926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:397265:399865:1 gene:WBGene00064408 transcript:CRE04926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pes-9 description:CRE-PES-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MNE1] MTVDLTNVFQQIDGDYENLKELLREAVAIQSVSGDPSKRDETIRMVHWMKEKLEAIGTVCELADLGTQELEGKTVKLPPVLLGTLGTDKNKKTLLVYGHLDVQPAAKSDGWDTEPFELVEKDGKLFGRGSSDDKGPVLCWLHAIRAAQKNNIELPVNVKFCFEGMEESGSVGLPELLEREKDRFLAGVDFVCISDSYWLGTKKPCLTYGLRGICSFFVEVTGIKQDLHSGVFGGVIHEPLQDLMWVMAQLTTVDNRIKIPGLYDQVAPLSAAEEKTYDDIEFDVAEFRDSVGAAHLPTEDKKTLLLRRWREPSLAFHGIEGAFYGPGEKTVIPSKVIGKFSIRIVPDMEPEEVNRRTVEYLNKVWAERGSPNIFKPRPGHSAKPWVVDVNDSNFLAGARAMKRVHGVEPDRIREGCSIPITLTFQELTGKSVLLLPLGAADDMAHSQNEKNNKWNYVEGVKTLLAYIMELGSA >CRE04927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:400016:402991:1 gene:WBGene00064409 transcript:CRE04927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04927 MIQESERADVEEEETDNDEERISYLKSFISRMMNASMKEKSRAEVDISVTPEEIRIISNYAAASFASLNTLMRVDESCLPIHVVGDLHGHFNDLRNIFTKHGAPGLSHYVFLGDYVDRGRQGVETVMLLMAYHCLYPEHVFLCRGNHEDYNTTMTYGFYDECHLKYEKKGFLVWLHIINAFNHLPFAALIFGRVLCMHGGISPHIKTLDDIDSIQRPTFIPSFGLACDLVWSDPETTTNVGWSLSARGISFSFDDVTIEKFCQDNGVDLIVRAHQISSEMVTGGHRWHANGRMVTIFSAANYLGMGNDTCVLRIDEQKTVQFSLLRPVKKSRKH >CRE04928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:414619:418247:1 gene:WBGene00064410 transcript:CRE04928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gar-3 description:CRE-GAR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MNE3] MQSSSLGSADDPRFRQTHLFQMLVKVINTSAENATKTALATSSTSIPSFVDTYSATSLLGEEGRMILIVVVGALFAFLTSVGNLMVMVSFKIDKQLQTISNYFLFSLAVADIAIGKPEHETKMLINNVLGFISIPMFTYYTAVQSWDLGYTMCQFWLCIDYLMCNASVMNLLLISFDRYFSVTRPLSYRPRRTTKKALTMIACTYIISLILWPPWIVSWPYIEGKFTAEPGTCVVQFLQTNPYVTVGTAVAAFYLPVTIMCILYTRVYWETQKRQKEFGKLQATQTWASDVVDRPSATLRNSKIWKKVKKKLSSFQFSRRSMKRDVSSTSIVKSSGSVRKKNHEGYIEESLTPCTSSRNSKRKSWLRNCTGKSNSSSEDSSEAVAMNLDDTSLSSSHFALSGSRRRNVSPPCTPMPTNFEDEEQTDAGASMRNGSARFRSRPSDTKNNNSDTYTVLIELNDEGSRPSVRLSSCEPYLDDTISTRNRSKSDCNSEMDERRQSLLNKQSPFKNGRILKNFSSQERKSEKEQRKNERKQESKAAKTLSAILCAFIATWTPYNLIVCWEAFFPNTVPNVLWTFSYSLCYINSTINPLCYALCNARFRHTYMRILRCKFKAERPTINQGYVRRN >CRE05001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:419776:423569:-1 gene:WBGene00064412 transcript:CRE05001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05001 MRIRFLIFILFIYVRIASGEDEEEVEGVEVIEVRNDAIRIRWKHDTDEEVTKQRLIVNVMRSSQETEKSSQTVMVDANLRDYTFVGLAGNTTYRLSVEAFKNDTSLWYSSNMATTSLAALPWLHAPTELTLMDRKNESLEVSWIPPVVLEAGHHFVITQHLVKVYDLSGNTSTNKRSMTVPIPLTRLQIDGLKPATAYNVTVQAGTSYGYGNKVWCAFATLDTDESNILKLRSRTPNSLTVYWPANWLTKATSKFTIKAKTLHSPTGIFKEIENSAIGEPGKAHEFVVDNLLPSSTYNITITTSDDQQKEGGKKWIQMKWKHGWAVFSTMSQGEYGVAEARIVVETDFAVSIVFQPLKLPGRIISYQIKYSLKDRNSSKITEELTDANLKCPKFECQWKCALIFNLPHRPREYKFEIRAKVDNVWNRWSPVTLRQWNLLERVCSINPPSDLVSHLGDYTRQRDIDVQSAKVPQIADVWRYMVVVDSRPYDLAPIDITKLADRTTSEADHVPYYITAALTPEEVKKNGEFRIGDGKVYGGYVNYPLRSEKDPRWTLIPVTQSENEMIEPHLKTCGFNEQGSFKCDMAISEVLTHIPIALVSAGFLLLILIIFLICLAIFCFLRNRCQERPGVEETALMYYRSDSPNTISTCREYRKIERREFNASDMEERMRFREAEPN >CRE04929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:423979:426348:1 gene:WBGene00064413 transcript:CRE04929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04929 MANLNITECIICFNEYDFESRKPCIGTCGHSICESCKHLMVTSKCPQCNREEAFAITTINYQVLELMKQLNNMQTVGGSSSRQPLSEDLSGLDEGTCSECTLRSRKLRLCITCAVRVGILKFEEMGKKFVLNIENDGVEAALQKAKEIAICGDCALDGAQHEGHKTMHLAVLKSDLEDCIPTVIQEKMDQVQINAGSAFDELKKKLKISIDSLKVYFERYSLLPATERKIHFNNIEASIERINDTLSLARDAVKRLEMLNSEFVESTEVVDNNMKAVTKASTAFANMEPVLVAGEHLIKFRYYHYCKRSKNEQLLCLADRKQSAREPLLIGLFNPKDNVWTSLGRIPNPKSNYAVASNKSQIFIIGGVNNGSWLQNVEMYDKNKDRRRDCKKLKRGRTRTSAGFHNNKMYVAGGYDSTYMSSVEIFDPDHGDWRDGPSLKRPRADGVVVSCNGELFVLGGFNGKEYEEKIEKLNDRSQQFEEVGEMQGSRAGFAACAFRGRIYIAGGWSNSSNTLRSVRSYDPMTNSWRDEPSLNKDRKYFTLHATSKTIYAIRGCADSWSLINEVEKFDADGQKWDIIKCTDSRNSLQPAISMNTTIHCTRIDVKWEHIQIINQNCK >CRE04931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:430449:432532:1 gene:WBGene00064414 transcript:CRE04931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04931 MSIVNTTECIICYNEYDTDSRKPCIGTCGHSICENCKHQMVSSKCPQCNREEAFAITTINYQVLELIKHFKSMQAGGSSSGQSLLDDAKVSFQSIRTTKLSNFQGLDEGTCSECTLRSRKLRLCVTCAVKAGVLKHDEKKKEFVLNVENNDIEAALQRAKKIAICGDCALDGVQHEGHKTMQLAVLKNNLEDKVPASVEEKVNQMQKNADQICEELADKFKGTINSLKKYFEHFNLLPATERKIHFNNIEASIEKVNDTMSLTRDAVKRLDILNNEFQDSADVVKNNAKAVTKATTVFVNMDPVLVAGELSFEFSKRSIRRFCRIIKINSIKITNFYFLPDRKQSAREPLLIGLFNPKDNVWTPVGRMPNPKSNYAVASNKSQIFIVGGMNNGSWLQNVEMYDKDKNLRRDCNRLKRGRTRTSAGFHNSKMYVAGGYDSTYMSSVEIFDPDHGDWRDGPNLRRPRADGAVVSCNGELYVLGGFNGKEYEEKIEKMIDSTQRFEEVGEMQGSRAGFAACAFRGRIYIAGGWSNSSNTLRSVRSYDPMTNSWRDEPSLNKDRKYFTLHATSKTIYAIRGCADSWSLINEVEKFDADGQKWDIISCSAVTSNANTSQTSQTNEQQAVT >CRE05002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:433589:438665:-1 gene:WBGene00064415 transcript:CRE05002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kcnl-4 MLILPKGNLPSSDWDSRDSGTADRSRQSRPSVYGKRYGVAMSNAETVRQRWKMRRRLSDLKVKLCDVTLLLAVSGLILAMLDVEFTATRILEGFVNTEDLSFILRTAAIFTTIALLFFLLFYHFIDIKIQLVETGTSNWRVGITSERVLNTLLEVAICAICPIPETGFVAWPQLSNIAEKTRQYVNIPISVLLTLPMFLRFFIVCRYMVLHSSQHQDTATRTIASLNHIAVDFRFVLKSEMYERPLFVLSIASILFWCVVSWMLTQCERYAYPSISGFQHFADYLWFEIITFFSIGYGDVQVSTYCGRGLAMLTAIVGTLFSSTLIALISRKLILTTCEKRVNHIIAENNITNEHKNAAACVLQNTWRTVLRTRDYDKTSTRRNQQRLARAQRMLLCSVLTFRKTRWKLRMQMEDEDDYFTARRAFNETEDRLQKVRQRQSQLDGKISTLFENVEALTQAVMSRKCYLRQ >CRE05003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:446860:448534:-1 gene:WBGene00064416 transcript:CRE05003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hda-1 description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:E3MNE9] MSLFSSLPTTMNSNGPLQEHGKRRVAYYYDSNIGNYYYGQGHVMKPHRIRMTHHLVLNYGLYRHLEIFRPFPASFEDMTRFHSDEYMTFLKSANPDNLKSFNKQMLKFNVGEDCPLFDGLYEFCQLSSGGSLAAATKLNKQKVDIAINWMGGLHHAKKSEASGFCYTNDIVLGILELLKYHKRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKYGDFFPGTGDLKDIGAGKGKLYSVNVPLRDGITDASYQSIFKPVMAKVMERFDPCAVVLQCGADSLNGDRLGPFNLTLKGHGECARFFRSYNVPLMMLGGGGYTPRNVARCWTYETSVAVDKEVPNELPYNDYFEYFGPRYLLHIDAANTANENNADMLDKLQADVIANLEKLAFVPSVQMRPIPDDALSGMNDDSLLLDHANPDRLLPSAVTDRMVQHEGDFYDGERVGDDRRNESDAKRAAEHDENSVEEKRHRMEVSDVHYFYQYLNLSFFSEELSSAVLYQHLTHRLNLDK >CRE04932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:449298:450246:1 gene:WBGene00064417 transcript:CRE04932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ril-1 description:CRE-RIL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MNF0] MVVGKIFEAAKWTAETVKGAAIVVASPNAPIPATDLHPRMPARPWTAQEYLRMWSWRHCWKYLPVFRFYIYSGVILYGVYKFVLPIKPRHMVQYTKGKEDHHHHEVEHWWGIRQKLADKEYFKKYNPLKKEGEVEVGHH >CRE04933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:450380:450741:1 gene:WBGene00064418 transcript:CRE04933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04933 MRNNLPVIAFFFTSFLLLTSCYNVPPTGIPAVSEVDTMIRQCFQTACKEWMAECHWYCDSIKGASFLRRCKDCLSFRGQQCMDCFEL >CRE04935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:452510:453985:1 gene:WBGene00064419 transcript:CRE04935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04935 description:tRNA (guanine(37)-N1)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MNF3] MSLLQPPEAVRGMTKLDKEAFRLTVDLPVIEIEASDVGSVTRRVRLESFLIGHKLRPLKNTMDSEKEGKKYLVFNPEKVEKNDLKEKIGALIKREIDDGQPINWTTLSKELTFDNWDTKSIFKAILPVGIEYSSYTQTGHIIHCNFADEVLPFRHIIAEVLLNKVKNCKTVVQKGNIITNVYRNLDLELLAGEENYETEIKESGLRFKMDFSKVYWNSRLSHEHERVAGLFNNQSIVYDACCGIGPFVLPATLKKKPRRVMANDLNPESVKWLKVNVALNKIKDDRIEIHNMDAKQFIKENIANDVIRLMKEESGSEDFDENKLESEIHVVMNLPAYAVNFLPAFRGALKKFETELKEINKKWKWNVYCYLFAKSQVDVPDEWYEEEARRMCDEKTKWDKSLVVNCHNVRTVSSRKEMFCVQLEIPYEFLLAEPLPEEPEVPFEPEEVEEPSCKKMKMDN >CRE04937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:458381:460850:1 gene:WBGene00064420 transcript:CRE04937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04937 MTNRHVEFAMTDNRTMGDFQVDFGQTLFMCILYISIGNYIKSYCSLKKHFSLGTISILCSLTTITLYLSSRDLRRKYILYLILDCCELLDGELVCGVNLLFRISAVSYVFLGTGRGHQLLTGVMAVPITVRACFFTKYWPHSLILGTQLPSICTMFLSFERIMAVARPAVYKRVCTQNFKYILAGMVPVWGVVSKKLTIVAAGVSVIGADGDRVVGTRHCAIITSTSRWYATFHFTFIVLAYVIAFVSTLVVWATRRVMTVARFSNSTYSILIFQNLTKSKFGSQDDKLGMILAMSGTSIVLLASPAVVMLTIRWDITEWGDIEVAVTYAMPGFLSVVNTIIAFRFRKELRAQFYHLLGIKSTHKKSEPSMFTRTTLTTRRQTTVHHLS >CRE05004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:464049:464878:-1 gene:WBGene00064421 transcript:CRE05004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05004 MKSGPSAVTSTSTSSMHHWVPASLREPPSKYQKISSTSSAPVHASLKMLFQKTTARSRKRHSPKATTLDPLPAISTIFDSGSSSHSSSSNSSYGSYCQFSPEGSYTMYHLPMNYIPYIGEPTITVTASTSTMASPAPLMNSPPMSADEHKPINQPLFIQTAEYPYDYSVPDPTGGYPSSATINASQLLLRPVPNGHA >CRE04939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:484224:484739:1 gene:WBGene00064422 transcript:CRE04939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04939 MSLHRHHQQPPTYEDSIKSHSPPKYDMTPATTNSTSHNSMELPTSSATTARIEGTRIESPRSTPILLHTRSMSDSCLSPSADLNEEEEGRKSAR >CRE05005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:484873:485875:-1 gene:WBGene00064423 transcript:CRE05005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05005 MLLQFILLTATFHGLVYCGFPDYTPYTYPDSMTQSELCGQIKPSFFCDPNKIVARKKSSENETVQEEQFMEKELLYVRGETNCSCLQQSMCLKSPPRGYTISIAVVEKMHLDNNETSRESVLRAAKIFADVIRDRQNRGQCDDDLVIFLSARDQVVYTSVGSSIRVDDSVIRKISEQGEVFFKKMQYREGLEWMTKQYKHVLKEEKMDKLWNWPLPEWVLLTLAGLVLVVLATLICTIIYMCIRFCRKDRRDEYSMVEH >CRE05008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:488705:490143:-1 gene:WBGene00064424 transcript:CRE05008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05008 MIAAVLTALLFASATQYATAADNNHCPSGWTFSTNTSYCYIQSSQYMTYSEADPYCQSIGGSQVFVFTTRELTWLTDFTSSSLVQPWIATTRNTTTNIWYNSDKTTPPRSYWTTGEPGVNGDCATFKGITTAGLKATQCYSLQPALCRQMPALCPTIKDYGGSSTRSGTIQSPGYPVQYYNNLDCWYTITCKFRNSLICQIVIVSAPKNTYITLLFNPYMVQDYVDYIDVYDGPNSSYPFLGTTDYWYFLRFDFESSNNSVSFKFHTDNIITDKGWQLTWNAKSNTPPISQSGQNGSFTSPNYPNDYDPYTEQLYYITAPLGFQVNVSITDFVTELNFDILEIYNSSYVSSNYLVANLSGPSIAPWSWVSPSRYVTMRFKSDYMVQKKGFSLFWFIQ >CRE05009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:490368:492120:-1 gene:WBGene00064425 transcript:CRE05009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05009 MILLSILLAFNFIGFTVGSECPIGWTFNPDTSECYTFSARLFTFDESVQYCNSIGGKSVSISSYSERDALVALTKTNILQPWLGARRNTTTNKFYNLDGTYFYTLMWSTNEPSVNGDCVTFKGASPSGLQVTQCYQLQPAFCKQTLALCNSAVIGGPNTWSGTFQSPGYPTQYYNNLDCRYLINSPNNTFITVTFYPFLIEEWYDSVDIYEGNSTSYANWIGQPSSYSYARGFESSGNVMNVRFKTNYAITDKGWLATWKAKKDMPVITQGGTNGTMTSPNYPNNYDTYDEQVYQISVAYGMQVNLTIDDFRTESKYDYLNIYNSSVQSNSTLVYTLSGTSVAPFNWISPRSYMSMKFVSDGLIQYKGWHAFWSYC >CRE04943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:507853:509496:1 gene:WBGene00064426 transcript:CRE04943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04943 MIRCLSDYNIFCNIQKESTLHLVLRLREGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPADQQRLIFAEKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQMFVKTLTGKTITLDVEASDTIENVKAKIQKKIENNFVYEVL >CRE04940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:493739:495312:1 gene:WBGene00064427 transcript:CRE04940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04940 MDNLTTLLSSSMTTNSLSLRSEENRLVDSENTISHNGLQDPIELDSNGSEDPIELEKATKKKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPADQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQMFVKTLTGKTITLDVEASDTIENVKAKIQKKIGNIFVYELL >CRE06777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:1474:2514:-1 gene:WBGene00064428 transcript:CRE06777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06777 MDNQAVEYSSEFNDLLRTIVFEPQEKCGLNWTVSYSHELAISTKLVDCVYLTWAFDWKKLAEKEVDGFTGCITVSLLDAQMTTPSHKYAVELTSDINLVSRQIGAVSDLYWRGGSVRYKLKYEMALQPYCLASRCLGIDTQFFARDDTDVTLEIENYHLNVNSHILKIHSDYFKRLLSSKFKEYSMEVISMPTVRYEVFARLLAMIHPNVILPSGKLNHYLFFCLNKSFSEEFYDGILELADYLSMPSIFRIIEQLLRRETHLTFNEVLLLADKHGMEGLVDECVQHITMEHRSKMTIVEELQGLSVITKKNIFG >CRE06778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:2732:3822:-1 gene:WBGene00064429 transcript:CRE06778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06778 MENQEPKIENQFHFENDIGEIHLKENRDFEDQVDKELRLKVSYTHELEMALRLEESSYLTWIFDWDELEKKGVDGFTGCIIVSVRQKNMATVHQKYVFEVTRDVKSLTRRIGSVAELQQHSTWQRCGLNYEVTLQSFSLLSRCLEIDTQFNQSADSDLTLNVERFQLHLNSQFLKFHSKYFSNKLAAYVMDVFLPTLNLPGVRYEAFARLVSMISPNAILPSEEFYDSILELANQLSMPAASRIITQMILRGTHLNFYQVLTFADKHRLDELVDHCLSYISTKDHLQRMKNMESIKLLSAGCSKKILGKYLEIQNA >CRE06779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:6069:7882:-1 gene:WBGene00064430 transcript:CRE06779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aldo-1 description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:E3MNS4] MASYSQYLTKAQEDELRSIANAIVSPGKGILAADESTGSMEKRLTSIGLENNEENRRKYRQLLFTAGADLNKYISGVIMFHETFYQKTDDGKPFTALLQEQGIIPGIKVDKGVIPLAGTIGEGTTQGLDDLNARCAQYKKDGAQFAKWRCVHKISSTTPSVTALKEVAQVLARYASICQQNGLVPIVEPEILPDGEHDLARGQKITETVLSYVYHALNEHHVFLEGTLLKPNMVTSGQSFTGEKPSNADIGLATVTALQRGVPSAVPGVVFLSGGQSEEDATKNLNAINQVSGKKPWALTFSYGRALQASCLAKWAGKDENIAAAQEVLLHRAQVNSLASVGKYTGDASADAAASQSLFVANHAY >CRE06780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:9623:12620:-1 gene:WBGene00064431 transcript:CRE06780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06780 MSQEIENKKYSPDSSTTHIMRKSHTNMETSDTIRYIRRKEEIEQVSRPAPPPYIGQAIPRVKPIKTFQKQANNNSVGESSRPTSRRFDTFGENALETIYDQFKFLDESEEPHTAAQTPTSVNSSRPIMASNMKKGRSKRRPAMIIYCLLPCLQLLAAIAITATAFLTDRRNWETHPVTKPEVSDSSLATEAVRTLSIQNALSTILPAFFQIISSFFGFWPLFPSSLRRSAQFLHIMFNSIGIVLWFNAMYDLFYKISMEHVLQSPPKTTDEKFVINLIIACFIYFATVVLSAVTLTVTVFNLFLLAKRIEKSLTAISVSLGTVLFALATLTVGVFMAQANLTGEKNLSESSEMYAYGLKETIIFVFVVIVAIFSLFVSTQNNSQMMLAAIIGQGICILSISSELFTSDRISTIFNELKSFETAGSTIEIGIVLLNGCAVVSLLLLVLQLIIFVLCVSSSSTRSSSSIGSTHSLLLLDNNRNPGTTRTEDSSRVERTAF >CRE06726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:17678:21387:1 gene:WBGene00064432 transcript:CRE06726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acc-4 description:CRE-ACC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MNS6] MKSTSIVLCVLVSTVGTLLRSLDEESHECLFNCPKRTRNVSVPKTEKACMGDDAIIAQILDGYNKLDLPGGGHVEVSIEIWVQEVSKIIEITSEFELDIYVTERWTDPSLAYAHLNPCKSNMSVDGATILNKIWNPHACFVNSKLANIHESPFKNIFLQIYSNGSIWHNYRIKLTGPCSSTLRTFPIDQQRCMLFYESFTHNSDQVKMDWITTVPPITILKGNITLPDYVLVDFSASSELRLYPPGIFNELIATFTFQRLYGFYILQVYVPAYISVFISWVSFTLGAEQIPSRTTVGVNSLLALTFQFGAVVNNLPKTSDVKAIDVWILSSMAFIFASLIELAVVGYLSRDGQHGSIKFSRCRCSWLCMNCKDWTALKIDQMSSIVFPVSFFAFNIWYWFIFLGKVTRTHNW >CRE06727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:33836:35782:1 gene:WBGene00064433 transcript:CRE06727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmsr-5 MCTQDLPLFDLENNSTIFFFDQLIAFNQVYTVLHRYLCLLVCFFGVLLNSLHFYVLTRKTMRVYIINALLCAMSICDIITMTSYFIYILRFRIFDSPSTTIGYSYPWLIFLITHVTSSIALHTTSLYLSVIMAYIRWTALDRLDAKWINHGALKQILIFTALIVSIISIPTVMVHKIVPVMEVLGVNETDAVGGGLKLEGLYTVQLDETKINGCALFRVNLWITGVMFKALPCLLILWFTIALIYKLIQMSEKRKILRGEKREKEEFQLLATSSTPTNTTRDEPSPRIRKVSQCRNVSIDRTTLMLIIMLVVFLCTEMPQGLLAILSAIYPTHVHTMIYVNVGEVLDLMSLINCLTSFIVYCVMSTTYRATVKSVLCRPSNRGTVFKNTHLLLNSYRIQVS >CRE06728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:41476:43640:1 gene:WBGene00064434 transcript:CRE06728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-30 description:CRE-ZTF-30 protein [Source:UniProtKB/TrEMBL;Acc:E3MNS8] MHLETICLKHEEEEKVHSPTNTEEEGYSSGDDKDMMMDIDSGNENNGGSKGTNGELKRPDLKGSFRCSICSKVFCHSSSLSRHRMQAHFKSYKCTVCRKDISSSESLRTHMFKQHHISRMYMCRCCNWAFPDKSLLHIHLQSANNNNNNLEVMPHSVINRSCHVIPDPFQLIRSPLLNLKTPSNIDCSIASLPVPTLPIPALQSPIAMQTPPQTPSWMATLPKPIPTTTPIFVAESPKKVKEEEKHDVYISQVPTCSFKSDQSAFHQLSSDRTLLASPLIDTASPSSSSSSSRISPRHDCFDCHINKTKLSLAETALKFLEAQMVSMRQENLEANAQMNGLEQTVLRLRMEAHVLREHNELFQRKLLECQTLSVKFLQSDKCHESSEVNAFLRLLINNTILSNSN >CRE06781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:44885:46215:-1 gene:WBGene00064435 transcript:CRE06781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06781 description:Receptor expression-enhancing protein [Source:UniProtKB/TrEMBL;Acc:E3MNS9] MSAIVATIESKINDYLKTKNKEDWKEKTFTQAEKFSGVPRERIVSMSILMLAIYVLLGNFLPLFSHVICIFWPVKESFKILRQQQNPSDNILLYWILYAIVSLFDFSALPGVPFYYFAKTGLFLSITTNGIDKLKEWSEPALKFTESWVLVTPQPEVIEEHH >CRE06783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:50160:55141:-1 gene:WBGene00064436 transcript:CRE06783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06783 MWVSILFTRRRLLLWLLMTCAVTGIGIPAIPPNKRVLTQNGGSRAPSPKKKKCTEAEKNHGKRKARVAQRFGNKTLLSSNDKMLSRAVQVVEALITKEKSQIKRQLSEQVMRPPGITNEAHQRRQLCRFRFHLQDKTDSGVSSSNLVHPTVQLFPAIFRAFIKDAPSVEHQGDDIQNCNDDEYVQLLSMSSRETGFDELKRHVFAHNTFARYCDKECEVFDDTTASRIHKALCDYVSQSNGKLSREVMDKIVKFSDCFQGLRSIRTWLSSIDRLSRALGVLGRHTNALKWLKRNNPEYANISLNPDFNFQFGRDIIFENPLCNQSNADNLIRRLSAPGGNLFEEDPIVQRTQPVNQPVSGSLTPLKQFQLRKLPYAPFTKDDPKADIWAVAQLFPRGTGGMFSAREHKLNPSQYIRSVILNKVRTLGTNMQLLAYHYALKMQKVIASCQGIQSRQKKNSEKFDITDPDVIKGLTAVFQKVAGFKGYWNVVKNRLKFYCAIHGPPTWFVTLNPNEREWTDLHRLYTELLGTPANKDNIRELIEKDPAVFSRYWQKRVKSVLKNVILAENGPLVTVEHYYIRTEYQHRGTQHVHCLFWCKERPQDLSNPEEIRKYLDRHLTCRLPEDSESELRHLVQTCQMHFPQHSATCKRLKKYRGKLYTTCRFHFPQTVRSKTAVHINEDIGDGKAGKMYELARGPNKTMVNDYNPALLLAWRGNVDVQFVGANKDVINYITAYATKGEIAKKAEAIDKEGRKLNRSAAIHASGIDELNSREVGALEMIDNLLGHAWFMFDQAGIWIPTNYWENRPRMVDPKSKDPNHSFLPNFLDYYYPNRSSELENFSVYNIAVNYKVGRGRMRVAELLPEDVSQMASRVISCTGNSEVIENAARYHLEQSKKDPYMPDKFKNSEVGRFDQLPDEIIWVTRVTVMYTDNRRRMKSRTQFPLEPAAAVTIHKAQGLTLDNIIMKTSSIFANSQMYVGASRVKTMTALHLIDFDATKILVDQAALAKYEKLRASTFP >CRE06782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:49164:49605:-1 gene:WBGene00064437 transcript:CRE06782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06782 MRVPCHRQLFGVEFAENQQELETSSEDDEPIRIQLPGLPGQPKSGNQSIGVSSGGHRGGRGPGGGGRGGTTTSGASISSEGYTGGRDRQMKERHKSDHKQRGADRKKRGAY >CRE06730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:61224:63656:1 gene:WBGene00064438 transcript:CRE06730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06730 MSKFVEIPYQLATPMYLHAESLGYILEEFRADLEVVDNEDVDNGQNVKFMQKMFDKSGYKLRMYGSAQELAENVRIFSNFSQNHTYFNVEEEHYQKAPILYKSLKSNEKYILKSDLFVFLQNMVLEFTHPNRWNYVSLIAYCLKAQEDKLTECLEFVKFNEEVADDLEKKLKHELKKKPFTNVIFEQLEVELSRLNMDQMTEKFKNLAPKVNWDSNIWKSIRIHSLLTDLNEIWPIREIPRVMAATFMRYGLTLRSLQDVIDENPKMFRPSDTKTVPTVVRVFEDEDRSRYVMKAELSGEVETDTGDSQILHTMSMESVIETKDIEFILHRITRAKHRAAPIKGPNKSKSFYILAVDAFFELMKDLIFGIKIYQKVQWNSMNLESFDNFFYPEIVSVVSRANRETMYINHSFISDSEY >CRE06785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:63961:66663:-1 gene:WBGene00064439 transcript:CRE06785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06785 MSDAEMNRKFIEMMELMQKQMAEQAKKHEETIAVLTKAVAEKSQSDGSLGTSSGLTVSQSQLMNDIGGRISVFQFDLETEKTFSKWYARYSTAFTEEGKGLDDKNRVALLVSKLSEEVYEQYSRRICPKLHHEVDFKDTVDILKEMFDIKKSLFSHRFACINIARDGDSPVEYTNKVNALCELAMLKDIDPDGWKVFFWLRGLDPTQDTKARAYFLKYVEKKTELGEKVNINELCTEWQKIQSQTSAVSEMEKSDTAVRAVYAKRPSNQDQSRDKNTSEDGNSEGGKCWNCGKRGHIKPDCPKPLTTCFDCQKKGHMSSFCRSRKDSSSRKTQHVAIVGGASSEDAEVNSVRQYVSVDVNSESVEFQLDTGSDITLIGREDWSRIGKPDLERCRSKVKSASGNELKILGRTLVEFRLKESVGTGYVYVREHGNLLGLDWIGRSKEMSYHMGMMVNELTHSNTDAIHGGLNGKFPEVFKKGLKRCVKKKAVLKVKENATPVSRSKRSSVQGASRVVEKVVSSCTISQGGKIPRKIPLQPWKTPERVWQRVHIDYAGPENGQYYLVAVDAKSKWAEVKIVKSISAVSTVGTLKDMFSQHGYPETLVSDNGTQFVSKEFATMCQEAGIEHVRSPAFHPQSNGQAERFVDTLKRGLKKLKGEGSVNTEILSQFLLHYRSTPSNALGGLTPAEVHLGRRLRTRLSLMMPQLNKTVDSEQVAMKEQFDKHHGVKARSYRKGDSVFVKVFEKNTWSWKPGKVNQRQGRVVYVIGLNDGRERVVHANQMKMRLEESTQEQSKEHEWATTMFDVFELPTVWSARKSTDEAKRDMTPTPVMDSPQRVHQGQGTSSSPAQQVQSRASTQPSSSQSTTTAVQGQRLAPSPVQPRRTTRVRKNVVKYDPSAHI >CRE06731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:67248:68254:1 gene:WBGene00064440 transcript:CRE06731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06731 MTIPLRGQTGEYEGKRETPYFLKTDTVKKIKESVCLSLGKDASRPAKEVRNAKSDGFTLQNLKNELKHLGLTETFTEIQDYAKDVYVDVYAVKKKYNLRTCDLFDAIEQCQLICVLNRSEKLKKFVHNQRGCERVPGLNCADCAEKDCVETTCAVS >CRE06786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:68348:71668:-1 gene:WBGene00064441 transcript:CRE06786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06786 MRLVEIGALPDDSDLSLWEASCETITHQISLKLARKEGGLMEIFNAVVASLPRKSDVFRYSAIQSKQNLEKAHQKLIEKLSEIIPILVESGWKKSPDYQKNSLIDCFSLLEESEAVRIFQKAQESRTITEDDVDEYFVLFDKKFDRLLNYGKPQFETSLDDSTRSKYANLCVEVLVAIGVAVKNAQKITAFFDSQNLKVSKIWMTRIPEIFEKVTNAYDYPTCFDVAMALDGFPLRKVFLARRFALQSMLEVFIEMAPETKYKVRERVRQELEKPSRFVGYAAELGHCDLLNSFSPKISKSIDDSRRRLEVETVERLRRSGYLENLGTPLDELVRAQIESIREILPHFSSEIIHLTLRHFSYDSEATLASLLSRENLPLEILRIENVEIKTGVGSGEWPPLDFTASDEIEKTARKEKEAKDEEMRKNNEKKAAMNLFSLAPILSDRPPSPPVDEQAELRARALAYRSSVLSKLQKIRSAQNPTSSTDASGKIAVDAENLVPMATSKKYSALNSLKISEADKVAIRPTYDKYRYETPNSDEEGNGRGVYDDEYDDEFDGREFKMERLNQELETSSDDDDTIGASSGPPGLSGQPKSGNQSRGVSSGGHRDGRGRGGGGRGRGDGGRGGTTTSAASTTTSSEGYTGGRDRQMKERHKSDQKQRGADRKKRGAY >CRE06732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:72376:75481:1 gene:WBGene00064442 transcript:CRE06732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06732 MGLDSVKKWWNNEAENKEKSWDITGRLGSAASTVKIYSISAAMSVGSTASETVASTSSAIKNSTVSAVSSIGNSAKDFSISAATSIGNGAKSAVASTSSVIKESTVSAACSIGDSAKAAFTSTSTTVAKGLGSASSGVWNWWNGEKEKEPKRIRWIPNSWRNDSDSMEKDNENNSGWGYLGSAASTVRDYSVSAVSTFGSTAKSALNSDAVQSTSKVIKESTVSAASSIGNSAKSAWNSDIVASTSSTVSSGLSSAASGVKTWWYKEDQKNEEVSIFRVRILKKPPIFQTEHSRDSLRGGIAAMSKNASKYVIQTSGRASNILNIFDKTNRSSLGNPRWWIRFDRPHGNVNYPHININKAVTGIKDPHIQISPTTAKTVGYFGKVAEKANDYAPILTTAAVIYESYQIGKEVKKDYEYGTTRNTIKRVATTTATYTSGSIGACAGATIGSSIFPGIGTIFGGIVGGVLGGYYGGHYSHVASEKALNHIEWDVAVLECNGCREEYTWKKYQEIQGVCCEF >CRE06787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:76562:82720:-1 gene:WBGene00064443 transcript:CRE06787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06787 MSTGINRSRNSSVSTELSFRGNKKSSPRLPSINFKSTKDALRGAIAQSLDAASKALVELRNLRDDHQAGEVDCSLVDYLRKKMLALLMMLDAMNISSVSWIMFSCCYPRRTVCSSQRVLDLSSRCPREDMVSVTGPISISVLTSGALGAGRNAANYDIQIGGRSSNVVSVFDKNVISSLGNSKWFARVDMPHGNVPYHHINVNKAITGVKDPHIPISATTAKAAGVAGKVLIVVNRISPYLTVFALVYNGYQLGKSVIVDLNNGTTRNTLKEVARIVISLVGGIGGSVVGASEGTRLFPGVGTLVGGVAGSIAGGAGGVYVLDPLLESALDDLKLDILEVECTECQKVFECRKYEIGDVKKCEACRTSDDLPRIPELKEMVMPVWLKIRSKL >CRE06788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:91166:94811:-1 gene:WBGene00064444 transcript:CRE06788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06788 MNRKSFCSKFGLNGTSVAISMCIVLIVQCKQNHDSWNLLDSVHSTINVISENLPEYAENLYKTAQEHGEKLKDTLSSCGTLPNKKSAKMLFSAEEVQKSYKGSKLQGIVDNLPEHTAEIYKTAKENSIKMKKAVEKYGRLRLSHFGVCLSVTIHFQLMMFTRATKDRSSRRWLTRYTKKFKNMEKYLRTRLRITVGKYNEQQSYEMFLSADKLSKNYEGSKLQKFVEKHVPEYAEKLIAKTEEHGEVLKGKLSDYGSEIKKNYEGSDLQKAVNEMYETVQVHATEVHKNYQGSNTQKLANELYATAKFHGEHLSETLSDYMGELQEYVNKVEEIRVRHSKKSRNRMNPFDCILGKLSSNKDISYKTMLAGGLIGAIRNSLRYTIRHGGRRSNIVSVFDNSIRNTKGNPKLFARVDMPHGKVPFPHINVNKAFTGVKDPHTRISEFTAKASGVTGSALKFINRVAPAVMVLSTAYDAYDIVNSEDRGVMTKKVISGTSTSVGGYIGSSIGASIGTMFFPGIGTLTGSIMGGAYGGEFGDCFSDTLEDAYNYASDFWEVYRQNTCYPEFDNKKDEFFGLWTNEQQCISYRANNSEDNEFSLIGKLTNYESLGYLSDSRSKDMKTGAIMGASRNAANYVIKVGGRSSNILNIFDKTSVSSLGYARWFARIDMPHGNVPYYHINVNQAITGVKDPHYRIWGVTARAAGITGRVLSVVNKIAPAAMVLSFAYDGYEILDDYQNGKIAESKRKVVAKASTYIGASYGAGTGASIGSIIFPGVGTLLGGLIGGVLGGMGGGIGGDVVASILVL >CRE06789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:97191:98083:-1 gene:WBGene00064445 transcript:CRE06789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06789 MKVSITLCFLGLLLFLTSASAKESQQETQRIRKHENMKFSSPQRNMASGAAVGGILNSAYNVIQNGAKASAGVIEKVIVSAQTYPKFNTIGDLGNLKVPYPDAVMNNAVVRVTKTNIQHVASSPAMNFLNKVAPAVMAASVAYDAWEIFDDVKEGNRGEATRKVVTKGSAYLGGFYGAAAGSVLGTLVFPVVGTAIGAILGGIFGGVFGGLGGDVIVEILRL >CRE06790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:98856:99734:-1 gene:WBGene00064446 transcript:CRE06790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06790 MRNILTFLIFALVINLHSAAAEDSQEKDNNGITKHQNIQFSSPHRNMASGAIVGGSMNAARYAIENGGRASNIVNVFDKAAVSSLGNPKWYARVDMPHANVPYHHINVNKAITGLKDPHIRISGATAHAAGAAGKVLNAVNKVAPAALIASVILDAVEIADDYNKGRTEEVQRKVISKTSSYFGGAYGATAGAAYGSAIFPGVGTLIGGVVGGLFGGVFGGIGGDVVAEMIR >CRE06791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:101069:102435:-1 gene:WBGene00064447 transcript:CRE06791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06791 MVKIKSGSGRKIGLIWNMQHEEAKKNAFRKEKIKKIPSQKNMDHKGDDQGALWWTFYEKDGFQEETDSNQEKKEAKWCYLKWVIFHCCLVAFCYGAWLTDFKEIKFLRVLMIGLLMAGAANEFDSETKRNTGEKNRYFYRLLFFCNMFIDVCEMRGLQEGTLGHFVNTLMSKVFVYGMANLGVFVAMLQKQSKWSTGTTGSYGALAGLVIWETIWKISNSIPMEDFPTSRLVMPRP >CRE06793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:105214:105986:-1 gene:WBGene00064448 transcript:CRE06793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06793 MDQKHIRWISSALSILVLFWIVPVILGNKDIMINSFICGAVGCLMNWYLFSVQVGGSRPSNIVNVFSKTRRGFRGNNEWFARVDSSHGKVNYHHINVNSRITGVPDPHISLPGWETGLATIIARFLKCIQNNVVLVTLLLLIAIFLKHKNNQPKEGWYREMLSIGVGGFSSSWLGGKIGTFIFPGIGTLVGTIYGGVIGGGVVGTLYHFCF >CRE06794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:107326:112438:-1 gene:WBGene00064449 transcript:CRE06794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06794 MPINKEREGSRQGYATGRRRLRFGPTNENLHTYRSNRRIGDKCGVEDMCVWVFLSVNHGKMGIIRGRAGTTKGGITGGVAGAAKNAAQYIIKQGGTRWYNGVNIFDKTVRNAKGYPKWFARIDMPTVKNPQAHINVNKAVTGLKDPHMAISTTTAQNAATAGTVLNFLNKAAPVLTVMAVAYDGYQIGKNAMDDREKGSTRNTTKKVVTTAATWGGGYGGAATGGAIGTSLFPGVGTLIGAIIGGVAGGIGGNVASNAVADAAMDKLEYDICYPKCTICRRIFECRKFETGARSICDKCSEKCSWCGTMKSDDSESDALETVNLEEGMVKLCSTCLEVLKKEVLAELEKTGKPKPESSEFWNCKRCGYDMKSDFFKTSEEHLILCKTCRDNDANDILEQKTRDSDNLNPNCVTIRKEMNTCKWCDEDFLWTEADGAKDFCPECEEKRPKKK >CRE06735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:114081:117888:1 gene:WBGene00064450 transcript:CRE06735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-16 description:CRE-CEH-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MNU9] MILKFGIDRILAPTFPCSPPSPAISTPATSPSSISPTFASPNGNQNVVSNMFPAWVFCTRYSDRPSAGPRHRKPRKRESTGSSGSSEEEKRPRTAFTSEQLDRLKQEFRDNRYLTEKRRQELAHELGLNESQIKIWFQNKRAKLKKATGDRSPPTPNPQFQMMAQFAQAQTHFRQ >CRE06795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:118779:121935:-1 gene:WBGene00064451 transcript:CRE06795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06795 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MNV0] MMFFGGASCYKNSTDPMTDICIHTFRDIFMRQGAMLEVEAPVKICGDVHGQYGDVLRLFDNGCFPPLANYLFLGDYVDRGPQSLEVVTLFLAYKVKFPVNFFMLRGNHECGSINRVYGFLDEISRKYGSKTGMALWNCYQLVRYASLKIGGKRKRSRGSRVSDFSASLQQKNFIIRQLQNCFACMPYTALVSGRILCMHGGISKKMTSLDQLRRLARPVLEVPNPSLETDILWSDPEQNISGFLNNTRGVGHVFGESALIEVMDRLGVQLIVRAHQVVQDGYEFFCNKRLVTVFSAPHYCGEFNNAAAMMNVDKNLVCSFTVSTSVFVYLDDVFIDFISFSVVKLLLPAQLFFFQILRPSRE >CRE06797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:122679:126530:-1 gene:WBGene00064452 transcript:CRE06797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06797 MLLLSCAATGIGVPAKKRMARNRYFCIAVAAQPARSEVGVQSDIMGQSKTDRYIMTVLPRVTEVDQFNDRVIALMGTELSAIENVSGSSVFVKACTWQRKEYSLIHSNLPLIGSSSDRLDMDSTAGGPCSELKFTVNCRVLLRRAIDQVKGLVNGLTGVLEEIDVVTGQVQKLGVSNVH >CRE06798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:127095:130221:-1 gene:WBGene00064453 transcript:CRE06798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06798 MSASPQKRLGASRSRGRPPKKRLFRRVSGDDLHDELEAATRFEKEDYDGADEYRRARVIHHRMGRTCDDECPQLNCFTEGSHAYRELVAFASNRSNSLDVRTEDFLDQHAGCFQGLRTIIQRLKEIKHCEESLQVLDQSISVAQVEGTINGLKIAQKMKDQLWNEFGVGRADSRHTEVSTKERYGSLITAFGSQVEKKSDKYCPVCCMMSASDGFQKYTRNKARFALMPSVYPFQHCGDKYDCTVCCTERIDVCRICTNSMRRNEMPKVAKANMLIVDSPVRELQCLNSIERMLIQQGRVVQTIVNLRDIGNRPIGMKACAGAMVVVPTSVEGSINLVLKTLPSAAHMTILVDSNWSTQYVVCMDRVLKALSYLKRNHPEYASIQLDPNFRFKFGEDVLFEKSGASQADADNLIRRQPIQGSILLEEVSRVQALMPVNQPTGNSRLPAQQFQLQRIKAQPFNKDVPNLDLIAFTDLFPNGNGGFNAERAKKLSASQYIRAVLLNEYRGIALSMQWTCYQYARKIQLQIASCQGVQSRLYRDKGEEMDMDDPEVIKSLSCSFNKVRGFRPYWQVVKTRLKFHVANYDSTGCQKTDMVDRMMATYTKQGEIRSCSQFPIDLAFTLPFFHLTSFS >CRE06736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:131553:131926:1 gene:WBGene00064454 transcript:CRE06736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06736 MVMDILYGGFFYQTTMSSGHYNKNPCQECEGEGQTVQRRQVSFNVPAGTNNGDSLKFQVGKNQVFVRFNVAPSLKFRREKDDIHCDVDQFYEFLIVFS >CRE06799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:133599:137876:-1 gene:WBGene00064455 transcript:CRE06799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06799 MTTPVMTPPPIATDALRIISENYLLEKFRNRSESKDSQSIDKVEEIDMIQVLFENSNDLLKVYGSPEEFVDNLKIYRNFTTSYKYFETKPAIPFYTTPIVYMSLKGGIFMAKADFYVILENIALRNLHPKSNSNIHEVSVYTSFLTLFLKIKAKDVNRKLEFVRFNEKEIDDIENEFVKGMELSKGPLSTDTSFHGRKSPGGTMKNFNKKMREYLPGVADDDALFQSLESANCSFDKEERPLRLAQLMYELETMRSCLKGIINKRPLLFLRKSKETQPSSPIVVRMFEDGDRQFVMNGEFLKAVNLDVNYSPKVFYGVLDMKDVLEKYKDHVDRIEFIRTPILRSKHKAVPIRLIDSLEFCVLAVDGLFEVLKELILGWKLFQHTEIWPIGIFKQIHTVFDPKVTNPYFVNLAVLNNLKKSINQNCLATSSPLSEIRDVKQPGFTIRKLRKDLKFLGLENHFPEITDHAEIVYKQVMEYQKESNPRICDMFDAVEKCQLRCIFDRVPELKTFLHYQKSCSRVIGLKCDICNGAVPESIETIEISATTESLHALEISKSSKSNKKNEEIEKMKNDALTQQKTMKVNKKKKIAALEKEVSELKEKQEEDRGKELEKLTKEKLEPSTRCSTAVLKLEISRQAATINKMGREKGQLKAEIARLKANKTTLVEAKSHNVATISRLEKENKSLKSLNANSMELMTNAVAREEAKFEEMREKFSKRIAELEQELERNRQQAPPTPTPSSSAVASSSRRLDGNCGNCRTFLNQTAPTMECQQCRRRFHPECVTGCWGMKDVCSHCLGQLLIIE >CRE06800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:138978:142373:-1 gene:WBGene00064456 transcript:CRE06800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06800 MTTPVMSPPPIATDALRAISANYLFERMSSPPKRPRGRPPKKRLIGKNRLFGKNARLRANAVTVNIRVEQSSDGYGTVKAPEYVDEDDAELEVRKSLSGGEPVVKEIIDENGDDELAVVAEVKNSTGTLLSNVSAGREKKFKCTKCSNSFFTNSSLKHHAENDHKWDADRTVYSMESYGIPKTTRAAYLCRKCSIAFEEQAKHTKHMEQHGETTLTCADCCGIAFNHTELKNHLQAHRDKKVHFACGTCLVRFPSDLALMEHLKLTHETELFYFCKICALGSTIPDYVYKHISIHTGHHFTHSQRIGAVPVQLLNYKPANEKDFAIQVLLKILQLNTPSDCTHRSMLVQCDTLVTCKTCHCFQQWFSYMAYNKHSEETGFPTFVNMEPYADDRKDFPLIRYLSDENRSSMLRSGNVKAISGMRNPNIEANMAQTQPVSMMRNHIATSTKCKFAGCDKILYSEFDRQLHTMHESDSSWFCHQCGNSQKSEMDLFLHYVREHLTPAHAKQQVTGFKSNVFKLRCPLCQKLEFQTPKAFEKHIRTEHAAEFPFEASCCDARFAVKHLCDQHDKNHTEFLEANGTDVMCCLICGSLDMWSLPKDQNIECLRSHMIRHGLDYLSSCRSCLKQFPADIYQTQGIEHIREEHCFVNSESKQVVCKLCGQTGMTDEQFADHCRKSHLFNILVKSSHSTRGELVVSTGDEYDNYTGLKISKAAGRLRPSTSGAAGSGESSRPTNGEAEVITID >CRE06737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:143593:144629:1 gene:WBGene00064457 transcript:CRE06737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06737 MVPTSTILGNIVLRQVFDLNDVKKEEKKRRFGINWSLIAFRPKDRFGLALRVEVPENSEEWSIQGELDVKIASPTGGEWTKFQDFNFNSPENLSILCCSKEADFVKVFADDEKMQVEVTVKDLKISGIDPISFGEDVKTSTDFQLVGEGKKFFVNPDFFSSHSEEVRDFYMIYPKFTFFDLIGRKAAHIQGFLETLSGEDSIDDSTVAGILELAEYFRAPMAFKKCEEFLVKYSGISMKDQLGLAKKYKLDDVKEACLSKLNTVSEITSALPDNLEELDHSVMACLFQKSISLHGQSI >CRE06802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:145678:149435:-1 gene:WBGene00064458 transcript:CRE06802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06802 MATHDTAPPPIATDALRAISENYLLEKFRDRSESKDSQSIDKVEEIDVIRVLFENSSDLFKVYGSPEEFVDNLKIYRNFTTSHKYFETKHAIPFYTSPIPYESLKRGIFMAKPDFYVILEDIALRNLHPKSNTNIHEVGVYTSFLTHFLKIKAKDVNRKMEFVRFDKKEIDDITNEFVKGMDLSEGPSSTTDLSFYGRKLSGATIKHFKKKMREYLPGVADDDALFQSLQSANRSFDKEERPLRLAQLMYELETLRSCLKGIINKRPHLFLRKSKKIQPAPLIVVRMFEDGDHQFVMKTELLKAIDLDVNYSPKVVCEVLDMKDVLEKYKDHVDRIEVILVYQRNPIPLFFQFIRTPILRSKHKAVPIRLIDSLEFCVLAVDGLFEVLKEIILGVKLFQHVEEWPIAIFKQIHTVFDSKVNNPYFINLSLLNNLKKSINENCLAPSSPLPEIRDVKQPGFTIRKLRKELKFLGLKKRFPEISDYAEIVYKEVAKHQKESNLRTSDIFDAVEKCQIRCIFDRVPELKAFLHNQKSCSRVIGLQCDTCNGAVRGLGEMDKVLETIYDAKMDAVCQKVSDSLPIKMRRMPENIMKYRHFAMHLLNGQVLEKLKKFTGRLLARPTTMVKNRATFQRQAVMLLNELMDKKVSSRAAMIKQWRKNDEWLLREYLQWVPGSLHHTICHIWPPLEDEEIAVMRRKNNRY >CRE06803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:150540:155287:-1 gene:WBGene00064459 transcript:CRE06803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06803 MQTLQQARLTTKPPPLPPPNAIPQVYRPPPVKAKGILRHRIVAPVSEPKAELDVDPKTTEYLSKFFASTGIPFDAIHSSPFRELLRHLNPSCALPEEDSMFKYVEKQNSLTKPLVNFQKTVGPLGVTIDVAGNDDEKYLVFSIHYFDDLYERKNIVYLRKLLLSEPDAESLLISIRRAVNNHTYSNVKFSSIVCPNDQIYNLVANSGVIKRYHICFYNYMSMFIADLMVVQEFSDGLTSLREFVRFVKRDAELYSKFRRMQLSKNADLDLPMIDEGPWENTCVFLTRCLVLHDTLTEYCERFQQTAYINNSTFNHLIYLQRLMQQCLKYCRELSSSNNTISQIIPAIEGLRQYIQTHDMGYRFQKTIKDSLNKSFGYLNQIHIRARYEMATLMDPRYAYRDIFPPLKWKQIESRVAEEFVKMDASSEKSFYQDISQMTSEERRDIMMKEFAHYRQVSFVERPEESDSPFYWWGNRQLHMEHLAVLAREIVATPATSIDASHFFSSGGKFRHLCKKYSYGRLDDCLSVAGINQQFRGRGATAETMTQSMQENLDSTAKRLQKQIHFGLYSHGSDFNTERRREEIIGMSYPPPPTMAMNREEYEIEEKPQHFLPAPLQMGMTPPHRQVRTITGRPIHLNGIDLNNVPKAIPIRQVPLQGKVKAPIIYAAASRPAPPPTVIYPRQENVNFEQKPVDLLEKEVKEEPVMEKVIKEEPLDEVSPPRQTQMSPLPTPQNILEFQQNPSPVTAPMSLQQMRNVTQGATFKRIIPNRQAPMPQNITPHNFVQKFTQQQKFVIKNANTQKYPPPVGIRSVPTPLLNPSFPQSDDVKCEEVDNYELFNGDDPNDIFSEHISDITLARKTMMSRLKGCNRRCAVCGHLEHHQGLKNVTIDSEKLLIMLGCIYRQEYTLGMAQEFMAKETKAYVCHVHYAETLDEIYSMLKMSCPEDLYNCTLDQMENVLITVTALRPDITLDQLKSILFKFAQRYKHLKETKYDLFGSNSRYSRRTPSEETNDVDDQEIIPKEHRQPRKQVLEADQHDGTVKVIEQENFKLPTVKPSEHEDCDNPGVCCYCSKIGARNGMLRVPRGADRLARWIDKLGAEFEKRLKSDEENLVCRQHFPEAAFSSRGRLLRGMIPDAAPEKVEVTYRIQGNNFLKLNEQKSGTDKNARIDLESTEDSEYLPSSRIREVFAHDHDYTPEPSSSARSTNKRRAESTSSSSEDVDSGEPGIRKSRRQASRRNAASSNDGDGDYIYDKKYASIASRHFNKR >CRE06804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:156447:159064:-1 gene:WBGene00064460 transcript:CRE06804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-polk-1 description:CRE-POLK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MNW1] MLTFNDNKAGMTGLDKQKITKIIEENTSGSYSSFSKKQQQRIDEKVSEIKNRLESATREERHGAELFMKNLENKLESGRDLSRDCVCIDMDAYFAAVEMRDNPALRTVPMAVGSSAMLSTSNYLARRFGVRAGMPGFISKKLCPNLTLVPGNYSKYSKVSRQFSEIFMEYDGDVGMMSLDEAYIDLTDYVTMRTEKRVLKRHRYGGDCLCWLPRVTDPPDSENIEIDSSDCPKCAKTRIIYYDDVEFGVGREEIVREIRFRVEQLTGLTCSAGIAANFMLAKICSDFNKPNGQFVLENDREKILKFLEKLPIRKVEEMTVGGIGRVSEAHLQSMEIRTVGDMLLKKALFPLCFSPLAQESFLRTALGLPGRPSSSDPRRKSISVERTFSPTSDFKILIAEHTEICRMLEEDIRKSGVTGGKTITLKLKLSSFDVLTRSLTPSEVVTSLDDIQKYSMELLERERGKEIRLLGVRLSQLVFEEEKKSKTIADFWNEKKQQMASEDVMSSDDNDIIMMDTRPCPICGEDVENRLEILNSHVDECILKMGDTSDGPDLICVTVEKKVKKPEIQKTSGWKVQSKKRKTQEQKTVAKRKVATIDSFWKKI >CRE06738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:159337:161633:1 gene:WBGene00064461 transcript:CRE06738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-10 description:CRE-DNJ-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MNW2] MFVLNRFHRAAPLLAQVSAPTTSATKTAQLHTTNALSKEDYYKTLGVDKKADAKSIKKAYFQLAKKYHPDVNKTKEAQTKFQEISEAYEVLSDDTKRQEYDAYGSGGGPTGAGRAGGAGGGFHYHGNVDVNEIFRRAFGGGAGGGGMGGFNFDNFAQSTFGHSAAQEMVMDITFEEAVRGATKNVSVNVVEDCLKCHGTQVEPGFKKTSCPYCNGTGAISQRLQGGFFYQTTCNRCRGSGHYNKNPCQECEGEGQTVQRRQVSFNVPAGTNNGDSLKFQVGKNQVFVRFNVAPSLKFRREKDDIHCDVEISLAQAVLGGTVKVPGISGDTYVHIPAGTGSHTKMRLTGKGVKRLHSIGHGDQYMHIKVTVPKYLTAEQKAIMLAWAATEKLKDGTIKGLDTVEEKKKKSEKVEKKTEESTQNRRKQEEPVAEKAETVDENEENEGFFGKMKRKIFG >CRE06805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:163039:169746:-1 gene:WBGene00064462 transcript:CRE06805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-7 description:CRE-TWK-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MNW3] MVHVSITTFSSIPLASFPSRVELAQLLKLIYTRQNEFVDDLIRLAAGNETKRYEWETLAERHMHNMSDQLFVAFEKYFLTSNEVKKNAATETWTFSSSIFFAVTVVTTIGYGNPVPVTNIGRIWCILFSLLGIPLTLVTIADLGKFLSEHLVWLYGNYLKLKYLILSRHRKERREHVCEHCHSHGMGHDMNIEEKRIPAFLVLAILIVYTAFGGVLMSKLEPWSFFTSFYWSFITMTTVGFGDLMPRRDGYMYIILLYIILGLAITTMCIDLVGVQYIRKIHYFGRKIQDARSALAVVGGKVVLVSELYANLMQKRARNMSREAFIVENLYVSKHIIPFIPTDIRCIRYIDQTADAATISTSSSAMDMQSCRFCHSRYSLNRAFK >CRE06739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:170899:171150:1 gene:WBGene00064464 transcript:CRE06739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fip-1 description:CRE-FIP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MNW4] MSQFFQICLIFAMLAALQVNGFLFPTYSSGYNYDCYGYGDGYYGNGYGNGYGNGGYYGGYNNGYYGK >CRE06806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:171466:175572:-1 gene:WBGene00064465 transcript:CRE06806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06806 MTSTSRGYQRVDSSDVGSLLMEEEGDNPHEALLHRNNDDYASTYHHVGVEYDSYEELERHEMDDRVAEIPEGFHRRQRREALFGEEVTRAGLGRAEYYEDNHIHSCDKSGHEDIDDESDDESKDEDEEEEETGIRKFAKLVLPHVALVLLTCTYTVIGALIFYSVEQPHEQMMKEQQL >CRE06807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:181092:181765:-1 gene:WBGene00064466 transcript:CRE06807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06807 MRLLLLFLTVLPTLLSALSPINIVRSRRFINPSPSDFALQKLDGDTDMTKDHTTFKEFVPYYIDLFKKMFSPKTRENYQAHIEYVDVQMMFNECNARQYENFAMFNHWLQQFVGNHEDPIIEIKSQRQTGEDMGEVMLNIDVQALWKYRVNFDMRVSFLNDQSLGWKVLFVDRSIGCHH >CRE06808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:182794:184388:-1 gene:WBGene00064467 transcript:CRE06808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06808 MGSPNDKKSRSESPGDEKTLKITVTSVMQGVKQTIIEIKEKETVQDLKTRIEIETEVLANRQILLFKGKELKEGNKALAEYGITADATVTMNVKMSTGLFTNPTATDMILMMPPIFPSNQDQLRKQIKGMNTVHRKPKKMSEKDATANIWTPEKQMEHELTRNRMKTLLRRKKHAPILSNTPVESEAGSVQSFSPVATPPSELTVSTVSAMSPSGTSVSSMSSVEGGGDESNVTEKELKASRSVLLYIYMSASFQLFFDPPETIEEYKRARRSMYLPPSTESELLDYVKRFEEERKTKCNTCFKKLSAAQQTMRCKCFRIFCDRHRNPQNHTCVIDYKQDGRIKLKKNHSKVGDGGCRKAKFEEGEEKKKA >CRE06740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:185009:186939:1 gene:WBGene00064468 transcript:CRE06740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-23 description:CRE-FLP-23 protein [Source:UniProtKB/TrEMBL;Acc:E3MNW8] MALLPKMLVILLILVVLKETAAVRGALFRSGRAVPFERVPGQQKTAPVCREVELYVPCLFTYNRNCVIDKICVHESPVATQTTPISLTTTPNSKREGHRDSLSLFGPPRGNDFLRFGRAGMASGAGGTSDANGAQDEMKASLLRINGEPEIIFQ >CRE06809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:187310:188503:-1 gene:WBGene00064469 transcript:CRE06809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06809 MVLTIPTIASVAPINCELSLDSGRCIKYVATLNSNPKTHVADELQYTLKKNSHQDHHDDWEGVNTICHTIDNIVNVEMETDFFDGKSVLEIGFVTGLPSVYAFEAGADKIAMHTIDKTSLELYCKPTLKRNNIPLNKTKLSCGTMEELRKFLGGKKYDIILAPDLLNRTEAEFDTVHEIIHEGLSYDGICLFSCRTHYPNVDGSLTAFLQLVKRRREFEAIERWSSPRTDIIQQKVFQLTRSLF >CRE06810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:194729:199109:-1 gene:WBGene00064470 transcript:CRE06810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-19 description:CRE-DPY-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MNX0] MLLSGHIFSDSIFGGVLSVLCFAFNHSEATRVQWTPPLRESFAFPFIIAHIAILTFVIKYKKCGPTSILLLISAGVPALLFWQFTQFAFFTQICSIFVAFSLDLVPVTTAKTIIHSHILAFFISFVMLFGNEMMITALYFPSILGLATVIYISPWLSKLHFRPAYILTLAIIFGAITLGLKVGLSKGLGIEDDAHIFDILRSKFTSFANFHTRLYTCSAEFDFIQYATIEKLGTTCLLPFAVISMLAFGYEFLKNTNLFWRSNAGNEEVKNGEIVYNLVQLVCSTTMAVLIMRLKLFMTPHLCIVSALIAHPKLFGGDKISNPVRASIFVGILALLITRGMPNVHQQLNIKGEYSNPDQEMLFDWIQSNTKQDAVFAGTMPVMANVKLTTLRPIINHPHYEHVGIRERTLKVYSMFSKKPVAEVHKTMKEMGVNYFVFQLMNCSNDERRPECVYRGMWDEEDPKNSARTSLCDLWILAANSKDNSRIAPFKIVYNPNRNYIVLKV >CRE06741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:200578:203072:1 gene:WBGene00064471 transcript:CRE06741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06741 MVDPKSKDPNHPFLPNFLDYYYPNRSPELENFSLYNIAVNYKVGKERPGEPILKPTATRVNTDVLSPHYRHIVPRHSIARTRSLLHLVKPRLARFFIPQYDESDACQCEDFYRRMCLLFIPWRKESEILLAHEQSTYFETFLSFMNELKNTSRNAYNEIVGKVEIYQDFKKDVQRAKLKADQIQAALSTLDVEQDRDELQVQARIVNENDHDIAVGSLNDEQKVIFDMNMEGVDNSLNGTKSLLLFCSGTAGVGKSYVIDRIADAIHLTYGSPQNQLSTPSVLLAAPTGLAAIAICGLTLHSLLGLKVAKDGETTYEILSDENRDIRRVLFENVKLLIVDEASMVSSIMLATISNRLREITGKTTPFGGMNVVFFGDFLQLPPVKAQPIYEELSGYIIRKYFGGMGTGISLWKLFTFFELTKNVRQSLDTEYAGILEVMENAARYRLEQSKKDPYIMTVVPRITEVDQFNDLVIGLMGTKGISLIATENVTTSSVSTKARPWQRKVCQFSEYSILPSNLPIIGSTSDNFGMQSTAGGLREELKFTLNCRVILRRTIDRVKGLVNGLTGVLEDINVVSGQVQKLGVRFDRLPDEIIWVTRVTVMYTDNRRRMKSRTQFPSESAAAVTIHKAQGLTLDNVIMKTSSIFANSQMYVGASRVKTMAGLHLIDFDATKVQIDQGALTEYERLRSSTLP >CRE06742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:208224:210403:1 gene:WBGene00064472 transcript:CRE06742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06742 MKTRTLHLFLFLFMMSLAQSDEGQSGEEPPEGAWKDDRSEEEERVQQDDSLEIMDDNSTRLNNNQTIYSPENSEPEYKFNILETYLPLFIFVLDLPTEDREALRSYMTEKTYEKLKYVIDAKVRMNREGEESILGKINETLVQSINNLEKFDEVTVDVVNGYHNASVYNAIRSVWLKQLRPFLPLIDQLAIQQYFAQRKLSESEKMSIWARIWENLNVWMYGKKKLIECYAMEPKCVRHAVELLNFEQRIDLDLAAYENKFDNVDGIIREKLDENRKGKELDEWLHRNRPPMALQAILDERSDIEEEALQRLRDNGVLSSLKHYYKAVIESRSHEEQEEIRHFFEIMNDTFARCFDPLRGQYHDF >CRE06743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:214358:214999:1 gene:WBGene00064473 transcript:CRE06743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06743 MSTSTQSPAAPSNDDSSSGNAVPQQSGTIVGESIPMAMVCGIIAGVIFGIFCLILHVCLVRCLVKARAKHIPKVRKVKVKKDKKGKKGGKKGKKGKKSDSSSKTGTSTGSSIAM >CRE06812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:216098:218787:-1 gene:WBGene00064474 transcript:CRE06812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06812 MPPTPPHLNLNLSTTTEPPLPLFTPGVRIHPEFAQGHCFIDEKLRKEVELFHVIGVRNADAKMMIAGPHDSFNFRFFEAPTVTASTVEVTTPMSVNESTTTTDFSTSTESSTTTTDSGNSTTSPDFSNTTNSSTILNSTRDPFFNLMPPKKVTKPIQNFNTALDQVFTDEITVAWDEAAQKFHFVVPDKAFRHYEITYKVYQDMTTHDDRTLAFRVLPPPATRSRNLTEPYTCHYNVKNNCTMCVSIDDRLVTVGLYRKQEEVKETRFTLPNYLSFDKFFSYADGQGDDYILIHHRGQWIYRINYRMYLLDQNMFFKVFQLKTHDAIFEFDDFEHADEFGLVTRYADYDGHYRYYYSLFNEISGLTTYCVHDKYIDGRLMIIGREKIEPIKTATEALRQTLNIEKGEEYEFPTMLRVLFYCSLVYLSIFLTSQMIPYKKQDVMRDLRHTDREIQRLAPVIESVMKKVHAFLEGTKKEGTKKEKVKKNK >CRE06744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:219791:222143:1 gene:WBGene00064475 transcript:CRE06744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06744 MGNRLARQKKQKDTRQKFNGFPLHFLPPAALRRVLIQLDLLDILELSLMSKELRALIQECSIPVHSLGFRWYRIKMYRFGECDEHCEIGFYKEREEYVVSETRRKKFVEWKRETVNTINCFPVDENDGRVVLFNYLNELFVVQQLHYTIDCWPTSFIDNYMYKLPRRIYSLTIPGDGNVQKGGEYIDIYLDRFYSIDCLKVTNGTFRLSRNKHSIKKLVFKDAIVPISDVNQFECESLVISNNIFQPTEYFNKLIRNWMNNKTPLRYLRINFERDQYNLIRILEGIKIEPSGYADYLGIRRDCDGLMAAIGFGKRFFESRQNCDIKKKKPIKENEFPMYSLPLLALRAVVNEMNPAEILEISLLSKKSKAFMKACTPKVDRIEFNNNQILISDQSGYNKRFVLDFENTCHESSAVRVIENSGFVSWKTCNSPHDTIIYCRPINSTDVFVAFKYFLDLFKYQTVSYRAQDPTYSYNDGVWHAPKINLWNFALETNRDVLKWLQSVDDLGLEVTVEKLPETMSNIQSISVTKPENLSFDDLLMLNHPTISLSNHNLPEDQIKTWIKHWKENHTKIKKLSLARQWNTYNLKSILLGLDAKPWETKNKKEREVYLQSSTGGVWEIQRNSDGAKASVRIRGGDLELKVWKD >CRE06745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:222608:223313:1 gene:WBGene00064476 transcript:CRE06745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06745 MTDKEESKKSARSLVSSSSGGEGDELEGASGTAVRMRKKSKKRAKKSSKTNSKTRKDDVPACDTVEKVSMKSGNETSQSEWLNDILQEDAPKPPSRWQSIVGFMDNYKYPIMASVVLAGVLVYFSVRKTARAGAKCPFTGKTSA >CRE06746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:225435:225674:1 gene:WBGene00064477 transcript:CRE06746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06746 MGCCFNDPEYKDRKKKLKKDRLCIKYLLPHEEDIPCTSNEKCHWKKTHHSSMCPEDIEIKWKESDGQSNIFSVYQLKLW >CRE06747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:227659:228247:1 gene:WBGene00064478 transcript:CRE06747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06747 MTDKEESKKSARSLVSSSSGGEGDELEGASGTAVRMRKKSKKRAKKSSKTNSKTRKDDVPACDTVEKVSMKSGNETSQSEWLNDILQEDAPKLSVSLAINCWFHG >CRE06814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:232726:241514:-1 gene:WBGene00064479 transcript:CRE06814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06814 MTGAKTSDLVSHGDSPYLLISFKTFIRSVRLCTNSVILICLEYSIGLTKTKETMGACVSYPPQLEDAHSVSSYEIYRPVVLSNTIAIRTPPPNIRSVTFPKPVNNTFDLFSLPPSALTRVLGYLDSEDFLNISILSRNALSSVMEARGQGTCLGRHVNMDSSYSSHSASEFSDEPSAFSYESLTLSDESTVTLDENELQFKPFRLFALPQPALRLVFLRTALADILEISQLSKKSRLAIKSCSIPVSHLDFSWNDIMMIRNFQTYERCELKFRTLSYRKFNKIHCGVMFTEWERNESTIICAPYHNQGRIRMVNYLIDLFNVHRLNYKVNNWPEIVINKMLFMLPKHPDNMIIKNDNNEAEVEETIEKVLEHIVVKDAVYLWCKITRVTENMIKVKRLILDGKTLPISELLKLDCQILQVNYHEYTAVDVRKLILNWKQNKTEIEQLKINYQNHDFDLFQIMEEIETKPSGVADYFGIERDCDGLEAVIGYEEKHVVLRVRSYYKIRESPREIEFPLFSLPFQALKNVFQQMNPAETLEISLLSNKSKANIRQCAVRIKRIVLTDYRIILTNNCNIYTLFDLIFDNDKHKSCTSRIIGNSQFKSWVKTVMHFKQKIFCTPSNPADTFEAFKHFVEIYKFDEIKYIVSEPMRSFMKNSMHLLPKTLTALSFPCTKSAVEPRDFEDNIDTVLKYFKQIDDLEIGVSVTKLPEKAFSIGRIFLIFSQNLSFDVLMKLNCSSITIGYHELTNDHVRTWIRHWKENDTNIKTLSIQKRDYFNLDNILEGFEKKSWNTYGEEEKKEYLHWSDGDLLEIQRDSDEIKASVGHLIRYLQLNVWEQETRVSKNRAGLSVTQAAVSILEESTPEDDSNSNESTLFLGAKPNGFRLLALPETVFKTVLNQMEFCEILELSFSSEKSKSLIQNCSIQLEYLDFCWDKIIVCSDRKSYYPIQFKELTSLNSTKVRKIKGSKFIEWNTTGSNIHCTPLSDENRCIMMHYLNELFKVKQLKYTVNKWPTFVINKLLYKLPKRAHSLQIYQLDRHVAEETKKSVLGYFDVDDITVQSSLPCLPTNIHNVKRLKVGSCQGIPMEEMHSLNCESLEISKHSYTNDDIRQLILNWKDNKTRLEYFQLGFDNINLYEVLEGIDTKPSGYKDYFGVERDCDGLMAAIGYTENTGAYGTTCGRVEFILKNNCPEEKPMEKVKFPLFSLPFLALREVFKEMNPAEALEISLLSKKSKASISNCAVKIRRIVLYEHRILLTNGCDVYTLFQMVFSYSTHKSCRTQTIGNSRFIFWTNAHKQFKRIIYCTQTHPNDIFVAFNHFLDIYKFEDIKCSLWSPIDKYIENSMHLLPKNANWIDMYAESSDETRTLENCVDRVLDYFESINIMEVRAPVTRLTERMLNISTIILMNCQELPFNVLLKLNCSDITLWNHRLTNDQLRTWIKQWMKNKTKFKSLNIQPKDYDLKYILRGFNIESCRTRTNINQKDFYYNNFYDSWEFRRESDGKKASLGYKYIYLHLQEWDD >CRE06748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:243479:243924:1 gene:WBGene00064480 transcript:CRE06748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06748 MSETPKKDGKSEDYKKAVAKQRRLKKLRKMKIKDRAGDVVVSDISDVEVQGDGEEESQDAWLNDLLKADGDGGVATNSPDTVGASLHSMETTEESLASKICAFTSENSALLGVGLVTVALTIFARLRK >CRE06815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:243971:247554:-1 gene:WBGene00064481 transcript:CRE06815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aco-2 description:CRE-ACO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MNY3] MNSLLRLSQLAGPAHIRALHSSSSVWSKVALSKFEPNAYLPYERLSQNVKIVKDRLKRPLTLSEKILYGHLDQPKTQDIERGISYLRLRPDRVAMQDATAQMAMLQFISSGLPKTAVPSTIHCDHLIEAQKGGAQDLARAKDLNKEVFNFLATAGSKYGVGFWKPGSGIIHQIILENYAFPGLLLIGTDSHTPNGGGLGGLCIGVGGADAVDVMADIPWELKCPKVIGIKLTGKLNGWTSAKDIILKVADILTVKGGTGAIVEYYGPGVDSLSATGMGTICNMGAEIGATTSVFPYNESMYKYLEATGRKDIADEARKYKDLLTADDGAHYDQIIEINLDTLTPHVNGPFTPDLASPIDKLGENAKKNGWPLEVKVSLIGSCTNSSYEDMTRAASIAKQALDKGLKAKTIFTITPGSEQVRATIERDGLSKIFADFGGMVLANACGPCIGQWDRQDVKKGEKNTIVTSYNRNFTGRNDANPATHGFVTSPDITTAMAISGRLDFNPLTDELTAADGFKFKLQPPTGLDLPPKGYDPGEDTFQAPTGKGQVDVAPTSDRLQLLAPFDRWDGKDLTDLKILIKVKGKCTTDHISAAGPWLKYRGHLDNISNNLFLTAVNAENGEMNKVKNQVTGEYGPVPATARKYKADGVKWVAIGDENYGEGSSREHAALEPRHLGGRAIIVKSFARIHETNLKKQGMLPLTFANPADYDKIDPSDDVSIVGLASFAPGKPLTAIFKKQNGSKVEVTLNHTFNEQQIEWFKAGSALNRMKEVFAKSK >CRE06749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:248023:249349:1 gene:WBGene00064482 transcript:CRE06749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eef-1B.1 MVADVKSPAGLAAFNTTLVEQAFATGFVLSGEDAQLFSALGSAPNASTYPNVARWFANVASYTDAERKTWASAGGSAAPAAAAAADDDFDLFGSEDEEESEEKKKIVEERLAAYAEKKAKKAGPIAKSSVILDVKPWDDETDLAEMEKLVRSIEMDGLVWGGAKLIAIGYGIKKLQIITVIEDLKVSVDDLIERITGDFEDHVQSVDIVAFNKI >CRE06750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:254084:261539:1 gene:WBGene00064483 transcript:CRE06750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clp-1 description:CRE-CLP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MNY5] MADDEEEIIQKVEVKPDEFNGLIGSIAGNLIRDKVGGAGGDILGGLASNFFGGGGGGGGGGGGGGFGGGSGGFGGGGNNYDQGGGGYGGNGGNSGDQGKRKRDMAKDLIGGIFDNVINRKGKKEPNDTNYGGGGGNYGGGGGGNQGGGGGFNLNDIGGIINSLGGGGSGGGGGQKQGGGGGFGDILGGIGSLIGGGGGGQYNGGGGNVNPNRLNGGMVNIIGNLIGEAAHRFLGVDPSTGRIIGAVAGNVIMGLGGKDNSLGNIGKVILDNIISGKFRRDVDPFVRPGPDPDRGGGGAGPSPIAPRPTTEPQDFYELRDQCLERKQLFEDPQFQPVDSSLFFSKRPPKRVEWLRPGEIVREPQLITEGHSRFDVIQGELGDCWLLAAAANLTLKDELFYRVVPPDQSFTENYAGIFHFQFWQYGKWVDVVIDDRLPTSNGELLYMHSASNNEFWSALLEKAYAKLFGSYEALKGGTTSEALEDMTGGLTEFIDLKNPPKNLMQMMMRGFEMGSLFGCSIEADPSVWEAKMSNGLVKGHAYSITGCRIVDGPNGQTCILRIRNPWGNEQEWNGAWSDNSREWRNVPDSVKQDMGLKFDHDGEFWMSFEDYMRNFEKMEICNLGPDVMDEVYQMTGVKAAGMVWAANTHDGAWIRNQTAGGCRNYINTFANNPQFRVQLTDSDPDDDDELCTVIFAVMQKYRRNLKQDGLDNVPIGFAVYDAGGSRGRLNKQFFAANKSAMRSAAFINLREMTGRFRVPPGNYVVVPSTFEPNEEAEFMLRVYTNGFIESE >CRE06751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:264451:278047:1 gene:WBGene00064485 transcript:CRE06751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06751 MPAPKTESPTPSTRPARENKLPWRLTISDISKSAPASLKRRAQESSSPVVIQKAKAKKTPKKSARARPAPATPVGPTTARAATSARAGLARAAQLPARYREEDGPSSLKIASKKNRKSLKKAPKKKIPKKKEDSVPSKDERSENESSDEDEDSESRKVNKKKMSATPPHTVAPSSSSGSDSRANHESGPLIKKRRLDTSSEKMDTNSKEDDLEEEEEKEKEEEEEDTMVEDSEVEDSTEAVLPLDIEMEEPTQSIQSTTQASPSSETEMEDQEMANTAQPEITHPEHQESSLPQARQSEPPLSASTIKFHFNVAPIPKSLVNQTGPSTSSIFLNTPGKPAGEGITQAFSFLSPTFKGASLTFNVPRNVKQVDSDDDEKETPRQPMPSTSQFQQQSQARISPFLRFSTAPIKESPLPIEKVKSPMEAREERLLRRNDSEPTSSQNSVSKSSSRQHSSESRRHQGEVVNRGKNQRQIERKQHDNSTSATRQVKVEPLEESPEATAPTKDSPLPIKKPKSVMEEREERRLQNLEKLHRNKSETTSYQNSESQSREPGQKPDSHSLGLQDSSDSRQRHGEVVNREDNQVEREQHESSTTATRQVKVEQLEESPEEDAFSEMFQNLRNQSLREYFEALSRRDSGSCSRASEVRDVRDKRSDSHSSRRDDSERPSNSDSSAPRQPDSFEERRQHKEAVRREGNQRQEARSKSRGPQGRESGSRRSVDVDSRTERKPQESPPATRQVKTEEPEESAAPEADIQNQSRREDVEAPSRREDSVLPSRASEVRDDDDSRLDSRFSHRPDSERPVNSDSGSSRRQNSFEGRRQHAEADRYENSTPATRQVKKEELEESPAPEVGPIRNQSRREDVSTTSRSQDSVSCSRASEPRHRSDSRFTNRREERHHDAGRSEYSGSGSSQRQDSRASEVRDVRNRRSDSRSSRRPDSERPGTSDYRSSRRQDYYDGRRPQEEAVRREGNQRQDAQSRSSGQASNGDVFRRQPETDRRTDRTSYGNYGNNDNRSRNSFNNRMDERRVVYNQGQEDIPSTSRGPEARRSDHKASERSQSKTVVGDGKRASLILFPKREYVFQKPSNSACWDHVTKEQRELLLNEGIVDVRAVEKGKASYIKSDASKLTARDLEELATRQARTLRARSESGRHPISEICAGYVKFLANDIRNRLGLVKLIVGDKVYKLRYRYVYIEGEADLIAKARLELDEMCLQRLEHKYNEEKGNAEQLVNYIHHIPEEVAEKMRFQRVFNEAMRRVERCGVQILHQQNRHTEEDNPPMNIHGRANRIREGKRCLDNFLKELANQKTHQIQIPMDIIGEICGHQRSTINEIERVTKTIIFVDPHSGEVEIEGGDMAIQDAEAQIRERIEWRQERIPKREFLEMEIPGNSISGIIGKRGSTINRIRKISGAKILIPNRAEPGERTATVSISGDREQIQKARIEIEYELEEMRNNNRASTTSIDGRIFKKMVQIDSRYRPVNGKRGGVMASKRSTPSPVLQWEGKKRKWSDGSEMFSLADDARTDVLRFCDGEVDAINAFTEAFISTYEPYFQGVRTVASRLREINRYQDALQKLQSHRGCGLGCEQLNNSLAIPQSLKDDIWREFHCGNDGRYCDVCCLMAPSDTFRKVPVANRLMRNATIAPMELCAYVCVCEQCQSIKKDVCHTCCKSLTQKKNGLSFDQDVPVLNKLNCIELMLINLSRVVQSVVHLKNVGNRASGMKGCGGPMIVLPTNLEKSIDGVLATLPSAEHMKIVVDTNWDRKYIVCMNRVLDALQWLKINNPEYANVKINPDFMFIVERDVLFEVGNCSQKDADQLVRSRNVSDGVLLKEGPSVTTVQTVSQPSHGSMTAYKRFKLLQLPYTPLGKDHPKADVWSFVNLFPRGLGGLRSVRTMKLSRRQYNRSVLLNSNNKLDLSDPEVAKTLSSVFNKIPEFKQYWAVVKNRLQFYCAIFGPPTWFVTLNPNVTMWTDLHDKYCKILAVEVNADNIWPKRVDAFHERVILAQDGPLGNVEHYYVRTEYQHRGLQHVHCLFWIKEKPGKDADAEEIGTFHDKYLTS >CRE06752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:278191:280659:1 gene:WBGene00064486 transcript:CRE06752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06752 MGMDEMKSRQVGAPEMVDNLLGHPAFGFDQADCWIPTDREDLRKRMVNPRSNEESVQYLNNLVDKYYPDRPSSLDSHCLFNVAINFKQTRLNAKDVEHEDVGTASSASVDLLSPYFRHVCRSYSIPGTNMCFKLVKQRVSIDVTCVIVNTCFQVARFHVPTVAPDDGIALEDFYRRMCMLFIPWRKESQILATYGAETYFETFVTFMEEMKEKSEDAFDEYSTLVNIYQDYQADRRRAAATVKLIREALERHDVDQDREELQVQPREVDVEKHQEALNGMNQQQKDLFNKVMSIVDMNVHGGEPLKLFCSGSAGVGKSYVIDCIANEGELRFGVRSNQLLQPAVLLAAPTGLAAISTRGQTLHALLEIKVERGTDGVYESLSDEMRDVRRTMFEKVKLLIIDEISMVGAPMLAKISSRLQEIFGCDDKPFGGLNLVVFGDFLQLPPVKAAPVFEGISFYVAQKMFGGCGPGINLWRLFDYFELTQNMRQSEDPSYAQLLTRMRVGELSSEDVDQLAERIIPALDSSDLLRNAAKYHFDVKKSDPMVMTLLPRVEDTNIFNSYITEEMGTETFTVMATETFAGRESYGSIRPWQQKRKVLMQVPKNIRFSEFSIDAGRRDASRIEQSASGMVPIATGLRAELTVDRLPEELVKIARVPGTYELSSGVKMTRSQFPLEPASPVTIHKCQGLTLNNLLLATSSIFTTAQFFVGCSRVRTMDGLHLIDLDPTKATADPKAIKGYERLRAQAVINGATAV >CRE06753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:281652:285024:1 gene:WBGene00064488 transcript:CRE06753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06753 MFTIFLSDIVAPSKVQLQIAACQGVQSRLYRDRGEELDMDDPEVIKSLSSSFNKVRGFRPYWQEVKTRLKFHLANYGAPTWFVTMSAVTSVLNPLLALLQMTEEPLTNRAVTDLPFDSRFPNGSCAGVKVDDDVLHGSPLCGLIRRSHRRKCSSPPGGVPIRRAARSRRWTGMEEDTPVQGVWSCHFGSATRLVPLEILHVLEQRNVGSKQRLERQHAGNHSHLIVIANESANDVSSPFYRQKTSGILMQGMNKRFNLAKQKIARFYIPDHDDNSPSSSEDFYRRLCLLFIPWRDETALLGTFRQETYFETLMAYMEQLKTVSVLAHADIVKCLSVYRNFKKDQREARSKAEQIKDFLQNASQEADVEEFQVERRIVNATEHEAEVMQLNAKQLQLFELVIDKIREMTQFSMYCSGTAGVGRSFAIKCIADAIELEFGSRTNQMSEPAVLLSAPTGWAALSIKGQTLHSLLGFDVQTGPEGAIANLSDKRRDIRRLLFKNVKLLIVDEISMTSRNHCVWGSAPITTCQGAAVFKGISSYTAKKLFGGIGAGVNLWKQFDYFELTGNMRQQNDGEFADLLGRMLLAALTDDDNIILSERQIPNPFNVDPLMSAARFYENLVKDDPTAMTLLSHRTDVDSFNSDIINHVEEEKFTVEAVDKSKMIASVPTGRERSIIAKVDETIPTGHSTSLGDNDGGLRSHLYQCKSDVKKKHGSSKRTGKWTNRCPGECTVCRRVRSQVGNQFPIDLAFAVTIHKSQGLTLKNVILATKSIFADAQFFVGASRVKTLQGLHFVDIDFDKVKADKAAIQEYDRLKLLPSLL >CRE06754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:285359:286401:1 gene:WBGene00064489 transcript:CRE06754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06754 MSAVISVLNPLLALLQMTEEPLTNRAVTDLWGLAANLVEKPFYQQFKTLLNAIPAYQVDHVVSSKSTTMCCTDLHCADSFDDHIVENFRVRQVEFQSVGLQEAVDGKEWKKTGQCKECEADTLDVRRDWFHTKYAIFINKGFVDTNNVWKEMPPTILNLDRSEFDRLPNELIWVDRMTATYTKHGEIRSRSQFPIDLAFCGDDSQKSSLTLKNVILATKSIFADAQFFVGASRVKTLQGLHFVDIDFEKVKADKEAIQEYARLKLLPSLM >CRE06816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:287434:291613:-1 gene:WBGene00064490 transcript:CRE06816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-17 description:CRE-NPR-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MNZ0] MTSSDLIDYVEESFSNQSTDANGIEMVTQIMYDMKKYNSVIDVLPPPNHEDLHVMIMAVSYLLLFLLGTCGNVAVLTTIYHVIRSSRATLDNTLIYVIVLSCVDFGVCLSLPITVIDQVDIRHFFNLPQTNYFQILGFWMFGSIPCKLHAVFENFGKILSALILTAMSFDRYAGVCHPQKKYLRSRNFAISILLALAVYAFISLCPLLWSFTAREIILYAKETGPGQLTRMKIEKCTVDIDSSMFTAFTIYQFILCYCTPLILIAYFYTKLLTKLREHTRTFKSSQIPFLHISLYTLAVACFYFLCWTPFWMATLFAVYLENSANSSSVPPVFVYIMYFIHALPFTNSAINWILYGALNGQLQHRYRSNRSSSTKKTTTTGASTALLDKKLTTLQTNSNYQVNGSMTSIAAVAPPSRLANNNNEVLIATSTNDDEVAMDVVDVRLLSSHNPTFL >CRE06755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:301777:304360:1 gene:WBGene00064491 transcript:CRE06755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06755 MRRTVVFVVLAGFVYGCPPQPNGNCYYGQYVLPANQGGVQNQQCGSAINRDWCAVSYQPATQQANFGCASNFPIEVGGAVCGEGAGFVNQQGCSMVNTRNGPCYFCCCKGGSCNHPQVFAREASKLPINQQQMQTYNPTAYNPYSGQNYYPFQWYNTASTPSNPFFLIAIILIIFLVLGFSA >CRE06756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:304698:305658:1 gene:WBGene00064492 transcript:CRE06756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06756 MNSVPLLLAIILAFASIVSSRSFFYGALKDDGSPAKAQKFLDELHASFLSGNRERIAEHFADDANFETCGGLVLNKLAALEYFVAKGSNVSMKPTVSTKDHARRYNYSGESYIVSCISSALLVNGMGKPFMAWSTLYWTKSGEYVFDGFSSINCEYERPSENFGDGSMSVTYDFLDSFKEAMKDRKNRAGIRRFFSKNVHFSRDSCNDTNQDDVVTIVENFQPIGGIFFSITLESSRYVDESKERIEFSGKFHNVDPLRYTEEAIQIQKEDGMFKFVGRKEYQCA >CRE06757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:306297:306959:1 gene:WBGene00064493 transcript:CRE06757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06757 MASPSPHRSSILNLFKEGVLPVDIIKRLGVPSKTVYGLISRFKKFGTFLDRRGRGRKATVVTPDRIKAVKERIRRIAHRSIRKMARGMKISRRSLGRIVKDKLKLTCYRVRKAAILSEATTKKRLERSKKLLQRTRNNEHLVTVFSDEKLFTVQADFSPKNHRVLAETSEEAFANGKTIHQGSHPASVMIFGAVCADGKSPLLFVDQGVKTNKEVYISRF >CRE06758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:307102:307329:1 gene:WBGene00064494 transcript:CRE06758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06758 MATVLARPQSFGLLHLRVLQNKVNAKPHSSIKALKKTLVKESDALLPDCLRASIDAYPRRLRAVIEKRGGRMEQN >CRE06759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:307751:308724:1 gene:WBGene00064495 transcript:CRE06759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06759 MTVLLLLLPLLATAEMRWSPRIEGNLAYDGSEKIAQQFLDSFYRAVRSGVREVIAAQFVDKIFFVSCEKVGNGESIGDYKHYNRCKWIASRSKIIENAFSATLVDYLVNYGSNVTMELVNCAQGSKFNHKTLPTISASMIVRGIGEPFLAPAMLLIVDGKPLYWGSEVSYCKFGRPSETVGDGSMSVVYDFLESFGNAMKNRQKTENFGRAKIAEHFSEKWVINNCTSNFYKEDTVFALSTLIPSNYIRFILSLYESQYVNSEKRSIAFKAQFVNLPPFDRKPWNYTIEKDMMDGKFKLTRTNYYCSN >CRE06817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:310668:311182:-1 gene:WBGene00064496 transcript:CRE06817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06817 MKSSLVFLLLLSIVLGFHDKDGSEKVIQPWLDTFHKSILTRDRDAFKQLFADAGVPMFFCKKVSEEKRKYRDEFLQGDDLWNFLLNNATDIHISLHESQYDRHNSDLIRTQLAITGMGETGGHMAEIDFEFNSTHVLWRMSEYFPCGMVAFNRDC >CRE06760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:311382:312479:1 gene:WBGene00064497 transcript:CRE06760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06760 MQSVVLLLLLVLYGSAFEMHETPRLVGNLDYDGSEKQVEKWLDSFHKAARSGDRHQIASHFADEVHMMTCDGGPSRFERTRFNRTTIIDYLVAHGSNVVVKLLESTRSDRRPIHKQVNIWTELVVTGIGKPFSPMVNFFVVNGTLLFFGGEMTYCGYGRPSESTGDGSMSVAYDFLESFARAMRNRTDTTAIAEHFSNDWTIKDYNRRGECTKVWRDETVHYLSTFKPYHSISFLINLENSYYVDDSRDVIKLEASMYNLKPFSDGKVNSTIIMIDGKFKWVDSEHSC >CRE06818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:313952:316633:-1 gene:WBGene00064498 transcript:CRE06818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06818 MVDEAPSKQSNVSPPPYGIFSGNHHPLRPVHHIAAQESLHDIELFRFTSLNGTSQIPTASRLRENPKTMLTIDKKPMESGVIYILHEHDGRQYWSTPLHREVVHPDRPDLQVAYLNPFATDILNGVNLHPNNYFPGDAVYVTEVAPKPNALNMLTTFEGVHDPKNHGYWSITRFYLIQREFIETLSIRTTPTRQGTTFCFAAGFDLPIWVNSKKYKESEITEPKGSKKKAVLFMPMLQPGQSIFGGEKCLALESSRIPPSVVKFKDSSPEEASTYPTGSSEFFHSILTSGYLAFCAILATQNRNGDFKVFATTVLSQEGGILMFDIRGPSGPLLPTRWPIGTNLIMETSSNESYELQIVKSETIEMKLRVNSSLVKTNGETPNLTGSHGLVQQQPVDNIYGFNLEMFPAPDAFDRIPAHAPIKQLLEAILGFGEAIPDQLIPRDPVEVLIEGIYPTCEQMNYINALVKTNIPVLLVDAPLGTGKTLMVCMALHVMVKENRGGIHVAMTTSGEALIVLVSMHMKIAKNTKIYGVRVVSDVNYKKMNQKLKTPIDFPVLWPQKLLEYVKFKHDQAIDELTKSAIYYLAEGKYLQGVKCLPPKPSLTLWETFLQIYQPRILFSTASDLISALQGPLLHFGKSIETIQVDNANQFPIHALLAIGPYCPNARYAFVGDSCQLEAYPEMEIRDEIKCFAIGQILKSANVRTLTLSVIHRCPAKLAKLCLNYFYPHLGSTIFAKRQEDNQFSRAIGVSNDSPIQVVHVNGSKAKEAELTISMIQNLLLSFPQISIGVLTYQKTQQNLFTRINRRSNVHISTIESSQGMEFDVVFVLTSGATNNTKKDDSPTRINLAVSRTKGLCVLLIQKDLVETSMFWKGLLNHVSKNAFHNESRSHCY >CRE06761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:318235:323207:1 gene:WBGene00064499 transcript:CRE06761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06761 MFLTYYCQATNSFQKMIRIALRVLKAAKIFSKPLGQERFPSLKDITLNNTAKRVELKTAETLVIKDHQKGISYKTLQQYGNLGIIPNKDNILVAKGRMELAGLEENARNPIFILPNSQLAKQIIADCHGSFHKTMEHTMDSVRRRFWIPKLRQQTKSFIARCIPCQRNSKQPCRYPDMGRIPRDRVNKQRPFGSTGLDNFGPIQYRKDDGTLANAYGTIFTCTTTRLIHVETVKNASALEFIQAFRKFVAIRGRPTKIVSDNGTNFVLGQKIIEEAFERSDCPPDMHKIDWKFITPYAPWKGGVYERMVKSVKEAFYKAVGRSKLTFEELTTVLYEATASINQRPLTKLEDDINAETPIRPCDFINQEMEIRLPLEGALDIKEDFRPATELQSKESMLNTVEALKSSIKASERVWKVWNSKYLAEMREGHKLRMDKKRGSPKPPKVGQLVLMCEELQPRNTWKMAKILRLNESSDGVVRDVDILTPNGRTLNRTINLIVPLELDEEDKEDETEQPPPQMDQPMEVPEKSSDNKKRYNLRSRKVVNYKEEEPTNNFVFSSGTKWTNMMFICTLLTMFSRATATDNIIHCTPNGIKIEGQFESFESCVENYCTTKNRWEWSRGQGVNVWFPPAIKIYPHHVTTKIKEGDLLQINEMDCEAVPFCQTIDCTICWTNIINPECHISWAILGVGALIFLLLFVIHATCKAPVKCKDVLGTGWTIILVLWWILSTPIRKAWKWFRKETPVRRSTWKRLFTIIVFLSLTRTIHTCQEVDLITQFETVCDTDGKCETITEEIMHLNNAHKEGCLRIERNRTILRDIRVQMMEIELHCVKRTITHTQDIETKVWSTKRCPHMGSCGDDKCTHVKRNTKIQELDNVNSYVGNTVTTLNTWKNKVETVNISSPLGRSTWFKDMMFTVVDINTPPSPSLNTWFITNTTSMATWPENLLPHYQCNQKLDKCVLDEECQCSPAEDTMICTCKDTDMRELFRQPDRVLPVQAGHLRLEQDGNNVKGKMKFSTSTTMSIKMTDKWTTSIVRTKESCSVASTTASGCYKCEKGATAEITCKTNEESTTANIECGEEEFAVECSPTGTKTNLKFFGNKASFQRHCTVDCGGKQKGHFEVTGVLKYSGSIWTAMWHLLDGNTTIFNEINLPDMGHIATSYMSFMKTMFAVTATVGIIFLLTYTVITNAGLAIVKTFFKICSWIVWQPIRGIIYLISLITTKCRRRRGHLHILIVIPIITALISPVFGTTPNTENSLHTPLFSGQYSLSQTVFPCSFLSQSVSLLSQSVSLLSQSVTLTQIPFKTLTISIKPDKKRIFTGKTMQRQSKIADQATDKKDKAHMPNVETIKSATKAMEEWKNMKEEEKREKMVDRNFMLNMDQKLDAICQYLGIPLTNSPRASPDGDSEIPEAVRFEEVEAGNGQEEDPVPRDSSPRTSSPQAPSTRASSPLAPATRAPSPQNAQPRLQSKVEVVVDNTAYKMRKLEKNPKKGTTKCLFCKEYHYSDLCPAVPEADERRTIAMDKGRCFQCLLDDQKCKQKCKAKPCHYCNQTGHHSALCLRAITKWVDKGDHRKHRRQEEDKTGDHRKHRRQEEDKAGDRRNHRRH >CRE06762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:325709:326153:1 gene:WBGene00064500 transcript:CRE06762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06762 MAKEKAQVYRKLFELIKVFLLRSVAQRELEYIQAKGYKFTTNVELGLVIRCTTPRCEKVLFNHVAFDRNLKPLCLKCCEKVTQTEKKEISVVQYKKIEELADICDGYVLTGTN >CRE06819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:327602:329687:-1 gene:WBGene00064501 transcript:CRE06819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06819 MALVRPDIMCGVCPTKANGFNYGAYTCNACKMFFRRSHTNPAEIHECVTDGNCVQLRDCRYCRFQQCLNIGMVIPKQLKPEVTEIIQNLLYLDAHRNNTFSVYVSLDNLSLEDAIFSDSMKLTEKTPSYVPDYYDWSSMDHLAAIEFMKKFEFFKFLSKAEKRSFIKTSYIHIIVLCLAMRSVGMKSQEFVYPNGVDVFPKEIQVMFQKCPSVLADVRCPLVARIHELKVTREEFVLLTAIITCNPGNEAYTVFNQEIISKYQQAYTSALVQYCLHTYQQFGPTRVNDLLSLCFLLGKAFEGFGSVWTAYMVAQNDGKVKKLHTDFLKYFLD >CRE06820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:332030:333629:-1 gene:WBGene00064502 transcript:CRE06820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06820 MTSARNSRCCVCQGRATGYNYGAFTCNACKMFFRRIQSTKQQMKECEGNCKTLRGCMYCRYQQCLNAGMKIQKPLGPQVVSIIKQLLYLDAHRNNRFSAFVPTGDLSMLDLIFSDSVNYTKKMTRDYQPDYYDWSAMDQVCAVDMMKKFDFVHFLSKEEKKSFVRNSYIHIIILCLAMRSLESRSECFVYPGNVDVFPEEIHYMYKVRKGHPDKQIGNEIFTDYNKHIINQYQRAYTSALVQYCLHTYQQFGPTRYTNILSLCDIINQTFKGFGNVWLSYMCEQKDGNVKKLYADFLDLFIE >CRE06763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:340342:342680:1 gene:WBGene00064503 transcript:CRE06763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06763 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MP04] MSIERKPFEIEKFCKRLLSVKNWKEQSQHVYTRFEIFSVCDLVIDLFRREQTLAEIAPPVRIVGDIHGQYEDLVRLLNCRTSMNTEDDKKASYAFATKKWVFLGDYVDRGFNSLECILLVFSLKVRTRIPISKILGHRIQIVFPRQYILLRGNHETRVINFRYGFRHELLRRQRSQQEANDIWEKFNDAFSYMPLACLVGMKILCMHGGISPELVSLDAIRMIDRPLTDVNPIRLAQDLLWADPDDFGKPHVGEFIHNKNRGLSVCFNAPAVHEACRRLNVCMIVRAHQMMPAGFKFFADRKLCTVFSAPRYMNEIDNRGAVLKVAANGKVSIMVMKKSKLQPGNKPISEEVTKFPGQSEYTALTDIEAAAKIGLE >CRE06764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:342981:345076:1 gene:WBGene00064504 transcript:CRE06764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06764 MVSTPAVVSNLPWVEKYRPSKLDELVAHEQVVKTLTKFIENRTLPHLLFYGPPGTGKTTTVLAAARKMYSPARMSSMVLELNASDERGIDVVRNTIVNFAQTKGLQSYATASSSDQVPFKMVILDEADAMTKDAQNALRRVIEKYTDNVRFCIICNYLASIIPAIQSRCTRFRFAPLDQKLIVPRLDFIVNSENIKMTSDGRQALLTVSNGDMRTVINTLQSTAMSFDTVSESTVYQCIGQPTPKEMKQVVSILLNDSAKTCMNLIKTKLFDNGYALQDVITHLHDWVFTLDIPDEAMSAIVTGLGEVEQNLASGCSNETQLAAVVAAFFEAKGLCAKEMKQAGVKAKGLCTKEMNQTGVEAMEH >CRE06765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:346539:353352:1 gene:WBGene00064505 transcript:CRE06765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-30 description:CRE-MDT-30 protein [Source:UniProtKB/TrEMBL;Acc:E3MP06] MHPNQGPPNYPGGGGPPGGQPPGFPGPGGPNQQGYPQGGFPHYPIYQAGIPHQYMPPPATPQYPQPGGGGGAGGSGGGAGGGGQLPAYMTMQIKPEPMWSQDSRYGMGPGTSGIPGGQGGYGGHGGGQQYHGMPHNVDMGDGRNSIAPAPVRYSPPPASHHSAAATNQSLLAQHLATAPLPPPVPPQQAPHLSHLLQQPMYPGTHPGEGPSGSGGGGQQQQRERGVRTTMTPRELLHEQETRFAQLQMARQQAYIQQQQAYQAAQQEYLQQTRAAQLQAEQAAVAAAAMAAVPANTSNLIPPLAQGFPPSASSSHSAYRGAQEMAVGNQMVPLSMVRESPHQKDIDLNKFTTGELCLYGRELVNELNVKTSHLSLMLKKVMERKTLNQGENPNELAAHCRFNLERMSEIRQIIEGRREPAWKRLSGDEYIELMLDDSELAKPMSEEQKAKREELEQRFPHILSKDPPKEGHIFYQGRWIPPALHAKYMTFEANKTILRNLSTQLKAVAWKIDVTSPGHLKRVDQTKISRKKNEEPSN >CRE06822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:353566:358598:-1 gene:WBGene00064506 transcript:CRE06822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06822 MADDEDDIVWIREDASGAPVSSPTSPTTPATIIEVSPILSDAFDKPILKTSCGPPEVTISAPPPTPPAAVTSEVSNSEVKSPQAPGITPIEFKLAEVASESTKVEVKVPESPKAEINAPESPKIKATATESPNVEIKAPESPKIDVKAPEAPEVKTSESSAPQTPKIVESVVEDVKKSEDSPDENQDQLTAKLDPKTPNSVDDNSMSFDISECERNFNKAIREASDILEDFDQKSKQVAENTKFVARELAELAASAPRVFQKTIDDHSQVPEISELPSGGLPKNVESVLIGEGLLEEITGTLVLPNGHVLVTDERVGILLFDLEGNVLAKINTPEFRKLWSPVYHKEHILVLADAQNAASHWVRHVIKLSIQLEYVAKIECPSWLAECTILRERLSIAHNDHLYLCVCGEIFSGLYELTPIGQWTELEYKLSEAYVDMLAFATIGPITQLLVVEGRRNYVLLVSVRESRIVDKKRMAICERPGALARDEAGRLFVANRFSASIQLVDTMRWVSEKNVAITEAFVRHFTACWGLLAIPLKNAVRLQRYSFRSLR >CRE06823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:361880:365150:-1 gene:WBGene00064507 transcript:CRE06823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06823 MPSLLDMPDMAMDGILENSDFIAVQILRKVCHGLRNYIDDKIPDSKLTRISISRTKYLVSIEYVHADYQKVSLSYHEHNDSSLVSNGLQDKVIDQKPYIETFFDDFQLILRHQKSILIEFTVDLRHVTDNKFIDVLKNILESRTHRLQVNSFETYVSDTSDVLRILPYLDAKTLKSIGIYVRDSGRFEHESLLNFNEIVELEQWKMANEVYVSRRIARIPLLHFSHFLSGFVMLKSVSKEGMRELKEKFQQSSDFRNFCIDYSDFPDMDDFLTFLGPVHEFVKEKKWFFRSPNDDKCLSIFYDIPNHQFTFAQIDKEFVPTDAVIQY >CRE06824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:376312:379536:-1 gene:WBGene00064508 transcript:CRE06824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06824 MSRNDPYRKKTKREEELDLDFLPDTGLNTPEGHLFYHANILNLTKDQYERAEAHGINLRSEKKFSLEETVRIFANWRKYSEEYGLETNKAQRYIMANKRDNEKFVWHRDRNHFWPKLCDGLPHRSGYAITKRAKNVLTDSFLETLDWDQLEEQVREKFHTPEKLDDEKLKKWRKFVNDEQKSVDVARIMDTTASKAATTMWRLRTFEKRDDPTMIHQIYESATSSGLNPDKIREHVVNNNKTELDNLRQNVKIKDLSYHLHISDIRCIQLLKIALKTILDKFRESKKGGATDDVAWSDAMREVSEKPELNKNQIYKAVEILCQNVSNEDTTITLARRTEIGEKMKAEGITGFFCTQPELKHLVDTVCFKHMWRFEPFFSVNHTFVYRIDGKLYKNLIRAFRVKDKLHFLLWGYKRREVWNMLPVAEDQNRYQAVHEFELANPFVSKMTLKFLKYPQVAEWIMEDRSPKHKHEAVFESFVLYTLTKYSGDFKFPEKLSHLFPKKSSNVVQTILEETMDPENAEYVSMKRAKSHVEEASGKKIRVEIKNKDIMMKQVDSRDSEDEIISI >CRE06767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:388041:396702:1 gene:WBGene00064509 transcript:CRE06767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-36 description:CRE-LIN-36 protein [Source:UniProtKB/TrEMBL;Acc:E3MP12] MSEDTQSPKRPKSNDGEESDADSIQIVSSPAKMPPAPEQSGPLELEPSADETMSTGDGGEEQREPDVDIVGEVNDEEDDDDEIIVMPPSTTSGDLLDESMRTPTKKANERIEDKTYKSTDGERVFSSFPCQVIPETLGRMTRTPPDGEHLMVHKNSNGRLRVFVIDHFSKYSLYSNLTHKPCTVCQRIMKAGEMHLNFPADLDRRRIWANLLGFKYKDILRSKMGPVSFSVAAGPICTEHFAEECFRNHNFNKSAIEAFGVPVAISPDVKTTPSKKRVPWVCTICEFHSCSVVELQAHLLEHTEDMLKNKESAMEVPEAGFMCPFCRKCTYGYKTISGYRRHLMAGPIHHCHLRRIYEFAKMNCRATELDPVDSWSNWTRRNVYVAYHGCEPPANEIVLTPSPTKKAYVQNPEERTKMVHDEERRKKAVRTLSFVGKEGGTSVNDLNVMQRQVYLQIRRERELAKKAEEAEGSKKRAGPEESEDEEDEEEEEESEDEDIVVEEEDIKPPPQLPMGSAEKKKSAKPSVSATSSPVKTTQKATSSAPPTPAKKRKTDPISEEPKEPTPAPADAEETEEQISPNDPRERLRQKIRDERFAEMVQKRSQQVTRLINAKQFKKGERSPIKPRKALQYTLMKGITMSSSSAANTSSVEPEEKSVSRETSPEPSTSSAPSTSRPAKRIIPPVTRSQRSGKKPTSYVAAPPPKKAKRGAAVAAAAAIAAKEPPEESTTSSSAPATNAPVEKPAEPEERPLKSKLARSLFAGLRPSLDQYRIPMEPFTRNPATAAVLPTSIATSSDSSSSGIIPTGAGTSRSISTGAIARPPAASSPGGMFSQRVMGAITQDKQPTKRPAVLARRPLILSPRKRAPTPRQSLQHHESSPSLTAPSAPSAPMTVPDEYIVSGKDMEVEMIEEVIDSVIAQSSSSGDSAGTSSSDHGGGRQLSLAEALELGGASNGETVKDQDLEEISKKMMEESQYYRAMEDAIKCRTVTKMRADMRLSRHCIRQIEAARARARLFGEGHEDYQIYYSNDGAQVLTKNDPKYRELQLQQQQQQASQPGPSDQ >CRE06769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:402847:405671:1 gene:WBGene00064510 transcript:CRE06769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06769 MPLLAFPEGIITSGEKALIKFNTWCFEVSPIVQPVSVRVWRPYPWKIAVSVLGSTWWTDLFYFFALPFTVVSVEYLPKMEKRNEESLEEFTNRVAETLAKNLKISVSKFGISDASEAAKRMRTDRERARKVVVKEKTTPRLIDARQMDECAMRIKQSFPSFHLSAIRRDLEKTRSQTATVNNLKTGKIAVSNTDGHTGKVELKTGLKRVTLDASSWRGVFDNRKWQMIESNRQKYMNRDDN >CRE06826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:399109:402452:-1 gene:WBGene00064511 transcript:CRE06826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06826 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MP14] MDTGAKKAAGGDHQKQKVVAWLVSMIERLKGWTPPLCQKLFTEKELIELCYRARENFWKSNVKLELCAPVKICGDIHGQYEDLLALLELNGWPPETKYLFLGDYVDRGPFSIECISILFAYQILYPDKVFLLRGNHESRPVNMQYGFFLECRKRYSNALYDAFQLAFYCMPLCAVVSDKIICMHGGISEDLVDLKQLEKVERPCDIPDIGVIADLTWADPDPNVQMYAESLRGAGRIFGAEAVKKFLKLHNLELIVRAHQVVNDGYEFFADRQLVTVFSAPFYCGQMDNAAAVMTVDEELSCSFTIMRPDLKKDKKASPAT >CRE06827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:406562:410171:-1 gene:WBGene00064512 transcript:CRE06827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cit-1.2 description:CRE-CIT-1.2 protein [Source:UniProtKB/TrEMBL;Acc:E3MP16] MSFSNKLITEKNGSRYGRMIHPWLRRKQDIMVDTPSRRDGMSYEEELFKRQQGGVFIFDIVLHLTLGKGEHGLSGVASTLFNRFFNVHSFKMCDFRDVAAACVFLAGKNEDSPKKLKYVVNHLWQLKYPHQKHFPSEQTFVDQCTVVTYLEEVVLRSISFDINVDLPHQYVLKLMRDVEKGRNVYKEMVKTAYYMATDVLIITDWSVRYTCASIATACVNIAAYFHNIKMDEIVPPELANHWFCFQDESMTRAEVEVMTTEFLDIFARNPAFHIGSLKKIDVNGKVKIVGRAKTPPPQATTSLSASGSSSSNLKKIDYEAYKGRQKTISASSGNESTPTTSTRPSFLPDVKNQKVVEQGLMEAQKQRGHYHNSNHSSTSSSSNGSYQNGYHKSSHHSHHSDMKRQTAYEDPTQPKKMRTDYHQSFVASSSSSSTTSISENGRYVQQQQQQTTTIMTTQQQPPSQQREESAYARQVRLLKAGAQQPVPLMSLPPPPLPPQQLQHLQQMQSQPPPQQSEYQKMCMALDKTRNQQYSAVNHHNSIIPTHPHHHLHHPMSSTQQISPPDESSPPLTSMILLPPPPPPPVLMPRRVDEIEDGELV >CRE06770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:411616:416818:1 gene:WBGene00064513 transcript:CRE06770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06770 MTHSTKGAGSPVYRPAPSKWIFTKEQMKNTANRKEGMSREEELGYRQLAAAFIQEMVDGLNNVKDPKMKIGHTGLCVAHTHMHRFYYWHSFKKYDYRDVGAACVFVAGKSHECPRKLSHVVGVWRDRKDRKQLTTETARNEAAQIIVLLESMILQTIAFDLNIHLPHVNVLQIMEKVDKDEHYRSLKSCAFYFATDVIAVTDWCLRYSAASMSIVIIHLMAAYANVRIERLFADFMTEGSPWYAQFDETMNEDKLREMERDFIQTYRNSCQFHYASKYNLRENRPPLDHPDVRKIERTRDARSHSPMINHQQVPDSTQNGRPRGYVPKDELTVERLNKERLVEEERRKRDHDRMAGRIDNSTSSEKRARIDPLSNNFVTSSSMTSSVSNGKLLPPPPIPPQLNYPPPPIGGYSTNNQQKNQMSRRPFEQMTTTSSGSPAFRASARAFDVAPMLTPPVAPKLQNLSDSDMDLEDGEVE >CRE06772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:426113:432779:1 gene:WBGene00064514 transcript:CRE06772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06772 MSIYESTFAPSDKTDAILVVEGKKLHVNKTLLSIHSDYFNSLFNGDFKEKSMSEIEIQDVDFKAFATLLSLIHPNPITPRAKRADKILELAERFLLPAATNYMELFLLSPNVKADYKLFLGDKYGLNNLIEHALSLYTYRCQIRSFSRTYPNVSDATKARLYEKLARNEAAQIIVLLESMILQTIAFDLNIHLPHVNVLQIMEKVDKDEHYRSLKSCGFYFATDVIAVTDWCLRYSAASMSIVIIHLMAAYANVRIERLFADFMTEGSPWYAQFDETMNEDKLREMERDFIQTYRNSCQFHYASKYSLRENRPPLDHPDVRKIERTRDARSHSPMITHQQVPDSTQNGRPRGYVPKDELTVERLNKERLVEEERRKRDHDRMAGRIDNSTSSEKRARIDPLSNNFVTSSSMTSSVSNGKLMIPPPPIPPQLNYPPPPLGGYSTNNQQKSQMSRRPFEQMTTTSSGSPAFRASARAFDVAPMLTPPVAPKLQNLSDSDMDLEDGEVE >CRE06773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:434733:435692:1 gene:WBGene00064515 transcript:CRE06773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06773 MPDFPEGVLGEIVEKCDIKSYLNLRNVSHGLRIAIDQLTPPCTHITFFNMEVDCADVYVNRKLIVSSDSDVLQQKNSSLQMEMIETRVLGVLESLLRNPKLRLKEFRFYTSSGLEGAHFLCTKTVINLLNSLDHKIHVENCWIAAEPKDLMDILRCLKPGTLEQITIFGSFKNYDINEIAQMDQWKQAKHLELSVDDFPPMDHFLHFSTIEFSGSITLPDIVNLCKSVSKWPNFEHIEIDNEEGLNKEEVKRVLNLQPTASPEVYTIPNSNLFIQFERGNSEMLKICKN >CRE06828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:435953:437198:-1 gene:WBGene00064516 transcript:CRE06828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06828 MRSVEFPLKCSYFLIYLLLQYPLKVYCQLVPYEDFRCGSGSLSTSISYTSSYFCDQIQLNQCCMYHDLCYAGCTLPQMECDNQFCACLATIISNPFCQAIVYPSHCNFVRLFGNLFICPMMG >CRE06774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:438123:438416:1 gene:WBGene00064517 transcript:CRE06774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06774 MLKFHVSRSARLWAYWSTLPHRMLKKYPEQTIFYATFGVATLIVGGYKMKKYLTESEKPYYRGYYDVVRSDDPIALNWRKPEEYPAPYLLSSVETAR >CRE06775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:438620:440328:1 gene:WBGene00064518 transcript:CRE06775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06775 MHNLLNRANALLAFTLWVMAAVTAACFLSTVFLDYSVPTKLSVKDVKIRNVMDYATDEQQADLATLNFNLNVDFSKLFNWNVKQLFVYLVAEYKTPVNEVNQVVLWDRIVERSERVVMDEIGIKPKYYFLDDGSNLLKHENVTFVLRYNVIPNAGYLRLVQSSDQIVVPFPSTYSTTRRS >CRE06776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:440631:445727:1 gene:WBGene00064519 transcript:CRE06776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06776 MGKFSKIKKVQQEESAQEKMEWEAAGAKDSSDDSSDESDDEQVPQKQTEETRKRAELWTNRERVLVLCSRGADVRTRYLMKDIKDLLPHAKGDSKLDQQKSLKALNEIAEMKNCTKVMYFESRKRKDTYLWMSNVEKGPSIKFLVHNVHTMKELKMSGNCLKASRPVLSFDDAFEQKPHLKLIKEVLMQTLGTPHHHPRSQPFVDHVFNFSVGEGDKIWFRNFQIVDESLQLQEVGPRFVLEMVRLFAGSFEGAVLYDNPNYVSPNVIRREHRKGQHAYIEKQLALKASNIKQAKVTEILAEKTVDLVGKIICSVFNSRQAAAISENLRSILKIDGNTVSNGILSHVKKSTKRSNGWYVSEIDRVQIHVMSEECREKYDLEAIWAGDDRILEEIDELK >CRE24525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:840709:842529:-1 gene:WBGene00064520 transcript:CRE24525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24525 MLTRFLRSGLRPQLRCLSQQTPHIEEEYFEDFEPQLTTTDLEDVVVKGFSTSDDVDFVGNVVGALTKQRAKDLFVVRSEEKEMTPYSHRIICSVFNSRQAAAISENLRSILKIDGNTVSNGILSHVKKSTKRSNGWYVSEIDRVQIHVMSEECREKYDLEAIWAGDDRILEEIDELKQKTLLPPQRR >CRE31360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:39728:43198:-1 gene:WBGene00064521 transcript:CRE31360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31360 MSLPSTQFNPFGRHERTVASLKNEMNEVLEKIEENNVEWPDVAKQYTNIAEQLIEIVKDIPDYAIQSLLLEIAKPFSKFLSVLEQWSPMFEVFFSAKHNSFKENGALLWKKTFGRIKTPLRWPGNLRKTLEKLPKNRGIVLPPEARVSSNCSLLFLDEEAMDGLSASSGDSERNFKQPESKGETLESQNSFQFSQQPEANAMADKILKDHKIKAETAKSPKLTTKLNTPSSRRRTGKISLLDEDSCDFITIISTPPSNRKVRLTDHQKETLSINRTDFIDEESQNPENLKQALRVNNYALDEDSISAPPVTKTMKSDPMDIDESSSHSISKSSARHLFDDTPFKSLPLASSSNPSFSSPDHTELERIPDLSKVSTESPPSKRAKTDIPSKDTDGDVVLEKLPQIVRIDSTSRRGRPRKNENSEINSSGNKRLGRPRKDTQQQCLPVEKEITNNSEASVISGKPEEEPMKSAIDPEDSFADAVPMDDLETTTVTKSPPKTPSILRASKRMASDSPMTERKRNRVHFNEDSLPQESTSSPITPRRRAGSSGKSPLRPQLTTTISIVESNVDSKSSTNSLLEMESTTAEPIVTTPFFPALVDCTDRIDRIIPNLVFMCRKTYMDSAKKSLQSIGVKTVGQFAALSKADIEKLTWIKGKTTGAKNVLTQHEKMWNSVVAEDVGEDVGDVVESVEPKDSAESATVENTSSEELKIDTNPPTVPESEITQSAERIQETTEMTPQEEVNPTPVESEKGDPTPVVSEAPESGSTPSEASQTPQEEVNPTPVESDELADDSTPLRSGSTPSEDPKTPQKTPEASQSPASPISSNTASIVSTISSTDTVEPRERSAVVSRKNLAPMFLGKAIKKETQSPQKTEVQKQIESAETSFQEDGRLLHRICVNISNAHSQNKWSVENSTKLLSNLNKAAIVFKNIVQIRGSGDWDDVEYENDHVDTFSVEDDIESLVKVYKRFSRHHTSSMASCLPWKSVMDCLNESTCLLESIYLARTPSS >CRE31361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:43571:43913:-1 gene:WBGene00064522 transcript:CRE31361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31361 MMYVYRRLCTRSPVFEHILCEEEEFPMPRPITPGPESFRRNSAQAQYRLLIKSKFEREFGKSRAECGLLMPVRLITSVSEEDDHF >CRE31363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:44930:46656:-1 gene:WBGene00064523 transcript:CRE31363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31363 MRVSRIRCGESKNSRIIRRFERSSVGSNRNQINSQYSIWNVVRRRFRRLLERNVSGTLKIAEVTSRLVSFKQFCEAITKMGLEMANRRQLTD >CRE31366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:47818:49037:-1 gene:WBGene00064524 transcript:CRE31366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31366 MTSMYNFKRITVVSNASELKDVVLSKTQRNTPTVVHRQYSIGRIRAFYARKIKFLQQTLHDKLTQIINEFPKMEEIHPFYSDLMNILYDRDHYKIALGQMNTARHLIDGIAREYVRLMKYADSLYRCKMLKRAALGGMVKLLKRQKSSFEYLEQVRQHLSRLPSIDPSTRTLILCGFPNVGKSSFINNVTRADVEVQPYAFTTNGLYVGHLDYRFLRWQPIKLFSFTLISQVIDTPGILDQSLEDRNTIEMQAVTALAHLKSSVLFMMDSIRPLFANKPVLIGLNKVDIRHRGELPAEKAALLYQLEKEGIPIVETFTLTQEGVMSFRDRACDELLAQRVEAKIQAKKITNIEDSVLNIWILTMRRPKRK >CRE31329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:53835:58079:1 gene:WBGene00064525 transcript:CRE31329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31329 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3MY79] MSDPFDRIMGDMSSGQASSSTSSSRIPKRDHRHSPEKCDEKCDCHEHFECSNKHVRFEPFKDATKDPAHPCRHLDALKRRLRKEINLNLGVVAVGGDVNSHNRRLAQHHIMRKFHEKKRHLFSNQSYHVMAYDCAAALYVPAGVYTGSDEEEASFSKEDFSSEDWGLVSKVSRREDDKFLVRLKPAGFVETQGVDALEASNRMELTRCVEIVTSQKLNNNEFYQFGNATFPLRDSPNSEPDGTSEIRSGFAKVARLVEGRKGTNEMLMTIDSKFSPFYKNTSVLKFVINKYAESRGVGGGEYGGGGRGGYGGGGRNDSRDSRGSYGGRSDSRDSRGGYGRSESRDSYGGRDESRGRRDSYDSRRSGGDSSGPDYNAQEVAEVEKAVRDNKNLVKTFEQALEGLFVEAIHLSGSSKIIRVAGVSEASAESSYFTQKDDKGEISVAEYFYTEHNIKLQFPHMPLIILKRFKHVCFYPMEVLRILPGQRIKVYKMSATVQSAMTEHNASMPQQHVDIVQKILSYSLKLENNLYMDAFGIELESTKPVQLKAKLLPPAQIKFKNAVYMPDLGRPAFRNPGSFIEPAHIHRVAIVSFDRAIDMRQAEGFRDRLYDYCRDNGIKVDSKDWYIREMNSGDHVAIKEAMEDWMKKGVSIFVGIAGDKKPDVHDVLKYYEKSVGMQTIHLCKQTVDKMMNPQGGRQTIENVMRKFNLKCGGTNFHVEVPNSILGKCVCANTETMNKKLFYQVQFIRFEISHGAKMDGGPSIVGVSYSLTNSTQLGGFSYMLTQREYKLQKLDEVFPTSVRAYKEHAKKLPSRIVIYRVGAGEGDFKRIKDEIEEIRSTFAKIDHGYNPQLVVLVAQRASHARVFPSRIQGDKAIEQNVPSGTCIDNVVTSFGYEEFILSSHTRDCIRVYHLVVVLVVTSSQILLEKICGSKIFEKGKKEEGSWVPNPEVGS >CRE31330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:61372:61764:1 gene:WBGene00064526 transcript:CRE31330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31330 MARTKKTAGRKSTGAKAPRMGERNRLVGAVKKPHRFRLGTVALREIRRYQKSTKLLLRKLPFQRLVREISQDFKTDLRFQSAAIGALQEASESYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERT >CRE31367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:65571:72415:-1 gene:WBGene00064527 transcript:CRE31367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31367 MLALPKTPKASWRRRDLHLLTPRIRTQEATAMPMSQFSRAKSSLESQISIGKQLHRQVSASVRSPPGSKRFFVPEGTSTPALPRCVEEVEMNEDPPMVPIATEVLSHPDAEVELNLNSEPIGQLSPERRPSSVPLNNRSISRLPPRPRTAEPVEPQRACSPTGKRTARKCTMAKPSHYARPTISWLETETKVKEKVDDDKKPGTSEQKKVKNASKLVESASPDKKHELKTKTSNYTDTVSLCCACQQQTPHSQLFVCMKEGCGYALKQTMPIMSPALFYRRETVFCGACAFRGLHAPHRAFLRAAEPLALPFVKYLETSKSIIEWHRRQSRIYNVIRLIKEQREEGHRLVKNEAEKLLTAYSKVSTELEREATVAITEKAEEARFRLAEHRLKMGVKPVEEEKSFSSVSSRSGRSSNATNQADRQMPSKSDMQKALNDVDDEITGGRIYTSIKVESFDEPKKEIVEENANFIDESVRQTTIVMDRRFEMIRRYSSIKDTIEQLADILDSRIKCVRHRQKVVDHMEKNYNIKWSRQLK >CRE29905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:352860:353552:1 gene:WBGene00064528 transcript:CRE29905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mesp-1 description:CRE-MESP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MM41] MIVAPKTPKGSWRRRDPDLRTPRIRTQEATAMPMSQFSRAKSSLESQISIGKQLHRHVSASVRSPPGSKRFFVPECTSTPALPRCVEEVEMNEDPPMVPIATEVLSHPDAEVELNLNSEPIGHLSPERRPSSAPLNNRSISRLPPRPRTAEPVEPQRACSPTRKRTARKCTMAKTPHCARPTISWRYKCSKEGVFL >CRE31369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:76999:79079:-1 gene:WBGene00064529 transcript:CRE31369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31369 MAYNDLMKDLSLLNTITLEDDSTAKVMRALIRLLSDPSGEVQNLAIECIGMLAQPSKIKSHHLEYLVEELAPHVFSKIEQARDIHALTLKAMILNLAPTATNNATSTVIKRMLPKFIDSLPTCAPDDAARIDVLDLIGEVLLRFGDAVPEMHKSCLKVMVDHLYSSRSARRKKAIIGIGHLASVINAQLYDELVTELLTELTKASTSQTSQTRTLVVALSTIARASGSKLSKHTPQVLPHLIRFLSANDKSESENDDLREASLQGLEVFLYRSPQEVAAFEKDVIEQFTRALSYDPNYEHGDEDEDEQMEGDEVDEDEDYSDDEGVTWKVRRAAAKAFEAMVSSHRESILFLSQTIGPIIIERFKEREETVRTEILSIYIALLNRIAILIPDLQKGIVSTDENFIVTDDIVVVDMVHNLMRMESPGKRASYHIILAHLLSSLKTSDTPSDDPIILMLAEYLVLETNRSCIIKLKEDTKPESHQFEIDWSTCVESLSLTNLALCMRFIGQTVNKSHISTQCLCTVLMQTTSQSWIVSESAQFALKIIAEESSRDQKKKDDPGAVERLIRRYSCHILNRVSLSCTSSTNYHMAPILFQSYLAYSRSF >CRE31332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:82336:82885:1 gene:WBGene00064530 transcript:CRE31332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31332 MVSGGFRPLDAQTTAAKAYPNHDKRQLKSLLPISSTMFNQVLQKDLPTIESKLFEAMEKAGWITAFLLFQMCGMQLPRDDDKYQADGAEVLNNLLPDDIRVFGMRRTMAYKPAVLDYFPLSDHSDMMVDIKLA >CRE31333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:83290:85078:1 gene:WBGene00064531 transcript:CRE31333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31333 MMSDDNTEDDDETRKEFIAVFPIELASTLEMKAVLNPMSTEWMNNVTLVDFSTTVLTNHYLKVVKSMKVYAAASDTETYAPEGFEKFFNQRRRWTPSCIANTVDLLMDYEGASAKKDAISYAVILLIIFFSMLGPAISFSQCSCFGKYMSIAYAFIILDVLVVTSSQIVLERLLLNS >CRE31334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:86350:89231:1 gene:WBGene00064532 transcript:CRE31334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31334 MREHSTEMKRTETHSKALIKAVNNHQNDPKMEEKGYRGWMKLKIPCSTFERQMNSIIRCHTTKLEHALNSSNMRILTRGQVHAVEEQDCDIYFESDYEVLCEMKLFWNKMFIQVSSDKFSHRLIIATQNFLKDLKQERLMPFQLGNLVLHSSDFWSSELTCHLVDIPLNAFFFGSKKLEADWSGAEECEFFGGISAGFPIVKTFQSAIDLKQLSEDERRQRLAARKPKVKITKTKVIDATFDESEYMRFWSAPGRQKTSKT >CRE31335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:90251:99948:1 gene:WBGene00064534 transcript:CRE31335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31335 MESSQEEDDKFEVKTDDKDISAIRREDAEWKIIQQNTFTRWVNNHLQKAGESIESLETEFSDGLKLIALAQVLSHKTVGKFNKKVTFRSQKLENVSLALNFFQNEENIKIINIDSTDIVDHNKKLILGLVWTLILHYSISMGWIQEKREDGQQEETPKQKLLNWIRNRLPGLPISNFTSDWNDGVALGALVNSMAPGALEDWENWSPNEALENTEKAMKSAQDLLKVAALITPAEMINPEKDEMSVMTYLSQFPATKPVIVKPKVNATVSNLEKTLIINEPKEFDLKLSRDGYKPKIAIRDADGHEIHLTVKRVEDKDDAYKVKFTPTKVGPIQLEIGATDIHTFETQEIPEACTTCQVLPIARLLEYQKTAKVGESIKFTVVDAIEGPVEAIIVDPNGKEHRMAILESSQPGEHSFEYTIPVIGLHSVNVFHRKLPITGSPFPLRGRRKYYFIAWGRGIAPEGVRKNDVVGVHVESVDPDESISNANVSLEVAKKDGKHLPISVGYDEEAQTLHFEYEPKEAPEELEVFFKVGGLVVESQIVHVAPQSTSKIRAFGPGLEGGIVNEPCVFDVEMNGESADLSFEVEAPSKAEIGWNVRPDGSAVVVSYSPTAPGVYEVGVLANGEHIQDSPFFLRVTEAVPGLKPSATRVTGIDENKVYSVGEKIPFRVDTRLCGVDLVPKVEILDPTLNKISYGARENTPGQDSLVQPLLTDQITMCYAYWKTGTHNELAVFDVFFHKNPFHGEFTVFAGLEDCLRFVENFKFSQSDIEYVKKILPENAEPEFYEYLETLDGSHLTIEAVREGSVVFPKVPLITIYGPLAMCQLLETSFLNLVNYASLVATNAARLRQASGAKLSLLEFGSRRAQGPNGGLTASKYCYIGGFDGTSNVLAGKLFGIPVKGTQADSFICSFSSPDELKLRSLNHKDTAETMDLFQASIEKRGWLLDQMAWGVVQSEVSDGELTAFVAYAIAFPDSFLALIDTYDVLRSGVVNFVAVSLALHDFGYRSMGCRIDSGDLSYLSKELRRRFVKVAALKEEYKFFETMCIVASNDINEETIMSLNEQKHEINAFGVGTHLVTCQKQPALGCVYKLVAQSTIPGKKKCYRIFGKNGSAILDLMMLEDEPEPQPNEQILCRHPSEESKRALVNASQIIKLHNVYWKDGVLATPLPTLNEIKEHVNLSISQTLEDHRRYLNPTPYEVSVSERLYQFIHELWLQNAPIGQLE >CRE31371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:101560:103293:-1 gene:WBGene00064535 transcript:CRE31371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csn-4 description:CRE-CSN-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MY90] MTEIERSAEVAEIFAQDTDHKAQFETLSKLCNKYLPVNAIGRVDTNEIIKIIDTVIALETGSMVVSRQFVSLITERLDNPNLESECIKLIAEGILSIIKTRTISYEDQVCILRLMLASLYEKEGRIKDAAQALIAINSDTSPKFNSPQATKEGAKALLCIRITKLLLDCAEIDEAEQYVNRTSLLMIEVGSTANPEIQIEHKALQARVCDAKRRFVEAAQRYYELSVTEQLPMSDRITALGKAIVCVLLAKPGPQRSRLLTIIFKDDRAPSCPSFEIIAKMYLTKVIHKDELAEFESQLQPHQKADEHGESILKGVIQEHNITAVSQLHINIKFKTLGMLLGVDTDAAESMAGEMIASERLHGYIDQTNGVLHFEDANPMRVWDGQILGTLEQVNKVSDMIVAQHPQFATFLS >CRE31372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:103673:105628:-1 gene:WBGene00064537 transcript:CRE31372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31372 MAMKIPKSGYGRFMKEGAQHFKGTDEAVQRNIEACTELASQIRSAYGPNGMNKMVINHIEKLFVTNDAATILKELEIQHPAARIIIMATEMQEKQIGDNTNTVVILAAALLEHASNLINMGMTPQEVAAGYEQAAEKALEILPTLVVKDASDLKNMDEVRQYLRSAITSKQYDNEDIIADLVAKACVTTCPANSYNFNVDNIRICKIIGSGVNTSRVMNGMVFKRGAEGEIRSASDARIAVFTCPFDLTQTETKGTVLIENADELVNFSKGEESEVEEQVKAIADNGVKVVVAAGKFGDLYLHFLNKYKIMAVRLTSKFDLRRLCRTVGAQPQARICAPAVNLLGHCDSVAVQEIGDENVVVFDKNSETGKVATIIIRGSSQSRIDDVERAVDDAVNTYKALCKDGKLLAGAGAVEIELAKEIESLLTPFETLPKAIAENAGMPTTETLTKLYAEHVAGHKNAGIDIWKREVMDAVAHNIFDLYAGKRLAIKLATDAAATILKVDQIIMAKQATGGPKPRGPKQQDEDDEGMA >CRE31337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:107523:109470:1 gene:WBGene00064538 transcript:CRE31337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31337 MLSRICVPRHMTASTSFLTPSSSEKLHHHHRRQMSALPPAIAPAFQYASDCYITQSIQMGMEGLHGIGMTWPTAFISAAILLRIGTAPLHIYAEKLFAQRLHAQNFLTQGILKKVSERYRVQLGPSADGSKLEVKSSDPKIAKATEDALQEVPSMLSEHGLQAARIQNLKMSTVPIWIFSSFALRNVINSDFHPSVAGALWIPDMLAPDPYFVLPVAVGVFGFLNLYSQRKIYPGVVKMTWKQKSYDAVLAFFTMFAVSIMSQLPACIPMYWLIVSMSGMAQAQLLRHPKIKSIFGIKKLPTDSRTPIRDLFKMRTV >CRE31373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:111841:113625:-1 gene:WBGene00064539 transcript:CRE31373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31373 MADSTITEDAIDASSPSSIQNIKSYAISQVLEAHKTGAMTLALTHLGTLISGGRDGTVQWWCKSREKYVKALAFTHPKTLVVHSIAYAHLEDGWRLFVGRKDGSIAVYASGSYEPVQIFKENTQSVCCLHVNQNATHMLSGSCDSNVFIFPIAELNKSSFTVLKCVGHTLSVWALASFPNVPDIYLTASADTTVRLWNRNITVAIFKGHKDVVRALAVLSKDCFLSAGNDGTILHWDIPSSSILGKFSTCAHNFIYSMTVSDSHILTTGENGTLEFWAMLHAKSGGKLTIASEKVIRLPSASTWDAKVLPNSDIAIAGSDGRIYIMSNDPERQASQELRRKFDAEVASKKKGIKENTVTFKVEVDDGTNQLDLHYRKGTDPGLCAQEFIKENNLPMYFLEEIIEFIKEEVPEASL >CRE31338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:115236:115778:1 gene:WBGene00064540 transcript:CRE31338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31338 MAYVDKDGNVIEKKQKGIIEMIVGFFTFIILFFRSLLGFSSPRNRNSNQQDYRNIVRGGGVNGANGDGNAYRRNGGGGGGGGGGRDIGRLPSASGIAPPPMGGGCCGGGGCG >CRE31376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:119150:121657:-1 gene:WBGene00064541 transcript:CRE31376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31376 MPPIKKKVKSCRENGQNSIQRQLEKSKVENSRIRAGKKADLTTIENIIIAMTMIRNDISVSMKEVIFWKDSTVKLEQEKDIIKSEISEKSLQLQHLENQKTFMLKEAKRREKVIKKKEESLNTFQDSLDKMKLLSPTAGKRLALYENVSDRKTKLQRCRLAINAIKEIVGSLNIDSFLKVFFKYVNDSTDFNVKYQLSDMETFYCKVRFNLPDGFFRLFKKYYKEITGFDVFSSRHDIADIQRNISVSSYYRISTQSIQTKTLSGVLTTVKKPIVQITSLSEVLSQRLSTLAAHNRLRFDEGTGENISVAIAGDKGGDETKLVLILENLEKPNDSRGQLLLGWYNGSDDYQSLKDNMSDVFAQFNSLTEIKYNDGSTDVTRKVVKKVSGDIKFCSALYQHSGQSSSEPCHYCKISISNHGRNVSKLENTAFEDIGTRRTLAEYKQKGNPLVDVDLCNVAIPPMHCVQGLLQKYAINYFVALANVIDSGDPDFPETLEQQRRRVKDLEFEEMTYVQRIKSSSEDKDQLGLILEALSKLKRTRRKSKKSCSSTFCIANSIKRDCVDLDTYQCNGCQEIFHFCCNGIVSMEEKATSRLANNRISCFECDLNHVMSTDERISVVKKKKEDLEDAMMSDEETWSTVNTEKENTLKIIHEQGGANSVRQKFDDLMKSIKCDNYNCSKNLTGNMSRRFLRKEVIDEVVSIFPWSQQLEDVRNFLYHLEFLMSSSDNNLKTPAEIDEIEEHLIGMIECLRSAHPKKNVNVKLHLVAAHLMEYLRQHLSWGRISEQGVEHIHSTFNNLHLKLAPIRDPVAKANAILNYFSNENFLFDCGDIWNT >CRE31408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:146:1423:-1 gene:WBGene00064542 transcript:CRE31408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31408 MPVVSTIHRPTTVNSPIEKSKSGRKDVIGDKKNKDTISYRLEIEVYNQEMKLYEVVLIRRKTISNNNVRDMFFKCKEHSNIFRDILNSILTEKSGKIAGEDQIRQVFNTFRDNPLWKTVHIASKLGFIQFFKDNQTEMKKDLNLIAQPQGHSPLVIAILNNRSQTIQWLLDNGAEINTVGVKDQNTPLHVAAQMGNTKLVSKLLHMGANANVKNGDQLSTYQIAMKSNNQYTRLEMIRLLAKFGAYEDDTGFNGEVVGEKRDENGQDEKVYIQYHYILVTYFQFQERRKREREHSQLENNATETSPEVIVLDESLSVRF >CRE31377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:136564:138079:-1 gene:WBGene00064543 transcript:CRE31377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31377 MGKWQGSQGSVGTSAQGKEYKREDLQDLAKSDSRLHLISVDVSCDESLQKALKEIEGLVDDRGLNLLINNAAICPPYRTIDPPNRAVVLECLNVNAVGALVTAQLFLPLLRKAAAHTPGSTFSASKAAILNVGSDCASQTLNVTGLCDDTDFAYKMSKTAMLSFSRSMVADFKPLGTPVLVTTMHPGWVLTEMGGPNAKITIEESAADMMKSIGKLNKTHNGGLFDRNLEIMPF >CRE31340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:144622:148162:1 gene:WBGene00064544 transcript:CRE31340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-efa-6 description:CRE-EFA-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MYA1] MAKVASSGAEDALASVDGGPPARNFRKAEAFVMSGDVLISLNRNVSSTYAKLLGDQLPPGTAVVSNTPPNQLSRASTSAAVAFPSMQRSGSSAGSKISRLPVSTSQIERKLSRKTSEDSPSAIRMHKTAPIERMESTDVEEEEESGDSGEMVMVTMTEITTDEKENESMEVRNEQFVVVTEEVIKSPNEEIVNKSLRSAMFTMPSSNNNTSYSYNSSPQLTAESPHLKGANEDAPDAPDYDDVVEDEADSSKPSRSSDKDTPSKRSVVTIDAPSSFDAEDTNHAYYSAIETTPKHHSSLRKQIFSKTSSASPSLHAAEVRSPSRSIQDQAPSTSMSSVGGDREASQVARKLYELKTCTASDVADRLNEQNDFAFLVLVKYLELFQFSTTRIDAALREFLSRVELRGESSARERLLRVFSARYLECNPSIFDGLDEVHTLTCALLLLNSDLHGPNTGKKMTARDFITNIGHTGCSFKREMLKTLYQSIKDNAISLQHSSARSSAASSVKQQRVYEVDPDSVVEYHSSFVMRKYVREADGVKTPFGRRSWKMVYARLRGLVLYFDTDEHPRATSRYASLENAVSLHHALAEPATDYTKKSYVFRVRIAHGGEILFQTSNETDMNEWCGKINFVAAAFSSPTLPLPVTSKPETAPMPRLPRLPCLAPIDKQLKTHEARVAELSEMIEIVMQSVYPERPQRQILDRLVLLGFEKRRYTTYINVLRQSLPDRKASSATTMNVVMSPKHRIHHQNPKTSTTTTTICEDRLSYTDAVNSGGH >CRE31378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:148514:157880:-1 gene:WBGene00064546 transcript:CRE31378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-1 description:CRE-PGP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MYA2] MLRNGSLRQSLRTLDSLSLAPEDVLKTAIKTVEDYEGDNIDENGEIKITRDAKDEVVKKVSIPQLYRYTTMLEKIMLFVGTVVALITGAGLPLMSILQGQVSQAFINEQIVINTGNTTIPPNGRNYTKTDFEHDVMNIVWSYAAMTVGMWAAGQITVTCYLYVAEQMNNRLRREFVKAILRQDISWFDTNHSGTLATKLFDNLERVKEGTGDKIGMSFQYFSQFITGFIVAFTHSWKLTLVMLAVTPLQALCGFLIAKSMSTFAIRETVRYAKAGKVVEETISSIRTVVSLNGLRHELERYATAVEAAKKSGVMKGLFLGISFGAMQATNFFSFALAFYIGVGWVHDGSLAFGDMLTTFSSVMMGSMALGLAGPQLAVLGTAQGAASSIYEVLDRKPVIDSSSPAGRKYMKIKGDITVENVHFTYPSRPDVPILRGMNLRVNAGQTVALVGSSGCGKSTIISLLLRYYDVLKGKISIDGVDVRDINLEFLRKNVAVVSQEPALFNCTIEENIRLGREDITREEMIAACKMANAEKFIKTLPAQYGTLVGDRGTQLSGGQKQRIAIARALVRNPKILLLDEATSALDAESEGIVQQALDKAAKGRTTIIIAHRLSTIRNADLIISCKNGQVVEVGDHRTLMAQQGLYYDLVTAQTFTDAVDASAGGWFQKTKRGKIKNLTGRRETLEWRRYKRKGSGGRSSMSPPRKFSRENSIARQTSEIHEALSRQASEMDDMMTRVRSSTMGSITNGPVIEEKEERLGKDALTRLKQELEENNAQRTNLFEILYYAKPHALSLFIGMTAATIGGFIYPTYSVFFTSFINVFSGNPNDILSQGHFWALMFLVLAAAQGICSFLMTFFMGIASESLTMDLRNKLFRNVLSQHIGFFDSPQNASGKICTRLATDVPNLRTAIDFRFSTVITTIVSMIAGIGLAFYYGWQMALLIIAILPIVGFGQYLRGRRFTGNNVKSASEFADSGKIAIEAIENVRTVQALAKEDTFYTNFCSKLDVPHKEAIKEAFIQGLSYGCACSVLYLLNTCAYRMGLALIIHQPNPIMTPMRVLRVMYAITISTSTLGFATSYFPEYAKATFAGGIIFGMLKQRSKIDSLSTVGEKKKLSGKVIFKNVRFAYPERPTIEILKGLSFSVEPGQTLALVGPSGCGKSTVVALLERFYDTLSGEVFIDGAEIKTLNPEATRSQIAIVSQEPTLFDCSIAENIVYGLDPATVTMSRVEEAAKLANIHNFIAELPEGYETRVGDRGTQLSGGQKQRIAIARALVRNPKILLLDEATSALDTESEKIVQDALDRAREGRTCIVIAHRLNTIMNADCIAVVSNGTIIEKGTHTELMSQKGAYFKLTQKQMSEKK >CRE31341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:160641:161899:1 gene:WBGene00064547 transcript:CRE31341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31341 MSIVQSAGRGVTQVVERCEAAKESGFLDLSSCQLMYMADAVYMLIKGCEITRISIQDNAMKKFPKKFVIKFPTATILNMANNEITEIPSEVSTWTSLKGLNAAKNSMKVFPEAVLELKNLIYLDLNGNNIEEIDVDRLYTSLPGLIKLNLSVNENLKDAVKEKLKSLKPEKLDLIL >CRE31342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:162429:165556:1 gene:WBGene00064548 transcript:CRE31342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31342 MTSIEEMLREAANEVLQSRIPHGYVLYPNYDQYWSSEQGMFYNPDTELYYRPDDETVYQLNADGTDYVLIERKKPTYWASRSYRKRCIELLGTPEFKKFDQETVNICEMTLGMVDKIVRGEEMEKEKEYLRSIADNKVALMQHRINKVFKGNRRRKNPNRQYVASTNETTGAIEYTLQVDDYFDWEDAYEDDSGDEFSAEDEQAVLMHQEGFDQPPCMRIMDQLNRLHVITISGGYIGSDRECEVIVSNQLLPERCAEIVYSEEAACYSIEKLETACILQVNGNTVQMNSPVDLCQGDSILLSGERLDVHVHFGNNTCAGCEPGLCHQEEPKEETTVIHARNIRGERARRKNLKEMMKSYGIRPDDQLNEPIRKKPVTGPSLQNIQRPPDIGTPGDMYGGCAAKPLPENQRKFEIPSTSSQAPPPPAPLNSGNVGFKLLKSMGWSEGEGLGKEKQGHVEPVATEIKNNRQGLGKDKEKPKSYREEVLEKTMQRFNEKR >CRE31343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:169134:170803:1 gene:WBGene00064549 transcript:CRE31343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31343 MSAKISRKALIETPITAQSSIGHILRAQFALQNQLPEDKPKTVGFNEAENMKLYELYSARREEYHSTRPSGQRNEEVPMDTLAKEWATEITAMGFAERTPAQIKQRIRDMRKFSRKHAHKLGITNLNGGQEIERYAEFSWAEPKRRSRGPVPDWMSGLAEIMMSEQDSKADDADSASEIMEATYGPKAKRLRLARTEMQLQQLQLHLDMKQRELKQEREKEDMQDEQRAERERRDVSPETLLIEKKLALADAKLHTEQLKQQALRLEIASYQRQLQLYEDQQQSNSLASEIASSSSPSDFLQMKINGFLKSESP >CRE31379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:171057:175954:-1 gene:WBGene00064550 transcript:CRE31379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31379 MRRISPLLPYLLVLLGYVHGFASAPGTYPEEEMKSTSDMEVPKNPYQNGWTGYDFYYEKDPKKAPSSPGGSGKMPAFQSIESISTDRETPEDPGSTTAYQASGSESSGHNHKSSISSSTTSTTTKSSAKVMVSPSSSTKGLVTTSVPTTRVIPTKSVSTTTTTTTAPSTVAQVAPESGSDLSAADNLELLYGGEKKDPSTSTTTQSPTTQHKISIAHDAPPPPKNLLTTLFEKKPRTMEPENPYGGYDDKGPLEGYESGYEAKSKGSAPGTEPRSTNGVTVTPDYGQHEKQQSDTTTRPPVRAQTPYQHPDHPAPSYPTTHAPSSSDPYEHLPIPTAPTYFTADTPPNPRHPHARIPESSQIEYNEPVNPPTRSGPSSSTGYQETPSSSNGGYSNPMHRNPGHSPGSEPSLPGSMDTGYPESQTPAEYSNPASNYPGSSSNYGNPANHALSPGHSSGHPDPDPSYPTLIVPVPAYQPDILDYGSRENNHVGKVPPMSSKFTHPPDDTSSTYSNSNNALSPRDPYDHFGTAHAPSPQPSQTMQNNFEEFPDDEMDGGYGSQSQDDLHIVPLRSHSTTTTNHEVRAQISEIDRIAESFENSAREPVEYEQKITSESSKGITVEAGKEIQKHFGRKSRKCCSCCDEKQPVSRNIETNVEPLHVQQAVDPSRQLGEVGAENAQNYVPLDNTVGGSAYVQRPQAPVQQPQQTYYQQPFQQYQPQYPQSYAYQQPACGCQPPPQNCCPPPAPCCLPTIPCCPPIPCCPQPKICCQPTPVCLPPPTCCSINFKLPTIPICGRACPSCPCRRRVHKSRRLKRHAISTNCNQCSAAGEPWKQVLHHREKRAAPGCSSGFSTMQQNSCGTCGASNLRSPRVKRMGCLPCLGRKKRDTEENSHIRVKRMGCLPCLGGGRKKRSALSGGGCSQCNSLGHLFNRYKRSLFGCNQCAPQPSCGCQGRKKRSVTVKIIKRAPEQCDASCCDYSRCLNRQKKETLVPFM >CRE31345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:179359:181306:1 gene:WBGene00064551 transcript:CRE31345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31345 MKRREIRPAHDDENSEQKPPVRYRALSSEEVRILQTKCARVGPLVQKHCQPKKTSARNAGRCAAYFRDCAPFIEKSDPLASIANSFNSGVNINLANVDVKGIPYYPVNEEGAVGVGVGLGIPFGSYGGGFSNSVGVRDYFHGDQEVGANWYDGMYGYKNHWNIPLVQSLGVEGGQHNTVSFPLHGKDAGNLKVDNGYGVGGYYQQNDHVGVNYKSGDVRHTFGVSSPFVGAGFQTGQAVAFPGLDVWERALG >CRE31346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:181911:183631:1 gene:WBGene00064552 transcript:CRE31346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31346 MDDRPPLSEIELRTLCLYNIHQWKTAEKTYENYKKVCSYPSKIEKLSAAKFKRLFNQYSKEYLLMSENGFHLPHYCSQICVHSDFVEGISLKRSYEKIREAFKEGAVGKEIVEYFYDGFQSRASAKHLQFSDLPFDTLRVVVKKLDLKSTLNLRNVSRDLRMIVDEQKPSYKNIRIEYSRNKYIIVEFNEQYVLYTADVDLPRIPLTKKIVRSDFENIAFEDLRFAFRNPEIRLDTLHIRYTALQFEYRLSRFLYSLKYQIHVKHCSIDFGNEKDVETILQRLKPKVLNKLTLRRVSPDRSAKDNEIHYISFDYVSRMDQWKQVEHVEVQKAKVLSIEKFFHLKRFDIEVESIPMENLRRLVNAASHSTNFESCRIYTDEYLDVDFIATGLKLQPTPWNSDNSHFYEIPETKSVLNFCLSRNLIHINKK >CRE31347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:185155:187621:1 gene:WBGene00064553 transcript:CRE31347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31347 MLRILVKNREFLSIFVGIQVKFDSEIINQVLRPKNFDPRLGHRRFSVLVLDKNGLEVVNSCLGMNEVFEEGVTLVEDLTRDREPMPTMDAIYVIFPTEESINVLINDFTRKTRYAPENTYKHAYIYFMDSCPDSLFQKLSKSTVVKYTKALVELNLNFIPIESQIFTVSSQNRGDMTKTADSIVSLCLELNINPLLRFHSDFAQSAEICYRIDQKLKEGEENRKTPLTSDAELIVLDRSFDLVSPLLHECTLQAMATDLTDFKSGIYRYKEDNGEMKEIPLDESCPIWLELRHKHLADFLKKVQTLTKELKQMHESSSTSKSAKEVTSTIRQLPVYLKKKAKTEAFLSLAEECRTKYFKSLEQIILLEQDMAVEHTPEGSRLSDSQAVGRLSPFILPAIPTETRLRLILIFMLTIGKDKDEQFFNRLLTHTDFRDDEVQMVRKMLDWRNKASFQRRRAPPEDERYPSSRWDPKIKSVIQDMLDKRLDEREFKIVGTKSTTGLRTAMSARYGGGLSGRPREKKKIIIFIVGKCENLEKLGRKCVFETNNDFVDVKKVSLSKDRLASANYCQLASVKSQPQ >CRE31348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:188102:194923:1 gene:WBGene00064554 transcript:CRE31348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ears-2 description:CRE-EARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MYB1] MKTTDILRQRVRVRFAPSPTGHLHIGGLRTAFFNYLFAKKHGGDFILRIEDTDRARFVEDLAQDKIYEALRFYNLNTDEGPREGGQYGPYEQSKRLDLYQEAAHQLVDYGHAYRCFCPEHRLDLLRKTAEKRGEIPKYDRKCANLTVENAKKMAENGEKFVIRFKLDKQNVQFQDEVFGTVNQCIDESDPVLLKSDGFPTYHLANVIDDRKMEISHVIRGMEWLSSTGKHQILYKAFNWTPPKFVHLSLIMRSATKKLSKRDKDAFVAYYSDQLGVLPEAVLNLMVRNGAGIQNFDSEHFYSLREMIDQFDLSLLGRRNLLLDADALHKYSRMAFQTARFDDDLYPRILEILEKNSFEIPEKEHIRKIVEFLKSKEENFGFLSSLTSGEFNWFFSRPQSSQLLCESYPDQDLPTILQELLKLETYNSETLEPLAKRHQLTLAKTMGIVRISLIGSKKGPPISELFEFFGMEECRKRIEIMRQLL >CRE31380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:195327:199545:-1 gene:WBGene00064555 transcript:CRE31380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elt-6 description:CRE-ELT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MYB2] MTSEEPNERTELMAAVAEGAEPRGAESNGIEQVAVMEPCAGCAQLHKEIRQDVNKIMNKIEAVCERLELIIAEKEKANHEQMSESGSEEKYSGSPSGSRESPDKHINGHVLTTSSGNGGCRKRKPTKESVNRLFENIPLIHENGNRSPKVARQQVSTPVSASSPFPEFNQFNGFIFDPMVNPQNMNMMQLLNLVNQSQQHTQQPQHPPPQQVQQMETVKIKEEVKQEPVDNNFPVETTEQKLLDQITAQFNGKSPSPTVVHAAGSSGASEDDPSSSSGVSRCSNCSTTKTTAWRRDMAGRLVCNACGLYYRLHRTHRPVHMRKDFIQQRFRRKIKEDEMPTATSQAAVFSHLLGLPNMPSNVNASNAFSILEQFSNQLKDNQEISDSPN >CRE31381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:200023:204107:-1 gene:WBGene00064557 transcript:CRE31381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-18 description:CRE-EGL-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MYB3] MSISIMTDEASKEEPKQVQEYSCSGCKQLQSDVANSMNLIMQRMDQLQYRLDEILKENAILKGAAGAVTSSSSTAVSSGKVTPTPAASSPKLEIKEQKPVLVNGAGTPSVSGARKRKPKERTPPTAASPLPDFSNFVNGFMFDPITMASNPNGMMQLLSLVQQQQDAAPTAPQPPTANTTATEQRSMTPPEAKQVKMETSSSEETMSSVKTEPEEADMLGAQQTQSILDALTAQFTTVGGRGKSESPSNNTTSTSTPTQSGTITATAAASTDSENRTIKLEAIATPSRSQDSSDEPMSSANDPNTARCSNCQTDKTTAWRRDSEGRLVCNPCGLYYRLHKVRRPIEMRKNHIQQRYRRKNKDKDLANLTDPNLFNQLLTQMPSMATGGSPTSASNALSFLEQITQFTQAHELMNSSASF >CRE31382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:232752:233555:-1 gene:WBGene00064558 transcript:CRE31382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-261 description:CRE-TAG-261 protein [Source:UniProtKB/TrEMBL;Acc:E3MYB4] MSSRSTGSYDEISQYIQRLREERRLTEASIRKMEKEKSELNEKIDELTTRRNSTDARLQAEHERAERQDKGLKEAENTYAKLLESQKMLVDFVRKEYQDTKHQKY >CRE31383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:233672:234513:-1 gene:WBGene00064559 transcript:CRE31383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-24 description:CRE-RPS-24 protein [Source:UniProtKB/TrEMBL;Acc:E3MYB5] MGDVVTIRTRKVLTNKLLYRKQMVVEVIHPGRPTVPKADIREKIAKLYKTTPDTVIPFGFESKIGGGKSKGFALVYDTLDYAKKFEPKYRLVRMGLAKKVEKPGRKQRKERKNRQKKVRGTAKAKVSAGKK >CRE31349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:236136:241546:1 gene:WBGene00064560 transcript:CRE31349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31349 MRLLHTTGGVMRQVSQRFQGSKVFHGKGTPTIGIRRETVNAWERRAPLAPTHVKRLTKSGVNVLIQPSNRRAYPIQDYISAGAIVREDLSEAHIIMSVKSVPIDQLIPNKTYAFFSHTIKAQQDNMEMLDTILQRNIRLLDYEKIVDDKGKRLVMFGKWAGNAGFIDILHGIGLRLLALGHNTPFLHMGLAHNYNDSHMAINALRDIGYEIALDRMPRSLGPLIFVFTGSGNVSQGARELFEHLPHEYVDVATLPKVAQKGQLNKVYGCVVTRKDHFVPKHGGPFNKQEFEQFPDRYTSKFATEIAPYASVIINGVYWDAQSPRLITIPDAKNLLTPVQRYDTPGCPTLPHRLVALCDISADPGGSVEFMRECTTIDKPFAIYDADFNTSSDSFDAASGCLVCSIDNMPAQMPIEATEQFGNLLYPWLWDLLNTSNDQHFDRLQCRTEIKNAIITDQGKLTPNFEYIQQLRQDKAAAASSNSRIMGGTTDKRVLLLGAGMVSGPFADFYSKQKDIQLTVATETQRDGQKLLVSPNIQSVVVDVARESHTMERLIREHDLVVSLLPFNFHPLVAKMCISNQRDMVTSSYVSPELEALDKAAKDADVTIMNEAGLDPGIDHMLAMECFDDIKEHGGRVTSFESFCGGLPAPEWSDNALRYKFSWSPKGVLTALMNPAKYLKNGKIVEVPSGSVVDNLIDIDFMPGLNLIGFPNRDSTKYSDVYGLGNDCKTIIRGTLRYQGFVETVKALHSVGLLSGDNTDSFTSNVGPDLTWKELVASLSNQKLDIFPDSLRHIIEEKVGAKGLSAMENLGLFSDKVVDRHGTPIDTLAQYLAKILAFKEHESDLVVLNHDIGAQLPGGNSERHRISLVQYGNPNGFSAMARTVGYTTAIVSHMVLNNEIQRAGIQRPILKEVYRPALKRLRDFGIIPTHTITPM >CRE31384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:242830:248075:-1 gene:WBGene00064561 transcript:CRE31384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-4 description:CRE-EGL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MYB8] MLTCSTATCQIAPAYPKFIEKLRRLIWGHEPSTSYEFDEMERHVALKSHRKNVNDGYYVEEIHYEPTHHHVIRKKQPGSGGRNLYFKKSQKKTASVQALTDVQLWVLDRSVFQMITQRLGMERHSQIINFLKNVSIFENLSEDRISKMADVMDQDYYDGGNYIIRQGEKGDAFFVINSGQVKVTQQIEGEKEPREIRILNQGDFFGERALLGEEVRTANIIAQAPGVEVLTLDRESFTKLIGDLETLKRDYGDKERLAQMVREPPSPVKIQDDFREEFAQVTLKNVKRLATLGVGGFGRVELVCVNGDKSKTFALKALKKKHIVDTRQQEHIFAERNIMMETSTDWIVKLYKTFRDQKFVYMLLEVCLGGELWTTLRDRGHFDDYTARFYVACVLEGLEYLHRKNIVYRDLKPENCLLANTGYLKLVDFGFAKKLASGRKTWTFCGTPEYVSPEIILNKGHDQAADYWALGIYICELMLGRPPFQASDPMKTYTLILKGVDALEIPNRRIGKTATALVKKLCRDNPGERLGSGSGGVNDIRKHRWFMGFDWEGLRTKTLKPPILPKVNNPADVTNFDNYPPDNDVPPDEFSGWDEGF >CRE31388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:284920:288190:-1 gene:WBGene00064565 transcript:CRE31388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31388 MSDDDEEVIVPAAPVTVDEMRAVENQVRAVMQFIPIKEKHVLFDRDEPVQHRVRINGTVSRTAVAEETLYRLSEMTILRGEDLRFSVVQEGSTDTLEWILHADITHKSKLDQSGNVVETKQTTVLPGAKLDRLGILIQFYTEKSTCQFQKLTIKGYPQIWPAGQRLNVRHLAMELPHNENFNTVLFNVFRSIRRASLEEVSLNVSAAVFVHIGKDKLLKRPALHLTLDKFHIQAIRDIQTKWCSLSVSSKLLPKEDWKELLEYYLTKKQAGWFLQIELDVHPYLSLHQLGTEFNWELRRFKDKKPLPKRFNLEIMNKIIAHLPIPQRAMLKNDLFWEADIPSLYDYIRVDFMKLELHLEEEIVKVDSELEAYHKLETLFKHPETSTKYMIIYGYSEHFLDLPNKINVRNLMLIYFDPYPKEFFGKFNELGIRTIGFNSRDSMKNAQDFLVHIEKVNDFSFLMPQIKPLNQGGETQEEQIEEDNEIEENSEDRSEDNPEIVDFTSEHDDKYIGEEHNEEEQLEETPKIADCICDNCVKQTAIDEPQENPEGYYEANTETYAPTFAHDLDRSRSPTPVYTRSGSPWLEELQSDEPSAKRSRLERSDTQPRYRYKGSKSQSPQRSGSMEIVENSQKVPVPTLKPAIPELFEVDLLDLELPASIPRFLEEPPVFTQPELLEDNLGTLALELPTSIPRFIEEPLPVCVPRYQFVFHNHQGSPNTEECFSPIYSNKPEEEYWEH >CRE31389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:294470:302365:-1 gene:WBGene00064566 transcript:CRE31389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cmk-1 description:CRE-CMK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MYC3] MPLFKRRDGATPAPNATIREKYDFRDVLGTGAFSKVFLAESKSEAGQMYAVKCIDKKALKGKEESLENEIKVLRKLRHNNIVQLFDTYDEKQFVYLVMELVTGGELFDRIVAKGSYTEQDASNLIRQVLEAVAFMHDNGVVHRDLKPENLLYYNQDDDSKIMISDFGLSKTEDSGVMATACGTPGYVAPEVLQQKPYGKAVDVWSIGVIAYILLCGYPPFYDESDANLFAQIIKGEYEFDAPYWDQISDSAKDFISHLMCCDPEMRFTCQAALAHPWISGNTAYTHDIHGTVAVHLKKSLAKRNWKKAYNAAAAIRQLQMLRLSSNSNRLQKQASQQQPTPPQPTPAFHA >CRE31351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:308924:313893:1 gene:WBGene00064567 transcript:CRE31351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-109 description:CRE-COL-109 protein [Source:UniProtKB/TrEMBL;Acc:E3MYC4] MEDTREKAYKAVTYSAVTFSFLAILSVCISMPIVYNFVDSIHQQTKRDMTFCKSTARDIMSEISHKKPIAAAIAGNLTTIRNKRQAVGCAGCCKPGHPGRPGLPGRNGKPGVPGAPGRPGTPGRPPIVCEEQDIPPCNPCPPGPPGPQGPTGTSGQPGRPGNPGRPGSPGTPGPVGPNGASGDTGAPGNDGEKGEAGRPAQSTPSTPGEPGNPGDAGATGAPGDDGAPGRDGQPGQSGPPGPPGPPGNVGPAGPAGKPGQPGLPGPQGERGICPKYCALDGGVFFEDGTRR >CRE31352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:316777:323239:1 gene:WBGene00064568 transcript:CRE31352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31352 MNFFKRKFSFSEDEGEPMDDVNTGPPSSFSFQSIANKVSNTISAPTSPAKSRESLAAALERSLNHDRSRGEPLMKDAKVLLVIDSHHVDWSKYFRAHTEFAIRVEQGDIDELDVMCTEKSCSVELNQPGKDIRVFTPSAVFLGAGATRCAQLKTITRAFIAAHIPFLNSHTSAVAFLDKNNLKKQLKKITLSDGASIPMLPIVHYPHFHKFHQGQASTYPMIVSVNEGFQGIGKIKVNNHEELCDVEGMLQIMTKGDTEVEVQPFVDAKYDLHIQKIGHEYKTFIRRGICKHWKSNVGSSVLEQITTCERHKKYLKAITDHVGAMQICSIDILVSKEGREFVHDVNDVIAYFGESAEDDRRAASMLLRALVAPRVTATSPVENGAHGHGNVHTQPHHEVTSPTTSSANGHHPAPPPGVPNRRLPPQPPSTSASSHHIPRGFSDKIEPRHKDHYDPPPQIPRTASKESVSYVDDTMGQLKRTFAGFFGE >CRE31339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:123217:133312:1 gene:WBGene00064569 transcript:CRE31339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31339 MPVVSTIHRPTTVNASIEKSKSGRKDVIGDKKNKDTISYRLVIEVYNQEMKLYEVVLIRRKTISNNNVRDMFFKCKEHSNIFRDILNSILTEKSGKIAGEDQIRQVFNTFRDNPLWKTVHIASKLGFIQFFKDNQTEMKKDLNLIAQPQGHSPLVIAILNNRSQTIQWLLDNGAEINTVGVKDLNSPLHVAAQMGNTRLVSKLLHMGANANVKNGDQLSTYQIAMKSNNPYTRLEMIRLLAKFGAYEDDTGFNGEVVGEKRDENGQDEKERRKREREHSQLENNATETSPEVIVLDESLSETSPPITQQVAAAPVSVLTSKNASQNEVQPCSTMQRSEEVEQTVATISGQVKLSGENVERIDSMNPTVVQQNPLRSSSIVQSTAIVLNDADDGSLPAPLTNFNEKMLHDGLSEISNWIHTRLEKSTPTEFTMELEKLERAVVELKSEQREIIQFKGNLDKIEEIFSHIVLESGADYKKVVQQNSTRLEDVQSLIAFVKSVRKIQALDLDSFEKIDPDRRDDVLQKLHDDVLKLPVDLNSRDPRAVKIREEIKKTKEHFMNLRNSSQAAREFDLNTQFSRKLTEFLPKLHEVWVEVFQRIHKLQGTFLNHNSDGFRSDIDDERFDLSLPVLEREVNEYKELFKSLRNPTNIENDLLNRLELMWNFLKTNSELIKTVSQTSSTTPVDSRNVTFRKSRNQQIVNQVNQVNQVNQVNDETEKSNMGDEDEPMDESTMDVENPRASSNSNDLNSIEIRDAVRIELIESVTSQATKRRMIDLNLTDQPPTKILALKSRKMPDIEALKAFEKMVEDLLNSQRDLSEDARSDYIINFDANNFPCLGDSCIRNL >CRE31409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:6480:12225:-1 gene:WBGene00064570 transcript:CRE31409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ark-1 description:CRE-ARK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N5T0] MREEAAGATNADATLNKLLQAADLTGYEPDLRRKLKLRNAADLQYVEEVDLLSIGMSRPEQKRLRKEYTRMFPSGIFGKVKKAFKRSESLDRKSSSAAGGNRDDDDHHVIPIEKITLCKELGQGEFGSVWQAAWKNSNDVTQVAVKCVGSDKLLATSSSFLQEAAIMTRMRHEHVVRLYGVVLDTKKIMMVSELATCGSLLECLHKPALRDSFPVHVLCDYAEQIAMGMAYLEAQRLIHRDLAARNVLVFSPKLVKISDFGLSRSLGVGEDYYRSEFTPNLKLPIAWCAPECINFLKFTSKSDVWAYGVTIWEMFSYGEMPWKGRSGAQILELVDRKKELLPRPEACPEDIYDMLRETWTHQVIQRPNFSDIVSQFPERRAQSVRAVVDCRDSAPDHLHFKKDDLIVVISRSPAQYPDGYYWFGSLRNGKLGLFRPTDTVAHLGSEPPCSNGTIENGFGDHQKSGEKGKKGSKKVDKGEERERKKLLISEPVGDVRHTCHVGIDGTAFGLLQLDKKAICPTSSSPSTSRGSTTTSQASPAPSHTSSSTTSSVQLRDTVARHGVSLKETMSLRDVGPLSRDAINLRETVAPPIARAPSQPPAYSQPRPPPRSVSSASASLDRTNPRGSVTPTAPPLTASAASSAANSLKDPLTGISLSIPNSHNNISYMDDEHDDRWMRSPRSPGSASTTMTTLSSRKEPIPAPRGPVAAVYARGKEIPTPASRSDVALCEEIEHLNRDLTNYSIGTICDYSEDRPLLDSHQRTLNPLPSANLSSDRDRLRFMTEEEVRKMGEKSIREHRKTEDLLREERQKERKFGGGDFSPESDSAVPEPLYSSRQTQQEGWSSAAQEAYKLLVECGTNLKQASVSPPPMSPMSPRYSMSPAPPPRPVTPPTVAKSSEPISMRPKVQEDEMEQVTVEENSPKRVHIIETKLIDGPARGMSPIQDRHVPAFTTPMTNTFRKAPAPTPNGGTDHQKPPPCRPPKLANSHWSSTSEISLMTI >CRE31410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:18222:22862:-1 gene:WBGene00064571 transcript:CRE31410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31410 MIMAKELTETLRAFREQIEVSTEVNRRQLEENKLLRESLARATGGSESNLGGDAITTTPLRSGYSARLMGDLARRIPKFQFILDEPDAFRKWITRNELTITEDGKDLTEREKVRLLLGALEESTFHRYVDSQRDSGDIYEIAFKDTVASLNKVFGSHRSMMIRRQECLQISRSSGLFHDPLEYSNKISEAVLDAKLSTMTSDDWSVFLFLRGLDSPGDAAAKAYLMQWAEQSERKKETVTLAAIHDEWIRFIQLKQQTKTVAASSPKQQVGVNKVEKKNFRGDNKNKTDTTANKSDSHSNKEVTCFKCGERGHLAPQCPRSSGKKKKGRYWGKKGVQKTQCVRVDGLHENQNNVSKPTMRVNVDGQLLSFQVDTGSEITLINEQSWKDVGCPELEKVPHRISCANGTQMSVKGRALVSFELKGIQYTDYVYVRAKDDNLIGMSWISQSPGMCEGLAVMVQTVNTSVPKKEISRLESSLQHDYPQVFEETLGLCKKEKACVRTLPNVKAIFKKSRPVPYGSEKAVETELKRLEDMGVIERVSHTDWASPIVVVRKKNSDKIRICADFKSSGLNAALQDEHHPLPTSEDIFGKLKGSIFSQIDLRDAYLQLELDEEAQKLAVINTHLGLFKYRRMPFGLKPAPAVFQKVIDKLIAGIPGVAAYLDDVIVATDTMQEHEKILKKLFARFQEYGFKVSLEKCTFAKSEIKFLGFIVNGEGRKPDPEKTEVIRKMDSPKNQKQLASFLGAICFYSRFVPKLSELRGPLDRLMKQDVEWKWTPIEQNAFDKLKNAVADSTMLSHFKEDWKIMVAADASQYGIGGVLMHETPDGQEVPIAHFARSLTDTEKRYSQIEKEALALVYTVKKSHKFVFGRKFSLQTDHKPLLAIFGDSRDLPVHSQNRLVRWATTLLAYNFDISYISTAKFSKADWLSRMIQNYPRDEDDVVIAEIIEEDDDEDQFPTHLPVTSEDIRKSSETDGEISTVIELVSNNSWKPKPSSDIEKYWKRYKDRLKIIRNCLLIDDRVVVPKKLQEAVLTQLHEGHPGVIKMKQKARAYVFWRGLDSEVERAVLRCSNCQEQSKMPIVAPLNPWPAPKKPWSRIHVDFAGPVDGTYLLVAVDALTKYAEVKMTKTISAVATVDLMEEIFCVHGFPELIISDNGTQFTSSLFKNMCKTHGMQHSTTATYYPRSNGAAERMVDTLKRGLAKLKGTGSITRQLLTKFLYHYRNTPHAALNGMTPAEKHFNRKIRTNLSLLIPSAKNQQKVALSRYQASMKHQYDQHNAARAKCFQIGQKVYARIQRGNKSSWEFGVVRRRFGNVLYEVQIGERFHRSHANQLRLRFGDRSKEDMFEETVFPMFFGQAEGDGVENQLSGGPRVHREGLNSLQFSDPLQSSDVSSDFCDTFVGEEVFGRRVSGRSARAPVEVNFADDCDMMSDRRAAQESTTSNRSASANTTTATAINPANIKGPQSESSTNPSHSLRRSQRTKRAPNRFDPCTAPSQGRGKSRGSYHPDHPAARDRGVAPASNRFVLPNSSSKGGGVGKERGRPRWH >CRE31390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:23446:26989:1 gene:WBGene00064572 transcript:CRE31390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dsc-4 description:CRE-DSC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N5T2] MFSRKWLLLATLIAVVSAVPDLDEIKRNIKKHGADYYTKQNAKYDENTVRLLKVDYWFRTESMIYDELNSKEKAPSTVIAGNFSFETLHHDVEGGMLGRFSLTQCNTGNCGEPSPIYMAFRQGGNNVEHVFKSSDDSDATWNFLYAIANTIYTPAEYGEGDEQTVDTIYGRCKVNFGRPEDKRFRRIIDKCDLGYGANFTKFDGLETVAYDQDVWYTQNTKVDADIIMIDAVEMLAFRSPLHEKHGFQVESRTHVEITNRTRVFVHRYCNDSVPAHSCAEQAFGAVRVGGKLYENVKIGVAQPNKLTKLIGTYRRHLNEMGDSHICEKHSLLYGQIVQEAKLAKREDWEAAIRYPENDHVLSIIASSLGSVGTAESLATAREVLLQQSPEHLDDLLFGIAQSSSKNEKWHKQLMYWLGTLNQDSEDFWKLANTIATVLNKRCEATTSSLNSCNKGKEAIVNKFINDLTATGVTVQVLEVLENIPVIGAYDIAKKYLCGQEALEIQKAALNVILAVDKNLYETQLTHKLIRLFRNTCSQQTPTSHSQLAIDILLKCVPDHQNVATLILRTESLNPDDQEKWNYLYKAIESSGERDELKAEFWSRMRKFKVFRPNFLHRALQADSHVHWQEIADASGFRLFSTATAEFLHKSFKRSIFELSLKRGKKEHNLFSLSIDTEHLDQFITGSTSHSRSGAPEGSVRIGIAGHKLPTKHIFKGSTDLLSTVWDADGRTYKAFEGNVPLRDVRFSLPLLSGLTVNVNSVGAISLRVLASAEVSLWNQRSNAKAEAYTSGSLYLTASLQQDTQQVRYIESTVSALSTFTTDTRAIFESLPYDFCLKTSNSNAEIRQKTIIEEESHKKKTYNRKRVEPGVTYRLDDSTIRQCNNYLEQFRM >CRE31391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:27810:30175:1 gene:WBGene00064573 transcript:CRE31391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snai-1 MNMSLKRKSIFETIDDLISPDPIASSSSSSASYASLDNQKLMCQFCKKSYLTYFGLRRHLQFHKEGKLQQICPQCKKIYRSPGALKMHLKTHSLPCVCTDCGKSFSRPWLLKGHLRTHTGEKPFGCDSCGRCFADRSNLRAHLQTHSGEKKHRCSRCGQSFARVQVRQRHEQSCRIDIKSSDKEDDV >CRE31411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:30274:32003:-1 gene:WBGene00064574 transcript:CRE31411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31411 MTILNVFPLICLVLLPAVMAQNMFEAKDDFELKKPLVVPAAEANYHFTMIEVDTLAQSKERLYGLIGVVLLDGVFTFSGIEENYLLKLPMNPKRCIPFKGINVVHKCNHEYFALNADFVNIQKTIFHKQDSLDTVCINRQTKETLVRKFNPANDVGLKKKESTNLVVRYGQRVLMAYKPGANYNKCIGTYDSEDDYFTCMMPNKEGKLEGFEMTSFLYDEETGEPHRAENTVSFDSLGNVEFMNVPGGGLLQATI >CRE31393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:42514:45780:1 gene:WBGene00064575 transcript:CRE31393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ham-1 description:CRE-HAM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N5T7] MTYLAVVLNGPKAKNGRKVFDSFVEQNRQMFWNRELTVACNAITYMGFMRPGTLFIAGAPQQLAVFKDAWARRILKPAMGYTITSLGDLGAIQQVEQMHFVPLGDVICDAVAQLNRNGMTASEQAIRQYVARHCPHVAPPGIEMVRQTIASLLATGFVYKMAEHYFVSVPTNSPMRPPGAKPPKLPTTSKNTVECQTGMSMMVATTATKESSTSSDDNVQSQNQNQGCSKKSHNNNQRRSIFARLFSRGMKSQHMMPSASPVVVGCQTKAYPISSSPPGVLLPPAKNKYPTYHHDLNEECQRKSYPKEQSRKSRRQRRETQKFATSSSECLKYYPVDMPLEEPKTRPTRRRARLASPLRSSTPNESDSAYSVSPPHTDSNEDAGSMSDSEINHTYINVNKFRETYDSTQFEDLTGATSVEEPQILATQMRGVLISNL >CRE31394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:48495:57371:1 gene:WBGene00064576 transcript:CRE31394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31394 MNILQGGMSFVSNEGLPDEYQWFSDQIAGHHPSVIKNGKREIGLLKIPGSCEILKPKQDASRGEKEVALYKLLLSSSSSSPSPTEQNPPSSLLTTSSDELRNGVRMEDVDGLRELTAKFHRMQTLFVDGEDREFLVLEDVTSDYKRPAILDLKMGQVTYDPLATPAKIEKESVKYPPQATMGLRILGYRIHRGDDNVEVRDKDWGKSFDETNVQTGLCEFFSARDAPHLENVLKEALDKLKDIKAYFETQKSFLFFASSLLFVYEADKTLPINLKIVMIDFSHAFTSNGLRDEGYLFGIQNLEKYLKSML >CRE31395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:58891:60234:1 gene:WBGene00064577 transcript:CRE31395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31395 MPIAKVFFGMSLKRDEEVEPQAVAEKAVVGEKEDVKAGRRRRAGGGAGKMRLRGKGLGSRKVRLEEASLDREAKKLERKQIALAKRMSRLEMKKSGKPCENEDGAKKAKRGRSVEKKEKKSRSESRSKKDRSQSRKEKKEKKASGFIKRRREMGRTTSESSGILKVEVSIEEKIAKKEARQAKREAKQTERLLKKEERIAKKAAKNPYRIKIRPGKLLKAPQAFRSMQRAACRR >CRE31413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:60655:61791:-1 gene:WBGene00064578 transcript:CRE31413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31413 MGNTLTRFYRRRRTPDENCEPLIEEDEEEEEEEEEEKVRPKGNTKFKEANALLTSMYKTMTFDTPPDYGYQSRMCREGRWDGHVFPEQQSRHIPFHGLNKYRFGAEKLLTDRGGHLSAVEAELERITKKYQETVDNIHSAAKKVAESYKPVVIESSRGTNVKDVVEAFDNQVKLMARHYETFFRYSQYNLDEHVVERKEIAFRGYCALSTLWDLVMSMSKQLNVDVRKIENSTFLVVETARDLLTHYYKTFPNLTQQEREKALSMKYDMDYHLLQSMTRNGPLFYKKVEKTNNVSSQCNLEPTLVDNKFEIELMREGLKKQQMDLDALREKREKEDEEHQQSYWE >CRE31414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:62882:63695:-1 gene:WBGene00064579 transcript:CRE31414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31414 MGQSQSSRRTKAEEEQLKLENTTQVTLPKTTGPVPKPGPKFKIPRPLKEMMEAPAGRLPVAPTDDYVFLKYSKAASDICEAVQKFVVTFNEIKARYEDGKSINNNMLLFRIGLDEVIRHYDTFLRESAYKVDHLVQYRKDMACKGFKDVLFLKFCYENLLDDRAPQFDEVKKMIDKQIVYSTDLLDHVVKSFDRQNRLRYIK >CRE31415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:64110:64810:-1 gene:WBGene00064580 transcript:CRE31415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31415 MGQSQSSRRTKAEQEQFKLQNTTQTLPLKATGPVSKPAPKFKVSPPLKQMMKVSSCYPRDPYTNLQAPAGRLYVAPTDEYVFLKYSKAASDICDALQKLVVTFNEMKARYEDGKPINNNMLVFRIDLEEVHRHYDTFLRESAYKVDQLVQYRKDMGCKGFTDLLFFKFSYEKMLDARPPQFDEVKEMIDKQILYSTDLLDHVVKSFDRQNLHRYNK >CRE31417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:67420:68013:-1 gene:WBGene00064581 transcript:CRE31417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31417 MGQCLCKPSPLPYLPRNEQFFPTQMTELILAPAGQLIPEDSGAIDIKYMAAINDLFNTVNGLYDKLEEIDKLQKDGKSIKKPTRAFCKALKEIGELYARFLRLSDYAIDEYVQVRKDKACDGYQHWFLMKEAMKVLAKGNVFIVEDIKKRIDHQFTVTQKLFHDF >CRE31419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:69827:70528:-1 gene:WBGene00064582 transcript:CRE31419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31419 MGQCLCKSTSPPEEEMEEVELEEINEAPIPPPPRALPPKMVALIKSKAGTQPRQGFYEDVANSYIRIVMDLRKRALRLEVLLKDFEPHRSPADGNINVLPFRIGIQNLGSAYEDFLRVSSVKVDDYVQKRKDMASEGFKDWFIMKEVVDVMFREKCINLREMRRMVQVQKDHAEQLLQDVVDSKIPPRQERNKLVDNLSTQ >CRE31422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:74289:75867:-1 gene:WBGene00064583 transcript:CRE31422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31422 MFLHFLLAYHRTHPHNFCFFSHQFSSRLNVFPLFGRTDFCSFNYNSLRFPNFRRLTLKKSRFRRMTLQWTIVAGVLYAEIAATFILLLPWIRPTLWSKLFKSRLFTALSKHAHIYSMTFGFVLFILFADGVRETMKYNGLEDKMQRTAESDATHHMRLFRAQRNLYISGFSLLLWMVIQRIMTLLSRAAQLEAASEAAMRQAESASKTARTLMNATETSDEVADLNKQIEKLRGELKSANTDRDTLKKQSEGLQREFDRVSDLLAANDSKGDKKKD >CRE31423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:76790:77294:-1 gene:WBGene00064584 transcript:CRE31423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-13 description:CRE-UBC-13 protein [Source:UniProtKB/TrEMBL;Acc:E3N5V0] MAGQLPRRIIKETQRLLADPVPGISANPDESNARYFHVMIAGPDDSPFAGGVFKLELFLPEEYPMAAPKVRFMTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPEDPLATDVAEQWKTNEAEAIKTAKQWTLSYARQ >CRE31424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:77965:78805:-1 gene:WBGene00064585 transcript:CRE31424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31424 MIVGTRLILVSLAIIAVVSAQQCEVCKKVLDDVMAKVPSADKSKPDAIGKVIREHCATAKNKEHKFCFYIGALPESATSIMNEVTKPLSWSMPTEKVCIDKLKSKDAQICELKYDKPLDWKTIDLKKMRVKELKNILGEWGESCKGCTEKTEFIKRIEELKPKYVKDEL >CRE31425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:82106:83482:-1 gene:WBGene00064586 transcript:CRE31425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31425 MALHRRIPKKNRKKLKSVDPFNNKANAARFQEGNKKSNQAPASLKDEQPLTKAMKSMISLNNPIVTKEDLKKKKKQKNAVLKQAEELGMRKGKFETVNRFVKRIEGTLHSRINEGIAIAKHGLAGRKQEEIDADYKKIDDAEKRKKDQERREIENKIKEAKKKREEEAKRRDEMDKAREQRKAEKRKLKETPEESEDVEEEEEDDSDDDEDGPKPKKNAEKPEETQKKAPKLSKKERRKETLKARRTDEQQRKDGEMLINSRELIEFGERYDAPPTFNGALKKKFEPLMAKAGQKTLLLHSMLQKSGAKKTEYLEDSTKIQAERQRVIDAYRDIKKQRNIAAGQWNRPAVPKSE >CRE31426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:83636:84938:-1 gene:WBGene00064587 transcript:CRE31426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-23 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3N5V3] MFCRQLNHRGFDSARAFSFIFLRFQFPFLDSEFQMTYTHIPTTIPGPGITEDEWNDEFKGCDCLVECTAESGCTCLTTGIDNYSEDRRLLPTSSETPQLLIECSTNCACCLMEPSCRNRVVQNGIMKELEVNIKSYEKKFQLEILKVFSTSDKGDGARTLQPIQPGEFVCEYASECIGEEEVQKRHMEFRDDNYTLTLKEHFGQKTIKTFLDPRLRGNIGRFLNHSCQPNCDVVVVRLGRMCPTAGIFAKREIQPGEELCYDYGRSELEGNDRKPCRCGTTSCRGFLPMSATPNE >CRE31427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:85356:86674:-1 gene:WBGene00064588 transcript:CRE31427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-2 description:CRE-CED-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N5V4] MANFSFFFTQNQLLKTFFQMNGFDPFEWRSFYFPGMSREDAHKLLGEPGVSIGTFLMRDSSRPGEYSLTVREADEGNTVCHYLIERDVKEDGTNGVKIANQSFPDIPALLNHFKMRVLTEASLLSAYKKPMVEVAVGTFKFTGERETDLPFEQGERLQILSKTNNDWWEARNALGTSGLVPANYVQVQTGEFANDRTSKGASQSSIGSSGNGAERFSSTSTNSDNVDPHPRLPAVAKVTFDRVPNAYDPTQLRVKKGQTVRVTQKLTNGMYKAELDGQTGSVPFTYLRFITAHD >CRE31396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:87196:92212:1 gene:WBGene00064589 transcript:CRE31396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-8 description:CRE-NPP-8 protein [Source:UniProtKB/TrEMBL;Acc:E3N5V5] MSVSFMELGTADSTESAANKVAHHVEQMLDTSDFFDKLTQSGTTPVSGLGDKFYVKGAPEFVSTRRMPIPSELQMQMNNIHSEFSMGFFKQISRVWVVIDNNLYMWNYETNDDLAFFDSSDSAILKVALVDIKKGVFEPNIQYGLVVGTIADICLYPVFDCEENGQKYINIDAKRCFKIALDGATINDIAYTSSGRVFYTADDQLFEFQYEKQNGWFGSTSHKCRGVNQTASILGTIISLPFFGSSKEQLDQISMDRSRNLMYLLGRNGSISVWDLGAEGEGCNKFVTIPVTKIAHEALILTQFGHDESSFHNITAIKALEASQSAALNLVATTSKGVRLYLSLSTGPQNTVGQINNSGKINERNRPQVSVRPQCLRVAHVRFAPGVTPTSIYGDGPNGVSVVYADESICAMATANRNTIFAFSNFFYPASPFFIESSTECDITGHVWEIETISRCRVNSRPPPKHLVDRVHPHPFFRSQLETKRRLLVCSNEGVFEFEQKNAVEALREALFDGGVEGTATLNLWQKLGSTEILILAFRILTSDLPVDERIRGKAEQILYSLKESPEIVDNTRLDQSTWSPNDSSMAEWKHRMKTPLLSSTPMAEGRNGAHLYSSPFSPQFDMSMSTGQHGNMKMSPSRRHDALFYYFSRLVAPVWNDTICEVHNEKQLKITFEPESIVLLREELQKLGRLMDDYRLVPTMEFGGYTSNMNDRLNAEAISLERQSLIGLRKLVDATVETLSLWLLAFDYNLTAISSGMNPQLLPNFCSRKLAHLVSDGSNLNSELIRAMIKYFLGDEAGTKQLSESLRQMCPNLYSEDDACVTFAMEQLEAARKQGAGATRRRLVQTAVEMFKQSIGKVVLATTCQQLAESVEDYEAIVELCLLRAQKDDPKQLALLAYKHGRTGSDAEMSAAEKKRADCYRVITEELDKLEEEATSEVPRDAAVNRDLMINAVLNSDDQLAHAAVFRWLLTKNKTNVILQSKSPYIEFFLVQEINAGRGQKYFDLLWRFYEKSGNYDKAARLLSKLAENDNWNMGLTQRCAYLSHAILCAQSCKDSSVTANIDDLRDRLDVANIQMRIKDALNTPRNAEVIKKLDGPILPLQELLLQFVVPFKLHKLKLALLHCAGMYIEEHIFETWESIIQDEFITARDEGSLCEKLANTIGELFHVYRDTKYFPQEFVIRRVLEIGSGGVSSETGAGQRLVLPPSFYPILCKRIELSNCGFLKIASDEFRSGGDAWWTHNSRGQEYITKVVLKLTRCVIRELESGPTSNNRYGMSLKMFESSILTGTIGNIL >CRE31429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:94929:96464:-1 gene:WBGene00064590 transcript:CRE31429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31429 MLKTCGVILILCIVPAVYSKQATLHKISYYYNNTTPTQIPDVADGSRIFLASRDKAVHLSKITLASQGKTITLDKLLSGHDANSFSTGFQINSDVTVTTTNSMDVTKNLTGEFLIITPVMDKDPNFLVYAVRGSNIVLDRSQAKDTTIVFLATPNMNTAGNPNYAGFKHIRVENIVQPKTSYIYFYKYLPTDFYADVNSVDAVERNIFSNPLKHPLGGRVPTFFDAIDPLQFSETMWLRGVNGGFQLNVSDSYVDTTTIQAAAVTVTGMTNSQLMTLNSQVNFPAAGGNRVGNSGYVVSTELFEYNNLTIQLNGQLNSQSADFQIISGQDATNNLQEQAWEAGNLQIVPSNIFAGIYYIQYFNVDHGPVTMAPVTTTTTTKPPVAATSTSTAAPVNPVSSSSSVAPTNPPTTSQTATTSGYQTTTKSSTTSTVMISMLAVTFFTCVL >CRE31433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:101610:102948:-1 gene:WBGene00064591 transcript:CRE31433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31433 MLSILILSVLTGLAFTDPHILYLKKFPSGVTYTFDAVETGARLYIASNDDDSYLKNIQISTNGNKKLKEIKFIVPLFRLDQLNNFNNNDTPKSLVITNGLTLRSTNIDTITNVLTGYLYVTTKIQADDPTFSVFVIKTKTTVFTTGAAKSTAVILNTELISDSPDLDKPLKTSYVSAINQSPEIDLRFHYDIPGFNWTYITINQFFENPLYIDNVNFDTGYVYNATRQYFDNVEPLQIGLDYWYLTTNGAVSMVLENKYVSNHVYTTTSVNATGLIVNNYIYQQHVVNFLLDYTYSRTVGTLITTFPNSVNYISFDLQPDDGNGIQQNFNINATVKHALMTTYFQASKLTINSTSLFPGTFYCQYYGYTGGLAPVTTTTVAPSTVTSTEASSTTTTTVATTTKSSSTQRVVQLIILVLSFVLLKH >CRE31436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:106375:107729:-1 gene:WBGene00064592 transcript:CRE31436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31436 MLSILILFVLTDLAYSEPHIFYLNKYPSGVTYAFDAVEDGANLYLASYDDNKVLMNIKITTGGKTFSLDQLNDFNDDGSPKSIKISGGLDLSTTNIDSVTNKLTGYLHVTTRRQADDSNFHVYVIKTQHFISASSMKSTVVILNTQYLTYLDTNQPLKNSYVTQMDHSSNTNLYFQWGIPAANWTDVTNNVFFSNPINLKNDTFNARVFFNHVEPIQVGLDFWYFTVMGPINMIIENKYVNDLTYQTTGANTTGLVMTDYIFYEHTVNFQPDRTKTGSSGFIERSFPTVDVGYYMESGPALVSDYVRGTGPSDGTNWTPQQADKLTVNSSTPVPGTFYCQYFTFTGDLLPTTTTQTSTLAPTTTAQQTSMAVSTTTVATTTKESSDLLSMKIIILLSVVAAKFL >CRE31437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:108352:109790:-1 gene:WBGene00064593 transcript:CRE31437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31437 MHRFAILLVSIFCLALSDPQIVSLYKYNVDTPVTDIAVETGATLYMASNDDVASLRQITLSTGGGPVTLDQLLNQGTLKMLSDRLTIKSTILPGAAATLTGYLYITTAAQANDATFSVTVVNGPQSLSRTGGKTTTVVLNVGYTTSHFPPFDAPIRTTYVTEVDQKGNTPLNFHYGYPNFDYKTLTNNQFFENPIGAQNQTIFFNSVEPAQVNLPYWYISADGPYSMKLDSTYMNIPHNTTSVNTTGVFVLTDVWMPHVVNFATDPTRSGNTATLITTDLKYQADVVFSNDEAVLSRTYSAGNNLQHATNYTNFMSKQLVINGTSVYTGTLYCQYSVWTGDLLPIISTTTAATTTKSCQNLNLLLSVLFFVCTLLMTKL >CRE31438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:111165:112260:-1 gene:WBGene00064594 transcript:CRE31438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-107 description:CRE-COL-107 protein [Source:UniProtKB/TrEMBL;Acc:E3N5W7] MRGFKVSYHTHDTAHLTARIKDGVCHQKSSAVVSSQDMTTKAIVIGASFFSGVTIIVSLVAAGMLLQDINDLYYDVMDDMTEFRYTANEAWKDMIVPAGSTVEANLIFGRNKRSGGTCGCGAQPNNCPAGPAGPPGAPGAPGEDGAPGQAGKNGVNGVGIVNSQDVGGCIKCPAGEPGPAGPDGPAGAPGADGQSGAPGAPGQDGQPGAAGEQGDAGAPGQDGEAGAPGPAGKNGQRGQGAAGPEGPAGPAGAPGNAGAPGQDGAPGNAGPEGPAGAPGKDGEAGAPGQDGEAGGVGIPGQDAAYCPCPPRTAAVEAQPASQGGYRRRFSHV >CRE31398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:113403:114902:1 gene:WBGene00064595 transcript:CRE31398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31398 MEQQLKIDNVNVLNSKIVSEQSAAPLHPPNTVLQPILFIVNPENVHSVMETVQKTFNGGGALGISAEASTQVQMPPFLEEEEPMPIFANTAATTTSFATQYDQEPPVTFCERGTMMNEQEPLNYFSPPYGAEFGDMTPRDKSQQQFDYSTNGVEPTSSSQQTQTRSFGTMFENVTTCNTGTSMIDESYVSEFLRDIETQTPSYMIQQQQTSSASTSANTTTAPQPSTSTNDWPWT >CRE31399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:123048:129095:1 gene:WBGene00064596 transcript:CRE31399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31399 MLDENATTIVPAVSPLLQKCFDESYLLLMPDIEKRINNYIFPIQFMITVVGNLLTMTVLLSGHIKNRANHLLTCLALCDMFVFFMMIPHYLASLDIFSRSPTFRLFHFHSKPNFGALSNWFSAAAIWFVLAVSLERLLIIKFPFRSLDVHNGKQIMIVSVGIMVSTLILTSYHHISHTCVSFIACHGTQILGKCYANTDEMHGKKLNPTSAFTKQYLHTSVYANAILAVLLPIFAVAVLNISLIRLVKRRHSEELLVRNAAGPSSMAEQEKKMTHTVLAIVSCFTLTQGPSALVFIYQKLFLFNQYTIYISVVANQLVLTGKMLNVVLFCLTSETFRRRLWQTCRFWCQLIFLAGRSKTSRSNFTRSKSVITQKTSIVYSPCSRNFSTSRKISSSSVTAPRGGAPLISNPSTDSFPTPPASTTRTTQV >CRE31439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:129411:131937:-1 gene:WBGene00064597 transcript:CRE31439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31439 MYQSRNYAIIQNYNYLFIWQYVFPLQFALGLLGNSLNLWVLASDEVPNIASDMLAAVSFCDLAFLLVMLPHCLAAFETIAYDVTFRYFYLNTKQHMSAVANWMSAAAIWLILAVSIERYLIVRSPLRAKLYWQRGKMVVVLSSIFVTTGLLTVYHHFEWDCVIEEFCNGTQLLDFCYYSGMRHQKTYRNKDWVTPSNVKKVYLRFSTFLNAILVSWKERLLLGEMFKVVFVPIVLVILLNVLMIRQLKINWTSPRLESMRGTMNRSQIRQRQRVTVTVISIGLCFSLTQGPSAFMALYELFSEYELGHTFYAIFSITNSLVVTGKTINFILFCLSSEHFRQKCFKAIYRKFPKLSQSSFGKRFLDHQRSNSYDRSSSLRTVRSRRGSAQTLPGALPRDSGALIPVERAGGEECTNCSIEKSRSLSISRQTLPYRTRLDSGLSQDESTGGQGVST >CRE31400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:134182:135470:1 gene:WBGene00064598 transcript:CRE31400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31400 MGFFDAAVNITMLTYISLGLPTVVFNIPFVHLTLFIAKNRGRKEFVTLGCSALGDIIYVVIYVFNSFWRIQEHPTMVSRAECISHINILISTFTAVLIFVNPLMIAIDRLVVSVSGVWYYKQTLTYTVVLFSIPIVISSIVAGANVYMTSISPVEMISSMCFTSGIIQTGGFEWFYYGFKWSCVVLALVVYGVVAIVYWKKVQIGKCDVVNRVRIQSAYLVMAFNTANCILFLFVPDVLLKFLIKKSLALMTCLFSFMIIKLLLNLAGIMLLHKELRTAYLDKVLIFPSWKKRVFGFTSVVSVSNHAQKNRMTAPN >CRE31440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:143519:147719:-1 gene:WBGene00064600 transcript:CRE31440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31440 MGCCGDDGDDDGGLEQKNRAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKDLHREDIRKMSQVKDPLVFIANRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLRKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE31405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:151181:160980:1 gene:WBGene00064602 transcript:CRE31405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdh-8 description:CRE-CDH-8 protein [Source:UniProtKB/TrEMBL;Acc:E3N5X7] MFQKENADGLRGQSFSLVIAGPKKSRATLEVQVVDVNDNAPIFTNTPSTFEISENAEIGTELFKISTLDPDTGISGISRFSIEGDENFRLDKRKCSNGKCSTLLRLAKTLDFESKPIHTFNITAKDGDPHSNRTHTVAHTVTVHVKNENDEPPKFVTDLSQTFQVPKSLKSGDTVTRIEAIDVENSDGDVRIELAENPNFQVDSKSGALILKALPTTDDVITLKITAMSSKNQKSKEAEIRLKLGDEKGAEPKIESQEKASGELCEFPIYEAYLIQGTGQFSTPLKIKTLKSVVEEKPRLIGGSESFDLEILDDFHVELKILNSETIAAQNLDNAQLLVKSPFGQCRIVLRSLAPPTLTPKQAPPLSKPSSAPKFEKAEYQFQVVENREPTVLGEVKVVSDGPVTYELLGENAVKFEISKSGEVQNLEKIDREEVEKFELVVKATDQSGVSTKSQLTIHVKDENDNSPIFEKDHYLITVDEGKSEKLKISATDSDSGKNGQIVYSIDQKVDNLPIDISPDGMLFIGAIDREAMGNSKNEVNLTVTASDSGEPRRSSSVVVTIRVKDINDNAPIFSNSRYSIPLDANISPGGIIGRLQATDSDATSPNNYLTYTSGNPQFKVSDSGEIVFIGPGILEKNVNLEFNVTASDGGDPTNQAVAQVVLNEHRAMKSIENELTTQINSNDTGGEKSEIKWLNAGMPGYTYEIIRASADGFSDSEVATWISIDAKSGRIHTIKKVDPSKVKQIKLHISMRKGKREVPVELIINVMDTDDITPVYSNPTVPRKFTSSESVTVGTVVGEMAVGGVKEGDELKYNLKIVSGPRGKLQIDRYGLIRVVKPLDFETEKSIKGTVEARVLKNSKIAVAPFQLTIQDANDNRPIFKNSSVFTVSIYENSVLGTVLDLPYPLATDRDSSKFSSLKYSMTGDEGFFKIDERLVFRKNNSTIRLVAPLDFETQRVHSLSIKCVDNQGRDPHHEVFASVTVTVIDVNDNPPVIHNTDLTHLSIEEDAQIGQVVTVLVISDSDEGGVQKTGIDVNSTLFHVDHEKKLIVQSPLKGHAGQRICSTVTARDPGGLTATSPYCVTVYPAKNTHHNPLVVSPKQNSIHYFDENIVYEELLKVKVLEEEGERENVTFRLDEMFKKDWQMFIIGETNGSLRARQPFDFEKKTVHEIKILACRVYNCTSTHLFISVNDRNDNCPMFPKQDVRLTVLENEKGKRQVGRIPAALDSDFHSDNTKVCYTTDTPIFFFADPTLPILFTNSSFDREHKKQHQITITAYDCHLSCRDPHKPINGTIVALIDVIDVNDNFPKFSEKIYTTTIVQGHVTAGSHVLTVQATDLDEELEGLKYSIRGFVRSPSQSFTPSESPISIDKSSGEITANELLKDSSYSFTVVVTDGAGHEDTSSVMISVVTYAQQTELVFDAPFELVIKNEKKIAENLSNATGLQTIVDKCRQNSNFTLMLVHFMDRDGQFVNVDRAVNLLMTSSADSRRELRSVYGLREAFPPVPIPSRVPQYILIAVLLFFAISIFSMCIWCRQRNNYERKLRHISAQASTVHTVTLGRGGKHGSNPAYGEIPIVTRHHPPPAPPPPTSSGASVNLQSTEL >CRE31441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:161400:165500:-1 gene:WBGene00064603 transcript:CRE31441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31441 MGTEIAYGQLEFERIQAERAKVVVEREDDVDKYEKKYQDGSRGAAPTREDKEQLAAQYQEPLAAGKDKKNKKKEQQQQPLVPAQEIEQKPLEKFFTFQFNVRDLGCRAEELEAIVMPPAQKKEVAEIVDNHDGTILVKYTPKVHGSHELSILQNGAQLQGTPIKFYVDAYGDGWATVYGPGLQNAIVGEPATFTVCAKGSQAKELSVSIEGPAKSQIKIHDNKDGTCSAAWVPPVPGEYKVHVKLGGNAVRDSPFRVLVMGEGQKRSHLSVGSTSEVALPITQLELKGISASIKSPAGIEEPCFVRLLDGGRLGVSFTPRESGEHLITVKRDGKLVPKAPFKIKVDKSQVGDASKVEVTGAGKAKGITLQPNELLVDTSKAGYGGLSVSVQGPSKAELTCKEVKSGLIKVLYTPTEPGVYAIAIKFADHHVKDSPLTVQCTGKSAGRVIQTIQKGVEQHGICLPDQESLLFLKLLNTSPMDITARLMDPKGHTDDIEMRDLGQQYYQLKFTPKLEGIHTLSVMYKEAHVNGSPFQFTVGSFTEGGAHKVTIIRAAGQGVVRGETGTLNAFNIYHREAGAGALAVSIEGPSKATLEFKDHKDGNCHVDYKVATPGEYVVAVKFNDQHIPDSPFKVFVAPATGEVRKLELAQFHDQGIPAGKAFTFTVLTHRAKGHLEAKVVAPNNEVDTIDIVPIEDGESYAMRFVPKETGNHFIHVTLDGAPMRDSPFRLRVGGKDLCDPTAIFASGDGLVKGTTGQKCEFVINTANAGAGILTVQMDGPSKATLDAYELEKGYKVRYTPLAPGSYFASIKYNGIHAPGSPFKIPVEGKELGGNGYNETSHVKIDAVAKTSKGTVAVVPEYQGDAAKVTAKGAGLNKFFPGRPAAFQIDTGLAGTNLLMVGVVTTKGPCEEVVVRHQGSGHYVCSYRIPDRVKGFVFIKYGDKEIPGSPFAIEP >CRE31442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:168230:175259:-1 gene:WBGene00064604 transcript:CRE31442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31442 MAQPKRHDQEEEVVPAIRHEDAEWKIIQQNTFTRWVNNHLQKAGESIGSLETEFSDGLKLIALAQVLSHKTVGKFNKKVAFRSQKLENVSLALNFFQNEENIKIINIDSTHIVDHNKKLILGLVWTLILHYSISMGWIQEKREDGQQEETPKQKLLNWIRNRLPGLPISNFTSDWNDGVALGALVNSMAPGALEDWENWSPNEALENTEKAMKSAQDLLKVAPLIAPAEMIHPEIDEMSVMTYLSQFPATKPVIVKPKVNATVSNLEKTLIINEPKEFDLKLSRDGYKPKIAIRDADGHEIHLTVKKVEDKDDAYKVKFTPTKVGPIQLEIGATDIHTFETQAIPEACTTCQVVPIARLLEYQKTAKVGESIKFTVVDAIEGPVEAIIVDPNGKEHRMAILESSQPGEHSFEYTIPVIGLHSVNVFHRKLPITGSPFPLRGKPKNAFKIWGRGIAPDGVRKNDVVGVHVESMDPDESISNANVSLEVAKKDGKHLPISVGYDEEAQTLHFEYEPKEAPEELEVIVKVAGDVVESHKVHVAPKSTSKIRAFGPGLEGGIVNEPCVFDVEMNGESADLSFAVEGPSKAEIGCNERPDGSAVLSYTPTAPGVYKVGVLANGEHIQDSPFVLRVTEAVPGLKPSATRVTGIDENKVYSVGEKIPFRVDTRLCGVDLVPKVEILDPTLNKISYGAREITPGIFEYTLIPEHAQKHKIDVSVGGVSVPGAPFSIKVKEATDASKLRIYGPGVEGPVYSKEPTRFTIDATQAGPGAVEVALADDHGEKVDLDVLDNQDGSFTVKYTAQRPGAYQVEFQIILACRRRNFRPGMCPGGLNVVFAGEEISPIAINVKPNVDVSGIRVDGLENAIVTVNVEKEIHVFTTDGENTRIVITSPSGRVVEAIIESTPTGFRVRFTPSEVGNYTIDVTYQDIPIEKSPFTLLSVDPSQPGSSGAEDVDFKQDPGESTLAEAEYVVCGSGPPRADLVTVSGPGLGPLVAQRSTFVLIDTTNAGFGDIDVYVDGPTRTPLHCVDNQDGILKMCFTPKQPGLYYLRVMFDNEHVPGSPMQIVAVAALLGSPSLLGADQRSKTESPYSSISKSILIGSRLRPVINVGKLEPKGKGLQLLDYHNGKLYNIPLRINPDGTYSTDTPLMDVGEHKLQLLFDEIPVEEATVEVKKGTDVSKCRAFGPGLESAVVGEKAQFELDLDGAGEGALSMEMRGPAKAESRIQDKGNGKCSVEYVAKAPGEYEMTIKFGKDEKKEHVKGSPFKARVDYKKDPTQVTVIGLDEPAYRLKQPINFIIDTTKTKDLPVTAKIANEYGQHQPIVTRSTTNPRHYNVRFMPTGSQHTSIPVTIFYDGEKVGEKAIKVIPEMEPQLIKILHDKKKENQPITTFASHEGHVPFDVRECGNVKKIEARVFGPDGKERVSHVRSTESAGVYDVHFPTDMAGEYSVVFYLNGEEVAMKVPVIAEKIGKKEDVRKDDLFMHPEVAENQPTTLTYNHPIETKKKQQQKPHASIRVLAARPDAVRLEHIQEIIDNGHRVADRIVFTPTKLGANMLDVFYGGEQVDHVEYEVIKCFRKMGLPDSFLMKKLVSDTPAPPYFMPAS >CRE31443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:181871:184431:-1 gene:WBGene00064606 transcript:CRE31443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spl-1 description:CRE-SPL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N5Y0] MDSVKHSAEVIVDLTKMHYHMVNDRLSRYDPAVLVLAAFGGTLVYTKVVHLYRKSEDPILKRLGAYVFSLLRKIPSVRDKIEKELSAEKPKLIESIHKDDKDRQFIATLPISPLSQESILELADKYEKYNTFNIDGGRVSGAVYTNRHAEHVALLGKIYEKYAFSNPLHPDVFPGARKMEAELIRMVLNLYNGPEDSSGSVTSGGTESIIMACFSYRNRAHSMGIENPVILAGKTAHAAFDKAAHLCGMRLRHVPVDENNRVDLKAMEKLIDSNVCVLVGSAPNFPSGTVDPIPEIAKLGEKYGIPVHVDACLGGFMIPFMNDAGYLLPVFDFRNPGVTSISCDTHKYGCTPKGSSIVMYRSKELHHFQYFSCPDWCGGIYATPTIAGSRAGANTAVAWATLLSFGRDEYVRRCAQIVKHTRMLAEKIKTIPYVKPYGSSDVSLVAFSGNGVNIYEVSDKMMKLGWNLNTLQNPSAIHICLTINQAREEVVDQFVADLKTTCEEVAAAGNEKPNHGMAAMYGMAAQVPKSVVDEVIALYIDATYSAPPGNMN >CRE31444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:184682:186066:-1 gene:WBGene00064607 transcript:CRE31444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqbp-1.2 description:CRE-PQBP-1.2 protein [Source:UniProtKB/TrEMBL;Acc:E3N5Y1] MPLPPALLARLQKRGIIKQEEEILAENYDKEPEKKQIEENASGAPGCPNKYNQYHVCVEYCYDHWGDGTPEYRLPEKYVANKNRMLANFPLPDGWVEVYDEGLGRYYFWNKATDEVCWYSPRHPRAIISDPAPRIAKEHAAVLFGEPAYSEEDNAARRRNHRGSGGGNRNDSDKPRGSQNERRKEKRRGDPMMQNGPDSDEGELEEMNTRDRLKRAKRKGIDPMDPAAYGDAPVGKWSDGLRVDQVTGADVTAGGPLFQQRPYPAPGAILRRQKPQDDEEE >CRE31446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:189195:190451:-1 gene:WBGene00064608 transcript:CRE31446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsen-54 MESSEEKVRRKTIEIKYLPDSPTLKVTKVSGKHLESMGIPTRGGYELYPEEAVYLMETGSGTICTPSGTEMSLLESFSILESNSVSMSKYEIYKQIKLLGLVVLRPRYPFFEWEIEVLELIFGSRITTINFERIRHVEKAVSQKFAEKTFEMLVEMNKVPEEGVPNRDSFPSLMNRSGQFSMKMKVLHNDPSLVNFLPPALKNFPTKEVLQNIKPPTLRPQSCRPSYRPIPNSHVTNWTEFRFQQEKIRQTSVLQRFRK >CRE31447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:190848:198990:-1 gene:WBGene00064610 transcript:CRE31447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-denn-4 MSSGTGLTGSHDERRLFEHFVIAGLPENQQLERLTPSSEECGLRNTQPLAPITDIAVIFPSLGEKPPDGFDVIESTTLGYPADLNHGSIGQKSCFLCFRRGYHKAPLVDIGILDEGRGDKPMVDSNVVQTTPFGRCANVNNASQGIYLTYRRAPPNSSQSQFVVTDIKVILANKGEIPPHTYYKITKNLNKGLVGSDVFICYKKSQGTAKRLAYKPAVLDYFPRSDHSDVMEDFKLAQNVAMFCLPMGALIECWPTKCAPPDRSFSTFVLTDEVSEIELSPAHFKWFQNGTKFYGSAVTFYEKYTKELTEDQLETLDLRSNENDQEGGTVGDSNSNNDPADDVLFYKNVSICLISRFPFFNSFKRFLFFLHRMSSTPSCGHPVPIERYISHLMYEVSFPTPRRPRVFMQLGAENISFDSHDDSQLPLNGAQLIDTLKYLGSDNLMYLMLLALLEQKILIHSLRPWMLAAVAESVCALMFPFHWQCPYIPQCPLGLAGVLHAPLPFIAGVDSRYFELYEDPPDDVTCFDLDTSTISFSSVRASFKTSMLPKKPAKQLKNALEDIFGKLQQLDYSQNEKTEGGFIPVDKDLKQQQKRREYENAIHDAFLKFIASIMKGYQAFLRPIKSAPANAHATDTGNLFDLDGFLRSRDRSSAEFYKRFSETQSFMRFIEERSFVSDKNTYNAFFDDCIIKLTTAVEDGRDIGELQLLEPDNSHSHTTVFIPAPEPFVNQETGEEMQFVYGEFPTKMKSENFQLDRLEKSGKDGEKVPMHFEASRCSAVRTKPETRSSLLAATNAVKTNPLHWSKTLLFYSYSLWFMQLDSLLNAAPNKKKIIRLAFNVLDRMEKTEIFPLDQVCYRILIELCGKYNQPAMAVKVMRAMQRAGLEQNAVTYGIYHRAVMDATWPSPARTKAIKMWNLLKIVVTGAEFLRKLAKVDRRPLDLSVLASQTPENGSAENVAEITVDYQITSYPLDEEENDRKSSVSSQQNAIMDPLGAMGLENSREKAKERSKSLGKIGMSPSRAKFFADHATLPFSSENTPKSDKKTEKSGWFKGIANSPMFKMIKSTTFDSPKPPSADSSDAGSITGSSPSFHSVVTQFKKGYDDVMPSRFRLGVSTLLNKSVGAMGSGMIYSDDRTSSENPFFVNAKDPAYVLDSGNPCCLLKEEYWMREVYLQLKRSRERLEKGQKSMENTSESAENEAETLPFSSSKNAAGKLEVILCTATYCPSCHTMVYDEEIMAGWKVDDQNLNTVCPHCAGNTSGATDAVATTETTSPESVFAPRLKIRMRWIDEMRNSWYQPGGLQESRNATPEKTPPPPETCLEVAFVSPLVLRRELETILTLDKDAMRNESIKTTHPVVFWNLIYYLRRLSLPSHLFSWISERHHIRCVFDIPTQHDESIIPLYFYNPNHDGNPAFGEFNQKIQRRTDDGKETNAYSSWKLVTDSVNKNLLFKAVQTLVNSSRLVKNGSVHVGAHFPIFRDIQFASIDLFGRALLRDALDTQYDTESNKLPPKIKEILPLQDHPLSHVTRACRKVFMPLDLF >CRE31406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:205817:208743:1 gene:WBGene00064612 transcript:CRE31406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-7 description:CRE-GLB-7 protein [Source:UniProtKB/TrEMBL;Acc:E3N5Y5] MEALDRFSPEEKDSLRRSWKVLDKNLNNTAYNIFEMIFNQSPDTKQLFPFMKFNTSGRSKEIEFHALRFMQVLESVVKTLDNPESLNPLCDNLGRVHGRLSESRGFRTHHWGVFIECTLFHFRKVLGQDSHFHRMETLDKVIINWRTILRLLIKQMKRGFNTDIKNRQASRDLEDNHKASTSSSPISQESCSLGGVLRKNSRQLMFLAVPNNGSMSQSLTLPTINNNYRKGSDSRLSTVSAVSASSVSPAIERTPSTGLFRPLKEFARRRFINHF >CRE31448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:209341:210701:-1 gene:WBGene00064613 transcript:CRE31448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-20 description:CRE-GRL-20 protein [Source:UniProtKB/TrEMBL;Acc:E3N5Y6] MRFVVTLAVLIISTQAFLFPGGGGGGGGCGGGCGGGCGGGGGGGCLPTLGCSVISFNVPTLKLPPPPPPPCGGGCGCGRKKRAVSEDSKCTDPELRKIILNGVRTTTTESRDNIVASLKEKYAGVRYLVTCIEGENDFASSSSDYCADGSQQQTCIVAKATDE >CRE31407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:211906:213149:1 gene:WBGene00064614 transcript:CRE31407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prmt-6 description:CRE-PRMT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3N5Y7] MSDFPSEAYTEAQQKWLGRDHLITPTIQKAFGDILEGKKVLDVGCGNGHYSFDFLRWGAHQVTGIDNSEEMIRICNSTDSDSLDTQNIDFHKADITDFSLPENEYDVATAFFVLQFLHEKDDVAKAIKNISRHLKTGGTLFGLIPNGVQGVKLPRDMGVKLGAQIQKTPGSSFVDGEVVGIHFYANGEVCGSSKIALHSRQFYEKCVKDSGFSEIEWIAPHFTDHAKSLLGEEFCDQFLNPNPCDIMFKCVKK >CRE12053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:453550:455311:1 gene:WBGene00064615 transcript:CRE12053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12053 MSTFRRILTYILAQEDGSPKRLPLDDEELKIAAYLIDIGESIDKGDYQVMLEEHLLQFEDDSKDLSKLVLTKPFHKITIPAWTPEDEEYHNMKVYPDAIRCPGSPNMIQFEQGDLVELEKVEEAVAYFGSKAGFHNNGSRIRPSLKSMNAKFRFIKNENHLRKLREYEIYGSAKADRRSNLEFIAIELEKEVKKQIEQGKILHDAVLRFLIAGIIKEHKISIENFIGSDSWLLGWKKRFGVSSRKITKFVSHVRHKTRQQIEKESQDFVNMTNQILPQYLASSVFNADQSGFQLEMTTGRTLTLTGSKHVHCVVQSVSSTTHSYTVLPLIASDGTLHPKLFVTLKEKNGRFPKKGHKKCTNLVVTCHSSHIMTKELMKEFFRKIVFDPSMPKDALLLVDSWSSWNDQTAIDSVTPSSNKLKVLQIPAGCTGHIQPCDVGFFGGFKKVVKTLTNYGQISNPEYRMQARDETLKMLSLVWRQLCSPKLKDWVKYAWHAAGYDVPRPPNFKTPAEHLFPRDVASTECAATGCSKVSCAQCIYCEQRFCFKDFLIKDHKC >CRE17861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:496173:498547:1 gene:WBGene00064616 transcript:CRE17861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17861 MSTFYDLPRSSVTVFQAPDVSDAFRILFSSLKPSEKVEKVHFICPKAFLAWHQECHIPDSESLTYSEFSDSCEVNPDDVTAPEVIFADSDIFLAFFGISKTLNLIKSLKSALKIEKIEKMRQFSAKKRVHIVTSSASPLSAHLEQFINSIFEIKSTEDANVFECSTTSFDKKGNFSVMDQLITIPKSSDTAGAKTTFKTIKKVENTMENMSISTATTPADTAKSAMDLPFFVGRQEDGVAIRDAATKKIRVGGQIVYEPDRDDDLDDSDPDDDLNI >CRE17955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:481523:482605:-1 gene:WBGene00064617 transcript:CRE17955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17955 MIGMVNVQCDTPLDYYATAPNLLSRDTSVRKRGDSESANASRHNSTKRTPSWRPLFTGQLKKKSSKPKYLKDGRKLTEEGTDEPNKLWEELFLRSFAHKIEQEISSPSPLEAHKNLNSELSKLNIQDKSFYRSTYSLVSPTRIENIDFEELERIERRQEQQDDETSKPNRSNSSTERPSSQICSVFLPFIKTIKGNKKKNSISSTTGPSTNNNTKISS >CRE17952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:470305:472881:-1 gene:WBGene00064618 transcript:CRE17952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17952 MPINDTLFPNESDPSESIWRTETSYIVIMVSLLCQWFLFPFYAYVSIKNRKREKNTPLYPILNILFKMSILFYLSTIAITLTYLWHKLILNIYRELSPLSKKLFLVFFMPLLFTVWESIKVYHTILSLLALQRFLIYFYPETEKKVAVGRRTTNVITCVLYLIFFIQELYPYYYKNSGFPHLHEILSIILILSALLYIPMFISVRKQSSLMSAQMNKPHMFIIWQTIAVCIGKIFYLEPMYLHYVRNLTEKDYQSLLADINLMLIPLIIQVVYLGSTKQNLDLLSVRMKNLFRTEYSTIKKNSFNPERSLFEQISEYVILAIQLTIFPLYAYIYIKNRKRDRNTPLHSVISVSFKMNVFFYAHPVVMFVFLKVFSHLRLPSIIMRVVFLIKIGMFFALTGSPWECVKVYHIVMSLLAIRQFVLYFYPDKENYVTYSSKITKWIVFLIFIALSIQDIVFAVRRSNSPRESIFYGFPHIHEILTGLLIVSVLFYIPIIVSVRKMGHLMSARMSRPQMFIFWQSLAVCIGKILYLGPAYFYYFTKETNELNYLAELARLDIVMIQLLNQMTYIGCNRQNLQFLYRIVKKCLMKLFCKGSSVQIQPYVINNDGGMETTQQSSPLN >CRE17950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:463974:464279:-1 gene:WBGene00064619 transcript:CRE17950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17950 MQTYFYYFTKETNEWDASYMKLLVTKSTKKIQYLAELARLDIAMIPIINQVTYIGCNTQNLEFLYRIVKKCLMKLFCKGSSVQIQPYVINNDGDNTTATAT >CRE17860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:460802:461986:1 gene:WBGene00064620 transcript:CRE17860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17860 MNNSIELKPERSSLEVTSEYVICALHVAIFPFYAYLYFKNRKRDRDMPLFSIISVLFKMTVFFYALLVLIFVFFKVQNLLPRPEKPQRIIIFINYSMFFAVMGAPWECVKVYHIVMSLLALQRFVLYFYPDKESYVYYSSKTTKWMFFLIFIALSIQDIITAVRRAFNPSETIFYGFPHIHEILTAFLIISVLFYIPIFVSVRKMGHLMSARMNRPHMFIFWQSLAVCIGKILYLVPAYFYYFRRETDEWDYLGQLTRLDIVVIPIISQMTYIGCNRQNLEFLYRIGKKCLMKLFCKGSSVQIQPYVINNDGGMETTQQPPPLN >CRE17859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:459014:460192:1 gene:WBGene00064621 transcript:CRE17859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17859 MISSITFIVLFPLTKAAILKVQGEKDPYLNEILRVSSLLVSLSFIILSWWFIKVYHIILSLLATQRFLVFFFPKLEKIVNPGKKTMYLIIFLLYLTIVAQDVHYQSNRFTTPHVKFPRFYEILCFLLFITTFFHLPVYIRNRKNDNSLDKLQIIIFWQSIAICVAKILYILPIYLCNVSFTCNEQNYLQYLTLTDLITIPIIIQFTYIGCNIQHLEGLFTFIKRFFARGSSRQVHPYVVNNNQL >CRE17947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:450138:451102:-1 gene:WBGene00064622 transcript:CRE17947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-31 description:CRE-GLB-31 protein [Source:UniProtKB/TrEMBL;Acc:E3MDQ4] MGLILAYFRRPKLDVERIRAVWMDHINGNDDYFQEVIHRICKRNDGIRCAMLTQNAQHAESAAEEDFVLSNIADRISQFFHQLIEDDVLLNTVELKKCCYDLGRQHSAYSKKQFKISFWEEFTLTMMDVLEQNYPQTTKEEQKAWLHFQRFVNENMLDGYLDALSYNNKK >CRE17858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:447884:449785:1 gene:WBGene00064623 transcript:CRE17858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emc-2 MAETTDLTQLSYEDARAMLKKWRECGVRRSEEVVEIWEHIISRSPASLGDEQWAVLEQVCIAGLDAARVDLAQECIDSLHAQFPESNRVLKLKAMQLEATEHYQKALEIYDRLVEEDPNNNSYRKRKVAVLLAQGKRLDAIRAINEYLKIFLNDPEAWLQLSELFLQENDVAKAVHCLEECVLIQPLNSMYFRRLGDLRYTQGGAENIELARAYYERALKINPTDLRSQYGILLSNNQIASTTKSASEKKKAGTAAVDAIDNLVNRYQKISPKSNPESDGILNCLEAMKVTVGSK >CRE17857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:444390:447565:1 gene:WBGene00064624 transcript:CRE17857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alh-6 description:CRE-ALH-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MDQ2] MLTLAARRSLLTQKCATSTYSGLTSADFMKKKTNEPILEYRKGSKERQDLESALAHYSKNITDVPLRIGDKKITNKLEKKQVIPSDHRSTLARYTHATGEQIQEAIDVALQSRAAWERKPLKERAEILLRAADLAAGKYRMKLNAATMLGQGKNIIQAEIDAACELIDFFRFNAAFALELEHYQPISTKITKNTMQFRGMEGFVAAIAPFNFTAIGGNLPTAPALMGNVSLWKPSDTAVLSNYLIYELLEEAGMPSGILSFLPSDGPVFGDVITSSPHLSAVNFTGSVPTFKTIWRKVAENLDNYVTFPKLIGECGGKNFHFIHPSAHIDAVAAGTARSAWEYSGQKCSACSRVYAPKSIWPKIESKIAEIHKEIKLGDVRDGSVFLSAVIDDKAFARLKSYIDYAKTGADGAKIVLGGKCDDSTGYFIEPTLITVTNPKSKLLTEEMFGPVVTVYVYEDSEVDKVLETVKDATPYGLTGAVFSQDKEFLYRARDVLRDAVGNMYLNDKSTGSIVGQQPFGGSRMSGTNDKAGGPHYGLRWTSPLTIKETSVPLTDWKYPSME >CRE17946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:433328:443047:-1 gene:WBGene00064625 transcript:CRE17946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fcho-1 MTAEGLLYADHFWGEKHHGFQVLQENLKKSEETVAEVAQFVKERLSVEDEYVKAINRTVHKVSNFIQNGSNIDAMWQLTKGTMELMAEIHVMLVKNLQDLSREVLKYKDDVNKTRKELKQPQVAEAVNLMQTTTTCLQKAKETYQHRCQELEKAKKETNVNVKEISKIELKIARARDEYKSYVEKYEVVREDFETKMSDSCKKFQTFDRYFYSNKFIFFNCICRSLYASIQQFILLYANHSTEMSSASFQVAEQFRESIQHLNADEFVRKFIKSKSTGSEKPPHVLFEESENGSGSGMASSSSAMNLNPIRDLVDIMSGNSMPSSCSSSGILQDQAPPPQPITVDLLMMDPIGEGIAVDSSLSSTLNSTSLINTSSGELNNIKKSSEDLSTSSTFTDNKAPKKFSIFTAKRRTKTVSTSSIDETPTTAEPFSASGLFKFTREKRRSKKENESNLRASVCMDDTHSTASSSRSDDKMLNGSSSAHPSHSLIDAPIDEKQSDLPVIDDEGYIIRSTENQLDSNNPTAWSSCSSDEEEDEDELQKSRIRKMTISDRPVHINASVDELRDAIGSITLTRSTTFERDPWTIGGSKAPPLFSQSMNSSSLRQPLRSHHTADGRFRTNFSESDDPPAFSVSMGHNQSIAAGIARARPRSNTPTTSQLMSRKDSTSSFMDPWSSTFNLAPSESNHSLGESTFNLSQSTGNLLQATISEQRIPVAMAINEHVHVWFKKGADEFVQRTFGTVMISFPTSSISLLTSIQHEIEPLAFRLSNAHYIKSVLPNKQLIDESLSRKDDDTCTFYFNKLQLATWLQTQKIAKPEAAFVNAEVARYEMDPTAPCNSVPPLFLTAYWKFEPSHTGRRLFSRLRTTIFISDLRVDYRLNSDSPVTAPLLNVNFNTNLTGSIDSVMCDPEGKWAADSPSLGWNLLEISRNGDVHGSLKARIFMKNSGDEVSLEMLDRKPAQVFVQFQCHEANLSGVEVSLVQSDIYHLSMIRKKLLAGKYFCDPELRK >CRE17945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:430433:432646:-1 gene:WBGene00064626 transcript:CRE17945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vig-1 description:CRE-VIG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MDQ0] MFKLTFLAGYPYYGLLNSLELKNLTEASTLGILSSYTQINNKMTEYGCQVTNKFGLPSDDDDEYDDPRELIQKVSLIAAKKKEEKLVKPAQPTKPVAAPAAAVTKTDGAGRGARGGRGRGRGGAGRPPREGGDRVSNENGDRSGESRRGGPRRGGERGGDRGEGGRGAARPSGRGGRGGFAPRENRDGAEEPKEQHVADDGADTRAPRRRGGFTLGGGSSGGRGGARGGRGRQFDRQSGSDRTGVRAFEKKDGHGKGNWGDQKDELAGETENIAPEAENVEPEVPREKTAEELAYEAEQAALAKQKTLKEFRAAQANNLIQSITFKNFQADAPKFNTRKAGEGAADNFGKLVPMKKEIIPDREEDEVVVIHKASRKQVLDISITFRNDRPEREERRNDRDRSDRPPRGGPRGGGRGGRGGPRSGGQGGRHQATPFNASDDAFPALGAK >CRE17944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:405713:426695:-1 gene:WBGene00064628 transcript:CRE17944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jip-1 description:CRE-JIP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MDP9] MSAFECRKCDGENYTIDEEEEEDPCPTVIRRSYSADWLHNDDSSGGDDDSEEDSDAEFLVHPCLRSIIKSKSAYAVPRNRDDDVASLLQAKNNSMKPSKTSFEISLSKMIESTSKSIAEEAEYSVADLLPTLDETWPDPEEEDEKAAKKRRKSNIMRYSPILSDSDLSLNLHDRDDAEDDDVENEHLRSRPCWSSPPNFFDDTFRGISPTNQKCWSAPDLEDVLFKSRHADSDDVTRRAVPSIPILKELIDESCLGYPVIETPDNDPSVCSYRLPSSLGSAPSPSPPRPLSPVFGRPAPPQYRIHLSQSMQLPRRPDIEAFLADCQNDYTQAPPTFSIGSQGDDEDEGEEFGVGGVGGGGVITEEDEDDFEEDDEDDDDEEDEDVKKTEEVWPENAVARFCWSDGTRRPLVHRSATFQINRYLGEREDTVSPPPPNIRITVSRSAHSQLYNLGAEETEDEATPSGDIDDVANSENMGNCGSSGVSQMDETESEAFSTRKVWMERGSLVCLGSAHNCHHGVGGPSGLPRNYHRLHPEIIDNGVHANGIQSQYGSFSSLANAEPSTSLMRRSCTTDEFRRIRRRLPRRPDELGEPIDLPQSKSMYERALCAQFGTNGYATSSPPEDYTLRESMEMERRRENQKTSRPPSLALQSTIDTIRNSSTMDSGHHRPNPANMTNGHIDPCAHLETPISPHIFQSVLPSPTPSSSGRRLPALPINSAALLRRIPMASIPPNPPSKIQDHQLCFMDGMADSMMERSGFEDSYYEEDGINGNSRRRGRGGQRKSFFSPDDSSGVSSCTTSDSQNPTHRVQSAFHPRHPDELLLEIGDAVHVDRTADDHWSYGTNLRTGQSGIFPASIVCEIDLVEEICMGALPTNATKLLNADRDTFYLTMLASIEVAHHKGNDVLTQAMNKVLSMYKNSEEIIVPQTVLMEISFRGIHVIDKRRKNFFQCPMFDFFYSLQNISFCGAHPKQLKYFGFITKHPLLPRFACHVFMSKTTTQPIVEAIGRAFKRSYDEYMAFAHPTEDIYLE >CRE17856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:404305:405420:1 gene:WBGene00064629 transcript:CRE17856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17856 MNSSLKLVVFTILITIVHSATIGKLLQVPDKDPTLKALVYKEGLPKANAKLHDTVLWVPATTQFSARRITVMNQGKLYSTWTYDMLLQQSNCASRNTPMSDLAGCKPNSSSPKTLCSVHTSLFDNDFSSAESEVYCTKA >CRE16585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2424:2332:3204:1 gene:WBGene00064630 transcript:CRE16585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16585 MSFSTERTKKRSSSVTSSGKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLLEPVKKYCQELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENAKKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQENEPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVTPFL >CRE17943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:369123:379164:-1 gene:WBGene00064631 transcript:CRE17943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-42 description:CRE-LIN-42 protein [Source:UniProtKB/TrEMBL;Acc:E3MDP6] MEPAGHSSATSNTTPVPQANPPQPTPAAAPSPPTPSTPGAAAQPSVMREDGATLSPPNTWSSSSVEYMDDADDNRLLFTCTFTLPHGTVLSSATYTDNFNEQYLPMGTNFLARLEPKGQSFILSAAAASVKQRIFARVAMPDGNLRACELLCEFEQDRAKITVLALRSAFNPQGATVSSNFHVFTFITKHSSTCALTHIDYASIPYLGLLPTDLIGKSLLAFVYSPDVHVVRQAHVDLHNSRGKIVKSIADLRLVAHNGSILRCQTEWSAYVNPWTRKMELVVARHRICSLPIGDADVISSPPAGIQTNTLPPVMAKTFEDELRTIMNKPVPSTSRSHQSLKEQHQLIQLAAGFPTTTDLGAYIDKIVEQLVVNSTAQQQQKVAVAAAAAAQAAQAAVVATAQIRKVASAPPTTSPDPPLSYTQINCLENVHRLLKSQSRPESPAKQDEPFDEKKYPPQTPLTREALSLHTKRFEDEYKDTWCRRLKRLSDDVPSSPPAKQSRPSGATPINWATHQKQDYYRTMAPAPPPPPGKNYQITYTPLDDPTDQKSTNTKSDVENLMYPVSGSKFSTPMRLSIDGGASTPPPLVQRLLLPRGATSTGGASPTSGSNSPPAFPKTSSSSSLLMLRDSQN >CRE17854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:360561:367027:1 gene:WBGene00064632 transcript:CRE17854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17854 MLSTDHYNVFKATPGVPRRLSYSRRRLPPPPPPEMLHFIDSSGSEDDLDELPRHLPPVVRRLAPLRHATSSYNLKSTGTSSESSSTSSSTSSGFENVSRISMNARRASCFELNKEDEFKVMAADKLLQAMENMKHATSSQAIRWRCTSTESLPGIVASSKSRRHSKGPLELIQGLMAKKAAQKRDLGVVGPPTLISSTVSLHEISTRGPATQSEQTHQKTAGNHNQLTRNIMNEVLTKVGPYPQIVLPSNGFWMDGVTQQQAGMMDDQVNNMNVNSCARFKLETDETSHCYRRHFYGREHHDFFANDPNIGPLVLSVRTEVISSCDHFRIILRTRKGTIHEIVSATALADRPSASRMAKLLCEEITTEHFSPVAFPGGSELIVQYDEHVLTNTYKFGVIYQKGGQTTEEQLFGNPQGSPAFDEFLSMIGDTVPLYGFQKYRGGLDTVHNQTGHQSVFSEFKNREIMFHVSTMLPYTIGDAQQLQRKRHIGNDIVAIIFQEANTPFAPDMIASNFLHAYVVVSEWNVQPIDALTDRVRYRVSVAARDDVPFFGPTLPTPSIFKRGQDFRNFLLTKLINAENAAYKSSKFAKLAERTRSSLLDGLHATLRERAEFYATPLLESTSSGNSDAHSSTSSTSYGGGILNSVKKAFIGRSRSVSQEASHVPHRAATINVTSRPKKSISSTSSSASARTHSPIRDDVAKTYGRNEWEISSQESPDNEHDSDTGMESMSSTELSGQTRASSCTFCVDDYHGGSSHATSSDAKRLETLCVDVARLQNEKHDLLRQNVSCKTDIKKLKDRESILSEELDRANDEISRLRRMLKKPSNSDMAPVHQHFERSYSDVSV >CRE17852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:336839:337930:1 gene:WBGene00064633 transcript:CRE17852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17852 MDWIYSWKDSIFYGMCSCSGTQAYHEKEQPHHHDRLEMPLQLKAYRLVYFKGVGVFAKNLALVLAILHIFYYGSAYYGIIETYFGDLG >CRE17942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:317181:319257:-1 gene:WBGene00064634 transcript:CRE17942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-27 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MDP2] MQILPHWSVLIPLLFTTLDALPRGRRAVRNRNEGDINSLSGIGKYLVQGDIAVVNTRSRRAVIKQKHKKWKLPMPYSFDWNFPRRSRQRVLEAMRFWSEKTCVTFQENRYVYPHVRIFEGNGCWSFVGKQQSLREQSLSLESSCTDHTFVVAHELAHTLGFFHEHARIDRDQFISIDYSNVNPNLTFAFAKESEKQLDHQAAPYEYGSVMHYSVDQFAVNTNRPVIYARDEKYAQAMGNRMRATFQDVSRMNVLYNCHERCANTVNRCQHGGYPAPSDCSQCVCPDGFGGQFCETIESQSIGQRVNSDCGGVLWASDTPQTFYGAVRTRVHSNSPLPTPEHCFWHIRASRSKSVEIRIKNIVSPCSMSCSFNALELKLSNFTMTGTRFCCDEHIYTSHYSKQPKVFLSEGPLAVIGAYARYDYLDFNIEYRAV >CRE17941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:311546:316487:-1 gene:WBGene00064635 transcript:CRE17941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dlhd-1 MLKKLWILVFLAVSCQATFPFFNPITERLVEYKDAQNTVQQGFLAYPTFSSPLKKRPAVIVFHAFTGRTEFDNGKARDLAKVTRLRGIRCRHLWKGKSRSRCSWKLCDNGTVVGKPVSMDTKRALQKFYYRTTILRNRIMSSWNYVKALPFVDTNRIGSIGFCFGGLCTLDLARFNVGLKAAVSFHGTLTDYPGNGTTIDASVQAHHGDEDPHTTNADADAFLVEMRRRNGDWDFTRYAHALHAFTLPGVENWGIPGAKFDPIASNRSWSAMEAFLAEKLLCSPPNKLYAGSISSPGSAPFDVVLSGRLISIYKLKIKPTHTLRKEKVCCSACNSYYRRNSKLLIPQCTDDRSHKILQNSRYQKCLEAGIRKKVPIDFMENLVEQDRIRKTIFENSYAKNGKRLLLEDILFKNFNNYTQRLGKIRLSNWIAVSQLTSIDFLSNLYFYQQLVPSDQIQFIKATRFRFFFLSLAMKCYEEGKRELEYPDGSDIFPNNILRRSPFIEAEHLQKIRTTLIEKLAELKIKQEEFLRLMVLLSCTTALALSDHLSIIGKSIIEDAKTEISSLLMKHSEQQYGVAARIRYVELLSVQSVVDWTHKSMDNVQCLYDLKRTDNKKLEGYLYKFE >CRE17851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:309610:310791:1 gene:WBGene00064636 transcript:CRE17851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17851 MSEKMFKREENSRNDGEEVSIELESDDDPLKNVTATDIVKFQTTALEELCNTLEEGIQYQHRIIQRGDEMVEYYNEYRDEIVDSIAIVWKRGLRAIKNNKQRIDWALNKFRIDLRKILWLYVTVNHMMDEEEKNAAKQDEFVEILDEAEKEIGLFKENLKDLQDLFGAKTAGVVPSQTKLSRETLVNHIVQPYKPIETEVATHVSNVNRLISDILCKTPKRVLLAEITKPLQKQGQTVAEMILKEFPPKTVEDFIQTLPIKQRD >CRE17940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:305941:308692:-1 gene:WBGene00064637 transcript:CRE17940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17940 MEYLYNVPYPVSFRNLSSKDKIASDKIAILIVLSEGVKRDYYKIAIDSVECYAKAHGYQFILTDDNGYGCDYLKEKYFRRHCVITKILPKYEAILHIDADIGVVNPNRKLQELLDENIDITFYDRHFSPEIAIGSYLAKNTSYAMEIIKDFSEYERKLPNSFHGTENGALHIFLAEKLFPHNLIELELCRQAWRSSQNVADQFSYTACIRTLFGANTDFGKVRILRKGTGHVRDDWLTSGIWSPYHDFMLHGWKMDQLEKTPSRPLRAEPMKQTVWYNPFIGSFDMDRCGFGNTTWHHDPKLIGSREDVEHCLRKHEMKIAIKKMKYLGRLFDLIRNNAFWRLVQSHFWFLFYY >CRE17939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:303998:305592:-1 gene:WBGene00064638 transcript:CRE17939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17939 MLLCSKPSHHNEMFHFPKKLICIFSTLFFILFLYILFNGDDIIEDQDSEYLYRVPYPIMRNSNNESWIKSENIAIVIVLAEGVKREYYKIAINSVECYAKAHGYQFILTHDNVWGCDYLKDKFFRRHCVISKILPKYEAVLHLDADIGVVNQKRKLQEYMNPQFDLIFHDRHFSPEIGMASYIVRNTQYGLDLITEFSNYEKKLPEGSFHGTDNGAIHMFLAEKLFPHNLIELELCRRAWRNSQNVADQFAYTSCIRSLFGANTDFGKVRILRKQGTGYVRDDWLTSGIWSLDRDFMLHGLKTDHLKKVPYGPLRVSPMSQTSWYSPFEGDFDMERCVLGNTTWNHNPRLIGTREDVECGLRMHEMKIAVKKVKYMSRLYSLLSNCYFWRLFQSHFWFIFYY >CRE17850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:303353:303897:1 gene:WBGene00064639 transcript:CRE17850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17850 MTSIKGWYEIRGKTLFIWEGVLSLYPTNLTSCQLYKILQDEIFEIHVEMTVPIEKMDSDGYWECVEIKGEVSNRAHFLCHSMNTEHAERILKAGLVRLQTTILVFFQVLPSAITSITVRMDPNPCRNWERSKIKERIVDWQKLMQKMCEFPENSKIILDGNMLS >CRE17849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:299254:301813:1 gene:WBGene00064640 transcript:CRE17849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17849 MIISQKRLCIAALSTIFSLFLLYSFLSLRFRSTEILNSPDSEYLYNIPYPVIRSSSSENWIASENIAIIIVLAEGLERDFYRIAIDSVECYARAHGYQFILTHDNNWGCDHLKDKFFRRHCVVSKILPKFEAVLFLDADIGVVNPNRKLQEYMDPQFDIIFYNRHFSAEIATGSYLVKNTPYSIQLLKEFSEYERKLPQDSFHGTDNGAIHLFLAEKLFPHNLIDLELCRRAWMNSRNVIDLLGYSSCVRTMFGASSDFGKVRILQKGTGYVRDGWLTNGIFSPERDFMLHGWKMNQLATLPPGRLLPVPKFVDKWYNPFHGEFDMQKCGLGNTTWNLNQKFLATRANIEYSLRQYEMRSAIQKLEFLGALHYLVKNNRIRKHLGPTDASLFDF >CRE17848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:292853:296332:1 gene:WBGene00064641 transcript:CRE17848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17848 MTSATSSSQFERRHRKDSDTIAIGNQETANKTVRFPSAQLTNEDFVRIDSWRHDRGSEERESGRNWRSFFQCGCIESIRHWSRRRQFCLCSLLILLLFFIAAAIVLLILLLVNSGNNNNNYPNDVLTTPPTPPTPPPPITLSPGSPYLFAFVLKTTSEYYDFNVTNLTSFTPENLGFTWQNRILGWAQESQSLIIFEPSTQKINKLNLKLETWQCDTCNILKIREGVANLQPSDVIYCCFQCKGGGGAYCSVYGYQFRVSDPPCEIQVSETNNLYLVTWQANTCTLQTTTLNGTDGTVNIGATQRCPNTITSSGLLNPIRNLVTTSEVRNLDAMWKLDSVISQKGDLLLFNRSETLENVIYYGMDINGTTNSTSTGAMGTPMIISSRIDDDIEHLLIAIIYPTQLYITRRNLKSNCVTILSEPEYVQYRFDGQFGGGAWIGDDLMLWYRYMSGAVVATF >CRE17847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:286455:290636:1 gene:WBGene00064642 transcript:CRE17847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17847 MNSLYICILLSVCTLSVLSLPFYSKRELAPSLTLHRINALKDTIDQLNDIKKAMRCRERAAELASKRSKRMISPSLRLSELIDPLVTGESVSNEETQIVPIQQQIDDECVGFYHDIPYYF >CRE17846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:275796:279418:1 gene:WBGene00064643 transcript:CRE17846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17846 MRAIISVAKSILGTRRSDDEHLYTGQGPAGNGAVHSFRVYIHGNRKKNELVHAWLRVTTETIALEISKKECLVWPLPLIRRYGYTSAGIFFFESGRRCESGEGMFTFQSKKADEIFQLIQSLIEEFANHSAEKQNMENSFRYHRQQSVPISSRRMSNTSNTSSVFGMNSEALTSSMNSSGFNDSIGYHSYHRSSYDPRTGRITTPLRPRSVTDTSDFEDTSSFGYPHHLPHDSFHALNHSLGTRGNRILGNIVTERTNPNHQPSGIANGILPSYINVSAKEVVASSSRPSPSFYSKSSMTASAASEVSAALSAMNRDISTSHSRHHHHHHPHSHHHMPRVTPPPVSSRVLKRYAVVSTSSDQNRRISKEDAKRAFLSNDPRVDMVLDDPRYAAVNVEADENYANLEDIQTDKIMRGIDVETLTTLHSTPEPPPPLPRHHQLQATSSRTLKPSNVGLTARAATASPLPRLNYAQMVPVHDDGDVPDRTSRCSSVGRFYDINYAQMDVDRTQALKGTLRMKKEAQTAKAASKIQ >CRE17937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:270434:271420:-1 gene:WBGene00064645 transcript:CRE17937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17937 MVSVNGQADTKTGWPSIIYSNTDWDDCVQKCYNDQFCDVAYGNSSLICVFYGISDFGFARKEIPSAALHRASFKLDIPNGLCTTKLDDLFKGSQSYGRNGISIFQITITSDKYDANYYYEEPCDKPFVYSCGCSESMYLFRGTGPPTDLGTTRDIPTWFLCVLQCSTDVDCVLAWFSGYRKCTMYSFGSFNSMSRSEDPAIQPDTPNYITLKIFPLKSNCPMTEHEVLNLKNMVIPAETTTTGYANFSMTWTASSENIEFSWVPTEVRTY >CRE17936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:261734:269847:-1 gene:WBGene00064646 transcript:CRE17936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17936 MTIFLFLLLLSPIPCFTQETTTLPPLIYKMVSVWGAPDPETSFPMVYSTGVSWDDCVQLCYNEPLCAMVYGNSSLICVQYYIGDVSIVRNDKEESGAVEKVSFKIEATTEEQKLCTSLDKVLKEAVTTVRGSFVNSYKVVISNDLYTISNENILLTTDEYSFGPQAPCDEKIKKAIIGFRGTLIQRTKDMEPVKVQSWFGCLAKCFYVNWCFIAEMSEAKNCYIYTPDKVTELRRSADFSIKQISEDNAYLAMKYVPNGLCPLTVEDMFTKSQMRQFNFHNIYTLFHLQPNAGNTKIEPDLGTVTCKQDNALKITGIAGCYRVFNVPTTHDQAVAQCAMIKGDGLMTIPDTDRLYEVIASSETLGFESDFTHVGLTRSTADSPWEWTLPGLARSEDFVNTSGPTLSNSTGLYAALYNKQDEAVLLYSISFTQDTTLTPPVYKMVNVLGAPDPENSFPIIFSTGVAWDDCVQLCYNEPLCALVYGNSSLICVQYYIGDVSTVRKDKEESVAMEKVSFKIQVTTEEQKMCTSLNAVLNGAGTTVHQNLTKSYRIAISDDFYNITYENKGIPECAATLGPNMKCKTGCNATMVSFRGYFHERMEKTGALTTKSWFECLIRCYNTNWCFLAEMIVPTQCYLYVLDDFFVLRTFPNFNVQEVSENNSYLAMKNFGFNTVYVSYTFYRLHGFSFIGSSPGLFDCNMTNVHKIAGVAGCYKIFAMPSHTAKQNFIVTEQEVTPWEWKLPNLTRDDTELVKVLSKETLDQPGVFPLNMQLFFNLLIFFVIFHVVLPAKMVMFNGVPDPVTSYPTSISQASTGTMCAETCEADVYCVLSFWNTSLNCYLYSVGDMIRIKEDTNVEGEKGKVAFKIQETAPCAQSAVQMFQGITNSFANKPIESYELAVESSNSYEIQYKYSLPYNCPDWVDPVPSCQNCPITMMSFRGTATVAKQTAPTVNSWTECMYACWMDNNCFLAWMKASPKCGIASYGDVTAVTKLDRSKAPTSDGSLNFLAIKYVSDETTCDLSFDSLWNGTHSLKANSTTYSDYQPTTTGARTVFSWTSKSATENCDGEVYKMNGVSGCWIKTMNRAEAESSCLAAGGDGLMPIPKLIAIDRFADDLPYSDLEYGDVHVGISRKTTSSPWEWTLPEQLIDNEGMVWLSGEPNSAHTLALLSIAKNEDGPHGLKSITATSLAVGAICHLPS >CRE17935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:259378:261210:-1 gene:WBGene00064647 transcript:CRE17935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17935 MVMFNGTPDPNTSYPTSISWISTGKMCAEACEADAYCVLSFWNISLNCYLYSVGDVVWIEMDVGETDQVAFKIQDDVPCAQTAEQMFQGITNSFPHDPIESYELVVKSSISYELQYKYTLPYGCQTWVEPVASCQNCPITMMSFRGVVTLYKATEPTVNSWTECMYACYMDNNCFLASMNMLKGCSSASYGDVVSVVNLDRSRVPTSEIALYFLAIKYIPDNFTCNLDFDSIWNKPHTLKAVSSSDKYAAYRPKLSGITTKFMWIPKGLTTCASPNISTIEGVSGCYTIIKKNMNYSTSVSACTAIGSDGLMTIPNEAAITNIETAMTKLGMKDGYYTVGLTRDTTSSPWKWTLPELVIDASGEKWCAGQPGASNLAIGGTTGTICHIPF >CRE17934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:255323:257414:-1 gene:WBGene00064649 transcript:CRE17934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aat-7 description:CRE-AAT-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MDM8] MSTSSATSSDNPQKHTIGLITAISYTVGDIVGSGIFISPTSILNHAGSVGLSLCLWALCAFISLFGALSYVELGTTIRKSGCDFAYLSHFGWRPLASSFMWVSTCLSYPAVLAIQAISFGEYIVTGLDSWITVDEDYRFMTYRLVGFSMLWPLMLLNFFSLKKVAGAFQIVATAIKLIVASVIIFTGLYHILIKKQTQNFQNSFQGSDWNPGNLVLGVYSGLFAYNGWDVLNFGAEEIENPRRTLPIAAISGIAISATVFILMNVSYFSVLSVEDFKNSPAVAVVTLNSISYSTFFLKFQTFAERTLGNFHYAIPFLISLLLIGSMNTTIFACSRYMYSGAQQSVMPTPLRGIHYASRSPRLAVFAEILIAICLSFIGNLDQLISYMSFALWSQRTCTQAGFIYFKLRGTLKSKNSFEVPIFVPIIFFIICIALLVIPITQNYHVAIYGLSMTAGGAIIYIIFIYPKVLPEVLYKINDSIVKCVQILFNCVIEKYVEIVEEDVLSEDSSILKLKL >CRE17933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:253773:254905:-1 gene:WBGene00064650 transcript:CRE17933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17933 MTTTIPPDLIARLQKFDQLITKIEDAIEEIDVGTDKHFERSAHEMALVDSMSMFLMDSLLWAVQATKGGGADKNEDLLIDLARTKRVTADMKAINARQDAPRINKTAAANFVRNALWEVPEQGTSTETAPDPPVKMEE >CRE17932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:248923:253018:-1 gene:WBGene00064651 transcript:CRE17932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cogc-4 description:CRE-COGC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MDM6] MPEILLHSKYLSGVPAGRKNGARIAQKTEKSDEKEEKQFDFSRKIRELRLELEIKKREEERIEKDIVIILEENTIDGGEQNRSFGLAVTRLNNHMLVVENSAKQLTSALKNISSLADTISGRVSALDVAKTRVVGCLQLAGDMKDLGHCAEGIDDAIRSEDFEIASQHIHRFLTLDEAVFQIREFKQKDATDSIRHSYEILSSAKDRLSKILKSRLTEAVQKGDIAEMQRFVKLFPLIHESDEGLQRYSVFLNQKIDKLADENLAVGFSVKNSPKNVETMRRKGKNEKLIMKAGGTDDNRRNVLYADTLFMFFEGVAEIIETNLPVLEHSYGMEKLLDFMFILQARIDEFFRRLLEEFDTRRRLSHLNRLVDDVIHKQKSAAPEHSEDVPDALEIDAIASEICMMNSSVEMYWRFISRRIGKNNKDTLGEKSGNDEEEETEEARLERQRLRKEAKDQKMDQLLNRSRVGTKMQELIGNYCRLEHFYMLKSVQKAIKSDAKEDVGGLTSSLIDDVVFIIRKSIRRAAGSGNVDSVCATINNATALLDTVIHSSLHHNIQSGYVTSANFASEAFTAYQQGKQVKEAAESQKEQFLLAVNNSAKLSELLIELQKGLISEWSGIKRPLVEKNKLEHSTTQIEESAKKLSALAKHGVEELFKSAFKTKIRQGADPYQNIDRQMTMQDVEYFEAHDPFMENYLAQIDRLLVENEPHLFPDNYQTILLFTAAEIARQIEGSIAKCQFNRYGALQLDREYRQICAYLTNVAGWSAREKVARLGQVCDVLMIIVALLNVETIEEAMEVWHNSKTMTTSSTTRTLSLPEVRKVLSLRADFPTVAIKSIE >CRE17845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:247248:248486:1 gene:WBGene00064652 transcript:CRE17845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17845 MPRQKKSLKYFETRDYIRCILKFLISPIYFYVECVTSYTRTFIFNTNMIFWHSFLVFFISHPFLFYESQDEQRKSEVFSFTICFFLICFLILMISVSFLLRSIQSLIHVQLGLFGMVLSVHSQIFCIFAERREGFDLNIIRIIDAVAVHAYVFFYLLFCNLGTRLYVRLPVKMMPFSFGVKLYVKVIAVLHLIYAIILLIGLESQNQRYHLKLLISSFKIFCSFFISVDAFSMIFTDQFLICKHRERKEDFETKKPIGGTICHVAIRRAFNKRKDFGDLPADFQYDDDIKLHPKWYTKYQPCVEFV >CRE17843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:240220:244739:1 gene:WBGene00064653 transcript:CRE17843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17843 MKYFSFILFILSSCLVSMGSTASQIDAVVLDELLREIVDSSMSDLRHRLQEAVFATSFRRKILEQHGATSSSSESGSQKQLQNLLDDYIKPQKLSLALETFTQKPTTVHPVELVTADDVAISESGRLFKDDVEYSGDSSTWKFFQMPRVPAYVAITVVVMCILTFLMFVGTVIYFLTSKWKRKKNLKMDLPRGFLTRSQMMMPDPLSVQDQISRRHVASFTLKDQFCDPEKPKILQFGDISMAHHRIQGGVVRTDCRKSRCLSKLFDMNVFLKMEVNQDTGSFKERGARYALQNLTEEKKKAGVYAASAGNHALALSLHGKQLGVEVNVVMPIIAPLMKINRCQELGANILVKGNDIAESREIALQLAYDNQGTYINGYDHYDILAGAGTVGLEILEECPMPDAILVPVGGGGLVAGVATAVKALSPSTEVIGVVSETCQAIVKSLQAGHPVYTPTRPTLADGLAVPNAGVNAFASMIGKVDRVISVAENDIAVAILRLIENEKVVCEGAGAIGIGAILSGKLSHLKGKNVVSILSGGNIDSTSLGRCIERGLAYDHRVIRLSVIIPDKPGGLSKLTGLVGELSGNIRDLYLERAFMRNDMSSQRVKMVIEVRGKEHEDELKAKLEEEYDPENCQFKNSNRLKGL >CRE17931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:233355:239342:-1 gene:WBGene00064655 transcript:CRE17931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nol-6 description:CRE-NOL-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MDM2] METPELSEDVLPMSNAFKLLCDDSTPKVNKKEVERQRQTAKKIKNEIESAKINLEIEVFATITEKLIFYNFQYKNLDFWTEHDIKHPLHHLQKAIDATSSSVTQFKWVKPSKITISDQVFVEMPSELLGNRDFLNLTYPTKRAHFLCCIAKILSKNHAKIHFAAGGIQQDDPIFPDLIVDGIRVGIYCSDMAKPKRFAPNIGNLRPATVFGEKLFKGVEIATPRFNQRMLWSVLELDLNQELEKTMKTHPTARLALHLLQSLLENRHLTHAFSKIVTTARVVRLIKNGEITEKQEILTVLRAIFKDFITWSPDDVEHMDVDEEKLEDDVEEEYSQNFDVNLIWRHLNIASNITKNQMARMKKELATCYPLLGQVYTFDPIFIEKFPVFAQYDHVSRLHVNVSQLLPIIGEFGCDSVDNRDVISQFIKSLERKIQQTMSERYEFIGIHEITEDLKTTWQLTDYASQERQKTFLIGFRITSQWKNPLTVGPSAQTNEAKEFRELWKGSSELRKFADTRICECVVWAEKPSEKVPRAVLQFVLQKMFDLPATCLSWRSLTTTSTSAESDQQHEKKSQEAVFKAFTDLSQVLRGLKGIPLMITNVHGVSGYLRGTEPAYPSVFAATSSNKSTDNHALPETGKIPLYSPAVTVHIKLEYSGKWGNDVEAIRRSTWSSFSRLLTLLSTHPWSSRPLVVDFGLKSWTEEERSKLEEKFIKMRPILPPMVVIHEEDQLGSKFTRENPQGIVLNRLVAVAKEALKLMEKQTIGQKSIDLETSLLTENLAPYDAIIHLEPAAVVRKKALMERRPLPENSKFQHKIPVVELDPVDELVYQLNNSFQSVAMFFYNKYGGHHIGVMFKPQEEEVPAKISRCALHKSISDSTLRLNRAEILENILILGQGIVGDVELKKQ >CRE17842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:226799:228863:1 gene:WBGene00064656 transcript:CRE17842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17842 MKFLTSILGSEKDRAAKKRRSLEVNLHRLEEKAFLLEMELEETRRQKEKVMKELRNGVPVRHVSTVSFSSSTDFSFNTTDSSNNVTDRYVGLPIDTSTPKEIPELQKSPADSKSIQFDEEEEERAKWFRDHRHLQNLENAMNSDAGASTSSEKFIEKY >CRE17841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:224140:226069:1 gene:WBGene00064657 transcript:CRE17841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17841 MLRFSLFLVLLGCSVAAFNSLGYPIRPGGRQAPNGVPHAIYYVKNGTRIRINCAVCRLCCYGNNPKVQVFPGHPGQTNPPGQTNPPGQSNPPGQSNPPGQSNPPGQSNPPGQSNPPGQQNQQVPGTWTYPVLPHGGYRTGPFSPYHPGPQLPSPPRTGQVPPTYPPLNPGNQGNQGNQGNQGDQGNQGNQGNQGNQGNQGNQGDQGNQGNQGNQGNQENQGYPVYPSYPVAPGQYVTPPPPVTNPPPCVPVTTTTTTSTTTQAPTTTTTTTTPAPCTYTPPTTTTPTPTVPTGYATSPSSSYVTPPTSGYPTAPPSTVPPVDSYLIPETTTATVSIVTTTPGPVIPGNSECCSIDLRSFQATVSCGIRNLSGQGCCQSCTPIRQRQIKINAQFFSGIFQRYPSLQIPCSEAVYLKLVESSEVQGACGPAIPFPGNPEPSTFYPPWSSTDGSEITIPTGAPDAYPLTPKGSSAQFSVIASLLTIVLATVLCL >CRE17929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:220934:222764:-1 gene:WBGene00064658 transcript:CRE17929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17929 MLLLQPTLLLPVLIGCSLASFISPHYVSSYNGYYPVGPITDPEGSGTGSNDGTGANGGYAISPPPGTIFKVYRITPQGKVLVTNCNVCRLCCTGRNISVEIETIPNIPGNQNPGISGTPDAPRLPKLPVPQNPNPAGSDNQWSYPLPPQEGYRTAPYSPAPYQPGQQTSTPGTIPSPAGPGSNPQAPDSQSPSPAPVGPSPTDPIPSNPDTVPTPQFPQYPNYPYYPVAPGQYVTPPPPVTNPPCVPVITTTTTSTTTQAPTTTTTTTTPVPCTYTPPTITTPTPTVSTATSPPPSYVTPPTPGYPTVPSSTVPPVDSYLIPESTPAIETTTPGTEGPGGNGACCTIDLRSLQAIVSCGISVGAKGSAGQGCCTQSCSPGSIRQRPIQINPQFFSRYFQQLQSTRITCEQAVTIGLIKYTDIEGFCNQYVPWPTNPTGPPSGGETTVPPVWPTGEPGNYVIPPTQGSANLSVITSIVTIVIATVLCF >CRE17840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:220169:220623:1 gene:WBGene00064659 transcript:CRE17840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17840 MNSLKKGFIMWILVSTIIISCCYAKAMPVKRRMVLRLPFVHNQENSQTSFQQRTFLRMLNGNSKIKKAAMTSGDVTASDDTDGENLQHLFMFRRIY >CRE17839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:209559:211385:1 gene:WBGene00064660 transcript:CRE17839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17839 MVPGARSLGKHFLISFHFSIFRFLSFFQIQEMRFSYLIFLALLVSVLAEHVEDQNHADEEVITAEQDIPVHKPRGYKPRKLEEGDELLLSQVVWRHGDRAPTGTYPTDPHKEEAWPNGWGELTQLGMRQQYALGRLLYKRYVNSTGPAEPLLSSSYNSKEVYIRSTDVNRTLVSALANLAGMFENGTRGADYPDSKRWPNNWTPIPIHTLAEKDDPVGNVFAPCPRAEELTKNIYLSSGFQKFVAENHQFLDFVSEKTGKKVIMPEIYMINDVHYIETLYNMSQPDWITDDVGMKLRNLSQVSTRFLFGIGDPYVPELIRLRGGPLLGAMIDKMNHKISCAKKNNEGEECAWIGRLKYHAYSAHDTTVYAFLTTFGDEEKVIEGGMPHYTASVAVELWNLKNGGPSVRVLFHSAFHHNYHVITHLAKGCPHNSEFCPLKTFEQRSLKFLPVNLEKECSSKKSSDKNRTLWKIRDNKH >CRE17838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:207034:209249:1 gene:WBGene00064661 transcript:CRE17838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17838 MKIAVVSGYGSLEPEMQSQLQNSLNWFQSAFLVHKSSHPVQISDIYERIPEYQKFSSVLVQTPLHRQNIRIKDLKSLLEISDFTVFIVAQDPSRCIREPDLLAEALPIVLLPDTRPPLAVMSICLQNNPRHQNPQLDSRFYYDLFRHEILHGLGYGLIVDKTGLTDKPSEKMIWHGANGVGHPENRHFLDFDDFALKAGKEHFGCTNMKGISADGERKNHLNEYVFGNELMTTHLEPFVNIFSWISVGIIERTYNGEQQWYHINRTFISPEANQYSYGRNFGCVFLEKSCHEFIRFTEAKKPNFKIAPFCSRNHRNMCYKLPESQKLYKISDKGCEMRRVIEGTDNRGQQRECPIIKHLPPMYEIVQCPPPPGG >CRE17837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:205246:206790:1 gene:WBGene00064662 transcript:CRE17837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-40 description:CRE-COL-40 protein [Source:UniProtKB/TrEMBL;Acc:E3MDL4] MEEKQKIAEAESLKKLAFFGISVSTIATLTAIIAVPMLYNYMQHVQSSLQNEVEFCKHRTDGLWDEFHRFETVKGVDSRIKRDTRSRRGGYSEGGGGGSAGGSCCSCGIGAAGPAGAPGKDGNPGEDGKAGNPGQAGSDAEAAAAPTAADFCFDCPPGPAGPAGGPGPAGPPGPAGAPGNTPSGGGEGPAGPPGPPGPAGNDGAPGAPGNPGAPGQVTETPGTPGPAGNPGPAGPPGPAGNPGSAGASEPGPPGPAGDAGPDGAPGNAGAPGSPGEAGAPGSGGGCDHCPPPRTAPGY >CRE17836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:201747:203699:1 gene:WBGene00064663 transcript:CRE17836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17836 MSEFFENSCHSIVDDCKNWTRVAESWYRKFEKDMNKVHGLHNSNERLSKELVEYIKTEDARPVNSKNDKKLKQKTEKLNLNSSSLAELQKKLYEERNSKYLKNAESSRKLLNGLNSMRGNQLDVFWEIMQVFLKNTGTIKAIEAKYDAAFPPETCYSVGIDVNPVFEEEPENGPVSSDSPETPQTVSVTPAVRIEEDQQFMNGNLTEVTSEEENMTGMLARIQEACSAVTAWIKPTTVPSTCGQETANAASEINKKFTTQTEKDKKKLDEKSVQDKIENEKEQLALVHHNRQKLAIQTNGKEEWQKIFEGGQKKSESYLRRLEEQRRENEQKIKEKREERERYQQETKRREEEAFIRFQQVTDTVVQCLILKLSFDVKEKEWSDWLQMLQKTIAGAKMQFSSFENTMVYETSRSEMEYELNNLHCSTFSAYSILYKAWQVAKEYSEVHKDKIFLKILMVNFVSICDKFFNILESLDNFEYSSSADPVRAIREAYSSVNGFDVHTTTKLRSISARAKPESYSNIPEPRFYQNN >CRE17927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:196773:199005:-1 gene:WBGene00064664 transcript:CRE17927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17927 MTSAPAMSRRAKLLEDHEKNMMSETNKVISEEAEDARKVRESYAQQASNNRKLFDLDVVKEGEKCTENVKRGISRLDDLRVENRLTLTKLNEDQAKSNRQKLQDMEKNGQNEVEQRKNRVQIIEKKIQDVKNTTEKERERELRINGALQAEKTNIAQKLESKKTEKRESHEKKIMELEQKSADIRLKHEEEMKKMEEVLRIKNEKQMAEVFSLQEKLMTGNGKMIEAAHNDRKHNDFRRQCRMVVHLFNEARKHFDDEELLIMFIISEIKNERKLTANPLLGTITNALQNLLHAIQMLAVPDEQYEVLQIKVQRIASDLTAEIKKIEREIFSFKNVGKGDIESLELSHETARALMLQLSELVLLFNIRPSTQFGEVLALEVNNLSITSSPSEHKLENSRLENGSKPEIPKAINNISANDEIEETDEGDASDEDEDQRELRYEGMVEDMKETDSENFEVLA >CRE17835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:192475:194998:1 gene:WBGene00064665 transcript:CRE17835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snf-3 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3MDL0] MGTSEHVPLPTDEAKAKELESQHSEEPDRGQWTGKFDFLMSMVAYAVGLGNVWRFPYLCYKNGGGSFLVVYAIFFCLAAVPIFIMEVTIGQYLQKGAMEMWLMCPLFRGVGIGNVVIAFMCIAYFCVIVAWAMFYMISSIAWVFPWETCSNYWNDATCVTGKENVTELARIKALVASAGGHTQTSVEQFWEKRVLHDTGDIAEFGGIQWELFFIMAAAWLIVYFALWKGITQARKFVYFCALFPYVLIFILLVRGLTLEGAADGIYFYLKPNTTRLLDTAVWKDAGTQVFYSYGVGFGALIALGSHNKFDHNCFKDAITMCFINGCTSITAGFAVFSILGYMSHVAQKDISEIVKPGISLLDSSKTIQFSGVGLAFLAYPEVASNLPMKQVFAVLFFLMITILGLDSQVCMVEGLFTALEDAFPILRKHKKLSLGIFCLFFFILGIPMVTYSGSHWLTLVDAYGASGYALLFVVFFEVVGLAYGFGAHNIRHALKEMVGITLPIWIEYVWKFCAPATSLVLFVFCIVYYHPVKYPTGADFPVWANAFGWFLSSCSMIVIPGYAVYYMLFTNKHLTMKERLRKGLNLDGSFESPAKKNLVNNAEELKFIESTPQ >CRE17834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:182133:183787:1 gene:WBGene00064667 transcript:CRE17834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17834 MGAKKSKVARLKEGSIDDLTTALTRIAKAEHDEKLRIELEHQQRIDEERQRMHHLREQEKEKCLEEIRRKVADLEAQKNKNREDQEKLKQKLLKEGKQKISEAESELNKKTQEKMRECAQEMAKLEQDVILQNAEREKIKTLIKEASKANLKLELESKEKQEEMRKRHQEKIQKSDQAVQEFQIEILKEIEVMNRRQAEISMQKLEKMKSVEGQHLKLGAVLLLAMTENNKSEHLKKSRDQLITNFKSFKRNVFHNEEKAIEITMKEMKNKEKLTSPPQLDFIKRSWLHFSCLLSDFNVPNGSELEVPLQEAKDARDTMQKAIDALYADINLYQKKFEIQENALPANNSNPVDDFALPCFLIAESSLDIMRNTLTEMHDGISKFQIEPIDYVGAQLQRNSLGNADTLAIAAPPIWSGESTVNMT >CRE17833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:179971:181946:1 gene:WBGene00064668 transcript:CRE17833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17833 MLLFKTENGVRRDLARRQEHNLRMAENEKERNLLKYESDVSTESAAAIRAIADQRNVELKNIRESARQEINGQFSSKLQLLSLQYVRYVDQYISQVLTSHVQNQQILKELCRNVDETEISECQKTKELQIKLVQEEAAKDEEYTVEFQNVEKQNMDKKKEAMEEKHQLAIRHNEEDLKQQRAIHLMEINNEKCRMSAAILNMRNGEIIQINSKISEMQNLSTDISSFFNELIVSVLPIDESSTLENIQRTHLISNLNGLHLRFRDMSTDIQEIEQILPKIGQNSEEVNQLKNTLRQLKKSIRSITFCTAEIQGFLNANQMNFISKATNLKKLITGFADTIDDINSLERHDQQILRIFETSSSQAIETIRIEAIE >CRE17926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:177726:179651:-1 gene:WBGene00064669 transcript:CRE17926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17926 MFSWLSSKSSSSGPPATNDMKKVDQLVRKIEMYFDRQHDRLTYPTDRELTNRKQYLTDRISTLDWKQVEIVQDLIFILDSKETRYASMPIQKKTFKKPSYSNCNDEDVLYSDVKKNFARPSPTKLSTLPFEYTSPLYNSNFSSQNTNSKYVPTVGCYNSSIPKRASSQISDDSPLFSFDLPLKPSNELAEFNQKENILRKYDHEHSQKQFEQELKSLELKSRENKLEMDKNADEREKLEISEFNEKRNKQKEEHEKEIRKRKREMENFQRETKRLQDEYKSEWRANTSVFIDCVLMKQKFEEKEEEWAIWLKTLRKAVDNSRLRFAIFESNIEILDMGVEDYESLLINELNYLHSSTLSVYDVAYDHWATVKQLFNRFPDKVFLGILCNNLVDTCNRIYTVLIQIDNYKINKASLYHVQQAFISLNNMEIPNTTQLREQAQRENSYTLKTGKDPLVYERKSTVKIEEMS >CRE17831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:173285:175006:1 gene:WBGene00064670 transcript:CRE17831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17831 MCWFSLKTVPKWEKNLKKGFAELQEAIKTLKKEPNGQLRKCLESGNINKLRESLSEKLNDVDVIQLELMIRAVDLIRNSTTEFKNDVIQEPLHTRIIWEEPATQEFSNTTSPSCSNATTRNFQTNLSNIHADYDERMRNIRDGLEKIVPTKKWQSSDSPLSSPSTPNWNTQESNDDTEWERENHGYDPEDFAPELSQEQFNEKLGNSLLNGIKLEIQKANEQEENLRKNNLEFKQKLSEISEETRQKNLDGKRDRAEYEKETERLRQERISEWEATTAAFIQCVHLKHHFVKEEDSWSAWLEDMKDEISTAKTRFSQFETLISFKKYEMMIVEETEILMEQLPILHNLTYNAYDRVYEAWLDVRTLCEKFPTRIFLFILQKYLVTVCDKLCDVMRSIDEFHNTPVTLQHIRESFGQLHVFNIPSTWQLKNESLEAEWADYCNIGKPAVYRSDASVVQIEEL >CRE17924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:170901:172926:-1 gene:WBGene00064671 transcript:CRE17924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17924 MAGLVSNVAGRFLDLFSSETENLKTELNRKNENEHNQIVREKNKRLREFEISQRAIQQQRMQDLQGKRKQEIDLVQAKARRDIREITEKIESDEAKLKEENRIEINKIDSNNIEKLEKLEAVMTQKIKEIDDNYGRLKKDLKTREEELLNVRQEQRNQQIADIKEIEALYEKLSQSRVDVRDKQFLEILRATKENYEDEYKLEKCINDEDNKNFQLKVQQNNHLGARRIAQMEILLMEQVKKLESDQKDRLKIEIIRLYSLKHTMQEAINSIIMTGFPTKKNEKIKAQKHLESVLAQIYGLSAYMIVLERKVAEIGDLDEMKRQIVIVKQGITKSGMAIATLLTNLKKGEEGNWRTSELLFIKEMTIVFESINTIAMIDSGKRDMNKALQLNIQKVEIPIQEAIAQR >CRE17829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:164954:166151:1 gene:WBGene00064672 transcript:CRE17829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17829 MIKTATDLRREEKHLNLLQQKEKHAKKMLELQQKKGEMMKELREKEIQLLNQEMVFCQMKLNSVNEILLAMAEKERFDEFRSSCQKLIRQFEKFHKLFNDDVKTVFGNSEIKLKKPEKLMDAPEALQKFSDCLQSLTIINDNEVSSELMTDLEVMVEKSEDLRSTITDIIMEIEISLEKGELTKLDGIKEHYETAKVNVEELNALVPMFDIPATKRLDRVICHQLEILSSAMPQITTENAQKALKNKQITEVLGTEVEDRNSQLNDKQLQEQTEIVREEEQMNMLSDLMGTITNFSGLLERDQSDESVQNLVESIRDMFSDLSGLLGEGEEDDDEMKQIRYLLTAVMELTKGTE >CRE17828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:159231:164359:1 gene:WBGene00064673 transcript:CRE17828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17828 MGLWSSDEEDVEKDLRNSRIKSSNENNRRVTANVENHESDLKAQRHRYQQELHEKKLEEIEGINEATREQLKEVGMEAFQKKRALAEEADRFRCLKLAEQEEELEHIKMENALKKEEEKKRTKKVVKEQELTENEIDKVNKRHVDEKINETQKTENLRERLRDEQKELNSKISKEFHETETRSLETRLQMEKEVFDKEEENYQMVLKGKIEISKDIVERQRQLLNVKILNSSNDAIRNVNATVSILKTSANAMDEAFQEISTNLSKEMDSDERDAMKPRLKLISSQLTNTVKDSDDLERKTIKISVRNERVTMVDQIIAVKKTITDAKNCISDVSRGLNAKNREFDMKLQKKIQKKMNAVIEAEHQRAVLAQKQQNEREIAEAAERNLAEVERKIAEVDGFKQKQEMELLEWQATVQARGSEMKAQLTAEGDAVIAKQKIEHQEESRKLEEMKRSLDGQRTKLMEMLEEGNKRRTDMQKEHNEITAKQALDHQNYILNANADLNKFVLDKQEEKKALAASGRAQKQALLDEKLEVQQFKAIGGKILMDKISSANLQDDVQTKCQGIRIYYTAFKNAYSDQEMPLKKLIANMKRKNLITTFPQPKIVYSTFDALKKESDRFSVPTEFKNLEKTLEELITNIETINDLFVDVEGCIEDYQKTQKRGIQRLDVLQETPQNEEKLEKLHKEATETFEKMKQTTGVLNKTIKELDIPTSRAVDDEINQQMAKLYGDSQKQIAGGSSGTADDLVEVFPAPTQPEVVYGTRPNVSQSSRAAKNFRDQLRQRTAALRQTPQHSDIREKSESKKPKPNPLPEHDTEKDQYEIEENEREKEFERQLNLKMSENEEKESKLREEKARNDEHSNLKFQQELENQSRDHEENFKMAQEEINNFEKETRRLLEQRINKWKANQIALYNCILLQQQFKEQEKSWAEWLESLKTSISNVIMRFQLFEKVIESINKGGASYEKILMNELTSLHKSVLPAHEMVCEAWCSVSKLAEKVTDKIFLVILQKRFVDISNKLYNVLEVIDNGMLNSFQIRKEPIDQIYQYFRELSTHDIPTTVQLRDLSKNAKLEDYKVIEKPKVYKNSKIVIQEIFQ >CRE17922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:154127:158085:-1 gene:WBGene00064674 transcript:CRE17922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17922 MNWNPFAQSTPQLPLYLERLKNGFDELVTKLATGDYEAVQEEPLKNAIRAELEKMDYEQLKITYESVLVIKKATHGIQNRGIPAIPKMEQQRKDSWDSSFEYGKMTENPTPEMDEFIDFLLNSTFDSNGSAGKVVTKLISNNTSPVYNSHLTENIQSLLNGKSLGELKEVFQTAVAIRIITNNIPILRGDHQHMGPAHSSTESTLSEQQRRQKEMKIQVNNFIERLIENKKKIVDLIRHEEKSVKSSLASSLPLIKNHVKSPEEKVMEGIQAKLREMKPNELDVLYDAIRCKRPQSTNLPIGTQATPAVRQNLNKEKHEKVEEEMEYQLLIAAEKEQEKRFQKEVEEIKIKNDKKESLMKIEKAEMDEKADMKFKLEFEKQEREHKINFKKAKEDIENYERETQRLLQERIKVWKACNDAFLACIYIQQLWEEKEKQWADWLESLKTSISEAKTRFKLFERVLQNINRHGPKYEKIVNSELKSLHKSTLSAYYLVFDACKTVKKLVNRFDGVFLKILLKNLVTISNNLCTALENIDQCMSQIDLTDPIHHSFSGLSSSEVPSVFILREESKTYQPDGLPIEEPRVYSSSTTLNITEIH >CRE17827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:148850:152539:1 gene:WBGene00064675 transcript:CRE17827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17827 MNHQQIIDILEYRQAIMARREEARYLIPFLPGYVQDTQESYIRRAKRDHNEEIACPICREAVLRKHFLKEHLDKCAEEKRATEMQKDYAQNWFQSSDYFINHEASLLREKLEIAFMESLVDGKLTRVACALCDTLEQHVGGGCLDDYQKHAFKETTNQKKGVMMLKYRQVLQWDVEIGLEEISKKYEVEVDDLIEEPTGDWVRTNVNLNSFLNIFLQFVDQQNERRLGDILLASYDQKIIEQRRFMQYKMDNFRAWLDHKWQHAENVFNHHMIKMGEYLQTVRGKPNIVEILESRKRGMLDDEMEKEYEMWAKEEAEKAANAANNS >CRE17826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:145391:146564:1 gene:WBGene00064676 transcript:CRE17826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17826 MAHNHRHYGAYDVYQGRQQGRNSLHEPYVKEAIRELKYVVECRICTEMIENKHFLKHVKDCAQKFNASFYKEEMIDSLFRASRFSTNQSLALLREKMEIAYFEATLDKGKMTMLHCTLCPTLQYHLDGGCIEDNQREAFKEAGGQIVQKQLSHFWEACHHEMQVGLQEIEDSFEDTTKDLFDEPSLSPLMDLQRIEILAEIDRNNAKEIQKQKDKYTAKKTEETRRQCNQFRDLAYKMYLHYWEEMVEYIKTNKNEKNLAKILEIRKRNSLQNSINREEKPKKVRKNKKAVIDSMGLDSMSLLRVSNFTL >CRE17921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:139114:143719:-1 gene:WBGene00064677 transcript:CRE17921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17921 MPLAVVEPNKMSRHRQFKLPRAPENQNGAIQSQLHESPLPKTSTTSRTREEENQSFVKLGEPVQSVVEQDTNWPGQYIDPQTHQPIACSTIIPPDLLYPIQQDQMGVDQQAPLSNYMSDRTVSTESEQYTNPTSVWEHDNSKHIVKGTQENLKLVIRRNDGGELLTTDKLHDVHYELDKIPKQRQFKLPPAPNYQNKSSLLSNHQMISDNGKVTSEADIGCQSHFPQNQDKNVAHQQSADFHHSSQRKQNISSDFICRNENSELTLMANSEFPDLTSYGTSSGNTNSFQPQNAVEHNNVSKHRQFKLPPAGNYSNKTQSNGPSGIESVLPGNNNGQSFSDAPVSSQQCHYPSVDDYLEVQETFASSNWNPPETAHFDSLCEDNVSRPILPESVTEFNASPYYQQYKNLLICSHQDNFIEEDVNQNVQADFVEIQKRVTEDGQTFSESTHAIESVSDFIWENDGSGTTLTTVPENLELQNPTDDGKNEGSLFIDERQDVSEFNKVPEKVRQFSLPSSGNFLNSEITEHIHSSSVFTNSENVGSRSISREDETESNKNKEHRQFSLPRAPNYVNSVDKYRTVLTAKQHAFPESSYVFTNHQSTFSGTDVDPTQPILHENQYQMIVDEELGYHHHQQDETVQATECDFIWNNDVLETTDRGEVTDYSSEYKQFDPPPVENYVDYGIQEHQNITPHSSSALSITVSTFDNKDTNSEVSSEAQNIDPDELQSFANTEVQCQSSFIPFDNQNQLDGDMRIQSGQCIAQNCSGLDYQHHISSQENFHRDTLVLEQTSENSVVYPETHDQIQDSPGLIFKEHHHKTTEQMPGVSSNSLWESTSLESLNGENLTQSNETLELQILRAKNFEKNADDLPNTASTIDNLLSAVDNEQLSSDEPPSHCETGGSQDRLQKNQASPQESSDLLGDQDLENSDEISKLEKAMFSKLWARYSRRKRVLIPCSLTEKVPLPTVNAVPDSFELHDPLEDSFDVVLSRTCSCEDGLRTYHVNDLCASVDYQRFLFLGHHRRSQSAYQRRRKTEQLQFSFNIEKVYNSIINSTTFFSNFRQKSKSCGETIRKKLKEKGIYADLNSKQNVSPNKILVMSEAEGVHLVMDLDDIVRGSYPADDIANEIVQEAMMDESVNLDSFMDVEAFSECMRSLRDTVESVVPPITGILPKASNNKDLNLVMEEFSEATHGIGIVTQPTWIYALTEIGKGVTTLIREELERSNEDNEREGENCQTAE >CRE17824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:134409:136001:1 gene:WBGene00064678 transcript:CRE17824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17824 MKPLPYLTWPSILEHMDPLVRLQLVRSSQDMKTAEKRLPIRIDYLHLDRNCININGIKYEFLVTNYTLSIHSANGDLLKRERVRGGMSRREAIQYLYRKLFGRNPVAVNVKNLVIDVDTSRRDIYWPIDLKLNVYNLKVTKMSGRDIVNPKKNRGLSVGMEDHDMPSAGYGAWNLTNFFEYLRGFVTSTSLNTLEIAEAIKLVKCPEIKTARKLIINGEPARLLRLKNVRVHVKGGFYSWQQAKELIEKWRSSSYEGGRHYSVAIRKQKDVIGLMEEIERLPTARETKIEDKRHTSSKCITLPMGGFKKLNVYYLIIENEKRAADTSYEIHFEIDDGDYATPVYNGVFGPFN >CRE17920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:132264:133813:-1 gene:WBGene00064679 transcript:CRE17920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17920 MELNIIAKEERSQNSSAPNYPNGAAPAQQHSVLNNLVSSQNSGSSEGNAVSPHSQVELPEGFTSKRDICIDGIPAGFEKEDPQLDHFSLVAGRTSTSHTPVFYNVTTSEVDRRINGIEKLNSSSLACTLKKSKVRNGGEILRKKLEGRGVDLVLNTRQNASPNSIISLTEAEAVHFGKDLDEAIDNGYPQAELAEEFAREALSQENVKLESLLNTEAFMECMSSLNNIISSVVPPCTGLRPKASNNLSLNLGMEEFSQATHGMGIMTNHLWLRELTTLGTDMTKMMKERMEMKKKDDTK >CRE17919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:130222:131374:-1 gene:WBGene00064680 transcript:CRE17919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17919 MPPGMSSLTLRCILQYIEANKRIHVSFRCPTIKQVEKSIPLCLDNLVFWGNTLTINSVKYSIMTYMKPVEKDQNSKLDEIVGLQKYIAIDFEQNNSGKHSRKNRIREDLEAFEATTRMIRVLLGGRNNINVDSLGFPFNGIDVYHLPMELKFKANKIDFGSTHLNNYLPFIAVTSFPLKELMLQYESSEYFQNPAVQTAQKLLITDFDFLFFTWVDELKNLPNKNVVLNLSVSPSITDISGIIQTWKSSGKDIKMCYTVKNYEDREWTVEVVDTIREEFNGNFVELSMPDVRYNECISLPMSKTSEIVIFGFTIFDPDYTYPIRAINMQVIPVGLTAPID >CRE17918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:128373:129460:-1 gene:WBGene00064681 transcript:CRE17918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17918 MSAGLSYLCQRLVLEYIKPNKRIQISSQCLIISQLEKLLPFHLERLEFDNNLIKIDNIKYEIEEHEEELEEILTPGDIRIGPNPRRYISKYTEITYTNLNSGKSYAKRVPLVFHEVMKRLGCALFEGRKDIRVNILRIDIYDDKVLRLPVGFLVRPGDLITGFNSPQDFLAIIHSASFPLRRLKIWLNTPENLELPMLKTAEHVIINGIENENFPSLPFLHNRFVTFDLIWSPDEQVFGLIREWLNCKARSTVCLLKRFDRDETENLLNAVKIEFGGEISEREDTDESMLTDSICISLPMTPTTVLILYGIEKEEDFYVRMEVLAK >CRE17822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:122672:124633:1 gene:WBGene00064682 transcript:CRE17822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17822 MSSENQENQPNQVTSPKASSEKTSRHKPYRCRLCPQRFGFRSLREEHEKMHKDPELKCVLCSKLFRLKGNLKKHFQLHFLREDEFQKAWESYIDDENNANAKNNGPTSTQVDTKQVLKDLKKNRAADRRRSSIEPKPKKAKPNTAKESNSKSTRCCKLKDLRYGTSNRFCMAEECRIPPGAKYMCPKKEMDDEVQNYCLKCFEDADLDVNDWEEKENTNPALEEIDECGICKKLFHRVCELNIRSKSSFICKNCSPRRVFNMMRRVGTVNEDECAKFMARKLNEFILENNRQKKHKIPVTVVSFTKMKEVATSEMCPELDASDFIQKYSETVKFVYRAIYAYHMIDGIDVPFFSMFVTEYPSHAGQSWCTINYLDTVPYFESKGIKRGAMHGEIVLTYIDYMKSIGYENAHIWSNPPNQGDDFIFNIHPDYQTFLGQNGLNDWYIRILQKGKEDGIIQSYKTFEEKMKENVFKSMVDIPIFPDSLWSNVMKETNMETSNKNTFKKKMQANYKKHAVDNFWLKLNEPSGSEPAIPTLFPHPIMGDQETFMDKCAEMNLEFSTLRRAKFSSVSLIKLMYDADYF >CRE17821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:115289:120985:1 gene:WBGene00064683 transcript:CRE17821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17821 MNSIYNVFNEFFGKSKMTLNSINKIWCKLVIQRYLGAWLENTVSSDQLSIQLSTGCLELKNLDINANAVNTALAERCFPFILVDGYIGKLKVDIPWNAIMTESSKMCIDDLQLTFKIDETIKLGDMSTSEFVIISILFMSNLFSAKSMIEGVFMSFSTEEMVRSVFSEVSSENSFAKFDVNQQTTQYFSAILTSVLSRFCLTVNSIILRFESQQNTESDLATAVEVNVKSATFVDEQSQSDKMDDNEKSNCLNKKINLYGVSIHTDVFSEIYRSEKCSDDDMDTFYSCPEEMAPLNDQFQTGKREPAGIKLPSNPIICAEIIGNISCGIRLNDCVNERKNLKMQIDIAMDGVNAFATPSQIKIIERFEKIGVELSYVVIFRLFIFSTNSQYKMKYENKSEVEIKSDVTVNTNIGTLSIYIPHCDYLSSDYVLKHGGYAKVLDILRNESTLFFKPVKKVAKQSIANISKTTESCYPKDHLRITGCSLKATARQWKIGTIGQFSCNVLMSDLNLLEFLTPESAPENNGPLCINLFNFRSQNSNPNFEMSLRTANGKTNIDVLLAAVQTEIDFSIINRIPNLVKYGLFFNQGLGERNNVPVMTEKFDGNPPDTIINIKCPNWQVELRVPKTDFHYPLKSFSQRQVHNELVSFEIKNLDLSIPIVEGASIFQLTCSEIYGDLSGEGLNIPKEQKTFFYASENYSEKIKVELSFNMDSKRKKSKAVKLKVNQAGAREEMSSFQNGYDSTNVQLRVPVLRFHVPEKSSLQIFYNTLANGLSYFRSTTSTFEEDSANIAELFEDVSHIFVLTLTADKCTVLCNTQDGKKPTQVSLDFKKLNIGVTTGRKGDVNQTHFNVTSSKMEIGSGDVSNEKRIPNDISINSFGKWNKHSSQLESVLPSDELSCGSKEDALAVTIRKNFNPDANTIDVLLEITIRNSQVHAKPFNNLESFWITHLSELFTLQEYIIPGYVKPAISMNLDVSLQNTFIFYDHSWVQPNSKLKFRGALGECTLVTSIVQDSHVFKTLLTSEGCRLYISRDSLQETSAQTFIPFLKFGSLRFDLSLDFTKEYDVKMMPIIEASCQNTIVMASVCADSLATLIQTVFDCSEEKQEKLGNTMRESVWNGMSKLTESVEKLKSSAGLPDDVQERVEAMIKDAMDEQCLDVISEDHEMDESQKITRKSGKVKEETSAKNFTVADNGLGTESSVRRLLKISRHEKPEVTKHNFFYPINAEPSQNILGNSTPTLKLFVKDVTLRLSLYAGNDLSEVSSPPKHYCTRDFKHGNSTGGPNRDQSAFVVFEFDKITLIKHFFDKNAAFFSTTLFEIGEFVIRDCVKASEIQEMLYQYSTTNKPRRESAPFFSVKMTESHSREGNMRVSMLPLKLNLDQNTVEFFVDFFDETLGMIDLPNTYVNIPVQLPIIKSPVSNDEILKNSTMMGTIHPSQSVIISETEEHKNFLTSVEDEPQPIIENKYQEFQEIFNSEDTFFKQFVFSPSVKIYIDYHGKRKLTMENQDPIVRFLAAFGQLNKMPITLHEINTRSGLLGTRSCFKYAVEKWSTANFANMPSVLASLGPIRPFVQVGKGVVDLFAMPVDEYRKKDGQMLKGVQKGVRSFGVSSANGIVGMAQTVTEFVQGATEWTINEINPRDQQMAYETGSNRYHQTKEDFNLTKENRPSNNSFIRSAVQYTVPIFLSPLLSTTQITSQLLGGLRNQLNPDTYQDELRKWGEKKDN >CRE17917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:112391:114293:-1 gene:WBGene00064684 transcript:CRE17917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17917 MIYETITGDGPLAADDFALIKLRDTVENICEHFGVNSVTSNATDRLTTILRNKLTSYSNSTRLFMEISGRTQATLSDVKASLMHHKIDLKGFEGYLKYAHTERSETLPIFPVADPDKMNSENAYFKTIYGPKPSDEELKTRPEHIPQYYRAIHPEWIKDEFNTASKPVPRRKIKPKESNAVNLNIPNFAELSFSGFFEELDENRKFEDERRKAKVSPRSSENETILKPFFQKDTFIVKTAKSVKTAKKNTGEKPEDKSQKLHSLREQQINLPSATSLQREVPFLLSDAPIDAAIVAEFDVEQKENGNQKRDEDIAQYKEYKRLKKERKRMRREERNKENSVRTVVNDKLEEQKSEELEEITNVTEIDESDKGQSRKSKLDESTEEYKEYKRLKKERKRREREEKFIETPEKAPPIPKLTLKIKFGNELFEKPIEHVPDTSFPPSITPLKIRIKNLLPAKKIDENNEKRPDKENCDESYLNQKQLHEDSDTGGKVWYCPVCSVVYANDKYMVGCDKCEHWFHWDCVGLTAEPSDSKWYCPRCINKKKTKKNKKRKASGVGGFNEVVKKQKI >CRE17820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:110109:112069:1 gene:WBGene00064685 transcript:CRE17820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17820 MSSNRPLSYLIWESVLQNIDANKRFFLFQQCPALRQTENSLPLKVDTLLLDGSVFSVNDAVYKIGIVRKYHNGSETPHGVKKLNEEGGSAVECDVYGFSEHLRNDGIFLGFVKEKAEELREVRKGKAILLGLPDTPEKSRKLEMLTKFINETEEVIYRASLRQNKLPPPFNHYLQVKISTKYGSQEGERVEYIRTISDASDSLFKAVFQKRSNIWIKKLEIESSELLERNLAALNSNLKVRIRNMTIKWGVFMENIQNVLDYENHPLETIEISRDIFVRQENILYHPIVNSAQKLIITVSEWDLAELINKRVHVRKTSDLKNKIIALVNNWLENGRPIGSCFSFEIHNKLDAKNTIKEIEEAQRNKIQLYVNETPKQFRNCLVLWMTIDSNLYIYLEKREKSKMNWDLKLNVLPTENQIPPNA >CRE17916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:108496:109511:-1 gene:WBGene00064686 transcript:CRE17916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17916 MHWFGSLFQVFIDTIYSRKEEEKYETVRKLGSGSFGEVFLMVSSEDPNIKVAEKRIVLGNRSIHFVNKEFSIHKQLSEIGHDNVIKMFEMRQCPSFYYFVLEYAENGDVFDRIIPGSGMKFSVAQKYFKQLIAGLKFINANHLIHRDIKPENLLITKNDVIKICDFGSATMFRRNGKELMLKSFGGTEEFIAPEVWGGILHRGPPLDVWSAGMTLINMLTGLMPWKRTDEGSIDYRRWIRRESLREKPWNKVDKLTQDFLQRILTSDVENRLTIAEIESHCWMNQRII >CRE17819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:106704:108050:1 gene:WBGene00064687 transcript:CRE17819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17819 MNDQNLILTSAVPSPPAVTPTSLTQPFKDSGWLMIYACREFLQHQCKRSVCKFAHPSPGTEILQRRVTVCFDNIKEFQSQNQLIGGFQGVACSPLLQPLNSDPATTAAAISQPQLQYTALLGNPLGYFPTQLMVYPLAYGLPAVEMSSPQYQCSPSAFPPPPPPPTQSPRENSHKKQALKEKDNSMSNDWTKHQHWRSPKKERVSSSVRKEATYDGTSWQAPPVHYGTVSSVSGYASLHGNALIPNNLPCSNPTSFYPYY >CRE17915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:103378:104853:-1 gene:WBGene00064688 transcript:CRE17915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17915 MLSRHLAKQISQVRALTKLQYFVVTFSASFPLLIFIFQTRKFSTDPNYVIDKMVSSTFTHVAKCQPDSFSAEEKNTKVLEPRTIEHSYRKFVIPLSTDTDKQKEYLSASGSVRLGKILEDLDHMAVHVAYVHNSDNRTLDEPMTLPRTIVTASVKRIDLNKVDIHPSRDIIIDGQVTYAGTSSMQVCLRLFQNDENENLNHLLKAEFIMVSRDPLDGTKSVRVHGLTARTPDEAETINRTKEHFRKMGNSANRQPTNEEFNLIHNMYNELIGRSMVHDVAVLSKSEMWMHKTNLSVTEICFPEYQNMYGKIFGGFLMRKALELAHTNAKLYCKGRVAIRSMDQIEFKKPVEIGHVLHFDSFVTYTDGRYIQVKVGASISDQHQLPELAKLNNPTLGEEARVNTNVFNFTMESVENPSVLKVVPKHYVHTTSYLEGRRLLNNTLKRIVN >CRE17914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:101491:102603:-1 gene:WBGene00064689 transcript:CRE17914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17914 MSSDSIIKLNIGGTIFQTTKATLSKFNGMFKVMMETDIPVAKDESGAIFIDRSPKHFDSILNYLRDGNIVLPESRKKEVKEIEKEAHFYLLDGLVELCNQKISEKSENSHKKLLKTIDCDSDLLQIIVDPIKPVLVFHCPVNNVGGIQFPLEFDVVNFIKQYEHKMDVYFKPYETGRSARCLEWQWTFYRKNYAEGNLQRDPRQTFGQQLEASLKFFFTNFPDFQI >CRE17913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:99609:100701:-1 gene:WBGene00064690 transcript:CRE17913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17913 MSVKPATHNFLPSAKPLTHICQGVILQYMSLESRFDLGRSCPSILRIESSIPLTVDTLEFRSDRIKINRILYHFEIIVSEESCFTQITVTDLSDMSNFITTFEGEDKIYSSSKHLIKKMFGRNVHPIRIKKFMIRVLDDSLLENLKFQVRKLRAYGNDVLRSFSKFSASNYPLEILELGKQLDSSDSCFQLDVVKTAKLIRWDVLNYELFATKMERVKSLSNERIMIATIGTFSDLNSLCELAQHWASSDRTDGNSIAIIISDATSYQMWYLLQNRLGAFITTTLNEDGDETVNLALQMENNRLLHIYSIPLRIRKEECFFCMEVKDRIDTP >CRE17912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:92080:96919:-1 gene:WBGene00064691 transcript:CRE17912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17912 MSTISKKFVLKHTFKNVSSIIEKKPVFSGEEEHFNVPWRIYIARNDGNLSFYLNCPKSFKIGNWSVKTQIQLRIVSGYNRFLSAENTFGNTNPQNLFTSYGFASFMNWETMMTECVINDTLEVEAHVLIEKMTGFNEEKLIYFNDEDLSDVMMLIGENKFYLSKQFLASQSSYFKSLFMEKNKKTSKLALPFIDSDDFQKLLELLHGHPVINENNVEGIVLLAKLIDSPLAKRQCEEFLVEKSKKSLQKRMQMAERYKLKKLASFCAESLNVPVESVPVMQPKLDNVENVIPNHAFYAQTANSPPPLITPKTIDEVMEYKSNSALIDTSSTVLDESSNKGLKCLMDSKKKAVDVKSSPEYSELSSDTKGRLFERLVLLL >CRE17910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:82163:83959:-1 gene:WBGene00064692 transcript:CRE17910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17910 MKLDNDDNEYVNSSRYALSARAPSLRSIHHVIPLNIRYLKLDNTSFTINNLTHSFHTFMEPADGAELPIDMRLVNLEGGRPYDIDRYGNRDLVQNMAADDVRIERENGDGHDAIQNHFELLAQLVNHDQQVDLQYPILNDVLLGGHRNRAEPIRVIDQAAAPEEIPFPELGPVNRSPSPPRRPNRWQRPQPPARFRERPRGVGRPAPQNFQRAQVGHLRALEAIRQAQYNHLLVRQDVQEARRAQQQVQRVEEVDGLQRLPEENQFNQLADQQAQLEFYRTLEQQQHQEYENIRENLRRDQLGLPLAPEAPLPEVRSFVQYSLVSIGKLSRFVAPFIRHYVRFPEGISIRDALRQHLGNMLLGKRITLIGCLEIDIASGVLRIPEGLKFRIQQISLRQNTNKVMDAIRPVIHESSLPLKGITVKIRNFRDAFFQNKVLRDTESLLIKMSPGVRRNWERTIVSVPTNRIHMTRCSLQLAELVFVVRDWLDKLKDIGTRISIQTRNVEMLLEGIRNQLQGICGVLDSRRCIKFPKCVTIPIGAYRELNIYGYKSPSHREELNKILGEPWMIVMEMMERGSAVPV >CRE17816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:74982:77884:1 gene:WBGene00064693 transcript:CRE17816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17816 description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:E3MDH4] MSRRVEFDLSNEDNTERRRANTFSSEEDGVPNEVADYLVYFSRMIDEQNVPEILTLYDQAFPDLTERFFRDRMWPDENVVERIIGPGNKLFIILYKELYYRQLYARNARGPLLVHRYESFMNYQELFSELLSSKDPIPLSLPNVWLWDIIDEFVYQFQAFCLYKANPGKRNADEVEDLINIEENQNAWNIYPVLNILYSLLSKSQIIEQLKALKEKRNPDSVADEFGQSDLYFKLGYFALIGLLRTHVLLGDYHQALKTVQYVDIDPKGIYNTVPTCLVTLHYFVGFSHLMMRNYGEATKMFVNCLLYIQRTKTVQSQQPSKKNFQYDVIGKTWDQLFYLLAICLAVQPQRIDESISSQLAERCGERMMHMANGNIDEFRNAFSTGCPKFLSPTTVVYEGVNQSKEPLLRQTQSFLEGIESQMALPVLRGYLKLYTTLPTKKLASFMDVDDEHYESFLGKLLTYKVRRSYLEFHTCKPRRNGPAHISILRNLYELQNRLFLEFLEGRTKSSTIHRYLLRLSQMIVNELGKEPGPSTVDEDEPQTDIDFYVDRDMINIADTKVARHVGEHFLRHIQKLHEVQDVLKRLDTAGQKP >CRE17909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:70547:72989:-1 gene:WBGene00064695 transcript:CRE17909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17909 MEVPQSERVDEVDLFLSQQDGQIQRPKGPSCRHPARQKCTNCLPLDPFDEEYLKDKDIKHMSFHAHVRKLLGSHGKGTTLKKPLENLRCSLKPNCDAHKPFPKGICTKCKPQVVTLNRQKFRHVDNIQIENQELVNQFLDYWRLSGHQRVGYLIGQYQTHLEVPLGIKATVAAIYEPPQHCREDGIEFLEDKNAKIVDKLLEMLGLQRVGWIFTDCWTAARAEGTVHYTRHKDSFFLSAEECITAGMLQNAHPNITDYSMDRHYGSKFVTVVASGDESMHVNFHGYQVSNQCAAMVEADILCPTLYTPELAYIRETPLSETHYITDVQYSEKNEYGAEVLKNGRPLPVEYLLVDVPAGMPKEPHYTFHAPGANTTRKFHVENRQAIGQIQGGANLTQYSGEFSTNQFLELATNFHFLLYLMTNEMQQISDEWVKRLCEAVKSQDRGAAMDWAQECEDWHQLMAMAHANDGGGHEDIPVIPGAEPYEGSGGGGSGGATWNCSHCTFQNEASSRDCSMCGLPASD >CRE17908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:60972:64882:-1 gene:WBGene00064696 transcript:CRE17908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-3 description:CRE-PTR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MDH2] MKNKIEKTSDNTWFVRIIHWFFQKCSAVICYCPWTCIILTTVLTLGLSLKIPFTRMENDISDFTPFGARSRAELQKYRQFFNNHGEPKAIYAFITSKVGDNMLGISQLNDTVHVLDKISRDFYLKTFDGPKNFEEYCSGFCLLNEPVRHFYSGMLISGQHGTDFSHLDLGYPVTTVLGTKLYMDPNFFGVKVATENNKIESVADGNIRLFGDNTLIFQKQEPNNIREVSLVVLQLRSELGDEVKAEDLRNYEHQILDYIHDEYKSDHINVYILTDSYITEEIVRAGLTLLPFLVIGFTIMAVFSSITFVVSAYYLQQLNAYKVVLAVMACVCPFMACGASLGAMFYAGFRFGSILCVTPFLVLAIGVDDSYLMVNAWQRITCHRRKNGRFESVNAELKHRITEMFIETGPSITITTITNVLAFAVGATTPAAEIQLFSIGNALAVTADFVFTYLNFQITFYGALMAVVGKYEIAQELKNMKTLPGAETPSETSSTGSVTSSEREDCKFRRIIAKICNFLTNTWVCSVVLGLLAVYWYICIVGTVNIKSELSPNKLFLAESNIVEIFKQRKTHIIPYYSACWILVENPGDINDPSQRGKLEDLIQSFESLPSANGRYSTKFWLRDYEDFLKQSEDIDLPIEEEDEELAIEFSVNGSQVVTPSQPFGQGNELRQFLEWPEFSFWKGFIQVSSTDIKIIKINESTYQMSKFLVTTAYHGAELVDWSNRAKLLNEWRAVADQEKYRSLNVTVYEEDAKFLDLIETMSPVAIQSALWTFASMFVVAALFISHPPTLFVATFSILSTSLGVFGIMSWWGADLDPIMMSATVMSIGFSVDIPSHVSYHFYQTAKETTDIRRRLQMTIEAVGFPIFEASLSTSLCVMSLFFVDLNMAQIFAKCMLLVVVIGMIHGMLVMPVIFALLDTVPRKLTAKSRKQHLASTVTVVTIVT >CRE17815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:60064:60821:1 gene:WBGene00064697 transcript:CRE17815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17815 description:Phospholipase A(2) [Source:UniProtKB/TrEMBL;Acc:E3MDH1] MYLVFIVLIGFFLTTAVFPTVIEIEFMTKCVSQHDAWIYNGYGCYCGIGGSGEWINGIDECCAHHDACYDSLYKKSTCWHAPFEYFPIYTWRCLNKTVECTGLDTFGYQIFPHECSSQLCECDRELVECWAKYPMPLEQLHCPHPRLFYFQRNKTLYG >CRE17814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:57419:59822:1 gene:WBGene00064698 transcript:CRE17814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17814 MIIEANRLQQKIKRLKEELTRERELRKEAERQLKIFKDSASCIVPRNQILEFTEPINLGAEIKAVVSEENDLRLKMTDQILHKKNPQNKEINEMITFLIFFSKTFTEENAPEIRKCYKEYLKLSASNEISLDFEAVKEVIGSKDFLCLYKELINRNAPPDPVESWKIYQEVFIRLRSLPDIWVWNILHEFSYNYQNFSSLPAQHNWKKEFVIKMFEPFYSDLSKFGYFAYIGLLKMLIFEEDHQEISRLLTEGFQRFQIKELDPEVFIKSTYELGFAFLVAGKCTEASNCFLDVLSCLKQFTKEDIEDEKILATYTQHCQKCLSFCLVNNSKLKKTFPKTTVSSIESDHFTVMDEWKDGKIEAFWSFFSECSPYSTTRSSTNLIEPSCKAHNLKCMKFCLQRVTIWKPLRNLKGLLKVCEVLELGEVPKSILPVVSGHSEINFQNFNFFQPTESDIKFFCDNNNGLLRLVNSKSSGIEKVLQEFKNIHQKETLTFLIMQYHKILRKQRHEERILAFGRKFVNVLKVIGYSGRINVEDAMSYIREVSKISMEAANKLVEDQ >CRE17907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:55227:55883:-1 gene:WBGene00064699 transcript:CRE17907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17907 MVRKSHCGYGFFLIIGFLLTLVAIFTPGWRSYKDKETYDDIFLWDHVILGNGAPDLGLISRYCGQGVREVNQYDCKSYGRFQLPFEKTTLAFMIIAIIFEVVSIGCFIGLFSPRARLGMPAFSVTGLAFFSLFVAIVVYGVRMQYKILYLQSTSYELLANVYLGYSYWIAVIAAICMLIASSLSGTLIGPAENTDHLH >CRE17813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:51825:54925:1 gene:WBGene00064700 transcript:CRE17813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17813 MNADQLSDFFLEVAASGAAIPNKLADKREENHLKKKFLNKVAPNSLFAANYIASNYTDVDLIQSIIGQILAIYYKEGVLRLYALQFVPGFVSLYLLALSKKQHKSISLFETFLIAIYNEEIVENADNSEKKSTLQMEDIRIPSIRHHSVYHDPAKIQVQTDVPIARNANITPVLNTLRFGPFPSVSKMNGENKFMVINRILFSVNESLFEVASEVAARYVCLGTLSVCRSGFTFPETAFRSRVLETESHEVIEDFSRKPRQQVTGEFLNHLLRGCYLALFNGAADLALRAVDAIHLRAQYEMLPDTLLVVNSLRNSLLDNMWSKEKRGELMWTRPQHKENVKHRGMVTNASLKMKRMPEDIPVQENQKESSTSRFNNMIDEGIDHLHDLKKKVVNIKGGLHHKMHRRRKSVEPEETELQTIKEEKPVESSVSVESDASSPSTVIHKPIVLNGEDVERRLRDMAVVELDYEKELEKKSKANGDHSPATTSPEPKGAFTMAGLRHMDSGGSTYRSIDN >CRE17812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:44989:45895:1 gene:WBGene00064701 transcript:CRE17812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-far-7 description:CRE-FAR-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MDG6] MSVASLPECVKNMFPTEQLEFSSSITAEEKPVLHEVFQKHACFSQCGEMIQEVSKKHPDLGNRLANVLEGNKRRLEGLSPSAIEYAKKLIHMVTHTLCSLTTGKPIDDAEAKRLHQEFQTLSAEDQTALKRNNPDIKF >CRE17811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:43736:44436:1 gene:WBGene00064702 transcript:CRE17811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17811 MFYFLLIQWSRVDIPVLTHFNGKFQNLVVNGEKIITVFCLVVIGIGLLVILVLLFVIFKVEMKTDSHARRFFHIWPLVHIALSTTYQLVTQIVLSHWKDYQIPSLYSAWATTVSVGIHLALHSLSIFWKIS >CRE17905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:41679:43008:-1 gene:WBGene00064703 transcript:CRE17905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-69 description:CRE-COL-69 protein [Source:UniProtKB/TrEMBL;Acc:E3MDG4] MSWNFKFQLSTLVRVAFVSSSLLISLCLFLIMMTSREISDFREQTLDDLKEWKYFSDAAWKEMTSLTIRKTRSVSGNAGNAGNRFWTKRSYDNYAPAQQQVNSYARVNSYATAPVLQHPTQQFDQCNCAQRANNCPPGPQGSIGFPGTPGEPGSRGLDGRPGANGVALTAYDQEIPGCIVCPQGPQGPPGPTGQAGPQGVPGLPGNPGYNPPPGQPGPPGQCGDKGRDGPSGTPGIKGAPGENSICPISLPGRKGPPGAPGQCGPPGPPGYTPPPGPPGVQGVQGADGLNGEPGEPGVTGYPGVRGPPGQDAEYCPCPPKNAGVNRHSYAEPPVTVSTSYAISPQQSRYVTEYNKEDEYRKRVLARVLKKQRRLLLATRAKNVRRKPVNLNVTSTV >CRE17810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:40590:41565:1 gene:WBGene00064704 transcript:CRE17810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17810 MISSSHFTSLNFKLTLFFRMSTALVSRPPSQTASFHAPHQNRCSFSSSSSESIPPLTKGKPSSEPIFSKNHDFQFEELEQRIEKALKERDNEWRKHEKAQIQFLQNETSNMLKSLHNEINRLGNELREVKRRSAAGNDDKSEEKIAELEKIIQEKEGYTKNLEKKVTSTIQKLQEQITIQSDRIRQLNEELNDRNQTVTHLSQQLRAIKLREAMATSTHRRRASSQLNSTSPPVTSPSSPHRIFPPVSSGLLSASVAVTYPGGSRLNIQKRSNSAMRAPTMPN >CRE17904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:36531:37977:-1 gene:WBGene00064705 transcript:CRE17904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sgcb-1 description:CRE-SGCB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MDG2] MDSDDNDQVSDENLQSSLEDEVTGLRAKRLIATLICLFVLFLVTLITLALNIWIITTLRMTSNGIPFLRFYHSFNEKTKEMEKTIEMSGNRIEFEKVVSNKIVGFPEKDITITAPRMLMTSRQNDSLLVMSEKLCKLERVNSFQVSPLKHDPLNMLSFFQVISPKDGRTLFSARHPTVTIDKRIKKLAAERIITNKIRSAVDETLKINGENVVLRGNEQVRIDARNVNFEGMKRMVFNISRDGILHMRGRIRLGDGASSLPMSTSPSLSASLDGMRLCACAQFNHKLFIVPANKHCFTSNTFCS >CRE17903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:34647:35647:-1 gene:WBGene00064706 transcript:CRE17903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17903 MTQTDYIESHEQIKDTQIGYCRYGHGPNYILAICGAVGCYKKDWPLKVLSHFPPDLVTIIGIDPPGYGTSRPPERKQEVQRCMKDSEYCLGLMETLKLEPFTVMGWSEGARTTVHVAAKGKEKVNRMIVLAGATKVNHLGAMAFKGMRETNHWLPAGRQPYLDHYPAEFLRTQWAALCDVVDQVHTFMGGRFPCDLVLPQVKCPTLIMNGGMDRFCGDPNVCFIPVLKSLAKVEIHAQGNHDFYQKYPKWFSAKVLEFLKTSEQK >CRE17902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:25375:26565:-1 gene:WBGene00064707 transcript:CRE17902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpn-2 description:Transgelin [Source:UniProtKB/TrEMBL;Acc:E3MDF9] MANHGPSYGLSRELQKKNDARFVLEEAIEVLTWIENITGERFSFDVTTCESSTDVSNLLKDGVMLCKLIEKLDPQCRVVYNKKPKMAFPMMENISNFLAAAKRFGVMEISCFQTVDLYENKQCYKVIECLRLLAAVAQMRSSHLEHPAWVVKLAQSSPRQFPEAVMRRGEMVIPLQYGTNKCASQKGMSPYGLPRQIKPDPHG >CRE17809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:31321:34563:1 gene:WBGene00064708 transcript:CRE17809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cbn-1 description:CRE-CBN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MDG0] MRITILPLSMLVLSFVNSLPVPVVDDSSMIVSEAPSEADTAENTEDNTVPPPREISPAVDATVDLNKDGVLDIDEVRYAAFVHHGLSASVVEDMFKQVDTNHDNLLDAREFDAIRMLVLEKAENAALRYLQNIDTDRDGLLSLQEAQVYLLKEYGIGYHDVTRLWKLVPAELGDRMNSTYFSKLRRRVRGMTIRLARQMMKNGDLNGDGHISVDEAQAIAFEQEGIGAGDVASMVGSVDENMDGELNAPEFADFERIVRARAIENSKKAMRVVDADNSGTLTLDEAKRVAFEHYGFDEETLAPFFGQADENEDGQLDNVEFAGFRSVIRARSVRDAMEKMKRIDTNDDGLISTAEAIDSTKKEDDMDSEETIALFNIADQVRGKEFQLTFIEIFQNKSGKLDKVELADFNRLVRLSSIKFATDHFKEFDLDGNDAVTFDEIALLIEQKYGIPRNMIKAFFEQIDVDNSGDLMPAEIVDFRHLIRNHVSQQRQEKTTPTTTPVPTTTHDTTLITTTSSPPSHSTSSTASSTITASSTTVTKHPKRKMNPVEVAELVDGIANDVIAQDILGQELTTTPSMTTTTAPITVKVTTIISSSQITKKIKTTYAPTTTTISPTSEIEVVTPSEPASTSPPSPKSTKMTTRRPTPSTSSTKSEVVVEEIIYEDENGNPMNPKKRKVGGKADDVSYEEIIEYVDEPATN >CRE17808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:23666:24717:1 gene:WBGene00064709 transcript:CRE17808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-djr-1.1 description:CRE-DJR-1.1 protein [Source:UniProtKB/TrEMBL;Acc:E3MDF8] MSKRALIVLASKGAEEMEIIITGDVLERGGIHVDYAGLDGVQPVDCARNARIVPSIAFDSAQHDAYDIVILPGGQPGSTTLAETPKVGALLKTQAGAGKWIGAICAAPIALLSHGIKAEMLTSHPSVKDQLVKGGYNYSEERVVVSGKVITSRGPGTAFEFALKIVELLEGAEKANSLIAPMLLKL >CRE17807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:22453:23563:1 gene:WBGene00064710 transcript:CRE17807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-41 description:CRE-MRPL-41 protein [Source:UniProtKB/TrEMBL;Acc:E3MDF7] MKSVLQSTRGVRSLNSTNFPAPWPFVKKGAFGQRKIGPMNYEKFKWPGQNREFPELSPKFQKLNPKELHRYTGVQPDGFHDQKTGEFVPVKEMRSELVVPNLDGFKLRPYVSYRTDVQIEKRRIAYEKKVQEKGSERLADLHSVEDERWPPPKMTSETLFELAYGDTVRNAYKEGKYGQVENATLPKLNN >CRE17806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:20101:22289:1 gene:WBGene00064711 transcript:CRE17806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17806 MSGEKTVPNAVKFAFGGTAGMGATLVVQPLDLVKNRMQLSGTTGKKEYRSSMHALTSIIKNEGFFAIYNGLSAGLLRQATYTTTRLGTYSFLMEKFTEKDKPLSFAMKAGLGMAAGGIGSFVGTPAELALIRMTGDGRLPPDQRRNYKGVVNALTRITKEEGVLTLWRGCTPTVIRAMVVNAAQLATYSQAKQALLQSGKVQDGIFCHFLASMISGLATTIASMPVDIAKTRIQSMKVIDGKPEYKNAFDVWGKVIKNEGVFALWKGFTPYYMRLGPHTVLTFIILEQMNAAYYKYVLKQEVTSAL >CRE17901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:17495:19185:-1 gene:WBGene00064712 transcript:CRE17901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17901 MDIWVPLLSDRLESPNFEFKSEFIGGDCIIQKDYLDEEKSTKQSGWIIHVYFRPKEFEDRPFISDIAFRCGNRRREINAVLIKNDSFFGHITVKEKTGRLIVAARIRKMLCELDLSKPSGSRQFIVQNFENQVKEGLIYYVNLAKLGQIGGDLFKKWQTVEDVQLISTTTESMENRMIETLMEATAKYDDLVVIRHGVHRLIRLAQKYRMHKLMRVIEEYILETKLMNWDEKILIALQYRMSPLYMQVERKYLKTPWQILCRIQRLYLSTYARQSHNSSNPYELIHKNLLQLVMASDENIFIG >CRE17900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:16261:17357:-1 gene:WBGene00064713 transcript:CRE17900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17900 MTTCHVLVQGRANDDSLGPTGTVGLVITDPSTVILIDAGDPWNGSEIIEKLKDHNVTSNQISHIVVTHGHLDHCANLGLFPEATVIMDWDVGKKSKENPRKTEYSVIPAWPYRISDCCEIMNLSGHTASDTIAIVQDIKMKRLVVYSGDLIEDSQDLPNFEINQLKLMEDEETELLSSQEFVFGSGDFIIPGHGAPFENPERILFLFFSFWRRFMII >CRE17899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:13066:15613:-1 gene:WBGene00064714 transcript:CRE17899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17899 MPSASDGTLDAPPASLQGSCSICFEDLRQSDKISAIVCGHIYHHGCISQWIAAKRQCPSCRRTVPKNGFVEKLFFDVQRSGGEQQKPQEIDYREEHYKLSTTLKVEKEKSEKLEEENKNLKSEVKSLEKKVHKEQNRYRTEVPKLQATINHLTISSEETEYLKRELRESKDKLKASEFYKILTTNSDQAEKQLGEYLRKSGSLDTEKFFQLQKAQIKDLTEKRREAAKEIEQLKSENHSLKRKVQEEAAARKTLKTAILELQDRPNVATPINNKRLREVMESDTPQTLKRRSLGFDESSQLIEGELSFFEHKENKTTPVEPHAEDDDDAEYFRTPKVVEKKKKLVEKQKPVNNDDSFEFQDMPQVPQSIINRIPAKIPAKIPTKIPIKKMTEKAKISIPKLSSKESFEFNLLQPTSRIISKPIGESSSQKITEKTLKRYQSADNPKNGAPPTKNPRIPSFFKRTTSSTTTSTDCVTID >CRE17898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:10945:12903:-1 gene:WBGene00064715 transcript:CRE17898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17898 MIDVHCHLVDNKFKDDLEDVINHAHSAGIKNIINVPEFESQFEKSIEISRKWRGIVYTGIGIHPIQKRGKSAQMKHVAKMEQFFVEHENDIICVGECGLDHTTSQFKLTEADLEEQERVFKWQIDLAKHFDKPLNVHSRSAARRTIEILQECHVAPDRAVLHAFDGNLEDLKLGLEAGYLFSVPPSFARSEKVKFVFFSTENRKKGDFQTAEIIKSIPITRLLLETDSPALGPEKGVKNVPLNLRISAEFLSRILKVSVDEVISTTTANANMVFRFL >CRE17897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:5525:8310:-1 gene:WBGene00064716 transcript:CRE17897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17897 MERSSFRTSQRFSRDRPPSTNLNEADAIFTIATTSAARYVSDSSSDNTSRLDEALNGMIRHVSDVERVTRLSLKNRQLKEFLSYSPVILNASTTNIVTRSKFYRLLFSIAHHNIPIRRFMAGELRLLGAVFSCLKASLREELGPQNMIDILRLLQVLSYEKNVPLEHWANDLISFLMQEVVREPEPEWMPYCIAILCNLASASKSACKRIKISTSYKKFSRQLMKLLQHNARIIVVSSLVLIGYLDEKVRDMVYCTENVHDTFMCTFNVLTIGDDDCMMTRQIAADLLRRLVVSESPTTPGVPTLASTGKNVLDYGFFIGSIQQTARLLVVLDPRTEESSKIYDLLLAFCSLQQLRVPVCQAIIRCQPTETQLTTPLMAIAETASLPRSKFGELAENTTLKALKLLTHLLKECIEPHEQMPEIGIPREQLHELIAVCLDEKLEFGDEPSEFSDRIQKVQLGLRLAEVLSHDEQCRHHLLTICSAHFCQQIATWQFSKNPVVGHLTKPVKERTEVLEPVWTVYGIGVILELCRLLAVLKDHSKVHKDQYWQLLKDERIIPFLAYGISYGDQETVQQAFLTYSHCAQVPAFPSHL >CRE17896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:2817:5460:-1 gene:WBGene00064717 transcript:CRE17896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17896 MVASCKSSISTASLSNLDSQKETPELRRRQTSEDRISASGEVMFSDERSSQKALDDLLRRFTLEGWPLKDAKTTELFAAYDRKIQMLQLKEKELEQQLESAHNRPVHVSHDNDTAKIRELKLECENLRDRNNQLADQVTRGNLEMEKLKGEMKEVKMKSETMEQSLGMCISEKNALKTQLSEALGTTERTALNAQTEISKLNSDLAQNVTRLEFFLNENKELKRDFEEKIVECEVLKEHILQIDSELKSKRTELERAHTMVSMADQKIAAKEMELKEADNHLKLYESRLSDRESDLKSAHSEIDRIRKDLESTKRSLQKLEQMREAMLSLASGPPS >CRE11218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:551621:552403:1 gene:WBGene00064718 transcript:CRE11218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11218 MLTLHLFHYIGFTGAQLFNAILVLLILKRAGKLFGAYRHVMLVFTFCSMLYSGVEIVTQPVLHMKGPMFVVYLDGTAFSESLGSFIACLHCGTFGFLISVLAAQFFYRYVALCRSNFLRFLDGNRIFLIFLPCIFIYILWFQLVQWGMSATIEKRIYLKNDLGGADSMTASFIAPLYWQIGENGEKIWRFSDVTSAMICTLIIVNEKRKNLKFSIFNPENLKISRFFV >CRE11217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:548957:551290:1 gene:WBGene00064719 transcript:CRE11217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11217 MRSLVILLSAVVLVNSLRFYVPPKEKKCLKEEIHKNVVVTGEYEFSAGIQYTGSIHVTDTRGHTLYKRENFADLKGKFAFTADEYDIFEICVENHPPAGHPGEKREVSLILKHGVEAKNYDDIAKAEKLKPLEVELRRLEDLADSITKDFAFMRQREEEMRNTNESTNSRVLYLSVFSMLCLLGLALWQVLFLRNYFKSKKLID >CRE11216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:546387:547740:1 gene:WBGene00064720 transcript:CRE11216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11216 MNRFFLFLFTLLTLCAAQEDSGRYVDRIKIETFSKTKFGLIHDSYTYYVKESYDQIQKDYFPYEFLSIGYLYEDDYAADTVSCATDVCPKLRRIYAHTTIANGNASTRLLDSALKTSLGATNAKPIGFVAPYPGYCSSDDTVPIIEMYSDYLKQYVYWTPLRPHDYTIWNNYPDDLSRYVPKRLVGYALSGDSNRTVLYNAAPDFVKPIGKANYTHSFFPTYHSMIFIKNRTLVHVASPAAVDAYLKKTAEFPINQKFGVYVLGKGTAAEFTRLSAVCGELMPIYTAMNAHKNQTEFFARTVAAPYTAMAQSGWTFKENRRVCGGIRGLAPLREFKQKTMGHFVYMNDPDMIAKELGDLYEVTSKNLGYAPLDQFGFLLVNTAI >CRE11215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:544189:545524:1 gene:WBGene00064721 transcript:CRE11215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11215 MNRFFLFFFTLLTICDAQEDSGLYVNRIKIETFTNNIDNDKTFTYYVKDSYDQVQVDNFKNDAGSIGYLYEDDYAADNVSCPTDVCPKLRRIYEHTTTVNGKVTTRLIDSALKTSTAATTAKPIGFVAPYPGYCSSNDLVPIIEMYSDNFEQYAYWSPAQPNQLVDIGSTTNDTSRYTPKRLVGYALSGETDRLVLSNAAPDFVKSIGKANYTHSFYPAYQLFEFASENNNIFTFSAPPSIDAYAAKPGYSWMSTRVFVIGKGTSAELTRMSAVCGELIPLYVASDSAKSYGVLVARNVVAPMQAIAHAGWTFKKNVPACGGIKGLAPLKEFKQNIGVNIFTYANNPEEVTYLMRTGWEVTTKDLGFAPLDQIGFILINAIV >CRE11214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:541369:542696:1 gene:WBGene00064722 transcript:CRE11214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11214 MNRFFLFFLTILTICVAQEDSGLYVDRIKIETFTNKAGNDKTFTYYVKDSYDQVQVDNFKTAAESIGYLYEDDYAADTVSCTTDVCPKMRRIYEYTTNVNGKVTTRLIDSALKTSLGATTAKPIGFVAPYPGYCSSNDLVPIIEMYSDALQQYAYWSLAQPNQLVNIASTTVDTSRYNPKRLVGYALSGETDRLVLSNAAPDFVKPIGKANYTHSFYPAYQLVEFTSGNNKYTFGAPPSIDAYAAKPGFTSKNTGVFVIGKGTSAEFSKMSAVCGELMPLYVASDSAKSYGVLVARSVVAPLQAIAQAGWTFKKEVSVCGGIKGLYPLMEFKHRTIANRYTYTNSIGGIDENWELTTKDLGYVPLDQFGFVLINAVV >CRE11288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:536353:538391:-1 gene:WBGene00064723 transcript:CRE11288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-7 description:CRE-GRL-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MQE3] MLFRLVFSSLLLAHTTTAFFFGGGGGGCGCQPAPACAPHPAPAPCSGGSIVQGYVGAPQQGGYAAAPQFPQQGGGYQQGPPPPAPYQPQGGYQAGPAPAQIAPQGGYQAPVQQVPQGGYQAPAQQVNTQGPVESAQVQEVQQAGGNYQDAPAPAQVAPAQQETAAAPAPTDTAAYTGEQEVVASLAREEPNYQNTGTNVVEAAQHASELGNQAAAAAAKNAEVIEDEEEPIKKKDEGKTTMMKTVKTPSASSAASSMKTASTSTSTEFPVGEEVVPDTNEKEVDISELHLTDDPLCNSDDLRKVVIDNIDDQLNSSKRMIQLAAEAQFGGRFDVICANGDFSYVTNTELYCQETKGDISCYTYRQL >CRE11213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:533307:535536:1 gene:WBGene00064724 transcript:CRE11213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11213 MNSRLVYAFAMLAVVFVTADEDWDPTVRDHAQFCATMAKYAPDGDVYCEHFALCCNNKFDPNNGDKCQVKESECTITDDGRTGVGTCKLFNCTELVTTTTTTTTQAPLYESINAAYQAVTILAPIAAALYCVM >CRE11287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:521719:523068:-1 gene:WBGene00064725 transcript:CRE11287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11287 MTRFFQLLPFFGLLALCAAQEDSGLYVNRIKIETFTNKAGNDKTFTYYVKDSYDQVQVDNFKTAAESIGYLYEDDYAADTVSCTTAECPKLRRIYEHTTNLNGKVTTRLLDSALKTSTAATTAKPIGFVAPYPGYCSSNDLVPIIEMYSDALEQYAYWSMAQPNQLVNIASTTVDTSRYNPKRLVGYALSGETDRIVLSNAAPDFVKPIGKANYTHSFYPAYQLVEFTSGNNKYTLSAPPSIDAYAAKPGFTPKNTGIFVIGKGISADLTRMSAVCGELVPLYVASDSAKSYGVLVARGVVAPLQAIAQGGWTFKKNVPACGGIKGLAALKEFKHKTAVNQFTYANDPAKIAELTSSGNWEVTTKDLGFAPLDQIGFVLINAVI >CRE11212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:514875:520386:1 gene:WBGene00064726 transcript:CRE11212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-25 MNLTNSSIEFPPPPLAGASFAKTAIPYSICFVVGTLGNTAVLSYVFFITRSLKSSVTALGNTFIYIVALCAVDLLVTVSIPFSLSNSILNNWVFGELACKIHWMLELSNKMCSTFILTALAFDRYMAICHPEIKRIHEMRHTIYITTILASLSFVLISPFFLYARVTSFGNLGYYISSKNERHPVTRLMCTDGLGREWKLWVSAFLIFFAFVLPCTLLTYFYAKIVLRLRRQRRTMLQSRIPLRRITIYTMAATFFYLSCQIPFWLPQIYGISSIVLGFKVNPRFMTFTYYSHLLPFISAAFNWIFYARLNSQFKKGLVLVTERMIRKRTRSLHQSEKGGYSEAAVELTSKFDDVPLMCPHCEAQLSIRSSSNGKKASR >CRE11286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:502486:504945:-1 gene:WBGene00064727 transcript:CRE11286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-55 description:CRE-SRH-55 protein [Source:UniProtKB/TrEMBL;Acc:E3MQD9] MNQYYNTTYRSSCPSQSSYFSSSDYVSTSVHIVTSISIPLSLYGSYCVIKITPKQLKNAKWALLNVHLWTVVLDIVFNVLSLPFLFFPSVSGIMLGYGQYIGIPSWFLLYLIQALVSVFASAAIGLLENRQNALQTRWKIKRRWVRVVINICNYMVACVTVIPPYLEKFDVQAMAMEILKTIPCPVPEFFDPRLFFVTNKPSLATMLMAIQSIILLPQGTFYVLHTWYHLVYAHSSRVSAETRKMQFRFLLGSLMQIMIPSTVFMNPILYIWFSVNTGYYNQGINNHLIFIVSFHGLLGTTCMILVHKSYRNHLVRMITLGKFPRQSVIRTNPISVIVSSARLVGQNVH >CRE11284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:493147:494732:-1 gene:WBGene00064728 transcript:CRE11284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11284 MDYSATILLCSTNQPKMWEFLTWFYLILQPTPGGFDVYYALVAVLGFAHFHALDNFYNKDLPYVEQLRAASPSTYDGYLAGLVFIGLPIEPIVSLYILINYLVAFSEFLLDDHHKTASVGILLYSIFTGKSFGWRTTMVIMATGFGISPMISTHHTSYSYDYETTIKFVLTTTVQFIFFMLYLGKNLKIHPHHVIASLHFLSRIFGKWKQAVWDAPFSYICNHFGWEHDLEKFKLEKAGHREKTLQFLHLFSHPDETKQAPTTALKVISKEVSSGNKISIFACSFVGIEITTKEELIISAGSPEFNLFHFLKRKRLGSKNLREKLINSQFREALNICKEKGQVTVEDGVVKHGNFNWENDTVKIRLQNIKRNILPTETKHLIELHDRNLISAQ >CRE11211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:490483:491676:1 gene:WBGene00064729 transcript:CRE11211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11211 MFKLVLICLIPLLVNSQIYRNGSPPICLSETNNACQEEIKKKNETLERISHIYPPLTDSLKNYKEQCRNVMENLFTADTIFKTEKTCVLNIGQGVCKTDDFNFLQDNYDGLIELYTNNPASDIDRWDHPSEKFYRMHCDVLRSDFVQKSLNIRILSVNQKNADVQKVLEIGQSAQKCLQKSLIVYGNDNYYKMFIKKIQAFPAVMTDIFKHRPRLSEYQCLFSFSWFEFFREVVECMDVGNAEKCVLAFMTPGCQEEILADFQNLTVIMRPRNQSKFQTFHFLCLIITRDASLFSL >CRE11209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:486634:487846:1 gene:WBGene00064730 transcript:CRE11209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11209 MFKLILILFIPLLVKSFIVRSDGTPPICLSETNNACQEEMMKKNETLARIPYYYPPLTDLFKDYTDQCRNVMECASGLECFKGKSQRDIFETSCDTVGKHWYNVDSCLYKIVMKIYSNDHNCTGRFSFENLFTADTIFKKEKQCVLNIGLGVCKTDDFNFLQNNYDELIELYTNNPTSDIDRWDHPSEKFYMMHCDTLQDDFVQKSMNIRILSVNQKNSDVQKVVEIAQTAQKCSQKYLLVPNDDHYYKMYKNVQPFVAVMTDIFKRRPRLLEYRCLTSFGWIEFFREVVECMNDGDAKKCILSSIRKYCQHEILADFEDLTVTMTPSKRSNVPEVSFSMFKNNQRHKFVLTVNNKLINF >CRE11208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:484444:485650:1 gene:WBGene00064731 transcript:CRE11208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11208 MFKLVLICLSPLLVNSYVHRSASYPICLSETNNACQEEMKKKNETLARISYYYPPLTDSLKNYTEQCRDVMECASGLECFKGKPQWEIFRTSCDDVGTRSYYFDTCIYQILKKIYSNDHNCTAGFSFENLFTADTIFKKEKQCVLNIGQRVCKTDDFNFLQNNYDELIELYTNNPTSGIDRWDHPSGKFYMMHCDVLRSDFVQKSVGIMVSSVNQENVNVKKLLEIAQITQKCTHKSPFVYGNSNYYNIWIKYAQTIVAVMTNVFKHRPTLSQYQCLTSLKSYDFFREVVQCMDAGNVKKCVWFFINLYCQEEIMADSKNQTVTWAPHKESNVPDVSFSMFKNNQRHKFVFTVSNN >CRE11207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:478792:484040:1 gene:WBGene00064732 transcript:CRE11207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dop-5 description:CRE-DOP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MQD2] MFSNDTQQILQDDPTTSFQYNAFHAFLLLLIILVVFGNTMVIFAVAVDRKLRSVTTNKFIASLAVSDLLVGLIVMPLSLYYKMHNDHWTLGYTWCQFHLVSGVFSTTASIVHLVAISLDRYFAIMFPTEYQRHSVSTSTVPYVVMIWLMALAVSSTLFMEQRDSFDGICWISNPQYIVLSSFLSFFLPGAIVVYLYMKIFKKLRNHQLYMFGQLTHRGGDRERRHSLPRVIIEEVRSRRGSRMSQTGSQSGSPTRRQSGGSKERSPSQPDIHIVAKPQQRWRSPTICAETLAHDRLLAAKKRVSIVPDPPSMDVSVNMASMDQMKDEHHHNLMREEELLGCEAGRRKQSEEEVRKLSDERKVVESESESRIPWFTNLLQRVIYERRRSSTSENPNGVPIMQAFQKAYQEVRAGRRRSTIHDITAYHFPSLTTVNEPTTPPPGEESEEKDTEGSAIMHKLSSGSSIAETVIAMLPKTSTSLSKTDSHEDSVSTEASQKPLLGPPTHHYTKKSQQQKEPRYSPLIPAPTFLMVPAMMSVNTPPATPNTKEAPTNCTSLLQVPRLFDCPSPCSVPSNSSHSSYTSASGSSDTYRRISMNSYGSSLTDGTESTFECDSRRSSAWSTIRAAVFDRQQVRGGKASVDITVDRTGSPQKKMSTISRGKLRRIATQVTRAIRRKRRESMAIRRESRATRVVAAILIAFLICWIPYFCISIFRGVLMGFHVNINTPLHLTLFVYTSWLGYAHSCFNPLIYMCLNKNFRNTMRKMMQKTKATEHEG >CRE11283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:463797:466152:-1 gene:WBGene00064733 transcript:CRE11283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnb-1 description:CRE-CNB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQD1] MGADASLPMEMCSNFDAYELRRLTRRFKKLDVDGSGSLSVEEFMSLPELQQNPLVQRVIDIFDEDGNGEVDFREFIQGISQFSVKGDKNTKLRFAFRIYDMDRDGHISNGELFQVLKMMVGNNLKDSQLQQIVDKTILFHDKDGDGKISFQEFCDVVEHTEVHKKMVLENI >CRE11282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:455344:456883:-1 gene:WBGene00064735 transcript:CRE11282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11282 MPFGEKHARFGDSKSRMEDRSRVLTMKYGKQQMALIRKRMKVENWIETEVTKLFNGNENNNVDIDLDVIQDMEDVTGKRKYAFEQLQKAHCPCSMDKIIMFLDELIIQLNTL >CRE11281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:452526:453472:-1 gene:WBGene00064736 transcript:CRE11281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11281 MEFEQRIKAYRFVAYSAVAFSVVAVLSVCITLPMVHNYVHHVKRTMNQEVQFCRGSAKDIWSEVNQLKSIQHANRTARQAGYDAGVTGGSASAGGCDACCLPGAAGPAGTPGKPGRPGKPGAPGLPGNPGRPPQQPCDPITPPPCQPCPQGPPGPPGPPGPSGDAGGNGQPGQPGQDGQPGAPGNKGPSGPNGNPGAPGAPGQPGQDAPSEPITPGAPGPQGPAGPQGPPGQPGQPGRDGQPGAPGPKGPNGNPGQPGADGNPGAPGQSGTPGGAGEKGICPKYCAIDGGVFFEDGTRR >CRE11206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:450678:452471:1 gene:WBGene00064737 transcript:CRE11206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11206 MDNMMPRLPRYYYKILAVLFVVSLFVFKFYSLHSHINKLNKTKTLPGKVDAYQFTHTFIHSAYYYPSSKSLGDNAVALVTTMNKRTVWKITDYKINLIGANQSTSVTRQASVTTEHRLFERCDYLLIMAQSNLLDNMEKLEIEAEGVVVEVPIKKPIYIAPKPVVFCVSPQFAAEQWQTFLVQVHVSKKYGAHLHLYIVSMVESYFNLIREYEKLGLVSIEPWLTIKFPVTDGPYLEPNRNVELRNQAAAHTDCLLMYKESASFVGILDMDDILIPYNANSYYEEFEREYAGDWLISALHYDKYDYKTIKMADLSSQSLSAIVKNAERLNTKDTGKSFLRPERFNSTWSHWSRSAEKQPIYIDEHGQKLEKSLFRKLKTIGTNGMFHLKNMNLRSLEDLKGSKIPLNPTDNATRIISETDLADIDEDMKRMLSLPQISRFATYLPREEFYMPIIFNCYNQSFYHIRDMNQMRPDILCVNAYSCDLPQREDMPCVHSDANYHSGPAMWPITYHFATESYFSRDIGCYQ >CRE11279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:447174:448209:-1 gene:WBGene00064738 transcript:CRE11279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11279 MLAIKEVLSEVTLLDLIHFSMISLKTRLIARHFSKQPPISKYDLLLNIYEPPSVQIFHGEVLYEYEIVSYKTGNLGRLREEDEIIKYSKYSEDPVTEFKNYVEYAMEVFNWPVGLLYFNFDDLNDQNRLIIDWLKLRVSLKHCSVSLFSDRVSDEYVSYFLENLEVSGHFDLVNTMSDNFQLTVPNTVKCLGITNSKFVTFDQLSSFNCVSIHLRQTSISNKELNQFFKNWMTSKSNQNLQDLFIGIKDLESLETIFDLPHEVVDPGTVRTLHRFNHPIPVSGGIDIKRDDGAVATCYTQVCVGSLYLAMLVHLNF >CRE11203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:445235:446293:1 gene:WBGene00064739 transcript:CRE11203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11203 MKDPNKFPLLHLPLLAIKEVLSTLSPLDLVNFSLASSKSKKIAKYFFYQHLSCGYRLWLEIEEELRLGIIGTENIYYFKLISDKTKDGHREERNLFKYSEDPLSDFKKYVEYAIDNFCWPVSQLYLDLGAFIAQNKSIIDWLKPHVEPFTYFSLHSDIISDEYVSYFLNHIEVDSYLDINTKMSDNFQLILPRSIKTFEIHQSNFVTFDQLSSFDCELITLYNTKISNQELNQFFKNWMTSKSNINLQTFSIHIENMESLDTILNLPHERVDPGTVRTFRRWIHPFLVTGGIDIKRDDGKIATFLLEPYMEFLHLVMLAH >CRE11278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:441846:444151:-1 gene:WBGene00064740 transcript:CRE11278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11278 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQC2] MLFLLFCITVAGSAIRRDVDIMEANKGVEGLVEGDIRMTDEQLQNLNGNRVKRQIIRYGTWTGGVVNYYFEDAFSQAKRDLMKYALEHISNRTCVKFVESSTERNRIKFVSKGGGCSSFVGMVGGEQELFLDDECQMMGIFVHEVMHSLGVHHTMSRDDRDEYVTVNFTGIAERWIHNMQIEYGTLNAVPYEYGSAMHYTGSSLGVGSLTAKQSRYQKTMGNMRVSFYDMVNINTLYSCSCAVNLDCKNGGYTNPSNCDKCVCPAGYAGRLCDEAPPGAHLYTATPNWTEDFIEFGYNDGSYTNTYLMAYAWISAPADKQIEVKIQQLVNVSCFDSCDDNGVEVKVDEDPRIVNPIYCCNTDTSMMQTAMLSKLNPTPVVMHQRRGKSGVTISYRYVDKPPVTTTTTTTTTTTKPPTQPTITTTTKTTPPSAPTTKSPTTGQPETTCQCTEECHFTSTETETCQCITSTEETFENEECEKEAGTIHIGTECQANTTAKCFD >CRE11202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:436752:438553:1 gene:WBGene00064741 transcript:CRE11202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11202 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQC1] MIPLLLLLCLQGNIARIIEKRQVFQRGPDHEQFKWPNNTVHYYFDDNLFDPSMSEAVLRAMELISTHTCIKFSTEPSDVMIRMESDPTQNFCYAEIGHVRPHQKFSFVSACYSSGAAAHELIHSLGFIHTHQRSDRDQYLDFKMNLEDMTPDMRDQYMIYEGQTLYVPYDYGSVMHYPDESGNYYPIEKNIRMTDTMGTEMLAFYDYLMINKYYECSCDTDLKCQNNGYPNPANCSQCNCPYGYGGDDCSRRAEPGQTVMATLEPQTVTIKLDAGFRNGDERQVDFIYSYLWIEAPANKSIVTRIELVSKEKCVTGCSRGGLEIKINDDDRLTSRRFCCGTGQFDRSFHSPTIVMAYNSVGLDEYIIHYRHDP >CRE11277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:434956:436251:-1 gene:WBGene00064742 transcript:CRE11277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11277 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQC0] MIFCKLVTVFSPRDKRQALPEYRKWTNNTMNYFYAENLRMDLKSSKLKKLKSLISAFEMRAMFRDAFNYLSSHSCLKFNYDEHAKSSVKINLGNDCWSFYGMRDEQQDLFFAYNCAAFGVALHEVMHALGFAHSQARTDRDEYIVVDSNNHNDRIENTANLVPFDYGSVMLYARELVVFQMEYVLIVSFSDTKWPIDQEYNYTMGSLRVAFYDMILLNEFYECNCDNHPTKLECKNGGYQNPANCNECLCTDGFGGQLCDKLVGTVLEASTEWNSSGLRIWNKKGIEINTMPVHHFFHVTFIFQAPEGSTIEVRITKLYGFYCHDFCDYNGVELKYKTDRRIVSPLVCCDNDNLWNTTRSSTNNPFVIVKYGDNRTPIFEFEYRYVPGNSTVSEVTNEITPN >CRE11276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:433399:434704:-1 gene:WBGene00064743 transcript:CRE11276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-20 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQB9] MKLTVILLLVAVTGLFAGSPHHKNRNRGKRQALSDVNKWTNNTMNYYYHNLPLEMQAMFRDTFQYLSSHSCLKFKYDEIAESAVRIQEGRLCNSQLGMVYGTQQDLNFAYNCAAFGVALHETMHALGIAHGQARSDRDQYIVVDSNDHNDGIEENTINSVPFDYGSVMLYWRDRFRWPKDPEYNYTMGSLRVAFYDMILLNDYYKCNCDSHPTKLDCKNGGYQNPANCDACLCTDGFGGQLCDQLDGIVLTAETEWKNVIAKTINKKGIDPNTMPQNTIFHVTAPEGSTIEVRITELYGFHCHDFCDYNGVELKYKTDRRIVSPLVCCDDDNLWNKTRSSTNNPFVIVKYGNDRTPILEFEYRYVPRK >CRE11275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:427587:430904:-1 gene:WBGene00064745 transcript:CRE11275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aat-6 description:CRE-AAT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MQB8] MSSRSEDDESASSHASKFSIRKLLVSLSGVSATMPDDSRSQKMGLLGAISYIVGNIVGSGIFITPTSIIKNVNSVGLSLIIWILAALISMLGSFCYVELGTSIRLSGGDFAYLCFMKWYPVAFAFMCIGCTINYPATLAVQAQTFAEYVFRGAGVELDSTSDFWAKKLLGFSLIMLLMFMNFFSLKTFVQRFSILASIAKIAATLLIIVTGFYFLIFKDWSQNLQAPFTGSHWSPGPFVTALFAGLFSYDGWDILNFGAEEIENPKRTMPLAIVIGMTCIAVIYVAVNIAYSVVLSPAQMIASDAVAIDFAQKTLGTAAFVMPVMVAILLVGSLNSTMFSASRYLQAVSRQGHIPSAISGIAPNCDSPRVALLVHILISMAVSFMGDPDKLINYVAFAQWSQRAFTMSALLYLRIRGRPRHPDRIQLPIIMPIVFFFVCTSMVVISIIDDFTSSAVGLGILLGGLIIFVIFIWDRALPSIHAYKNASHSINEETTKFMQIIFNVVPERVGDEEMKNAIGGGEASASETDTENEKSATDKISPLENGLDKCTRM >CRE11201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:423025:427374:1 gene:WBGene00064746 transcript:CRE11201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-140 description:CRE-TAG-140 protein [Source:UniProtKB/TrEMBL;Acc:E3MQB7] MIIDLSDLDKPVNASQLIHQVLHDAFLAADLGNYTEAVSLLDKPPAWQTWGIGFAIISGCSFSAPLGILLLPFLSKSLYERIMTFLVAVGIGALSGSTIFIMLPQAFHLTSFEHFEYHTKSLIILCALYAFFTVDRMLQYILEFRRRRQTKRRIHASTIASLMNTPTAKRRDNGHNVTEETIAPSDPPTITHLTVPDLHNHHRFRRESELSDVERTEQQEKEMAELANDLEMALTNNVLARTFSTRRRVAVVSGGLDDIEFRNPRHPHHSNGHTSQFLDVVNNEFHRRMTPLSSRPGSPVAINIDDHKESYEMKPKHSTQEDNDAMSVSIRVVEKKVIEPAAMEVASVAYMIIFGSSANNFVDGMSMGAAFSDNLLRGLSIGIAVISQQFPQELGVLAILIKSGLGIKKTLLFNMVPIVLSYLGFSVGVMLDSVDDSYDEYIFAISSGMYMYIFLGTLIPEIRESTNELIKENLAESVLVSILQACGILFGTTFMYFMSRVNSVDF >CRE11200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:418699:420893:1 gene:WBGene00064747 transcript:CRE11200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11200 MDNESTSFDLDVTTNETNQDPCAYMEPVYVKERFWMVAIFGTAVCILNIIENTFLSFMLFKKKSYRSSHMLYLALLAFFDVWMAVAYIPLMSLNLFVDYYKSVVLLRAWFAYMLPMITVSHIAMTASSFLMVAASLERYVITCHPTKTRWLSRNRVWIAASAILLGVASKFSLPFEMAIRYSPECSGTMREYQLNHSSLALHGAYSYWRVLFRTIVTILIPFFLLAFINVRIVLVLSKSEFKFLHSTKLSEAKRKSAIRNATRTLVFIVFTYLLSNVLNVIIILWEYIDIEMLTVQFETFYMFAVDVVSLSTIVFGALRLPIYVICQANLRKEMFAQLKKIVSLNSYSGYLSSPTKNMTVEDMDIDRRTPEPCGDGTVLIVESDQKSEPSKTCTVIRKNSVHRLNAGFSDMSEKVQIV >CRE11274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:405206:406549:-1 gene:WBGene00064748 transcript:CRE11274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-156 description:CRE-COL-156 protein [Source:UniProtKB/TrEMBL;Acc:E3MQB5] MSNTFIAGVTAVSGVAILGCLLFLGSIYQDINGLYDDVMGDMNDFQFTLPPLNFLTQPPLPYFQTFANDAWDSMITMNQPVRHLNAATIFGRNKRQASCNCGTQPNNCPAGPPGPPGAPGDQGHDGEPGAPGNAGQNAVVASIAASTSECIPCPAGEPGPQGPDGAPGAPGPAGQPGQDGFPGQPGAPGPAGPQGDAGAPGNNGQPGQDGRPGQNGQRGHGAPGAPGAPGAPGAPGNNGAPGQDGAPGQAGAPGAAGPDGQPGQKGGDGTPGENGLDGVPGTDAAYCPCPARSGPVEVAPARPDNKFRRRFSN >CRE11199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:400513:405122:1 gene:WBGene00064749 transcript:CRE11199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-17 description:CRE-MIG-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MQB4] MRTFCLILVLLVFILTKSARHEKQLSNNIRLVIHYTNETIHGMKTNYNSNKTQELSLDVLVVSDFLTYQAFLEMTNGDSHKAVHDLKVYLQAVFDQVKIIYDGISFNNETLHMVFAGTYISTQERDCPLWLSWAEDEEERVLNEEIRRLEEDESRWNSTVEDEEFMNTTALDSNSTEQLISSSERRKKLRKFVDVTLEEMQENNSTEMALKMDSKKGVDKFTIWLKEQQGLPRHEHAVLITKFDLISINGNSATQGMAYVGNICENGDSSSVVEDIGAGLTSLIVAHEIGHSLGALHDGAYESADCDSNDNYLMAVAVSGSADRQSFLNSRKMSNCSINSIIENLKEPTASCVKKWKTKGGEKSHQKDFMKKPGETVNLARQCQIAFGPTFKPCLHIGYFHGQSICERIWCSDGDSDECQTLNYFPAFDGTDCGYNMWCIEGLCVQNTKKWMDCKDLNAKTCSRYSSSKLKHYCKSKDFREICCRTCAQKGKVY >CRE11273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:394807:397213:-1 gene:WBGene00064750 transcript:CRE11273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11273 MNLLPRLLRSISASNSPHFNCFRGMKRFFSRKKLRKSKSDFTGEKTEPERHEHENGAAGGAPVPTEPVPIPQSKSTNIVNNVSHSYGDDYDAVCSSIGEESRKNFDAQLDKHMMQTITDVKYMIKHSKYTALSEVNFQRACLDAHNECRQRYGNENLCWSTELAEMAHAWAVKLADRGRILYPELPGIGENLILKEANEQSHLPTGQEVIQEWEKEAQYFDFDKPRWNPKCQRFSQVVWKDTTELGAARYWNTANNCVAVVCFYRPAGNSNAPGEFASNVPSRDCSMSPIRNLGTQLKRHVTISTPERAVVSK >CRE11271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:389508:390616:-1 gene:WBGene00064751 transcript:CRE11271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11271 MDTPQKEFVIKCIIDDIDRLVDGDDHTVEENHFDIPWKLEIQQIDGCLSIYLECLKQDSVDDWEVKTETTLQVISENGNSQAMKSYYRYGNVDNPDREVYNRFGWSEFMAWDMLENNFAVDGKVTIQANVRILEMVGFGKENLKNFDESMKEFSDIVLIVGDRKFYTSKLFLGFQSSYFKSLLLGDFAESKQSEVVLKDINADDFQNFLELIHGETPIDDITIEGILHLAVLYNSPTAIKRCEEFLVEKSKKTLKVKLDLSTRYNLKVLKEKCMSEITSIDDIRSVVSDEMDSSISTELLKKCLSLC >CRE11198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:388745:389180:1 gene:WBGene00064752 transcript:CRE11198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11198 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE11197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:384501:387671:1 gene:WBGene00064753 transcript:CRE11197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmsr-1 MEFTECKTVLFHLPEKSFFYDIFIKVYDFYHPIHAYLSIFLCVLGTIANFCNIVVLTRRTMRTPVNMILTAMASCDTVVLFSNLIYTTHYSFVAFKFCHPKHWSYSWALFLIAHAHLSLVAHSSSVWLSVMLALIRYITLRSRGNMGGMQVTLRHSYYAVAVTVSLVAVLNAPNFLNYKINEQPLNETCSDLDPKFWNSPAYLPGIADIAKANSCLVFRLSYWISGLVFKLLPCALLSLFVWLLLRILREVRENRQRLLKNSQHRPPTQTTRNGQRLSISVPGNEKLGRNGSLRGRGERVDRTTHMLLAIVAVMLMTELPQGIMAVLSGMLSEEFRIYIYNNLGDILDLFSLCGSCCSFIIYCSMSGQFRNEFHRVFIPTKMKCLRMPSPSIRRPSDAYSTTKMTFLKPNEKMNGNGNGNYSEDTRSASVKMVGIQVRRNSTEVTRMTGCDSLTPCSPMPASFPSSPLPPIRSGEDESTDETSHLLNSSGPNSTASADGIRGHFQNI >CRE11269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:353998:359808:-1 gene:WBGene00064754 transcript:CRE11269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-des-2 description:CRE-DES-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MQA7] MLTSVFLLATVSVCCIRAAEPPVPTQIRLVHDLLDNYDKKAKPMWDNSKPINVSFSMDLYQILELNEPQQYILLNAWIIERWFDEFLYWNPDDYENITELRLPYDSIWLPDTTLYNSLVMKDDDTRRLLNAKLTTDTQRRAALIELLYPTIYKFSCLLDLRFFPFDVQVCTMTFSSWTYDQKGIDYFPYSDKIGTSNYLENEGWYILQTKIKRREVKYACCPNNYTLLQLTLYLRRKPLFYLVNLIIPTSIITLIAIVGFFTTSSASGMREEKVSLGITTLLSMSILMLMVSDQMPTTSTFIPLIGWFILAMIIVISLGTVVSSVIIAIQKRGSLGERMSKRALKFAKVLAWFTCTSLPPHVEKEHMMEAFDAPTPSVEVRPLQLASVKESVRNKWVSGARRATQRGTSGLALISDKSTDPLIHLSPTAHQQGNTIPLPYPLVISLYIVLDESISPSAPPVPSTSPLPPPPTPGPADDVVSVASELSSKFLTSRMRPKSQKDNTFAAMQSSIKANRQLAVAEFEWLATVCERTCFVIFVVAFLIITFGINFIGFVHWHQAGVEFGG >CRE11268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:350362:353603:-1 gene:WBGene00064755 transcript:CRE11268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-deg-3 description:CRE-DEG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MQA6] MTLNVSILILLLSVVNVTITSHSLNATLKTFDPRLLNSTADRDIAMQNVPLIRLTRHLLSPERYDVRVRPILDHKKSLKVHISISLYQIIEVDEPSQNIKLNVWMIQKWKDEYLDWNPNEFGMINSTIIPFHHLWIPDTYLYNSVKMSRDETERYMNIQVTSNYWKGEKGSELSFLYPAIYTITCRLNIRFFPYDRQNCTLTISSWTNSKSALDYYADPQVSMQSFIPNEEWQVKSFEIHRHEYKYACCAEPWVILQASLVIQRKPLYYLVNLIIPTSIITLVAITGFFTPASTDDDRTEKINLGITTLLAMSILMLMVSDQMPTTSEFVPLIAWFYLSIIIIISIGTFLTSVVLSVQGRRQYGRNPPQFIRYIFFVILPQILLLNVPPPLQTLWGELDDDPLNVRRRKKSHYLTRNVHNGSTKMQSPMSTLRVPPSAGSQSEKRQSFQMIDVTSPNSPNTARSRAPSLAPSTAKATMWEGTMSALAGTGTNTQLRRTSNVFNKEVDEMRRKRQCSLEWEFLATVLDRFLLIVFVGAVVIVTAGLILVGRMAQYSYDHPDDQFFNV >CRE11196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:347976:350125:1 gene:WBGene00064756 transcript:CRE11196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-3 description:CRE-GRL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MQA5] MFWIAFFAIFVFSPLVVSAPVRTELCCCGCTEEPCPLVGPSCPAPRAPCNNTASETVRCSALQRLFEISQHKIFADVDVDDEDVMRTSTVHPTPNLEIDQQKTLQLLPTLDAREVQRIKNEILEITANKTRKAEIRLSPRVESERVNGLHRISHMMKEMEGMLMLMRDEITTQIENSSPVKLLEEAATRTEIDSSIHATRGSRVKRAETVNCNDEKLRKLIKENIKKDPKSSKREIQKAANKEFGGHFNVICSPCEFSFVVASQKYCDGFKDDVACFAFLQPPTKLKLDEE >CRE11267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:344675:347453:-1 gene:WBGene00064757 transcript:CRE11267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11267 MRYFIIFSLFLTEILAENETEFVPIGKDTESTTISSVGGKKSVLTKIYKEDGKSSDVKPSILPVPVPNLSGLMNRIQTTLVTRMEVVPPSRPEFYPPDSVVIARSDGPIYQRPSGFEHPYPPPPYPPMMMYPPSPDSLMGRVESMTGHILDNVMEFVMGRRRRR >CRE11195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:342467:344273:1 gene:WBGene00064758 transcript:CRE11195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11195 MGRQGRRLHFHFTIRHLYHSSRMRKPLVVLLLIMVTTTWGRFVDKGANSEIVDTFYKHESDAEPEEDAQYEVISEDVPTLPRMNSQTKWEMIRASGQDPTIRRRKNKQQRQQKVVLGRERRDLILAPWRITHITPKKATVAPVPKKPAMALSFDMCPEKFDAITKGYNGRTYVFAREKVYQIWFEDGLPQKASYLISDLFSGGPRTVTAALTNSRSGVTILFEGRTAYRFRWNRKHRRFQLAKNTPQELPRNITINPLSAFEWADGNQVVLSGEHFIIYDAYWNLATFTGHTRKYFPNLPRDLLGIVYNGAGETLLMYTKTNKLKVYNTKKFKVVQEYPLKLSEFVGCQSR >CRE11193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:331329:333536:1 gene:WBGene00064759 transcript:CRE11193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11193 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQA1] MQLLLLLPLVAVLVTGFVIRKDVDEVESNRGRQGIIEGDILMTDDQIHAMSAAGKRAKRQITKIWNKWPNAKVYYFFDSAFTSLKRELIRNAMSFISSQTCVTFEESSTAQHRIRFMNDGGCASYIGMNGGEQQLWFGDGCTIFGTAVHEIMHTLGIFHTHSRYDRDDYLLINLTNVPENMLGNLEKESSTTTYNAVPFEYGSTMQYRYNTWGEGTLFPKQAIYEKTMGLRRVSFYDVVNINHRYSCSCSKNLSCKNGGYTNPAKCSECICPSGYDGILCDQIPTRNSNVTAESYWKGYWIQYGYENDTLTTNYFMSNLIVFAPPDKTIEVQLIELQDFTCAYGCNYNGIEIKVNGDPRITNPVFCCNDDTDVIGRTFQSKLNPLPIVMHQRYGKSKFTIYYRYVDTPLSNNMKITNGYDDYQYYA >CRE11194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:334225:336227:1 gene:WBGene00064760 transcript:CRE11194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-17 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQA2] MQLLLLLPLVAVLVTGFVIRKDVDEVESNRGRQGIIEGDILMTDDQIHAMRAAGKRAKRQITKIWNKWPNAKVYYFFDSAFTSLKRELIRNAMSFISSQTCVTFEESSTAQHRIRFMNDGGCSSFIGMNGGEQQLWFGDGCTIFGTAVHEIMHALGIVHTQARYDRDDYLVVNLTNVPENMLGNLQKENSKTTYNAVPFEYGSTMQYQYKAWGVVYLLPKQALYQNTMGLRRVSFYDVVNINHRYSCSCSENLSCKNGGYTNPAKCDECICPAGYAGILCDQIPPRSSNVTAESYWKGHWIQYGYENDTLTTNYLMSNLIIFAPPDKTIEVQLIELQNFTCAYGCNYNGIEFKVNGDPRITNPVFCCNDDTDVIGRTFQSKLNPLPIVYHQRYGKSKFTVYYRYVDTPLSSNKKTTNGYDDYQYYA >CRE11192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:328775:330423:1 gene:WBGene00064761 transcript:CRE11192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11192 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQA0] MLLRPLSILLSFSFILGTASRKYVEKEIDGLIEGDIQLTDDEGSRVKRQILTVVGKWPNGVVNYSFDNGINETSRLIIRTAMNYISARTCVTFVEDSPATNRIKFLNDDCSSPVGMKGGEQFLRLGDKCVTFGMAVHQIMHTLGIIHTNQRTDRDDYVTVNYKNVPEWLWEDNLNINDTANNVTPFEYGSVMMLPGNVYGPGTITSKQSDYTNTLGFRRVTFYDMMMLNSHYSCSCAVDLACKNGGRTNPAKCSECLCPAGYAGTLCDQPPPGTEMYYTDTAWKGNFSVFGNLDSDLPIGEPRMTYIWITAPAGKAIQYRVFDLDGCTCEPGCNSNGVEPRSYADPAIVNPIFCCNDDKTMIDKIYLSYQNPTPVVMHERSGECNLFIYFRHVDAPPTTPSTTTSTTTTTTPTPTPTPTPTTTPIPTQTPTPTPTRAPVTTTKPKDCHNTATQTTTCKCTTTHTKMYETEECRIAAGGQCSSTTTTGGRKTVTKCSSDTTNI >CRE11190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:324807:325960:1 gene:WBGene00064762 transcript:CRE11190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11190 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQ98] MRAMFRDAFVYLSSHTCLKFNYVRKARNYIRISLGSDCQSMLGMQGGMQDLEFGYGCAEFGVAVHETMHSLGIAHSQARTDRDEYIEVDSNDHNDRKEDTINSVPFDYGSVMLYARDHTKWPLDQEYNYTMGSLRVAFYDMILLNDFYECNCDDHPVKLECQNGGYQNPADCGACLCTDGFGGQLCDELLGTVLDATTNWKVDGFKLGNAKGIEIDTMPSNQFFIVKAPEGSTIEVRITKLYGFYCHDYCDYNGVELKYKSDRRIVSPMVCCDDDNLWNKTRSSTNNPFVIVQYGNLRTPHFEFEYRYVPGNSTSPALTNEITSE >CRE11266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:323032:324333:-1 gene:WBGene00064763 transcript:CRE11266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-21 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQ97] MAMMLFIFLTFSQVSFFVFGTSHDLSRVKRQARQNNLVPYHGKWPHGVVNYYFDNSTFVGAISDYNMEASVIRGMELISSHTCIQFSRQPSKYMMKIGTVEGQCRAAIGRIYQPHQEFTFSSSCYTAGSAAHELIHALGFYHAHQRLDRDLYLKFNLQEWRMENSFQKQQYKKYGDQLLLVPYDYGSVMQYHDTDEEYLPRDPKYFRTMGSEIVSFYDYYMININYECSCDDDDWLECENLGYRNPASCDECVCPYGFGGKDCSQRAEPGETLEALDAWRNTTVTLDAGYTKLLDGRKYRQNDYSYHYLWITAPTNKTIEVEIHNFNGVNCEHGCKSGGVEVKTHEDPRMTSPRACCMNETEIYRSRNNPTIVMFFNLEGLDEYNLSYRFTD >CRE11189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:319812:322282:1 gene:WBGene00064764 transcript:CRE11189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11189 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQ96] MLLRLLSLFLSLCCITVAGSAIKRHVDIVEANKGIDGLIEGDIRLTDKQLRELNNADGNRVKRQITTFKDHIWPGGVVYYFYDDAFSQEKRTLMKSAMELISSRTCIKFVESKTEKNVVRIFDENGSCSSHIGYIGEGEQKIWLGDNCQVIGTFVHEMMHTLGIYHTHIRYDRDDYVTVNLTGVPENLKKNFNKQTPEMTINAVPYEYGSVMHYSTNLFAPRTIIAKQYGYQNTMGLHIVSFYDMVNINTLYSCSCAVNLDCKNGGYTNPSNCDQCYCPYGYAGKLCDETPPGAILYTATANWTIQNIKFGYDDGSRTNTFLMSYAWISAPADKQIEVQVRALDVVMCAEGCPYNGVEIKVNEDPRITNPVYCCNADLMGETVKSKQNPTPIVMFQRVEKSGVTIGYRYVDKPPSPATTPTTTTTTSTTKPPTPPPTITSTTKSPPAPTTTEPPCETTTPSTTTTRTTPPPAPSTQSPTTKPPTPPPTQPPTTTTTRTTPPPAPTTRAPTTEEPETTCQCTEECHFTSTETETCQCTTSSEETFENEECEKEAGTINIGDECQETCI >CRE11188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:315364:316435:1 gene:WBGene00064765 transcript:CRE11188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11188 MENPSTFPLLHLPMLAIKEVLSEVTPLDLINFSMVSRKTRLIARHFSKQPPISKYVLILDIYEPPSVQIFHGENLYEYEIVSYKTGNLGRLREEDEIIKYSKYSENPVTEFKKYVEYAMEVFNWPVGQLYFNFDDLNDQNYSIIDWLKFRVRSCSLFGDRVSDEYVSYFLENVQVHRYLSLGNTMSDNFRLTFPKTVKRLNIEKSTFVTFDQLSSFNCVSIHLSQTSISNKELNQFFKNWMTSKSNQNLQDFFIGIKDLESLETIFDLPHEVVDPGTFRTLHRGNHPIPVAGGIDIKRDDGTVATCYTQVCIGSLHLAMLVHLNF >CRE11264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:313372:314082:-1 gene:WBGene00064766 transcript:CRE11264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11264 MEFEQRIKAYRFVAYSAVAFSVVAVLSVCITLPMVHNYVHHVKRTMNQEVQFCRGSAKDIWSEVNQLKSIQHANRTARQAGYDAGVTGGSASAGGCDACCLPGAAGPAGTPGKPGRPGKPGAPGLPGNPGRPPQQPCDPITPPPCQPCPQGPPGPPGPPGPSGDAGGNGQPGQPGQDGQPGAPGNKGPSGPNGNPGAPGAPGQPGQDAPSEPITPGAPGP >CRE21012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig474:1487:3051:1 gene:WBGene00064767 transcript:CRE21012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-1 description:CRE-SRH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NLA8] MEYFMDVIWQLTVVVPYSSLCSTGVGHQYPVLMFSIVAAGLCATGISIMHMFEYRMNAVTDDSIKLLKNLITGVKYYHYFMMTSCMCLLVASYGHLSDQKSFKASVERKFGYPLPSYIWCDNCMFINTDSVTVMIFVGLAASSQPFAAVYFALSVYASKRGLQKLKNSLSQRTIAIQKNFLNSLYLQTAVHVIFISVPLGIFFVSFVIQIPNSAMYMSYILVAMCTQHGSLSTLALLMSNKPLYSVFTKTCTFY >CRE21016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig474:392:1386:-1 gene:WBGene00064768 transcript:CRE21016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21016 MTPLEIPAKDIWSEVNQLKSIQHANRTARQAGYDAGVTGGSASGCDACCFPGAAGPAGTPGKPGRPGKPGAPGLPGNPGRPPQQPCDPITPPPCQPCPQGTTRTTRTTRTIRRCWRQRTARTTRTRRTTGSARKQGTIGTKRKSRSTRSTKTKGTRVRYSVNSGAPGPCDGQPGAPGPKGPNGNPGALPLFKIYKQKLT >CRE21017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig474:4824:6690:-1 gene:WBGene00064769 transcript:CRE21017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-19 description:CRE-DHS-19 protein [Source:UniProtKB/TrEMBL;Acc:E3NLA9] MDRVIDGVKMVLGTLFFIVLNFFKNILPNGVLPRKLVQGKKVLITGSGSGIGRLMAIEFAKLGAEVIIWDVNKDGAEETRKKVEAAGGNASVFIVDLSKYQDIHRVADETKKAVGDVDILINNAGIVTGKKLFDCPDELMEKTMAVNTNAIFYTAKNFLPSMLSKDHGHLVTVASMAGKTGCVGLVDYCASKHGAIGAHDSIAMEILAQKKYGVKTTLVCPFFINTGMFDGVTTKCPALFPILEADYAVECIMEAILTDRPLLCMPKASYSILALIGLLPIEAQVLMADFFGTNESMNDFKGRPKRD >CRE21013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig474:7906:9317:1 gene:WBGene00064770 transcript:CRE21013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21013 MSFEKASLLAHKNDSVVELVTNSNDVPQTPGHQLQPTVSFYIQSFQTFSFFQTPQATTPKMNKYDAMKQFDNILESLDESDKPDFLNYVQIKLKREEEEKQRKELEKRKEHIKHESKEYQEKHEKKLKKCVSRYYEYDYRCRRMKSYYPTMEWMSPEKAEKKLQGYRLEEFDAFMKRMRKAESGLLEMETNYFPYEMTFYSGYFSEYFELKWKKIKNNAVAAKANITEFWKNRSSKEHN >CRE21014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig474:10604:13171:1 gene:WBGene00064771 transcript:CRE21014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21014 MKMLLIFVLLVMAAYGETTVCTCPEAKINHISPLTFGMTLLSPTFTSLYFNITAENNEVLIKHPSGPIWFADIEYFWDNEYYQGGGQRHSKICSYHIFHYHKELRKVSFIDFFKPKTRIYCFEIKYNTTHRPSTITFGCHWYQECCDLGCCTHGFLNVFILVLLYGGLGVMLVSFCSQLPTESEEDRRMRTRAEIAILFPCPEDNGQEEIPLQEMPEPPKYDDLYPNGCTYNLVTPEIVLHPAEEDETVEA >CRE21015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig474:13351:14934:1 gene:WBGene00064772 transcript:CRE21015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21015 MTALFWSLVLLGLFTPVIAGNCCDRSRAGYVEFTDLVHYLHDQQANHHYFSFDNSSDNYFVERNVKIEFRRNHYFMDDYYEPMENRTKVCLYKLYRQHDEIEHMTFKDGTRPKQLVFGCHGNEECCELGCCLIRKYTWFIFIYPFVGTILALSCFARKKRDQRDRLLAQIARGTIAEDQIIA >CRE11186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:292294:294856:1 gene:WBGene00064773 transcript:CRE11186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11186 MRRRAIVIPIFFCLVTPILLYLLGSYALNRLQDYERSEEEKVKEWQHKHLPKQNDDDVAPLPDHISTTMLPEIEESEEVEDIERITASTRKVPEEWTTTTSEPTSTTTEATTESTTVPTTTTTVPTTTTTTVPTTTTESTTVTTTVPTTTTESTTTTSTTPPPPTTTTRIRTWTYPTRRPPIYDPNRHRYGQDRATHHHHHHHHSTTTTEEPTTTTTTTQAPPPSSTTTRIRVTTPAISSSSNSIYDRITIPSIPPTSSYRNPYIQKIAVTSSTYVDPTTTTTGHRHHHHHHHNRTHIDIHAHHRHHMPYPQFPYPNFPSILYPSSTTSTEAPTTTSLTTHTTTTTTEPPTTTVRHHHHGHHRHHHSSTTHRPSTTTTRMSELDHWGFVLESEEELKSSEEESTTTSSTTTTTTTTTPAPTPAPTPRAAQNIPGLPRHRQPEPPTPPIKRVE >CRE11185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:280006:282921:1 gene:WBGene00064774 transcript:CRE11185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11185 MTTTCRLLCSVIFLTFVIARYEDKYDRSKMPWDLRPIDRFIGLWSLTGSNGRQRDLPPPSQIDYAINPIPKFGARAVNITHTYFDRNSVVRHDYGFMPVKNATRRDPRVHVAYLTTSSQGWSMMEQGQVKEGKMTFHLKQFMRRSFDVGNNNNLEVREFERQLELPDYNTMIMKVRIRAETAYDTESYTATYKRVY >CRE11184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:274658:276453:1 gene:WBGene00064775 transcript:CRE11184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11184 MISKRCYQIVKGLRIPYLTLLEMQVNKESTCVKLKSGEDFLGGWHFLNYEEDEDEEIKPIERTIGGCPMKTCILEDHIYSFTLHDVKISVRAGSHYLMDLFKLEIYKAWLDADQVPFARSPYFYPFKNLRDIWIFGEKPMDPDDFKYLVENIAPSYNLSIKLPFDPKFKFDSFLKNNSDYLAIGKRAHWITSEMFHSFDNAYLQLFGCRMTAVDCQLFISRWFHSSNTRFETLILSFIRVPDAYDFSEYELFPFDKERRGFAFKKRFNQYIDCTDGFDIIRSDGLLATILIGPFWFVFHVWHDRFPDLAGHTNLFQS >CRE11263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:268988:270307:-1 gene:WBGene00064776 transcript:CRE11263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11263 MMSGEIPDRWKTSIVMPLNKISKPKTPTDFRPISITSNICRVFERCLLKKSHIHLSAIQFWNSSQHGFLPRKSTTTCLLESMNEWTEAIDKGSQVDLIYFDFAKAFDRVPHCQLLDKLQELKLNKNLVSWISAFLKNRIFKVKVGASFSSKRTAICGVPQGSVLSPVLFGIFVNTIPSALPQNVRCKQFADDLKLYAILPKDAVDSPLPAAIEAIIKWSKDHRLALNNEKTSCITLGTKPKIFDYSIDGSLVRREEIIRDLGFMICPKLDFSQHWKKYVNNAKCVLTQIFNQYSSDNPRLLILLYKTFVRPILEYGTVVTSPLKKSDIRSIESVQNSLTRRIRSRELGKYISIDDPDYKTASERNEMFGLSSLESRRTLIDHKFVSKMLVGKVDIDTSKFFKLDTNNKTRTQTKFIWPKCKTRLRRHFFTNRALTTINK >CRE11262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:266673:268626:-1 gene:WBGene00064777 transcript:CRE11262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11262 MAGLFRSLFKSKKSRKENKENTNEDDYIVFQPCPQSTLSRQRTARFADDCMLPATAAYYTKSAPKLKKGPQSCPGGSRGGISRRTSSRQTFDIDSGHDSQSSSVRHSRSQNSVYSDEDDVENNERVEMFERKSQYYMQKYEESERQRREERRKQERLEHERNSIQNAMSNMAYCMASVQQIEKLKSERDQYKKEMSRYRTKCEKLENKFEQLETMSPNYGQFQAFLNPMQPSPYQLPLNANRYDGWMSLAMTPIGSEGAAESLINPSDLSLIRVNGYCGGDEMIECARADRDEIERIDVEEPDDDIPPEDVWRPEWDEEDEVVAVLEAVVEHLEVNPWVRDFTVQYNYPETEREEDQATHYTSPK >CRE11261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:258439:266044:-1 gene:WBGene00064778 transcript:CRE11261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11261 MCEVSPAENEPKTNICEFVIKTPDCKESLRKFIYNETISFENRRTARITEESVRKKREIGMPLFQPCRNRRFLESKKSKKSIQFEEKFQFIVEQSSSENLLTLMRIEVAMKKQMTDMIRARNWEVDQLAKQCEKLVAETGETDMHPHKISMLNEKLRQVHTTYSFQVADLSDSQKSKYRKAVDSLHTRGSIPAELLDDVMLDEQAIPRPTTSGTTGNGDGVNESFTIYVGSQLKSMHNVCLVTVDRLTDLCQTLEGDWTTSSRLEMATKLYSRTLSGTTLLVPRDPMSHINSSSDFFKICEQSTELHFDSLGEQLKKVAVANRSRNSWRADQIDDDNARLLSDGKPVPEPPIPTSALRQDSTCTIGDVYITKHSNLHKVQIVYHLVVDETLQSVEINSRHACLAGVRNIIRTAARYNTSTVHIPLLLIDRPDESTTIAWCLKRAEMVFKCVKGYLMEVCSGMDIESLPHYNVHFVLPSGLSPKIYSSISHMCTTIFQLVPGVSS >CRE11260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:246403:253690:-1 gene:WBGene00064779 transcript:CRE11260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttll-5 description:CRE-TTLL-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MQ85] MKPKNVPREIVNPFTNHPRKARFLLCKRLKLRPDDYLEEYCAELEVVNIMPPIRTPVDEPVERCSQSSSEEPTDYVMTRNTKKIRDGCSSDYMLFSSDALVHIANDERASEKYTWLGERLRLTFKMMRSDSRLIRTMCHSHGFMQCSSKNPSVNVIWMGAPVKSVKMRELMPWQRLNQFPRSTELTKKDRLYENIERSKSIFGDAYDFIPEFYVTPRENSKMEKAFKRVTKEILASGGQLCFPGEFIVKPTNSRQGKGIFFANSVSCWRKLQWSALAECSQVRSIRIFMSIEPNLQWSVFAAKIRFFSVERVCREFSIERVLMLRIEDLIVKSLLSIQNSVATVSRTTLKFACTNFELFGFDVLVDQCLKPWLLEVNLSPSLACDAPLDSLLKTRLIADLLNLACIPLLDKKIIDSVTPAIRKSMNVSSSQDSDDVDDDVGGVELDPKCVKTLKRRPPVGLKRSVLTKKIVSGTTSIVPNIDKKFEQIVRKTEMEETRRGDFIRVFPRNGTWGMYSPVMEDLGADDFDERLFEEIIRKRNTKNSSDRLSSPPDATSSASSSGYSSLSSSSMHIEDISDLFHDVMMQCDKYPTLGDVPIEIREIISPWYEEASEYTKKITQEGETYACKLPVIRSAARLRTKSCAESYEVKKIQMAKKKEAEAAKENEPIVLHAVVAKRVV >CRE11182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:241416:242814:1 gene:WBGene00064780 transcript:CRE11182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11182 MQNVIESLETPDKFDFSTCSQKCRRLVKCTRHPYTGIDIIVGSGRSYASVILRAGDRICYDWYCRGQEYSRMRVRKDRRTVGDMTIVFRKKPDKMETGGLMAEKLKVLYKYISDLFNIPILKYAYGNTPNVKLLPMALGIKKCEQMIITAVNDYTPGIPHLRYIIEEVEVSKKLYIGYYPIYSNFQMESTRFSMDILQLNTAAYITRDVFLNMDCSVIEMRRSSLSSEDILDFINQWYYSGNTRFERLHIESDSFKTPIDLSGFNPKPWNSSVRGQYYDEMKRMNFSSGLDITRSDGLLATVKQSAEAIEFVVWKNRFPKYIY >CRE11259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:238849:240173:-1 gene:WBGene00064781 transcript:CRE11259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11259 MSFPLLKLPFIPLELILLNANLAELTHFSMISKRCYRLVNNLRIPYLQCLDLHVTKESTQIKFKAGKVVCNGGWHFLKYTAGDDGEEDTLIDRFIGNCEIKTFILEDRIYSLTKGDVKLSVEAGYEYLMNLFKVKVYRGYLFADDVPMTRQPYFYPFKHIDIIHIRGKKAMENEDFKKALNDTTAQLELFVSIPVNIDFRFEQFRIEAKYLSFCKHAFWIRSKMFLNFDLKYLRLHECILYPIDCQLFVTRWLHSDNTTFETLVITLLYPPEMTDFDGLEPVPFDAERRKFGFKFNYSTYFDCTNGFDIIRHDGLLATILIQGFYFVFHVWHDRFPDVEGLVNFIN >CRE11181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:236402:238368:1 gene:WBGene00064782 transcript:CRE11181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11181 MSFPLLKLPFIPLELILLNANVAELTHFSMISKKSYRLVNNLRIPYLQCLDLHVTKESTQIKFKTGKGYVGGWHFLSYTARDHEEEDTLIDRLIGNCEMKTFILENNIYSLTRDDVKESVRAGYEYLMDLFKVKVYRGYLYPDGVPMTRQPYFYPFKQIDIIYISGKKAMENEDFKKALNDTTAQLELVVSIPVNIDFQFEQFRIEAKYLSFYQFSFWIKSKMILNFDLKYLRLHECMMTPKDCQLFVIRWLHSDNTTFETTLLAPLITPEITDYQGLDLLPFDAERRKFGFKFNPSTYIDCTNGFDIIRHDGLMATILIQGFYFVFHVWHDRFPDLKGLANFIK >CRE11258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:234450:236166:-1 gene:WBGene00064783 transcript:CRE11258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11258 MTISLLKLPFIPLELILLNANLSELIHFSMISKKCYRIVKGLRIPYLTLLEMRVNRESTCVKLKSGKDILGGWHFLNYKEDEDEEMKPIDRIILGCPMKTIILDDHIYSFTLHDVKISVRAGSHYLMDLYKLEIYKAWLDADQVPFARSPYFYPFKNLKDIWIFGEKQMDPDDFKYLVENIAPSYNLSINLPFDPKFKFDSFLKNDSDYLAIGKRAHWITSKMFHSFDNVHLQLFGCRMTAVDCQLFISRWFHSSNTRFETLMLKFIRVPDAYDFTEYELLPFDKERRGFAFKTRFNHYIDCTDGLDIIRSDGLLATILIGPFWIIFHVWHDRFPDLAGHTRYV >CRE11175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:185545:207786:1 gene:WBGene00064784 transcript:CRE11175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccch-1 description:CRE-CCCH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQ73] MFEFGSLSSQHTTTLFDDSGLSSTALTNSTNNSITSGSPPTAFSSNYIERPGSMFMSLYSGENGVAIGSGLNNSNSNSSNGFGLTSSSESTPPTIESLLEPFGMQSSTADFAAALAQLTGQTVQQMDRQHSTTQRPTSFAASSLFSSSEFANPLGAPGTPIGSQQQQQQHQMSIGHSLLGNGNSSPFSTPLGTPNGGPVPPPKNPKLYKTELCRSWMDHGRCNYGERCQYAHGEVEKRPVPRHPKYKTEACQSFHQSGYCPYGPRCHFIHNEPPSQYVTPISTPVSQPNTPSLYASQYHNVNMKTPSSQTTSGGQNQTTVGGGGVPSNTVLQRVYSLPNGGYGSAGESPPCSSNDSGSESPSNGSFSPGLDLDDNGPFSAGFLSASPHHKLQKTSTPQAAPRVQTNRFLSYDQSNISDNQFSNLLNDICQWNIEDSLSTTFPGFLTSKWSTTPADETAGAITPAATTTPGRLPVFAQLSNPQ >CRE11174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:174511:179374:1 gene:WBGene00064786 transcript:CRE11174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pafo-1 MSHNSSDGKRIEPPRKVDFMLKPRFTNNVPDVPFDAKFMPCPFVPLSRFVEYKQSGIDRDCKHAVICDDDMGLNVDLIDLRKYDEDVVGEVEELNEKDQYLLEDENTSKMSLKRSAQHSKLVPWMRKTEYISTEFNRFGVTADRQETKLGYNLKKNQQVEDMYRDKQSQIDAINKTFDDVRKPILEHHTKKGVKPVEEAYIFPDFEHWKHLFAYVQFDGDTVTTDLAGAEKRQAQESSVIKAMEFEDQKFAALFVPTIECLTHMMEDLEMDRSFDPDQKYEFLLSREYDFKYEPVAPRDRDVFVFYHRNGIYQYNEIESNVKMTRRRKMALSRASKLTIQYRPFTEQEEEGMEKRVDDLYEQPKTRKQEMLEKIQEEKEKNGGDSSDSEGEKSSRKKAPSSSSSAADSSGDEAPKKKLPISDSDSD >CRE11256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:172686:174052:-1 gene:WBGene00064787 transcript:CRE11256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11256 MSPKSVVVTGSNRGLGFGLVQQFLKDPKVEHVIATARDVEKATALKSISDARLHILQLSLGCDDSIAAFTEKVSEIVGDSGLTLLINNAAVMLPYVTKQKPDRKIVNDLFEANTIGPMLLTQSLVPLIVKSASQVSTDGLSISRGAIINIASEFLGSIGDNTSGSGEYKAMAYRMTKCAVNQFTKTLSIDLKEDHILSAGVCPGKVQTDMSKGKGEFTVSRIEEASTLLVEAFNKLGEQHNGGYFRKDLSVIPY >CRE11255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:168513:170279:-1 gene:WBGene00064788 transcript:CRE11255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11255 MSPGSVVVTGANRGIGLGLVQQLVKDKNIRHIIATARDIEKATELNTIKDPRLHVLPLAVTCDKSIDTFVSKVAEIVGADGLNLLVNNAGIAVKYGSKSEPNRAKINEQLDVNTTSVVVLSQKFLPLLKKAASKVSGDQLSVSRAAVVTISSGLGSITENDTGSGLFEGLAYRMSKAAVNMFAKTFSIDMKDDHILAVNFCPGWVQTDMGGKQAALTVEQSTSELVTAFNKLDNSHNGGYYHRDLTPFKF >CRE11251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:153663:160055:-1 gene:WBGene00064789 transcript:CRE11251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11251 MTIITDMKTKHPPDPPSRYQLLWEACESEDPSFGLKNLKESIRNSPQQDEGKVTIGQIREHFEMEDFFDFEKRWVEKNLYYYISDECFSFKIKLAILYAFIIIGAISHHLVYNLYLGQELSFETRALTIGALFILPMFVIMNWTIDITTNFYTRFVKTVYMEDMNNFDVKEEKKHKKYIIHPDAPIEFEKYKYYWNESHPELKGVMNVCEYRISWMIDLRELYETYAPDGSKIKSLYYRCHFAQSCMGLECRVDSRLFVPPIFLTVFITIFWLFKKMLWRDMKRVERIQREARLAEDQGTVRVEDGPPSYNAVFSLVPPSYESVMLGKVTYSASSSATESVSPS >CRE11249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:144576:148638:-1 gene:WBGene00064790 transcript:CRE11249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11249 MGDIRRLKDGDILKYSSGIFSSKWKRMYAVLFSDSRLVWFEQKGDRKPKGSVLLKDVIPYICVGLMTDRMPVKRPNVPEGNSVHHLVGIGMNPKADPCHWILFASDSDIESWFLEITKTLPKPANPPPQGGPGPQGPPPPQQQAGSGGYVPPAVYPSAPPPVQPGYNPPRPGQTYPSQGPPAYGQQYPNNYPQGGGGSVPTSSHTTVIVRDGGGGGYGGGGGYGGYGGGGGSGFGSTAMGFGGGILAGSLLGYGLGSMWGGHHSYGGGWGGGGYGGGYGGMGGGYYSDNDTNVTNNYYNYGDGGNSHDNSSSNLNDAAGNANSQQPADNNYGNANADQGTSDNNYDYGNSTEDYGNAGYDAGDFGGGDYGSGGDWGGGGGDFGGGDFGGGDFGGGDY >CRE11248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:139784:142315:-1 gene:WBGene00064791 transcript:CRE11248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-85 description:CRE-SRX-85 protein [Source:UniProtKB/TrEMBL;Acc:E3MQ62] MSSNSSVSDGGALDAIVLNYEDPTSFFASILMIINGIFGVICNSLIIYIFLKERKERTAFNVICMVRAIVNAYVLITNHLALFVPMTLNGHSIVSKTLETWAICMSNSLYMANEYLTVVIALNRFISLFFPLWYAKLCGMKPTIVILFVMYAYRIGAVTRETIGYTAIDCYVLYYTEFLSWAPDFSPQCQSNSGILLFMAVNFAIVSVFNIATFLKIFKFYKNQKQEDRESRKKIKKNVYLFIQTVLQDSLYLIDISFTFYFFSFYENRLWTFFCGTFVWQSLHSMDGFIMIIFNERLSFLKKQLFHPLASKVSFVRVSQVPSRNHSQSNA >CRE11247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:136102:139242:-1 gene:WBGene00064792 transcript:CRE11247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11247 MRFSIFLLFGLFSITNGYNFLVYCPLFGHSHSKFFGTIADALTDAGHNVTFFTPTIVEKYRNISYTKSTKDVVHMEPSDKLKAYGEQMESGDFVRFWTEDSTSTEILPVIEIFQNMYNEQAEVMKLNLGVLDDLKKRNFDVIIFESYVFSAYPLMDYLDITTFIPSLSVTHDVALSQAIGEPAMPSVVSDVLSPFGEKLNILERALNLIAVPVFDLMIGYPVHRSFKPPHDVIDIRALEPEASFVFINSNPFVDFPRATLTKTVEIGGISVDLEELRSQKFDETWSEILNLRQKTMLVSFGSVMFSKDMPLENKKALASTMAKFPEVTFIWKYESNDPDSFAKGIQNIHFVKWVPQTALLADSRLSAFFTHAGLGSINEVSYLGKPAILCPLFADQMRNAKMLSRHNGSIEFSKYDLSDTSKIADAFQKILFDSSYSKHAEKLAEQLENQPIKPKELMVKYAEFAARFGRLPSLDPYSRKMSFIEYFLIDVAAIVIFVLISVILLLKKLLCVVCSLKKKKID >CRE11246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:128633:135811:-1 gene:WBGene00064793 transcript:CRE11246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11246 MTIDKFFIRVTGTQSFHQKNMRLLLILFFYFPVTFSYNYLVFCPLFGHSHTTYFAKIADTLTDAGHNVTFFTPTIVRKFSSHQYVKSTKHVIHLEPSQQLEQYGNTFEDHDFTKFWTDDSSLSEMLPMLELFNKMFVEQARVLGENLGLLDELRERKFDVMVFESFVDTAYPLLDYLEIKTFIPSTSIAYDPNLLVSIGEPRMPSAVPLPMSKFTDRMSMIQRVINTIAPPIFNFFLSKPEYRSFRPPHNLIDIPSKESLSSFIFTNSNPYIDYPRPTIEKNVQIGGISVDVEKLRSQKVNEEWDAILNLREKTVLVSFGSVMLSKDMPLENKKALASTMIKFPEVTFIWKYESNDTDSFAEGIQNIHFSKWVPQTALLADSRLSAFFTHAGLGSINEVSYLGKPAVVCPLFADQMRNAKMLVRHNGSIEITKYELDDETKIEDSLRKILYDESYRTASENLAQHLANQPVKPKELLLRHAEFAARFGRLPSLDPYSRHMSFIEYFLIDVATIILFTCLLISYLMFQITKQLAIRLPFVADDAKKCN >CRE11245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:124552:128557:-1 gene:WBGene00064794 transcript:CRE11245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11245 MKHTTIFLLLISSTTPYNILVFCPLFGHSHSTFFGRLADILTEAGHNVTMFTPTIVDEFRNFSYTKLTKDVVYLDPSPKLKAIGDLIAGNSRYWNQEFSLNVIPETSRFFQSLANEQHNVLSENLPLLDELKKKNFDLILFETIFTCAIPLMDYLEIKAFALAHTVAFEASNYYTSYSNPEERIYPLQRLPDASFVFTNSNPYLDFPRATIAKNIQIGGISVSMETRKLGEEWDRILNLRNKNFLIAFGSVILSKDMPFESKVSMARAMKQFPDVTFIWKYEDSDTDKFAEGIQNIHFSKWIPQRELLADARLSAFMTHGGLGSVNEVSCMGKPSIMCPIMGDQMRNTKMLVRHNGSIEISKYDLGNSDLVEEVMRKVLYDESYKIAAQRLSDHLRNQPVSPKDLFLKHTEFAARFGKLSSLDPYSRQMGVLEYFMIDLVLIVTVILVILCLFLYFLLKLVCKMSEKKMKVE >CRE11244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:122115:124133:-1 gene:WBGene00064795 transcript:CRE11244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11244 MENLKPFPLFRLPRIVIEEVIKTMTPFEIINFSMTSLKIKYLTKCFLRTNRNSQYVLQVNTNEEPKVSFRGSEQMYFELKITSDKRKDGMRKYKECMFNEKIDTFWIYSEDVIDKWTNLVKTVIEVFKFIEHIVIFDVDKFPTKNKAIVDFIKSQTPSIECCDFYGVAETDEDVEYFLNNLIVTKFVGFNLKLSDGFKFPQYNYLDVCCLDPGNWVIFDQLLHLQASRLLIHGSPLTNEELNSFLILWMTSKCHQNLSYLLININDPQSLDTIFNLPHERINPDVERVGRLPNNDTIPLRGEIDIKRNDGMTGTINFGWRLDKMLLKMVVSRIE >CRE11242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:106739:107933:-1 gene:WBGene00064796 transcript:CRE11242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11242 MEKPFPLFRLPRLAIEEVISTMSPFEIINLSKTSLKIKYVIKCFLRTSRNSQFDLQLIMNKEPKVSLRGSEKMYFELKITSDKTKNGMRIYKECLYNEKFDTLWIYSENLIDEWMNLVKTVIEIFKFEKQIVIFHIDKFPTRNKAIVDFIKSQTPSIECCEFQGKTETDEDVEYFLNNINITNGLSMTVKLSDHFNFPQFNHLNSCSLDPANWLTFNQFIQFKGSHLCIHGSPFTSYELNQFLILWMTSQCHQNLRFLIININDPQSLVTIFNLPFEIINPNVERIGRVSQNDTITLRGGIDIKRIDGVTGTIHFKWRGDKMLLLMMVF >CRE11240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:102739:103893:-1 gene:WBGene00064797 transcript:CRE11240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11240 MEKPFPLFRLPRLAIEEVISTMTPFEIINFSMTSLKIKCFIKCFLRTSRNSQYVLQMHTNEDPAVSIKGSETTYEFTVTSDKTKDGMSGMREFKYFMEIKKIYTLWMYSENVIDGWMKMVKIVKEIFKFKKHYAIFIIDTFPTRNKAIVDFMKSQTPSIDGCEIYGNAETDQDVEYFLININVTNCLEMISRLSDHFKFPQVNFLDTCTLDPANWLTFDQLLYLKGARLLINGSLLTNQELNQFLILWMTSQCHQNLSFLRINITDPESIDIILDLPHKVMNPNLERIVTLPNNNTALLYGGIDIKRNDGMTGTIYCDWREEEMELTMVIFRNE >CRE11238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:99196:100344:-1 gene:WBGene00064798 transcript:CRE11238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11238 MEKPFPLFRLPRLAIEEVISTMTPFEIINFSMTSLKIKYFIKCLIRTSRNSQYVLHVRTDEEPVVSFRGSENMYFEFKITSDKTKNGMRIYRKCLYNEKFDTFWIYSENLIDEWMNLVRTVIEIFKFVEHIVIFYIDKFPTKNKSIVDFIKSLTPSIEICEFHGNTETDEDVEYFLNNLNVTEFVGFNLKLSDRFTFPQDNFLEGFTIDPGNWLTFDKLLRLKGSEFYIHDSPITNQELNQFLILWMTSQCHQNLGYFLININDPQSRDTIFNLPHERINPDVERIGRLPNSDTIPLRGEIDIKRNDGMTGTINFDRRLDKMLLTMVVSRIE >CRE11237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:97540:98741:-1 gene:WBGene00064799 transcript:CRE11237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11237 MEKPFPLFRLPRIVIEEVIRIMSPFEIINFAMTSLKSKTLIKSLLRNGRNSYAELQLNTYKESEISIIGFEVYFEFKVTSDKTKDGMREYKEYMETEKIYTLWIYSDNVLDEWMKVVKTVKEIFKFKKHLVRFEIDNFPTQNKSIVDFIKSLTPSIESCEFYGKAETDEDVEYFLNNLNVTEFVGFNLKLSDRFTFPQDNFLECFTIDPGNWVTLDQLLRFHGSEFCIHDSPLTNYELNLFLIFWMTSQCHQNLCFLLININDPQTLDIIFNLPHERINPDVERIGRLPNNDTIPLRGEIDIKRNDGMTGTIDFKWREDQMLLQMVVSRID >CRE11236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:95876:96982:-1 gene:WBGene00064800 transcript:CRE11236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11236 MENLKPFPLFRLPRIAIEEVISTMTPFEIKRESGLMSTVKTETLWVYSKNVVTGWMKLLRILKEVFMFCRHRIIFHLDSYPLQNKAIIDCIKSRTPSIDGCDIYGKTETDEDVKYFLNNLNVTEYVGIDVKLSDSFRFPQDNYLEYFVVYSASWVTFDQLLHLKASRLYIHGSLLTNQELNSYLLLWMTSQCHQNLKFLLIDITEPQSLDTILNLPHERINPDVERIVRLPNNDTISLKEGIDIKRNDGMTGTIHFDWRLDKMRLMMWVSRIE >CRE11167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:83680:85609:1 gene:WBGene00064801 transcript:CRE11167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11167 MKYILFLTILISSTTPYNILVFCPLFGHSHSTFFGRLADILTEAGHNVTMFTPTIVDEFRNFSYTKLTKDVVYLDASPKLKAIGDLIAGNGRYWSQEFSLLEIPQSSRFFQSVANEQHNVLSENLPLLDKLKKKQFDLLLFETIFTCAIPLMDYLEIKAFAVAQSIAFESSSMRAIGEPVMPSHIPDLLTPSSDRMSLYERLLNTVTQTLQTFYKFSPEYYTSYSNPEERVYPLQRLPDASFVFTNSNPYVDFPRATIAKNIQIGGISVSMEAGKLEKEWDQILNLRNKNFLISFGSVTLSKDMTFESKVSLARAMKQFPDVTFIWKYEDSDTDKFAEGIQNIHFSKWVPQRELLADARLSAFMTHGGLGSVNEVSYMGKPSIMCPIMGDQMRNAKMLVRHNGSIEISKYDLGNSELVEEVIRKILYDESYKIAAQRLSDHLRNQPVSPKDLFLKHTEFAARFEKLPSLDPYSRQMGVLEYFMIDLALIVTVIVVVLCLFLYFLLKLVCRISGKRMKVE >CRE11234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:79178:81206:-1 gene:WBGene00064802 transcript:CRE11234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-112 description:CRE-STR-112 protein [Source:UniProtKB/TrEMBL;Acc:E3MQ42] MNGAFWLALVDTADMVGFTMTFSVNLVLLGLIRTRGKNLGTYKYLMSFFSFFSMFYAIVESILRPIMHIENTTFFLISRKRFDYSRLGKINSAFYCACFATSFVLSAVHFVYRYFAACKPHLIRFFNLPYLFLWPIGCSIPVTAWASVSYFLYPDTEYTESAVTNVLKTHYDGIKKENVSYIAYVYYQYDTDGVRHVYIKNLLGCFVHYFVMSMTFVVVFYCGFSTWWTIREHRGASDKTRQLQNQLFKALVLQTLIPSLFMYIPTGVMFIAPFFDINLNANANFIVFCSFLYPGLDPLILILIIKEFRVCILAIIKGTGNNRVSDIYTTSRGKMSQVVVHDLSG >CRE11165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:75974:77819:1 gene:WBGene00064803 transcript:CRE11165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11165 MLFNFIILIRASQFHFNFCCIQGWLFVIHFNDNIAILIMRITMIFEFVDNSNPASSLLFWIPMNVTVACMFAAISTLFFLAVERCCATFFIQDYEINKRRSISVLLNLLLTMFGFGSCFVLTEKENTAYLIVILLVINAFALVLHFLLQWWNRKIYGGLHDYSYLSSYSLTQRFQVAENIKSLQMLNKIIYYMGFMNLIIVLSVLFSSFDLSPELELFITFCLDTAIFVYSFCYPIIMYHSCERWKSEIDSFFKFLGCVRHSSKVHPILNTFGKSMEHANTMSNHFDHLKVSWEAVPRKSVISIVSQ >CRE11233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:74724:75178:-1 gene:WBGene00064804 transcript:CRE11233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11233 MKAEQLMAISLIPLTSLGIVCNWLVVIAISGNRSLNHSFSLLTATQAAANGVFSVLYLVYVAPMMILDLKVLKDCSQHVGFLLIICYDISIQTHVLITVNRFCAVFLPVVYKNLFK >CRE11230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:66236:68954:-1 gene:WBGene00064805 transcript:CRE11230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11230 MLTGNQAVSNGLFCVIYLIYVTPMIVFDLTFLKDNSHHAGFLLLLCYDVSIQTHALITVNRFCAVFLPMVYKSLFNSKCTNLIMLLSFLISLIQLTIFFQILPCRIYYNEQELGYYYTDLPICWDYSLYLDMGKLMTICTFNVVVDTITIWKVRRIRSGHGVTKFQKKEIDFLKQSFGQALYLFICIPAYYIIPLFTSSKIVLFMIGMIFWATIHMFDGVLTLYFNMEIRKSLIKKCKTSGNNSVLQMGVSIVGIVCNGLIGFVILRNGSSNHSFSILTGNQAILNGLFSITYLIYVAPLMVFDITFMKDNSEHSGFLLLLFHNAAAHNHVLITINRFCAVFWPLIYKTMCTCKHTLIVIFISFSIAFAQMITLFQILPCRLYYNEEIFGYSYTELPLCQGYLWYVDVGKQMGICVFNLVVDTITIWKVRKIRSAQGVTKIQKKEIDFLKQVHYSNPNYGWKGKAIKFHASAAGNFGRSLDASAVHKIPTVFFLNERQQNVF >CRE11229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:63592:64874:-1 gene:WBGene00064806 transcript:CRE11229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11229 MHVKNNIPTNRTLNHSFLILTGNQAIFYGILGMVYAVYVIPMLVLNSKCMMENSHHAGFLVLMCYDVSIQAHVLITVNRFCAVFMPMVYRNLFSAKLTKRIVLASFLISITILTIFFQFCKSRPISHHQRPLSVPCRCYYSEEALGFTYKDNPICWDYATVNTAKILTMCIFNVLVDTITIWKVRRIRSAHGVTKIQKKEIDFLKQSFGQAIFLFICIPALYIVPLFTTTPLAKFIMGTTFWASIHAVDGALTLYFNVEIRKSLATQFKLNKGVSGPMQGIIGLL >CRE17711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2103:1:2694:-1 gene:WBGene00064807 transcript:CRE17711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17711 MSTSESETETKPSNNNSSPQNSSDSESDDDCDSESTCESETEEDYTNTPESITAENFSLLSLYEKYEETEATVAINHIVETLQNKVFNPTKLASSSPDIRLPFTLLSTPKHEKILALVDTGAAISLISDRTAKKLGLKVVQTIQLSLTGVTGSTSGPCNIYQITFIGDSLEFHTYVAGVPHLAKTKYRKPKFSRKDKETMRSMKINYKNVTPDKVYDNTRMDMILGNDILPHFIRGSQRVCLPSGKYIEIGPFASMTFPNARHCPVMDQNMIPEGISSLEEPHPPSINVLMSQKYGTDNDDELTNLILQLWQTENCGIESATMLESEYLSQEYLLQLFEKEAVIGEDGLLYVALPWNGKQTRMGNNKSLAYKRLTCLIEKLRRNPDLLKAYNKIINEQLEAGIIERVTPEMKDQGPEYYAPQNAVLKENSTNTKVRIVGDSSSKQRDTLSLNDCLHEGPNLLKTAPGILLRHREKKYTAIGDIARAFHQIRLQEKDRNATKWLWIRDINKPPTGDNLVEFRFTRIPFGMKCSPFLLAATIRHYLLLAANVLSREIEQNLYVDNLMVTTNNPDEVLPKILAVQKQFREMGMYVREIATNHQPTMLQIPETDRAESNMVKFLGYIWNLETDTIAIQIPEPPEKKMTKRDVASFLAKLYDPMGYTAPLQVRIKRFVQLIWNDGLDWKQTLSDKLNTEWMKVKELYKHRTIEIPRQLRNRYIPNQRPEMALFCDASNHTYGNAIYILYRSEDGSAESTLIGAKSKVRPSNGSEWTIPRLETLAVEIGMRHSQSLIKELSDEDKPTKLDVFSDSTIALSWILTKEQTKQWVHNRVNSVHTIEAELKSMDIEVSFHHVATDQNPADLATRGLSSTELKASKLWFTGPALLKESRETWETQLEGE >CRE11228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:47628:49368:-1 gene:WBGene00064809 transcript:CRE11228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11228 MDPTTPKSFTNWVTISQLIAQIGFCSTTIFCGILILLTVFGVKRSFGSYKYLLVLFPAVGIVFAVVEVLLCPNVHSYNASYLLYSIRRPFGMTIKSVTIFMAFYCTIYASTICMLSVQFVYRYWAVFDETNLRYFEGWRFFICIGYSTIVGAQWGVSVYNFNEADDYTDEYQGSEMLSRYSLNITEISRLPLVFYAADGSIRWFNICSIVDMTVFMVVQYTIIIYCAVVMYQQMEEKLQILSASLRNLHRQFYKTLVVQIITPSICLFAPVAIIINIPMFDLKISVPTGAFVCGFTLYPAMDAIIVMYIVKDYRKAVRIMLKKLLDMLYRVLDLNDYRLNASTTATAGNEGGANNAPV >CRE11164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:45379:47096:1 gene:WBGene00064810 transcript:CRE11164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11164 MDPATPKSFTNWVTIAQLVAQIGFCSTTIFCGILIILTVFGVKRNFGSYKYLLVLFPAVGIVFATVELLLYPVRLIIVGPTVNIQNVHSYNAGYFFYSSRRPFGITIPLVTIFMSFYTSIYASTICMLSVQFVYRYWAIFHETKLRFFKGWRFFICIGYSTIVGAQWGLSMYYFNAMDDYKDQYMRSEMFARYNLNISEIARLSLVSYNADGSIRWFNNCSTIDMTVFMIVQYTIVIYCAVIMYQKMEEKLKMLSISLRNLHKQFYKTLILQIFTPTLCLFAPVVFIIYLPLFDLKVSIPTGMFLCAFTLYPAMDAIIVMYIVTDYRKAAKKILKSFLDGLYRIFKLNELPVDATQTTNTREMGVMNNNGSVWS >CRE06082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:192715:195473:1 gene:WBGene00064811 transcript:CRE06082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-38 description:CRE-STR-38 protein [Source:UniProtKB/TrEMBL;Acc:E3NAW9] MVTMNLNSTTTILPFIAEIFSRVGFVCTCIFNIILIYLTAFHTERITGAYKYLIILFSLVCISFSCLEVLAHPYLHNYNGGFIYFSLNDYLGASQALLRFFIEAYSGAYAAIMCMVAVQFVFRFATLMNRRTLLSTFTGFNFIIWIIYPLIFCVLFGAMTHYCAQAEPFSDDYMEKELRRVYNLDIEKTARFIVIAYNADGFVRWFNLVFLFGAMIILSAQYAVIIYCGVQMQMKMKKELKNFSLPNRKLQQQFFKALVVQITLPTLLFHLPALPVLFSPFFNIEFTFQTGFIYAVFSLYPPIETIAFMMIVSEYSNIFKKKVLRRPSAPDVNKKRISSDISIH >CRE06081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:189139:190961:1 gene:WBGene00064812 transcript:CRE06081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-45 description:CRE-STR-45 protein [Source:UniProtKB/TrEMBL;Acc:E3NAW8] MPELHEISYMFTKCGFGAAFIVNTFLIFLTVLHIKRIYSTYKYMVVVFASMGIVFSGWEIVARPFAHNYNKGFLYFSLSNSYDASQEFLRFAIVAYGSFYLVILAFIVVQFVYRYITLFKPVAIRKFKGKGVLVWMVYPLLAGAAFGGPLYCFGVVDEYSDEYLRGEILEKYGMAIKDLPRFAIVTYDANGHFRWRNICYLLTSISVMGSQYLIIIFCGLRMHFTMKKELGNFSVPNRRLQKQFFTALVAQTLAPTLLFVVPAAPILLGPLLDTELSIRTGMIYVLLNLYPPIDSIAFMMIVSEYKVVICELCGYLTFKSGKGSRQTSQVYSTYIT >CRE06080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:186240:187656:1 gene:WBGene00064813 transcript:CRE06080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06080 MGAAYLRYIQFCIFMGNLGFFSNAFFGFILVYLTLFYIKRQFGSYKYLLVNFQVLGFVFASFEFLFHTFLHTYNASLTYFSLSRPLGLSNYAMEWMMGIYTGLYSATICQLAIQFMYRYWAIFDTPKLRYFYGFYYFIWVGYYTFFGVLWAFAVGHFFAMDDFGRKYLGDEILLRYERNITDIPVLGLIAYEGDNIRWRNVYGLSLMTLISTVQYSIIIICGHQMYIGMKTKLAVLSAQHRRLHRQFFRALVIQISAPTIILFCPVFFMIYAPFADFEMSFPSCIIQSGFTVYPALDSVIMMSCVSEYGRAFKKLVHNTKEKYAVRANKEESKETVKNTTSTKNLTTKL >CRE06079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:183004:183924:1 gene:WBGene00064814 transcript:CRE06079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06079 MVNGSLNSKVSPIPSGVPQGTVAGPLLFLVFINDLLLSLPPSLHFAAFADDIKLYSHDSILLQSGIDIVSEWASANSLPLAHTKTTLLRLGAKNPGHHYHIDSIPITESAVVRDLGLLTDSHLKFDSHIAKTSSLAILRCSQLLKSFRSRSLPLYKHLFNTYVLPVLEYCSAVYSPSPSSILSHKLEKPLRSFTRKVLQRCNVRYTSYLNRLEILDLYSLRHRRLKSQLILLYKIICGATFFPEIHSYVRLSNSNRRPMTLICIRPQVNDFFSSTVPIWNSITSNCPEFLSPGKFISLLEQSINRL >CRE06078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:180983:182741:1 gene:WBGene00064815 transcript:CRE06078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-6 description:CRE-STR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NAW5] MKMPFIQLDKLTRLIAAIGFISTSILVLLFIFLTVYFVKRDFGSYRNLLIAFSILGFAFSSSEYIVHPMIHSYSAGFVYFTNPSSLFSNEAMKIGLVLFCGIYGATVCFIAIQFLYRYWALFDVNKMKWFEGWKISIWFFYSLFIGTIWAIGIFHFLENDDYSLDYFRQGVRQHYSLELSSIPSFTSLIYTRNGDVRWKNLMCTVEMTMIIGMQYLIICFCGRKMSTGMKEKISMLSETSRRLHTQFFKSLVLQIVVPTFLLFLPMIVIIYLPLFNLEFSFPTGILFSAFAIYPAIDIAIILYIVCDYRNAIKYVWKTMKTTCVPERYIPPEISFPVCTIQNLVAYPIQTTRMV >CRE06077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:178097:179758:1 gene:WBGene00064816 transcript:CRE06077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06077 MRLQSWMMRKRRPQLVPTFFGGFKILIWIAYSFYFGVQWALGVIIFAHLDDVATEYMQDEMLEYYGKRIEDIAMCAVVAYDKNHNLRWFNVMCILNMTLIMMIQYGLIIGFGWRMSVTIKQAVSMLSSSMIKLHTQFFKVLVLQILVPTITLFLPVFILMYTPLLNFQLRFPSGVMLSAFSFFPAMDAIIVMYILTDYRNAIKNMFEGVSMTVHGAMRVTNVAESTAKSRWTT >CRE11227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:11739:15046:-1 gene:WBGene00064817 transcript:CRE11227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-27 description:CRE-STR-27 protein [Source:UniProtKB/TrEMBL;Acc:E3MQ27] MEAEIAEFVSYSAYFFTLLFNTTLIYLTAYHTKRISLTYRHMIIGFALFGIGFSSLDIVVRPIMHSYNGCFLYFSLEGVFRSSKKITEVLLAIYSAVYSSILSFLTIQYMFRTCLVMKPRLVEYFQGWRCVFWLGYVFVFGFAWGFITYVYAYPDDYAREYVRSEMYEQYQVHSNDVPLFVLLAYGERNNTKFVRFQSLICIFGDMGIMTLQYAIMMICGFLLYKKISTDLKEATAMTAYSQVQKQFFKALLYQLLAPSLLVHLPAVPLFFAPFFDMKFSFRTRVVVYFFSVYPLLDSLILFIVVSDYKHAVRKIIAARAVQVLSLLNVASVAPTTSTTRYRVAEGVL >CRE11225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:5788:9145:-1 gene:WBGene00064818 transcript:CRE11225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11225 MSFELPSVPNNIVKLFCVITVFLNSSLIYMTLFHTKQIVGTYRKMIITFATYCIAFSLVDAFVEAIFHSYNGCFIYFTLSTTFGGSKRAGEIGLLVYCGVYSTILASLAVQFFYRAVVIAAPNYVKYFNGWNYIFCILYSIICGAAWPLNVSLTYPDEMTDAYMKDEMLSNYGVKVKDVANYVVLAYNGEGESKTLRWNSVACILSVSSLLSFQYMVMLISGILVYREIQRKSRCSESSKHEKLQRQVFVALVYQVCVCVCFSTTCEFFQVAAPTIFIQLPSFIVLTIPFLDLKFSFRGAIIIYGYCTYPFVDFLIILKVITEYRNAYKRFLIKLAHRFIEILGYNMSTTVAPSSTAATISRTLARSGTLFYVVTVFLNTSLIHLTLFHTKQIVGTYRKMIVTFALIGIAFSTLDILVRPSFHSYNGCFIYFTLGSTFRSSKRVAEFGLLIYCGFYSMILAFLAVQFLYRACVISKPSWTKYFDGWKYILWLFYTFLGGILWSLASTLTFPDETTLSYMRNEILQNYGVEIKNVPHLAVLAYNGEGPLKTIRWNSLVCIGCVSALLVFQYSIMLVSGIIMYRRTQGKVTATSSEQERMQRQFFNALILQVAAPTIFFQLPGFVVLVSPFFDFKLSFHSGIVTLGFSAYPMVDTLIVLRVVTEYKNAYKRFLRSFAKDCIEFLGGDTPRNPPATVTNALAKL >CRE11158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:3190:5680:1 gene:WBGene00064819 transcript:CRE11158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-57 MYLLLLYIFSQIFYVQADSSYSKLCYGGKVEHLPMGCEEVIGYPLVIEDFHYELTRDTVAGRKLASIKRVNNGIILRKNYFTQFSVMRSLQYLAASPSDGPLLKFEHNPFVSSFEFADLRIINGTMPLVSFWNDNFPIQMRKNSTAFQNFRDFLDTAGHHIDPCSPDYFDLRIGEESMHLRSTAHINHYGISEVPSNHWHLVIAGSLGALALVIIIDTMWYAGFQRWWEDRLFELELEKETKEYRESIQKWEMTEFQRKVKERVEEQEEDLREMAGKEAPNIKMYGKEIWNDILDMGA >CRE11224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:770:3034:-1 gene:WBGene00064820 transcript:CRE11224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sfxn-1.3 description:Sideroflexin [Source:UniProtKB/TrEMBL;Acc:E3MQ23] MSDLVINQKILPDISKSKWDQNTYSGRVKHYFASANPMTLFTSSTTQENSRKIVLDYKKGIIDPNLTMDQLWRAKILYDSIYHPDTGEKMFCLGRMSAQMPANMVITGLLLSCYRSCPGIIFSHWVNQSFNAIVNYTNRSGNDRTTNQQLFYSYCCATGAATTAALGLNMMVKNSHGLAARLVPFVAVAVANAINIPMVRAHELTDGIELCDENDQLIAKSKKLATLSIAQVTLSRIAMAMPDMVLSPVIMNRFTRTAYYRTRPLVQKYSEMPIQTFLAGIGLYFTTPLGCALFPQKSSIEVLKLEPSVQKQLLKWRDPPKVLYYNKGL >CRE25735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:409590:413523:-1 gene:WBGene00064823 transcript:CRE25735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25735 MQTTQSAQCSSNPMRRDMSLQNDSIRSSSTDQTREQQIPQSQPPPTLSPPYENDFPDFPPILHAEKPVEKLRRRVKIPTPASSTPDSDPLFTELLSKFLISEGIPLEVSRDSTFRDLIRHFNPKCEIPTENSMTEYMQKHYVKPLINYPKTVGPISVTIDIHGDLDEKFLVFSIHYFEDIYERKNVVYLKKISNNEIDAINTLITIRRAVNNNSFRDVKFSNSVGLNEEILRVTGSRELVKRFHICFYRNMSKFVSNLLGIDIFSHGLDVLRLFIRFIKGNPDLYRKFAYFQSARNKESNLPSTDNDSWESTYILLTKCLLLHESFIDFCEQHKIRLYITNMVFNHLIHLQRLLRQCVYYCRSLSTPSSSISQIIPAIEGLRRLIDREFPFQQETVQELLDSSFGNYSRNCYEVAVLLDPLFSYTDIFPDEKWKDLENLVIDEFVKTNWKWTVHSGILDATMMNSKERTTFITSEIMIYRQFSMRERPKESDCPFLWWAERQSQFEFLSVMVREYFSCPAVSIDASFYFSEGGKLHRLCKMYSGQQLEQRLNLAGSHQEFRGKGASEDDSTYSMIEKLDGLTRNSKPETSYFQPIIKVFVPILLPSNKQEPTNFLNGSMEESQLDVKLEPFDSEEPYFDTRTAPTKCKSGLPNQMNSFTEPRSRCTICKESKKSELFWYFGRTVERLILVLGCLSRGFFTVMCAEEIMRKKKRFHVCSCHIRETVEEIYEKLGLTIPEDLYSCSMELFENIFNSVAHLNPGMTKEEFQEALFEFFIKYEHVREENEARPQLSWNKVAKVNEEADFERVQEDCNDEDEVDSLSPELINSITTDDLSTPRHRRCTICRLLRAPDDIKSFIRECDRLLIIIGCLVGESINIRQAEGLMKKTSIYVCNSHIQETHREIYKQLYSAESEDLEFDSKIQKMMTSVTLLIPDMKLSPLRRMLNEFLIKYNYLMSEEQKYVPKPLRTRKSASQDLEEMEETEENVSLDGGERLEDSAYYPDDSDDSTWPDKKYSPKAYTSSAKKSRKIEDSDEDWEPSVVKKKKKVTKRSSK >CRE25734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:407693:408784:-1 gene:WBGene00064824 transcript:CRE25734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25734 MEAKFKVGEKIVCLHEKTTPYPAKVTAIRVVRGVDNYNVHYISWHRRYDELVPFGEEEGKMFKGTLEDYNKNHNIPPEEAMKNLIIGKTGTHPKASADGKENRKAGRPKKKERGGPSNPTHSTSSEPTHSVRLEVDLPPGLLKVLGEDHSLIGRDFIPELPVTHSIDIIIGEYLAKVEKDEKRELAEIKEGESAKREKNKVLVKYAGIRGAIRQLVEIFNACLNNFLLTGKERFQHIGLLRQEATKKHMKFKSVLEIPIDAVRCSEHYGIVHLVRMLTKIDELLQVSEWNDYFMEKFMGSVREFVVFLEANHLKYWTAEGGYRTMTADCQ >CRE25669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:406663:407296:1 gene:WBGene00064825 transcript:CRE25669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-6 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3MLC5] MIGGGIGINFRSEYFNPTTPVNIPVQGFSNGSRLRLVVVPNANDTCFAVNFHTPDDIVFHFNPRFDEGMVVNNSTRGGKSNWESEDRHPIPFVHDKVYTVEFVSNGGIITIFVNGNHFADFVERTSSQGVHNVEINGGVHVHSAHVSH >CRE25733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:402906:404855:-1 gene:WBGene00064826 transcript:CRE25733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25733 MEKFPLLRLPAVPLKKVVKELNFILLALCSEKMGRVVASMRFKTSVFKINHTAVEKNISVSFKTLNLQWNFVVSSDASEYNYKYTFEGQTIKFNIIRLHWRYFTINSLCGSSTAKTVEHFLRKTFVCENIDYKIDGEGCQNIENYILEKVNKDPNCKSLSLGTIANVQMNNSSRPKPFTQPIKEEHLEFVFKNLVDRIELIVVGDPGKKFRYYYRITQKYITVRTPKYWFTFQNLIGARCESLILSRNERSSHCNLNPEQLNNYLKRWIDGKQPDISYLYVELQWYPQKTEKWGQLTAGIELLDFDAKRNDEDYGGPSLVNMRMNNSKLKFWDIHATDGTMSCIGCEGTTFLLKVFKSKE >CRE25668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:399215:402564:1 gene:WBGene00064827 transcript:CRE25668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grk-2 description:CRE-GRK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MLC3] MIVVQFFSLSLFFPLLHSPLLPFRFGIFIPSPSLFLSVYLKIYCSLLEEKSYLKNKISRVNMADLEAVLADVSYLMAMEKSRSQPAARASKRIVLPDPSVRSIMQKFLEKSGDMKFDKIFNQKLGFLLLKDYAENVSESPCPQIKFYEAIKEYEKMETPDERLTKAREIYDHHIMVEMLAHAHNYSKESLQHVQYHLLKQNVPPDLFHRYVLEICDQLRGDIFQRFLESDKFTRFCQWKNLELNMQLTMNDFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRIKMKQGETLALNERIMLSLVSTGQDCPFIVCMTYAFQSPDKLCFILDLMNGGDLHYHLSQHGVFTEQEMIFYASEVILGLEHMHNRFVVYRDLKPANILLDENGHVRVSDLGLACDYSKKKPHASVGTHGYMAPEVLAKGVAYDSSADWFSLGCMLYKLLKGHSPFRQHKSKDKNEIDKMTLTQDIELPNEGLSKDCRDLLEGLLKRDVPDRLGCRGKGPTEVKEHPFFKDVDWQTVYLRRMTPPLIPPRGEVNAADAFDIGNFDDDEVKGVKLQDGDSDLYKNFNIVISERWQNEIAETIFEVVNQDADKAESKKRSKQKIKVAVEEKDSDVIVHGYIKKLGGPFTSAWQTKYGKLYPSRLELYPESLTAKPELVFMDQIEDVCAEMQTIKGENAIIVKLRDGFKEPKICLTNSDEISLKEWHTSLRTAHKVSSELLQRMGRKAIKIYGVNHDPMLSESERPGSVTRAFLNRASSVDSGV >CRE25732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:387494:389525:-1 gene:WBGene00064829 transcript:CRE25732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25732 MKRPQNSRKVKEEIIHDVEDSDHSSSSKKSSKQEDQIKCELCSTICTSISQLQSHTINEHIPDRKPSTNSAPSTQRVACQQCGDTFDTFAQFAIHMKSHIGSPSSPMFFCPICPMGAPFRDKKVHIFWERRGPITQKGFQSQIEHLTTQHLQIQMNQFICSVCDSCFASTQAFAHHFTETHHKIMCIACDFVTDNEKAFKDHSKVHTRQIVMYGCALCASSYTNQQSLITHVQLAHDQDSYYPPSLPIATTNSKPAPKPRTLICSVCDETVLGEDGLDEHRLRKHCKIRYADKCADCQEPLLNETTFVEHCIRHAKDHAHHCPVCRQSLRSDAQIHAHCAYHMTPMVQKTSDDSTSSTSSSPNGLAFVCPICGEKLDDAFALIEHSKHHI >CRE25667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:384900:387210:1 gene:WBGene00064830 transcript:CRE25667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25667 MSKNIKTPTNQKVLTNVAVVRMKKTGKRFEIACYKNKVVNWRNKTEKDIDEVLQTHTVFSNVSKGQLSKKEELIAAFGIEDQFEICKIILDKGDLQVSEKERQAASDQSLKEVSQLIASMVVNPETKRPVPPSVIDKALQEMHFSLKPNRSSKQQALDAIPKLRETIKIERAKMKIRVAIPVKEAKAVHLKLKALFSDVEQDDWQDGSLEMVGLIEPGSFRALDDLVRNETKGHGRLEILSLKDVVEGELQIS >CRE25666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:383706:384684:1 gene:WBGene00064831 transcript:CRE25666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-266 description:CRE-TAG-266 protein [Source:UniProtKB/TrEMBL;Acc:E3MLC0] MSQVSPAPSSAPPSASPSTDADEPEIDVISSSDDESEPIYIPREKEPVVIRGVGNITVFGMNSRFNTEYPDQLTGYIAPEELSATLTRVNSVLKRHVQTSSRWLLCGLAFCCCSLGCSMWPVLCLNRRTVLALEKCLDHENVSLYHKLGLHWSLARRPTEPSERLTEYVLELKILPKAALNIPD >CRE25731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:382334:383132:-1 gene:WBGene00064832 transcript:CRE25731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-267 description:CRE-TAG-267 protein [Source:UniProtKB/TrEMBL;Acc:E3MLB9] MGKKRKHQDSETEEPRKKAKTEQEIETNGVADLTINGTGDIKVFLIKKPIGVSLEDLEALKWSGDDEILAKSKIKTETGVFRALVAPKTKKERMVHIPAIREREDTDSKNIKAQNFVHGSITILPQEVKSKYLGGSIYEEGEEPVEGTATEVHPGLRKIKKDAILDLSTRQQRNKAYGTVTDSQGNPRELFNILLKK >CRE25730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:379178:381538:-1 gene:WBGene00064833 transcript:CRE25730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25730 MEVDGCDEEDLSIHSKIKKPEFFSDEEDEDDGTEDQVLWEKNVVVCTSAPNTEMFCVSYPSSKRDAWDGKRFPMARYKKNVRFLEMRFLTDTSTGSYDKKKAELMLYEGGESKKAGASAAPGIEALRANDEVYEGRAFVHDHPIVNAIGFMKNGEFFIHPLTGSFEMHRSIRALNRKKKLGKGGNSEDESTEDDEEVDPRKAATSGAVRVKFSRPETERQKKRREASALHREKQIASDLWIPMKVHLKEDEPVNVKKAIISTGSTVKGEESGVTEVGPPPEMNIRELVNRAIICGMKEELVIESGKEHMLSKQRIDELSSPELQLKAHMVKSHVMKTSEMRRLIDSRAMSTDLMIEQLKQCSRLVNGVWVLDSNLMFQNLPPAHSNTAGKTDLYRAELWRNARDLALSLIDGGHRVTRLSLMTCFQLNERDADEILSTFGVRCEKTRSWKLRIERDDEFLKDPAMKKHVIAEKVKWINTFNELEKSFHAPPPKPSTKKK >CRE25729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:376933:378983:-1 gene:WBGene00064834 transcript:CRE25729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pot-1 description:CRE-POT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MLB7] MAYEYTKIADLAAYRKPAPHLYGKIVFLAKYGEQDLLLLRDETGSIFVFVTPIESGEPLRFEMNQIVRIHRAMVKRLPLKNVDAQVGKMGCSIVVWPPNGCQGKPSSVSSKTWTRSEGDQDRINELSALKWGSGTKMTTADEMADYMIEKKDTKKIRDTVDKIWAETHARFQMQLAKKTRGLFWTPQGAEVKAPQLEYEIVKSFFHPTQMTFKSFFQLVIVCPKCSEKKKVIKYTENGKAKWCPTCKESIQLVVGMRVPVDVLPNYTIVLTIPVKTIQTDALESCENDVIECFDRTADRETFGRVKKFQRSLQTFLNGCTLKNIEGIVVTKSVPLKTCIIYVNDCLISFE >CRE25727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:369013:370248:-1 gene:WBGene00064835 transcript:CRE25727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25727 MDNYSLLSSEDHMEMRQFVAAGTDVQIFGKNRRDSQGSMSGDARVQFALSQTPPVETTSSGSPPRHHHTNNAANTSTTATHHTLSPVIQCFQKDQ >CRE25726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:365089:366175:-1 gene:WBGene00064836 transcript:CRE25726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-orai-1 description:CRE-ORAI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MLB4] MPRSHDPSRVELLRQEGTLNEKRVSISVEDIRGAVASWTKTSASGAPITPYPLPQFFLQPPSTGGGSGSRNEGASGSKNGSMNSLRMQQAAYAGKKGEDGVDLGHRGELDLSEKYNYDLSKAQLKASSRTSALLAGFAMVCLVELQYDEKTSKPLLIVLGVVTSLLVSVHLLALMMSTCILPYMEATGCTQDSPHLKLKFYIDLSWLFSTCIGLLLFLVEIGVIFYVKFTAVGYPTAGYITTAMLVPVGIVFVLFSYLIHKNRVSHSLGRFKDKVDTMKQFLDVEANLQKSTIAPSTIRDI >CRE25725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:355520:360024:-1 gene:WBGene00064837 transcript:CRE25725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25725 MVVEQIEVIEHRIIEEGRRPSGGALLPHSVPITTIRESRESYEQRADGTIHDRRYEVTGERDVSREPSFLHTSNYGQHLEMSPPPPQRYNVSGATNSSFLNTSGDSRLSYPGAADRSNDTTVINNYGYDITEVHTTEGGARIIETHGSGPLRETSRIEHVEETITRPSAMRSSSAAAHRSSSNIFTVPAPTSHISYRQEFASDNESLARKDSYRAMQSSWDGDENRKIASNLKSQRFPPQSQTSLVSRETEHSEKKVGCFQRIRTFIQGVRYAFKTAEYTPEFKRNMCCILLFLILLLFLLFVIFNAIFNRYAVSEFLLYPPVCEECRRKNPALVSAALPSSVFVHFYSKHQAHFELRGNAPFKSNSFTAIDFDTGYVAYADHSLTDASGNHFTCFLMPLDKGAIDSMDQLSEAVSDSDYELPTFQIQSTFGWQEFYQFDPEPIEPMIANQKFTERIDDCHGAKWYLLRQTVHAKGRLISPLLFYDRFSDASCSDCYDFCLPDWAVVRKEKYEDESTLGVRRLNCFRLYVPEWRNFRVETDIGGGHWKYPLSSESTKRDKNGEWVHWIPTTHSQGLSRSRKSVSSSSNSTIYH >CRE25665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:353162:355339:1 gene:WBGene00064839 transcript:CRE25665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fem-2 description:CRE-FEM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MLB2] MPTFFTVFEVSSVLATFIIFSRFFQFLFQKYVELQMSDPPVEKTSPEKTEGSSSGSFRVPFESDKLGDPDFKPCVAQITMERNAVFEDNFLDRRQSARAVIEYCFEDEMQNLVEGRPAVSEEPVVPIRFRRPPPSGPAHDVFGDAMNEIFQKLMMKGQCADFCHWMAYWLTKEQDDANDGFFGNIRYNPDVYVTEGTTEAKKAFVDSMWPTAQRILLKSVRNSTILRTKWTGIHVSADQLKGQRPKQEDRFVAYPNSQYMNRTQDPVALLGVFDGHGGHECSQYAASHFWEAWLETRQTSDGDELQNQLKKSLELLDQRLTVRSVKEYWKGGTTATCCAIDKENKTMAFAWLGDSPGYVMNNMEFRKVTREHSPSDPEEARRVEEAGGQLFVIGGELRVNGVLNLTRALGDVPGRPMISNEPEICERPIEQGDYMVFLACDGVSDVLNTADLYNLVGEFVRTFPVEDYSEMARWFCHKSITAGSADNVTVVIGFLRPPQDIWNLMSRSSDDESDEEEEDEDDD >CRE25724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:348984:352140:-1 gene:WBGene00064840 transcript:CRE25724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25724 MLMKFSIFLSLLFLVSCQSPTKYTNETLKVRLNVPFFKFFSKNGHHVVDEEIPKITIPEIKLPFSSSIGKGVVKTNDLKIEKFSSPKIDFNLSNTGIRWWTSGGAIKLSGKWHAKFTELITIRDKGWLNAYATGIQMNISAAAYQLDGQPQVRIGECTVQIQKLDVEIGGSVISWLVNLFETPFSKLIKKVINEQACTAARGILIDEANRFLHSLPSHVDIGANFYVDYFLTENPHATRDFTEFDLAADIVYGKSVCHPVKVGNWTDASDSPGMLTTWMSVSIPNCLIESAHQNQLVKVLISKDISVAEPYLRTSCGFLGLCIGKFFKKLRTDYPNNHVDLFFHTYSTPYFEMSEKDGVMLNMSLAVDLFINPYAKTKENILARLVVDTQSDVEPYLNNTRIHGHLLNSTITARVEFSNIGTVSGAFLRAFSSVLSMTAREAVRSVLGVGIPIPSYDNVTLADSSHIEVFDQYLRANIDFEYK >CRE25723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:343695:345647:-1 gene:WBGene00064841 transcript:CRE25723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25723 description:Receptor expression-enhancing protein [Source:UniProtKB/TrEMBL;Acc:E3MLB0] MSETISRLLIITAGTLYPAYRSYKAVRTKDTREYVKWMMYWIVFAIYSFLENLLDLVLAFWFPFYFQLKIVFIFWLLSPWTKGASILYRKWVHPTLNRHEKDIDALLESAKSESYNQLMRIGSQSLVYAKEVVAEAAVRGQQQLVNQLQRSYSANDVGSEREVLSKRIDVMKIEELDENSDSELQNVQKSPRPRRRTGSRSRSRSRTIETTTVGDSEYAATIPRRTARKPIH >CRE25722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:340975:342718:-1 gene:WBGene00064842 transcript:CRE25722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25722 MTYRPLAILTICLVGFILYSECQISAMFGNPIQASNCETWSEWGPCVWLKGKEKRWQRSYFEQLLPGRKGCRNHVFFRLLKDRWGVAFNNFYNYLRDTTTSEEQCGECSYQQSCGRKCHRRGDIGIINPLFVAERKCMGVDQSKACVSTYMQDCKLWPNKNIQLPNVTESMQQIIDNLDYLQCVPEHRYVKTYFSVISFPFRPSGSVCRCCCHPYTPNPQTFECELKPYLSGK >CRE25721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:336722:339572:-1 gene:WBGene00064843 transcript:CRE25721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25721 MSDAAAAPVQPQAAPAPPTHAPLTPHKHCNTRDRLFGQESPAVASPKRVTPTYKSQIFDDVPASPSRTPKKTIPVLSRNPVTGEIKQSPSQQKIAA >CRE25720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:333342:335477:-1 gene:WBGene00064844 transcript:CRE25720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-17 MTVNYQLDVSSGNPLLFLKLLSRWRGSIWKSVAGDLAVWLVFYYAVHFTYRYAFSAEIRTIFEKVSVHMDDRMKYLPLTFMLGFFVTTVFERWRSALNVMPFIESVALSVAVLLPGKGEEDRLTRRAIIRYVVLHQVLVFRDISMRVRRRFPTLQYVVDAGFMEPEERDELEAVCCSPGQNYWVPINWANSLALEAHSRKLIDQPTAFNNLVLAIKEFRVAMETLIKFDSIPIPIAYPQVVFLAVRVYFTICIISRQFLISDLKSKTQMDWPIPIMSILEFIFVIGWMKVAEVLLNPLGEDDDDFEVNWIIDKNISTGMAIVDDTHGYHPTLVNDKFADPNYLPAYSEKSQLPRTLTGSAAKVELAAESDAVKIVRVNPEDAPPTSERGSIFTKRNAYSLRNRTISISSNNIESPSQERKFNLSMPATMKSKQPDRPGLETLNEENEPASNHFRRGDRIYSSDSV >CRE25664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:332584:333187:1 gene:WBGene00064845 transcript:CRE25664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-7 description:CRE-TTR-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MLA6] MYSRIIFYSFCLFATCQAIGRTQSTAIEGVLLCEDKPAKDVLIKLYDHDTVSPDELMDSAKTDADGHFRLSGTADEISGIEPKINIYHDCDDGILPCQRRITIFIPSKYVSNTKQPSETFNLGRLQLAGKYAGESRDCLH >CRE25663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:330360:331900:1 gene:WBGene00064846 transcript:CRE25663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25663 MKKYLLFFTFPCFFLFPMMFVYQSSLFGSPQSLTEENDYGTMGPVSFKKRSKHFQLKTCKSLVSQMNTSIPILLIDVVFLQMLEKESCETCIGKPIKIGVDVKHLSATWILEDPRFEVLYFTNESAKDYLDFRSDPRKIIPKKFPTRWVGNVEVPNNIKMFVGFWQRAKFAECLNLHIPRAGAKVRMPARPSSAVLARLRDEIIENEMFPFLNGGTLLGWYRECSVIPHTQDMDISVFAEDYNSGFVEKMERNDSSFMIRRKFGMTNDSFELTLAPKDGFRVFIDVFLMYPGIENGTVTHHWVGGVSPDGTKYKYTYPVYDPWCAADLHGHIFWVTCTPNEKIVKEYGKLWYLDHLTSKYSWNSSGKNVKRNGKWTKEQMKEVYKVFKG >CRE25719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:326713:327633:-1 gene:WBGene00064847 transcript:CRE25719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25719 MVNGSIDSIISPIPSGVPQGTVAGPLLFLIFINDLLLSLPSSIHFAAFADDIKLYSHDPILLQSGIDIVSEWASANSLPLAHTKTTLLRLGAKNPGHHYHIDSIPITESAVVRDLGLLTDSHLKFDSHIAKTSSLAILRCSQLLKSFRSRSLPLYKHLFNTYVLPVLEYCSAVYSPSPSSILSHKLEKPLRSFTRKVLQRCNIRYTSYLNRLEILDLYSLRHRRLKSQLMLLYKIICGATFFPEIHSYVRLSNSNRRPMTLICIRPQVNDFFSSTVPIWNSITSNCPEFLSPGKFISLLVQSINRL >CRE25662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:324474:325776:1 gene:WBGene00064848 transcript:CRE25662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25662 MKKYSTFIKYCCFLSVLLLFYYNELLTKPVIEQEIDYISCDSLLEYLSPPIPALLIDIEVLEQIERDHCHLQKEKSVNIGVNTKYKSEEASLSKDSRFRVFFYTNSTKEDYLHFDIIGKKKLIPKLFSYRTLKNMNVVKDIPQFLGFWQRASFIKCAGDTHHKASQDQPTRDLSLLKTNLISSGMSSFLTGSTLLSWYQQCTINSAHLELAVSSEELPYHFGKKLETEGIFSNFQVSRLDGTTENVQRIGVKSAFKTAIDIVVVYEDEENSRGTKKYFSNYDPYCSTDIHGQLFWVTCSPEKRLIEEFGDRWRENTNYNISGK >CRE25661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:321049:324220:1 gene:WBGene00064849 transcript:CRE25661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25661 MGNYKSRPLTSCSDELKKKISEGYSVVRSRLSDDVRSRSNLGWIDVQIAAFEKSLDEFQQLLNSENADLKSNQHLSLFHIICAGHSDSQPEKLQHLIKNLTPEVSKTLISSQSANGFTPLHIAIYRGDASILKALISSELVDLDQSGRHLLPPLHLAAMIGDSEMLKILLEGGANIHVVDFVHFTALHCATYFAQENAVRTLVAASANSNLGGAVNDRPIHLAAAKGLTTITKLLLEAKADPLLADDEGNQALHYAAKSGSLTILSMLIKHVRGINDRICSRNLYGDTALHLSCYSGRLDIVKAILECSPTNIVNMENVFSETPLHAACTGGKSLELVSFLMKYPGVDPNYQGQDGHTALHSACYHGHLRIVQYLLENGADQSLASRAYEGGALRQQAGTGTARPSKVASAIMALNRSDTPSSNASYNSTVSLDDQQTPVIWAYERGHDAIVALLKHYASRTVEGDVCSEYSSGESSYTPLPSPMGRLTSLTRDKAELLQLRSALPAPFHLCLAEIEYQESIGSGSFGKVYKGTYRGKLVAVKRYRAMAFGCKSETDMLCREVSILSRLAHPNVVAFVGTSLDDPSQFAIITEFVENGSLFRLLHEEKRVLDPAFRLRISLDVARGMRYLHESAAKPVIHRDLNSHNILIHANGRSVVADFGESRFVCQRDDENLTKQPGNLRWMAPEVFSQSGKYDRKVDVFSFALVIWEIHTAELPFSHLKPAAAAAEMTYKRGRPTLPNQPTSQFPAHILSLIPQAWQAESNLRPDFAEVCISISVILFVLIEYFQIVPLLEPHVESTHTDMNAPSTVSQLKSQWEQLSIAPPPTSKFPPILSALHGIAATGTVEELRQRIDNNGYVINKM >CRE25660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:315924:320525:1 gene:WBGene00064851 transcript:CRE25660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elf-1 MNSNENEDDEDDVGGHTDVEMKIEPEEIGQWPRIERPTPKYADGRRISAPAVLAHGLFEIPTSCSVQITVLQQLLDQSSTLSLEPTNSPDSGVGNDSTPETSPSSSTTHRRHAFAPPPPRATVISSTVPSQPVSWQPSSIWPWIQQAQAANNADATHRWLQSALLCPTTSSSHPNLLTIPQLSSTQHPPQNLLTAAPFISSTVFTPTCGDLLTTCAPSNPSLLHPYLLEPRRFSEPNPIPPNHLAQRTPGKKQKDGQVTYLWEFLLRLLQDDQYSPKFIKWIDQAKGVFKLVDSKAVSRLWGMHKNKPGMNYETMGRALRYYYQRGILQKVDGQRLVYRFVHLPSDIASVSCLMYACQPGRGECDSSCESADSCQSESPKISPISPSPKIIIQPSSDEA >CRE25718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:307495:308221:-1 gene:WBGene00064852 transcript:CRE25718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-24 description:CRE-FLP-24 protein [Source:UniProtKB/TrEMBL;Acc:E3MLA0] MLSSRASIVLIFAILVAIMAVAQCRNIQYDIDEITPEAAFRYAQWGEIPHKRVPSAGDMMVRFGKRSV >CRE25717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:304715:306376:-1 gene:WBGene00064853 transcript:CRE25717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25717 MILILSGIEIPEDKIQNRNETLLYKYWKQEVIRFSFLSMSTHRYELLGGSGSHNENRFRKGFIFSFVMMLILFGLFYHYLESTKVVMQCPAYAEMPTTVSPTGNSENATTPATTTPTTTTAKLRVWDTMDGEGYQFEKETTPVVLDPRFEQLNNLAPCENLATVEIKKEVFDMIANVKKEFLNCINPIVNNYKGKPKEMFLDWVPKAEQCDQLKAFESLGVVPFENLHETKWGVLPKCKEENTLLTLGIGHDTIAEEKFNRTVPNTKFYGADPIIEPNRQMYSAFGKFFPFAIGKQPGFTKFRVLPNQNQKTRAYVFQDVTTIPFLYFVNDILKFKRIDFAWIDIEGGEFEFLDQLHKDVTICQFNVEMHMKFRPQDGAEVFHDFIFKVLEDQKYIFMKSLHTEKGVHRMFFINTVDRECLAKFFS >CRE25659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:303735:304700:1 gene:WBGene00064854 transcript:CRE25659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-6 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3ML98] MTNYLLLAAALAVIVLSTEARGPRVTDKVFFDMEVGGRPVGKIVIGLFGEVVPKTVKNFVELAQRPEGEGYVGSKFHRVIENFMIQGGDFTRGDGTGGRSIYGEKFEDENFKLQHYGAGWLSMANAGEDTNGSQFFITTAKTSWLDGKHVVFGKILEGMDVVREIEATPKGAGDRPIEDVVIANAGHIPVQTPFTVARQGVN >CRE25658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:301493:302830:1 gene:WBGene00064855 transcript:CRE25658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tpst-2 description:CRE-TPST-2 protein [Source:UniProtKB/TrEMBL;Acc:E3ML97] MRAILDAHPDVRCGGETMLLPSFLEWQAGWRNDWVNNSGITRDVFDDAVSAFITEIIAKHGELAPRLCNKDPYTALWLPTIRRMYPNSKFILMIRDARAVIHSMIDRKVPVAGYNTSDENSMFIHWNQEIRKMLFQCNKSPGQCIKVYYERLIQKPSEEIQRITYFLDLPYSTQMLKHHELIGAEVDLNDQEFSASQVKHSINTDALTSWFDCFSEETLQKLDDLAPFLSILGYDTSAAKPDYSMFADDNFYQFRNAYS >CRE25657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:298271:300145:1 gene:WBGene00064856 transcript:CRE25657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptl-1 description:Microtubule-associated protein [Source:UniProtKB/TrEMBL;Acc:E3ML96] MDEIASSELESLKFSEAETSPLEHHDHRVMQNNENETVEVKKQISPTPMNRAPARSGIRPPSAILRTPKAPVPAASIPRPSTIATPSAPRTISTPRQSASTAPSPRPISKMSRERSDVQKSTSTRSIDNVGKFTPKVNAKFVNVKSKVGSVTNHRPGGGNVEIFSEKKQYQAQSKVGSLKNATHVPGGGNVQIESRKLDFSYASPKVGSKTDYQPARSDVKIVSEKLTWQAKSKVGSMDNAAHKPTGGNVQILSQKLNWKAESKVGSKDNMNHRPGGGNVKIFDEKIRYVSSDSSRNHSALDISSL >CRE25656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:286036:298076:1 gene:WBGene00064857 transcript:CRE25656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25656 MSTPQSESSPINPSSDCENENETPDESLGETREESLNNQDEDPKCEPEPEIEPEVPETPEPAPEIKETEPESEPELQKEIEEQKEELKQETFDRPLQAESALVEELQPESPRPENTPITPEESQSPIPDPPVTSPTKETMSGSGHIRTRIGWSVSRIEDILNEEEIPTPGDLQAANEDKLLHAVGVTRHLTEKLLIEVQRLETLDNQWKNLIAKDSTETSKQKEYLEKYGDYQKVKQKALDSIEQLHVLHDVARTRLHQMNAKTATDIETWDRFLQSNTTLPASNQPATTSASSSIPQTTVASITATIQPAPPLQQASPASSYTQQPIPQLATSSSNTPQTYTTPPLAPTFSFGPMRMQLPPLPVPHFNGNITQFLEFTECFDSLMNMMQLDNISRLQYLKASLQGEALELISGLPTLNQNYLIARQLLSDTYGGSLRLKHTLLQQLRDLPSIQHSRTPKDLHQFCVSVTKFLQQLTSFDINMDNMLTTSIIESKLPKRIIAKLYSNATDNPINAHDLISRLQAIARTECLVEDIFVHSRHGDFNGPQLTTMTTMTRHKPSHHKNNNPGHQQADKTSKVCDFCALPHMIHRSQDCRKYKSKVDRKQRAIELRLCFRCLGSGHSSRSCTGQCSRCHTGHHIALCSRNPEETNTKNGTAGYSPPNQQPAYSPPGQSPSWNSHTPRHQGFNNHHGRNNNNSYQYHHPPHQQQQRHSQQNRGTVHRFQPNQRSSEPHQTMIAQSTLMPFYPEQQSSIQDTAAVVPVNPMNTFQVNHLNIEEVSELEQCEMSDPQETSAPFTATTKPIIMMTVTLPIVDLNGKVKTATVFFDSGSNISYINSHFTEDLDMKVIRTKRLMINTFGSSDVKQHTSRLLQIVFLINDEKKPVQLYEVNHIANNIVTVPLTAEMTTSLLHGHYESLVRENKKVDILIGMDLLVDLLGDTKTVQLPNGLHLHITQCGPIISGREANFSPPSDSITLMIQQVDETDDVDDIEDQRNATQGQQTKAHLEKFWNMEHFGILDVPTNQEDDEVRQIFLSTITRDDNGRYFVRLLLRDTEGIPDNRILATFRLKAILKRLNEQPDLFNQYQAIFDDQLAQNFIELVPDENITDGPVVHYLAHHPVFKESSSSTKVRIVFDGSAKHKRSNRSLNDSLYTGERLLPEIAGVLLRARKPHILISADIQKAFLQLGLQVCDRDATRFLWIDSTGKIICYRYQRVPFGLKSSPYLLNATIREHLKKSDHQFAKDMQRSIYVDNVYVGVPNVEQAKEFYNISKQIFREANMNLCQFTSNSLEANQFFEAQEKSSEPTEMKLLGVQWDKTTDEFIIQPPKQPVGLLTKRNTLKTIASNYDPLGFIAPTTVKGKLFFQKLCFDHHVWDTPLSQELHPLWNDITESWKGDALRIPRQFFTQDDLDRTLMIELHVFTDASQHAFGAVAYLRLLLENNTSKCCFVMSKNRIAPLRPQHSIPQLEMVGVLTGVRLGKYIEKELDFVIDQKYLWSDSLCTIDLIQSSTLPSNRFMRNRIKLIQEQSSGFIFSHIPGNNNPADLLTRGVSFHQLKESYIWLHGPTFLSSTNPLPLRNSSQMEKPNTNTSLTTTELSESTHEDITPPTPLIDAQRFSSFHRLLRTIMVVLHFITKHRIALHLHAARAREVLYRTAQTMHPPTEACQTSLDLKKNNKGLWIFTGRVEERPLIYLPHSSITRLLVLDVHNRHNHSSPSFTLSRLRDSVWIPKGLSFVNKCIKTCQQCNIRKTKPYRQPNFAPFPSTRYLTSRPFEHVGTDYAGPFNVLTTPQQSSSCWFILFTCLYSRYTVVKVVLNMEAESFLHALRQLAAMFGTPKNIVCDNGSQLAMMKKVMEIISTRNPQQLLNSTNLPTFKYIPAHSPWAGGIYERVIGLIKESLRKIGIQRQLLTLQDFETILIECTAVINLRPISYVSNHENLIALRPVDFVFPDSNINHQFDIEPMDLSDIPKGRAALVENWSRSASITADFRRRWNKEYPQVLQDRRAFIHRQKHAAEKKPQVGDVVLIEQPGVAKEKWIMARILEVKPRSAIIKNGKTRRLGEYPFSKLFPIETDFDSDSDHSHQNSETEQKTAETTPVTHPEPQQTTRKSPRLLPHTTTLALLLSILLPTMHCATTPESEIETYPNSTTVTTIVENHIDSWKIMLENIIRAWILLCFLYAVAIALKFIFHMIWTVISTFIGFIPTTLRFIRRIWNFIWNKGWRNRRRSPRSHVILLTIIFLFKLTDGCSEIASLQATDHICIEENGHQSCTINTVSELHLRPNGTIGCFNIVDKDVILRSIEVQITGITSTCQSRTHFFSREFEILNEYSHRCPGAGSCVSAKCSKTTLEDDIEELSLAARKSPGFTRCYEGCGGWACSCFRWDSSCLFHRIYAEASSSDIFEVFTCPSWTTMVEVEIQYSGKLFQAVINHGIPYKIPNTNLTVTITGFSTPPTPLHGATFLKRTKGTDKTTVEIGYSFTAVASSGHPSKGLIGELQCATFGEADFFDCVFDQSLCECDNQGTYVDCLCNWISLNAIVESSKLPQQEADTKIYWQEDQMHTTTQSSALISLQIDFNNQSVVRLAREGSCEATATKVTGCASCQSGASTNVECISSYGELVAQLECPMFIRFISCSEKGKTNIIKIFSSTIEVDWNCTLTCGLISTKLQLQGQLVDEPRFSPPSLTYAQRENRAISESPLDTIFKTIENWYNNIVSYLSKTLFSIVIVVLIIFLVFRLFCFSSGMSRLQPRRRQYRYSRFRID >CRE25655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:283044:283532:1 gene:WBGene00064858 transcript:CRE25655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25655 MLRGFLICFFLFAALASVSNAYVLDYEVPERQVRGDVPSVFFSPFRMVGKRSQFYGLYKQLGNNKRESSYE >CRE25716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:277608:279442:-1 gene:WBGene00064859 transcript:CRE25716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25716 MQAKLFMIPWLIDLVLAQRVVPPPHNPAPSAQVLTNDLLVDAHRRHFLEMLRRQQQINDALLLNNALLHPHPLPPLHIPTNPTEPLLPPAPSLFNPFAPQTANQGSTSKLGTYGKDEELETKPDEVAAPESEKDETPSEKDGTVEAPARTDIVKKTLAGVKTVSIQKKGNVAADAIVGIVESEIHPIPSTTTTISPEDLEVVQLFKKLNLSKEETKSIVERVEQVVREKLMSKKLEETTTTTEAPTTVTESTTVVLTTTTPQTTTETLTTTDLVTEEFLEEEEEDYSQATTSAPPQMHIRSEENNLVLLQRNNLKHHPIKLQEKKIQEPLESEEISQGSIKTSLTHLPIFVANEEEDVATQNQKASLHKRILIASQDREKENDRDLLVDFATGAPLDLTEPEVTKSRSAVTDQYSTLPPIPMTSRPVAPPRLNYHERTDFERLASDYRRRLEHTGDINKILQKISENAYISLVERGGRRKLFGN >CRE25715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:268236:271908:-1 gene:WBGene00064860 transcript:CRE25715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25715 MLYNLVFMLRFFKFYYLPEPSVKVFIRPLEVTVPADYLFQKPEEPPKTYWSTMTSHIATETSIKRWSIPEVVKEEGKPPGPEDFPSTKQGREQEGRVIGITPNYKIGEGQPNSEFNLGKTGYLPNGQGDYKMTSLQETYKQTLIDPKNAMSSNSKSKSFHEIYFKH >CRE25654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:265350:268145:1 gene:WBGene00064861 transcript:CRE25654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25654 MGTRKSTTLHIWSSSFPHFGILNFSFSSFSSFSFYLFPCFKSINFQTTMRLLIVLTALLVPYSLHAQLSEDSSELEFGGQPPRPSPDGPPFPRFLMHVPRFARKEFFDIVSNEQLSQDQQNEQLTIWAKAFNVTDEFNTWAKQVQEQKEAVSQNVQAVVANISTVYNQLEEILSNTNLTRRDQHDQIRKLGVTYPRKSFKIFQEVRTLFFIARNYRPESKTPVFGLEQGVRMGMKPQNSMNPFRFQQNQPSQSMMMFAPPPTFMFGRMNQFNQQGGPQSDFSGRLGPQGGRGGQKPDFGPQSQQGGRGGRDQNPENDFSGVGGRGRQNQQGESFGSQDNQNMESQFAKRQFSRGPRKDMNSDSTEDSNNNNNFDSQNSLTRRGPGSQGGRGGQGPDFGPSGDLPDRRGPGGPPGGRGGRRGQGPDFGPSDDVPDRRGPGGPPGGRGGRGGQEPDFGPQDDFPDRRGPGGPPGGRGGQGPDFGPSDDFPDRRGPGGPGRGGRGGQGPDFGPSDDFPVRRGPGGPGRGGRGGQGPDFGPSGDFPDRRGPGGPPGGRGGRGGQGPSDDFPNRRGPGGPGRGSRGGQGPDFGPSGDFPDRRGPGGPPGGRGGRGGQGPDFGAQDDFPDRRGPRGPGRGGREGQGPSDDFPNRRGPGGPGRGSRGGQGPDFGPSGDFPDRRGPGGPPGGREGRGGQGPDFGPSGDFPDRRGPGGRGGRGGQGPDFGPQDDFPDRRGPGGRGGRGGQGPDFGPSGDFPDRRGPGGRGGRGGQGPDFGPSGDFPDRRGPGGRGRRGGQGPDFGPQDDFPDRRGPGGRGGRGGQGPDFGPSGDFPDRRGPGGPEGPTGGRGQGPDFGPGSQRGQNGRQFDESAPSQKYFERQMNSGMRFDQSSGFGMRRPSSQQENEVTNDQ >CRE25713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:251462:255557:-1 gene:WBGene00064862 transcript:CRE25713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25713 MEIGSVECMCVMNVKEANKPPANAELDEISKRGEELRKRDGRSKYKLVESDIYKTDPDPMPSNLKDQVRELLESRNSVETTTTQRDQDKSGYVTTVPPLRTKTPPPPPPPVRRHEIYEQHERYTSAPNLQSAVIRIQDDKPRSIMKRRELESREQLLFPTVDTQVVKSFVRKPTVTETVQRFEETRRTEEVERRVQRREKKERRSRHHSSSRHQSGWEGHTGGYQEHRVGAITSSLPRRQIVREADRAMTEEEMNKVVREAYAAADEARRDSRHRSSSLSRGGYVPGGQETYYRQETTRRQQHNNYDDNFNRGIAHARYGSLSDSLRRGELQYVPNGDVRQSFYREGANGGGQRMHKSYSTRDVFTGDAAHDDRRSVSSFRRGSQQQVSPFVEFPPTLPRRGGGGDYRREEDPYFRPVSKSRSYADWDDAGRAGMGREVRRYDDDMSRLEAEFRDSLLMPMPAGNMNERDHRTEQLPGGYETFDKNRHANSGRRSGRDGKPVDFSEASQEYNYKREQTLNDDRRRR >CRE25653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:246657:250922:1 gene:WBGene00064864 transcript:CRE25653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alh-11 description:CRE-ALH-11 protein [Source:UniProtKB/TrEMBL;Acc:E3ML88] MLSPGDVVFYSRGTSEFCDAVEQVVANNTLFHVALVSVTGTVIEATTDGVKESTLQESILENEPGVVEILKLDDEIPEIEILKAATWCRSKIGLPYNDLFSADLMNSEGKESYYCSQLITEAFRGVEMYWPKHTLNFLDSDGNPIEFWTEYYKKRGKRQVPQGGEGSHPAQLRKSPVLRLKMRILPNMMNLNTLKDSKLLELSSHFVGGNHVEFPSDRQFPVIEPRSVKRNPSGKTLATWHFATRDQVDTTVKTAKSAQKKWAASSWMERNEVLKKTADLLKTHCNDIAYWECVSNGKPIAEAKADVLSCVDTFNFYSGIGHDLLGRHVPLDASRYAYTRRLPVGVVAAIRRMELTSISNMQHGKLLQRSLSAGLPDGVFNVIQGDAETAVQDLILHEDVSKVSFTGSIPTGKKIMKACAERNIKPVTLELGGKSSLIIFEDADVDSGVSCAMMANFYSQGQVCSNASKVLVHRSMLKEFTENLVELTKAMKVGDPLQEDTKVGSHISAEHRNKVEGYISCSATAEGATKIFGGDRVTVHGLEGGYYLSPCILTDITPKMTVYREEIFGAVLLIIPFETEEEAVRIANDTNMGLAAGLVTKDLARSYRVSEQLNAGNVYVNTYNDVSPLVPFGGVGESGFGRENGIAVLEHYTHLKSVFVNTGSCPNPF >CRE25652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:243339:246247:1 gene:WBGene00064865 transcript:CRE25652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dagl-2 MPSLVAFNRQWNIGSDDFVFPEITEALVRISWVAFGVSVFVFHFPLACSTHNLTLQFLGLLVINVITIFLAILTAVISARGTIMDSEPRRLVPRLLYIRLPIFIAEIALTILATVHAFRSTNGGEGCDFATIARVTIVLEWFLIVTAVVGLFIVFHLTENDVEDGATIAQRSWSRRMRIFKIGQDTSMRAALDDLATLISSFFVDSDLVPSDVVAGLLLAYHSPNNQYPPVKEKENSSRPKWMNLKDAEYFLHHASCVYGWPTYILYNCGLKSIFRLFRKLQCCGRMRCAQGQSMVVEDNCCYCNTAAVVLANEARNIDLQFMSFRNRLYEVPFAVIADHDRKSIVITIRGSCSLIDLVTDLSLEDELMTVDVDQDATLSQDSEIDRRGEVRVHRGMLRSARCVFDILNKNKILNDLFISNPTYQLVVCGHSLGAGVGSLLTMLLKQEYPSVRCYAFAPPGCVISEFGQDEMEKYVMSVVSGDDIVSRMSFQSLHRLRERVFQELTACQRAKHEILIRGVYQLFFKYPWQDELSGNTFRRRLFPVSYFPGIPRPSSDSPTADLESALLTRRNSYGSANDAAANDPPPSRNPEHNKRLQLYVPGRTVYLSSQDGVTSETWIDPKCLSDVKLSVSVLSDHLPAAVQKLLSSASSSDSEIEPNPDNVEVVVTSQPV >CRE25712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:241075:242739:-1 gene:WBGene00064866 transcript:CRE25712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25712 MGAYLNRPVVDKEKEEGSGDGFSYACTTMQGWRANQEDAHNCVVDLHTGWHMFAVYDGHGGTEVSKFTSAKLPDFLKERKFWEADDIGSCLQTAFVDFDDFIRAEHSMKELKELSGDGEAPKRTPGEDGEDEADRIDTIEEASIPIAELLKKYGGAGLGKSLLSAFLAKGDAEIGSDSDEDEEEQEDEDEEEEEADDSQEGDGEVKKEDKEAGESQKDEEKAEETQKEGEKKETPKKKVQKRCSKSPIQSEAKKSKSDDAADSSAETAAPSSSSGPAADAATEEDDESDQEFVADEEEVEDEESDEDMEEGDIGPLMLGGGGEVPGEDSGTTACVVLVGNKKVIVANAGDSRAILCRAGKAIDLSVDHKPEDEVETARIHAAGGAIEDGRVNGGLNLSRALGDHAYKKNHKMELKDQMITAFPDIKIEDLTADDEFLVVACDGIWNSMESQQVVDFVRDLIAKGKTCAEICDALCDHCLADSTEGDGTGCDNMTVICTIFKH >CRE25651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:239401:240698:1 gene:WBGene00064867 transcript:CRE25651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25651 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3ML85] MASVMVIEKSPKINHDDEVFALLYKILSSKLAPTIGEDLEKIYQLSVEITPSEIAKLTEKMKKSFLEQPCLLVIGNEPITVVADMHGQSIHLLRILMTCDVPPAQKFLFLGDYVDRGTQSVAVICLLFCLKHRYPNHVYLLRGNHEDVNTTLNYGFYDECLEQWKSEEIGEIVWRQFVETFNCMPLAAVIGGKVFCSHGGISPSMETIEDINSVSSGHLISTPKNFQIERPNIVPPYGLACDLLWSDPAQPGRNGWGLSHRGISFTYGKSVVDEFCYKNEISLVIRGHQLFKEMYPQGCVLRFGGRLISLFSALNYEGHRNNSSVLKLEFVGQKVKVKQVQYRCRHYVQLKNHEILACQDMKQAQKQSRKNASTMGSVMGRI >CRE25650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:232192:238200:1 gene:WBGene00064868 transcript:CRE25650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snt-2 description:CRE-SNT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3ML84] MWATGAIVCSPVFRILTTCCPIRRVPTSNGYAARPKNVDIGNGTMPILSSKAVTVQPSNGDYYEPVNGGTIPLSASGAPVKHYGNVHFRVEYDFEQSKLSVTIVSASDLPAMDRNGMSDPYVKVYVLPERKQKFETRIIRNTLNPTYNETFQFSIPFNELHSKTLMLVIYDYDRLSKDDKMGQLSVPLESIDFGITTDIQRALQKPEKDDEKECRLGDICFSTRYRPATGTVTLTIMEARNLKKMDVGGSSDPYVKIYLHHGRKLLSKKKTSRKYKTLNPYYNESFQFKIEPHMIEKVHIIVSVWDYDKMSKNDFIGEVTLGSKHLNLPQITHACSEQWAEMMTSRRPVVQWHTLQERMEKEKKKDDD >CRE25711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:230749:231615:-1 gene:WBGene00064869 transcript:CRE25711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-29 description:CRE-RPS-29 protein [Source:UniProtKB/TrEMBL;Acc:E3ML83] MGFQNLWFSHPRKFGPGSRSCRVCAGHHGLIRKYGLDLCRRCFREQAKDIGFKKVNLKDGNLANSRRITSQPKISLPVCKCPLRGGLCLMTFSLACEMKLTSRRQAHRSCRAPVQDWQTIPSIPI >CRE25710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:219194:230488:-1 gene:WBGene00064870 transcript:CRE25710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trpp-11 MDPIEAGDWIAGRPLQLVFLSGLDPANKPHHNAVVGVFRNRTTEKPPLQIRVVSGELDIPPKREEKGFDKGILRREWPLKYLDKVPALIVVFIDLEWNHPSWDEKKTEAESKVASIRASLRHGTKVALVLIQQKSTSVSDNLAADRAHELCQACALSSKQLFILPADNLLATQPNTNLQSFVLKLESAFHELCQAFYQQRLKTIRSRSIPNNSPALVVRQQFKLAFMSELRQDTHTALRNYRLAYDQCKDTVEQWDGIDVFEWRSVVGLLNYKICELYFLHSTALEAINQMRRHTSVFFLSAPGIYPTPHLASIELLLWKSKQCYQFAQLFERSVASGLSALATLNPGTHLDQAASIYATANTEIAALKRNAPQNVPYPNPDPLANASSTIFFGQRPWRIQQDGLASAEIEAAAVNAITQRLVVNHEGVISLLSAALAQYQKYGCMRMKKKVMMEMANTCYANNEIQRALRFWGMVIKDGALPYTIRKDMMHRATWAAYAIASVQDFAVCCMQLMCPAYSEVLPSDCVSGLMSMLEGRAPGSPFPNDDVSKEQLQAYQTQWQQVIQERPTFIVHASKIAELFLETRVSFLDEQTVEQGTKVAVRIELLSKIDQNMRLNNVTVYLKSKKFPPEPNQTPATETADSLLYDPISLGNVEISSVHPMKRVIMLDLKDAKQNWIVTVTKVVLDVGSVICGQIEFDENALNRNCHVENIVGLEFLKIGGEKTSITLENNVNVNCLIGEVTSTELTLRNTSKHTFQNLQLDFKRQDQKHTEAAAVLFVEKEGNELKSEFSMKICDQLGAGEMITIPLMFSAQLIGDYVLQLELNYMDNQHVAKSTILEVGVVANEPFSVTSNVMNMNGIPMSSILNNCEHVLNVSIESAAPIIINSIEFLMADVVTLCDTACGGSGKNLNGWTIHKFSKIQINCIPDAVNAEETICYSAVIKVLVKEDESETPLGRMSVEWRRASPNSCPVRSVVPLCRIPVFPCPISIASCIKSNPAVVRQPIDICFELTNHSKEVIEISTNFDLNDVFMFSGERKITLTVLPGATRRVTVVVMALSAGRLNFPKISLKSAQVTDQILQQSLRTLPATIFVLPKSKEFAQQS >CRE25709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:216566:217862:-1 gene:WBGene00064871 transcript:CRE25709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-7 description:CRE-DAF-7 protein [Source:UniProtKB/TrEMBL;Acc:E3ML81] MKWWSLPVLILGLSTLWSGTHSATCAKDGACDATLQAWQTEHIMLTILDQLPDVGMGATSPDQIMDPELKKFYQEIQADISEKYSRDKNAEFLFLDAEDPSAGEDRSQFVAAFNMSADLKGMVVVEALLHVATSLPSFEQHSVHEVNVQVFEKKTDMSLGELVTTATFLIKGSQRISIQLPVDAVKRWFTDGKIGGLFVSAMVKDHNIVVHPQQAISKADTMILQVVSRDPRYGISKPSRTRRSATPVCTENNPSKGCCLYDMQISFEKVGWGWVVAPHRYDAFVCKGNCKLNSHHYLNDYGHSKIMRSYSLKFLQHDEELNKLGFCCHPTEYDYIKLIYVNRDGKVTLANVNGMVARRCGCA >CRE25708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:214584:215873:-1 gene:WBGene00064872 transcript:CRE25708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25708 MNWRSLPVLFLGLGALWTGTHSATCDKDGACDEILKAYQTEQIMLSILDQLPDVGSGVTSPAQLMDPQLKKFYQDLQEDIAEKYHGDSSVEISFLDAEDPFAGGDPSQLVAAFRLKNDIKNMVVAEALLHVAISLPSFEQHTVHKVHVQVYEKKTDMSLGDLVTTDTFSIKGSKRLSIQLPGDAVKRWFTDGKIGGLFVSAIVKDHNAAVHPQQSNSKVDNMILQVVTRDPRYGPTKPSRTRRSASPVCSESDPSQGCCLYNLEISFEKVGWGWVLAPHRYNAFMCKGDCKLNAHHFLSASSHSTVMREFSKKTYEKDLRHLGFCCHPVDFDYIPIVYMNRDGKTVVKRIEGMVARRCACS >CRE25707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:205934:210739:-1 gene:WBGene00064873 transcript:CRE25707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dac-1 description:CRE-DAC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ML79] MDIDETGGFVTSSSSSSSNSSSLSSSSSNNFLTPNEYQESSTSPRDTTESSGESSLSSSTSSSSLNGSSPPVKLVPFRGHSVAAFDIDGKEMICLPQVYEIFLKNMVGGLHTVYTKLKRLYINPMVCNVEQTQDFEKLYDDCTSTCTRPGRPSKRNFEDWSGTTVIIKKDKPDSEPVNSSGPVFNQLMQPQMTPQQILMQHFVALTQKNNTFDGGEDDEHNQRSCDDGTPLNLSKSSGNSENDSDSLENMRKEDSSPNNSDSDRGGSNSNSLSMSMEGGSSSSGKNEDGNMMNKVLSLIEIASQQFKHEREELWKERNEIQILRESFHKIVQEERDLRKKLDSQTKKCAAFEKRYKYVNRQLLLVNAELRRYKEKDDHSSNGSGQPTN >CRE25706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:202105:203966:-1 gene:WBGene00064874 transcript:CRE25706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-149 description:CRE-CLEC-149 protein [Source:UniProtKB/TrEMBL;Acc:E3ML78] MLTVLSVLLSLLCVPVTSFLPTFPPNNKNPCEKFGFNWAAEIRCLRDIINKCEDGEEKSRGGRSLQDPYSNNYPTEASTTDYGNPYSYPRPYSRTYYRHSISPIRPPIPQPITTAKPAGECSCQQELEKLEAKFEKKLYEVKMKAAYETETAVGELRKQFEQDLRSYERITTKDVVEIKRTLDYMQAPRVMNNDVEYFLIQREESWYTASEKCIGYGAHLASVHSRLDNGLLAKLIPANETVWIGVNDIQKENVFRNTDGTPVDFLRWAQKQPNNEEHNENCVEVDHNGYWNDKLCIIMRPFVCKKLIS >CRE25648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:198231:199040:1 gene:WBGene00064875 transcript:CRE25648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25648 MSRPKVGAIGALIVVGFILTTVATFTKNWIVWNVAGLLRGSIGIVPYSSSEATWFAAASWMMFIAFGLFFPLFLVYLHASYKVHHHGCCHDIRHNFHGISLMCSLIVVLQAVAFILMAVNASYYTSYYGRTVNEGIGSSAYLALSSAIITTVTMSLAGHVSRHDCH >CRE25705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:195773:196710:-1 gene:WBGene00064876 transcript:CRE25705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25705 MANEMRMFLMTKQGKEIQEKQERKTELLNDPRAFYQDHFVSIRPDCLVIKKYFIPSLLAFEIPWEKIESMTYIPQNVEEKIPGRGLDGEGRWWATDVLRPHERELHLYNVRIEARDVEPPKSGFTVIYIDQFLKACRHLLPYSCKLSGCVWSE >CRE25704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:190580:193456:-1 gene:WBGene00064877 transcript:CRE25704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25704 MKESPGEPSAKKRKSSGKPLKPSGNPTEPSLQVPPVEPFVHHKETPESKFMTEATYDDDIRPDATFQSYEEFEQKYNAWKTKHLHPFRVASSEALRTEKGEVSGKFKYRYVVFHCARYGAPRMRGEGKRPNQHYLPCNCTAMIRLNFSYNDQCLRITCIETRHSNHTLAKDLYERMVVKEEKKKLATPRRRTVGGTVGSVKQEPVTSSDECADSEHTQSQSQSPVDFDESIVSNASNVTMNQTIPNLYSIPPPPAHGLIQKVEPMAPPISAPHHFLAMQQYQRLQSQFVSFLFFIIDCASKTGVNSLQTQYYDLRIYEQQCAKDFALSHQMQMSAIASISPIPYSPFATANWSAAPMPPRPSASESSSQASPVSSTVDENEAPKVFHSLEAVRPIALRAHENSAFHQMIHPRGPSETTSLSPIPKSEIDSIIASASRMLHDSNLPSDILQNRVKQLNNLISQWSQ >CRE25647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:187730:190330:1 gene:WBGene00064878 transcript:CRE25647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25647 MAGEQKCSADIDQMNNFQTPSDDMSEPVDDRTKRIVAELQKHWITEYHNSRERALVELTEKLHQEFLSDQQKIRTELLQQFKDELEHTRTDLESKYRDQLKSENAKLTEKHRREMSEAKKKQWCCQCENEAIYHCCWNTAYCSVECQQTHWQSHRKLCRRKKTAAATTAPTSTTAIRANDEAYEGRAFVHDQPIVDAIGLKVSNPDGVKTRIILSIALKFYTELQQHGADDLLRRIYGGHMRATPEPGFNVTLEYDLSALPDNTSGVGIEAKLFRIGLREIFRIPGGWTERMRQCILKRRRIVSPLSSVQFSNDVIIGKVFLQEFREGRKASPAVLYSLGEPPLELKDLPGARVGDNVGYITFVLFPRHTNKKTRDNTIDLIHSFRDYLHYHIIPECD >CRE25645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:181827:184091:1 gene:WBGene00064879 transcript:CRE25645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25645 MIILEQNNRIIIELLEQKFANAKEGAKPESVNVTFADFDGVLYKMSNPDGVKTRIILSIALKFYAELQQHGADDLLRRIYGGHMRATPESGFNVTLEYDLSALPDNTSELVQKASALKRNCFASVFEKYFEFQEAGKEGHKRAVINYQEDETMYIEAKADRVTVIFSTVFKDQQGAPQQADMNLSPAELGHHDGNYHLDGVHVSEGMKRMIIDLQRHWLNEYHTSREKCLVELTEKLHQEFMQDQQKIRADLLQQFKEELEQTRIDLDNKHRENLKMESAKLIEKHKRELLAAKKKQWCWSCENEAIYHCCWNTAYCSVECQQGHWQTHRKFCRRKKGNNAGGQGAPGANAAQQAIAQQAAQQQQ >CRE25644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:180157:181073:1 gene:WBGene00064880 transcript:CRE25644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exos-4.2 description:CRE-EXOS-4.2 protein [Source:UniProtKB/TrEMBL;Acc:E3ML70] MNIFANIPFMKYLSFLLLLFTFFVILFIIFVSRMPTIRSNLSIPLTHLNRIDDVRMETDDVEKRSATAFRPLCVKCGVFGAQDGSGYAEFGNTRVLAQITGPEGDGKWEEAYAKVTITLKGVENETKVAELRADMTSSLSAVIFVNKYPGKVIDIEVTVLSDDGGVLSTAITAVTLALAHSGIEHMGLTASAHVTLRQNGDYITDPSTSEAEDAIGGVTFAFVPNLGQTTCVNLYGRIPLKATSPLLEFARQRAIALVPAIHKAVVNSVKERK >CRE25643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:178033:179821:1 gene:WBGene00064881 transcript:CRE25643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25643 MSELNSTRCGIAECRCQIRITFSVKHRKMSSEFQEEEEEPPEIKYIDLVEFHNLSIPNDDAEIVFRWIDSFETEEEKWHRLLTKFTTPLAGRNGYCFRIIEKYAKALHYQGAEAVQTKGGLRFLSDAAPRSVGTSGSLPIILKNFSETTREVNLAQYLASALSIIGQDTKEGHVIQKTLSRERLGSVYLFLHGYRQGLENLLANYRLLRNFRYGAKKRKDYLTDHVRNIYLERDSFTQVPEASSLALQMVLEDWNCPLQNEKVVQLAHANTFQMRSLQVKGDGFYFLNEALVCALALNYPDSQEEIEGFDTIFTPHSTNPRGKQLFQFWRMISKYERSRAANPQGFLVTAQRLEEECKSDDIGAGCAVLIETACKIRKQCDQQHEEIAKVINETVTRHPSLGPRMMYLAEKCDVGYENDVFIQQMCDLESRKCLHPSEPTWLLHVERLIQHVGRFGSVRKTMEKSLKIMFEFLDFDSNRFNEKAWLLMEKVLELSDPSFVLPEWRIRCDWWLRYHREKGARDKRKMGENAEKTKIEVLKALEDIVL >CRE25703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:177214:177756:-1 gene:WBGene00064882 transcript:CRE25703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25703 MVEKSSSSGSRSSSSSSSSRSRSRSPRRRENGRVRGGRSRSPIRRGGSPPRGARADRGGGRPSPSPPRRDRRDRSRSGPRRRSSPRRASPAPARSASPIKRVVIKNLSRNVLRAHLEEIFSIYGAITKVDLPVDRNRR >CRE25642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:172596:175031:1 gene:WBGene00064883 transcript:CRE25642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25642 MAYVESHYLELNSNKFGKTPPKISQLTKMAYVESHYLGLNAEPDVIDNVDAHNAQQVEPKFSDDSQKAMPKSDYCGFEGDGIPPVYRAQLPVSEKTKLSTAEPKSSYIYGKDEKEKSMSEEKIAPIDAQSVEYHAPRFSPPPQNDAEEFQKRLDFSLPKIQAVEATEHVFDIKGKHEASQYVLMKNEDPIKVNKKTDENSMKTNCGILRETDDGEVIISYDKGKRFFFLSEKELEDEKKGKKVVRENKKEEKKEEKSAKKMVEVKKEKKKDEKTSKHKVEKKKEKKKEEKTSKHKVEKKKEKKKEEKTSKHKVEKKKEKKKEEKTSKQKVEVKKEEKKEEKTSKQKVEKEKEEKNTMNGFRIHKVIGTGSFGTVRLVEKKGKFFAMKSIKTNQTTGKLDCDEVEILKDLKHPFISSLFSHFNNNGELHVVMEFVEGGDLDSHLVKTPNGFDRDQIKYFASSIVLAVGYLHEQLIVHRDLKPKNIMITRQGHLKVIDFGLSKKLTKRGDKMHDRVGTAAYSSPEVHNGEIYGIDMDWFSVGVSLFELRTLQLPLTAGFELLLMHPRISDWPEFEDLLFKLTAFQPEERLGYESTKQVKDHKFFTGMDFGAVLNLSMRPPYVPKMVCSILAFLKF >CRE25641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:171265:171735:1 gene:WBGene00064884 transcript:CRE25641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25641 MKVEGEGESGEKGRTVLRPEGPGGNTSPRRRNGEPSSCCQEVEKKKDEGKGKSGEEGRTVLRPEGPGGNTSPRRRNGEPSSCSQAVSMKDDHGSHKKRVREAVRVEYSGSDSVKKTSKTTKVLGSHFGVMGVILELYGVILELWDVTYIIGKLKKR >CRE25639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:167540:169794:1 gene:WBGene00064885 transcript:CRE25639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25639 MAENPGHDEMMMDQQQGAPQQADMNLSPIEKELDSSLAEQGHHDGNTRPLNEHYIIVLFTSFEREIYCWLSGIKKIKRLLELLDSTCLFQYHLDGVHVSEGMKRMIIDLQRHWLNEYHTSREKCLVELTEKLHQEFMQDQQKIRADLLQQFKEELEQTRIDLDNKHRENLKMESAKLIEKHKRELLAAKKKQWCWSCENEAIYHCCWNTAYCSVECQQGHWQTHRKFCRRKKGNNAGGQGAPGANAAQQAIAQQAAQQQQ >CRE25700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:165768:166908:-1 gene:WBGene00064886 transcript:CRE25700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25700 description:Bra-2 [Source:UniProtKB/TrEMBL;Acc:Q4FE74] MNRTAFNRFLALSRFAQKRHGHGHHVANPGPPCNFDMMPVPFQSYKKVYGELQGKFNTYLAVSAVFFASSFATAIYTNLFAFEEAIRPPQSYRNRQ >CRE25699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:164532:165658:-1 gene:WBGene00064887 transcript:CRE25699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crls-1 description:CRE-CRLS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ML60] MLATTMIRGFAFQPRYHLMMMMPRRSLMITLGSRQQEREPTKLESLPPEERGRYKVATIPNAICAARIAATPLIGYLVVQHHFTPAFALFTIAGATDLLDGWIARNVPGQKSLLGSVLDPVADKLLISTMFVTMTHAGLIPLPLTTIVILRDVCLIAGGFYKRYQVMNPPYSLNRFFNPQVSSMQVVPTMMSKINTVLQLSLVALSLASPVFEFVPAANDAIFALGCATAVTTVYSGLQYASGKAIKKL >CRE25698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:157812:163250:-1 gene:WBGene00064888 transcript:CRE25698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25698 MATPVPKPRTKFGGDSLSPNGSDQNQNRTPETSSTSSPQPSDHSKPSEDVVVLRRPTEAEDTPKRVPPEVPPRPPAKPPRAMANSAAVQNFGNVLGELRLNLGESKPPEIGLIVNNGVDKDPSKSMSPPQRHAPPPPRPPPPPGWKPEVTQPLIPDRVSSHSNRPLPALPLDVELTPVNSGPSTSSSSTYVNTNPQTVENPLYLSLDAYRTLSGITPSPSNPPTASPDCEDLREWLTEEEEAEVCSASRPEDLNSNIPAPPPSRPSIGSQSQNLEENPYTPCPRRSDYSSTEFVGTTLDPFDDSFYTNTPSRVSTSSKNQNLYVAAHFEKSNTSISENNYDNVVEVCATTPSPVPTSHTYVNVPPMYPHDVETSDSVCGGNDEGGGGKMKIDGSSIMFVGFVCLTSTKREKRLHCRLRNMQLSLHEDEESTEASHGPYDIKDIYLFRQIPSTYDGPSTSTSSLPATTSSASILIHVGEKRHVISFIPEDPPNLWMFFLSEAWLSLNYGLTAVLRDADEMAICGMTWIKHGATGEWKCCSAGINNMTLHYMLTDSRDRIYKRNSHETDTSFGSSTGDELVEVDLRKVMTMRDKIDKSEYCPHVKHKRGPFSMTLHGVTLYIDSRDDATTSHWYEAIDCLLKRPATRLENLRLTGDNIPVIVDKCIRFVSAYGMKSEGIYRRNGKVTEAKTILTKLTEDPVGFYPVQENDETVYAVADVLRQFFRKLDEPLFPSSVQAELFDLAVESHSEELYKRYAELIEHFPRVHHATLKKLVGHLKIMSEHAQENRASVENLAKVFAASLFLTDSMEKKVFSESYNHQINTMIHLITGYDTIFQISMEEELSRQMVNDAEKKSLNAKKPSPDFIVAIHVWEKENRPFNVKMSLAGEEVCREAIAKRGFDGPPDSPYAVFECLSDGHLIRRLPSSQKMSKCVLQWIDWNCKDGYLLFDHDKYRFDGSDMSCFTGKVKVAEPGSRTFKSYEVKIENGTSIGAYRNEKLWKTWPMDEIIWYVGTEPSRKPPNSFNTTMIRSTKDGYSNRFTGFCFSFKEENERSRWLTALSHFSKSNDSEPLVYI >CRE25638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:153114:157360:1 gene:WBGene00064889 transcript:CRE25638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zer-1 description:CRE-ZER-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ML58] MSHTADIPLEAPTLMKLASSSVQSQINNDEYMETVVLPVPLSEELFARFRYKWNLHRGPDLPIHFGPIEDRPERPDQGNVNMIKQVFRIWSDSTRLPLEKLDLSGATIDDQIFVDLLHAHAHSIKELDLTNVTGVTDLANAYLYARSTNFPNLTSIRMTSMDLVTGHQPRRKNGIASTQFRSLFMLGEEALAEARGHVDRDGLRSPLSPSSQPSSIQSDQMDDLLPCDQPVNPPLITARAPNVTRLFLPRIPNKRSTVDEEEQNTHVILSKILSPLQKLEVLDLSYWSKTDDMRCLQPLSNTLTCLILYDVPDLYHAISNICHMTEIRILDISQSNRDTGLYPHPVTTLNKMVVSLPHMTHLDISSTNLATQPSSQDNPARYRESVRTDICGLQSLVRPLKYLGLFNCESASHVREIPAELVSGDANEDQVITSLKMYKDRAGLLQNVLNESYQLYRFGNSNPLTRHTEALHLVLEAMHRHLADSTLQIAGSASLFYIIRKVDMNRDTKRRVVSALLSGMEVHMEEQVMVRNCCLSLCQFEIPQDILFDYSRLAVLLVSVLQHHNADNLTQRIVVFLLNSMACHVEGDQKVQVGSYGAIEMILDQISRKHTANICDDVMEVGWSFLWNITDETPVNCELFLNANGLDLFQKCYEAFKSERELVRNMMGLIGNIAEVDSLRSQLMKDDYVNIFCALLTSQEESIEISYNSAGVLAHMVSDGEEVWKTMTVCRDVVMQKIVEATSTWKLATRRFINYRSFRPILRLLPLYHAYASQHWAVWALANLTTTDGEKYCAYVRDEGGVPLLEELVSNPITTPDIRILANTVLSNIRSVENKRLPKYSSDSSSPNSPVFVPSYVSDVEEMDEDDEEMADFVNVDFDDVEIPMEF >CRE25637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:147691:148161:1 gene:WBGene00064890 transcript:CRE25637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25637 MSASSIGASSVANSLFLSTILSAISIRFTFSFLISHLGHPSLQLNLHLSRLYSLLDYSSLSPVFPIPELHLHFRLSQVWEGHNFADFVDPEIQQKLLKEEELLEEAGEYESDLDSDDEKTKEKMSQALQIRKKEKLLTLEHTVNKRIARLEHELGE >CRE25697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:147449:147639:-1 gene:WBGene00064891 transcript:CRE25697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25697 MVGKGTIEEIEETTKYLVTGVIDETEEHGNRNPEQWDYFRCY >CRE25636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:138714:145803:1 gene:WBGene00064892 transcript:CRE25636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25636 MVEKSEDSKKRGRSSSSSSSSSSGSSSSGNSSSGSLSSSSSSSPRSRSRSPRRRENGRVRGRRSRSPIRRGGSPPRGARADRGGGRPSPSPPRRRRVTPSPPRRDRRDRSRSGPRRRSSPRRASPAPARSASPIKRVVIKNLSRNVLRAHLEEIFSIYGAITKVDLPVDRNHQHLHRGIGYIDYESVEDAEKSIKYISPASLRIHLLFYRFLDSKKRGRSSSSSSSSSSGSSSSGKKKQKRHLNETITEELTPKIKVKIQQAYIQAMVDTGASISLISEKWLKRMGYNYKAHNTRQVAGTANGTLLNLIGSLRVPMKIGPFRIMTNFSVVENWKIPYNCILGMNVVSSLNKRNLLIAFDTQNKCLRVGNFSIPFLENGNRMENTPERQGDNDSNLDEDEMAWTHKSLGEVQRKSEKIVKIRNLFRENKTTERMKNMFYLIGDVVYRIPRHKRQTPPVLLESGEDAKQLIRDLHFCEDSDGFKTVMERLQDIAVWKGMRQDVAEVLHTCRNCWRRKAFQQRPYLNSIMTVSGRTHLPFVPVHLEGVPVVALLDSGASVSLIPERILKLLKLDGKVKRTTCSAKVANGTELKFLGKVTTIITVGKTNVSHELLITENEGAPAPCLLGVDFINALNSRGKLLTFNMTERKVKIGDTSVKLLDPNQNGHENIMKISVTCAEEEVIPPRCQAIIAGEMPGVTIKNKEFIITDTNRETDEIYSISSTLTKMDREGKVVVKITNPGNGNLVLRKGEKIAEAEVWSGITKTSPEINSVNMEDQNIEVLLSKVDLEKSSLSASAKKRVRQMIRKYSQAFVGIDGRIGRFKGKTKHYIELNDNHRIPQCRPYRVSPQQREKLEKELKFMKDNGLIEESTSPYTSPLLSIPKANGEIRIVIDYRRLNLITRSRTYIMPNTIDVTEEASRGKLFSVFDIAQGFHTIPMHEAHKERTAFCCHMGVFQYRYMPMGLKGAPDTFQRAMAEVEKQFTGTMILYVDDLIVVSRDEEEHLRNLEEFFQLMINMGLKLKAEKSQIGRTKISFLGFVIENNTIQPSGEKTEAIRKFPTPTTLSEVKSFLGMSGYFRRFIKDYAIIVKPLTTLTQKDVEFNWGEEQEKAFEEVKQRLISPPILTTPRMDGDFEMHTDASKIGIAAVLLQKQDDKLKVIAYASRPTTPVEQRYAAIESEALAITWGLTHYRPYIFGKKVKVVTDHQPLKSLLHRKEKEMSGRLLRHQAIIQMYDVEIVYRPGKENPLADALSRQRVENEGKVVTFIEGTNEIEKTTNLKNIQDRSKAVQHIKKKLLQEDEDIESMKLQDKFMVINDIVYGIPRKEGQLPPVIIEGGNRETETLIRTIHKANSHIGAGKMIAKLENIAIWNKMKSEIEKVISTCEECQRRKNPSAYCHVLPMGKWEIPNRPFQRIHIDVMGPLSETIHGKKLIIVATDAFSKFAIAKATANQTAETTLKFLLENIVSIHGIPEEIVTDQGTNFMSKMFEEVCRILEVKHSISTAYHHETNGAVERLNRTLEEMLTLSTSNPINYDNWDEKLPLVIQSYNAGYHSSVKYSPEYIVFGRMTVSPTDIMIKTLRPIYRDEEDMVENLSESIRQCHEAVYGELENSLRNAKKAHDKIRKVRVPIFEVGEKVVIQNPTAKKLMYQFSPPVTIVSLTASTITIRTDRGKVETVHKNRVKKFNEARPERDDSDDDLGSDTSAIEGSIGSMAPSMHQNKYGSFDEEMGQHDWLEKEVNGWKGRDRASTGDGQQHPGQLRRSRRLQNLPAELHYEIN >CRE25695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:134303:135895:-1 gene:WBGene00064893 transcript:CRE25695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25695 MEEAYMRDVGKGTIEEAVRLRGRTSVKKRRERAEGDALEGIDGDEGGEVDDDEAEETKYAHIHMAAHDMFTVKKKDNLGYIDCIGIGGDPTEQYNETNHSHWRNRRTSLRTSREQKPRTMMTSDRMPLFRVTRNSSRNTIKTRNLHSCHLFRSIENGERRSEREIQISICDLSLCPIRSTQNERITVTTGLNFSYIDQCLRITCIETRHSKHTLAKDLYERMVVKEEKKKLATPRRRTVGGTVGSVKQKPVTSSDEGRSIHKVRVKFVIVSAAVF >CRE25635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:132893:134176:1 gene:WBGene00064894 transcript:CRE25635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25635 MCIEQSLVIFQHSLNRQEKTMLIEDEDPADENEELRIRNGEVNRVSKVILKLDDDNATRMNNKKVNEWRYRLEGHIFFDDAWEDVVEEGVEKRQPNEYFHVFFDLLNKMTGKKLNEEGKMETRKLVNTPYGDKLVVKRPSGTLRAFEGYLRSDCVRDGPRVNVAIHRDNSRNLLLYGYGCGFDEEGIPADFVSGEGKKRGETPADGEEGGEDGVRVEEYREWSGCERDSGWQEEERDTHRLNTMIRAFQKIKNLYEYGVTIQTNTKADDERIMMNARLMINSILPLHFFRGSIIGTHIFQQRHAADGYMRLRRSGLWQRDLQTSEGTTGQENAAECAVE >CRE25634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:131315:132573:1 gene:WBGene00064895 transcript:CRE25634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25634 MTKKSIELSDLPPEILQLLVENCDLITRFDIFPLFEHIHIKRQSIFIFFNMIHSVRFLLISQFHIKISRCRLRASSFLLYQIVNSTKLYIPSVKMELQKKEVEIKPTANPYDNRGLLFEKNLTDGTPQYREEMLINNSDHMDETIDWFRQICLQKNVTIGRLDIDTSFPENPKRFTEKLVGVLEKSEIPLKVKSIEIKINDIDDWMWKIMEYCDKRVLKGILVQPVCDDEFIELFGKQDETLKNLEKIEITCLCNATDEDVLSLNASVISLNSENFTVDLAYKLIEKFTNRREDGSTFWIHNTKKPNMFLEMIPPVFKEAKDRGNGHKYYSNELINQPTVYLRVDNEAVELIVGHTAIEEFWGKDESDNDTSDDSDANE >CRE25694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:126992:130918:-1 gene:WBGene00064896 transcript:CRE25694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25694 MAAATASQPKKMAYEFTIDDEELEEDQKTSIDQGTMCNIYKINLPASASILSKQIAKSQAKRAKKVDRTRQRKDLSVVREEHSEENSQNASPRPATASEDVDVVTSAPASQHGGRSRLDAAPVKIQRQASLPDEQRREVEPMDDEEAELLAILLRGIERNEQRNALNLNASGSGNQQIQNPQNHPPSYIQNIPPSPGLPRLPPGAKVSEWLLERSSSPDNLSVVSSVLLEDSGLVLNYQNSDDDEEDIFFDAQEEKEEKEEKEEKVVDIVNSNVVVDGLEHEMQGLSLNRNMTAMTQSLVRVEEETTTTDGGGHAGYDTMNGGRTGRSTSVSPRPSTNNEQFMRNSNGSATFNVKHSSTLARLQKFTPSSQQQQINGNSTPYETPLSSRTTRSVLRVHNVNSTPRPQKQNSTAMMSKSSVVTTTTTTRRAISGIRMPSSFSTAAANNTSQKSVPPTVTINPSTPSRPASVASSIGTRSGVNMTSGTASNIEGLQDLIQMQEEALRRAAQGGQETERKMSWHSDQDANRQSTSTHSSLGSLCSSKSIDGAHALNKLGGGAGGAPGTSRIPTPRSRLPTPRGSTLPKRVHSVAAAARFATSKPESAAAAHSSNHDADECF >CRE25693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:120837:126161:-1 gene:WBGene00064897 transcript:CRE25693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-helq-1 description:CRE-HEL-308 protein [Source:UniProtKB/TrEMBL;Acc:E3ML49] MDKTPIRRSKSVDLDDPFTPIPKLSRLRTPRTSREYVCPLKTTPPSSSENAPPPEISPPRKRGVEESSVTPLHQKNGPPLLKKSSMSVLADGFRSAAMLNLEGENENDLFGLSFRNEHLFGNQGHQNPQNPQNQQKERCEEILDPSKCLPTRDMEMYKKIKKLDKFYDWQQECLSDTRLLNGENCILSLPTGAGKTLIAEVLMLREAVVKKRNAILVLPYVAIVQEKISSLAPFEDAFGINIEEYASNKGRFPPIKRRNRVSVYVATIEKANMLINSLITQGQLNRIGMVVVDELHMIGDGGRGAILEQLLAKFLYKGCGQIVGMSATLPNIDDLQFALRSFVYSTNFRPVELTEFVKIGQTMHQVTGDGELTPAGDLPVNNLKSTDPDGICQLLAKLIPKSSAVIFCPNKKNCENVAVLIAKTLPAHIRQAKRAESEAFLESYLTDNDEERMDSVLKKCILSGVAYHHSGLTQDERKCVEAAFMEGLIYVVCATSTLAAGVNLPVRRVIIKCPMVGRERLGKAQYLQMAGRAGRAGFDTKGDCITIVKQGEEERWFREMLKSEIPRCMSSLSSLESMGSFILDIVVLKIASNLKEIMRGVQYSLYAAQESMDNIQKLVEQSLKRLEEHYFITIDETTGEITPSDLGNAVFNAGFAPDEATRLHSDLVSSLNQGVIFSSHFHLLFIITPYEQSCNINWDLFLLMYNALPQSERKLLGECGLEEKFILEAIITRVDLIAGTPRMRLYIALMLQKIWNHEPMYAVAERFGVEKGWLQTTLQSSISQAASIAKFSEKITTMWPLRKLLPELVQRLSEAAQPELLPLMTVDGIKKARAGILFKAGYKTVGMIARACPLKLVQELGTIRLAQAKSIIASAKMVLRDQVDEKMEELDVWGVATDNFSYF >CRE25692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:119851:120554:-1 gene:WBGene00064898 transcript:CRE25692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25692 MVNVKKGVLVTSDPAFRQLLIHLDDSRQLGSKFIVRELDDTHLFIEKEIVPSLESKVEQIMENMNPETNDNK >CRE25633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:105034:119671:1 gene:WBGene00064899 transcript:CRE25633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25633 MMSGTSNGIPPLKPSRSFLPQDTGPMLHRSPSGAKRKIRQKRTAAEEAALSRPSPFLSPSSSSSQTSISPTPTETSSNYRSLIDSGDYQSILPDFDPSFVPVPTPRLSVPFSNSMSSLELSSNTTISSPVTTPVAAPPPPIPPPVTAKPTVEVHPMPTDERSPSMASSLNGSIDIDLYKPKPPVPIKPKGLRIDHLNRSTSMTSLTSPSPLQHTTSSFLNNFMATPSLINLQQGYRNPDLGSQDLARLDATRHESIQKVSRKLSTYEEEKNIIENELDETERTGARLLSIVEQHDKNLASRVRRYLDKSKELVGIETNLRLQLSKLNERIKDGVIDIQSARAEESYLQKRFKDTDFLRKISARRESDYDKELSEIFTEDQFRRWVLFKQATVQLLQTESSVSYFIRESNAKLDALHLVPRGTSA >CRE25632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:85156:92288:1 gene:WBGene00064901 transcript:CRE25632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25632 MKVSEEEEEVGGYTSPLMSPHFATSTSSSEEPSEGVEKKKRMRIEEEGPLVISSVDDLLKTTTAWRPYPLFIIFSMSFLWSLCALSAISPAFTAPAADDCTENCSFYTIQHEFNLTASFFLLEPAELTTSIYFLGNLLIGQLFAVLADRFGRRPIIIFSLLLTGIAGSLGSLAPNFPLLVVARFVQGSCYTPLTTVNYVLSGESIPHRSQSLTSIFFGVSWVCGYCFLAPLSVWFPTWRSLQFATSIPNIIVAVVLMITLPESLGWSVEKNHRKSVQAWIQKNEMFSCRKLNYNLDAIMDNKEENEQQQRLTIFQMFREILSDRSITRRMIVETFLWILTFMTYCALSLTSTSVGNSDPLVSFLFSGIVELPAYLFIPICLKWTKRRPTRFVCHFTGSIALLTMYFLSYDTSLHLIIWLIAKFCAACCYIFCFIYAAELFPTFCRSCCIGVCSTCCNIGAIVAPHIFAIDSVAPGAQFLVLAGVGVVCSVLTWFQQETKLMLNACRRLFTFRIDSRYIGCCFNEPEYKDRKKKLKKDRLCIKCLLPHEEDIPCTSNKKCHWKKTHHISMCPEDIEIKWEESDGQSNIFSVHQLKLW >CRE25691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:66385:77684:-1 gene:WBGene00064904 transcript:CRE25691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25691 MRLRPNWLFLVFCTGNLIHSAATYCEEDSYCDECDLVKVGQPCNNFDRLPVYTCNNDTKKVTYTPSKYFMCVDGEVIQFDCSHRNMPPAVFNQITRECVQEETTDNHIRRRRATTGSSRVGDVCNFNTDCQRGMFCGGGICSCLSDFVSISQHCWPKVNPGESGCVENRQCEAVWPGTICTSAGLCECPKETVPSRTRDGTVCISSAIPPACPLPEAHNGNPNPATVLANPSTHPLNPGNYMPVLCNSLSSETRSSNNGDGSTWCVYPDGEQDVYIADTYNCISHPQVNNELFNEYSEKVDGICCHNRAFVCIQPLESGDEPSVPRWWYNSATGTCVQFMWDPDTITNASPNNFRTAEHCESYCRDTCRRGGPEFASSKFSILDEVPRTNCLASTSRCDQDHQCTLIGSQQTCCPTAAHICSANGGRLLLTKPPENYDRGLQIAGHKSVTRYYYDIDQGRCVNFMYQGLGNYNNFLTKQDCESFCSKLVCENGNPLRIGEEWQRCETNADCPSSHSCQGSHKVCCPTAQSLCTQPKRLGDCTSAVRRYWYNAATRTCEMFQYTGCQGNDNNFPTLVACQQRCRGINVEPKCQHGRAFRDRNGNFQQCSDKQNGPKCPVNYVCSYDGTTHGCCPTKAFTCSLNPDKGVQCGSGRSYRYYFNSNKQACESFQYEGCDGNANNFLTSEDCQHYCGVGGCPNGGMPLRDEATNKPMSCSENKSCPSTHECLSIPVNGNVGNRCCPTKQHICSQPPQQGNHCSKISVGRFYFNIVTRECASFQYNGCNGNLNNFATQTECNNFCSAAGCAVGEVAFKDVNTKKAFDCNNVLINSCPANFQCRFNSLTSGYVCCGSTSMDVCPSEERAFINSLDETVRECAINVPGSCPADFLCRFNAQRNRYYCCAPTTENVCPDQRALFRAKKTLLPVRCTLNNANTCPDGYSCQSRSKGVLQGFCCSARNVCKGDSEFLMDEKSKMPRICTPGAFISCPVGYRCHKQTPSSMSGFCCRGEINAISEGCPPGEYAYAKKNEVVACDPFNPENKGCPATFSCQFAVAFQRYQCCGKDPIEEDEIEQEELGCPHSQVALVSNDHPVVCTASGASCPTGYFCQFSDRNKQFQCCGHKAGCPGESVAYLDLTGGAQECSVKLRNCPEGYTCQNAKQGKTICCTGGVRISGRDRPLVGATNSSEEISTPSITIPPPPSSPIAPPQPAPVTMSPSVTSSGNAGKSLCPPDTVLVNGECKVRGAVGSVCLLSSQCTSGAECVGQLCACGKKFREQDGRCIQVDVEVPLIMKEKCREDQIEKDSVCLDKSQMGGACTYDEQCLNGTVCTSKMCKCAQGSSPYKDRCLNNVNICESPRQPVISADYTLIQCAKQKCPKPSACVYSKMIGSYVCCTSAPVTMSKVAPMATGKPLKPVVAPVVGRIVNGGRVVGTKPVSKYTCPDGRVPMLFPQNNMPLVCNPVKGCPQGHTCINKMCCPNGRVKRSEPCPRGWLMVERDGVSQCEPQCTSPWYSPNMCPIEESNRRRRR >CRE25690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:56626:64285:-1 gene:WBGene00064905 transcript:CRE25690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25690 MRNWFILLLLAGGTYATTVEQKPICRIGDGCDSAGCRIPTQGDVCEEEMSIDSIYCDLATNKTDTHENAFLICNLVKKEIERKICPKSQFFIDGKCRTGNVRYKRQGVAGSGRVGDFCSFNTDCLTGMFCSTGSCTCLSNFVAIQGYCYLKKNPGESGCQYAEQCSAVWPESRCEKSRCECPEDVNGIPYVQAKTRDGVVCVLHSGEDGDPVPKCPLPEYDDDLLTMPVSQLRNPHMTDPDDADIQMGEHINPLQFCSSQSTDYTTFIANGGGACAFATEANNAQEGDGVYIADIYDCVTSTTSMANVKTAMEGVYDIHPASDGICCPNRAFTCIQPKREADSGSAAPAGVRPRWWYNAVTGTCEQFMWDPWDETEMQSPNNFKTREHCESYCRDTCKRGSPQYLTGSSQNEDEVVNNCQTASSCTSNFECTSIGSMQLCCPTVASICSNTGGRPVDLIRSTNFDAGMLMKRSFSMTFSTSSRYYYDAEQGRCIAFTYNGALGNYNNFKSSADCELFCAKLQCKYGTPLKIGSSNQRCSANADCPSTHECQSDHNVCCPRPQAICSQPLRLGDCKQSVRRYWYNAVTRACEIFDYTGCQGNDNNFETLLECQNTCENIIPEPQCPQGDAYKDYQGNYYVCSNSGAGNSCPVNYECYFDGYVWGCCPTKAYTCTLSPHKGVTCGSGSSYRYYYNSQTQECESYQYNGCDGNSNNFATREDCEGYCGVGGCPNGGTPERNEFGQLMVCSATQICPGTHECTSVNSGSSVVNRCCPTRAYICSLPPQQGSSCSSSAAARFYFNIVTKECTQFTYNGCSGNLNNFATLEQCNNFCLSAACTPGDVAYVNPNTNMPYECNAALSNSCPTNFGCTYDQLSGNSVCCGATNMDVCPEGEKAYVNAADMGVRECLINVEGSCPSNYLCRFNALKNRYYCCASITGDLCPSGKALYKEPSSKAPIRCTISSNNNQCPTGYTCQSDVPGAFQGYCCSGNHLCPNKAEFYLEESSQMPRSCTVGAFITCPNGYSCQSTQNEFTTGYCCKGEVASVSDGCPPNEYVFMKDNQIAPCDPFNPPNAPCPNGYSCQWSLANQRYQCCGATPITTPKSIAALGCPNNQVAYREHSSNAPRICTAASQNCPTGFFCQFSTANNQFQCCGMSGGCPNDSVAFIGITGEPQSCAIGQSTCPSGYSCQRAISGAQLCCTTNEEPVAPVKGCTEKQVEVDGICLDKKSLGEACNNQVQCPTGSTCKDSVCSCPEGHHELNGVCLADCGANEVEVAGKCVAKSLIGEACEADEQCQGGSSCLDATCTCPEGEEAVEDVCMKKMSRPMSTCPVPGQIPYLEPRTKNARFCSPSRPNCPRGFSCQFSQTAQQNICCGGGKAIVAEKKNGKPSTFSSKPNGKEEVDEEATNVCDRGSAYVVNGTPKQCTASPCPSGYKCTFSKKSKNYYCCSSKASPSGGAGSGGAANGCATGTALLFPSTGTPVQCSNSGSNSCPAGYKCQKSTLSNRFQCCSVVKDGEDEEEEVEVKAPVRGRPVVGGRGVNKNKENSAANGPCSNGQVQVLRIVGERIMKKCEDKCPPHQVAVRGVCRDKYLADAPLNNEI >CRE25689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:50146:54491:-1 gene:WBGene00064906 transcript:CRE25689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-45 description:CRE-UNC-45 protein [Source:UniProtKB/TrEMBL;Acc:E3ML43] MVARVQTAEEIRDEGNAAIKDQDYAKADELYTEALQLTTDEDKALRPVLYRNRALARLKRDDFEGAQSDCNKALEFDGADVKALFRRSLAREQLGNVGPAFNDAKEALRLSPNDKGIIETLQRLVKANNDKIKQTTSLANKVTDMEKLAFRGEAKDAQQKMTALNNLLVLCRESESGATSVWNQGAIVPFIFNLINDKAESEDLIVTGVRILDETLKNNARALKFLAMHDPDGPKSARFVCRLMCKRDNKEYVDGAGILVQRVFNAMAKMDRQKEMKPDPEVAEANKIWIVRVILELQEMLQDPKVGILQRETVIDLFLKNLMHMDGGIPRGWSWKFVDDRGLLALLDVASQIPEQCDYPVSAETRQHVAICLQRLEEDMVFDTKRTIFKERVDFFFNALISRATDDEEGHKYRIKLSCFLITMLQGPVDIGINLIINDQLTPIMLQMAASQNHLMQGIAAELIVGTIAKHERAINMLKIGIPVLRALYDSEDPTVKVRALVGLCKIGAAGGDDISKATMKEEAVISLAKTCKKFLLETEKYSVDIRRYACEGLSYLSLDADVKEWIVDDSLLLRALVILAKSAGPLCVYTLATIYANLSNAFEKPKVDEEMVKLAQFAKHHVPETHPKDTEEFVEKRVRALVEEGAVPACVAVSKTESKNALELIARSLLAFVEYEDLRGRIIAEGGTVLCLRLTKEASGEGKIKAAHAIAKLGAKADPTISFPGQRAYEVVKPLCDLLHPDVEGKANYDALLTLTNLASVSDSIRGRILKERAVPKIEEFWYMTDHEHLRAAAAELLLNLLFLEKFYEETVAPGTDRLKLWVLYSAEVEEERLSRASAAGFAILTEDEGACARIMDEIKSWPEVFKDIAMHEDAETQRRGLMGIANIMLSSNKLCSEIVSSEVFRVLVAVTKLGTINQERAGSTEQAKRALGAAEKFGLIKATDRELYERENNVTTIQEE >CRE25630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:41539:43762:1 gene:WBGene00064907 transcript:CRE25630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25630 MDLQQFGGHPRATRSVRRRNIAIEEGRGIILLPDPVMDLVPEYELSKFLPYEEKNVEKPAGQLSRIREWEEVKYRPVYIHEQEFNGIMVKPLQMVHNGVQSIKENSELTFPSNSYVFNVSGLHPETRYIVGLRFEADPVQTYEFDENLDALLPKDLFFRHPLNSMEIRLEEKDGYHLEKSKIDFTGLKFGGCFSGLENATEPNVILLKIHRKWTPIVSISVVDDDETTHIIREFEIKEMEIISKHTYTQYQVFGKNRAAFPEFVNELNNKDYQKQVRDCPDLKKCLEIARQWETGTVPQPDEMELPFRSFPKQSEDGEIFIYIRTSSYIFENRKDFEEKVTQMEKNLQNEKKRRRWEAEDLERDEVEDQEYEPGLEEKEEEPRKVVVSRRKAEKRKTSVKARNDKKKVKSEDISPAYAIFKKEWEMKKNKDEEAINSMWDSIPCGTRGGSTGTSEWSSTNSSRSSLSR >CRE25628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:27788:31034:1 gene:WBGene00064908 transcript:CRE25628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25628 MSDDWGTAAAAERSMSRLTLIQQAAARSGALPAPGQFRNSKLSPFEVSQMSGVSISMVERGNINGRDFTRELEWLLQETTERCFLDAEMWTGEILAYLPNEWCEGSQPDIWNTSVKVFANDSTESMTSPTSSAANMPGDVVVPKAKYAYSSRFARSLIKNKDFRRAASFLEKTVNENRVDHFLYYRCLFLAYYQEHLENDSEGVERKTSFAEEKSPFSILHQRMTDEKLRENDDVWFEYLMGLIEVQLGLKVEAEKSFKNVITREPRHWPAWEGLTLLISDIEDADNFVIQLDSRSLWMSDWFMVLVLQRFHQHSMAIQKAEQLVQRGMTGIPMIITKIAACSNARHDHDQAIANFEDVREMDPYRLTDLHLLSDSLYIRNDQKKLSALAMELYKVHKFRWETCCVVANYHAMRRDSEHAIKFFQRALRLNPGFAALWVLIGHEFMEMKNNAAACVSYRRAIEIDPADHRGWYGLGQMYDIMKMPAYSLYYYQEAQKCKPHDSRLLVALGEVYTKLNRIEDAEKCFTGAYLFGDVEGNALWNLAKLHENQKDHKKAAQAFEVFLVVYELVTSAEEKVIYSVAFLANHFFKTEEFDKAQEFATKCMAYESICQEGNRLFREIAKIHQREAELDEAERIGYAQRAAAEAAAGGAPAPQEELGEEEMSEGEDELTF >CRE25627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:25141:27484:1 gene:WBGene00064909 transcript:CRE25627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25627 MRSLTLLLLVASGLALKFDDCEQQPKALNQVQRVGPKLYSHKLDFYHQKSLPYNAIHAFTDVFLHINLTDADQYQFYQGTNCTTVQQLYDNDNRYFGLLRKAALLRSHQLSPFNDTIVGVSTAEPYEISVLIWKVNYIRVGVYVGAILLFLLASKLVRNVLFYYTSGCSFGLLASLLLVAFIVWRVAPKKTLGVPILIGGWSVSLYMLHFAWTNLQSIMLEYQKYVIGYFATVLLVSMAYCYKRGPPTDARSHDIAQWTLQLVALALIYFSVQVVEVSTGTIGALIVQQICRNFLFSGFHWFGVGLGSLWRKMFPSKRRLLNEEEYDEIGQKTTKEQLAQLREYCKQENSRPWKIAGNVRSARRLARFIEGEDDHITEDEIYAHEMTGDVLDREDYDDDFGGVRYEDYDMETPNGSHYELEEDEQDDEQEWDEVVVKRRGSGYGRQSVQSIRVPRSISSRLLSPYQHQMNRSMGPAVGYRRTSEAAQHRQNGYSEHRPRVPRTEQIYRSRRVEYDVMSGRVEPPRFATSSSGSATTTSSITGGLTPSEYMRRARKIDSASKTPTRRAKNSTDSRNRTDSEEADE >CRE25686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:21526:24992:-1 gene:WBGene00064910 transcript:CRE25686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-magu-1 MPDNLSAIFETILDYADEEATAGTSNQDQEQNQRISTKNHKNLLKKHQNAVAILRNFAKSNEQKEEISFQELSQSFLDDWDVSNSKSISIQQLSQLLNAPHIQGVLWAAQEVSAGRFAPQLPDVPFEVDEDDGVAVKIVRIVRRGEPLGATIKCERGKVYVARIMANGVADRSGCIQDGDRVLEVNGVTVADKEPREIVKLLDKCDNGIITFKLIPAEVNQKLKQKRPAHRYVRALFDYDPWQDRRHPCPEAAISFRAGDILEILDEKDQYWWQTRKIGFGALARRKESRDEENREESSRELILNSGISCIFIAEKVGLIPSEWLQCQSENPDSLESSNDFQTFLESHKERFYEGVFRWRNKKKRRRVVVLLGAPGVGRNEIRRQFFKVFADRFTNAIPHTSRAPRPNETDGVNYYFTTRAEMEQMIEWKEMLEYGEFRDNLYGTALKSVRRASEKGTVLLTPHPLAIENIRTWEFAPIVIFVQPPEFGEFKHTREVYRAQTTRSHSAASSTNISQNTAATSARAFSDTEIRQIIENSAQMEQKYRGVCDAIIRNVDLDTTMNELTGLIYQLESKATWMPSKWLAEIGE >CRE25685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:13390:20459:-1 gene:WBGene00064911 transcript:CRE25685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25685 MAPINFRLGLNFIALLHLADSNDKAERKEKEKRHRERLRSIEMQRNQMHRNACEDAAAQFMEITANPPLKVRSMSLSKSGDELLIVTTKHRHSVHSGAVPHLQVRMTHRNSSSNGSTERFELEPSEPTGGPQRSTSSDYCKVDKKHSISGTTRLLKWLGISDKQTTEQTEQAAKKPMSKRRMSTFT >CRE25626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:11270:12773:1 gene:WBGene00064912 transcript:CRE25626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25626 MAGQTEKGKVWKRTCCSGSFNLHARNIAPIMPGTLETRAPEEDDSVVVRTGVQVCVNNILRDLKNTSSYTEQLNKHVQSSDKCRNEYSVLINTHTMGEAIEELVSEQTIYGAPIFNNPNVVNSMAVSWKVNDLLGKKVEETPTTPPNASRMDTTFVIESRRFDIFTLNNQQLVNEEVYEKKENENVTHMSNNMEKLHNSESPQLVENQFVQEEEVFDDIHLATGTPLSRGEGSNTITRTRGNMIRPKQRSTIGHKQWDKLRSRLQQFKFGK >CRE25625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:8291:9708:1 gene:WBGene00064913 transcript:CRE25625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25625 MVEKSEDSKKRGRSSSSSSSSSSGSSSSGTSSSGSRSSSSSSSSCSPRRRENGRVRGGRSRSPIRRGGSPPRGARADRGGGRPSPSPPRRRRVTPSPPRRDRRDRSRSGPRRRSSPRRASPAPARSASPIKRVVIKNLSRNVLRAHLEEIFSIYGAITKVDLPVDRNHQHLHRGIGYIDYESVEDAEKSIKYMDGGQVDGQVIQVEMTIGGRAFVSGQRRVSPFRRRASPPPRDRKSPIRQEEVHQHSADVHQWQEVVAHQWEDVVLVPTMHHWVQADSVVEAVVLVRQSAEDPARNLLPLYAEDNAPIAQKFVPEEPLPLQREFATVRIACQEEYSRVAERFKVVDCAMSQTKKAATRCNEYLTEEWTALPKATAITVGGKDCLV >CRE25624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:2761:3851:1 gene:WBGene00064914 transcript:CRE25624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25624 MVEKSEDSKKRGRSSSSSSSSKAAHQAPSLQAPPRHHVLDLQSAVDEWYNVKADRKIMRHFLRLRYKFMQFFLEGIASSQRFGQGTNDNQRIVLEVIRHLLDSDYTCYSFSHVDMPASATLSGYRKQEYKKSVVSVIHVASLPINSSGKVDENELRRISNNHFTKDTSSIIQEFLKKRLGIDLENVLGQSFVSIGVNSLIAAELSISFGEIQEVAKREILNDKITIRSFLNKFESEKLKELNHEESETIKVKVLKRRQPRMNWISVNSLMGIF >CRE25683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:2040:2398:-1 gene:WBGene00064915 transcript:CRE25683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25683 MSSEFQEEEEPPEIKYIDLVEFQNLSIPNDDAEIVFRWIDSFETEEEKWHRLLTKFTTPLAGRNGYCFRIVEKYAKALHYQGAEAVQTKGGLRFLSNAAP >CRE25684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:6656:7406:-1 gene:WBGene00064916 transcript:CRE25684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25684 MVEKSEDSKKRGRSSSSSSSSSSGSSSSSSSSGSRSSSSSSSSRSRSRSPRRRENEAIYHCCWNTAYCSVECQQGHWQTHRKFCRRKKGNNAGGQGAPGANAAQQAIAQQAAQQQQ >CRE19720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:520510:523257:-1 gene:WBGene00064918 transcript:CRE19720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19720 MSDSNSSEVFDESLRSKVFDNPHLLEIIVSNLTWNCESNLSTRLINKSFNYQFLQIIKRNHRKMKIECIGKAERCEETDKDWIYINYRKIKKSIIPGYFNFLNKVVGVKVEEIITKFMWRARKAFFKHLHDIIYSHLIGNNRGSVRRLIGLEEMCEACVNCIDMAKRCVEYGPSKFVLKVMKNPIHYRKLHISDKSIECIADYCTLKSTTREECFKQLEDLIRPSISCDTLVLWISETREYYINGVLISAHFPMPREVLDVMIRKWNVKSVKLNMICRASGVQCSEKWVEGGYFTKIKVNEPFWKTGQSDLKIHHISVRVLESYYCARGLMQSNPETVDEKIYENYIANLRRLFQMDKISIDFGHWRHKYSGSLEEFMKNILRVIQLEKQRKLEVNIQFFTEICSFKVGNSEELAEIPSEYSLLSDRVECIRMFVPFEIVESGPERLNQIKWVGRRFQVKDMDTHFTLNLNIYVKETELRELDNGLMETHPNSLIGVFLQLAT >CRE19718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:506026:508708:-1 gene:WBGene00064919 transcript:CRE19718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-46 description:CRE-SRX-46 protein [Source:UniProtKB/TrEMBL;Acc:E3MDB0] MSDSLIVGLILFHISFFGVLTNWTVLLFLPKVGSFNKSFGYLTWNQAFGDALQSTTVFTLVVPMVFFDLEILKSNSNYISLTMLLGYDISVLTHLLLALNRLCVMAFPLKFQLYNEKLTLTMIIAVNIYAFASIIIFFLSGCKYSWSSEMWMFLYHVSNQCVNFSFYAIFCKYITIIFIVVLIDVFVICKARLMYQKSRTDATSRQMNSKEICFLVQTCLQGVLFSIELICYFIISPTVENTWVRFFMTTFAFSTIHACDGAISIACNSDFRAYLYRSSMRKIRPNDSKYNSRVEGLRSTVSVSKY >CRE19681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:502992:504405:1 gene:WBGene00064920 transcript:CRE19681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-49 description:CRE-TTR-49 protein [Source:UniProtKB/TrEMBL;Acc:E3MDA8] MLSIFYLVFSTSLIFLTAETHVLTVKGHLQCAEYPASAVTVKLWKNSEKSIIDDTHSDKQGNFQLSADTIEKDYTPYIVVYHDCDDGVKPGQRKLKFQIPKYYIGSESAFDLGSFNLETRVKHNEERQKHVDRRRREFVVVERKRTTPNVFRGRDEEPDDRNEPW >CRE19680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:498949:502200:1 gene:WBGene00064921 transcript:CRE19680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-3 description:CRE-GPA-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MDA7] MGLCQSAEDKELTLKSKAIDKEMMANHMSQSKVVKLLLLGAGECGKSTVLKQMRILHDHGFTAEESEQQKSVVFNNTLQAMISILKGMELLRMTFDKPIRENDAKFVMEAHKMLQEAKVFPEELANALIALYADKGVQQVMAKGNEFQMPESAPHFLGSLDRIKMPDYTPTEQDILLSRIKTTGIVEVKFQMKSVDFRVFDVGGQRSERKKWIHCFEDVNAIIFIAAISEYDQVLFEDETTNRMIESMRLFESICNSRWFINTSMILFLNKKDLFAEKIKRTSIKSAFPDYKGAQTYDESTRYIEEKFDGLNANPEKTIYMHQTCATDTDQVQMILDSVIDMIIQANLQGCGLY >CRE19679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:479576:486407:1 gene:WBGene00064922 transcript:CRE19679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19679 MSLYEAADGILETHVTWEDVEKSMQQSLGTHAKFGENRKVTNISDMKGFMSRIAMVEPDWINVEEGKTLPHKFAVKISTQIALLALSKVLMFDGEGGFGEEKLKKLGVVTRECHNREVDSYKLLKRFNHPDIPYLKVYAMKKFSDEHDLKGFLIMEFVPNIYSPGMHVPIPADELVTLIRGISTFSALSEFLSPEEKKFAGGSDYLERMFKEIFTSDSLKTHFSKMYEVFGEEKHKQVDKLVDAFIHYESLLKKYSEISELLGHKLVFNHGDLWQSNMIHTKDEDGKMKLVAMIDWQSTSILPPGLDTSRLMMGCLSTEDRREKGPELLRLYHQTFTEVHGQELFSFEELQDSYNLHFPMAAMLIIPGSISFMANGEMTENEKEETLQKVTALMEDVLEVHKLNLKKFPEFMRGFMSRIALVEPDWQNVEEGKELPQKFALKVILRKIIFRITNLHFQISSQLALITLSKMMNFEGGEGFGAEKLAKFSTLTRECHNREVEIYKHLIKFNHPDIPYTKVYSLKPFDDNEDLKGYMILEFIPNIHTLEMYQSIPADDLLPLVRGIATFSALAESLSPEETKLVIDRDYLELMFKEFFNETELTKKFENIRKLFEEDHPENAKKLIEAFQHYKELVPRYTKISEILGFKLVLNHGDLWQSNMIYSKNKDGELELKAMIDWQAVARMPPGLDLSRLLLGCLSVKDRRERGQELLKCYHETFTSVHGKELFSFHELQDCYNLHAPMMGMLLVPELYMFIDSVKILEEEKVAARKEARAKIVAIMEDVIEIHEQNLKNYEDFMRI >CRE19717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:472794:477934:-1 gene:WBGene00064925 transcript:CRE19717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19717 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MDA5] MATPLSDLPYFHGTVDTRECRELLPDLGDFLVRNFVRELEPTGSPTSIHCLLTVAVTTDQASAKSKVIPEDYSFKETCLTRLRTYAILTSDNNVFNVQGCSEKFATVQSLCESLINSKQSLPNGGMLVKAVTRKPWQLLSKCIEYPNPEVLLGKGAYGKVIKARLVREGREPITVALKSSTEEGAHVFSDMYAEARAMRRLIHPNIIRIEGVVVEKLPILLAVEFIEGTSLLSALQKNKVSNQMRFPVVVGILYGLLYMHTNNYIHRDIAARNVMVSNDCRLVKIIDFGLAKHGLRFTVSNPCQHPPKLKLFQLGATQKIPAKWLSPEVLKTWTFSTKSDTWAFGVCIWEIYHNGAEPAYTVRKVAPKASAAAAAPEKNTKTVTRRRKKISGGAPGAPAAPAASVKREIGKENDGKHLKITENLEFLPPLFEPMFDRMFSLKTRDRIELAAMADEVEKKILPALPKMIADEVRVHVEKRPPFDPKFRVQLMSVSDGSRVSTLRSAPTGKSNTATPKRSLAAMNDLSKIGAPNDNKADGKGAEKKEKVAEKQKTARRKAKK >CRE19678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:468950:471907:1 gene:WBGene00064926 transcript:CRE19678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-try-5 description:CRE-TRY-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MDA4] MNLIGVVLLLFQCSCIASTDIKLKYYNPEICGRQSTYTSFVLTDDAGNTGNPTHLAPWAVQIRVKARGGDYEVICGGTLITSRHVLTAAHCFQKHFGAKKEGDDESSMSGRYCEENQRFTDTEILTRTMVTVGAMCTRKAEKYGCVNEKQNGKTLKVSRFAIGDFYKTHCEQGGDIVILELEKSVEDVEGANYACLPFAPETEIKEGVNVTSFGWGSDPGKGFDNAAFPMIQILNLAPETLETCEENWGTSIPSDSFCTAEEEDKNVCSVGQNRRRSLITDFQGDSGGGLTFHQTDSSREFLIAIVSYGSDCVQLIGGSEPRSQINTDVRKHQKFIVDFVNGKK >CRE19716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:465720:468828:-1 gene:WBGene00064927 transcript:CRE19716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19716 MNRNRTNKDILDRFFAKNKKNEEESKEEQKEVGKFSYAIIRNIPKELHSKDLRKYFKRFIEAEKFQCFHYRHRPELQAESTSKESAESKTCCCLISLNTPEDREEFIGEFHKRNWQNSSGVEIPRRCFVDRLKIHGSAGEKSISVDDLKEMIELKPPAVMPNGNIGTPSQYFLEQIRMCRLPASVIAKLGIETQKRAKKFGEVPFSYEIDRNLEPGTSKSSKSAQKTIKEPEKEEKEDPTERIRRNQNPGDNDSDGDNDDDQCEEWERHEALHEDVTEQDRIKPKKYEEEMEVTWEKGGPGLVWYTDKNYWDEQEKGTDCDWAWADDWDVDYSVYYEGKSAGDMDARAAVEMRNDELQRAGKLEQSVFTKRKPPGKPSNRKRRNSDSTAVASDTLHKGIGGTMLSKMGWRPGMGLGKKEQGKIVPVAVYVEEDGQSSREKTGFGYRGEKMSRIVRKKPIRHVIASVFDKATDSSLDTDQSTEKETATGEVLFRSAELTKMKYRD >CRE19715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:464631:465080:-1 gene:WBGene00064928 transcript:CRE19715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tos-1 MFYGSESSSSSSSSDDDTFVPEMRPTDLLSLAMSKRRALFSQKERNMRCEVLQTSFITSLCKHMGESRKRHRRGGKKRSRSNSSSNVSNTFSDILPSGANPVPSVEPLVQNDQQQVEEPAVKKTKTSNFMDPFGLEDFFRDIHSRPHKG >CRE19677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:444341:450370:1 gene:WBGene00064929 transcript:CRE19677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-coq-6 description:CRE-COQ-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MDA1] MYRFQLKIAGDSVRVISSRNASSYYDAVIVGGGMVGNAMACSLGLNASFASKSVLLLDSGKPPSLSSFESGAPYKNRVVAITPTSQDVFKKLDIWDRMNAHRVRKVNRLYVFDSCSTSEIEFEREKQEEVAFIIENELIVAALYDKLPDCRNVEVRTEAKVEEVSIPNALEQMAYVKLANGDVIETSLLIGADGANSKVRRAANVGYTTFDYNQHGLVGTVDIEPFLVFAKLGPVALLPLSDSVSALTWSTSPEEAKRLKNLPADQFVDELNNALFSQEEQQPLVNQALFAFNRLNPFRWETFGRKPDGTTPPHVISVRDNRGTFPLGFGSAHRYIAPRCALIGDAAHKMHPLAGQGVNLGWSDVQILDQILGDAVREGADIGSLTYLKEFDSAAQKHNLPVMVSVDLLNRLYRTDAPAIVAARAFGLNAFNSLGPVKNFLINYLSAHR >CRE19676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:438415:443750:1 gene:WBGene00064930 transcript:CRE19676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ucp-4 description:CRE-UCP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MDA0] MHYTINTRFTPKKIEKIAQKLNFPFQMSSAVMSTSDTSHSQTFKRIATKYFLSCTAALVAETVTYPLDITKTRLQIAKNKFTRGGMMQVTYDIIRREGAMALWTGVAPAITRHYIYTGIRMGAYEQIRLLTFDKEMEKTFPLWKSMLCGAFSGLIAQFAASPTDLVKVQMQMEGLRRLQNQPLRYTGALDCFRSLYRTQGFFGLWIGWMPNCQRAALLNMADIATYDRVKHGLIDHFQAKDNWLTHAVASSCAGLSAAIVSLPSDVVKTRMMDQIRHELDAKMQHKKNTHVDLYTGVIDCYIKIIRNEGFFSLYKGFLPSYIRMAPWSLTFWVSYEEIRKWTGASSF >CRE19714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:426118:433876:-1 gene:WBGene00064931 transcript:CRE19714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-14 description:CRE-ACL-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MD99] MCDEQTQKLLDLANGQRPPGSRLVIEQGSFASRLWWVVKAPFRTMLCLSLVTVFFATYFGFMLPVMWARTIWPRLYWFVEGKLYRWLQSFIAYWGYTAGYDVYEYGDDVTTYYRDERVLMMCNHQSTADVPTLMTVLQNKGVASRKTLWLMDVMFRWTPFGIIGNNHGDYFIQQGKATRDKELIRLKKHLHDVFWDRDRRWVILFPEGGFYYKRVESSQSYGKKNGFPHLLYTTLPRMGAVKAILEEVGPRVSQDDDEPRERSNSKLKLLKDTVGAIREKKYVKGKVYSKKSEKKRSINTEN >CRE19675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:423955:425931:1 gene:WBGene00064932 transcript:CRE19675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19675 MDFLKGEFGGILRDSDGFFAMKKSPNFQMPHLLGIEWAPMNIPLTRRLQTLGALHFFFITLFTPVLVLTVPFYMLYTIAWPLIVVYALWLIYDWGSPKRGAYRSEWFQRQRIHSWYANYFPVQMHTTAEMPAEHSYLIGYHPHGIISMAAFINFATNGTGILDTLPRIRFHLCTLVGQFWTPWRREWGLLHGMIDCSRESIKHVLEHDEKGKAVVLVVGGAEEALDAHPGCHILTLKKRKGFVKIALQTGAQLVPCYSFGENDIFNQAENPKGSTIRQFQTIMKRILGFSPPAFYGRGVFNYTFGLLPFRKSINTVLGAPIPVTKTPNPTQEQIDTLHETYMNSLRELFEAHKTRFDVSPTTQLVIN >CRE19713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:414611:422447:-1 gene:WBGene00064934 transcript:CRE19713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19713 MVQGELFAAARRKLLTLNVFVFLVRTNTRPPIKYVLDVTIAYPNGIPLSLATFGLGTREKCDIAVNYKIYDADEVPFEDEEKLRDWMYEVYKEKDEMLARYYATGEFNPGEKGTRIAFSWAKIIGMYGFWFGSFYAQYNVYSYVISAVVKFLLFPFSSS >CRE19674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:401755:414124:1 gene:WBGene00064935 transcript:CRE19674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-153 description:CRE-TAG-153 protein [Source:UniProtKB/TrEMBL;Acc:E3MD96] MVRRGSRTGGPQSSSVNNTSSSSGSNEAQWKAGRADTEQSAADSSSLAKSVKSSDSAESSSPLSRHSSSPSIHKKSGGVKATRSSSNWRARGAGPGRHSGVHDSKFTITSEDFPALPGGPRGNGGTAQSTPAKYVKKDKEKHSSFNTDMSTTDDSGVSSSGLSRGNTPPDNTTSTRTSHPNVVKLGKEKFENKKKLAAAAAAAAATAAATGGESGDVGKKKSSGTSSPSKERPPRESYKKTHHQLRLAAHQTSVGASPKKKTINANAANTTPSFMKLSKVGQKIPERRGGGPRQNSHFNPSSATSPPDDKENTPKKEYAAKLELVQHVEIIEKKEQKKKEEPHKVGVAKTTVTVGNSSVPPHTVVPPSHCIFHPSDVPKIILGPNGELSNIPPTMLTDQFGMAAMLPILDIVKNRSTNIDESCLTNEELNEKYMKENIEMTTIGFDLNELGVPMKTQEVNNKTVWESFAGPFGVQPILPTSIGLHQNQVPSAYYTARTLQTNFDMLQNIPDKFGIGAIFYIFYNMPKEIWQLNAARELQFRGWRFNIREKIWVNKKLGESEEFADSFNSGQFHDDVMTGLFEIYDAEKARICSAEMTLRRSEFEIPVWEQKVPDLYHRQYVSYVPKEALWGPRDDRKNSGFMQGISGMLPNFQGRQRQTNLTIPKPAQSSERRLLSFADSPDLNPSFENPFGNFHPTNSVDDACRNQMYAMLLQKMQQHQQHQHQMAQQQHHLQQHHQIIAQAVAAAELNLNSKQATSSSNFFQK >CRE19672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:395526:398832:1 gene:WBGene00064936 transcript:CRE19672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kvs-3 MNEESPLIESAIDVPRLPDIVFVNVGGRKARLNSEIITRRLATSRLAVFCEKSHVERLTDCDAYFETTSEYYFERSPIIFEYVIDFYVTGKLHRPMDICPIRLRYELDYWRIPTPFMSPCCILEENNNIAGKMSTEKAFVDSSLPSSCFDKVVFGPQRLKLYRLMENPRSSSGAKIFSVGSALFVLLSLLGLILSSMPELQDENKEPHYLLHWLELLCMVYFTFEYLARLLVNPKKAEFIRSPLNVIDLLTVLPFMIEAFNELQWMKEFRGAMLVVRVMRLARVARIFKLARYSTGLRAFGETMKKSAAELSMLGMFLVTGIMLFSTAIYFFERDEPNSKFYSIPAACWWCVITMTTVGYGDLVPITAGGKVVAALASVCGIIVLAFPISMIIDKFAESTGGWSGGEGDEEHGGHHHLPRTVHPLNGAGGGAVGGGGQPVKKKSKRYVGF >CRE19671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:372644:382089:1 gene:WBGene00064937 transcript:CRE19671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19671 MCIVRRHVLKSKYNATCQSFRPAIRVRLNRPVFDQASSIVQGLVEYEVPRVNIPATRQCFTEGCVDAHSFRMSSFRQPSFVAFEPHFPNKFRIRVTDFDFVVTGQLSGTITVILNIPITGNVVVTGRSIGVSALLDLQKTVNDQPYLRFNECKIENGIVSARVTNMGLLTDTINQKFGSVLSGQSKVQLEEAICEHMNRLTQQHFSTRLARIPRSLSAKELLEIIISNNVKKSATSPATNFATLVRQKRAATTSDDYYDDIEKTEGRPAKPIPNVNLRKISLSRDDVINFFNIERLSHILIDLTLLDAASTSSDFSLGISGNVFSSRSQGSSPYVAPYPFRIPQNTNRRMIEVIISQYSVNSLLFQAHRTNSLIFHVDSKTPGIGSLLKTSCTLDEVCIADEIPKIKTVYPNRRLELIIRSAAPPSVTINNDVMTVSMNGRCIFFLEGTRQKIGVVPFNTVVQINMKTVGGKLTGTVFIQSLDFTPGIDFLGMSVSDLDGLRRTTKAALQNFVSSATADGFTLSTASMHSPLRLFHPEISLLPNALLLQADVDLYRTLYSARKSRKHA >CRE19670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:367195:372373:1 gene:WBGene00064938 transcript:CRE19670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19670 MYIYIIPFLQFSNHFQTDTNKIIEELKGPATSTKKPTNRPAMQIYRPPGLRSDGSSATTPSSTKPKPAAGQKLSSSDCDSNVTSHILKEENNNKKDCGESTSSRASSRASNNISSDDRHTNGSLKRTESSLSSESTPSSQKSYGSNSNKLNGQHTVSGGAHQQYNKRINNSKKEHQKKKVMSEHEIEKAIIELRALQLHSHSAQIEQWIGGAFCDEELAECIGSALCRHAIEGGGGSGVGKLCANFKYAPSIGSFVKGLIIALSQYLECRHKIREDHFRMWISFIQFLTELYSNLGSDSKGELATIVFDVFNYLLRPPILEHVKIQELECLISILIKGGYDLERECPDRLALLKDLIRDAFIDVSEPWARKMILLLLELGASSWKLPTDANEYYFNETAT >CRE19712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:355743:359619:-1 gene:WBGene00064939 transcript:CRE19712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19712 MRASKNQWAISPETIMSHHFRLAKGIPHVVDTTPPRSMFNSPISIHYLPKRSSSADPFKRKSLSKSQRELNDFVHGRNGDPHSLLRLPLPIRSSLTDLVKPKLLDNRNYQPPRRKAPSKERREMEREEQQSREKMQRIKEFRDAVLDELMENPSNSDQMIMSCIEIHMESFAGLIPWNDLEEASHKLMLDIGVDLSKTKSRRNSKRSHKPPRPFRVEPIASPKSLESLSSPSSSSSKTSSSSSSSGTGSTTSSSS >CRE19711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:343447:350723:-1 gene:WBGene00064940 transcript:CRE19711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-2 MGLKAILLAAALVVTVYSQCPALSGACRCAPSVYEPVAIICQNAGSLQNAIQAIQAARDVPIDSLTILDTAIPTIPANAFQSFTILRLVLNRNTLQNIDDQAFNGPLLDSLIELDLNDNNLGQIPQTGIPRLRNLRKLYLNRNRINQLSSTAFNAFESKDLLLKLELAGNRLTDATLGDATVFRPLTLLQELSLETNSLTSIPSSALVNQRNTLTNLNLGLNSINDVPVGALDFPVLSSLSLEFNGITVIAPQAFQGVPNLQFLYMTGNKFPSWAPEMFRYITQLKTLGIGETPISVIPNNAFMHIPNLIRLEMSEAAVDTIERGAFQRTPQIQAIVLNKNRLSQVRADFFEGLTDLYSIDLQGNRIDNVQSLGFANLPAISHLDISYNLLQTMPSDVFQNSFLPQPNDRRVIYACGNPWYCNSELEWFRTLLRDNLDIDVEKPGCLAVCQSSPNGCPVEGTPLRSVDFCQNNEEAQPLVGRALSMVGWIILAVIMTILLISICLLAMVRYGMSHQRKKQKDAEVAAEEYHAQTTATSIYNAPLSIVDRPYSTVPPVNLDLPAAYTLDDRPNNYLY >CRE19709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:310225:314528:-1 gene:WBGene00064941 transcript:CRE19709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-17.7 MLEHFDTEHVQKHQKPSSPSKLRPLFFAAPINWCRPLPLYFVTRPPRFLLLFHFSIHFRHSSISSQLLISHSPRLLPPHHLRGSTMSTSCDLWSVRSVRLRVAVAIALALTIEGLMRSNLNMAIVCMLNSTALTDGKPMISSSSTPTNTSFDQSCPLLKFGTAKSVEHKGTIFWTSQDRAVIFASFYAGGLIATLASEVLNRYIGATRTVLYGGIANVVGTFLTPFVASQTNFGTFPIILLRFVMGFGQGVLWPCMLVLVAQWFPANEKSTALAVATTGNQLSVVIAMFVTAELCQLPWGWPMAFHVYAVCGIVMCFIWYLVVYDSPCHADEKVSKEELQYITTERVRQRPMHPNWLALMKSPVVWSIAASSFAHNYVTVGTITYLPLYYKTVLNMSLTSNGVLSAVPFILQFFSKVFYAGMADSAKTRGWASFDTVTKFCNSSASFGIALCFGLLCFCDCSQRPAAIFLICMAMSFVSGYIPGYSTSAVTIAPAQTAAIAAFSRFWGQIASSVAPYHIGAYTKQGTHEEWTIVFAIMAGICGITGMFFHCCGTASLQDWDSDHSKAPMEIAREELIDSSKLDDSLRVTTVD >CRE19708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:297211:302001:-1 gene:WBGene00064942 transcript:CRE19708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-36 description:CRE-NHR-36 protein [Source:UniProtKB/TrEMBL;Acc:E3MD87] MLFSTKTSGTMSASEGEDEPCSSHSFYDMSLIGKSEMVTVEICRVCGKNAAGKHYSVPACHGCKSFFRRAILHKTVYPECKYDKLCFSRASRAVRPRKCRNCRLQKCYAVGMVAMVAIHRKQDSPPHSARSSDSELPDLRMPSPIATRENYVSGIIDKLHNLDIQTDKFRKSAYNPLFIPSLEGILASSGKLDLADKYGAMSGWPITQESFNEQQVMINQIKTDLVQNDSLALTTSGANFGINTKEWLSFDMLTAIEYAKTFPFFQQLPSNDKLFNHVLVTNYIDKYFQILLTKATTFMNLALTSSYASFLSKMAILQMPDGICISGPAGQCEREIRSFERRLSLRIMAPLIRNVFDKTEYVLLKAIILCNSAVTDLSATAQQILSKERHNYTGALLLYCLSRHGSSSGPARYYSIINMIDVLEHHQRDFRDFMLLLDITVPKRFADDRKSLQREILDF >CRE19669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:292969:296559:1 gene:WBGene00064943 transcript:CRE19669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19669 MSSVSYVLLDGDFRLSSRSASKYLLVLLMLVGSLFVALPYIQDSTEITSSVEEVVEEVALPLPAYIEPGSVSPVFEAFYNCVRPKLAPLAGSYEEFWFSFVNLTKECDDLEAYNAIDLRPAPNRDEVKHVAYPRKIDDLTMVTFGIGHDVSAEIKLKEMYPTFEFYGVDPSSYINQNLYEKDLGGKYFEYAISGHGGMLNSRVFRKGGYREEVTKTIGADVFFTEIVQKKKIDALWIDVEGHEYPILNQLHHNGALDKKGVVVCQINVEMHKDTFKEGQGETKKFHDFVWKVLEDRRYVMLKPVFVRYMAKRFIRTFIVNVADKECTDLFLS >CRE19707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:287439:290930:-1 gene:WBGene00064945 transcript:CRE19707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19707 MAQSSDDIKYNLIVFLSSAFRIPHEESIRKHFSRVLMRNVLCMLAISILLCPIFYLPMIGYQSNGLIRYVPLNLAFPAIFTVYCLLGVVISIMDLFHYRLKAISLRNSGKRNLKIRRYLQRLVYMTYIILLFTAISYLGLLTVQSSQPTIKPMIFEKYHMSEVWCPKFLVSDPYTWPIILAICTTFIFILFVGSVVLFCGAFTLLILLASRKDLSEYTLKVQKRFTTVLIVQAAVHVIFILGPIITIVISVYFDIYINDGGLAFFFVEAHQGTASTLVFIFTHSVTKKSVNYAVNRGVFQFCFQNINIVFLGRKIVGLHPSNNIWSVSKVEVRSKSVV >CRE19668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:278335:285956:1 gene:WBGene00064946 transcript:CRE19668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptd-2 description:CRE-PTD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MD84] MSSSTVSRITERIFMKFVVSPFFQNPFCSRYAQIVIEYPVLVIVLTGTISVFLTCWSLYFNFGVIDLDPTKGFETRGTSLSSARLTLEAMKPHQASNENILRWYFEQDAARRKRDLMSTSTLDYPPITVNYDDYGVDSEPNESDLEDPCEMYGAIGKSFPYDMIEYLGKVMVRISSYDDLFTLPVMKHLCHVDSILDEISEEFNFTKSLKHSLNIPLYISCPNISTENSCDALNQIDISNFRELLKQCREDSKLDFCSAFSINQVNNWLLPMDNSTEVMVTVVLKITMWNGVDDREVYDTLIDSLKSHFEQNPHTRMAGVSLNMKNRVFQEKIRSDSLIAGLSAFLVFTCFLIYSRSVVFTCIILMVVTLSAGVAFFVYTEILGIDFFPFINLLVVVILISIGADDAFLLLVYYRREVEKMSHLEYKIGSIYIPLYREYDLLSRSLRLSLHHSLVSMFVTSLTTAITFLTNLTSPVIVLRCFGIYAAITVIINYLLVVLILPGAIILTRPIRRNRKPTEQKIEPNPKNSTNKLTEFTFYFRFAIVLLSSILTALSIFIIFQNPGLTIPQTNPTKLLVDSNLHEYFDNHLHHFNFQWQRSARLVKNFIFGVEQVRESSSLSPYHKPAKNFSGGVNFKLDTDKLDFYRRLVNLESQKYTLENYTHMSWADRMLQANESCLSENKTIPNSCILSASIRQKNLIHQFPDDFAVIPGDGPFVNHDLNVVGYFISIPSSEKLRVDTEVIGSFFEEIEKSCDSIKNQTSDTVLCLSSTEITRFYDIVSQLRSSSFISVSISLAVCLIVIIACTRVIKLSVLSSTVIFFVILWTVASLILLGWKLSVVESTILIITIGLSFDYTLHYVVAIRDTKFSSPTEQVSSAHSTAGIACSFGSITLFLAGLPLLFTQTASFYQIGTMLVTLGITSIFGAAVVLPAFVMSFSCSQQSTKL >CRE19706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:272503:276178:-1 gene:WBGene00064947 transcript:CRE19706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-94 description:CRE-STR-94 protein [Source:UniProtKB/TrEMBL;Acc:E3MD83] MQIPFITYFAEYLGFFIAFFANLTLIHLILTRTRQNFGSYKYLMLWFACFSLWYSIIDILTQPAMHSYLNSYIVFCASWFKYDPFLAPIIITSYCTSYGLTLVLLAIHFVYRYIAMIHPNQIQWFKYPRALIWPSIFIVIAIFWWCNVYFLLSSNATFNAYLNETIYENYEERIERLSYIGPLYFIIGDKGDIQIQWKSCLGMINVYCIAITTLVTIMSLGYAVYKKMQSVNDMVAEKTRALQKQLFHALVLQVPPLGRKIKLKIENFQTIVPIIFMYTPTTVLFICPIIGVELGVIANMTSICLALYPALDPLVVMYFIRDYRAFLMKKLRLTRSVSSATRNTSITKHEEDII >CRE19705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:264555:267311:-1 gene:WBGene00064948 transcript:CRE19705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aat-2 description:CRE-AAT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MD82] MDEKDERASLNHIKLKPRISLFNGCTIIIGVIIGSGIFVSPKGVLLEAGSAGMSLLIWLLSGAFAMVGAICYSELGTLIPKSGGDYAYIYEAFGPLPAFLFLWVALVIINPTSLAIIAITCATYALQPFYSCPVPDVVVNLFAGCIIAVLTFINCWDVRMATRTNDFFTITKLLALSLIIVSGGYWLSLGHVDNLVMPDVNEGTQTKLAAIAMAFYSGVFSFSGFSYLNFVTEELKNPFRNLPRAIYISIPIVTIVYMLVNIAYFSVLTVDETFVFQILDSDAVAITFADKILGSFGSKILMPMFVSFSCVGSLNGILITCSRMFFSGARNNQLPELFAMISIKQLTPIPSLIFLGGTSIVMLFIGNVFQLINYLSFAESLVVFSSVAGLLKLRYTMPKSVLQSRPIKISLFWPILFFLMCLFLLILPFFHSDPWELVYGVFLVLSGIPIYTLFVYNKWRPGFVDSIWIGFTHFIQKLFYCIPELSGSS >CRE19704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:245232:263734:-1 gene:WBGene00064950 transcript:CRE19704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glo-4 description:CRE-GLO-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MD81] MFFKKFSRKVSASSNSDTSSESTSSIVVNKEGRKAGGVAGATTRKGQVHRQSGRKARRKMMENPYVCSLELDELGSADDSIIDYSVFSDDSFLLILLLTSNGDVQAYLETSRDTKPRKNVVNIKTTCSISVTPVTVCIGDQAAFVIFGLADGNLLVTPIRLLIDVTWGGSSWATTTVIDLSLPTIDACLATPTCTKCFISNFPPCTMAVVANKAGNILLVDLHLRKCVSELKAPQSLHQIDILIDENSIELLVTGFTGAQWIIPIERGGKGFREVLTTCVPSDLTVLEPATMQFFATDSCGVIALDTQESIVEVYNTFHSLAHSSKRTFKVPPETWMVHAGENVLFTVSNENEIRSALHFGFMSTRLEYTLVRTSTNWRPLGIVAMPSRQHKLAGIFVVNERGLIRVEQSPALNLQKIASEFFFRLSPLQLTTKSVAQVAHACRVDTAEFQSALIPNLLSTRKSRQLTNKELAQIYAIAKAINLSMSDLLKTFENESIGEQLLPEVLNTIQTNPAKHDNLMQRVVEMFVKRAISAEGNMDMIREYDAELSNFLARHEHLHKGAVECAKAGMWKCTQTLVRRDVHESKTIDTPTEVLSYVVKNGIQIWKTLSATDRLQVMSLVCHLDWSKLTDTDGARICALLSAWQRDIKLPSYHEMCLRVSITNSDRFPRPCQILSLVSSIHVLAEKKIMNPSHLPDFLPLSGGNNCGAAITEDDRLMIWGNFTNAQQRMEVPQQNLKPKRSDSVTNGTPAVQLPPSKPEQVTHKPLTDPSLPLPIYSIFKQLPRVLEYPGNRPRAIACGAEHILVLSSSGQLSAWGGNKYGQCGVGHSFRLANLQQIDGDWPAIERIACGQFHSAFVCSDGTLYTFGWGIWGQLGHGGRFNSNHLVPTKVNGLISKITQVACGRAHTVALTDNGRVLVCGSGSYGQMGVDEDVKKVFAFTPLPLGPLKVKFIATHYYHSICVTDENRIFEWGRNPQELKMRMFVMKKIRSAQLKNTEDPSGSPTPSTNGTTPRVNLNLPAEIPRDDLGLREIKHFLDGTIAHVACGLSHSALITTEGSLYTWGKGLDYQLGHGNKTERQEPHQVFEPSDVKWTHVSLGNNHTIGATDEGSVYAWGKNDFGQCGVITKKNGTSASEATKKFFFQARDGRRFMPNVDESQFVQKPGGIPDVRVRTLKNDAMADGVDKEEIVDRLKSSDMTVVQAVSKHLCSSVEVMKLNGSSVIEEEEDTQKPKQYGGEDGPLCTTTALVHLIAGDVKRAIRMIEWLKTDSGTSDKSLLALSSLVWDVMANHEDVQSREALSAAFRHVPMSDSMRKGKQIAQLWPAVWNDERVQSTLSIDEKIAMLDSFTSASKPVIAPTIPSSSLEVSSKIRVYAQCAHAEPAVVGSPPECSTCLDEWTEKVRHTLGASL >CRE19703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:235492:242885:-1 gene:WBGene00064951 transcript:CRE19703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19703 MSEKDETSSIIRLKPRISLFSGCTIIMGCIIGSGIFVSPKGVLLEAGSAGMSLLIWLLSGAFAMVGAICYSELGTLIPKSGGDYAYIYEAFGPLPAFLFLWVALVIINPTSLAIIAITCATYALQPFYSCPVPDVVVNLFAGCIIAVLTFINCWDVRMATRTNDFFTITKLLALSLIIVSGGYWLSLGHVDNLVMPDVNEGTQTKLAAIAMAFYSGVFSFSGFSFLNFVTEELKNPFRNLPRAIYISIPVITVVYMFVNVAYFSVLTVDEILDSDAVAITFADKILGSFGSKILMPMFVSFSCVGSLNGILITCSRMFFSGARNNQLPELFAMISIKQLTPIPSLIFLGGTSIVMLFIGNVFQLINYLTFADSLVFFASTAGLLKLRATLPSSVLENRPIKISILYPILFFLMCIFLLVLPFFHSDPWELIYGLFLVLSGIPVYTLFVHNKWRPDFVNSMWIGFTHLVQKLLYCIPDLSASS >CRE21599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig553:180:2292:-1 gene:WBGene00064952 transcript:CRE21599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21599 MNRKHDNLISSESFEAVFSRYILQQHKKHTCFAREDYVRMMGEYWDEKQEIDFIQKILDDSNGQLKMYGSAQEIYQNLVLYRTFSQSHWFFKDHVFDGYYIEPDVNLSLKNEYVIHKTNIFVMLQTIIARDFPKWESTILRFILSTFLKSEEILAAHVEFVKFNETDFLNMRAEINRLLAVDHRKEEFKKLEEKMSKISYSEYRKMFESLPGIEWKQAHLVRLDIIVKSLYDQKPKNAETMSFLYHTTKATIDCFKMFMNSKPEWFLPNSENKNPLYAVRLFQDGNRRFVMKAEFFRVLNSLPSTKEPIVYKDKPDRLDTMMYEDLVLNYRARIPEIEVGLTDDWKFL >CRE10338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:3310:9755:1 gene:WBGene00064953 transcript:CRE10338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10338 MSKFLKSSESVLSAECVLISTFDYILEELRTEEMTKVDAATSYVEDNGVETIEKMLKLSNNRLRMYGTARELAENVKMYRNVSGSHQFSEMHGYRLYPYHTTPIVYLSLKNKEYIRKIDVFVILQNMFFDIYPLDKPQVLRTVVAIFLRSQELKIVEKSRFLAFDQKIFGKIEQKMREMMEKWAESEINVDIGLLMEFSSLDLPEIREKFKNLVPIDWKQSDSNFFHNILRRFCNFAENETVAIIRLYVNTKRVVECLQMILSQNSEIFLENSVKTPIVTVRLFEDGDQKFVMKSEFFDAINKKNTNDRIIDFCDGEFTISTMDWGEVEEKYAEKIGGVEFIRIPILRAKHKAIPIPQPTGDGFCIPAVDALFDFIKTVIFVVKPYQKFKNPYLHDLTPGYAITEGAFVPDIKTPYFVKLEKLKELKGLLHIMSSVLLGIPAKEVRNAKSDGFTLQNLKNELKHLSLTETFTEIENYAEVVYKHVDKVKKEEFLRTCDLFDAIEHCQLICILNRVPRLKKFLHDQKGCVRVPGLKCDECTKIQKNQKAQKTSNAEIPLKTAKTPECSKNPTISCEKCTESSQIVLKTKNELKINQNLMSEMRKNREDADEQHTKVLEERKKEIGNLQKKIDTQTKWKTENLELKKRISEISNQKNEENLLKMVKELENKGEENEKKIENLMAMISSMEVTEEKYQDIITEEDQKFKRLRLDFMNASESWNSEKQQFHQEILARNQRIQEFHVENIRLKAENEFKEKMIQQLMSKLSTPSGFFPPPNQFNPRLQFPPSEFK >CRE10339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:10651:22785:1 gene:WBGene00064954 transcript:CRE10339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10339 MHIKYAFDGSQLFDVYGSPQEFLDEMKIFQDFTQSHKYFTTNPTSTYYSTPFIYFNEKREKFVYKPDLFVILQYMMLLNVPISKNRIICSLSSILLKAYEDTLINASEFIRYDENEFEIIRNELLDTKRTFIDSDGQLQSDFYRKEILEKLETDFEKLSIDEVLEKLKKMVPFVLKEDEARSIHDWLEYERSNDKEWLKSLIVTYTNAGFVLKSLQAILKKRPDVFTFKKPKIPEENQENQEKSTENDEKSEKKEEKKPRLCCRVFQNGNQRFVLKDELYLALGQMCNCKKGRSLKSLCSELVQRIETIQLIPFQEVQKRYKERIEHIQFITVPLRRTKHRAVPIPAPDYGKFYVLGVDAVLEALNSLIWETRVFQKFPVKQKKTLYELFGDLDCLIRQQSDPYLVEHKRLQEIIAICDSKWDFHRKVPTNEVRKVSPDGFTVEDLIAELKYLEINTFFPNIANHAKTAWSEVNRAKRAEVLRTCDMYDALEICQLYCVFEHFPKIKNFFHAQKSCRRVPGTRCATCLDFWSVGVPSDEPTDLPWDKMSTETDSDSEDVTTLDLEALAKTTVEDSESKDSENSEDSEDSEESEVDDVTETASESSSEVVEFYTTATQTDSENLEKSLEFSEIEREKLEKKVFLIEKKVAEMKNSHAEIVERMRREMKKKQEENEKLKQKVTTFDAIQKSNEKFERKIGRIGIDLQEKQKEIMELRRELSTHTTTTRRNESKIDKLEKELKRIREKPTVSPLIAQENEELKMRISICESDEIRLHEAYAAMKNKFDEDRVAFSERISEMGRNLRIEKNKVTDLEQQVRAQNEDIAEKTHHLRLFHDSNCQLRMENEANKRTIQELMSRFSAPQQQNSTYSRGFGMNYEPPCPPPPIVIPRIAAIGSERAQRMASASASSLQNSPVPLLTPPPQPILEIRQNPQFMELMDSECLICLTEMMRDDETTIKCHECRRRFHFQCAAEWLKVKTICPTCKGKLLDPNEFPAL >CRE10344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:48796:58877:1 gene:WBGene00064956 transcript:CRE10344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10344 MSNFTRFVAPPYHFDHDGAPLLSYLLARHRKRVIEYVSLEPELNYDKNSKVGPSVQHIQNILDNTDFMLRMYGSASELSENLKIYRNFLGNSEYFQDDAVSYCTTPTIYHSLKNEEYICKSDLFPYLQNLTLFTHKELSEDLFLPFITVYLKSKEKAMIGKESMEFVRFKPHVFEEIEKEFGRRMEIFGKTKLTNDPKTIKTIRLFEDGDYRFAILSEVCSAANIHYVDHGKFSYDLLHLEQIQSLIKEQFNTSIEFIRCPIRRAKHKAVPIKASNGFAVLAVDALFDVLRNFIFGSKLFQNGKKIEEIVSALFKELERGFTTNLLKKFLHSQNACGRVLTLNCYSCKADGKNTSATVPLTPSESPPNPPQDVVQEFLMIKRKNQKMEMISLNKDAKIENFSEILSILNAVTSGGILEKKSSKSTSSQKDSGVVSEQKSGKKAPKASEKPKSAPDSTKNQKATATSLDSESPASDTAVKPKKNQKKAPESAKNQKATSSASSSVDSESSKLLNSKKAPESAKNQKSSSEAPPPPKKESANCVKCYRTCEMLNETKKELKSTQNKLAMYEKKNLEMEKEKKKKNERILEEQKEKIAKLQKGLEAKNTEIEELNKGIEKKAQEIKAKRVENEKILERKRLELEGMAKEILNLKKIISQSTGISHENLELKNEISSLKNTNSSQKQSFLAEKSKLLERMGILETENHTLKQRSKELGGARILERTRENQRVHVGILKLKTENRTKERVIQQLMDRLANSVPIGTTSGIQNPIQNPLLQNPNPEDVTPPPYLQQYPDFETAQYPDAAPEAWDPYAPEYFPQEDVTPSESPFFLNNFCKVTSSRMTPDAPEFIPASRSIQTATPWFDAISSESATSSSSSAAAIQKSEKSESADSECPICLDEVASNEKKINCHQCKKRFHSHCASTWLRVKSECPACRGRLLDPNEFPTLS >CRE10345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:59654:68266:1 gene:WBGene00064957 transcript:CRE10345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10345 MASSISSENPDVNVAAREHILAMLSATGYHRARVAILNDYDRMVGGIAWAITRFDDVAVAANLLYEESNDQNIKLRTEQSEKIIEALTAVGCPHQISAHQLFGLDYPSIKNVLQWLLRKVVTQSCQERQQNKFREWFSMNHYKQKGNEQKTLTAFREGLKASRRLNTTRNMKRFDPAIMFDLTLDAKCTLAEYTIYRRTGERFYPDEQMKEWIDEKIRREMEGAGAENLQIRLSLERICHKLNRIEKEKCLKSGFSIESSAKRFVQSSTARQLMEQAVHVTYKNEPPMHTALRLSMANTLDSFQIPQHLEILENRIVDEAAEFQRTQIEHEQLYQKYSTVLNFSDENEKISASSMLDILRIFQETQYRAVEMRNWVKYCAYKREIMEQYAVKTRLAAEVLREVLTLQFRLDRIMSSVLTSHQTRRNMEQIHNSAQLTQEAKKAVIDYNVTVDIMKFNTRISDFANEVERSMKIEPSTQEYRDAFVSYMNDVRIQLAEYHWKAKITQDKSIAEKEALAQLRVAIRAKEREVSFTSYELKKVLAVNAVLQKTCNQLLDLEDRSPAYQRAVARKAAQMAKS >CRE10346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:86522:88456:1 gene:WBGene00064959 transcript:CRE10346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-11 description:CRE-GPA-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MQG6] MSSFPFHSSLFSRVNVCGGSELTPGDMARKNSLINRQLEKDKLEMKRTLKILLLGGPECGKSTIFKQMRIIHMSGFSDLDYVNFRYLIYSNIMQAMDQLLEAAEMLNFPADDSPSIRRALNHYRSYKVRYSMSEVELNRELTDSLAKLYNSEFIKAVLNRKNEITLLDSATYFLDDLDRISAHEYKPTEMDVLKARVPTTGITEIEFPFREATLRMVDVGGQRSEQRKWIHCFDNVNGVLFIAAISDYNLYTDDEESRTENGEPVKINRLRYSMELFKRIANHQCFSKKTAMILFLNKIDIFKDKVAKYPLTTCFKNYKGANTLGPSAKYVTDRFTRLVSGDIQHEKPVYSHHTNATDTRNIDRVFDSCMDVVFKISMEKVGFM >CRE10347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:100788:116865:1 gene:WBGene00064960 transcript:CRE10347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ephx-1 description:CRE-TAG-218 protein [Source:UniProtKB/TrEMBL;Acc:E3MQG7] MRLPNVKELAKRFETGARIEHKPVTAKRIGKFRVVENIQQPCTSSSSSPSCRVIRGSAIPRRKSSLKRRTRSNSELAAAVLVVTSSDFRKSKSTDDFSPPGGGATTSVSQEAPPSDNKYTESAESLPRPAPRLRLQTTSMIVESTKKDTIPVYEERCRVSLTIPSQEPSSSSAVSPPPPLPSAPPSRERIKRIPSYLKPTPAPRSSTLPRQRPPPPPTAPPVNFRSSTLNSNSGALPRIPNIPVPDVPSSAAATVPTVTDDDDCYEEIMLVTSQECIPTHIKFNSEYSYESTDFTRSRSVCYSTPKVTVTSATMQRAARKSSNEDEARWDVGRKVVSGFKRRVAVEFVEGRVGQLRQKVGDKQAKRRSKCHVSVDLLDTDFSATMSKSSCVDKPFQDDDHIYGDDWSSDDDDVYHDDRRSSMYRQLEEQLNKAFPDYASRSQLSSDYESADILLSDPPLIPQRPISSTIMPDTEKYVARPAVSHLPLDDSWSPSSTGDEPSPAEEEYKRQYTCRMVPNEQPLYQHYMMEAVVVANENEEKVVMEEIKEEPVEMPIIPEVVALKPATRRESSISSDSGRGADCSTTSASALTSNTSMRRDRLVGTSNFGSQRSLWCELPEVRAAGLLEKLDDACKLRQEAYFEVITSEASYLRSLNVLITHFMASPQMLGSKSTLSVLSDSDRKHLFSNIFAVRDCSERLLCDLETRLEENLILDDICDILSEHFEKYFEVYIKYCSNQVYQDRTLRRLKSDNAGFLSAVQRLEENKQCQGLDMRSFLMLPMQRITRYPLLLYAILDRIPTSDERYKTATDALTSSNRVVRDCNEGARRMERTEQLLDIDRRLIYKDADLKRIPLVSNSRYLVKKGVLTQLVERRSSNILQSRQKARTLHVFLFSDMIMITKKKLNGTFVCKDYASRRFVDMQPIEPDNPKIPIGAISNLVGRPHLFLCTLMRNAREKQTELLLSADSETDRERWLSAVRPPTSTNPEERIYAEWDCPQAVVMHAYQPSQADELLLNVGDSLNILRKMPDGWLYGERAGDGMGGWFPSSYVQPIINDHTRANNYRQRLRIIQAAAGWHGNASPSTTRSGGMPLMDRLRRMSNPKSYFTQSGTVGL >CRE10382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:122255:130679:-1 gene:WBGene00064962 transcript:CRE10382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10382 MRHLLIFSLLLLSIIIVDSRKYRRMNEITIHNHAEANVRIRCQSIKTDFKDTFMGPMDEVSFEFYDIDRGDSHFWCDAYGLFGFSESFDVFGRSAPSRKNQTWFLRNDGLYLELESNRAREWQWLYPPLDSYSIDSIDAIDVSPLPTWVPY >CRE10348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:148918:151254:1 gene:WBGene00064963 transcript:CRE10348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10348 MGNNTKVLTASLLSLLLSLVLAQFEPPVGGGPPTAGKPCSSSFHCWRTEPINVFGEPVGLLQGNRRKRLEVGSSFSKGGRCRCIEGTCAQFQQRSQSFLPCEEF >CRE10383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:155243:156441:-1 gene:WBGene00064964 transcript:CRE10383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10383 MKFLLLLLTVAFCYVKCQEEVFILDHPTSPDEQQGGFSSHIVALRPDYTFWKMVNVLKTVFDSRTTEKRRGPSRRDAEEVEPVTRLPNLRSRRLLLNFGRYRV >CRE10349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:169022:174387:1 gene:WBGene00064965 transcript:CRE10349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-48 description:CRE-PQN-48 protein [Source:UniProtKB/TrEMBL;Acc:E3MQH2] MTVSVLVLIIGFVVQISESQYRQHQPSYPQNYHNHHHNAHQHHYHQQQLAQQQKNAAAAAQQQQQYPYNNYPSPNSIKAYQTAYPTVPHASVLNQPTHQICDIPPDLWCDSPQSAQQCGVQRQCDSLRHRRAPIKITLIYEALCPYCQKFIANQLGSVFNQFQGQLILELVPWGNSRILRDGSFSCNHGQKECDANRLQSCVIDILKVKGALPFIVCFERNIQHYGVENAMQTCSAFIRSQYRQIRQCYDGPRGIQLQREAAHLTMSTRPNPIVEVPYLLINDYTPSVDMNNLNVMLLPQLLSKWTKLTHKQ >CRE10384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:174533:175900:-1 gene:WBGene00064966 transcript:CRE10384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10384 MSQEKYSRHRASIRLRSTPTYRRDDGGDFRWICSASRNWQGLSRHFDIPSIRKLRRLGIAQHSCMMWFTSRSSRIG >CRE10350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:179517:188218:1 gene:WBGene00064968 transcript:CRE10350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10350 MIPAPIAVVLPQTYGAEIPNRIPINVAIKLLEKRNRETAGFKAKLLAFMRQLARPITATGLVVVALFFFKKRAHNTTHGLDGHCLPNSFYNNFRSRRHGASAHDSQSEATATQLCLAIADLYIQVPTWTNWIFELLNQCQTLEGDRTIMTLTLLQVFPEEVENIRGIGENRRIAIREELAGCEQPMITFLSHVLEKFHANADMLKRVFKCLESNLQNHQMRKDYFATSPLKFRAKNCRFQTILGKVADLIRDVGVDRKKKEKDVEEEKPPKVNSKGIQKNLDFNVKLRNILTKAVALGELDEAVKEVLELLKTRNGELMLLDADPSLLQTKEKLDALKAITGGTSEGSTNQSDMTSLLLLSNMSGGSNRNGGLHMEKAMEVLVKTVAKDTGTQEMSREASSASSVHSLDIMPRIVSKEGMSFPERLSEAIEFWGNICSSEWVLSVIEDGYIIQLDPRVTLPEPQGLRPSVLRHKEFLFAEIERLEEEGVLERSDRLPRAVSPLHVVEQGKKKRMILDLSELNKSLVPPRFKLENMKTAWPFLENANFAATFDFKSGYHHIKIHRDSRDLLSFSLSNPPAAPYFFFKGLPFGLATAPWLFTKIFKVLVRKWRAEGIKMFLYLDDGLIVGETEYEVARASRRVRGDLAEAGVCVAEEKSFWVPDAKFTWLGYECDLVAREVRGTEKRMATWQSVLDELRRSVAPSVLDRMKFLGCLASFELVADILSLDRPASTYSSTFREPKGWDLLEGVKRSFIEELQTKVGSEFLPHIETLKAVPFDSKAMSTAKAYKEENEKRNLWIAQRNLPVDESSLLLYLVDKAKRIGSSALTRISAAYQTANESLSTIGSSFVSDLIRSKRREEIQSRKKMVEVTVEDVSKIVELAMKEDSPAKDRDALLAVLSFNVMLRASEAAEIKWSGVKQKDGMMEVFVEKAKNDQLGLGRHSYFNYEPGSDTDILMCRWRLRTKGKCPYVFSNLDGSVKLSAQSISALSTKMLRAIGKPGATHHCFRRGGANHMSGHSMEEIQTRGRWRSLVGLQRYIKDVPRAQGCSHPQEMLEDQVEDDEEFEYNK >CRE10386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:191014:195041:-1 gene:WBGene00064969 transcript:CRE10386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10386 MCFSKIGSPIPSYPCTLCELKSLCISVSERIRYVEKPPFPLLKLPNVPLELVTKMMNSNEIIKLSVCSYRLELFLRTHRYRIKGFHVHLSDRLIQFDMNESTEINSTYFENGFFLRRPIKEVVKMEQFCKSQTTEHNNYFNIQSFSPEASFKLYHHISSLFLSHPISCEGRISSVLSTPCVHWIFLNNELKIETIKRYLDNTLSQKCCRFTFRRGQMSKELLTEIMDKVPVTMALNIDLGIPLDFKHSNAFKYPVIQYTEAHWATLDDLKSVRNSRYIELKTTNFDYEDLSQFLKYCVNCDDDMLELLTVGIREGLEYDGERLTDGLVTLQVHGFFEYYTKVKNTKNRQFVIARFFLDSLHRFVLHMTTADQNPVEFALLEMLEKKKGLEQELMEIQETEDISEIGGGHFEERNRRKREIEMEVEKLREEIVQRDTVGYAYEL >CRE10387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:199153:202252:-1 gene:WBGene00064970 transcript:CRE10387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-11 description:CRE-RPN-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MQH8] MERFLRLGGLGGGLGQFGANPQDSNQVDTSETVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVNVIDVFAMPQSGTGVSVEAVDPVFQAKMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALSDRAVAVVVDPIQSVKGKVVIDAFRTINPQSMALNQEPRQTTSNLGHLQKPSIQALIHGLNRHYYSIPIAYRTHDLEQKMLLNLNKLSWMDAVSVENYTKCGEANKEHLKAMLKLAKNYKKALEDEKNMTDQELAIKNVGKMDPKRHIADEVSKMLNDNIVQSLAGMMATTSLQ >CRE10389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:205058:206413:-1 gene:WBGene00064971 transcript:CRE10389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10389 MDTPFLVFQKIIKLMEIIEVLEMSQTSKRMFGFIKFSRRPIQPIQLFKAEHRRTISVYEKTDGNPKLVIWLKTEKERNDVMRRMRIKNTVLDVGEKNEKTLSCYLNCDSKEEDVDLQMIRLFNHLSDLFNNKTITVWIRPFRIATSHFLFSNLQFESCTFFKILGRETDILSNKDTSQLLKALNPTVGITLKCFVEKGFGSRNLLSLPRLLITNAQWLTFEDLLNMDCETANLRYHSFTEEDVKKFINHWMDGSNPKLMHLRLHGFELEPSWEHILEGIEYGVWDEKENKKRPRNFKDHYIYSIEEIDCQNGLDFERKSDGMIGTVMHQSDWIDFFVWHDTQF >CRE10388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:203018:204370:-1 gene:WBGene00064972 transcript:CRE10388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10388 MDAPFLVFQKIIKLMEIIEHDLECDFRLEMRLNQRIYLRRLKEKYYSEKNEKTLSCYLNCDSKEEDVDLQMIRLFNHLSDLFNNKTITVCIRPFRIATSHFLFSNLQFESCTFFKILGKETDILSNKDTSQLLKALNPTVGITLKCFVEKGFGSRNLLSLPRLLITNAQWLTFEDLLNMDCETANLRYHSFTEEDVKKFINHWMDGSNPKLMHLRLHGFKLEPNWEHILEGIEYGVWDEKMEKKRPRNFQNHYIYSTEEIDCKNGLDFERKSDGMIGTVMHQSDWIDFFVWHDIQF >CRE10352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:206780:207912:1 gene:WBGene00064973 transcript:CRE10352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10352 MSQTSKRMFASIKFSRRRIQPIQLFKGDQRRLIRVYEKNDGDQRCTIRLKTEEMEEDVLRQLRLKDTILDYRLDDEKQLSCYLHRDSTEEDIDSQLVDLFNYLSDLFNNKTITVWLRPSQIATSPFLFSNLQFESCHFIKILSDNPDILSNDGMSRILEILKPTIAITLKCPIEENFGPRNILSLPRLYILNARWLTFDDLLNMECEIAVLRYHSFASEDVKQFINHWMAGSNPKLMHLRLHGFELEPNWDHILEGIEYGVWDKKTNKRPRNFQNRYIYSTEKIDCQNGLDFERKSDGMIGTVMHQSDWIDFFVWHDMQF >CRE10390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:208608:210729:-1 gene:WBGene00064974 transcript:CRE10390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10390 MEPFKLMDIPFLVFQKIIKLMDIIEVFEMSQTSNRIFACIKYSKRRIQPIYLFKREKGLTIRVVENQDVSSEFAIFLKPEYLVKDVLRQLRVKDTVLGICEKNEKSMTCYLHNELKEEDIDSQLVDLFNYLSDLFNNKTVTVWLRPSHIATSSFLFSNLKFESCQLIKIIAKSDILSNDSMSRIQEIFKPTIGISLKCPIEENFGPRSILNLPRLYIFHARWLTFDDLLNMECETAHLRYHSFTEEDVKKFINHWMAGSNPKLTHLRLNCFKLVPNWEHILEGIEYRVWDEKEKKKRPRNFKDHYIYRVEKIDCKNGLDFERKSDGMIGTVMHQSDWIDFFVWHDVQF >CRE10391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:213582:214416:-1 gene:WBGene00064975 transcript:CRE10391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10391 MRTLNCYLNRDSTEEDIDSQLVHLFNYLSDLFNNKTVTVWLRPSLVENSHMLFSNLQFESCQLLKILVGDDSFILSNEEITRLLEVWKPTIGITIKCRVEENFGPRSILNLPRLYIFHARWLTFDDLLNMECETAFFRYHSFTEEDVKKFINHWMAGSNPKLMHLRLRGFNLSPNWEHILEGIEYGVWEEKEKKKRPRNFKNRYVYSIEEIDCKNGLDFERKSDGMIGTVIHQSDQIDFLVWHDIQF >CRE10354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:216762:218295:1 gene:WBGene00064977 transcript:CRE10354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10354 MSQTSKRMFVRIKNSRRRVQPIFLFKQENGRSIGVYEKNDVNSEFTIHLTLEKWEEDVQRQLTVDGTIFHVCEENEKSLSCYLHNELTEEDIDSQLVHLFNYLSDLFNNKTVAVWILPSQIATSPFLISNLQFESCQLLKIIYGGSFILSNEDVSRLLEIWKPTIAITIKCRVKENFGPRSILNLPQLYIFDARWLTFDDLLNMECETAFLRYHSFTEEDVKKFINHWMAGSNPKLMHLRLRGFKLEPNWEHILEGIEYGVWDEKEKKKRPRNFKDHHIYDFEEIDCQNGLDFERKSDGMIGTVMHQSDQIDFFVWHDIQF >CRE10392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:219250:220464:-1 gene:WBGene00064978 transcript:CRE10392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10392 MSQTSKRMFGCIKFSKLRIQPIRLFKAEKRWAISVYEKTDENPKLVIFLKTENEGNDVMRQLKMKDSVLDVGEKNEKNLSCYLNSESKEEDVDLEMIRLFNYLSDLFNNKTVTVWIRPFRIATSHFLFSNLPFESCLFFKILGKETVLSNTLTSQLLDMLKPTIGVTLKCRVEKGFNQRSIFNLKRINVANAKWVTFDDLLNMNCERAFFKKHSFTQEDVKQFINHWMAGSNPKLMHLRLNCFKLEPNWEHILEGIEYNVWDEKENKKRPRNFQNHYIYSTEEIDCKNGLDFERKSDGMIGTVIHQSDQIDFVVWHDIQF >CRE10393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:221027:222364:-1 gene:WBGene00064979 transcript:CRE10393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10393 MEIIEVRPIQPIQLFKAEHRRTISVYEKTDGNPKLVIWLKTEKERNDVMRRMRIKNTVLDVGEKNERNLSFYLNSDSKEEDVDLQMIHLFNYLSDLFNNKTITVLIRPFRIATSHFLFSNLQFETCTFFKILGKETDILSNKDTSQLLKALNPTVGITLKCFVEKGFGSRNLLSLPRLLITNAQWLTFEDLLNMDCETANLRYHSFTEEDVKKFINHWMDGSNPKLMHLRLMGFKLVPNWEHILEGIEYRVWDEKEKKKRPRNFKVHFTYKTEEIDCKNGLDFERKSDGMIGTVMHQSDQIDFFVWHDIQF >CRE10356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:226548:227928:1 gene:WBGene00064980 transcript:CRE10356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10356 MRMNRYIFQKKNSREKNEKTLSFYLNSESKEEDVDLQMIRLFNYLSDLFNNKTITVWIRPFRIATSHFLFSNLQFESCLFFKILGDESEILSNKDASQLLDMLKPTVGVTLKCRVEEGFNQRNIFNLKRIIVTNAKWVSFDDLLNMDCERAFFKKHSFTEEDIKKFINHWMDGNNPKLMHLRLNCFKLEPNWEHILEGIAYGVWEEKEKKKRPRNFKDHYIYSIEEIDCKNGLDFERKSDGMIGTVIHQWRKTSVREILNLPRLYIFHARWLTFDDLLNMECETAHLRYHSFTEEDVKKFINHWMAGSNPKLMHLRLRGFNLSPNWEHILEGIEYGVWEEKEKKKRPRNFKDHYIYSIEEIDCKNGLDFERKSDGMIGTVIHQSDWIDFVVWHDIQF >CRE10395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:228994:229790:-1 gene:WBGene00064981 transcript:CRE10395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10395 MTHFFNYLSDLFNNKTITVWIRPFRIAASFFLFSNILFQSCHVFKIIGYKSEVLSHHDMSQLLDILKPTIGVTLMCRVEEGFGPRSILNLTRISVANAKWVTFNDLLNMNCETAFFKDHVFTEEDIKQFIYHWMSGSNSKLMHLRLEGFELEPNWEHILEGIEYGVWDKQENKKRPRNFKDHYIYDIEEIDCKNGLDFERKSDGMIGTVMHQSDQIDFFVWHDIQF >CRE10397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:234334:237939:-1 gene:WBGene00064982 transcript:CRE10397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10397 MRNLPQHSSDHHSESQRQIDPSQLHPKFFVKENNEKKWKGDLIMDQITVETYRSLLERLNVLTYEQSTILDERIVRMRQGDENGRIEAASMISTVLRTIDDNTRRTIVMSALRRILQSRVREGLNLPPAQLFLLEQREFAVDLQFDGETAGPPTQGRMDTTTVNWAESTRAATGASFSSSQGRRAATGASALTSQGGRAATGASASTSQGGRAATGASASTSQGGRAATGASASTLQGGRAATGASASTSQGGRAATGASASTSQGGRAATGASASTLQGGRAATGASASTSQGGRAATGASASTSQGGRAATGASASTSQGGRAATGASASTLQGGRAATGASASTSQGGRAATGASALTSQGGRAATGASASTSQGGRAATGASASTSQGGRAATGASASTSQGGRAATGASASTSQGGRAATGASASSSQDNYEQEPEPGTFGRAF >CRE10359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:249899:254198:1 gene:WBGene00064983 transcript:CRE10359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10359 MTAYPLFQTQPQSKSSEIIEIRRSQLPSRASGIETEISNQPHRPISNSRETEIPLMNLPANTISQGTFVGSGQIVPKPPQLPTKEVSSSTMRCIQCGDGSLRNANEECKRQVQVECASERSLCFTRQIELGNGMYGMEKMCVLPEQLVNEFGESARNEGCGSSNAGRVQYCACSSPVCNQLPLAQQRQLLTAIAPSRSKTVPDLATPELPIPQPPRFVEPPPPPPPTNPPTTTAPPPPPPTTTRTARPIIPSVVHRPQMPSLICITCGEANMSDPTADCTSASEEACDVNAKYCVTKQTQISTSSFAMEKRCLSENDAAVFLPGEKLTEGCATSEGGLVNYCICRGNTCNRPSLLQQAQSSGVRDSLEEQRLIEEEIQKSQRLANAIQTNRVPNQANVGVLPPHSHEDHQKPKLPPVFLDEDESMEVAKDVRTEDDIIKDRQKEWAKAAETTRGASDNRIGALIMSLFAVLLLRWL >CRE10398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:258180:259371:-1 gene:WBGene00064984 transcript:CRE10398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10398 MISFKLLFAPLTAFQKIIKLMEIMEIFELSQTSKRMFACIKYAKRKIEPIRITKERFENTICVVERKGERTGLTIHLEDWQANPIRFWKWDKFRLGVCVKQEEEKSFHCNYSKREDIDYHLTHCFNYLSDLFNNKTIAIWINRDLINESKWLFSNLNFEECRYIRVKGHEAPLTNDDMSNLLESLKPSFQISIECALDKRFSKKTILNLPRVTIWHAERITYYDLLNMDCEVAEFENHQFSEEDVKKFINHWLAGNNPKLIRLRLGNFESLPDWENILKGVEYEKWDGRRRPESYEISYTHHIEEVYCRNGLDFNRDDRTVGTLVHLFGSIEFIVWKNLECRS >CRE10362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:260467:261629:1 gene:WBGene00064985 transcript:CRE10362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10362 MSYEVSRFKSADKIINTSDYFALDTSVTNGLSCVTKTKTTSQETKVWWTFDWNQLIADRVIGFTGEVIVKKPKEIVIPVDLFKKKNEIVYKFSEVPTPVNLQFEYSLLPILSTEIYDQMFLPSEKNDAILEVDGLKLSVNKAFLSYHSDYFCALFSSNFKEGNMDKIPIKDLSYDEIGLLLSTIYPKPTFPNDKTVPKILELADRFLVSSAIYHVEYHLLNNTN >CRE10364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:275516:276550:1 gene:WBGene00064986 transcript:CRE10364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10364 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNPHRSIRKMAKGMKISSRSMGRIVKDKLKLTCYRVRKAAILSEATTKKRLERSKKLLQRTRNGEHLVTVLSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKNTLVKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE10365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:280441:284785:1 gene:WBGene00064987 transcript:CRE10365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10365 MNYTDASSSVEVINEACAVESLDRRWYLVAVCGTSLSVLSIVSNMLISKVLLSSKHNHFYFLALLALSDCFLSLNYGPVIAMDIIKDRLKLRWLSNLYIQYVGPLLALSQTSMTFSCYLIILATIERYLITLRAKCLGTFRKCRGLAAFSMFLLSLMLRAPIIFEMQIEQNPDCIGEISEYYLNLSPIVNTFWYGTVYRFYIRNIMTVLVPFVLLAILNYKIVKILRMQQRSAQMFRFLSSDHKTKIRSATILMVCIVFSYLLANILNVGVTLWEYVAFQSTQTGDAYAFYETCTDVTSVLYILVCATRLFVYYLFNQEIREAIKPLVCHSASAPRKQDYVTVTRSFDSSRQIGTEIDAVAIAIARRLLSSELVFGGAGGTKDTNDSFVISNHHTGDDDDDDNDDTDDDDDEIPHMV >CRE10399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:285028:287079:-1 gene:WBGene00064988 transcript:CRE10399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10399 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MQK4] MTKEDNQKENQKESNSKNDKEQKSAKNDGDDANSESSKVPITIDPEEAKFSNAGGKSEHMVVNFTAKRMALKIRCGNALFRVEPTHMIIEPNKCRQLTINRMPGPIQTDKAIVQFIDIEKDAQDPKAAFKAAEAAGTKIPHIKIKLVAAASGGRKMSREVLDE >CRE10400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:292608:296508:-1 gene:WBGene00064989 transcript:CRE10400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10400 MSAVAHQYDYQCHICFKTFTTLKGKRVATQRDPYQIIDFFYTGLTQHAVIHTGEKPFQCDVCSQKFRFKSNLFEHMSVHNGATPYACPYCGKACRLKGNLKKHLRTHVTSKEELEAAWKPFAKIDKTPDANRRRSQKIVNRLPLIDVSDDGTNYLPKIFLPKKSNTGIGELSDWIQRIENGSLIPQVDLDYKLHRLESSIYHNQTLISWTDLIEVAKPIPLEPYNCPKCQFQFMTKSDCVNHYNIEHQKCPEYNFYCEKCFRGFSNHSSYNQHMQYHDRTKVFIKSKEDESTTTTTTFTDPEIMIPTAEEFEMLMNENGANY >CRE10367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:297860:298461:1 gene:WBGene00064990 transcript:CRE10367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10367 MFNLQKRINGNDADRHYMETRIAVRDRLLAQEMRDLEVAMRGQKMWKLSVPEANIIHELSLTVTPQEGIYKGGLFRFHITVPPEYNNSPPLVKCLTRVWHPNITEDGAICLSILRQNSLDQYGWRPTRNLREVVHGLVSLFTDLIDFDDPLNVQAAEMWAKNKDGFEYKARDYINKYCKVK >CRE10401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:301362:303030:-1 gene:WBGene00064991 transcript:CRE10401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10401 MTTRPLTYGSLKVVLEHMEANTRILLAVHSPSIRTAENVAPIRINDLSFQQRSLKINKIEYKLGVHRVCAAGELWELYKEDNRSGGIGHDLDQYGLPDWSIETTLLPSDIQIEPRSEGRDVDRANLIFMYGNALRHNLEALGAEMDELQKKNVIKNINFLQESILPYRLAEDNALSPYKMFIQLTVHDTVTARKIERVDPSSKKLPDAFKYLMTKIFGGRQGEIYVKKIHSLKKELILRVPENLKLIVTELFLESPDRLDMLSLSLSTN >CRE10368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:305527:311109:1 gene:WBGene00064992 transcript:CRE10368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10368 MERTAVDGKRVPKPMKRWSPSPTQKKKKISKRAATRSVSGRRGERSRSGRGRADEQQVQLVTPARTPVQPRGRHAEKRNVNQKRVLPPRACSARGANRKRARSPPSGAAKRVPPRGESPPEEEDSEESGMDSEQEMDSSLMSRAESPKENPEAPPSGETSEAESEEENDDEHQTDSLMEENEDNEERDTSSGAETEESSSKKVSPERPCTSSQNAPGVDESRSPSAETEQTTTTPELNSSNSSRQSENPDEMEQKEEVDTPPSTPRQPRRLDKLNPATSSSSSSRTVSEELEGESTNAPRAEQNKENVAAAENSEAMEQEEEVDMPPPEQSKDVPSTSTQNAQRAENTSGVEAERPTTPSTPARRHESSEAMEQEEEQVTPTPVISPPPTRRLTRLLAKSNPVEPVPRAVSKEPLSSSKKQTRDVPSTSSQLHSDRPSTSSSKNAPKAQQQVDKENVPTTSSEVTANPSKLACCPTDDTTTLPYHAGNLRCVNHADCRIPPDAEFWELEDSVTETFYCLKCFEEKKPKGKFEKKKNDNDEVEPILECQKCRGSFHLCCSFYYEEDLSKFICEVCSGVRVKKTIDGVEKSPLAAFMTEKMMNFLASRLDGVVEHPIRICGYSEAKSAKSSTVVPPILRQQFVKKHSKTMNYVSRALHVYQRIDDVDVITFAIYTAEYELRGGDEKWSLIDYIDSLPYFKKFDGVQKKEIHHMLIHSYYEYMGGLGFRSAHLWSNPPQKGDDYVFNIHPFDQPFLNPTGLIGWYQSLLRAGQEKKILAGFSDFQGARSRFAKPIDIPVFVGSLWSTLFQEVKKTTSMKVFESELEEKKAKHGDDNFFIEIAAPTTRQPQETAHHTHEILGDRVKLLEMCVEKNWQFENLRRAKYSSVALIRMMEEQE >CRE10369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:315681:320899:1 gene:WBGene00064993 transcript:CRE10369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bcmo-2 description:CRE-BCMO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MQL1] MTSRGANTLRTARTKKWSTTKILRIMFATVPSHRNPPSLSISRFPAKMEKEGFARLFHNFENVIEPKLCSKSGTVPAYLKGTMVRNGPGMFKIGDNEYKHWFDGLGYIQRYHFEDGKVSLSMYYSARYLESEAYQKNMEAQRIVAGSFGTAAFPDPCKTIFSRFFSNFVASDEKHDNANVAFTPVGDGLYACTETPHMYRVDLDTLKTMEPADFSKYVSLHTCTAHQLYDSNGDIYNIGSRFGPDSAHVFTVTRNPKTAEKSISDHSWEHTSMIGEIKCSEAFYPTYMHSFGMSENYLVMFESPIRIDIKKFVMRHFITTTYRDCMKWHEDKDVRVFIMDKKTGGQLKMKLKMAPFFTFHHANTFEKDGCLVVDYCRMERTGNFDALLIENMKTGEFQNDPNFLPYLTRLIIPLSIPEGAQPGDNLLKSLDWTSGCSAILQENGEIRLKEKRTCDISMEFPRYHWEKINMQEYKYVYGSSVLGTQKSEDLPGIVKADLANGRHKVWRRENVQQVCGEPIFVPDPDGVEEDDGILIVPVMTISDSQRPFVLILDARNVTEIARFTIPEARIPLGFHAFYQGRPGI >CRE10371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:368406:380002:1 gene:WBGene00064994 transcript:CRE10371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sea-2 description:CRE-SEA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MQL3] MVGAPIPPPPIQQIPSAPKKGPNGTPAEKNSAILKVLNRQAPPPPPHMGSSAPFQYHGGSLPSITASWLQPTTSVTSGAVAPTTMAAAVAPTPTKTSPLHIFCQSCSKTVSSDRSLRRHYNTCKQYQAELAKNAAANDVRRPIPPPPIRRKPAAPKKGADGTPAEKNSAILKVLNSQAPPPPPHMGSSAPFQYHGGSLPSISASWLQPTTSVTSGAVAPTTMAAAVAPTPTKTSPLQILLNDEFEEDGDSRSSSGTTTTKAQGTFVCERCSKKLCSMSNLKRHRATCKAAPSTTTTTTTVSEPPTPAPPLVVAPPPTAAPAETTATVTYTAKASWSPDLKLKSPKQKTSSATVTTTTHSELTVGEALKAQHQNLQMTSPRFPGTGSRILPPRPPNPILNLVQNPPQNQMQNQMQNPMVTSRLPPPPQIPIQIQNPPTMPMTSAPSGAAAPLKKGIIEHKNTDLVLITSEPIPEKKSRQEATPLPPIQLPPPPPQRPAPVAYQVQFTSRRPQIQNSQNLQNPQNPPHHQMHRQFSLQNPIPQIQKPQRPSLRVAPPPTAQYVKHDVAPQAPQPLPPPQQKMVAHAVESNSSNQKNNEDTTTITTAPLNPKRPGSPLDSIITSVPLSVEVHHHNNQMTSSSAGNKPEQGLSSADSQQSITDALPNSQNKKLIPYVCPECDKTYSCRKNVKRHRMAVHKMSLDEILAKPEHPAPPDAIPSAQLQARRHTVAGVESVGGPPIGKRKASEAVGVPMKKGKVVAASDDEEEEPQESPKIQAPPLLPPAASILVRKSESPVTVATVTVPTVTVPAVTVAPVTVATATVHPSWDGFMTWPEPEPTLATPRFSDEEDNKAMAKIAAELKRCAEIKLEDQKRPQEDSEYDAILFKSLREEFSREDVKVEAEKEKEEAELKEESEEEAESEDEEEAEPKETSTAASSVGLPSLSSPTPVQYPAWRPLAPPPPRRSAPPTKMPRHMCTGCSKVLGSDYSLRRHRTGCASVQKIRNPHYPKPPAKRRTAAEKAAAREEAFRKQITDAREAMKEMEALPLPPAVWDVIEEVKKERQEAMSHSTFSPPPPSFIEETPDFSMFQDSSPSATDITTSSEFRSMTSSRASSYCGVSPPKPLDHFSGEKATSLPPLLTLLSSSKSTSSAVSAAATSSGGPMIQSRINQARHMCQECGKFYCSEWNLNRHHKQSCPAAKFINETPYYEPKNDADRVDVRFMRDDAWGRMSRSFLVSISPLVADWLENEKLTHLQLDTKWQDMRLLLDVMTKTVQITLENVHAVGEQSKFYQTKQVDAMIEEFWASQQVRMDIRTHRRTDRHPDELKFLIFGHPDIGKHRYP >CRE10403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:384625:386526:-1 gene:WBGene00064996 transcript:CRE10403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10403 MSPVKTRSPSNLARQLKRVRSSYSKALKLPTPPPALIRKFQARIKNIQHSIKRKFYRRENHILAAPHSRSSRALINTRVNGRSAIPHLSVGNELITSDSAKASIFSSEFLSNYNSTVPSSPSFPIINPSSSPHALPPIDLFPPWVIEQAITKIPSKCGFSVHLANYYVIKQCATTLALPLSIIFSESFKSSIVPKAWLHATIIPVFKKGNPSAPQNYRPISLTDPFARLFERIICRQIRLDVGHQFSVHQHGFLPRRSCPSSLVYSTSNYKRILKDHQTVDVVFFDFRKAFDKVNHTLLLKKLYSFGIPTQYVSWFKSFLKDRTFSVMVNGSLNSKVSPIPSGVPQGTVAGPLLFLVFINDLLLSLPPSLHFAAFADDIKLYSHDPILLQTGIDTVSKWASSNSLPLAHTKTTLLRLGSKNPGHLYNIDSIPITESSAVRDLGLLTDSHLKFDSHIAKSSSLAILRCSQLLKSFRSRSLPLYKHLFNTYVLPVLEYCSSVYSPSPSSILSHKLEKPLRSFTRKVLQRCNIHYTAYLNRLEILDLYSLRHRRLKSQLILLYKIICGATFFPVIQAYVRLSNSNRRPMTLICIRPQVNDFFSSTVPIWNSITSNCPEFLPPGKFISLLEQSINRL >CRE10405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:390855:393082:-1 gene:WBGene00064997 transcript:CRE10405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10405 MSARRPPNYTEIDELNRILTVERELVLKTQENYRTLKEKFLEVSKENQDLKKEQKKLLEGAESREKLMELETRSDELIKNMRQSNEEREVRYEKFKVDTIEELQNVYRKELQDQNQQIEKLRVDRLDLVKENDELLEKIVQLNTQVDDLKRKEKGKEVELRLKFDRKLKEMVLNNERRVSPRFEHMEQMIESLHQEIQKKEEQILSKNREFSAEILRKNSEIEDLKRVDHSQKFQIDRLETTIQSLNLQIEKITSDTDQSIQINTLKAKNDEFLKETLDLEMKLRLEQREFSRKMGVAEENFSVRISEFEKLCEQKDAEIEGLSVCVSVFPDVQILENSGKNFSMHLLLENKKIKIKFFQFFFSFFQIFQIFSKKNSGNYFFLVFSFFFHL >CRE10406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:394059:402479:-1 gene:WBGene00064998 transcript:CRE10406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rhgf-2 description:CRE-RHGF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MQL7] MKVVRHTRAAHSMDESNDRATRKMSAEAVSRKSSFVNSRILNRGSRNHPTLNGSDDAECRSNDSSLSVRDGYQYDEPSCSEPTDDGGTRRARSVTSSRISLFFGKDKNEMLRILNQRKEETDLDRSQEYKFEKDWREIVMDHQNLSEKSIKQQEAIWEIVATERRYIKLLRYLSDLSSYITSLQGHGFLKDVENRLTIFAYLFNVNTDNVWAKSIKPLLCHSRDTGKPLDVNFLKLGFEDIEEWSQFYNNFFLEHNGSLKHIQKRLKDSEQFRDFVAWAESQENLDRQKLIDTFSQPMQRLTRYKLLLSAVLKVTVDDKEREMLEQLVISAGNATRQQDFQMDNNNQRLRLEDIMRTIDGSEFVDSEEVEKLFGVGVPLNVCNWMPNLPLRFQTYRRIFHRGDLRMQEGKKGSKIDVHCILFTDMFLICRKVQAKKDRLKILKPPVHVEKMVFHYFPDNNGFHFLSLTDFHSPAAFYSFHTSSVEETSRWLDRLNYSKTEFAQMKIDLWTENKKFGSPTTVMREDDYRQALYDEQTEFHNQIMLSQGYAFQPHMPAIHRKASSMDSQAVAAASYAHLNSLNVYSHFPDLHRSSAVSSTEQLDRHHHHNGMDKCTPPRHKLSVASCHANPLSSSKSSVDLYVANGAENGDIERPRSRSNSSGPDIEGIKQRSRSSSPAPKETGTPVEIDSPQTTTPCRDSPTLLITSDDCVEPCQSGRRFEKRYHTADGIDVLKPKGSMLSGAILKRFSWNVGSAVTGSSRKRLENSRRISTTTGGTQGSMDSFSSSTSGISTASSNNNNDPTMEALAMKLSHISTISINDTPAVEPQSTLSIHLEGPPPVVHEKDEDQQSIDVTVPPPPELPPPSKTPSPNLPSHKSEKGNAKCPDFMKFILDNNLETSDV >CRE10407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:412017:419397:-1 gene:WBGene00064999 transcript:CRE10407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10407 MFVTDSESSSSIPCTSNPTSSATMRLCYNGATSLLAATACPAAFVDLDSIREEPSTPSRYSVDERGDSDSVFFRESCSSSTFGSPVTEDVPTSTSSPFKFMESIQRKRKSMFRRNQSSSSLLSNEKRRTCRRPSLPSIPPTIDEMSSSVGHARSRRPSLHNDWTNEPHNGRGGGMMGMMDNRIHHIDIEPQVNYFIAVFQNLDDRREDTVEHIRFDNHQRIYEVFEPYLRCRGLAVHDVEFFLEKSSTPIPEGSGAHFLAGQKIYVRGTNVWLFDTK >CRE10408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:433391:436313:-1 gene:WBGene00065000 transcript:CRE10408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10408 MVLLSAIDQGTSSSRFLVFEADTGELVTSHQIEVRQLFPAAGWVEMDPMEIYDTVVTCINKTIEKLENLGITADEIKSVGVANQRETSIVWDRTTGKPLYNAIVWLDTRTSSLADEAISRTNSKSKDEFREKTGLPIHPYFSALKLKWLFENVPEVRKSYEAGNLMFGTVDTWLIWKLTGAYVTDVSNASRTLLLDLHKRKWSTQLCEFFNLPMEILPEIKSSAEVYGHFNSGPLEGVPLSGCLGDQQAAMVGHQCLNAGQTKNTYGTGTFMLCNIGTRPIISKNGLLTTVGFQFGADSPVVYALEGSGSIGGNVVRFLRDNFKFISDAKEMEELCRSVKDTSGVYFVPSFTGLYTPYWDSTARGTILGLTQVSQREHICLAALRAVAFQSAEMIAAVEQDLENDTKVTTLKVDGGMIANKLFNEIQADIMGRDIATPKISEISGWGAAVAGGIGAQQISLDEFLSQSSEASRYTPQKDEKWRGAELARWKEAVKRSCGWAQ >CRE10409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:446026:446299:-1 gene:WBGene00065002 transcript:CRE10409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10409 MTCIFVLIFHWYFLHIVYSALGALLCMFYLAIDIQLIMGGRRVEISPEEYIFAATHVFVDILTMFFHILGVVGRN >CRE10410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:447445:449621:-1 gene:WBGene00065003 transcript:CRE10410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10410 MALNGNNKRTCADMLCCDIDPSIPSTSQPKDKKKKGDSLLRIVTWDMIAEDVTLEKKIAQIKKMIRMMKTMGLEAFEKKVVCCTNQDTQFNASNRYCLANGECRIPPGAKYMYKKGERDVANYCIPCYETKFPAHGNKRDWKMAENINTASDVILKCSTCQEKWHKTCALFFNPDASKFVCMECGGGRSDLKTTIDTKEHAHAAAFMTEKLNELLRSRIGITVARKDKIRVCGLSEEKSIWTKALVPAIVEAEFKKKYSGKFKYVKRAFHVFQRIDGVDVILCSLYTQETPMGKRWMIDYFDSLPYFKFYDNLKSGELHQEVFHAYIEYMSSINYLNGHIWSSPPKPGDDFCFNIHPSAMKYLDRNGLIAWYQRILKNGRSLGIIKEYRNFREELEHQGGSIEKPSDLPVFVDSLWCQVMKEINFEHPNSPSEFMEALQKQYEIHATDNFVIELPGAKTPRERDTWYYSHPILGDRMGFLKKCVKENWEFPTLARAMYASVALIQYLPRYE >CRE10372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:450137:451519:1 gene:WBGene00065004 transcript:CRE10372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10372 MTTCSLTYPCLQTVLEHIEPNLRISFSLHAPSIRLAEKATPMKIDNLQLDHTMIKINNTQYKLGVVQIYNGDDKPDQIEKDNSTGGVLYDLNNHGVPDYSFEDVLLPGDILIAQDREGDEQRRAAQFLHLRAALRAATIRLRLEKRAENPPIPQEVQEVQEDDVIQPNAAWVDSQYEIWNRMDTVDCKFIESNQWSVLPHQLQEDGGVYPFQNYLQLTVRLEGSESSKSLEWLTYTKKLPEAMKHLLNQILGNRKKNLHVKNFKPADTGILRVPKGLKLTTPKIQVGRNLAKTLHALQPVTGSTFTAIEMTGNGHTLENFQHPAIQHAETVIMTHECRGITMLALLQGLRNFEVFWRYGTFTLRETVDFVKHLMEGGRKIGSSFTFGISRDEFAEEIVEALYQEVPGAKLATLEALEGYVWFELPFLLKFQMPDVPLRCQHPY >CRE10412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:454627:455281:-1 gene:WBGene00065005 transcript:CRE10412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10412 MSPSPRREHTFVEPPINSSHYLQLAQSTLLKLRKKKDSSLRIRVLHKELIKSIWKLPVKPVKTSKIPNILRRRGIQKPKSRRGVVAKPLSEYIEQLEKESALRETSLHHKMLRQVKTINRCKTVTDLLKTSKKLKFECDRLVAQRKDNQESMWSCEKCDRGFKDFSSYNHHMEYHSKVTSFMEGMVSEPKIVELMEMSSK >CRE10414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:463740:464556:-1 gene:WBGene00065006 transcript:CRE10414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10414 MPFPAHAMLKPKQEIDIVDILEMTRSKHEELLHREDLRLACLNQNHFVSLWRRLQQDSSRPVPRLPVLKTSSQKAVRPLKASEPSKSTTFGSVWGFFRELCQGFRNRSVSPQPLPTATPDDVFNSLGSWEDYAKENNIRAAKKRRRQIPRLRDESEWKEPSITQIHLVPNPFVVKDSDSDSESKKIQIKRVCFNATISFHYY >CRE10415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:465876:467824:-1 gene:WBGene00065007 transcript:CRE10415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10415 MLTFEFWKSFLRTIQWGGAFFAVLLNSLLIILIVFRSPKHLGAYKYLMFYISIFEIAYSILDAIVEPNVFSHGPAFIVFRNFKHSHFGRNQGCYLIMMYCGSFGLSIALFGVHFIYRYSAVDCVFRKKYLEGRKMTVLFWMPVVYCVWWSMVVWVMFRSSGETDMLMRSPLLHGYDTRIEDISYVVAVFYTTGTPHTHQLSPNWSAFLGMSNMWFMISTSLFCVIYFGIKCYWKLTKSLVKSKMSSYYKKSIQQQLFQALVMQTLIPVVLMYAPIGILFIFPMFNIEVGFISSFITATIAIYPAVDPLPTMFIIENYRKTLCCCENWKNPRDGKLTKIRISGLRMRMKKKVAKAAVVNRSVDIQN >CRE10418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:471780:477476:-1 gene:WBGene00065008 transcript:CRE10418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10418 MTSCAIIKSREDQQLEDCVKWVRLFLNDFSETDVFNDAGFLDFSDEKSLAWDKHGTMLNYEKVRSVGVCVYRDPWPFHAQQGNLRDCWLIAAMMTIAKRRKLMEWILPWNDFSLKHGLFFVRSSGYLMTISTPKVPDRTRFDEKNLGTGHGYSILDTGIHEGHRLVLIGSTNKKRWNGKWSELLVYNEEMTKTWNESRMKKVNRRFFWMEIDDVCQWFNGLVVCKYREGWNELRTGRCQWKDRKQERAIRIRIKERIRMTVKLIVDYGCEDTKDIVSFLGIGLINIHTINKDNKPESIVFSHSVVMTFFEKQGQEIEESDPFYLETGEYLIVFNNLFDTCVFDYELILRSPTPMNNISYEYQTFENDEASDRSFQRMVTDGARPPIELRNGLFLQEYSKGNLLLLIARNQTEKSINMSLIAKPDFKAIITIGLKIDNCLAKDKTLMPAKYFKIRPQRLYILGVMWSSSMSLENESRLSCLYCVRVSC >CRE10420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:479356:484044:-1 gene:WBGene00065009 transcript:CRE10420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10420 MSSHEERQRKRSGEDITSDDVSLPKNVSTGGSNRLEQVKIVIEDELQPSDNSLFGKYLLHGSPINDDQAEFNELVYAYRVHQRMMQLSFSTIEQFLEEHNEGQKLRKWEPTDVKKLSEVELVGLLYWIEKQRPYKELPSEDKSALLMRYSVRKLSLDHFYSASKHPIHCDNREFVMNNYTFVPEDRTGFELPEDDAHQIEAKRGAFSRTFNRFWNNVIDRFVQLKITDAEIVFLHCMLLWSESNNSHVTEETVKKMEQRRDWATIRLCTWYKDHNLENPDLRLEKILLLLDEIEIVCDMHCQDFIVAKMYEFCDMSQFFYEKLCYAPCNTNIDNVDPDFFEKFRQYAKASPNEGSEADQRRRGEENLQQVDMDSVSLTLNPHLLPCVLAPLPDDNP >CRE10378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:485073:487575:1 gene:WBGene00065010 transcript:CRE10378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10378 MTTISTEEMTTILKTAQQGLSLLRDEHSTISRTLEAITSGLNDDEISLPREKNAQVNENFEKLMTGIDETSLMMLVAQMMKSDDLRNQKTRDLQKLLNDENGWLREELAYTQKRLQESESAVARLEEELNQLRFLDSMKNVICDTNTASLADESSRIPIIDVLDLGFDPEEEMSNNNNSAPSRLQTLNALVIQYIREGRTEIAGPLCKQALEELMSTSGKEHPDVATMLNVLAMVYRDQQKYKEASGYLEQALAIREKCFGETDQLVASTLNNMAIVFGKRGKYREAEGYCRRALKIREMVFGENDPDVAKQLNNLALLCLHQGKYEEVEDCHRKALKIVEGIDDTTTLKTQNQLASILLKQGKYEEAQGVYKTILTKIHKKSGGIEGKSLWEVAEERQRKMEKGEKMEVMETRQLEYLEKLVD >CRE12864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:437654:439337:1 gene:WBGene00065011 transcript:CRE12864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12864 MKRRSPSPEPIKKKTSKRAVTRSVSGRRGERSRSGKGRADEQQVQVVTPSPPLFFGIPRFPQLLDPKSFLTLCIRSYSFIQVIPGTYPSPPTRLSRREEERQRQPEKSVAPRECSARGANRKRARSPPSGAAMRAPVRCQSPQEEDESDTSMDSEQATEDELKPQTTVQSVMPRAESSKENPEATPSGELSEAESEEEDGGKNQTHSQMKEEEDEERDTSSGAETDESSSKIVSRTARVRQVKMLQVPTSRDHRVLKRSRRRRRRQHSICRIPQRLAVRVRVQKQWSGRKKFLW >CRE12912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:428883:432930:-1 gene:WBGene00065012 transcript:CRE12912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mre-11 description:CRE-MRE-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MQX9] MCGSDDSFEDFVADSQMPTSSVRRTRETEESEVACSQRPDAAHDTMVEDLDDDVKPVRDEVDDVIKILVATDIHCGYGENKPNIHMDAVNTFEEVLQIATEQKVDMVLLGGDLYHENNPSRECQHRVTQLLRKYCLNENPISLEFLSDASVNFNQSVFDHVNYYDQNLNVGLPIFTIHGNHDDLSGKGLTALDLLHEAGLVNLFGKHESIQEFLISPILLRKGETRLALYGLGSQRDDRLVRAFKDENITFLRPNAGAEDWFNLFVLHQNRPRRAMHRSTGNYLPESLIPQFFDLLVWGHEHECKPDPQYVAASEAVGDGFYILQPGSTVATSLTPEEALQKNVFLIKIKGRKFASKPIPLQTVRPMICDELLLDKIPPGCRPATKLDRPRNRDGRYIDEMAIEAKLNEMIARAKAKRGPRQPELPLIRLKVIYDGEWLNITPANAKRIGLRYENTVANAVDMVTIKKNISSEARARRQRGQQNNELADELGHVSAANLQTMINDYFTHQPLDDQMTVLKPFGIGKALDQYSEIEEGGTAASANRNFDNCLLAQIGVVRNTLKKMPLPPIESLSDMESFRDLIEKDLFELKKADCERPVTAPEGEEDDDDERQFYMPQRPQRFDEQEEEDDDEPMNSDDEPVSTSTAKPTRGRGRGSRGGATRGTRGKTARAAPTRAQVESDDDGFVVLDDSNSPPPPPRATRGRGRAKATTTTRKRDMSFF >CRE12911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:406444:408798:-1 gene:WBGene00065013 transcript:CRE12911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12911 MATLFPPPSISYSHSLSTIFMFFYFNLSLSLFSKIYKILLFSTNLFCFFSCSSTDMTRCSINKKPMPEENMVQNNYHEDESLHKARIFICDRRRAILEGVSTLPAEQFATRTPKNSESSDTTGSASSSSIASTSSSDADSLSSSSKTKTKQQQTEQKQQQQMQQQQLQQQQHDRRRNVSGTSSSTDSSGLLSKTTTYISDQYSDTDDPNWAFAFNFQPWIREYDICEVHFKNFCSFLEREKIEDPMSPSSFKGRTAYYENHMNDKATALALADLQIVRLSPPAAEEMRNQSAGRIERLAEKLRDVRDVHYTFKDSVCYGARPPVTIFYFLEMMHLNIRALTFDHVQAATQIELHEVLDMANLHRLTVIQPQQRQTIMVREELFEKWMKLADKDRKRISIHLNGCHEFRPQNLYVVVQAWLNHPKPVEFKQISIDGGSYKYNEFIYLIERLHDIMEKRPPRSPMNRSFGNEQNEHSIVLDKVCRIPHPLDRSVVIVFKYCRLSRKMVLTIEKELSPKSPVPTLSPVATLARLARPHSAASVLTSPMRKISSSLKSAAASPTIPTNNNNNNCRQSLGRPLSHDPCHMTGCRENAGSNMFTRIVSFLGTSS >CRE12863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:395644:398326:1 gene:WBGene00065014 transcript:CRE12863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pph-1 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MQX7] MMRRKNSSSSFGELNIDQLISTLVAVKPWHKTLDVTENEIRMVCVLARQIFMHQPMLLELEPPLKIGGDIHGQFADLLRLFNLAGYPPESNYLFLGDYVDRGPKSIETIVLLLCYKIKYPNNFFLLRGNHEVANLNRIYGFYDECKRRYSVKLWKCFQDVFNCMPVAALIDNKIFCCHGGLSPNLRSLDQLKRLSRPCDVQETGLLCDVLWSDPDATVVGWAPNERGVSYVFGVDVLAQFLQKMDLDIVVRGHQVVEDGYEFFGRRGLVTVFSAPNYCGEFDNAGAVMNVDENLLCSFQILKPQSQLVMDAALAEKQNNFVANLAGMANIKIEQKPAPMIQKRFRRGML >CRE12862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:373178:377525:1 gene:WBGene00065015 transcript:CRE12862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gsp-1 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MQX6] MSNDADLNIDSLITRLLEVSTVRGCRPGKPVTMTEAEIRALCHKSREIFLSQPILLELEAPLKICGDIHGQYNDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASINRIYGFYDECKRRFSIKLWKTFTDCFNCLPIAALIDEKIFCCHGGLSPDLQNMEQIRRVMRPTDVPDTGLLCDLLWSDPDKDVTGWGENDRGVSFTFGPDVVAKFLNRHDLDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGGMMSVDETLMCSFQVREFSGIKRENTLFPKKVLEGKKRILKPSEKKAKYQYQGMNSGRPAVGGGRPGTTAGKK >CRE12861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:362053:365916:1 gene:WBGene00065016 transcript:CRE12861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-22 description:CRE-CEH-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MQX5] MFNVSALAGATPSIASVSSIATRSPSEQQHGSSIGVVVNDGTSRTGDGGGAASSASSASAAPPQQQSQSALHNKLDTKWDSLNTGTLDTNLQCAAAWTDIPLLAGYSTAPTFPFDQCAYGSYDPSAAYFASNGIAGPMYTLPPADPFQRQENDLINGANGIKGDKNDIKAEDDEEIIDEMDEENDEEDDGTGKRKKRKRRVLFTKAQTYELERRFRTQKYLSAPEREALAMQIRLTPTQVKIWFQNHRYKTKKSHQDKPINTSILSAMPNAFSSQSATTTFPTRAMPIPMLVRGGDSSARSSDIASSSPYTVAFGSTNSGYLPTPSAYLPTTSGYFSNGPANASSYMTNTQWWPS >CRE12860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:355360:360194:1 gene:WBGene00065018 transcript:CRE12860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-12 description:CRE-TWK-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MQX4] MTLFKKIQWFCNLIRLRSYYKFLLLIAYTLFGAWLFRTYELQPDMQKRAFFGKNATLARRQLAERWIEMHTDAVLRNDSALRNRRADEAVEWLLIELNLSEYIKDMADETPWTWTGAMFYAGQLYTTIGYGYPTAKTDEGRVCTVLYALFGIPCFLMYLKSIGKMLSKKMRKYYKKLRRSAVGKFLLPTRVTAMKDGFEDADAAAAEERKKKPFPIPIAIIMLIIWICFSASMFCLWEKTWVFSSAVYFFIVSITTVGLGDMLFRTPEMMVFNFLLILVGLALLSMCFELITDRVAKWKQKRFDEHIKKVQKMAFQVFEKDPFVEEAPPLGVRMAPNLMQIAATHVSEEKRGFFAEFKDWFAGKVTDNVIMSKLEDSDDESDSEEVLEEFESPQIATVTANDLVVCTNGGGATRRVSKQSYALSDVSNLSNPKNHPGNNYGQLLDRIKMMEKFKPKKNDLDSRMFAKFLENKKLAKILEQTELRELATVSCQTDLSGLVVQRRNPKGRHARIGSCSSQSTMSTMLTKDHKHAPDEDSVMSITFGDLKFDYRTEPFIDEWQIRESNHSIFDFDDDDILKMPQKTLVARPGMPPPPPSRTSKLASPLRTLIEKEQKYDDNDPEIQLTPRRLNSLTDIQARKVKIGYDENLQHARLVCGLLPQDFDSPSTSTSTSMIDSGYDLSKRDAPINMV >CRE12910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:344916:348804:-1 gene:WBGene00065019 transcript:CRE12910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqv-4 description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3MQX3] MTDQVFGKVSKVVCVGAGYVGGPTCAMIAHKCPHITVTVVDMNTAKIAEWNSDKLPIYEPGLDEIVFAARDRNLFFSSDIPKAIAEADLIFISVNTPTKMYGRGKGMAPDLKYVESVSRTIAQYAVGPKIVVEKSTVPVKAAESIGCILREAQKNNENLKFQVLSNPEFLAEGTAMKDLANPDRVLIGGETSPEGLQAVAELVRIYENWVPRDRIITTNTWSSELSKLVANAFLAQRISSINSISAVCEATGAEISEVAHAVGFDTRIGSKFLKASVGFGGSCFQKDVLSLVYLCESLNLPQVAEYWQGVINVNNWQRRRFADKIIAELFNTVTDKKIAIFGFAFKKNTGDTRESSAIYVIKHLMEEHAKLSIYDPKVQKSQMLNDLASVTSADDVSRLITVETDPYTAARGAHAIVVLTEWDEFVELNYTRIHDDMQHPAAIFDGRLILDQKALRDIGFRTFAIGTSPDQAYNLFGTAGY >CRE12859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:342390:344714:1 gene:WBGene00065020 transcript:CRE12859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tut-2 description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:UniProtKB/TrEMBL;Acc:E3MQX2] MNSTDFTADLDGKKCVKCEKDAKFTGVDPKKAWYCQECFIQMVKNKFRSALSKKKIYKDADARETLVVYDGSPSGAFLLNQIDDALKQITYKRLMVQPTVLVLVSVTEETEIQRVVERVEELKKELLENVRWFIAHVACSMYTDSVDLEGLRCNGTEKIPEYTDLLSSCSVPTYRKELERILREKCLQNISKSLKISKCMVPDDADDLGRLALDQLCLGRGGSLSPLVTVTDKRSDFLLIRPICDLSKREISIYNYLCAIDKQYIQFHTHSNTQDRSVQALTDAFIRTLEDEKFYSTINTVLSTASKIHNTNGKDGSRCVLCHVEVADCSLCSTCSAIRDSCSGLLSRFL >CRE12908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:337341:339456:-1 gene:WBGene00065021 transcript:CRE12908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-34 description:CRE-UGT-34 protein [Source:UniProtKB/TrEMBL;Acc:E3MQX0] MRCLFPTLFLVLLTSQPILSYNYLVFCPLFAHSHHKFLAKIADTLTLAGHNVTFLVPVIVREYENIKYLEHTTDVVYIQPDEELEKIGEDADYSMFWKADFGIFSFVPAVQRFNKMFEQFSDNLRKDLSVLDKLKDRKFDAMIFEALAFCAHQNRVNFSQFPAIHDYLGIKAIFPSWSMTHMTELSKSIGEPASPSFVPTTVSPFGDQMTFQERLLNTIGDLSGFLLAPPAMRSYKYPHQVLDIEEIKSKAPFVFVNSNPFLDFPRPTLSKTIAIGGISVNVTQMREEKLSEEFDDILKKREKSVLISFGSILRSSEMPDEYKYTIVRVIKSLPDVTFIWKYETKDIKFAKNLPNLHFSKWVPQTALLADSRLTAFITHAGLGSVNELSYLGKPAILIPIFADQFRNAMMLARHNGSITLVRKDLGDFEKLKKSVDAILNDESYQINAKTLSHQLESQPFSPHDLLVKYAEYGARFGEIPSLDPYHRKMSFVSFFMIDIILFLSVILIGFVALLVFVVRLILRTILIRKLKIN >CRE12858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:332665:336471:1 gene:WBGene00065022 transcript:CRE12858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-6 description:CRE-AQP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MQW9] MESCPVYPEKIPSPMVRSASDVILNADPFNTTTVDLKSNSDIGSKMIEDEKDYTIYSKCAAEFIAVLLFVYVGSMQAAGVTFGHDGVIHAAFAHGVAIFVLAATFGGVSGAHINPAVTFGIALVGRINPIHAVCYIVSQLLGSVFGALLVRISLQYKVYYAIGAGATLCGKGVGWQEGLTAEIVTTYILVQTVLLCAVDTDKNRLAPLAIGFSLIIEILAAGAISGASMNPARSFGPNIMGQIFLKPENLDSLYMFWNYHWIYYIGPVIGAFIAAGVYRLFFARDYRVLA >CRE12907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:327976:330316:-1 gene:WBGene00065023 transcript:CRE12907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12907 MRFLWLPVLIVSFNSLVSSVKKDLNPCDAIDKTDTNLSDVVVLFVNFEWKIVEKNFTNISLAIFDAWTRREFMEKEETLSSLPELMENFVKCYGRDSGLQVKNITFEKRMNATEDCVLRITENMPKKSDFSKKHVKIIESPDVLRHCGQPRQVPLPVWNLVQEASSATEKRIAFCHWSDLEKLIHAGFYSIVVDWNTRFYEVMEDEVNNLKLDVFTRRQDFEIKYSYFTTIPRTPVVWSSFVAVLTVISFFVLGLILCCCSLMSFGLVEEDFERRNEERNWIARNVRRNLQRDYVISPAPVEEDEGLATAISKGSASRSSMETAKSSSNVSTDSTQPSDTISGTTHTNLTAKLPTESSDVIEN >CRE12857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:323229:327882:1 gene:WBGene00065024 transcript:CRE12857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12857 MQTCFRVFRKPLINSAFKPRRTRRPKRPTWREKLHSDRRQSENQEARPSHMILVNELVGILSNSYFPGSNADTYMRLNVGGKSYYVRAELYTAEWTRMHELLDSSHEERLKMVDGFDNKTGEYYLERNTKLTDHVMDFFVTGSLHKPQNICVERFKEELEYWKIKPDQLSSCCQIPSEHHHHPSRKLSHGTSFNEDDYVADFDGACFAGARLTMWRFLEDPQSSLFAAIFALLSVFFVFASVVGLILGSMPEFQADSSNAAAYHVMHVRSRPNDYGNKFDNNDEVAPNELLKDFVYKPTDSPNLPLTILEYICIGWFTFEYLVRFFIYPRKREFVKKTLNIIDLSTILPFYLEICLPLFGVESRLKEFTGAMLVVRVLRVLRMARVFKLARYSTSLQTFGHTLQSSITELSMLSMFLITGIVFFSTIMYYLEKDEPHTDFYSIPAGCWWCVVTMATVGYGDAKPVTTLGKLVATSTSICGIIVLAFPISMIVEKFATAQQRAIEDQQIQQAQMSAVANNALLRRFPTRRKVRRNTTVSVNNNI >CRE12856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:316847:319265:1 gene:WBGene00065025 transcript:CRE12856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mab-23 description:CRE-MAB-23 protein [Source:UniProtKB/TrEMBL;Acc:E3MQW6] MAPIHHRTSSSSTFLPSLKPPNVDWSTHCPMTTPLLGPSTPALLTFPASFVKMPKEQYMCQLCANHGIFNQPKKGHKQKCPYRTCPCSLCALNTKRRALDQIERQLKHTNEPMVAHTPTSMTSPQPECQLSPTIPKQAPNNPTCGKDTFRNSISTSNNMAFTVQLPATITKRELKLLRRDETPLQNPLKRSFPKTLDDALESMKKEKMSSIFHSAEMLAIGESTNSLI >CRE12906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:292505:297727:-1 gene:WBGene00065026 transcript:CRE12906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12906 MTSLAPSPTTSTLQVVNGTAHVDELGDHIDFYIYILPAIVLFGLTGNIVSLVTIFHSRLRRVNANIYLIVLTLADSIFLTGVLLICFKVDWIAYEYCVGLEYVLMTASYISSWSTAALTIERYLAIAHPLSHMKYGHVDRAKVMMYWVPIPFVLQLFQFFSLEPSNGERKCALKEANYQIIAQALDTVLCYVVPCIIIVVLNILVSLQVRKSQEHFMAETKKNNSRRTGGSSSSSGTWTRILWVMPLVFVVLNTPFYVSMMVEIVLQTVYQSPPSETTRSELYMTIYNTAHYMYYLNTAIDVLVYAFSSANFRKTAIIAWKRILCPGYAERQKGKVLVTDQTSRISYRMTSERSTIRFPNNNSRAGSPKLQVGNAVSIPLLGTEIQGPINESTAI >CRE12855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:288114:292165:1 gene:WBGene00065027 transcript:CRE12855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12855 MKVIRYNWKLIFILMSLAIVLGILICTTSAWGQWRTNQRTHQLSLLPESSTLRLCRDFTNQTRFKEILAPIMVPRIVDTPQHRQVGDYMQNFLHNLGFATEWDSFTDTTPFGSRNFRNLIATFDEAAPRRLVLACHYDSKIIPGQVMIAATDSAVPCAMMLDIAQTLAPYMYKRVAQQIGFQLIFFDGEEAFRDWTATDSLYGSRHLAQKWEQKWYPSSSSLNNFELSKEIDRIDVLMLLDLLGAANPSIGNTIGMGANELFSQLADVEANLRSTGCLNSIRRNVFNKQLSYNQVEDDHIPFLKRGVPILHLITVPFPSVWHRASDNANALHYPTIDHMTAVIRVFVAKYLGIAPA >CRE12854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:283976:287504:1 gene:WBGene00065028 transcript:CRE12854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scpl-4 description:CRE-SCPL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MQW3] MSLSKLSQTCFSRHQAKTFIRFSSSDFKSLLGPPAVANPYADNGRNRFAAPIVPINHGNVFASIKVPINETPEAIAYKPAVEDVKEPPIAERIMEKALKVEIAKEASTPSPVPAPTTAIDELNSLKDSLNKLEEAAAKPSSSSGSSGDNNDHTSTPEEIEARRKRMERNTRIGGYVVLGGSIIGFISFCFYYGRAQRDEAGNVIADEFSGSFLAPFYRIANSFKLWRDYVVEPAREQLLPDPLPAPYLQPKYTIVIELKNILVHPEWTYKTGYRFLKRPALDYFLDVIGYPNFEVVIYSSESMMTAAPVVDSFDPKQRIMYKLFRDCTKYMNGHHVKDLSKLNRDLSKVIYIDFDAKSGQLNPENMLRVPEWRGNMDDTSLVDLAELLKTIHLSDAEDVRPMLQYYSQYDDPAKEFRRRAVYLSQQEEQKKQQPDESSMLKRYSGRLFGFRRHASA >CRE12853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:281826:283631:1 gene:WBGene00065029 transcript:CRE12853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12853 MSSTSSNHTAPSKKYSLVDAEHSYFYIPPCKSIVHSEKPLTVFMERNTVDGIELEWIKHSPLTVVIYILVALLTVLYYATCFVFQYGNYLPKATRNSVFDEAFLTRVVIVQWLVLLAILTSGVFLYRRLFMPLYIFMSVMATNGTLVLFVLKVKQLVDEKITIMDISLNLLGLLLFCALLHDIVYFYAIHLKLIESRPSFAFPHISRQSGTLPNQYSTIHSDASPLPNKTSIDIV >CRE12905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:276908:277711:-1 gene:WBGene00065030 transcript:CRE12905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12905 MPSIQFKNSASAKEDAAKAAPIEAFTPVPTAPAPTPIFTPAPAPTPEPFVLPQLRKNKYNIQIEWVRHSRLACTVYLFIGIMLTAYYSAAFLTQYGTFDRIVDIKPVPILSESILTRVVMAEWLLLAAVLLLGMGVFRRIFMPLYIYLAVMATNGTLILFVVKFKQFTEGKIPHGNILKILECIFLNIFFADDLSLNLVGILLVTATAHNLVYLYAMHLHLSTHHYYRIRRVPAPAAQEKNDLP >CRE12904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:272985:275237:-1 gene:WBGene00065031 transcript:CRE12904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12904 MIFLPIILTSLLVSHTNGCFCPPVISSSCGCGGGGYSSYSGGGYGGGYGGGYGGGGYSQGAPQPGYATFFRDLTPFRQPYYQQQAPQYYPQGPSQSLPPMYQLPNPRYIQPQAPPRPQYVAPRPAPYHPTLVQEKQVYIQPAAPVAPLAETRKVTLHDNGYGEEVEITTTYAPVVTEAPVVEEATTAAVDEVPDFDETPVKKGDKEEFYYVYYDDKGNKVGDSRSGTTFAPENVIVEEETTVMPAPASVHVEVTTERVEANENYDDIVEETQVPERTSLGPSYTPEAVTSTTVVFVESKAGASTVAPSAGENAEVIYEDETVEYEDDGHHETSVASTKKPQYGEFKRTVQDNQILVDAADSTGESYRSRNAVNGVRIVKRFRHDERKIHSN >CRE12903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:271428:272300:-1 gene:WBGene00065032 transcript:CRE12903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-23 description:CRE-TTR-23 protein [Source:UniProtKB/TrEMBL;Acc:E3MQV9] MKLLIVAFTFLLVLIPTNGILNWIGNDQYVTVTGRLICDGQPASDVLVKLYEDGTIYDTKLDSARTFPDGTFRVSGHYTKIFAMDPKINIYHSCNHYGLCDKKLRIDIPQYAITNGDYYSEYENYDIGTLNLADQFSGETTDCIH >CRE12902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:269234:270988:-1 gene:WBGene00065033 transcript:CRE12902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-32 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MQV8] MLTVQFLITTAYGAASFYLYTLIVYMMIRRWNEYNTTFFKIFIIEYCFNLITFVNSFITLRAPQNTCKECVFAFLFDRSSNPTVDNSPLQYFFTLHYAMAYIQYSMTFLMALNRLSMVVLVNSYEKYWKPALPFFIAVIVIYPMYMTWPIASNNAYYLYTPPMGAYATKSVVDVTDILNNLIYFMLGITILTAVANVLAVIRLGCLPSRISGAERNLFTVSFVSAIIQLLALGDTLILRFGVSDAMSVGTQTAKVLMPFVSDLLTLNHPWTLMYFSTKVRLSMANDHFPSLRKRISNIPSSVY >CRE12852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:267587:269188:1 gene:WBGene00065034 transcript:CRE12852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12852 MRKMHANSGFLQPYRVMKSASIISNLSDVDMRFLTGFLYITTRRQMNDNSFFVYDVDQDQIVVFDETKPENSTVVFLNSNVSMTPPQSSSIINWVQHENSSVKIYPGVPKNGRPLSYSQIFANPVSTTDGMKFFSYVEGFSLSLPIFYMKVYKEFQFSINPSYRDINGTFTSFPTTTGLYMKPYEYPDGKVTIDLVTADSSTTDDSESITGANMIGFVSNDSSVSVDTSHAKGGGGYSVKPINQILGWSTHSYGDNITISSKNAADGQFFVQYYIVHKILMIT >CRE12851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:260733:267118:1 gene:WBGene00065035 transcript:CRE12851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12851 MLILLFLLFISAPLCKYVLYPLVYACLKWKRPVRKFTAPTAKIREILNPDKEEWELEHVKDEEIITISGSGEDDSFIYIQIITTNGIHTSHIRIYEKGTLYSGSFDAYFTENRTITCGPLLLELRNPFRKWRINFRGYLSDTDGNCHFLILSGWWRCVTNARFFYSNSPLKVFTDIYSEKPIDVLNNLKEIENAIVLNSFFRYRMGKVLHQMGEYHAEIKVGNGEVVEHRYRGLRHRNSLELETISTQFHTYLSDGNAISHRVHSLNSLKSVTHAISFRADHSVRAVTLKSAHSLESDNMLPVQFNYSSGHFLPLQLRKGHKLSHFTFLREDSKVIDVTAIKVNSAIYTGVGFVVRVREALHKSPVKSLDNFPEYHATDSERLKQVVPFGHRACQDKMLTGGKGANLARLQAITSDFHVPPGIVVTTAAFNEHVRRNPNVAEEIKCLDQNDQTVDYYEAVGKRIEEILIQSEVSHDLQEQIREWLPFSEYYAVRSSAVGEDGADLSSAGQLESYLDVFSHEISDKLKLCWASNFRREVLNYRKNYGQQLSPSMAVVIQEMNRNGVAGVMFTANPVKLDRGEIVINALTGSGEQIVSGVMTPDEIHVNRFSKEIAVKKTGEICCLHDYQIEKLTKVGEYLERIFGKPQDVEFVVRGNQVNIVQSRDITGLDKETPFEMYTEYNSPSIHDKEMLTNANVGEVLPAPVNAMEAHNLTGMFDKVISCMTMQEINDVVPAHTTIGFSVAHRKIFFNLGEVVLRIWEMVEKDRITDIVIAGETLFSDEMFRQAAHQYGKVSPFFPLKRMYHMIKLIYFTSNSVKAKIAELDEEAKRLIPKDDMPVEEVFKRYEEMEKLWCDAIRCHTDLSMFSSFTYVLCGMLIRGSDSKLAHIAGPLSNENISDFANVFSNNSRGDVVSADVPNSLKKLAKTIRDDGLETEFCAAEGAEESLTTLKNGRKSTEELRRFLDLHGHRGPKELYLDAATWEEDTDLLVHTIKSMLACPETSDKRIENEDDIIDNLKCKPTGMRRRFLKYFIGQTHRGVAFRETAKNHLVSTTNSVRKTCRMVGKRLYEKGYLPDPKLWMHFSMDELRELNETRSAKLVSRAIRRNKIASKFEGLQFPLVAHGYMNPINVEIAETDASVGLVLRGTTVCEGKVRARARVAKTLEEAKETKPGEVLITKYTDICWSPFFPIISGIVTEIGGLLSHGAVVAREYGLPSLIAVTNATHHFKTGDLVELDSISGTISRLDENHHE >CRE12850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:258582:259777:1 gene:WBGene00065036 transcript:CRE12850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpd-9 description:CRE-LPD-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MQV5] MSGLSQAGRQVARIAVRQASSHSHSNESHAVWKEINRLGSEGKWDNVNNMPKMFLFGEAKQETTAAYRAINTDPDFFRQSPYGQYMKIVWRLALLFGIIKAGTVVYDFVVPEEQRLKYKYRNHGHHGHHDDAHH >CRE12901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:257870:258287:-1 gene:WBGene00065037 transcript:CRE12901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12901 description:Enhancer of rudimentary homolog [Source:UniProtKB/TrEMBL;Acc:E3MQV4] MSHTILLLQPTDNIESRSWSDYESTNDCLDGICKVYEEYLKKKTPTRATITYDISNLFEFIDDLKDLSMLVLDNQSFTYVPHNKQFVKESIFNLMNERIRDR >CRE12900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:254214:257610:-1 gene:WBGene00065038 transcript:CRE12900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mics-1 MMVSPPQEDVVFNTPVYEHKATLVSSPFLADQENTFDISFAAEATDTMDFNTTTTPTTMQNQNSDTSEEQPLTLVEIQKTANGFGFNIVGGTDNPHFPGDCGLYISSVNVNSKSYGVVRCGDKILSFDGIDMTFKTHDEAVDVFRSVKIGHVAKMLIDRECLQLQEEQRTQTPTASATLSPQDRTPQTQNSVRNNGTDTPKSGRLTSHGISAVIERIRGKVYEEEDAQSVTSYAPSTHSIIDDVPRTPRKPLGILDPRNNSWLTEALYVSIGLGALTLSGYLVYRFIRGRK >CRE12849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:249129:252143:1 gene:WBGene00065039 transcript:CRE12849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-del-6 MGAKIKDSTSVEMHDVNEPRRAVNPEDEKPSSWKDALFGRRARKYAFIFIVAFLAVLTVKDVFDLFEEYFEYPKESDINIVFNDSMTMPNVTFCMSRAQAWSHFKLNLSAPADEWDAVVDESLANMTDHDSFMKQPWDYRLVMEAYDMIATYSSLERETTAHGSARSIHVFKNSPRLAAKRKTFKKWRDILDSRRITFDEFTQKTGIEVLRRSMQRFRRRTFDDDDTVIKTKLRISWISQMQICYQPEFDKDNYKTIDDQGVFFDMLLSHNAENTEDQKIDCMSVDFHGRPSSLNRFMEGKGRSRDGFIDELCLGQRHEVTAHVTALYQMLENDEQGTRCRDVEDGEDSEFNCRSRCRMEMIRDTCHCTPLSLSYLAKKEDMEIFPLCDYTQCTVDVQKGNYSDTECANKCFPDCRQIRFEVDHSVKGRMLRPDLTLVELSWGPFEYLTMEQQWKYTPTTFIAALGGSIGMWLGLSILSLIQLVTYSYTYFTKKIVNEKILKKGNTFDRKDEDDDGDFSIDNGTERKKMSLAENPFAEFFPGGSPEQPKRGGGSKPNSRQASLDKDNGQGYSANPKGARAQ >CRE12899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:237736:242521:-1 gene:WBGene00065040 transcript:CRE12899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-54 description:CRE-VPS-54 protein [Source:UniProtKB/TrEMBL;Acc:E3MQV1] MKILICRLQKNISVLAIIFIDPRFTLGDSSTFSAVFTVPANENHDALKQTLSGKNVIPATPLEIAINKKPGEFRDYESLQNRLEMMHDVVDGRLAGKLVAKTDDFWQVVRSFSGLQEQLANALQCVMVVRRNLKHVDELVCDQSKQIVEVHEKYEEKKNLLAKLHDISCLREAQSTVQMMLSQGDYPKAIECIETSLDVLSKELNGVTCFRHLASQLRELYSVIGRMMNEDFASLIQKELGVKPEAGTLIQAEGELSAVLLGLMRMRKYSFIGVLREEIVEGVKSVMRHVIKNQILNSGVDLSDFDPSLTQLGEPVRRMKHSDFLKTVRAVMDEEFYFCKRLEALQDILMEVAERAHPSNRHGSSQDIIIERLEEAKIDESDSEDETSEGSIGKSTGSGGFGGFVSGSAVNSNATATTLLSIEVRSDAFLKRVLPLIAEFGHQCAQQRISRLLMARAKNSAVTEATTPTQLSECIALVKEYQSQCEEEGWYSTQSQKVGGLGRAVNKLSMDYIEKFHAARKIRIGNMLDTELWKVTDVSIVDQNMVDLAIETGRLRSRKGNDDSGKKTFKRTGSSTTIDSGTSVSNQTGIIVDEESFVVVGSSITMIQILADYCEAISEMPSFAQDWNSRVVELLKTFNSRCCQLILGAGALQLVGLKTISVRNLALAGRSLELVCRFIPMVHDEMDRVLPEDRKSLLRYFKGVESEYRDHVNEISAKLISVIAHYTTNCLGMWDVKGNIPSPEFQQICRHMLKFHNGLTGIMPRDQIEALFRQVHENFKANLREHVTGMGITPHDTLKYGYVTQDYMYYQQNVKNMESCRNMELESLNDIMFD >CRE12848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:235867:237059:1 gene:WBGene00065041 transcript:CRE12848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cat-4 description:CRE-CAT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MQV0] MCFSSLCANSSSHNSRNFLPPPPTSSQNMSRIENESGFLSSDAASVGSEDDLKVDAQKRNGVIPKEDHLKSMRNAYESIIQHVGEDINRQGLLKTPERAAKAMMAFTKGYDEQLDELLNEAVFDEDHDEMVIVKDIEMFSLCEHHLVPFMGKVHIGYIPNKKVLGLSKLARIVEMFSRRLQVQERLTKQIATAMVQAVQPSGVAVVIEASHMCMVMRGVQKINASTTTSCMLGVFRDDPKTREEFLNLINKR >CRE12846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:228856:229891:1 gene:WBGene00065043 transcript:CRE12846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-152 description:CRE-COL-152 protein [Source:UniProtKB/TrEMBL;Acc:E3MQU8] MVSTHFFTGVYTVSALILVSSLVCATFILTEINGIAVEVNHGMKAFNHYSTDAWTMMASELGTNALPIFRFTRSTEKTCNCGAQPNNCPPGPPGPVGSAGENGEDGTPGVEGKNGNQGISIMAPVDPYSTPSCTVCPTGPPGPPGSDGEAGPVGADGTPGDNGADGQPGAPGEAGAQGDQGAAGEPGTVGQPGAPGKNGQRGSGLPGPQGPEGAAGELGKDGQPGEDGSDGKPGAQGEAGAPGKDGETGPIGPPGGNGEVGNPGSDAGYCPCPERTYGVEPMPPASKYEGV >CRE12845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:226391:228564:1 gene:WBGene00065044 transcript:CRE12845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-14 MTISYDEEFSSLMLRWRGSIWKAVLKDLIGFYIAYYIVLAFQWYLLDEKGKEYFTGWIMWCEIGAQYIPLSFLLGFFVSLIVARWWEQFNCISWPDKMMIMVSACLPGNENIVVRQTIARWSSLQAAIAWSGVSVKTLKRFPTERHMVASRLMTEEEYDLYMNTDAPHGKWFIPILWIVNLIKLQKKKGVIDSVQMDMLLKQVYSYRDGFAMLFVYDWIKIPLVYTQVVAIATYGYFFICLIGRQPKLDQRSMEKEITILFPIFTTFQMLFYLGWLKVGQYLMNPFGEDDDDFELNYVLDRNTAIAHMMATELADQLPSIGAPMVPAVPHTRASFKIQDVIPKSHLAGFKLSEAEMKLIKPEDLEEHERLLEETKVTNRQRLGTLVRAIEKKSRTNATINEDDE >CRE12898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:221350:221954:-1 gene:WBGene00065045 transcript:CRE12898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12898 MEIRSVIVLLFLVSLSSAELIQTRAKRQWGGWGGGPGGGWQQPGWQQNSWNNNRNRNKIAAQQQQYNCQTSGINLIGLPISSYNCQNSGMSARVNTLQTSQQNQGMLQGPLAGFGGLLNNFMG >CRE12844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:217561:221231:1 gene:WBGene00065046 transcript:CRE12844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12844 MAFSIRYFQHFYPSFIFVFIAFLIIIFTVGISNKANFNQVVGPGYKFEYAYSMQDTRELLANRCKFPFIFLANYDVEDGIYDVDPEDDCEPNELNKYVNLSTQGVLTFRSKQETNMTLKPIRCTYYKHSGVSERYFDVGSKEIVPDVPVQVPFYNFAFSCKRDGIVEFVKPFVNFAVIPKETAGESVAIIYLPSMHHMLFMKKMSRTKLFMQQNNFKFAQTMNMKQPKPFLDLLLQLGISSETSVFQKAKKQNFTTFFSGPQQIRDLIDADYDTTSHRNFISDYLIDEHLCLEDGRKLLDDQLEKMESFLESTSGSKFFSVMFLDDYGSQRSMIDYDLSEMLIRLNQKNIFEKTTLIFTTYDLSIKEVTDENTKNPLFAIRLSNNLMKSQPTELYFLHLNSYRYLTTSHSYYLIMNLLEPKTSSDLSPFTLKSTKRTCQSEGVPDSMCLCMKSVPQPDFPEKKFLEKLQKEFAEEIQKYKCVLSFEVKNTTEFFEYENASEKIIALELSADASVNGKKGKLDLSLTKTFVYDSSLNYFRDFDYARILRDHGVNIAIVSICVL >CRE12897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:213061:215255:-1 gene:WBGene00065047 transcript:CRE12897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-34 description:CRE-SRD-34 protein [Source:UniProtKB/TrEMBL;Acc:E3MQU4] MDNTSVDVVLMEKIPITNSNSTGYLFSGSCRLIHKNACFFTLDVFQLVFDASAFAIPATLYYKYSKVTSLNSKDLSRNRVRLILFSSYFLSIVVGVIYVITYSPDESLAVESETRKQFSREYDFTHYAGITGYVNHFWSSLTNNLNMLSVYIPPIMSLIFIRMIQIRLRSFKHLFTDKTAAQARKFDLALTIQTLVPAVCVIPVYTAHIILEHYIVSFMADFEKVLYMMLALPTAIDAFIVIITITPYRRSFLAFFEKLFGWEKPPPPAFKLVHNSRATSLF >CRE12896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:211580:212511:-1 gene:WBGene00065048 transcript:CRE12896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12896 MSRFSGKTVIITGSSNGIGRSAALIFAKDGAQVTITGRHAERLEETRQHLLKAGVPAGNINSVVADVTESSGQDQIINSTLAKFGKIDILVRVNNAGANLADGTSNTDQPVSLYEKTFKVNFQAVIELTQKTKEHLIKTKGEIVNVSSIVAGPQAHPGYPYYACAKAALDQYTRCTAIDLIQYGVRVNSVSPGVVATGFMNAMGLPDAASQKLYDFMGSKKECVPVGYCGRPEEIANVIVFLADRNLSSYIIGQSIVADGGSTLVMGMQAHDLMGILSQ >CRE12843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:206966:211499:1 gene:WBGene00065049 transcript:CRE12843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-degt-1 MPRKRRSEDVDAMVIATSPIKIKVEQFIEETASMDMYIPALDRFAEDTSMLGFRYLHTRYKTWFRVLWGFVVVFFIGLTFYQVFERVTYYFIKNPLTTRRSYETLPNMYFPTIGVCNKMQLKASSVAFKNPDLLRGMVSVLDENTSNTTRFDELDKFDDVDILDLYRNSIQSADDLFVSCEFGKSGSCQDEIRPMYTPYGHCYSVSPNKTILRPGPETTLSLVLNLEVHEIIPGTVVEPGVVLSIYDGASSLSHYSEGIHLEAGKVVTIPVNEVRKLRLHESSCGSTKMESFSEKEYSKAACEWSVSVKQIEKECGCIPIRNPIYRGVFDNKNDQVDNTTEIPKKKYKKWKKRKIPRCTLRQEIECVQEKLNIRPHIDDTICPDDCEDISFSSIVFGGKLSASEIVSMLPSDWEDTKEKRVAAYQKALEVIPNKMIPVVRNVQQLADELQLFVAEASEIFGISDKFNDVKCLSLDGRSYESFINQFYAYEPTWERITTPVFRYLQHSLSRELNSTALCLGLTLDDKGEIDDSVSPVVNMTLASIALLQLGQIENSLGRTNFNYGLTMMHETTRRVVLELAHPLITEVRDCVTKMYDNLERVEEIAEDCRHIFKNHYTPLLEASNVHTKTNPSSESFKTYTEYMKKVLSKLQIMKTRVRMNDWKDFNIDLKEFESLYREIAKDHVEIEDMLKLRKTMVTDIPKLAAELSETFLAVTESRRKFAVLTGIAADERFSEKFSNFSKCLEELSTKAPILKKSRFIRGEWLSRLRSQVLMAQSYSPTHQYDVVNLLHIKFYFAHFKQETILQERSYNLFLLLAEIGGTIGLYVGATLLTVAETLVFLCERKKSNIFLKPQFV >CRE12895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:197060:201942:-1 gene:WBGene00065050 transcript:CRE12895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppm-1 description:CRE-TAG-93 protein [Source:UniProtKB/TrEMBL;Acc:E3MQU1] MMVSHADLPTASSTETKTHGRRLNSESFAPEIRENAATITSLSIFGTPSDQECQPIPVVPRSSSSDELRVWRVTSAGLVEVDAKTMGAFLDKPKTDKTNVHGEGNGIRYGMSSMQGWRICMEDSHIAEAIMSQSSPYKDWSFFAVFDGHAGHHIANRASSQLLEHLITSEEFRDMTKALEENNGVLTESTLKLLETGIKKGFVSFDEISKTSNEINKSGCTAVCAIVTPTHIIIGNLGDSRAVVAGKKQIFGTEDHKPYLEKERKRIEDAGGSVMIQRINGSLAVSRAFGDYEYKDDPRLPADQQLVSPEPDVYIRERNVENDQFMVVACDGIYDVMTNEELAEFVSDRLVVHDDLREVCDDVLDECLVKGSRDNMTMVVVCFPAAPPVNVHRKEAEEAWVARVKAVINQFLDEAVAAEDFKKDEDMVSLKSILDQVTANGLLPTDLRVPAHTVTTLAQKILTQRDIKHV >CRE12894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:195286:197021:-1 gene:WBGene00065051 transcript:CRE12894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12894 MKRKMMCQIQPPPSYSFSPYFHYFPSFSFPPFISAMRLALVLALVSSLQIFQQSSVDPDQFDTIWKVSLVTAAFPIGKFIATCFLSFHNVKLHDELDRCARLLLLGAIISALPFFRAIFSFSGRFIMGYSAGSGFVCAPAVLRLAVPESMRPANFLFLAAAFSMGTFLANSMFLISDIIPASWFSAGLTAAAGIFYLLLRPDEYPVEEMTETVSIDGDTPEPTKSSHPVLFVFVLMVINVSIGVPLMQTYSTLIFKYNGMAATSATLFSVVYPILQFIPVIISTRINVSRKTLVLGGYFLAIKIQFFLLLTAAYPYLPEKHKMVAMAVLLIALSISFIIPCNTALCILFEQFDGANVKTASQSRCVMWFLASVR >CRE12842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:192446:194029:1 gene:WBGene00065052 transcript:CRE12842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12842 MICFRTVFILILGVVIGTTKRHNMRRHIKSDRSCEEPPNLREELATWMQISIGGQFDDTALEEWSSNGKEPEICEKSPRAEGSSTIMERALCPWESRVNYQESREPKLIAESVCLCRKSRGSTGAFCMPIVRNVPILRRISCDKTTGLWNYVRSTEMITVGCHSVLPRTQRAARLANLSSARGVAV >CRE12841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:190873:192217:1 gene:WBGene00065053 transcript:CRE12841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hda-11 description:CRE-HDAC-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MQT8] MTERDEEEDYSLERHQRPIVYHSEYNVSAFGIEHLHPFDTSKWRRVICYLKEMNLITDSTLVEPNLPTFEELVRVHDRKYLKSVRNPLKAAQIVEIPLVGCLPPCIIENKLLHPLRLQAGGSVLAANLALKYGWAINVGGGFHHASHSDGGGFCFYADITMAICDLFDKKAISQAIVVDLDAHQGNGHARDFADNKDVFVFDVFNPNVYPHDREARQFINKAVHVDGHTTDTSYISELRKQLTQCIDDRERLNPSGFDFIMFNAGTDCLFGDPLGAMKLSPQCIIARDEVVFKLAKSKGIPICMLTSGGYQKDNALLIAKSIENLNSKNLIDLSIN >CRE12893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:183379:188945:-1 gene:WBGene00065054 transcript:CRE12893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12893 MDELPVLNSLCKDLYESVDPQVRHRAEMNLAELSESPECLQRCMLLLARGDYPYGPMVASTTLMKLLGGKTSITSTQKLELAKYLLEMLGQGAPQFPPYLVTSLCQLFARLTKQEWTYQNPNNENQQSQGDDVKIDYPFRDPVDSLVKTINMDNLEESMLAVQLLTMLVSDMNSAAGMESVNKHRKNLSQFRDDFLYEIFSVSLNFLSDNVDRNLNERQIALLHTVLNLNLQCLLFDYIGSLTDETSEDNCNVQIPTAWRASFTDGKIVQLMFKLLDKLPQESSEKVMTIIAQLASIRRTLFNGTERQAYVQKLVEGVVAVIMNPEKLSDQAAFHEFCRLIARLKTNYQLCELIAVPCYSHMLRLLAEFTVQSLRMMEFSANSTYFLMTFWQRMVTSVPYVRNNDEHLLNVYCPEIMTAFIESRLQHVENVVREGAENPLDDQGSTLQILEHLAIICRCEYEKTCKLLTQHFDQNANIWMNGPENDVNTAIAEGRLVWLITLIGTAVFGKTTSTSSDTHDKMDGELIARCITVMKFNDNRLQLSNTTIPLKGNLRLEVSFIHMLEQFRRAYIMDQITRASAVYDTLEAELRISEESDMLGVIVQKILTNLKFWPSNSDLLDLSLSLLKDLSLGYSAVRKLFRLPEVQLLLNNHTADHFIFLGPTIDYQTMKQRTTFYEALTRLLTTDYADDEEMLQRFLRPLTDTVEGICTVIQNNCQGIEEEQLKKIICGLCRDLRGVAIASTTKAIFQLLFEWMYPEVFNIMQFSVEKWPGCADVVTPILRLLSEMVQNRQQRLKFEMSSCSAVLLFKETSKIVSIYGDRLLQLPDVSKDRVYKERYKNIGVIFLILKNALIGAYVPFGVFRLYGDSCLQDALTTFVKLFMSIPPDDFHSYTKIAQNHYNLLEHVVQDNMPFVTNLSVDVFCSLLRSIHSGLSSVDAIVITSACSSLDTILNYLYRRLTRSTPPSNKVGMDPEGDNILIAIKQHPDILAKMLQAVITLMMFGEVKCQWSLSRPLLGLILIQEDVYSNMKRELTSQQTYDRQSDFDMLFTQLMSNVEMNLTVKNKDTFTQNLTRFRRDIAMVLKGQALPATSSVSQEMQ >CRE12892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:171878:176003:-1 gene:WBGene00065055 transcript:CRE12892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmsr-8 MGCDMGSVNFTGAEWLETAVTNINTAYAHIHPYVSVVLCLAGTAMNIVTVIVLTRPSMRSAVNSLLCAIALCDILVMTSVLVFVTHFLLFAGYRCDPSDYNIYWAYFLYYHSQATVIFHATSIWLTVLLAQIRVFSIRRATSVAGESVTNKKTCVIAVSTFIVVCLLNIPNMMTFEIIETPASNWLQCKANETADDEMLVYLVAPSDHCGLLNIAFWTNGVLFKVVPCLLLTFSIVALVSIIRDVGKRRKQLAQVMNKKRMPRDHTTPMLVAVLSIFLFAELPQGVLHVFNAIFTKETFYDKIYIHLGDVMDVLSLLNSAVNFIIYCAMSRKFRAVFIQIFLTCLPQKIIRKYAMEAFLDGEVSRMRPTADMTKSEQLALTSHRVSASSVLLPVSRPDPPRMYSGNLLTADINGGYSHSPRVSFDIVRKESQISSVVDFQLVVPSIQQESPTLVQKILRFFRSTDELSDSPHRRIKLMQCETSTALY >CRE12840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:170263:171694:1 gene:WBGene00065056 transcript:CRE12840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12840 MSACFFSIFQSLQHNMLLKTFVFFQLFVVTISTSYNAFFALMVTNPTVVQQVNQIETNLTQTNAQFIKFVHNTSHLHVRLNTIELNETRLEIIQTFLKDLPKAMCNVSYSIPALFEGTAVKANTTLHGKLNAMSSTVISSVHQKIYSLLNGTEIPSIDEHEIFHPRMDIVELDDRKHEDLLQIMSNRTGDFKLNTGDFIKEIVLYNGNPSGDFHEEIGRAPLAPCSNFTVLKPELISNRVPIFTNLDDMDF >CRE12839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:168687:169726:1 gene:WBGene00065057 transcript:CRE12839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12839 MAKVFYTLAVLLISVSLGSAKDLKRSKRQLQVYYMCNGGVSQYPCNSNNNCNNYNNCNFNNYGNQVILPNSFYNPGMNCNSNCNNFNCNQYSGSWLNGQYVAYSMGSNVYSPCASSCCSNNNNNYNPYMSINNNNGNYNPYNSLPINGNLPVRVLTVGDFKFFGFQTNTNTGTNTNVNQYLPYGSQQFTGTYQNGQFFCGATEPSGGVCRNNGVCPSGHSCVAGNVCCRCPVGSSAGNCNVKSDCAAGYECMSTGYCCPSQLKPGTELQTCLNGLCPQPGYTCGVGDLCYPDSYFL >CRE12890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:163328:165058:-1 gene:WBGene00065058 transcript:CRE12890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12890 MPYFGNSYRYLILFVAFFCLVSVCSNYIIINFTFICMSEDTSETVLVNDTLKSIYDYDPEQKKYIMWAVGTGTVIGTLPTNWLVVNYGAKWPFLIAGLVSAGSTVMIPFAAKNSYFLLLFLRFLQGLAYSTDFAAIGIITVRWAPLREVASFIALLTCFTGVSSMITNSATGLICESSFGWQYSYYIHGFAGLIIFALWAGVYVDDPQESKRISKRELSRINKNKSAAHLESKAEIPYLKIFKSPVILVVWINAFFEMTAVIFFATYMPVYLREVLKFPVTTTGFYVAVILGMNIPLRLVAAAFSDSITCISEKLKIRIFNTLSVGISGLALACIGFIPAEENLLSFACITTVMMFVALNVGGFYKCAALHARQFAHIVIAAIQFTKCLALFSAPSLVAFFVKTEPRREEWIPVFLSLGLAMFVANLGSLYYFTDKPAEWTGYEKETYTEVPVDEAKC >CRE12838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:161301:163136:1 gene:WBGene00065059 transcript:CRE12838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-33 description:CRE-UGT-33 protein [Source:UniProtKB/TrEMBL;Acc:E3MQT1] MKNTISFVFLLCVGLVSPFNYLVFCPLYAHSHHKFLAKIADTLSDAGHNVTFLAPIIIRKYENVKYLDSTKDIVYIQPSKKLESLGVTSDYSKFWNQDATAIQFVPAIRAFTKMFEQLYEDLKEDLTVLDELKNRKFDALVFEFLCPTALRELTFKNSNLKLLVSAIAEYLDIKAVLPSLSMTHHPLMSRLIGEPSSPSVLPSMISSFGDDMTFPERLQNTIGDIFFTLFVHFPPMTSFKNPEKLIDVEDMTARSPFMFMNGNPYLDYPRPLLTKSVLIGGISVNVTQLKQEKLSENYNQILSKNKKNVLISFGSMIFSKDMPQEYKDTIVRVIESRQDVTFIWKYEEEDVSFAKNLPNLYFSKWVPQTALLADSRISAFVTHAGLGSVTELSYMGKPAVLIPIFADQLRNSKTLARHNGSITLSKYDLSDFDKLRNAIDTILNEESFKINAERLSQQLQDQPVSPQDLLVRHAEFAAKYGELPNLDPCSRQMSFISFYMIDIILFFGVIIGSVVLGVVLITRWAFSFCVRPKQKVQ >CRE12837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:158957:161071:1 gene:WBGene00065060 transcript:CRE12837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-5 description:CRE-AQP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MQT0] MKKSSQTGTQTYNSHVTGTPFCSFFLLVLLSSGGNSTLIIKPKSRKQVLFILLFFFIFSRMPLPPQNNDVPIGHRRKSFISSIQGAMSNNNQKAELIPKPYTLISRCYAEFLGTFIFIFSGTMQANVYDISQPVGLTHAALTHGLATIVVIAVFGKISGGHFNPVVSWAMVLCRRLPPLALPFYMAAQFFGGFCGNLLSACLQRKRDFLNWENYSNIGYALPQNALEYGYDKVHNTTYQKTILLTTQLAATSSGITHLGANHAWWEGLMSETITTYFFVTVILMMVVDTKEPREATPLIIGMMVIVNIFATASITGTAMNPVRALSPNVVAEIVLSSSSLPAKFWTYHYIYWAGPFLGSTIAVIGYKLLLSQDDRLIP >CRE12889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:154368:157120:-1 gene:WBGene00065061 transcript:CRE12889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncx-5 description:CRE-NCX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MQS9] MCVPDTRNDAVTSVEDEITRRSRRSVEYEFDDCRPRIQPTSNGSQFPADFFSLETRRRGGVIIHILLLIYMFVALAIVCDEFFVPSLSVITEALAISDDVAGATFMAAGGSAPEFFTSLFGVFVAQDNVGVGTIVGSATFNILCVLAFCTLFSRQVLHLTWWPLFRDMSFYTLSLFLLLIFFGDEVIEWHEAAIMFSIYIAYGFFMKYNGFLELKTKQFLFRKVGIGSEVVPATTVVTAAGCTPRSPHSLGAQGPRRSFPMIHGGQEVRKSIAQLVIGDEDDTTSSSSSSESERSIKLKTVANGVPEIRQNGRTIMVKPANDPDSAFNNQNSITTSITPIDFGENHTIVINNNDTKRKNGNNGTHLTPVETINPSSNGHLEVAQPNGNPVPPIALDNVSLTFNLCRQLSVASSTAEKPLDLSWPEANHKRIIYLFLAPITFPLAYTLPDVRKPSLRKFFAITFIGAILWIAAYSYLMVWWANTIGETFGIPTEIMGLTILAAGTSIPDLITSVIVARKGLGDMAVSSSIGSNLFDVCVGLPIPWLIHFFIGLFKSQPVQNISVTSNGLVCSLGLLFAMLLVLLACVAISRWKMDKFFGLLMIFSYCGFCMLCILLETGHLKCPLRNSC >CRE12888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:147989:151911:-1 gene:WBGene00065062 transcript:CRE12888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12888 description:Solute carrier organic anion transporter family member [Source:UniProtKB/TrEMBL;Acc:E3MQS8] MISKITWFFIVFGTVYFLESIGGFYMTSAVVFIEKQFQIPSRLSGTMVSAGDFAYIPVVIFTSYFGGKGNRARWIGAGCILISIANFMIASSNFLFPVEQANVTTHISSSLAHQIDRNLVNGDFNFTEHQWLEELHPLIDPKNEVVMNYEGDEKTDLLQKYVEYCYYNNGSSICERLEKHISEKFPITEAKMSNVRAMVALPYGFCHSMLNLVRQEHYACKSGHSTMGPFMMIFGGLLVLGVGRTMPFSLGLPLMDDNVKKQNLPLYFSFMFFVKILGPVIGLLVGGQLNKLYVDFNPPQGLTPLDPMWIGCWWLGFLIFGTLLFGPSLVLYCFPSDDLDINAPDEKDEDGNVIPKKRLNLVDRHIKKDEQGNALMPETVMDKVNDFKETITTLVKNKIFVGAMFGRIIDVLAFKGYFVFQAKYLELQFGVPQYRIQRYIATTGIVGFACGVMLGSFSMKFFKLQGRKAAAWVAVCSLIAALVSFANGTVGCKSVIGQIGDQIKVNGPVFDGCRDDCMCDNTPLYPVCDVDGNAHYSPCHAGCAMGWKNYSIFDMTKASVDTLIFENCACVENRVKEVSRSYCKTEVCEERFGRFFMHQAFGAVFGGLGVVPGMLIVLRAVPPEHRSVSLGFNGFLVSLLATLPSPIIWGKIIDMSCLLWQKSCDSSGSCQVYDTDELRVRLHVIYGCLRIFSLISDVWVWFWASGLKLIEEVTPEDEEKKEEEEKLKPKRKESVKEAAEKVEKTAYELDQLIDQRGS >CRE12836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:145563:147480:1 gene:WBGene00065063 transcript:CRE12836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crp-1 description:CRE-CRP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQS7] MENKLKLVVVGDTFTGKTSLLVAYTKKQFLDNYSTTVFDNWAVSVLIDHKNYAVSLFDTAGQGSYEKIRCLSYPHANVFLVCFSMTDKKTLESCRTLWIPEIRKYAGDNVPIMLVGTKNDLVDNADLHNVVTEDYAKKVAHEIGCHKFFSCSALTHKGLKRVFDESFLAAVGVKFEEEQPNPCCIIL >CRE12835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:135724:143366:1 gene:WBGene00065064 transcript:CRE12835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-9 description:CRE-EGL-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MQS6] MNIATLDDTGGTTRINEAAAGPSTSSLFTNLQLDWNTHKMMCKEKRTSGTVPSNLMPHSTPAALAPPPPTVKFNDPALTTSLLLSLQHNPILNQTVSNFQPTFSIVTKSEPESQLFPNPPRITNSSVPFNSEGSAFKPYRSTHVFNSLSSESVSSMCTSHEASLEHMSSASLTVFPTTSTASNDISKLAQVLSLAGDSSNATVTKPSPTADNPEPIVVGKEKIIETDDPDIQIIETEGSTKANMARTRKRPTPSNSADPKINYKDHNKNVVYSTTLQEHQKHLQNRGLALNIHQAMVLRLRYIAEHVIRSLNEFGWAVVDNFLGSDHYKYTAKEIERLYERGLFSPGQLMEGKNKDENHIKDIRSDHIYWYDGCDGRAKDAATVRLLVSMIDSVIQHFKKRIDHDIGGRSRAMLAIYPGNGTRYVKHVDNPVKDGRCITTIYYCNENWNMSTDGGTLRLYPETSMTPMDIDPRADRLVFFWSDRRNPHEVMPVFRHRFAITIWYMDKSERDRALARGKEADAACAAKKENDPSPPNSQLGTPARPRKNPSSHDLSKLDLRLFPSTSSDPTLVSAASDDRGEHYFRIFCQCYEHVRLLCVSELIVVLSVDNEFQSTSSLAHPESTDSGVSLSTFHEPHNHHLERTSSLQSISDHFRSERSNERRSSGSSDQDLDDGLPPPPQNNPEYII >CRE12834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:129120:131413:1 gene:WBGene00065065 transcript:CRE12834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chw-1 description:CRE-CHW-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQS5] MAKEEDEKCLKCIFVGNAAVGKTSMIVSYTTNAYPHNYVPTAFDNFSVVVLVDKKPIRLQLHDTAGQSSFDTLRPLCYTDADVFVIVYSVVDLQSFEDVSHHWYPEVTKRNPGTKLILVGTQADQRWQVRGDTVTQLRGKTLADRIGAEFFECSALTQHNLKQMFDAAILAGLEGKKSREKKSTFYTKSSKIKERVAKFITRTRNLI >CRE12887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:125858:126256:-1 gene:WBGene00065066 transcript:CRE12887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12887 MTSAPSTAVENAENTLREVPISPLFPTPFTFFPDKISSENSRSLQKAPTMCKDKHSIGFCRRFKAAGMGKYSCSDAEFAVRVCRHSCGYCNDELYSMKNAPSMCSDANKSIPIVNGTHLYWAFGREDKKKTI >CRE12886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:121109:124156:-1 gene:WBGene00065067 transcript:CRE12886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12886 MLPLSLLLILLFGCTAVTSQQLLSNPRCNHWPDRGTCELAFHVKWYYDRYDHRCRRFFYGGCEGNENRFDTLEECSSQCHYQEPTNRDRCFQPHDPGHCHADIERWFFDQDKKQCVCSWWSGCGGNSNIYYSYNHCMLICGEYAEHGPGIDEKYWGRQMNSSMSAESRLIFNNPTAYYNHHSEEPYPVQVPIDNSFYDNHPRHLPYSDDWNNNLLTINISHSDDGPSYFHAAPVVTVPLLSRAAQSFKTQADGLTIHRYDSEPRPMQVIDQNTNWQIQEQQPVQQNGYIKRKFKMMKKKIPPRMIHLVTRPSSPSNAYRIQLDGDSTDSNRPVTYQVVREQDAHVQQHNQISQSLQDEAEETQRRIQETLRRNYYEQARYQRPPVQMPPPPPPPTHQANNENYHPELVNQFRSHLEEHEKALRRKLEAQFPDHIITLIPHIETVRHADGKNIVRQRIQWTAHPKGARVPELAPIPPVAQLPPVFQPTSPVFYQPTSQPPTFAPVPEETEEPTMSPHEMARLKHEEMQRKYKEEIEKRQEEQKRQQEEHKKKIQELKALQQKERERIMAEREKAENERRLQYENEMRQRAEEYKAKMRMTTTPTPTTTIETTTEYLPYPTVPIREVVYDADVRRPIPTSKSLAEPIEHVRPIIENVTPASLSLEDIIAMDNQRSDYEDEYDVPMDFPDTEAPPLTTRAPVLRYTPAPPPPPPQVPQPRAPVNGGMSPIVLPPTSGKKSKLFAPPSSEEYTQEVDFDPYVDFVK >CRE12833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:112711:116287:1 gene:WBGene00065068 transcript:CRE12833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pap-1 description:CRE-PAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQS1] MSAQDKTPLLGVSQPISLAHPDAKDILLTEQLVETLKKCNSYEPKAETEKRMEVLRSLNRLVKEWVKNVTAIKIPSGEGANAGGKLFTFGSYRLGVHSSGADIDTLAVVPRHIDRTDFFTSFKEMLSQDPNVTELHAVEEAFVPVMKLKYSGVELDILFARLALKEVPDTQELSDDSLLKNLDQESVRSLNGCRVAEQLLKLVPRQNNYCVALRAIKLWAKNHGIYSNSMGFFGGISWAILIARACQLYPNASPSRLVHRMFFIFATWTWPHPVLLNELNSERTDVGALIDLVWDPRRKSTDRFHLMPIITPAFPQQNSTHNVTRSTMQVIRTEICEGLEICRDISEGKCGWDALFKEVNFFSRYKHYIALLMAAPNEEEELNYGGFLESRIRLLVQSLERNQDIVIAHNDPNKHKPSPNAKFDVSPENKRITLWFIGLEFVEHTKSLDLTSEIHRFKTNVELQASGVKGIGPNCTVEIDMFYVKRNKLFQIISNADLIRGRHWKKPLVPPIPLNQLGKSNITRTSSTSSVPTTPTTLNPPSIALSASTSELESTTTTPNNGTPVSRKRIIQESTTTEVMTSSDGVPPEKQIRESSLEESSVVEMFVQVVEQSVVQEMVSTTNDFEHSEQKVQAEPQSV >CRE12884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:108047:110598:-1 gene:WBGene00065069 transcript:CRE12884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snf-10 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3MQS0] MSSSLSQNKETRTKSDEVKRSNSRSDDTKSTSTEEIIPIKKTSNATTISNIGFRSMTNLALENMGLDTRMEGPTWTSKWEAITATLAFITCSGNFWFFPFLCGYYGGWFPYQFTFCFVFIAVPLLYMETALGQYASASPLSVFSRMAPAMAGLSAGMCFIMVFRTISLSVWAIYDLTITAHATRSIWEEPVWMSCPMTESAGDYCVDYRLANECTWVNPGFSVKCDRYQEVLIATRGFQQRKSPFMSFVHGLMFKRSITVNDWAPPSNTSIISAVVLWIIVGVISIGGSKVLGRTGIIALTLLSVGSLMLLGLGMSLGESRDVFVAFFYQKDSYEDKWMWVWSWADAAAHALRALNVGCGGIQKFASLNNFHNKIQRDVLIISGISYIFYMCTGLFSFMYMAAVGRFYYPDLEASERIQLYATPVMIESVISEMLTNCHFGKFWVFFFWLTLAACSIQGISSYIWVISSMLIERMNGSRRKYGKPLASWHKRAIILAIMCLTGLVSSLPYLGNGGINLMSSITTFASYGTIFIAFVEIITVSYLYGFKRFSVNIRAMIGGRGPPNMFWWLNWLVISPILLIITFGCIVATFGQKKAFNEISIVTDPIGYTLLIMPFIFVVFYFLRDECDRRRKMEPFVVMIRATGDWGPMNLEDRRMAVKYERQLRVRY >CRE12832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:106288:107891:1 gene:WBGene00065070 transcript:CRE12832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-22 description:CRE-SET-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MQR9] MARKRYLPTPSYDNGDSDDQEGGKNDRFNHSVTESPSDITNMYGTHLLTKDDFSEQVLKNIAVDNDILKTLCVTRVSHRIRRLLRHFGNTAGAEEIIFLANQKCRENPCTQILPLPKFSASFPNTFKQGLFATRAIREGEFVLAPVPVLLTSPEECGRDVMGVSLPNIILYGGLHSDFQQYEIIAPPESRKRKRNTESFMYRRKIRALEAKYGSDLPEPQQKYCSDDEENQTKTAYDKYDICIDVTNSSDEMKAIRRNCLPNCAVRYVILHQRMEVFITAQSNIQAHEEVHNFTNFRLKNSQTFS >CRE12883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:96051:100633:-1 gene:WBGene00065071 transcript:CRE12883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12883 MSFTLSRDNYAFDDPCRKNTQNSSCSCEEASSCEHKERNSSHSDVDMSKPFFNPAGLNWFVTGLFVVGDLAGGGIVALPTAIIQAEFWTGLIVCIILIAVVTYTAYVLGLSWNILLSTWPEYRHHCRKPYPEIGGRAMGPLCKLLVSICIDVTQFGISVVYLLLASKNIQNMIIAFSGGNLSFCILVLIVAACLLPLCFLKSPQDFWWAVVIAMMTTSAAVILIIVGSIIDYDSCHSIAKLPKFKITNLFLSMGTLLFSVGGHSAFPTIQHDMKQPREFTKSVILAFTIMAFMYIPVCIMGYLVYGDSLRDSIIPSIQTVWIQQAINILITIHCILTLTIVFNPLMQEVEDVFHVPQKFGIKRVFVRTGIMIAVVFVAESVPTFGPLLDLVGGSTLTLTSVILPCLFYIYLNAYKRKEEITGKPGTEPASWRDVVMYNEKPTLYICIAIIIIGIIGGGCATFSAIVELTTTEFNLPCYVSVFKTRPPLEEAQTNCCGPLQNVTHIMNGTCSKPDLGFYS >CRE12881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:91367:92957:-1 gene:WBGene00065072 transcript:CRE12881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apc-10 description:CRE-APC-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MQR6] MPRLQFVDVESTSSRGWVTAFEEPNLYEDITNRANFALSSVAHCGGVDQLLHDSSELAWRTNMSPPHQAVLTFQGKTDVSYIMLYLDFVKDESYCPQEVRIDLGWGTNDWWHQTNRRVNQPQGWVKIRLLDKRDLPRRVMALRMTVVKNHEKGRDCVIRHFRVIGPKFHHHDAMNRLMLGNTTMLGAVPAEEKDRSRVLNNHLTIR >CRE12878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:84902:86514:-1 gene:WBGene00065075 transcript:CRE12878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12878 MTFIALFAALPILISALHNHPLPEERRACTTQEITYIPDEYDVYEKQIELSLDSGMSLNRHPVTRILAEECGLRDKVFPTLSRRDKRSPIARGIFRLSKYFLHFFQKSKLFLKPELLKGIAKVVEKLNHVKTSIGKVKYAGIGNFFMKMWEDVEELRAEEKDAHMDVILEQTLRTSLQLLINHGIISDMLLHHPIILEEIKGLLLVDNEKLLSEVTATNVMCHQSHRDSQVVIYLKLKIPKVARITIEKCDDIGKMVDGTYQYYTLPKATFKKNGHIFKVDIERCFFEHFTYCPTQAIRPTDCSKEKLQRCELRMEQKSDFDRELQNGFAVYGDFTQILTEKDSLQSRYLVKPRVLYHIVPKFDETLFIGGKELKQMTQSKETIIRPIKP >CRE12874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:69831:73220:-1 gene:WBGene00065076 transcript:CRE12874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12874 MIRSRILYSYSHYISYYSKILSICVICFLTYYGFLRSETDFSKHPHFIYLQKLQENQQNSECVIPEVDPWDPSILSYFSKPSPLVCSPVQEKVVKSFKNGVITFDADIIKNAKCYKVVVSHDENVTDLDVKYDPEIPIDLSKSFSIEIPEEFFQISCESENMLHTEIFKYNYAQVIPKKTNLKNKTIENSSLDFPSVIMIGLDSMSRSNFIRQMPKTYKYMQDSGFIDMKGHMKIHDNTYGNILAILTGKRGVSVQEFPAEMNESWHIAFDDFDFVWKTFSENGYATLFAEDRPDIGTFTYKNLLNGFLQKPTDHYLRPFWISAFWSLISRRSAPSCYDNQPQHKIQLEYLEKTKSVVYTVLFDRFLEKYDKKRKFAFWWSQDMSHGFLNLIGRTDDDFEGFFKKNEKRLDDSIVIVFSDHGHRYDKIRETVIGRIESRMPFHSIKIPDGVRKKYPHIVENLLANSKLMTTQFDVNDSLRKVAIGKIGEKLSQTNQKRSYSYFDPFPKRTGCFEAGIPSDFCPCFSEIEIPKTEAKEAADELMQIVNDLLENTEQTEEYEMDSKELKEYMCTPMEIDNIEYSSVRLPMLSVVKDVKSEDAPLQSFSLQYHIVVRAKPPSYALLESTLEHNLKTDTWSSLGEIERNNKYGNTSFCVNDRILKKICHCISRKTDPVTAIL >CRE12872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:67103:68533:-1 gene:WBGene00065077 transcript:CRE12872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12872 MVSYQLLNNDFSTNTEKFKEFYRYRKSVFYYSAIIIVLIFLSINFLSYNPEDEELSSSSEHNYGEHPRNIIAEQESGQKLPFTTTPSPPLIPYKYDELPPCDLTTDSPKPLHNAEAIVNEFFKCASMILLRFSMNPQGMLFNWPYTIYVCDEEDLTSGIPLRSFDNGQKQYWAVLPNCQEKTTLVTLGANENTKSEEELKDLLKDFATIGTDPFHERINAYEKFNQVALSTDNNKLLMTDSNDKYADKNYVATINQHTFFNETVGVKKIDMLWINPNAGNFEYEKYLNKDGEFEKMGVKVCQVNIEITKNDAEKWSKLITPLVQEKRFIFMRPMATEGGELTRTYLLNVADPECVRKYLQ >CRE12871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:64719:66168:-1 gene:WBGene00065078 transcript:CRE12871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12871 MDRSYQKSSNLLCLSVFYVVSLFAITFMMIHFIQYNSEKTAPKMNDVVVVAVQDEDPVKNPHPPYDYNSLLPCNLTKEIKNAKLNLKTVQRSFSKCVFPLITRFFGNPAGLLFNFSTVLSVCDDEEAIRDIEVREFALNSWIVLPKCKENNTLLTLGVAKDTNSDEWVKKSIPNLKMYGASGLIENSTVYENFQNFALGPGGDSMELEVMEDGILQNKTMPLKSFEEYMTELKLTKIDALWINPYYGKFSFWDYIERDGWLAKHNITICQMTIEVPKGHGNQWIEMIKSMETNSDFVFMRPTTTKTGGARAFFINYRDPQCTRKYLE >CRE12831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:62167:64663:1 gene:WBGene00065079 transcript:CRE12831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-2 description:CRE-UGT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MQQ5] MRLFLFLSTLFALYLNVLAHNYLVVSPVFGYSHIKFMNKVADTLADAGHNVTLLQPYTYEHWGTIRLAKNKNIEIVDYHNKDAPNHEQSASVFAFFWDSEVVNNPITGAIAPMFILYNEFKPMCDKILTDKELHKWILSKKFDGYVAEAFDFCSLFLGDHLKLNLLPMFSTIKNVPGSHAIGEPSLLNYSPSLHTNYGPEQTVFDRIQDITAFTSFHYAFSNLYERQYNLAYSLLDGDVRPWREILQTATFFFYNNNPYIGFPMPTLPKSVEIGGFTIDPPKNVKLDEDFDKILNLRKSTVLISFGTVVQAADMPDRFKDGLVKMFTNLPETTFIFKYEVEDDAFSKRLSENVILKKWVPQPALLADPRLNVFITHGGLGSTLEVAYAGKPSVMIPIFGDQMLNAKMLSRHGGAVSYDKYELGDSEKLTKTVQEVISNPKYNENALLLADILHNQPIEPKDNLLKHAEFAARFGRVHALEPYNVHYNFIKYYMLDAYTILIFCLFVFLYLIHLVVKFIYKRLCRSKSKTD >CRE12830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:59370:61505:1 gene:WBGene00065080 transcript:CRE12830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-1 description:CRE-UGT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQQ4] MSRLLFLQRSMRVLFLSLVLFELTDAHNFLLVSPVFGYSHLKFMSKVGDTLANAGHNVTILQIYNYEHFGKIRMTKNKNIELVDYHDERNAEINENSASAFKFMWDTEIINNPITGALATSSKSSWIKNYTNGFCQRISMGLSLKLLTFVVYILIISIRKINNTCSLSYHHVPAMRTKFGKEQTVTDRVGDIIALPCLEMAFSKLFDKQYNQATNLLKGDVRHWKEILQTATFYFSNGNDYISFPTPFVPKHIRIGGFTIDPPKNLKLDDDYEKILGLRKSTVLISFGTVIQSADMPDSFKDGIIKMFHNLPDITFIWKYEVDDESLSKRLSKNAILRKWVPQPALLGKSLHFRLSDPRLKLFITHGGLGSTLEVAYSGKPALMIPVFGDQLLNAKMLSRHGGAQVYDKYDLADGEKLTEAIREVIENDDFNKNSNLIADLLKNQPIDPKANLLRHVEFSAKFGRISALEPYNVHYNFIQYYMLDAYAVLVLIVLVIVYFVHIMVRFIYGQVFRSKSKKD >CRE12870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:57642:58772:-1 gene:WBGene00065081 transcript:CRE12870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-151 description:CRE-COL-151 protein [Source:UniProtKB/TrEMBL;Acc:E3MQQ3] MKHINILLSAIVLIFIFILAILISAVLLFDEICDFEHIAYRDLRTFQVLSKYSEDAWNLITSSTRDKRAVYRIKLPSRNSYGQPRYVTIPPMNSYAVPEGGGSSELLIENIKFCSKLSVPVTCPSGPPGRPGHSGHPGEDGSSGHPGSPGGVGISLSMKLPYNGCIQCPMGAQGEPGRPGPMGHPGDIGGTGIDGIPGGRGPPGYPGMPGDNGLDGARGHDGASGQPGRPGTRSFGLPGPAGSPGRPGKAGPPGPDGGYPISGEPGSPGSQGRAGLPGSPGVDGHPGRLGGTGGPGPDSGYCKCPGRGKNEEFSNYSTKTTAKSQTKPAESGTTTEWRRSRKIRVSA >CRE12829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:50780:55883:1 gene:WBGene00065082 transcript:CRE12829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12829 MEDVDLGKDRTQLIDFVYANGNGSASNLNHKNESNISLSEKAVKQPLQTQNQSTPPPKPQKQKPAPKPKKKIACAPGSAICLFLLAVAAIIFAAFLGHYLTKQKYEMMQFKSSNESQVICKNYTRPHKNKHRPIVNEEDLDENDAIKQPTKEELALPKHVQPIWYDVSLSPKVGGNGTMGLALVKLNISEPTNKIVLNAKGIEFTKNLEKIQLSREAPKRAKKSAADDVEATTPAVVSANAMNATVEIAEGSGMSAEEGSGQNVVLTTTTTEVTPLSNLVDTGIKIINIEFDEDLEKVTLTLDQELKKGSDVVLKIPFTSKVTNDNGLKEYKYKTADGKEKSMFTTQPSYAYMRHIFPSFDQEAFKAPAALTLMHSKGSVVVANTEVKTKDDGDAQTSTLKKVLDPDFVIGDLVPSEVNTTSGITVRIWTRPEVQHSTELSLDYTNQAIDAMEHILQSRLESKSLDIVAVPGFQHGNRVSPSFIVLPEKDVLYNENSNDINQKTRLARTISNRVAAQWFGGITNPEEFGTFWLNDALPKFLEVEALERILNVKSDDLWTYELEKILERDATATSQPLRVKNVFSAADIAAIDHEFIGKKGAAVLRMIQKAVGESVFNKAIRSFVSSYRSAYPYDDGLWKSFQKALGGKLKGWNNEPLDVAKFVNTWVDQIGFPLVSVDKLDDENAELSQERFKNDHKTKEQFKFRNAKYWFNWEVPLFLKGSGAVGNVSWLHEAFRIPLNTSDSIYLNTDSNGVYRVNYEEKRWNDIAKHLEKSHGKLSERTRARLISDVFALANSGALPFETALNVTSYLPMETGTVPWLIATRIFKKLTDRLEGAPIQDKLNNFIYQKIHKKFEEVNSSPSESNSNYLKSLLYSNLIDLMAIVKPKKSNEKLNELFAEGFLAPCQDSGNFSSDCSEYVFFVLIPLINSFHFFFFRVPGELREKVYCNGVEFGNDTVFETVKELAEKEVDGVEKELLHNSLACFRDPRTLRRLILENLNSTSDVTQLLRKMNSRPVGREIATNWIIDNWSTVLKKKFKNDPETLNQIADAGIVLDNEREKSMVETFMEHHHKSTNGIESLDKKIEEATTDIYWRKQKIAELNDYLDGKMKGPAKDEEADNSEEQE >CRE12828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:46925:48495:1 gene:WBGene00065083 transcript:CRE12828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-10 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MQQ1] MSWYSKIYVAVREYRAKHRNGGWILTRCLNILLLTQLFFLWMTLYFYINVTVGYYVQSPVQATIYLIICSFLFVMSMWSLAKTLFTRVGRVPERYRPSKELEDRLKAVTPFENNRYVVEKSTAEQLKQQNIILEEMCTFCKVVVAECDQVGRLKYCYECGHLKPDRTRHCSSCGKCSIKYDHHCPWINMCVTHANYKYFLLYVIYTSLLVYWYLLTSLEGAVRYFIIQKWKEDLWKILYYLFSFVAGGVFGYYPLGELLIFHYQLIALNETTVEQTKPAVLRFDNAADYNMGKWNNFRSVFGWGLWMWPIESNEQDGLHFDIRYVNTQQRNRFVRVEEEQSSTPPSCESSTS >CRE12827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:45012:46409:1 gene:WBGene00065084 transcript:CRE12827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abu-1 description:CRE-ABU-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQQ0] MRFIAIAALLASSLLLVEGTAIRDKRQSCGCAPRVQPSCSCQRTTYTQPQQFSCSCQNSAPIQKSCSCAKPVKQQTYQVQTSQCAPACQQSCQQQCQAAPAVSQCQSSCQSSCQTSSCSAPVITTTQAYCQPSCMPACEPQCVAQTSAPVQCMPQCQQQCQQQCVQTQPIQQCQPQCQQQCVQQCDMPTTTVAPQIIKINLEISAQCVPQCQQSCQQQCCQTTCQQAVPQCQQQCAPQCQQPAAPQCQQCQSTCQQSAPVVQQQCQQQCQPQCQQPAAPQCQQCQSSCQQTQQCQQQCQPQCQQPAAPQCQQCQSSCQQTQQCQQQCQPQCQQPAAPQCQQCQSACQAPVMTTTSAPQVVTIILEASVSQSAQCEPQCQQSCQQQCVQQQQPVQQCAPACTQSCSQSCASAQPAQMPCQTQTVNSCSCQQNYSPCGNGQCCKRK >CRE12825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:31715:33694:1 gene:WBGene00065085 transcript:CRE12825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-49 description:CRE-UGT-49 protein [Source:UniProtKB/TrEMBL;Acc:E3MQP7] MTSLNIHKSSIPANFLVMTSLWFLLLPLLAVDAGKVLVYSPSISRSHLISNGRIADALVDAGHDVVMFIPEYELLTEFTGTKKAKVITMKGFSTKYADEMEGLGVTMFETNRLGFWERAMFEKSITAMCDDLMLNRKELEPLRDYKFDVAFSEQIDLCGVGVIRYLGIQNHLWISTTPIMDAISYNLGVPAPASYVPTIEENDNGDKMDFWQRTFNLYMKIGSIAVHRYGTDGTTEVFRKYDPDFPNVRDIAANSSLCFVNSDEVLDLPRPTITKTIYIGGLGVPKESKPLDEKFSKIMSKGKDGVVVVSLGSIVPFGDLPLASKQGALKAMSEMSNYHFLIKIAKNDENTKTLVKGMKNVDLVEWLPQVDLLAHPRLKLFVMHGGINGLVETALRAVPTVIVPIFADQFRNGRMVEKRGIGKVLLKLEIGYDSFKEAVSTVLNTPSYKQNAIRIAKMMRDKPFSPEERLTKWTSFAIDHGVLEELHVEGSRLNTIVYYNLDVFAFLIFVFVAILHVFIYTFKFLCCRNRSQRKSVKTSKKNN >CRE12824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:27457:29062:1 gene:WBGene00065086 transcript:CRE12824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-20 description:CRE-SRI-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MQP6] MIMFTEEQLMSFTYIVDFGVPDWFKLYYHVISVVSTIISSFSMYVILFQSGKMDGYRYYLFYMQFAGWMMDLHLSTLMQFIPLFPVFGGYCTGVLTQIFGIDDSFQTTYTAFTICLVASALNSCFVRKHQAISKISSKYLLNDIPYIIVIFLLNFYPVVAATLLYLSMLTKENQVILVKEVYPNLVDNFAHLPNYVVFDSNFWAIVFFAFIFFGCTYTLILIVTTTYQMFNILEDNRKHISTSNYAKHRATLRSLLAQFATCFLIVGPASIFSFLVVIRYEHSQVATHWTIVALTLHSSANAIVMIITYPPYRNFVMLWKQNRSFHFSTVHRSSEMHTRMNAERSFAITVTS >CRE12823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:21570:24392:1 gene:WBGene00065087 transcript:CRE12823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cash-1 description:CRE-CASH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQP5] MEDASQLPNTQNDKTEEETTRPQYTMSGILHFIQHEWTKNELDRTRWEAERAEMQARIAFLQGERKGQENLKQDLVRRIKMLEFCLKQERAKNYRLTHNGEEPPSLEESPNENSAPNDNTHLSADLDAYINDAGEAGGSFRQGRLLLKRYLEEIGYSEHIMDVRSFRVKNLLGLLPQTDLPSSERLNGRKEKSDSDSDDGHDDAALDADASKAFEEFDFLNSVEAKEKGSDGDDWAGKGASFEKLIKQYKEDPKVKRRSRTSTEESDEKLNSDVHKNLETDVPPGIRSAIEASAKEDLPVRRQGRRSANYAYGNGNELDLALGMQENENMDIKDEFKDDDDDPNVQPVKWNIKVTLRSHLDSIHAMQFHPVEPVLFTASEDGLIKLWNLDQKKEDKHNSGGGTELEPVYTFRGHKGPVLCLVLSPTGDHLYTGGQDGNICCFNVPSSNGDPFDSYDPRVLSETLSGHTDAIWSVAYHSSNSRLVSASSDSTIRLWEPGNGEPLIRTIGAPRTGVIPTSVDFVSTETSHLLAAYTHCYAQIIDIDTGSTVMVFDFGEVAGSPTMNKIVSHPTMPHTIIGGEDRTIRYFDNTTGKITHESVAHVEGVSSLAIDPNGLYLLSGSHDGSIRMWNIEKKTCLQEISAHRKKNDAAVTSVAFHPSRSLIGSAGADSLAKVYVSGNN >CRE12822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:18656:20527:1 gene:WBGene00065088 transcript:CRE12822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttll-15 description:CRE-TTLL-15 protein [Source:UniProtKB/TrEMBL;Acc:E3MQP4] MGPWDSKIWIGVLVFAVLTIDFNFEGRIGSFFDFRFRTSHFTKSSPFIVKDEENIQKSTSEDTRPVAIVTGSYDNVRFNEALFRKLHFQAHTGHMTHIREMFEHTGYRIVTKNELSLDTKWDVMWHHEYSFTQEPFKTLIKNAFPNQIVNHVPGSGYYTSKVQLATSDLAIGVPKAFQLPQEKDQLLEYAEKNPDVLWVQKDNTHRNIKIRTIGGMDLGKNNSFVQKFVDNPLLIDNRKFDIGIYTVVTSLLPLRVYIYDGDVLIRFCPEDYHPFDIENVDKYVVGDDYTPIWEIKSLEKYFNTQMMSFRSTIDSFVGVQGKDPSVIWQQIRNIIGEVFRTQQTKMLLNLESLKLKPKYFELSRFDFVVDEELKVFLMEANMSPNLSSGHFKQNQILYEKVLMNIFSLIGISKQFTTEAENQFSSRTPDQNPLVNSRDVNLPLKFCVENKCESCDEAPECQLCGHCMSKETRKILEHTYIEHTNRRQMRRIEFDYENHRPLTKEDHLLALWLRTKCQLDHSWC >CRE12868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:15927:18024:-1 gene:WBGene00065089 transcript:CRE12868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceeh-2 description:CRE-CEEH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MQP3] MSLVFNYIGVSLSLSDLPRLFLSHTTDNPSVFFIYQFFYFLMGVFRDLLTVVYVTWRQYLYTSGALLTLTWKWMTKGKDYFVEYVYPEPECLKNWNHKYVQLKDIRMHYVEEGPVDGEVLLMVHGFPEFWYSWRFQLEYFKRTHRCIAIDMRGYNTTDRPSGISNYNMSLLIDDIRQFIETLGLKKVTLAAHDWGAMICWRVAMLHENLIDRLIICNVPHPIAFYEAYKISKEQREKSWYIYLFQSQYIPEIAMRSNKMRMLEAMFRGNKAGIRNSQNFTDEDMLAWKHVFSQPGGTTGPLNYYRDLFNAPSIPRKLVVVQPKVLILWGDEDEFLDKKGAELSVKFCRNGRVQKIRGASHWVQQDQPELVNAYMEQFMKEDNYRVVENLNEFKSHL >CRE12867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:13218:15294:-1 gene:WBGene00065090 transcript:CRE12867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12867 MASYKQNLPPSALHRQQGSLADKKSKAEVQRDRQLEEDRKAGTAPAMVDVQTGRDINPHIPMFISQNPWYVPSEGPTLKHQRPHEERQKKMTQIHEWYQKGTTGKSATKFRKGACENCGAMGHQKRDCFDRPRKSNAKETNDNIAEDDYVQPKLALDFDAKRDRWNGYDPATHKQVIEDYEHLEEARKIVKEHEMKEGEVEPTVEEGAPKDEDMYAEDADMAGVSVDMDSRTRITVRNLRIREDTAKYLYNLAENSPYYDPKSRSMRENPFAGVAGKELEAARFSGDNFVRYSGEVTAANEAQVFAWQATRGGVYAHSIAEPTKLEALKKEYEKGKSTLKSETQKELLDKYGGGEHMERPADELLLAQTESYIEYNRKGKVIKGKERAAISSRFKEDIYPQNHTSVFGSFWREGNWGYACCHQFVRNSYCTGKQGIEAESSAAKGTTTSNEAVFKVPKMVESEEVKEEEEVVKEEIKEEKSDDEEEKEEDEETEKRSPTPSSDDEEKQKEREKERQLEKERREREQRRRDKNREKRGRKKAKLGKRKRRHRGDSDDDSNSSSGSSDSDSDSDEEMRKALKKAKREKAEGLKAAKEGDRGRKYNTDYSNTAPTEKEMEAYRMTSVHSADPMAAYMNSKFEKKHTK >CRE12866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:10467:12774:-1 gene:WBGene00065091 transcript:CRE12866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12866 MSEVPHFVLYEHAAGYALMKVKEFEDAGLIVQEVDAAHADGYKFSQIIELASFDPFKNTEAALENCNSISEGLAHPDLTNFLQKSLPKKKKHVVLGINDSKLAGSLTEAFPDLKLVFGGVITEILRGTRVHFERLAKNLPHHSLSKAQLSLGHSYSRSKVKFDVHRVDNMVIQSIALLDQLDKDINLFGMRIREWYSYHYPELFRLAPDQYKYARLAVAILDRNKMSENENLENEILEILDNDTEKTAQIIEAARTSMGMDISDLDLENIKRFAARVSSLMEYRGQLHEYIKDRMDHCAPSLSALIGEQVGARLISHAGSLTNLAKYPASTVQILGAEKALFRALKTRSNTPKYGLLFHSSFIGKAGTKNKGRVSRYLANKCSIAARVDCFSDTPVSTYGEFLRQQVEDRLEYFTSGTVPKKNIDVMKEAEEAAVEVKEKVVKKKKKAAKKAKRLAEEAAGATGAEAEVDEDAPKPKKKKKSKAGDE >CRE12865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:7036:7600:-1 gene:WBGene00065092 transcript:CRE12865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12865 MGMKNMSLSSFLQLTTFFYEFFREVIALRRQLINQYWEEDAEVAAAPLQMHDQRFFVPILSDKRSIDQKIRSLKERTANGQFKGILFGRKK >CRE17285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:5982:6989:1 gene:WBGene00065093 transcript:CRE17285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dao-2 description:CRE-DAO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MRW8] MHRFLIVLALPLLAFAATPNEKLKQCCATLKDADKECVDRFCDFNAISQANILNFMSTCGERGPTVGQMWDCASLRHNHEKCCIDKGVSGDCLKYCTAHKGAPSDYLNYAFCTENFNEIRDCFHEHLEKNEPFKKL >CRE17286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:28215:55387:1 gene:WBGene00065095 transcript:CRE17286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vab-1 description:Receptor protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MRW9] MCSKYVDFYQFFLSIPEVLFDLSKQPSDLKWDQVSLRHDRDDVWMEETWRNPAATDEKHANQRAYVTCNYDMINPSNWLFSHFIEVQSARRVYIELLFNTRDCDAYLNPKSCKETFSVYLKQFKTSRPGATKIEKDRFEKDIDNWKNIGRLARSNSNMTTETIGMEIEADTKMIRIAFEEQGICLSLLNVKIYYRICDEFTDQLVHFRPQVTGPKETDMVRMNGTCIPNASRKITGVDLIGLCMSTGSGIKTSGECVCDSGYSQIADSNGARCESCPPNTYKPKGQSLCKPCPVNSISGEAASSCRCVNGYFRAEDELVSQPCSQPPSRPIKLVATAITASSARLSWNEPSSLGGRPEIWYEVRCSSSARGECGSVVISPADKRLSTRSVQINGLRPASDYTFLVFARNKVSSEIGGGAEKSAVIDLRTRSEEEDVPPVSHLRVDASQSDGVTIAWSTADSDVTDFEIEVRPAIVKPRAFETRHVNMTYSTFIGLRHDTVYQFRVRVKDDLRWSQSISYQVGRGLVSSAPSEELGESQFLNQTGSALLIIIALILVVIAVALCMIVVQKKSKNRKQMSDLDVLDTYKQDSMTPDYHTTSRHHHQHGNVPANLHEQLRSTTKLNAPLIPSFGSPISQPPPYYGGVHSGTSGKYKTYVDPTTYEDPYQALIEFTFDISPNDVFITQVIGGGEFGDVCLGGLSRNSPAAAKWGATVPRGGGGASEQYETVAIKTLKSGSSAKAKAEFLTEATIMGQFSHPNVIRLIGVVTSSEPVMIVAEYMANGSLDQFLRSADQRGEKVAWEKITEMLYGIASGMKYLTDMGYVHRDLAARNVLLDQELRCKIADFGLSRGVRSEGTSIEPEYTTNGGKIPVRWTAPEAITHRKFTPSSDVWSFGVVIWEVCSFGERPYWDWTNQKVISEVMIGYRLPPPMDCPMGLYRVAQWCWKMERHERPTFTQLLATFHKYILQPALIEQDLGELPRRVMSQATLGYGSVVPTPPSSAAPMPSLDDFLRQIGLNHVYGKLVSNNIHSVSDLAATSHLDLLACGLISPECSIVRDGLNGRISSGTTPPSSSSGSNGTIHATTRGTRTTRPREEGFFV >CRE17323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:58309:77538:-1 gene:WBGene00065096 transcript:CRE17323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ipla-1 description:CRE-IPLA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MRX0] MSLFACWFDIISATFHELFRSSEVAPVEQGYEVLENPDPAAKYTMAQTKDGDLSRPSGLPSNGNPGSSAHVIPPASKSGPVAGSSSKAAGTPVPPQRNRRRKVTELKCSEVRWFYQEPKGASWTPFNGRDSIMLEIKYRKEKRIELDETIQTIYDESLSHYKMDAADESEPENGGAEGSHQQQEEKPMVVVLNGQYRVNKENTRIDPIYWKEDSKEIRRGTWFTPDYQPLEMPLSDSIEKNHLQCFRNQMIPEGTTVFSKSETSNKPVLAELHVDGYDIRWNSVIDISLHQKGNAILRYLWAKTTPLRRGYHLEGEWHDASAEISHLILVVHGIGQKGYENLIAQNANQVRDGVVAAMEKCYPDEKSRPMFLPVEWRSSLILDNGLTDNITIPKMSSMRASLNSTAMDVMYYQSPLFRTEIVRGVVAQLNRTYKLFKANNPQFNGHVSIFGHSLGSVICYDVLTQYSPLMLYDKYVTKSIDEYLEKNPQETTTADTQGARTALESMKRSREQLREHMEGGIHKLLVTNEEQLNFKVKYLFAVGSPLGVFLTMRGGASTDLLSKTTNVERVFNIFHPYDPVAYRLEPFFTPEYKHIRPIKLFSNADLRGRASYEGLPLDVYKHYLKKLKNQNKAKNKKDAADAKSGGDEDVDEEDECDSDEDARSGCSSPRSMTPPPPPCDITAANKEPKAVVKKGWFSFGSSNNNPKKTQSSASLQSVQATSTEHIEVGKEAAEELPLAERILGSGVRVPHRIDFQLQPALTEKSYWSVLKSHFAYWTNADLALFLANVLYCKPAKPEEAKPTWA >CRE17325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:85796:88356:-1 gene:WBGene00065099 transcript:CRE17325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17325 MLSMLNCRTTIVAARRLVSTTTRCAQSGAPGAGGGKLPTETTNKFTQVEKKKDDEMLETIDIEDLPRPQKRFAKQFEKVNQERVKEIFAKNYKNHISFAVLIGVVVGIYFYTMYSVKQETFLEEIDEEMAATNPKTHGHLAKKL >CRE17287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:92501:94005:1 gene:WBGene00065100 transcript:CRE17287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17287 MSRNVEIKAKVQNHDETVRRAVEISGKQPTILKQHDIFYESPNGRLKMRSVEENGVARTELIWYDRPDVAGPKLSNFNKFDVPAEILDSLKLSLQSSMGLKGEVKKTRTLVLHDQTRIHIDRVDGLGDFMELEVCLKPEETPEHGEKLAHELREMLAIPESDLLTGAYMDMLKK >CRE17326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:95746:98715:-1 gene:WBGene00065101 transcript:CRE17326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17326 MSLVLNQESAEPLEMSIFAQTILRIAMEEGDFISEQTMKELEEMVQPALLPGKYSAASPGRVEITQEQLESVKTVCDVVKGLVDAGSFISDKLGKYLGPIGTVAGVVKDIIDFFKEEEEDPVMKELGELKKQLTALSQKMTAQFDDLKSFIVEQNFYDRYTTVMSTLFMYMLDTMNERSKKSVTLFAEVYNESKPQKLVYEMLSKLEQESTNPLKWAMKGDNLQSKATFKKWKGILEGVLTEALFLEVYASGLLPDVDSYGVNKILEKIARYQELCKEWDAYYLTTPNYWPAGVEKLVNDVQENKSLDSKDDKVDAVWKGIESIHTNSKFYAVCLPNAHIWRYYKQFDSQAIVSDREGFVIIVYRSAGKPVRDREWLKNYMSTEMKLTREVEGWQWNHWSIVRTHLQQNKVDKNGKFFGTFYLVVAQKKDFIAVRYSDIDGWEYGPGFFTFDSQLLAHDGLTRSFFPMFYLLGD >CRE17327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:116933:120275:-1 gene:WBGene00065102 transcript:CRE17327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17327 MSSSSNSNGQLVNALDARAQRYHNRALGQVLPNTHTGKRTHSKNQGTDQENQEPRQKRPTPDASQPGPSNRGTPEAPIVAADFTKAVIIVSADQKFKQEVESDRVQVIERFEAQIPQDCQEEGSWSRQGAEYPGIPSRKLCKVDIEANGVVGEGVVQAAYEIHNTPSQALVLHQGRTCLTMSSAHTAKISSALRDILALKNRFLDTLKRTIGRDLINREKYLNKEILENPDHPFWMYQDLSFFHTMAAGFSIMYMCLKDQIVAPPRFSYTPVNMFTKSAYNVWKNNAANKRFDELKKVSIRGSKKATVCENPSGCVCNQRFAALYDDKATQFLYKADGLLNLKDYNPAVSRLVMECSDACGCSINCPNRQLQRGRNKALVVYHEDELRGFGLRAAEPIKKGELITHYAGVIHTISKEDHKNRQISYDAELTMFSKRLVIASDTVGNVARFLGHACSPSAVFMETYSRKSEMEIVVPQIGIYALKDIKIGEAVSISYWKKDDLREKKGVKQVKCGCKVGCKSWIKV >CRE17328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:133906:134747:-1 gene:WBGene00065103 transcript:CRE17328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17328 MVALLLLFLTLPVAVFTKDPKCPDGFLHFKRTPTAKNNHTKNWCVKVFSYSNTGNRDYARSVCIANHAMLTIPENRAEYEAIGEFVRKNNYSAPHAIDGELSSRCKARYLHKWFDTNTVEGECNIKKNLFMFDDINTDPSYILTKFGNRVPSGGGEMSVAESSPKLIFLYGCMVLTQTHLHGNEKALSFANLEWCGGKAHSKNSVGPESLVDSVVCGKHPE >CRE17329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:135591:136426:-1 gene:WBGene00065104 transcript:CRE17329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-136 description:CRE-CLEC-136 protein [Source:UniProtKB/TrEMBL;Acc:E3MRY1] MVAILLLFLTLPVAVFTKDVKCPEGFLHFKRTPTAKNNHTKNWCMKVSVYENVGNRDNARSVCLDDNATLTIPENREEYEAISAFIRKQNISEPHAIDGQMSQRCKLKIFRHELLRLKINTTAWPGDCNIKKKLFTFDDANTDTTFALTQFANNIPIGYGYNQHGGPKIFWMDECMKMTRTNDTEDRAGIKFVGLSWCMGVDGIDKSNIQFEGRLINSVLCGRHPL >CRE17331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:140771:142583:-1 gene:WBGene00065105 transcript:CRE17331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-139 description:CRE-CLEC-139 protein [Source:UniProtKB/TrEMBL;Acc:E3MRY4] MKHIVIIILVSFLPSILAASNATEKVVKCPKGFKLYERVPTDRNKNTKYWCMQVVENEDVYDRPTARSVCLDYDAVITTVENQKELDDVNALIRKNNKRVAVDGVFNPKCRAFADWRMRKFGGLCAKEKELFVLEDDHTDPAFIFTKWTGEPPTASDKYKEKGDDTEIFTIAECLSLHNLLALGTKGNLKDFLCGEEPDKQVGEVPFFGVLCGCPPV >CRE17293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:146391:146827:1 gene:WBGene00065106 transcript:CRE17293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17293 MKVFALGLDENQKAEQRADEAMKDTKIPIDSVTSGVPLQPSSAIVLAKAPRRKFSGFTCGILSWFIGACCLVLLCLAISEVAYHRQRDQAFLRLKWAELRQRMLGYELLSQQQELDRMALEKQSP >CRE17332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:147965:149722:-1 gene:WBGene00065107 transcript:CRE17332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17332 MVFDGDPKGTYVFPQSAEKLCPELVIEYEKLKQYMLAKRLKNEQVYKEASDNCKKYTRCSASTIARILKPMRDYCERITAESQDDKWKLVILEYKRAQSETQIDCCQELLAEKGKDIDTKSVGVTKSVQNEEQYFEKLHISSSNVEKVTVSSETKCSNDNESENKLIDYRKERKSLCKGQGIAKIVFEKELGYGVDKVSIEAGNAKDMFVMKSNKVLCCEEIKADEIQNSCTEITHEKDENIGVTKRCTIANISDDKLCSGDPGKAQRSAMVTQCEKNEQSVQQKIVSDVIDSNVDLVKDQEEREVQNTVLERKSMQLLKHMLVVENTKHHYNENSVSSTGGSSCEDEAKRKKVDQKAEDTVTCPGPPLNSKSSNLISYHTKHQNFLGNNTESVVEKNCSSDFSIPNPGKPGLKKKGDGAGGVVFQMKTKRRKREEPRPRTDPPSLSMTYVKNEIIAEEFSVIVACEENVTRSHQSTSNQSAWSETKSKRVEVEPRPRKDQPQSILLNWSDTKTGRIKEEPRPRKDPPHSWIMFDDTWRRTKEEHRPRKDPPMSHTQHYGHHGNLFGCRNRRNRLQDTARNLISC >CRE17294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:150623:154042:1 gene:WBGene00065108 transcript:CRE17294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-35 description:CRE-PQN-35 protein [Source:UniProtKB/TrEMBL;Acc:E3MRY7] MVKATQDVESLPLRRSDDPIKPKIVDVIQNDAASASSSEESKPVVFNQYANEQNEKFGFLQALMDKIRKHAHSMGLNGDMQVHVVEVKPLFGGRGQGEKENDIEENASFEQSLADGFGEYAMPHQDFGPINDAYDNDRLTFDRHSMRRPFGPFNRFDGPSQIYDDYSEQQPQEPMMQNQFQWNNGFPQPQFGFQQQPANWWGPARQQAMEVQTNQWNGFPQVPVQQFQQAPQVPQLPPQQAPQQPWYQPYSDNVGQQQQDSQQNQNPWQAQNDDWMIQKHERQNQNSVQIPFQPNPAASNADFNTPMDVISDNAVAPPSTAIAADRDAGRDASEENVISPDQSLESIADGKFLPIRSDDKPIDAADAHLFQIDDPSSFRR >CRE17295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:160345:163606:1 gene:WBGene00065109 transcript:CRE17295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-32 description:CRE-SRA-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MRY8] MEDYGENAFTPIPASMEYIRQLRTATMFRVYVVYIDVLSLVALVLSVFTIRQLNTKQIFSKSITHLLIASLIYGNFHNLSYTIIETWSLYRSFAYSNDMSKIMFTSEECFFQHVINSCVRFLFIAIELALNFDRIIVILFRKYSHCYPKIRGEILNVLAFVLSFALGWILHLEGPHPGITTTSCFRETDITINLCSTNLTSYTILAACCAVLDFCMMWYTWNDRKKACYDLKSKYLRVEQHYSLLAVSLNSLLQLFVTFIYTISMYVLQDMSTSDPEMGNANLLRWFYTTPYSTLLVPIQISSFIQWIGNRRKRRINVVTRESVTQDSYFNKLSQSWK >CRE17296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:166814:170313:1 gene:WBGene00065110 transcript:CRE17296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-35 description:CRE-SRA-35 protein [Source:UniProtKB/TrEMBL;Acc:E3MRY9] MLESERNASNLSDLILYSRNSFLYRGNALFQIATCVLTVLFSVKAFQLLSRRSVFHKSTRQLLFMSILYANFHAMSYGFLQGWSLYRSIVYSTDIGHIIYTGEECYPVTWTVGAAKMSMIFIQFALTVERIIDRVCSIVSSSKQFKYQGIVLNVVALVAALGMNLYSYSEGPTTTYKLQSCFMQKDIPLVRVMYALIVYLALSFICLIVNGFIIIGLHRNKKKSFNLKVRFNIQEVKNSSFAVCIISAIQSVAMFIYVVSNYGLFYFRNEISIQYFHNIVLCVYAIPYAGLCLPVSIILCVRWISEHRKIKIVEMTKTNRNETMDNRILQLRNSWDAVTPPPKY >CRE17333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:172077:173807:-1 gene:WBGene00065111 transcript:CRE17333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17333 MWSLCCELFFSEWKRQEHVVDGEDLFAVIELANTVNTAKEFVRECRLSASNIEARFEENGKNKVIVTFKEHNFVFNLVPTENIREAGRIVRHLTPLTCDYYYYHTWNGMEAVTNYFKSLLHIHHHIRPYLNDSNRDLQEICNFYQEVSANSLHIGKTASLETLDLNFLNSELGRPLVMCFYTMPPEDYEIFPIQNTRDTNNPTFHWAFTGNIDCEGFDHWEGAMSGKMLNAYIRNWLKLGGSRMQERPQNAKTIPDLYHKLPFHPWDKDGRAYYLKTNFGLHCDFSHGFDIMRKDGTLATIFYWADSCIFCVWKEKLGLVQEEGSAYLMYNLERKYAPRQRRLLFMESDYQILFLND >CRE17297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:174922:180321:1 gene:WBGene00065112 transcript:CRE17297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17297 MRRARIPFPSKKKVEEFFYETDSECDDEEGFAEPMISDSSNDEPIYTPQPLEEEEDIEEYVSDLRRVNGDESMECDNNLEIDSISDSEESMESIISEDLDESMNLSEDDDDDLSQDGADLDIRLVAIVNFFCSESISEGFLKRMIKLMTLLYGEAPPFTASQVMQVVNDTGKKVIQSVSYYCNRCGNEKNGKRAQCSQCLTSNVNILDRLTLIKCDLKWQFEQQLQYHGAEITEFKLHDVRRFEKYMELVESKEEFGCVLCRLEGIDVESKSGGNVFSIISMIYSDGGVKKIFLEEFVKKSFSDLPVRIDMAINGKKWSFRLKIFCYMADMKERMLLTRLPNWHQVNGCSECMTAGRKKGTTVTYDDYRTAAPRTNASVLYAAANEMEGFTGRTVPSIFSFFPPSAFVIDPFHVKGCGISKTIVNGKVADLLNVKLSRSEVLKPSSWVNFRLFPGTLNEVFSAIERVTQYTYDNVPLVSLRKLSKTTGREMEKLSRFVSGIVGFEGFSQQNDYNIWLMGFLYSMTLQGTTICHPQTLQLLLSSMYELHCRLEPDSITIKFHTYYNHIILHELKFGPKHTTEVFEREHKVLMNSVHHQTTNSEKAIINKNRWKTSSAMGDYMFSAKSYGSAKTTSSSIVCFAGSTKEELCYGEVEVIVKRADTTYFIVRKFILQPLEITMKPVVDRGMSTSARNVIDILRTLPNIYSKVESSTYVAISTDIVLCPAIIIVVNQHSKSSSSSIDNSKTKKSRVTDSVVSNETKERHETETGGLSDPRLINETETDPVATEREPVDFQPVRMSHEYQNTELRTVRFSEEPRNIGTQLMGFRDKQQKKVPVKIDSDEHYTNESVTSRNTVSHQLRPKREPHPVVPPQTTFPNFNNFTNEDSFDGSNYGTSSSHLFTNGNERYQHDMHSNEYSNQFNRQIHNYATHSTFSPSALSRNPVEGVSSTGPTYTTQELLRKCIRNGLLDLFEAGRIVYDSYLRRIPEIDRECEVFAKKWCSEVSSFSMPPPQMISTHDYSAIMMHGQLLYQLLTSKKLPPLTPLTNEGEPEFRGLVGAIYRTKGLGLKYPTFTPFSGGEIYLVDRPNERSRRNDETHIVLKRLIFQLLERACETPTDIQRSNWALPYETTCINQEIFPEELYLNIRTFFLNYLGIEEDALDNNSWCDGFSSLRCLASWESLPMKKKRYKSLSLARAAFMSTRGFHSCLREALREIKRASYEPPSAGVGFGKWTYRNRNFNRRSQQQLQ >CRE17335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:181062:181917:-1 gene:WBGene00065113 transcript:CRE17335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17335 MLKKMMFIVISIFLLESINAATPNSNNFDREQLNFINGLNIQRHNFANHTVNMQKLVWNKELQDIAEQHSLSALQSNGWRIVVATNYTNGAQNLYDIAIWFLKDSCPKNEEDRHLESKFFEHLNPLQTIIGCAKKTENELLKGYTVMCLLSPSVNLSLPSCAPFKSSCANGFEYKDGFCSNSDLPDSDQVMFISALNEKRRQIATEQHIANMYEMVSRKSTPGRLETLYIATMMVRNLIQIRKPQVVAKHCSCEM >CRE17336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:183259:186854:-1 gene:WBGene00065114 transcript:CRE17336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17336 MLREMLFIVISIFLVESINSAAPNFNGFDREQLNFINALNIQRAAFDHYTVNMQKLIWNKDLQGLAESIDKNSLSTFHNQTNLRYMAATNYENGAQKLDDKRIIFYNDYCREEEENRQPESKDYTPGLEHLNPLQTIIGCAKRTDLLQDHTVVCLLGPSGTLPIASCPQSIATCANGFEYKNGFCSNSDLPDSDQLMYISALNEKRRQIATEQHIANMYELVWNRNLHNIALNVEQHFDSRILYKRKWRMTEFVDFEKGLEYDPNSKEDIYFYNVAFEIHKEQFRPLQKEIACVAIDKKWNTGYNYLCLLGPEAEKVEGTKGQPGSQCDSNYVENNGLCSIVRTPAPPPQSQVPKPSTESLVCNPTDAVTSGTTQSPVTRYTTEKLTPEPPPQVPKELEDYEELDGDEYDPDFPTQPPRKYYFY >CRE17338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:190720:193978:-1 gene:WBGene00065115 transcript:CRE17338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17338 MMQLLTRCCVPLNTRTRRIQDEIVEGDNLIKIIQLAKHSQKTKKFVKERHWDFIAIEAKYGLNGRDSVFVKFKGKHFEFVLVETMERKSNGMIKGGLNQRDRYICDYLVYNPSGGTRQLILYLMNLFSIPHLEAIKFMDPQRDIRDFCNFNGIYKASRVFIGKENMTKAELDYIKDTFTYIDLMCFHTEPPKAYELWPMNYRLVSLANKVKLSWRHLKEMGCQNLDLRRANLSGMQLHNYIRYWLATDEPSNLESIFIRKFARYQHRHVLKGLPVFPWNPNSRSRFYKFFLDFSWDCSKGFDIIRRDGLLATIGCMENHCVFLVWKERFHEIPENFECYDAWTVKSFSMY >CRE17299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:201019:202877:1 gene:WBGene00065116 transcript:CRE17299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17299 MSSILCCDSSNEDEWNAIIQGGDLFKIIKLETTSKEAKAFVMGVGLTVSDIKVISWNGRTEVDVTLYGRTFVFQLVKTEDRKKTGLLLATRDPSVCDFLYFDYYNGLTGLIHYLKNLLDHPHMFRMRYDHNPVPMNAESVVKSSGLTATDIEVKFRENGGTEVGIKLEEKKFVFKMVKTELKSQEGTIMSTYDPLVCNFLYCDDNFWTALCNLTRYLMERLNIPRLYGIRFDDPNRDICDLSNLPDAFKATRMNIGKPTVTKEELDFLNFKFDRFDVLCFHTVPPEDYKKFPFRHQNVCVNIDMSLSWVHLADMAFKDLDLWRSNLSGSELNKLIKHWVASDKQTPMESIYIHDFKGVEDLFEGLPIYPWDPNSRSKNFSYCDRIAINCAKGFDLLRDDGTLATIGYGSLYCIFLVWKRRFPDTSQLSKHMTICNPVELGIFF >CRE17340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:203635:205420:-1 gene:WBGene00065117 transcript:CRE17340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17340 MIRRLGEKTTLYRAESPSQNSLVSHHHSEVSLPSVDYSQEEDRHSCNTLIADEWSQSNDVIQPLQKPTDTPTPHERIITRREIANTESDLCKKLSLIVDLVSDFANDANCLYEGADELLKELRTKIRVYIYFLDVEMADVEKLLRFNVDRSTICDERIDWLLHFTKCHKEMRRVLNELSEEVCEDLESCLEMRAKGVIGKKPSTVTLSTLAGMRDGMVKAARLLGCMPRQRA >CRE17341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:207628:210612:-1 gene:WBGene00065119 transcript:CRE17341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17341 MVYSQLNPKRSALDADDHLLYRGFCDDEQRDVYEAAMVIQRAYRVYRARSITRRQEDLERRAALKIQGCYRRYKQFCYFKQLHNAAIVVQKHFRMRKRDDKEEGAAVEAVIASVPEHPTLDGQTICIQVPKTNSTLLRERAATTIQVAYRYRHRKRQAAARKIQNFMRQNRNKLRKMHAQNEDGTSPARKLAEVNSNNPTNATNEILMVNGKEMLTSMPHPPNSFLQAAHQPHQSLQHNQPLQPNFIDQQHHVIINGTN >CRE17342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:214027:224689:-1 gene:WBGene00065120 transcript:CRE17342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17342 MQQPSNETTNFTPSIQLHSTSEPLQIRPDAPFPQAIEYFPPFKNEWNTNPIKNAFLKEILNIILAANADPSSSCIKTQSSPRPCSGAQFIFPRLDGSWFKNDGYIWRKRNNGRNIREDHLKLKVRGHTQTIEAKYVHSAIVPTFHRRVYFLPDSSHVLVHYLNEKSDQAEQAEEIAKMMINNNIFISLQQLHEQLSPIFYQSADQLVIEVNEHLRKKGVNLPTSPLPQEPPTTPTPTARELERRNSCSSAFRKGLSSVALRRQPSANSEIDANHIGTMLKRFGCNGSSNDKISIVAPAIHNFQSIRSHHNHQAMESRSPSGDGDSRGVMPFDEDASYQQLSIRSSTNGSTPASAFAEKMKIRSGSQESPMGGSLIPIIEMSPSSSSLKGGQKLLVIGGYYRKGHDYKISFGRGRMMAASLIHAGVLSCVIPPALQPEVVQVRVFCNGQPVSTAAEFTYEQPPEHLQKENDEKLMQIFEKIRIMACAFNAYSSVENIQSSSCMESLLTNLVQQIDSEVTSQSHTFKMELLNGSSQFPSKTVLHLVASFDYDRLFEALVDLRRKIPACRELDILARDSDGSTPLHTACRHLASRTARLIMSIDGSTINVMDDRGRTPAEVAPDDSIDMLSDKNNEEERVNATELWVMTNGKAFTSENLLNDGKISRIPIAEKTDDLMREATSSYSIMSEMYEGAPSMLQAGNSRECDEDCTSCCDANETGQLHVEIAMDTDVHVPDSPKMARLFQAVTSPGIAVTPNARALMADLARHIIEALPDRIKRNSEASTFPDEEEQQNQMLNNNGMGVDSIFYQPQPSCSMSVDSPNLMDDYIEMMAAETAKRNGIFTEPRSTETIETTSTTTRSSQLFATNFFDDRSFASSSTRANTFESDTLDFDKDLGEFFTHHVDRFVDPIQQRLANLKYNETKRSSVCANNYLFSALNPPFPIPPLSILEQIVDPEEELRFDVNRVADGEGGWRRTLESLERSSSRSVATFHFSSELGAATVA >CRE17300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:233334:239401:1 gene:WBGene00065121 transcript:CRE17300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-23A1 description:CRE-CYP-23A1 protein [Source:UniProtKB/TrEMBL;Acc:E3MS03] MPSLKNAILLSIATSFLYFRIMRTFDVDSYMTTYIYLFICTTFYVLYELDYKRRRLPNGPMPWLVAGNMSSFVNVKNVDDLFQSWKKRYGGIFTVWIGPIPLVMVSDLPTIKKYFIQHADAFSNRWRNFVTDSIMEGSNGIVQIDGDKWREQRRFALHTLRDFGVGRPLMEQMITLEVTTLMSHMEKSCGPNPKELHLCPSIAVCVGNIINNMLFGLRFNQDNSYMHRLHNLLDDQSHTVMQPIMGAYIAFPITTKVPLINGEWNRLMGIKKELLEFLEGQIQKHRDGWRDEMIEQEPEDLTYAYMIEVEKRKRNGENVGFFDDQQLKMLLLDLFFAGMETTVTTLKWAFLLMAKNQQVQKRVQEELDSISQPMIEIQHRTRLPYVQATINEIQRIANILPINLLRTVAEDIDIDGYQFHKGDLIIPQISILMNDPEIFENPEVFNPSRFLDDEMNVKKIDEFLPFSIGRRQCLGESLARAELYLIFANLMQNFSFEVAEEVTTERVLGLTVSPVEYSCKITRRDMDHNQNFVKP >CRE17301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:244607:255913:1 gene:WBGene00065122 transcript:CRE17301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-1 description:CRE-HLH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MS04] MNTETSTAPTDNYDTNIYYTPSPRVTANDITTLTTFATPVPTGLDYANSQYDIYRNQPAAYYLPTYGQPGSSSFYPDFAGFNVARTQDFTSAIPTVTADIKPVIVKQEKDSSRENSNTAELATQEGAESPASTVGASGAGGAGGSAAGGGPRRTKLDRRKAATMRERRRLRKVNEAFEVVKQRTCPNPNQRLPKVEILRSAIDYINTLERMLTQVGKTTKIMDNNHQMQLNQPMSAGPPHDYITSSHFANSNYNQDGPNMYDDDDLSDTDDERDHHHKLGNAVDLRRRNSLDGLARIVDRIQTLLDAKRMAQFQQDYESSGYSTSASSEFGSLDERIQLKFPPATLRNASKRRAEENMEQLDTMMKASLVLSPEKRLRLDGEDELARRKKEAEEFDPIIANKAGRPPTRRSTSQDIDRSPRVRALRALNTGSTIDQVRIVSGLSSDSISPKLIGEASPRRHFQFNENGSIYSKHRLGATFSPLVAGAKRKIATPSQTMRGLNPSPVDSPRRGGLRNRGTPRAKLMLSDRFKMLD >CRE17302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:265657:267788:1 gene:WBGene00065123 transcript:CRE17302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17302 MASQQDSAPPAGFNIGHRHSLTIETNPLHLLPSNMRRFSQADTARHPAAPLLSKLAAARQQIPESVSEKKDEEKAEKK >CRE17343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:268570:270794:-1 gene:WBGene00065124 transcript:CRE17343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17343 MTHWLTVFLATTVTLTTVSLLKCTVCDFHLQPPHNQTCNETCEGDVCYIVINKYFNGTISAGCMHLREDDKFENEAVCQRGAHDNRCACTIFDYCNSPNVTLRNYTFTQSPVLENYQWLPQIQPPMPSIQPVIPPKDIPMGGDLNETATELENGVEHDLTHELDNSSNTTTQILRNEEENENMLTVTTTIGLNVRDQQPQTQRNDDVADDETTMRSFTPGQGSVEVTKVTQESKSPTDRNLTVTDGSGPNPSPPLTTVMAVMVPVMVYYILC >CRE17347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:292312:295095:-1 gene:WBGene00065125 transcript:CRE17347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17347 MTQKRKSIGAAAARAGARKSLASRGVPSTPIAEVPSAPREDKYPPDGYEQRVFYCGVEGGLSCTRIAAIEKNPTEKFNKGKRYYNTIQGIDCFYSSPEAMTATIVDGITQLMAEITGEPNTWQQWARIEKIVVVFPEPVRDAKMDGLERMFREKYALKTAPNRIADEIHVMTEVEMVVRTHFRHSEHGIAVQCGVDTACFYNGTHGKMKQHDVTPSVSGDGGAYWIARTVHTLIVESFQKHSMRVFQPARYYATKDKQKGHPIIPDFXXXXYKISWNFARAALADENDTLSKVFDWAGETVGNLVAATIKEWHMRALKQMAPEKVTPLPIVLYGRTFDSFHLMEGGFLDSLAKSGIPQVTLYRKRENSAAAAAVLAARLAFRTEAEKAVYEIDDPEFIEEVTFQKMPHVYYPEWESSREKSPEQPKNSETPESPATPETPDCA >CRE17349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:304161:319960:-1 gene:WBGene00065127 transcript:CRE17349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-18 description:CRE-VPS-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MS13] MTLPLQSPDHVAYIHLSRNGFHAIISSKFGHNFYIHLKTNSVHHLKKIRCVVTAVGWNPDYSKDTDSTGAVLLGTAQGSIIELTVSSCGMMTSQKELTPQVAQIAEQRITGGGASPAAAITDIQLFQLSDDDPKAKKWMVIIAQMARLIVLIMENEPPPAPKLGGFTSSASLQAGLMNLGAEQAPTTTFHGFFSSMSTQQHTISSSKFSEKFKNHGFLTMYPTTAEPKRYAWLSPDGISIGKVNILAEEIKDVLVEEFNIEHRLIEGRLEPPTGIALTEYHILLAYSSRVLALSLLPPHDVVFEDPWHPELGSALGFVVDTVTEFVWLFTPTVAMKYGTNDEARYIWKTYLDRGDFGRALQIARTRVDIEPDALEMVLRKQADFYIQEKKVFRIKNFTSDSGFTAAAEILAQSSEPFESVVLKFLTNSAERKMGLKTLLDKKLERLTRHEDKIRRDALVMWLLNVQLEELAEMRRLKNQSIDPAFAEKLRDTTDHVQRYFMRKNVIESIQTNREAVYRMCIAHADFEMQLFFANAVKDLRTVIDILMLREQYIEVLEVLKSQRALELFYEMCPLLIEHIPKQVIVYLIQNLDQISPLKLMPCLSLCVKNMEMAIPAMKYLETLFRVPDQRNRGLHNIYIHLMAKFRKEKLLGYLESHGTIRADIPYELDFAMRTCEQFKLDECIVFLYCVAGMFGDAVDKALRYDVNLAKRCALMMEEAEANFAWLEGIQDPSETDFIRQKIDEKSKKAIWLKIGAHYVTNENNVEKCIKIIEESNHLITIQDLLPIIPKFTRVGELKSVIVEFLRRNKERLETLERSMKEATDIAVEIREKQEKLKNRTTVVKPSDVCAHCARPLSGRAFNVHSCRHIFHRECLEIAMIPFLTSEDVTRMRLLISDEERVLCQMKAEQMAGNQKGFAEKQEKYLRIAAAIGNIVGSECPLCGDIAISQIDQQFLSDEEFAADLNSWLL >CRE17304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:323252:326598:1 gene:WBGene00065129 transcript:CRE17304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17304 MVVEVQLIGWKVKIFAPLQSMKLERTSNSEKEGGVAAGVATSEVGTWDDHRVIPPTNACGAGEASTVIHTQPKDASGVNPSIIQNPTETGGIPGVGSEANLIVNQLKEIGEIRDLKSLSDIDGTWKHQLLGYTEKPVKETEEDNGKDSVPRVLTETAREDYNVSASANKDRIATIDEKTSEDDKNRAFPTGRKNLATTWDAVDAIQAEQPSNLVSRTGQMNRASLEAGRASHLVDSPSTKTKCLERKVSETCLCSGVVDMVRKWDDHRVISPTTPTSPRARSLSSNRGLRPQILHTPVVVRQQGVSRDEPAADMDWSQRLIDASEGIMENSHPPMANNMTPIGNGSSQPEEEKDESIPHANPDITSPNPEDVQAAVTASGGTTSPESTPPLRLSNGNFPKIQEAVASEANDEDEDEANQVNQGRAENNEEEGSLPHVMAPSFKSAASLPAHEDINNSARSFRDHLVAINPDASQEDSRRAFLTVLKYRARRRAESMLIEKPESTLNELVQGLKEMLERTSQVQRNKTHPRPSKQLPGESSDDFLFHRTIKLATQSYHEYQKNTEYQKEDVTLEKFLEGLNQSVKSLAIREARPITDQTRNTTLEGEARLAPNERPLEPTQLPAQSEASLANTATDHGDRDDCRDYRSERQGRDEDYRGRSSEIDSQVSRREIFLTFTGKCHYCGKVGHMARSHKLKQRSEANQQKSKDPVSNHQVIQVDAEEEASCLREMILWQDLRIHELKEWNDRLRRDNSSSTSRDQTSQADPPSAMALWTIFSQKNKFPRSKFNLV >CRE17307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:341741:359505:1 gene:WBGene00065131 transcript:CRE17307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-2 description:CRE-TWK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MS17] MEDFKEIEGLLESARPDDENTTTLQNIRKYAKLALPHIVLVVCVCIYATIGAWIFYTLESPNEDRLKETGRKTIAEMRSNLIYKINHNDEEEWKQDIEKELMLYSEKLYKAFKEQYVRYSDVRNIGYENRASSEEDEMGGDNERKRRHRHGKNRGEKGSEKMWTTSSALFFAATTMATIGYGNIVPVTPLGRLACVLFALFGAPIAIITIGDLGKFLSECTIWLYKQMRKGSARLDSAWKRFRGLEDSINDDLESASKNQESSILDMEMDEIDKSEVPVLMVFTIILLYIAFGGILFSVLEDWSYMDAFYYSFISLTTIGFGDIVPENHDYIAIMLIYLGVGLSVTTMCIDLAGIQYIQKIHYFGRKFQGTDLLQYLKKKRMLERRLAMGQGEEILRKYVHAVEKFEREQEQQLQQRIEEDPPSIESKAFDNSMMRIDDSLSAFQLRFYDTYDEEDLFSPTIHSVRSFQPSVWSNSSARSTSYLRFQRHRGASWDESGPSLSEHCSLSTEPSVHIRQAYWDNYHSPTPSVISFLHRHLYSPSVASSISFDYDCLAKDAKSARRSNSCPPIDLPSPPPSNSVSHSSHRRPTSARTIPSRPKHAYASDPIFSSAPLSFRLPEPFMLSNLAAICDAFDNDMDACNNLVFGSFRESQLSANRHDGLEDQSCTVRPQPVVVHAEAPPPYVPKLLLSPKRLSVIDENKIATSPEPLLKRKHKERVARQQNPLHSFDWLNVSPRRFRPELLIKDDLPKLFKLVRNSTKRSLESPTQTSETTLPDLSCSESNPESVVLISPRSPIGLACMQDWDSYIQMLEEASRAFQYPPEDDIDEEEETEIEEDEQFEEEEEEEEVEVLDKRECIPHLLLSDYENPEVSVSTSPPKRQLHSAPRVYYQPRPSLFSQCSVSNKKYEPPEIIPEEVHESKIPSPVSFDPQTSESEEEPMAVFVEEEKRPPPQNDIDLGAFELVDSGLTPEALLSKDEPVIFHQSMPVHSVHHDTVQTEAALANLMAAPSSMLTNAIPPIMVEDNYCFVVDGERVRMGDILGDDQWWRHTSRPTKYFYSDDLKQFHRVNCITAKGKVITAKLATQMPHQPSTSMSASMSHTQSLSASTHAQIHPQHHSMSNTPRSSISGGTHQTAHTTPGRSSHHDANKVPLNNVYKVIRFYSFWKTCTSFHRIVTMIDKVVDEPSNTSGGTTFKKRLFVQYLWRNAKAVEKARVQKEFDPRRQRLLRFVTDPAARKRFQKIFVEDDLFAVIIDENGNESESEEELSDTEENQNNKDPQNLLRKLKMEVTTHPGKGGQEVPVYSNDDEHPLDGPHTILHAVQCPKKFIATVAPTEVADTACFVCDSNLVSMQMIEEDADHIHWKQTMSTTHYYATDNWKDDFYRVTPLMCRGVLRGAYKVGKDRSGKIQRVDIIRVYRVTRHFSCWKTVPIFHRVISLVEAALPYKQMTSKQKQLLKANGNKLINRLFIQYYWRFQQSFVNREQVLNEYAESSKRTRAKKSWIRVVKNVARLRAATSILAAARKNTL >CRE17308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:362178:363768:1 gene:WBGene00065133 transcript:CRE17308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17308 MPSTCSICREKSSETHFGAEACRGCATFFRKSVTMKMKYRCRGNNDCDITVKNSKTALSDSHSMCRACRYAKCLSMGMNVSNRPGNIRKPKSTPKKINLDQPGTTSFLLEDLPPNFRDQVPILTKMKANYQKMVNARLVVHQDEGAPRVLNYSESVEQGMKDVGLVADWISGCFEDFVKLPIQQKNVLFHNFYSKFCLLEVTFISHIKHAPDRITLPSGIDMNNLNTFYHDPERDTQMTKEEIERTIPLRTIIFE >CRE17309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:367906:368319:1 gene:WBGene00065134 transcript:CRE17309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17309 MILSLCISKRFHIIINKHSNIFRLFKPTYDMQRNTLILPMMSYKLDIFEFFALATILLWDIGLENQTEECARTGEKVKEQVKAELVHYMKYYKRIEEPGIRIASIVNLLPAVERCVKKIQDDMEMTQVFKLSKVVYN >CRE17310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:370089:371764:1 gene:WBGene00065135 transcript:CRE17310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17310 MRTPKQKSKCAICLEDGDGFHFGAEACKACAAFFRRSVTQKKMYICRGANDCDIAANIRCMCRSCRYSKCLKVGMNPMGVQVKPEPTETQQPLSEPIQPSTSSPTRDSLLTLTLPSSFNDHMPLLSKMRSNYQKLCDARIVIHREEGQSLFEEKVPKAINYMESVDQGMKDVGLTADWISWCFEDFVKLPIDQKNALFRNFYTPYHMLEGSFLSHLKNDPFKVILPSGDYIDMHHLELFFKSVGTPQPLDKEQIENLFKPSFDMHRKALIQPMMNEELDIYEFFAITTILLWDTGLENLTEESAKTGKRIKNQVMTELAFYMKNVKKIEEPSIRVATIVNFLPAVYKSARRILDDLEVTRVFNIYTATKEFYDLISGNFC >CRE17354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:374489:378717:-1 gene:WBGene00065136 transcript:CRE17354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17354 MEEGDGFHFGAEACKACAAFFRRSVSQKRIYTCRGANDCDITVNIRCMCRACRYSKCLEVGMNPMGVLAKPSPSPSKPSPYPSETIQPFPSSPTQTTRAALLTITLPSSFNDSMPLLSKMRSNYQKLCNARIVIHREDGQSLFEEKAPRALNYQEAVAQSMKDVRLTSDWISWCFEDFVKLPMEEKNNLFRNFYTPYYMMEGAFASHLANMIYAVVLPSGDYLDMTQMETFYGSIEVKQPLDKEQIENLFKPSFDYHRKALILPMISEDIDIYEFFALTTLLLWNTTLETITEETIKTGKSVKDQLLKEIGFYLKNVKKVEDRVVRVATIVNMLPDVYKVTKRNQDNLEMSQIFNIYTADKKFYDLLTGNFI >CRE17355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:380393:382827:-1 gene:WBGene00065137 transcript:CRE17355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17355 MRPLKEKSKCAICLEEGDGFHFGAEACKACTAFFRRSVSQKRIYTCRGANDCDITINIRCMCRACRYSKCLEVGMNPMGVLAKPSPSPSNPSETIQLFLSSLTQTTRASLLTLTLPTSFNDSMPLLSRMRSNYQKLCDARIVLHREEGQSLFEEKAPRALNYQEAVSQSMKDVRLTSDWISWCFDDFVKLPIEEKNNLFRNFYIYYYMMEGAFASHLANKIDAVVLPSGDFLDMTQMETFYESIEVKQPLDKEQIENLFKPSIDYHRKALILPMVAEKLDIYEFFALTALLLWNTTLENITEESAKTGKRVKDQLLKEIGFYLKNVKKVEDPVVRVAKIVNMLPDVYKSTRKIVENVEMTQVFNIYTADKQYYDLFTGNFI >CRE17312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:387340:387986:1 gene:WBGene00065138 transcript:CRE17312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17312 MEGHLKEPPYPGGNGLYAVGCSQKYLECVNNVEYVQSCPEGLYFDRLMSRCERRSNKKMSQLQTCTHRHATRHALKLRRMCLHDATTISAPMGSKEKRENVHTNKSINLEE >CRE17359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:403321:404578:-1 gene:WBGene00065139 transcript:CRE17359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17359 MHRKPLAYVSLKTVLQHMGPEIRFELTRRVPQIRLTEAVVPLKISFLELGENFTKINGTTYEMRTYHDYDQGVTVPKYIRNRNKNGGLTVDYDRFGHRDDSFRNVLTAGDLDFRDGRVRTLNTLKLFLQPYIFERRNQSPPWRNVIRLSITSRKKDMKVHQLPFSCRLHEAEKFLNKLLVCRGNPVVNVKTLKISNSGYILRMPVNLKLKINNLDGKVENSFYKALNKIIHESSYPLNSVYVNCISNNVNVLDHPLITDAKTLKVSDVTIPRGQRLPLLLKMQNPTVLVDSVGFLKINGVVGLIINLKESIPPIGHKIRLNVLGFNAAFESLKQFANQFDGTRIRGRTITIPMNHISTLQVSYTPKNRKTRDNGHFYLEMIVLSNNN >CRE17361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:417292:418978:-1 gene:WBGene00065140 transcript:CRE17361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17361 MASKVKECKDSAPFALEISPRRLEVPPDGLLEVKIKNPTKDIQLIRIYFDSFYFLINFNRFDSMKIGESKAAITASYDLEPGKTCNFTIGYDSSQFNPTDNIYYNFSSPEGFLKIRHHRKTQNMPDNSKWPEREEPLVLKEKTEKYKKLDEIFANIQKNQQRRARFGEILTNTDSGTQCDFVDEEFLDSSSFSTPDEKREIREYFRAVCEKCMPKNLEELDSMTDLEIIEMRSKRVKELDEKRKVMRKEKGPMNPTKCLSIVIGSWEEKEKSQKITDSKNSNDIKESQKPDESKTPKNPELSKKAEVKPVSSRKPETDKAAEAPQPKSIAQKPTEGVEKKNKKNPCCSIS >CRE17362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:419908:421329:-1 gene:WBGene00065141 transcript:CRE17362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17362 MKPKFIIFFGSVISLMMVTSTTMASALSCHQCVGGDFLLRKSLMQNPIALQKMGWVHQWAATDCLTGNWNVIDCQTTCVSIWIRKVEGYSNGVMFDCADDLIYHTPDIPGKGNIYSKEAIILMDDAEYQNIRQGYNITYQFRTKTIPVDQKLMATYFPVNDGNFHNVNEKYMTYPAANQKMSTATLIFYWILIGICFMGLLVLVVAWIKCCVKKPRQHAENEPTVIMSSLAANIDQHRSENQISRQYTEISV >CRE17363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:423805:425792:-1 gene:WBGene00065142 transcript:CRE17363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17363 MTTPRKFTDNFAAYTEYSRFKKFGRESRSPGRAAAPDSPKTSEETDEKDGKKIGAKSSLPDAPFLLEMSPEKLVVPYPDPLEVTVKNPTEDNQTLRCQFDSYYFLVDFKDAKSSGQHGVTPAAAYGCYELGPGESCSMTIRTTDKIKDMDLKNIYDYERNAEKRRRLKYPKKPIDIAYYNYDRPEGFLKIKHQKKGMENETKWAVREEQLYLTDDIEKKIKLREDEISKIRRAKRNGEPVNNKTTDPNTSEFMKFYKMKMAHKATRTHQKWRKVWGETLVNNDNGTHRVFEPESDVREFVQNKENYNKTLGSEADNIVFWEKVFAKEYRRDPRYPKEIEERNHLRREKMETRDRVLSRNSGFAKFLFTSGTLEEATKKAEKFLLDQKKEDWEIENQKMEQLRRMEEEKKAMEKKKNPCCSIM >CRE17365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:441164:447284:-1 gene:WBGene00065143 transcript:CRE17365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17365 MAEKEKDSPRSKDDVEKSVVPVIPQTSEDNAVEKDEKKIGEKCAAKKENAASSKKKEEKSSKSVVTCETPSKNERSLNKSIEKGNSGRTTKIPFLLEINPKTLVVPPSEPLVVTVKNPTQDTQTLRCTFDSYYFLVNFKDAKSSGQHGVTPAAAYGCYELGPGESCSLTVRTTDREIDQSVDLKTLMEGTYNVIKPFAHLPRLKDRSNIIDIAFYNYDRPEGFLRFQYRKKEKGSNAKWVVRRKQLHLTDDIRKMIRLEEQKSNEEEKSKEENETTDPNPPEYMKFHRMQWIYYATQRHQSWKGSWGEKLMNDDDGTHRVFPVRRDSAVEQFLKDKENYNKTLGTEEDNINFWTNIFVKEHIGKYAVDRNERKKNILDQNVGFGKHDFTAETLEESIEKAEKFWEDQKEEYLKEINELGEQERTQPEKECKKKKNNRKKIETQKAEDLKKEPEKKYLEREEELKTACEMVQPVKQEEEKKMEKKMEKREEKKKKKKNPCCSIL >CRE17366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:458482:461949:-1 gene:WBGene00065144 transcript:CRE17366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17366 MERNTLQIKPIRLLRLPYLVLRKILVDADCVDISLLSRRCCNMVRSMNIKAESLIIRHRDNKHGFTLKFNEDAIINWWFEDAAISEFYSVEFDWVHKIGGMEFATKVNANNEMRSLYDSRLNPSNGCADSETAIQAFTNLLQKAFHVDITGYHMDRRGVMDFPAFFLNRVVQQEVKYFKFGPSDGEYGDEYDAESLDWMLSHLPIGTKMIVEKGVLPEEIRMDGNHCLQLNCSMNWFTAHHLRNARFQELIITYQFYKLKSSDIVQLVYNWLHSTERTTRYFDISYRIRHEHEYDDSEIPAMQMSKFDETRNFNDFVPTPLHDLLRRGHLIDEDYSNLVDFQRADGLEATALWFEEELLNGRVVFSVWHEK >CRE17284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:698:949:1 gene:WBGene00065145 transcript:CRE17284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17284 MTSYTLAVAAIYVAAAKYRKTWSEPFMEIVLTSVPTALCLVTAVMVWMIEKRKTRDGGYKEVEMVRK >CRE17367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:467470:480953:-1 gene:WBGene00065147 transcript:CRE17367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-toe-1 description:CRE-TOE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MS48] MATSLTSQLENLRTSAARQLTVEKRHVSLLFDRKEAGKLTNETAHRIGVAGLEQMKRIDPIFDTEIENDLFSEERVDFVRSMLEKDANDALNKQIEKLLLELSPYLHHFPCQQVLEYLIHTYQIYAFNAETLLLTFLPFHETKVYSRLLRILDFDWKRSKEWQFMQQFLKSETPIPFASIAKATLASKHSIISCITDHIRKAVDIVGSDYLEIKHPILFNFHAKLLLSMFNDPEKVDEMMLAKLMPFIENGVKSPMKSFRYSALVVISQLVLTVKLKEEVLNSLCKLLITKLRADTAPASISTLMVVFQQQNVQNLSKNTLKKLLRHDESIDVWKILKDLAERTDTTKFYNVLWAELVTLSRDSESEEQALAIAALVETSDDATLLTSEQAETFLKLILTEGMEQNLMDKKKMKANIRMIGLRFAKEFDTVYEELKAKDKKALKNVIKEYQLEDIVQLATEAVAVPPPTTTSESSATSPTEIATNPKLTAAEKAQLLANSSEFSKREVFSGDPIKEAMKWLNGEKWSKVEWALNEMAQRGEKYFSKKVEDDVEQFVLEIVKICAKSDGAKNMESGSVKAALAGANLSPQFVADLLTKFDGISESAPKRSKGPQKKNIVEKTFGTDESWEDFNQRVVFVLDLLNARQMIPSSDKVLAAIFALVKQVNSKSDIESSSYQQHLAVNAIRKILENPGKTKIGSSEVDMDCVIETMRSTHNHHLLRDCLRLIVAAAKHTPNSVVKHVMSVFTFMGNGMLRKDNELTLSIVEKTVESLFSTIINHSGGQVVLTKQQQTEKLIELARLFAASAVDIPAHRRARIAQAIARAVGAENASTVVLVLVSSFCARWQRSTDAAAQEAMKRGSDQDAYDDLAIELLSALNPFEQLSSVLEMCEYVRRLGGDKPPSTTPKSTVTKKDLDTMIFDRAAQTLPRIRHFRYVVVTLISRIFSNRVLIERLAAYDDEELLKNALPLGKRLIECSVELDEFANKEANAQDGSDPQAQRYWVAFASRTEVVSEKLRHLLPGGVAARLIADVLQECVNDKKLSYKMCEKVLQLANIKLGHDGYLFSDSGINEKELITLAQALNKFIVAETKSEEKMRMCQNSAYTLKLIAKNLPSQSESQVLADTMQRCVGIVSQYQKLDENLTGNVLLLAGELIRSHNMRSTIHHATSLLKTCLATVQECIIRFSKSQFDASPGSSVAGGRANRGHRIRQQSLGGNKFGSDTLLICSLTCIQRVFDQFASFVVESTGDVIIRYCRLISRFGDPSELLSLNQPSSSNAYQGGSQTSGFGSKSGIHHRLSLIRRALLSIELRVIPAHVVKAVGELKTEKILKIQILILKIRKIQVLKILKIQILKIQILKIQILKIQILKIQILKIQILKILILIQIQILKIQIQILKIQIQILKIQILILKIHIQILEILKIQKALSALFNLLTGYIETQHQQKPDALRKAVVQLRRTFVTEIITPSLEFRSQQRQAEQFENVEKLEHTVFNFVVSIASILSEVEFRPVVNELVAWAEPGLDTKSELSARLRLVSLLHFANDLYAAFNSLALPYFGRILEVAVMVLKKCNATLIGPEELLLSGKRGSIEALETDLALTLAIDVISNSARHRDFFTIDRCQLVTDVIVDELVNTKVEGHEKRCSDHLVPAIYRIGNADPDNFPELLNKIMLKTRDSRPKIRYRALIVLELLIKEIGDGVQPHLSILLPFLNELIEDENKQVEAQCQKVINSLQHKFGETFWSGSSA >CRE17321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:481262:488993:1 gene:WBGene00065148 transcript:CRE17321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17321 MKIKVISRNPNTYQRETVDQRNKIVRNFNTPADPFRAQVEYTRALNATKLERVFAKPFVASLDGHVDGVQVLAKHPNRPSTIFSGARDGQVKIWNLASRECQATLDAHRGLVNDISVDCANGENFVTVGQDAQLKYWKISTVIDTKQQTPTHSIPLEGVIYGVSHLSFSSDFVTCGEDISVWKPFRETPLRSYNLGTDTIHTCRANPVEENVIVGARSDRSVFVLDTRHDVPVKKVTMKMRPNKISWNPMEAYSFTVASEDFNLYTFDMRYMEHPVQSHQGFTSAVLDVDYSPTGQEFVAAGYDRSIRLFKARDMTSRDVYYTKRMASVLSVLWSADSKFVLSGSNEMNIRVWKANAAEKLGPLTKREKQAFAYNEKLRDTYKNHPEVRRIAKHRNVPKHIFAAAKEHKLIRDARGRRDLRRAKAQGLDEEESYLPTTQKVMLGDAEM >CRE17322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:489985:501820:1 gene:WBGene00065149 transcript:CRE17322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17322 MSIPLLHFPYVVQKEIFKSMEYCEMFVISLCSKRMKNCVIRARLKIAKIWYGVYPFIKFIAIQDWGIPVDVHIAFDDQPALSGMKPMEMKIGDNFKTLGIVKTKLTTLNQEYCLISVPKLDAKVTKALHEHVKQLYRYTVPCGIEIHINSLTEEIPKYENVNRILVNGESVLELNDLDTFLSPYYPNLSTLMIDSPINGEVSDASKILEIGNVHLSYSGQLGISFLSKFNGQNINLLQAVVTERMLNEFIRKWMKSEGYLNLEFVNIKMAPECVINRDHIINQLEIEAFDEMKRPGWYQSELPDSESSTSSESPTSSTQNPQCLLECPTGYLIGKTSCFQLLPPSQSLNSYQSALSTCMSVPRQTLASLEKFREDVALIQSSASEKNVNWIYSNGIGSRKERFEKRADVYSIWDQGLVSAPIVTTVGISEKFMNISTLCILPKFCQSPICDVEQFLLTYDYNLRFSSSSTKSLSPRQSAFLTCIPKKQEFEITCGTLGNIYPEPSMIDCTQTSFEETLGDVLLQGGGIVSSCSQGFPRGVQSCEPVNTPDGERFQLTCKPGWTMPTCWYTPDTCTPNYCGEHGRCVSVVGESRCECLHGWSGDNCQWNLREKFSKVWTFPVFTGAILALGGFVVRFVKRAVAIERMEMENDEDDPQSTHQTLRSYCMFVAGILVLFSSNPSLTNINPTACRFNFIAVHFTFVYAMVQWLLEAWNVNQVLRCVHLNEWERDWNGLRSWGVRIAPRMGVSVVMVSVGLLVTFHAGWNQLAQPWTCVGVIREETMTVWIPIFSIVICIFLLAGAVCESSLLIKFRRPLLGYRLDLRIERDLGHVEGRRVEKCRRNEILCMTGLTLLIVLWLLTIYSADYKDDVVIGSLTVLMSLIYSLFSFYQEVETCPEDRAMWITILQRYLPTRFAPSYNPESMWTTEEVREMYSIPKSQRDQKYQDYVSKNQYLYLHHRWDLELNKVLTDNQMTVDEALVRVFCEEMNRLKENNGTIRQRIYVQDAYKDFLNSIPDIDPRTEKGRVQARLELVTLAADAPIGVKLAKFFIVPGFDIFEPDTSEDVDDRNLNPDERQHRRLLNERIRMEEYRIMREQAHAQAVFINSSIHFRYFGNEIVR >CRE23331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:249389:250778:1 gene:WBGene00065151 transcript:CRE23331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23331 MDIPGTITNDTYQHWSHFVECPICYTVYDKPMQMGCGHTICSTCIGRLVERVKTSGPTEIKCPECRKPTTVPVNGLPVNYRIQEIVQKVAPLLKDRHLVKHCTQCKKILAEGVYFDCSQCAEKGSKICSTCAIRLHNGHIMVEKKALTSDDVCLMKQKINEASARAFEAIKSIKPQFESINEVIKILLVEKLESLVKIFDFMLNTFDSKIKETSTIDELMVEVEKAERVAETYENGRNINELCTVIGKAIGEYMKPFEKLKQELEFQADIPDIAAAPAPAPPPVRIIYIPPPPLALDSLDHFAQQPAYFPPPRQPQPMPSPPGTTLFGIPVAPPPALPNPNDYLNEWLNATTPRYSVNFCEQPENFLHYHRLPPPLPPPTRNMGPAGSRMTRPYFG >CRE04130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:359081:364146:-1 gene:WBGene00065152 transcript:CRE04130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04130 MPIPFSVISTTSEDVLEGNNSDEETETFDVNWISARNHSFPQSMVLELEEESLVSSVKVTVHKDYPPSSLTVSLGIPSNHFRNSRGKSYNADYSVRQELSFKDDFHLEYGEIPPIDSHFKDEPKQKTMSFVELDRGILLPLKAKPKMRETKTIVGLYDTDSEDEEILFSKEMLNRLRKREASMKNPQLPSSSAVTEAITVDTNSEKSAPESSSSSSSKPQSSVSPPLSDRSKKQILDEILGIDEKGNKRKPRKEVYFGSRMAEFNNMLRLLERKREESIWDEKYAQASAIDESVKDLKAREAGLRELIIEREDALKGKNLILAQRSKDRFDKNMSDALHLPTIRGFLSEEELKRLKIE >CRE24861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:8335:8959:1 gene:WBGene00065153 transcript:CRE24861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24861 MSSTLDISPKQMEKRLCELAYHAQLFREWALKTQRDKGRDLSKMTKIVHVLKSIEFGRLVFQKEERLNSTVVSQRFENLSDEYAILHIRAGAILKDCGTLFKRPSEIPCEDGFTSFLQHYTSRWRTFVDDEQFVVIDDKGETIPLDEVFIVNATKFKRLRDAAKRREEERPSAVDNVQELIDCLNLLHLS >CRE23470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:231976:232992:-1 gene:WBGene00065154 transcript:CRE23470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23470 MRSIKKYHVIPYVEGAAVESAKRFLEKILNDPNLDAGEKCKFYQDVLYRIKNHNELPIVNQEILTILKDNLKSSETRVSAPEPIFENAVVQPPKSEYKEEEEEEEEGVEKRDDKADMINVDDIPVGQRKRKTNESGPPTVKRYLASVGDDPHSVKNELPRKQSKKRPKLVMRVLKKKPSIPIHEIEPGAVFKTDNVGPLQKYRGKDYRKVQHRVPGKRDLKRFKMGRKLEEYKMHRKNKRKNIEAPGNKPKRSKTELEKDPEVKPEVKPDVKPDVKPRIKKEPKQEIKAELKQEIKNEPDVKPNVKQEIKNDPDVKPIKKEEIHGSGPRIHCRLWKFL >CRE23469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:230888:231943:-1 gene:WBGene00065155 transcript:CRE23469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23469 MEAAIKDAWTNEKHSCAFTSVASVYKFVKRRFPRCKLEQVEKTLQDLESFTLHRPNVSRFPRRATRSIGMYCDLQADLADMSKYKSKNDGVTFLLNVIDIYSRRLFVKPLQSKHGIGVAKAFQEIFNEMSTPPLTVYSDDGKEFYNANVKRLFDKLHITLASPKSEIKCAVIERANRTLKTRLAKYMTEKYNHRYIDVLPKIVKGINNSFNRGIGAIPNKVEQGDFPIPIPRRSSAKIKFSVGDHVRIAAKKAHFDKGYEQGWTTEVYVVKQVLAGHPVTYRLVDTNGEPIVGIFYTREMTKCTYAADAVYRVETVLRTEMRNGRRQHFVKWDGYDSSHNSWIDANALLNL >CRE23329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:226195:230582:1 gene:WBGene00065156 transcript:CRE23329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23329 MAQQGDNVVNFFLHEPKFVKRRAFPTAEEIEFFEKFTSLESSVELNRISGGILEADCERLLFKNFEQLPDTKSIDQHIACLFDIFIRRMIQKADGSLKTTKYWLCLRHPDWPGDEIWINHSTHAVADGHTLVNSIAKIMQSNKELRMDKMFQLHMKIFSPQMQGSGGALSESVLKMFGVKKQMVLGDGYCLPKALAIGKVWSDWKRTKKDSMEWKRLNLLWRKISRPRRSEGHLVNKCKEQAQMAKDLLICANMDPNQSAHNRSDLTKLAQYLKEYQIVVWTVSETNVPTLMEKFNEHKEGFIGLLYANMHYDFFVPKFEQLQTKFCFNCAKLVDKYHSIKCDAKCYRCGMLECTKDYEDIVHCENCNIEFCGRQCFKQHLKKRSGSAFTYCHIWERCRFCSKIVKRFIYSQVAHVCGAEKFCLICQKMVRRVHECHHALVSETGRKTLLKKQEDCVLLFLDFETIVAGPDKIYEVKHEVNLVTFRMVCTKCFGASCVHCGPIQHISYKLRPGESGTVLDRFCDFLLTDARLKNVYLLAHNGGRYDYVFLIAELARKTNTTPDFVCNGSTIISATLKLKEQTITFRDSAQYTKMRLASMPKAFGLHIDSKGYFPYLLNFPESYGKKWPTKPPKHFYNPEFMASDEAPAFEKWYEETFHEPFDFDEEILRYCLNDTEILTHGVCKFIQVFLRCFFQEKKMLQICSSIFNGWNPIVQSPTLAGYVMFIMSMEHFSERDVGYIPENGFPGRNNSTLALKYLRWLEHKDPALHIQHSLKGNEFKIGPYFVDGYVAATNTVLEVYGCLWHGCPRCYHNRDMKCPRRQDFTMQKLFDETMARESIIKHMGFNIQIVWECDLSEQLDREPEMALFFKRCRNSFQLLPREAMYGGRTQPFKTFVTADENHSIQYRDFCSLYPFINMKGAAYPRGQPFVIVEDFRAIEHDAPLYYRGLVFCDVLPPVNLDLPVLPYKNNGKLLFPLCRSCCDVTTQEPCTHLKVSERYLTGTWTSDELNLAISKGYKILKFHEVWHWPDERWLLGGFFKEFLGPLLVIKHQASGWPRSNMTDEEKAEHVRIIEENDGVRIDPNLVEFNPALRSLAKLFLNAAWGKDFFKGYCKQAILLISGKFAQNPLKVETRLMKLEEYEEISKFFEAPGYEPKIFKSWDNNMAFVARKVLKEALLTSRFTNIMYGIVTTSAARIRLYDAMQRVGAANLIYCDTDSVMFKQSHGQDLLGDLVGDGLGKLTDEVPKGKRIAEVVTVAAKVYGIRYEDEEESVSYSIKAKGITLNQKNAEKVTFDSMKKMAS >CRE23328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:223447:224968:1 gene:WBGene00065157 transcript:CRE23328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23328 MEVPTFNGQELNPEQALVFNHVMKTIESGQKLFLFVSGTAGTGKSFLIGALVEKMSEFTEVCAPSALAAKAIGGSTIHSLFRFDIKDDDDKNESTLLNFPHLRLLIIDEISQCGAQLFDRIERRLRQITRIDEPFGDCHVVLFGDLLQIPPVKQAPVFESLHWSRVSYMELGINQRQSGDFEFAQMLQRWRFGRFDEADIQFLKGKVLKADGKCLQSSRDADLSMLVDKFLSGYTENSMILSPINASSSRFNNRAYKAQFGDACVTVLKEVFTRLADTSVKTLQPQFTVAIGGRVMITQNLPKFGLLNGEFAKVEDIFHTPEHNVIHIDIVSEQTGRKCRIMRLPKKIDNETQHNEFPLLPAYAATYHKAQGQTLEQVFLEVTPNMSPGLFFVGASRVQHRDGLFLISKNFQNVEQFILNLSCIIRADPKAVKEIARLNSL >CRE23467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:217804:219141:-1 gene:WBGene00065158 transcript:CRE23467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23467 MIGRMDLKSKDVIIDELDFSTMPGTNTAVLHAKYVKVPLRNAYQPDGPWEFCLTNHSRNYLDLKRTYLVFTFDITDTAGNFVKMDSKLLETSLSYAPINNIASSILKTITLHINSQLVYSNSTNHAYKSYFENLLNYSQNIKDSTLSAAGFFHDTAVEEFSSLGYQKRCEMVYNNKPVQVAANISIDLMNQSRVLLNSCNASLTVYPNHSDFLIEGRNLGDKKLKLNVRDVYALVHEYELTDGITNELEAALIEHKLVQYPMISTQVRSFYIGPNRLDAPANTIFTSKMPRRIFLGLVSAEAYNGSQTTSPFNFKPYGLKNVHIDYCGKTIPGRPLELDFENNKFIEAYVQMQEAMGHSRNNSTCNSISAEMFKEKGFTIFGFELSPVALDSSLFELVIQTNVSIRLDFKEKTPEYGLYCVVAAEFDSLVGFDQLRNPLLDAVAH >CRE23465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:213575:214789:-1 gene:WBGene00065159 transcript:CRE23465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23465 MSCNQEVSLLENPTFFRNLCFLLTVSEVAVTSLTLYLLVFHSPSQMKEMKWYLINMACWTRAMDLMYSLFVIPYFFIPTLVVLPVGVFSLIGVPTQIQLVMLVIIITGLGSAIVMIFENRFNAIAPPNFRFKIHWRKSFHSVMFLISFSLLISSFLKLEDQKTAKNAYSEYFLCPIPQFFTTAFSFKPVSVPLLITTVLLFSLLILVQVITFACLSFYFLFSLEKSKMSQATRNLQKKFFLTAWLQILTHLAVIVMPMGYTFFSFLLRYRNQSKFKIVFPKEELYFSSRQSIHNINHISWDHYFYFNNFHQPSIQKSCQIVDVSKEISKPKKQHKLECFNSFF >CRE23464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:211714:213413:-1 gene:WBGene00065160 transcript:CRE23464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23464 MCRLFLLFLLFLSTSASDFFDFDWDSPYESTEDVRYLGRDDGRTPSERGEPHPSTQAQMNERFKEDMLAVLQKRSRAHFDDLARYFNPNIQIQSCFASGKNLNADQLYQLMIHLSAYYRVWSNVEFENRDLAGPKYAFVIMRHNATIHDGSQIAGKWKYEASFKRLWNYFLIDHLTFVGSCRGIPQTAPQDPLEDPDHFVERVRTKLVSDLFLPYGYSKKLENFEDFGDWITEAAQFVVCDEPKMNKKEFIKFMADRYHGIRRYSDNVFNYTKNQQDIEITFSTTWEAPNTTLYRDTYTFRVKKEEDYVPQLDNSFSYWRIYWVTKKCTVDRTRHPAILDGANNLMEVNKRFCGMIDGENWDVFQSFLDLFDPKDTKWGACVGARDLGYDKIREHMEKVAMRYAKCVVTQVNIRNLIRAEFATTFTMSRATDIQEQEEVDVGFSGFKDKDGYWRMNRMYFLCDETTQKRKFIEL >CRE23463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:209277:210938:-1 gene:WBGene00065161 transcript:CRE23463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23463 MNSETVSKPRRLVASEGMPKAAEEMMTQFNRNFLNLLTSENQNNWNQLMEMISPNAYIETCMEPAFGLSIDQFHKWMTHLSRLYSKFELTNSVMEDNSITDITTKLIIRTETRENVVGADQWTMSASLDNQFVEYWYKRYGKVQTYTNHKFEVVKKGTELWIDFAVTYQGESGTVFQDKMSKHDEAQAKLDLASRRWDQLFHPDISWDTQQAFKELFDQNTFHGYACNACGACKDLGTFQDFGNWLGEQAKFYSNSVPIETRVYAADEKIGFYALNTLTARADNSTSNRRVYFEGFYKDDHWQLNHLGFSCNDK >CRE23462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:206553:207586:-1 gene:WBGene00065162 transcript:CRE23462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23462 MGRGKPLTDFEKGQIAAKNDQGLSNRQIARDLGRSLDAINRFIKDPLNHGTKKSPGRPSLLTVRDKRQILRKASNAVITCSKIKCDLNLSVSNETVRRVISKSKFIKYRKMKKAPMLTSVHRQKRLEFARKNIRTDWRQIVFSDEKKFNCDGPDGYNSYWHDLRKEKLRFSRRNFKGGGCMVWAAISSAGRVKLCFVSKRMDGSEYRYVLRRSLLPFWRRNRHKNYQFMQDGAPCHRARKTIKWLEDRRIPVLTWPACSPDFNIIENVWGYMARKVYEGNKSYDNVGQLKKAIVKAWHAVDQNLLDNLFLSLDNRLYELTLNSGGHINY >CRE23461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:201889:203053:-1 gene:WBGene00065163 transcript:CRE23461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23461 MGGKTSQLRSVAEPSKTADVTLESSVVEESLSDSSLELSNPESPTKHEAFILNMPDLVMREILNNLDYVSICKLRRVCHAFRDFIDCVKPDSNLKSIDLQVEADKIVGRVRVQKFPTLLCKPVKFDYKERGDRCVVRSRGRKDIFPKNFVDMCIDDFLLSALKHQKSVLGELCVTKKLLFDRNNKPVPQTAGKFVIPAFDKVFDGLMEILESRNCLLQVESLKISVHGQEQLMQLLTHVDLQVLKSLQVFRLIETKSISDHGEDTSQFVLNLEILKDCKNLEHLRVTRFSISSPFRMFTHIPNLSVNMQTIYCEDVIRFKHVSDLQYHQITTYFQTMENSDIYAHSEIRFGQFPDNFVFWKLLDWRKTEEA >CRE23324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:197939:199131:1 gene:WBGene00065164 transcript:CRE23324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23324 MCIRMIPPEDVSIGTISATQSTTQASPITTLQCTASSCQTSTTTPVRVTPVMVSPVTTVTETVAPVTTATETAAPVTTATVTMAPTLCTKCDIAAIAPVMEANTVFENTNTVGDDGCTQTNAICKRTDDQVCTVTLSATNAAGTSTISSAMNVNQISGLLTCQADGTYSSGSVTGITKLLCSFDRCATACATCDIEAAKPLMDPPGTSFMTADITPSGQTCKKFAVGCYHDTQACPSISLFAELDTGREESILSATDTSSMSAAIDCGTDGKLTYNGL >CRE23322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:192723:193800:1 gene:WBGene00065165 transcript:CRE23322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23322 MPDVVTDEILKNLDFATIRKLRKVCHAFRDYIDCVKPDSNLKSINLEVKADMIFVLLSTPSSTKDNEFFYKEHGRLCVMQKGPRDAIFENNCADLCVDDFLWPALKHQKSVLDELCVIKMVEFDENDQPVPQNPGRLVVPTFDKVFDGLIKVLESRNRLLQVESLAISLHGQEQLMQLLRHVDLKVLKRLAVHRLVEIEQISEYSEDNSEFVLDLDILKDCKNLEELIVSCFSISSSFRMFTHIPSLTVNMQTIYCEDVLRFIQTLKNSKAYDLSEIRFGQFPDKSRFLKAIGLAEDDVRSFHVFSSELSLTFYHDLKIMDFKKCRS >CRE18602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1342308:1344149:1 gene:WBGene00065166 transcript:CRE18602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18602 MHVNKLLSFLSFSLLGFSSGKNILMFNPMFGFSHVKFVSFMADVIADHGHNVTLFQPFHNAMKNTEGLVKNKNIEIINYYPNHYDELVNLETQTFPMFWDSHLMNNPILQAFMMPRALAGEFERTSVQLLKDKQTLDELKNRNFDVMIVETFELTGFCEFSIKIIINIVQFSDIAHLLEIPAIPIMSSVRFPCFNDLFGQPSGLGYYPHIGSKMAPEAGFLDRLNDIYRNFFMYVMMHRMANIQNDYVENAIGRPVPNWKDLVSRSPIFITNSNPYLDYAVPTTAAIVHAGGITMDLKKMKHVGKLPEEYVPILDERESTVLISFGSVIRSFQMPENFKAGIIQMFESLPDVTFIWKYEKDDVEFQKRLPKNVHLKKWVPQPALLADERVKVFVTHGGLGSTMEVAYSGKPALMVPIFGDQPLNAYMLERHGGAIAYDKFDLADGYKLTKTLKDMVSNPKYFKNAKELLEVLSNQPIDPKLNLIKHLEFAMQFPNLRAQVPAINHVGIIAHYYLDAIAFLVFVGGLTVYIGFLILRKLPIRIIPNKAKND >CRE23321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:187385:188803:1 gene:WBGene00065167 transcript:CRE23321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23321 MSCKSKKEVRPPPSLNSTETSPIQEVSKQPDQSETIIQPIIPSSNFNTSRVKLPDQSQCTVFMISTVFVILISRMCLNCDFLCMTTNSPIWNPAKQLSEQFSEMSQFDDGQQPFESVVNKLVSKAVEFKEKFRIQKNAVEEAIFQKSRIVDQLRNKKETKDKLQLFQSLEDTRTKMDSALMRAQAAIRELRRQQRTAQLLVETGVGEQELVDNKMADFGIDVAEERKSLEYLEQDVERERVEFSNLLKVGWKAVQQVSETMELKIEEIQETSEAVEKKIDVVQNDIKKGFKNEQFFERMARLLEYRLSRVEEIQTAGASASTDNQVRIVFKETGTSPCQLDRSPDRRRSPSPVRRRSPSPVRVRSPSPQPTHSRRQHSPHEERNRHLGCQQGTGSSFPVRCVFCLEEHLSDTCRRYWTTEARRERLDQRSRCTRCLRPHPNAPNHRCTPVKQCYYCNSSGRHHRSVCRQRPQ >CRE23459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:189158:190283:-1 gene:WBGene00065168 transcript:CRE23459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23459 MENFFKNIMPRRKGPDYLSKMPSIIMLEILKNCDYWKIHALRNTCHYLRDFIDDVQPDATIDSIKISVYKDSIFLDFESGSFVVKYQKSQSIIWKKKRQDYGGKFCQDLNYILGHPKLTVNDFFVSLNLVGPNTAIKFYSDLEALLKSMVQPLSVRRLTLQVFFEEDVMSVLPYFEAGKLEAISVNRTELSDVQLSLDRIMETDQFKKAKEIEIAQFMVDAPIHHFCHFEKVRLYVSTIRLSDVLTIKQTFFDSPNAQFFQVDFERFPEVSRLVEALGPPHMMPRRNWFFEVPNSNRAVSISLLYAESLLSGDVLFIVRTTSNLGN >CRE23458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:182129:186523:-1 gene:WBGene00065169 transcript:CRE23458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23458 MKNVFKCLLPRKKGPDYLSRMPATIMVQILKHCDYWKIHTLRNTCHYLRDFVDDVQPDATIDSIKISVDNDSTYLNFESGRFVAKYKNSQSIIWKKKRQDYGEKFFQDQNSILRHRKLTVHHFSVDLHCVGTNTAIKFYSDLEALLKSIVQPLSVRRLTLQVFFEEDVRSVLPYFEAGKLEAITIHRALLSGVHLSLNRIIETDQFKKAKEIEIPQFMVDAPIHHFCHFEKVRLYVSTIRLSDVLTIKQRSSKGQIPFIFKVSKNPKTNHSQMSLLNLFSCCFPSRLLKKDVPKEEHAFLLDMPDVVTNEILKNLDFATIRKLRKVCHSFRDFIDWEKPDNNLKSINLKVRADMIFVSLSTPSSTEDMKLMYFENEHRCLMLGGAGYAILESNCVDSCIDDFLWPALKHQKSLLNKLYVTRQLEFDENNQSIPQTPGKLLVPTFEKLFDSLINVLESRDQLLKVENLMISLTGQYQLMQLLRHVDLKALKRLALVRLSETETYMDYREDDNSEYPLDLDILKDCENLEELDVKRFSISSPFRMLAHIPNLNVNMQTIYSEDLLLFKQNFENLEINAFSIIQFVQFPDKSRFMETTGLADDGSDSFLVFPSKLSLKYWHVLKCMSFNWKYT >CRE23457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:180583:181594:-1 gene:WBGene00065170 transcript:CRE23457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23457 MSLFNLFSCCLRSRPKSPKKDVPKEEHAFLLDMPDVFTNQILKNLDFATIRKLRKVCHALRDFIDCAKPDSNLKSINLKVRANKIFVLLSTPSSTKAIEFSYKEHEHQCLMQRGRRFAMFDKNCVDLCVDDFLWPALKHQKSLLDELYLTRQLEFGENNQSIPQTPGKLLVPIFGKLFDSLINVLKSRDQLLKVENLMISLTGQYQLMQLLRHVDLKALKRLALVRLSETEKLMDDREDNSEFGLDLDILKNCKNLVELHVKRFSISSPLRMLAHIRTWRLTCKQFTVKIYYISFRPWKNQTTVLSH >CRE23320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:178666:179946:1 gene:WBGene00065171 transcript:CRE23320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23320 MSLFKFFSCCLPSRQSKRTPVLDLPIAAVSPKNTPILDSPIVLAPPTPKIHHVFLLDMPDLVMREILKNLDFLTIQQLRKTCYSLREFIDYVKIDSGLKNFKIEMTKDTIFGSATVNMKGDPSTKFIKSNYTDNEDSCEVKTRGRNIIINGNFVDVFSEDFLIPMLKNQRSVLEKLVLERCYTASNKDLSVEIAEKVFDCLMKVLKSRDRLLQVEDLNIYVFGQDQLMQLLHHIDLKSLKRLEVWQLVEIPTHRENNVKLMLDLSLLECAENLKELSVCNFTISSPLRSIAHVPELHVHMHTIYCDEVLKFHEVSQSSRISPTRFHNILLQTLRTTNFMCRSYIHHNHFPTSFVSYTHSNQQGMKLYFMIRYHQKFGCGTIILPYFTLKTINEVISFILVPSNDFYGTFL >CRE23455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:173629:174558:-1 gene:WBGene00065172 transcript:CRE23455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23455 MYYVLNINDYTVQRLMEVDREYQIPITMVFIDFKKAFDTIEPAALWESLKAQGIDSGYVKLLKNCYNDCSTTITPFYNLVSIPITRGVRQGDTISPNLFSACLEPAFSRMQLKGDEKDYDKSPGIRINGRNLTDVRFADDIILISKTPETAEKMLQERVAEKNNNSTTAIEEVEEYVYLGRLLNTNNDLVPEIHRIRRAAWAAFNNIKNTTDALPCPKIRAQLFDTTVLPALTYGSETWTFTIALSERVRITHAAIERKRITLTE >CRE23452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:167648:170148:-1 gene:WBGene00065173 transcript:CRE23452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23452 MPELAMTGILDHCDFLDVQRLRKTCRYLHNFIDDVKPDAKIINMSITLTDASICLEFQTKHKFHLEYYNDQYIINEITKKKRVLKYQSARQRFFKDIIYILSHQKSPFINFYVALNCSNRENAPTYHLGLRVFNEENILAILSCFEVKNISILNANGVNELLKLDAVAELEQWKKAKQVDIIHFLVDGDDFRKCSNLEEAYIQFAELRLEHVLLLREIFLNSPAANFFHVGFLSIIERYQLMETLGPPHSETRAAKNWFFRIPGNNESVAVRLTTPCTPHSNSTYLFAYSNDFDNETVLEAAYTVAFNSYYNLNNTKNHFRVFASVRFDTVQDGEIYYNYIGSDFNETVLSHIPDPSLSFPSSETGSDILRVIDRFLKNNIAPVCGSTIFIILKRYPNEYEAQELLSRLQGFHVTLQTLISSEPSGGGTNFRVMYDLSINTNGFSGFSDKFWGNTYDGLANELDANYLFYAVNPTVSGTGSVIMPPALVPESDPNNNFVYSLLEINIQDHPLDDSFNSLNISIHDVATSNTQTIMYGRDNWQFKNANLTFYITSAYIHIGREQQVALSYNYSSSDPQHLQIRMFTKRG >CRE23319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:166315:167447:1 gene:WBGene00065174 transcript:CRE23319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23319 MNLAKNFIASLSQKKHPDYLSRMPELAMAGILDHCDFLDVQRLRKTCHYLRNFIYDVKPDAKIINMNITLTDASICLEFQTRRKFQLEYYNDQYIINRITKKKRVLKYQSARQRFFKDIIYILSHQKSSFINFYVALNCSNQEKTSKEFLSILNIIFKSRSRPLSTETLGLRVSNGENILAILSCLEVKNVSILNANGFSTLLDLGTVAELRQWKQAKQIEIRHFLVDGDIFRKCSNLEKAYIQFAELRLEHVLMLRETFLSSPAANFFHFGFHSFTERHQLIEALGPPNSETSAVKNWFFRIPGSKEGVAVRLLSYSIDMTRITVPNM >CRE23318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:162173:164826:1 gene:WBGene00065175 transcript:CRE23318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23318 MCKFSDFFKDSSLSFNLPIPKKIVCEIERLTMREKGDFGGLISLRQVSRDIRSIIDSNPLFYENASIKIQGNETIVTFDSTSEVFPHASKTVFEENLTKIFHDLKRLSCHPRLLINYFIVSNGQQLPYYFPENMNRVFSKFYKEFSRLKRHLSASNSFLPVEKVRIESNTMLRNVFLNLFQPGKLEKIDLDGFAWSHDTMELEQFRQAKEFKFTGWTDLYTRNKVNHFSIFDMKAKVLSVKEMIELKNDAFESETIQKWRLYWIENYWDDRKFNELENNLAPCLPTSKSTFLFAYSNDVEPGIVEHAAKRINEVADQFLKSPNKRFRVLASVRFDVNKKNEQIYYNTSGPGFYDSVLNNLPDPKLSFPSPRTGSDVLEVIEKLLDNAVSPVCGSVIFILAKRYPDKSDTSLLLTRLRDQHVSLQTFISSDATGGSDKQILYDLSVKTNGFCGFAENLTDADVTTIFMTNYLFYAVNPTVAGVGTVELPAALLDEPDLHNQGVWVFMEINVQSHPLDDSFKSVQILMYDQKINHTEVITFERGTRKLKKPFHTFDLVDWPTIRLGREQRMTLNYNYNNSKEQNLQIRMYHGYDDLPWYPYSN >CRE23450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:160212:161944:-1 gene:WBGene00065176 transcript:CRE23450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23450 MSSLTSLPDIVLTNILDNSDFKSILTLRHTCHDLRNFIDDVKLSSNVRSIHISIGTESINLVFYAEIRFSKIYKEGTFYERFLTDLAIILSFQKAPLEYMEVSYDYRHPTDQFISLEEISRILKCRPRSLSVNHLRLEIFNQEDVMFVLPFITTEKINISNPKRKHDVLELNNVVELDQWKQAKEIDIRNFTIVESLNHFLNFDDIFVQVETISLEDVVMMKDVSHLSFCIQLSYFFQKCLQSSTTSSIRIDFDELVDRNRLEEVLGPKRPHSWKNWFIPIEKNFGVSIDLLNLTLRVTCHAFYDFINEIKPSSNVQKMRIRIRKQSISFVFYAETRFLWKYNKKWDPEFLSLFLKDLEFILSFQRTTIQFLDIICQCKRRNEIFEKLCELLKNRPRPLPVKSLRMEVFDRQDVIQVVLFLKSGELQKIEVVNAGDKEYALDLNGIGELDQWKLAMEIDNHSFLIMAPEAYF >CRE23447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:156635:158065:-1 gene:WBGene00065177 transcript:CRE23447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23447 MGNSLAWFSSAPQDDFPLVSEQITFNQSLGIQPNSPITLIRVSGKLENRTESLALELEFDEPSATIKKLIRIDYKPRLPSGCLVEWYQDSTFKEKVLDNQTFSDALYESLDIILRRQKSPLETFEVNFPVSNFYVSGSTDRLPVAMDLLNNIKKSLEFRRHPLQVENLIVKESGGSQLLKILPFLDAAILKSIDIEDPRRAYDFYQLPLVVILDQWKNARKLRIENYRMVMSVEQLKHFDEIHLDYWEFSVEDVVELKKAFLKSYGSKTHWKLMLPEFTDNSNLQEELIDKFKNPFYVETPEEGRKWFFKFEGTMEVLSIQLTSRHLSLERIAMEKVPEEGRKFIQFDSETQINY >CRE23446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:150020:151883:-1 gene:WBGene00065178 transcript:CRE23446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23446 MSEEAGEQPTEQVENAEIVPPPTTLLTLPDVTLLHTLNFCDYLSLQSLRKTCKFFRALVDESLPDSKITDLSVIVQRRNVVLMLTTKTSPVHFISFHQRADGCYVGGDRVEKKLPDNSYYLDAFFIDLEILIRHCKSILEKFVVFMHDDQIGAEFCERFHLMMMEKPQLKTKCLHINGVDAAPVLSLLPHVDPEYLTFMNITGTAATPDFSGILALDHWQKVARVHLTRCVIPNVFQDIGHLEVFKTQLQTVMTATDIRLLKDMFLLPNTNLKEVGLCFDTRDNDEAMTAELGEIFVIDPEPVFVKSRTWYFKRAQKIVAVTIDVRDARLKICDNSNNIDSRVINME >CRE23317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:146379:147447:1 gene:WBGene00065179 transcript:CRE23317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23317 MTDDSPLLRLPEITINHILYFCDYLEIARLRNVCHSLRNHIDCFKPDAHVKEVRLGYWENSEIWFESDNTRLWIGYKEADNGCTVACKTHMGSPPLKIISGLDHMHALMQDFKIYSRHLKTPLTKFKLDEVCCDPLLQIMRSQTFKLKTNKLQLSELSTAQILAILPFFDAKCLKNLKIFTDVNDVTGEPLGVFHELFETEYWRNAEKIRLSNFYISDVHQISHLKKFAGCMSSATIDDLVFLKNTFLIMTGFQRCHINFDVNCDALSITEAYGAPSFDGANAWFFRIPNDTAVLVMVVENTVLVIERIDRSAVPSGAVVRN >CRE23444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:144095:145216:-1 gene:WBGene00065180 transcript:CRE23444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23444 MTDESPLLRLPEVTINHILYFCDYLEIARLCNVCHSLRNHIDFFKPDAHVDEVWLGNQQNAEMYFKTDKSSRIWVGYTAVEGGCRVECMHHMNSRSVTTISGMDRMDALIQDFKIYLRHLKTPLKKFKLNRTYCDPLIQIMRSQPPESRIFPLKVNELELYGLSQDHILATLSLFDANYLKDLKIENETEGDELNDGAEDYFHALFETEQWKNAERICLSHKFKISSVRQISHLKEFGGSISRVTAADVEFLKNTFISSPLFEECRITADVDIDVLSITEAFGAPSYEVIEGIAHLWYFHIPNDTNSALSVKVINEQIIDIDRINRRRVIPGVAIIY >CRE23443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:142653:143780:-1 gene:WBGene00065181 transcript:CRE23443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23443 MADDPPLLRLPEITMNHILYFCDYLEISQLRKVCHSLRNHIDANKPDAHVKEVRLGIWMNAEIFFESENNIRIWIDYKEAEGGCTVECTTYTGSRSLKTISGLDPMDAFIQDVKIYFRHLKTPLRQFQLGQICFDALLQIKREQPQESRIFPLKVSKLELYGLSADQILATLPLFDANHLKDLKIETDINAVPDGDGLNDGAEDGNVFHALFETEHWRNVEKVNIYPTFKISNVRQIFHLKKFHGFISRMTAADVKFLKNKFITSPLFEHSLIKVDEDLDLLSIKDTFGAPSVEIEDANWWYFHIPNDTARVLLVEVTCKETVDIIRIDRSEVLPGAVIIY >CRE23442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:141175:142277:-1 gene:WBGene00065182 transcript:CRE23442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23442 MADDPPLLRLPEITMNHILYFCDYSEIARLRKVCHTLRNHIDLFKPDAHVEEVLLGNGKNAEICFDSDQDSRICIDYEETEGGCTVKCDTFMGRHSLKTISGMNPMDVLIQDFKIYMRHLKTPLKQFQLSEICCDALLQIRKEQPPESQIFPLKVNKLELYGLSPDQILATLPLFDANHLKDLRIGTGINAATDGDGLNDDALFESEQWRNAERICLSHKFKISNVRQITHLKEFEGSMSRMTAADAVFLKNVSFQTLHYRFATFQTFISSPVFKQCCIKVDVDLNSLPLKDIFGPSSFDRYTKSNRWYFHIPDDTNSALRVNVSLNSNFEIARINRRYVVPGEMIIY >CRE23440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:135633:136716:-1 gene:WBGene00065183 transcript:CRE23440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23440 MTSPLLLLPGVTMDHILYFCDYLEIARLRNVCHSLRNHIDLFKPDAHVKEVRLGNWENAEICFESENESKNNSRIWIGYKEAHDGCTVACKTHMGSTPLKTISGLDPMDALMQDFKIYLRHLKTPLIKFKLDEICCDPLLQIMRSQTFKLKTNKLQLCELSTAQILAILRFFDANCLKNLKIFTDVNDVTGEPLGVFHELFETEYWRNAEKIRLSNFYISDVRQISHLKKFEGCMSTATIDDLVFLKNTFIRLTGFEWIHINFDVDFDVPSINEAFGAPSFDIEFTNSWFFRIHNDTSVLWVELTDNEVLVIERVDRSDIPPGAVVINY >CRE23439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:132858:135316:-1 gene:WBGene00065184 transcript:CRE23439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23439 MNDDVSLLKLPDVVMNRVLHHCEYPDFASLRKTCRNLREFVDTKKPDGRMDEVEISCDANRVIFRLQSGERRINILYKNDEDGCFPRRFTGWEDNLTSNGLNGMDYMEMFKNDLTVFLRHQKNILKQMVLHSDGKTGDIEQVVNVLKAHTETSKTQSLPLKTTKFSLSGVPAPQVLLAIFSVDAKSLRILTTCIREPNVSLEEISETEQWGQLDICHFGGFRLLEIRPISHLKTFSGFVTVVNAADLDYLKAAFLHSLNFSHCTMKHNSVANLSEITETFGVQPFTRDCNFGGVSRLWFFRMPNDKSRVLALDVHSDNHVEFEQIETAEVPNDAFYSYNLPNLRKMNDDVPLLKLPDVAMNRVLHHCEYPDLKITFSARLRKTCRNLREFIDTAKPDARMDRVDISCDANRVIFRLRGVGNDILILYKNDEGGCFPRRFTGSEDNLTSNGLDGKDYIEMFQNDFTVFLRHQRSILYQMAFYSDGKTADIEQVLDALKAHSKNSKTRKLQLKTTKLFLPGLSAPQILLALSSVDAQSLRSLSSSIRGENVLLDEMTETEQWRQLDTCMSDRFHISDIRRISHLRKFSGFVTSVTAADLDFLKAVFINSSNFSYCIMNCNSVADLSEITEMFGVQPFKHDYNSGLVSRRWFFHMANDKSRIISLDVRSDDYVEFEQQDIAVVPNDAVIIG >CRE23437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:129775:130859:-1 gene:WBGene00065185 transcript:CRE23437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23437 MASGSPLLKLPEIVMDNVLHYSDYLEIASLRKTCRSLRKFVDAAKPDARMDKVEIECEANKVIFRLQSGGKIIDIVYRNGTGGCYVFNNTNWKKLIFEGMDHIEIFKNDLAVFLKHQKSLLKEMEIKRNTADIDQVFIALKAHATNSKTRNLQLKTTKLSLNGLSAPQSLLAVSSVDAQSLRSLSIKSKERVVLIDEITETEQWRHLDTCSFSGFRISDVRRISHLNEFLGLVTSVTAADLAFLKTAFLNSSNFCSCKINCNSIADLSQIAGVQPFPSRTDYGGVSLLWFFRMPNDTSRVLSLDVNNIGIIDFGPTVTARVPTGAVIID >CRE23436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:128509:129530:-1 gene:WBGene00065186 transcript:CRE23436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23436 MTDKSPFLKLPEIVMDNVLHYCDYMEIASLRKTCRSLRKFVDTAKPDGRVDKVMIDCDAYEGKFLLQLGEKTIEIAYTKTMDGCGIFDTGNWMWSRLLKGEDHMELLKNDFSVFLRHQKSLMQEMEINGKPADIEQVLNILKTHSENPKTRKFPLKTTKLSLIDLSAPQILLALSSVDAQSLRSLSISSNKQNVLLDQITETEQWRRLDLNDIKITVTMVTAAYLDHLKTAFLNSPNFSSCKIHYASISSESDIAAKFGVDGVNGDKWFFRMTNDESRVLYLKIEWHEYVDIGYQDTAKVPTGAVIIG >CRE23316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:126629:128006:1 gene:WBGene00065187 transcript:CRE23316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23316 MERTDRTDRTDRKIGRSEDLRIGGFEDRRVGGSEDHDSTVVHNYVSHDRKYYTFPFLTTLSSSFNYFRPHLRKLKKKLVLRYNNKNQGCPFFKMPTSFLRLVSIAQTIGTFAFIISSFFGIIVIFLTLFGVRKIFGTYKYLIVTFTTIGIGLACLEGVFHPNLHFYNNGFVFFSLSFPFGLSKETLKLILPMYAGVYSVTISMLAVQFIYRYWALFSLNHLTYFHGFKSLIWAVYCIFFGGIWWIGAYNLMEMDDAAEKYFDEEMLIRYSVSVKEIPAQSCLAYEPEGGLIRWKNASYTVLISSIMAFQYGVMIFCGWNMHSKMEQKIAGFSVALKHHNRQLFKTLVFQISTPTIFLFSPLILVIYLPYFQIELSFPAGATVALFNMYPALDSIIVLIIVTEYRIAARSGD >CRE23435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:125089:126563:-1 gene:WBGene00065188 transcript:CRE23435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23435 MWAKVMKKTRQCLKKRKYPPILRSSDPPILRSSDPPILRSSDPPILRSSDPPILRSSDPPILRSSDPPILRSSDPPILRSCDPPILPPLHMTVCPSACPDVLVTKKKYEGNFRLQSGEETTHIVYRKVMNGCYVFINTTANRTKQTLFEGMDYKELLKKDLAVFLRHQKIILKEIWIEGKTADIDQVLNALKAHSENPRARKFPLKTNKLSLRGLAAPQLLLALSSVDTQSLCSLYISSQRRVLTDDITATEQWKNLDTCNISGFRVSDVGRISHVNNFRGCVTIVTAADIVYLKTVFLNSPNFSYCSFIYDSVASISDIATTFGVQPFARRYWFFRKPNDTSRVLHLKVTESDKLVEFGHKYTTDMPNDAEIIG >CRE23429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:114721:115998:-1 gene:WBGene00065189 transcript:CRE23429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23429 MSLEPTLLSLPDVAKDHLIEYLNYIDMDTHELFHEKIKCPSSQNLSPFPELYLIMHQPHANIHSIQVSQNEESMELNLKAGLQEDLLKIEYKMAINGGCLIEANYQQGNARIVRTDGRNYLDVFCDDFTTLLKHQKSVSQELSFTALEAPEKRTEFWDMIRKVITDTEATTGRKLLLKKRSLTLQNIMTPDVFSILNYLDPTCIEKIDLTGEDGIMTPLDGIVQLPHWNHLEAVTLNYFFIRNFPQNISHLEWFYSDIIELTAEDVLQIKNMMLRSGQFQKNYVLSLHPNQDNSFQQSLGPIFREEELEEGVLRKT >CRE23428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:112714:114472:-1 gene:WBGene00065190 transcript:CRE23428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23428 MSLEPTTLLTLPEVAKDHLMEYLNYIDIARLRKTCHVFRNYLIAKLPNANIDYIQVSQKENSMELNFKTELEEDLQDLLKIEYKKAGNGCSINANFEQEDMRTVKRDRRNYLDVFCDDFSMLLKHQKSISDELSFIALNDPEKRTEFWDTIRKVLEDTEATTGTKLLLEKRSLTLQNVMAPDVFSILNYFNPNCIEEIQFKGEFRGAQPLYGIVDLPHWNHLTDVTLHGFDIGNIAQNISHLEWFSADVRVLTAEDVLQIKNMMLRSGQLKMCKLYGYSNQNESFQQSLGPIFTEEEFQEGIQEQTWKFNSPIPGNVLEVKCVGPTIVFEMT >CRE23423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:103385:108358:-1 gene:WBGene00065191 transcript:CRE23423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23423 MSLEPTLLSLPEVVKDHLVQYLNYIDIARLRKTCHLFRQYLHLKLPDANIHSIHVYQNVDSMGLNFKAGHNEDLLKIEYKKVDEGCSIEATFQQGGTRIVRANGRNYLDVFCDDFTMLLKHQKSVSHELAFIALEAPEKRTEFWDMIRKVITDTEATTGKKLLLKKRSVILRNLMAPDVFDILPYFDASCLQMINITGEEGVMTSLDGIVELEHWRCLEVATLNNFSIGNVLQNITHLVMFSGGVRAITAQDVLVLKNKILHCDNMNFWLLIHENFNEEQSLYELLGPIFVSGEEGHVKNWNFRTPVENIVLEIEVTRQFMCFEMMPVGNVVENAVFIDYVQMNSESPLVKMPGVAKMNLVKYLDYVDIIHLKKTCRDLRYFVDQEKPNAHTKSILLTHDKDIIYLRFNTGENTVRLTYCNTENGYSLRAEFSGTDKTRVTKGANYIDAMCHDFQMLMRHQKSVMDAFWVVYGENSEELWQKIRDTMEIGKLFRVKSVSMETINIPVLLSFVPCFEPITLQSIRIVGPGTIDITGVTDSIQWKNAKSVTVYGNTIQNVVQNFLHFNVFTCSIDGLTKDDVVKIKDTLLRSPEFISGIFDFISSESIEDLFSSLGPHYLLEKGKQNCGVLELCRYISQLLNSRVLMFLNSEVPRFSDFKILDFSKPHFSSLRVKKTCRTLRDMITDKKPITHFSSIQIHQKYYQIEFNLNSTIPENSVKLKYTKTKTGCSVNAKYDDIGHRRVLEKTDYIDALCKDLANFLKYQKLETPEFHLLNDAPERIELWRKIQKTLHETSQETKTKLLFKAKCCKIRNLEASDILALLPYFDYKILRKISITPKDYHVNLNDIIDLPQWKHATSAVVDQVCIENLGRNILHMNNIAGHTVRLTEEDVMVLKNTFLQNPNFDYCQLSFKYPEDGDDILKPLGRPSLHGCSGNPDQKTWFFRRRGETGYVLSVEYDGIILFYEKLHVKDVPKKAKVME >CRE23422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:98848:102991:-1 gene:WBGene00065193 transcript:CRE23422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23422 MATLLTLPNNAMQNIYEYCGYVAVQCLRKTCRTLRQSIALIQPNLSIDMLQVTLNADSITCSFDSSLESFLLKFDKNDAGCIVKMIHESSEGRVVKQVDIGPILGQETNYFDAFFVDFDVFWSQQIGILKFFQVGLYNCSSEMKNAFCERLPRRIQAIKVNLREFGAQNVLRTLSIFDAEILKTWCVVGASQNPEFLEIVDFPQWRHADCVTVENFYVNGGLLKNISHFKTFEGRVQRLTLENVEALRRIFQQSQRFKTCQLHYDNPENENLFTTIADPDLFNDIDPNGHQRKRLFYRRTPSKILSILFQLNHVKFEKSQKMVDWTSLIDEVFVKLLENCNFEAILTLRKVCTHFRNFIDDTPPPLKTSLDWLVLNVNPESVKITLTNVGSLFHEQCAVDYLKSDGGCLVAWKEHYQWRTKTVGGERWVTVALRDLEIYMRLLGRSILPHLSIHYYFSPEENEFQEGFHRILKDSPFRVEDLDLNISNTEQLLQILPYVTPEVLRQVTVARQQMIEFHVEPVLDMDKVMALEQVRKLEELRIRGFEVSKPIRGFYYPARVVLQVKDVTLKEILELREDFNRHPHLTRFVFDCDKIYSYEVSWLQEFGPLYEDTPEEGYNRRRWFFRDGIQLEFSQNMLIFTRISTSTVPNNAIIIE >CRE23421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:94349:96066:-1 gene:WBGene00065194 transcript:CRE23421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23421 MTSLDQFPDVVLLNILEKLDILDVLSLRKTCRSLYNFIDDVCPNKNLQVIKITTFSNAIKLEFVLPDSQRITVEYDDFHGTSCLVRWTKIPGNPKIKKETILKNADFMGVASRDLEILLKHQKTKLTYLKISFQSEIDAESLDFFPRILESRPRPLQIENLDLEVTQQSQLLQILPFIDPDAVSYLRIERYGSRGVVLEIDDVARLENWKTLRNSIHIGWNNGNIRDFLNFSYVYVKFPIVTVEDLVFLKETFLHSSRMNCVYLQVVTPVDLPELLEAFGPSNDDINYMGGYRKRWFFKCYSNPDDILSIGFNPRCLHFQREN >CRE23418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:86808:90309:-1 gene:WBGene00065195 transcript:CRE23418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23418 MSPSFLEIPDLPMEMIMNNLDYLAIQCVRKTCWGLRNFIDDKKPEACMKQIEINEASDTAVRLTIILPSSVLPEHTYIDLRYEQHENGCRILRATSEGYTTKIVGNLNFLDAVFHDFNIALNTQKSLFEKLEKPFPTESMEIRGNSLEHSRQILQYADPKYLKKIDINAHEFTLISETVEFESSKNILNFSHFATAFIPLENLDVETIRAIKEVCLFVQMSIYQFFRTFFNSMSTISTCTSSIFSEKTCSPTLLKQLSSLLKRRGNFCFSMFLGIMKKSLSQKTGFTPPVRSQTPNFPRNLSPFPLLIYIALPVVPMEDILKNKSAGYPHSAYAYEKIFIIFSIPLNRIEVEDIGPSVRFLMDFPNDSSTSAASSRISLNYYKHEKGCNIRWTKSGSSGEKFTKGEDFMKAFLGHFKILFTHPNLPLREFYVRFSTKGSPAFPANLENVFKTTKTPIHAGALKISACNHEQVMKVLPYFSPTHLKFLCITAEHGQDMELKIEELVGTEQWKHATQIDMSNVDLSYFPFQHFEHFARAKICYGKFNMGSVNGIIQMFQSGSSMEKYLQVYPRKVPSVKQLTEAFGGGPVKTVKKEDTWYFEIPANSERVVQVKRDDYHLYFKYVGMAEVPEGAVIRA >CRE23417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:84288:85921:-1 gene:WBGene00065196 transcript:CRE23417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23417 MPISLAGLPELVLTEVVKHCGFCEIQILRKTCWTLRNFVDDTAPHLDLQVIEISDPTPDLITLSLEFPEERIVLEYKKVENGCEVLREGSRPKFLKNMEYFDGFLTDFRVVLSHCNSILPHFWIYLSEESTVEKLHQFLVFPIPVKNLVIKAARPSQILAILPLISPDSLKSLELAGLGKRSVFMDGFSEIMELEQWKKAKNLEIKLVEMDDRVKIENFAHFRLAKVLYQRVEVEHVMGLKEIFLHSHDPKKHKKYFQLHWITLRNYQEFFDALGLGLSTTDEFNIHRFRWYFRIPDDSEKVLQITLFTRMFEFKFVDKLKVPNGAVIYD >CRE23416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:82450:84125:-1 gene:WBGene00065197 transcript:CRE23416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23416 MAPKIPLLELPEDVTRKILGFLDFLAILNLHKTCHDLRNFINDLRPPSLVYGIKIEMRPEEICLTLNFDPVYHPYEEMKNYKIRYTPYINGVLVKYRLKSYLDQKRKILKNCDLLTIFSKDLEVILKLQKTVLRYFDLHFLYPKHEKKRKTFKVFEAIKNSQQTEIKEYTLKSKEIEVICQGEHEVLEIVPFFDPEALEILKFDVGKQKARLELQELIYLEQWENSKEVVLTGCTSVPASFQNFEKVEISIQVLTQDDVFGFKEILLQHPTQRLIHFHFQHYYSENELLEVMGAPVIETDMYETRKWKVWWLRAAEGKVVKMELHEDSLVMTKIKMVHVPEGVELVDA >CRE23313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:79819:81246:1 gene:WBGene00065198 transcript:CRE23313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23313 MTSESEPSQLSKFGSVPYKFGSVPSKFGNVPYKFGSASYQKFQNWDVLKLYNPFSSSQSLRKTSPILRGFIAENPPKSVISKLSVGVNDKTIFLKVTYKGANSNDDDNYQLHVEYQQYKGGCKVHLVKSLTEKTEKKLTGENYMEVFNRDFTSLLGYQGTESLDQLFVDSGEVHTLPEITEKMMKKVAEQLTPALKVKKLSINSSDEEKILKVLDKIEPGHLEELVLDSRTITFDVKWKKIGERLKEKFWKNLKVLESAGVLEVRIEELLHLNQLKMKSSVYGMNDITTMKDHFKESYTNKNKNFSVIYPVGVPYEAHTMRAREMYCTLGDPNLVLLIAFYWGRVEMMFIEPSEVPLGRIVKDW >CRE23312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:76298:79601:1 gene:WBGene00065199 transcript:CRE23312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23312 MTAYLPNMPARAVSAILDYCNYPEIQALRKTCRFLWFTIDHLLPEAHLSGLLIKTLKPNRIEIIMRFLKNHLLITYNQHEYGCSVTWSDGDDEWENFVSDIDFLTLFFKDFWILLKHQNSLLQFFQLKIEVEILGFCVNMEKVLRSKRNQLKVRDLDLTVYKANQIMCVLPYLDPTARLNMIWIIEPKRNEQVLDLEEVVQLPQWKNSVEIEILGFTVCTSIEHFQHFKKAHVNSFELITGQEVLRMNERFLFSNTLKNLHFDFKTFQDDQNLLESQLGPTITYFNDTGVHNLWILPGLAGLRTEICTELSSKYINIRRRPEC >CRE23309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:66781:67789:1 gene:WBGene00065200 transcript:CRE23309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23309 MSPSFLEIPDVPMEMIMNYLDYTAIQSVRKTCWDLRNFIDDKKPGTGIEQILIYEMDHTAVTLEIIEPIPGQFISLDYKIGGVNRCKILGGTRNGWKNKIVENLNFLDAVFHDIKVALNSQKSIFKKVFVDGDTFFEKFEKCMKSQKPFATESIEIGGNCIEHARQIMQCTDPKYLKSIEYSNGTIRICETVKLESLKNIQNFSHFSMTSIQLENLDVETLRAIKENFLQFHEYDKDLFVHNPIRENLFIDAFGEAFETLEENEENRFFNVPGNKEKVLKVHNQYLACKFQFLEKCKVPEGYEILD >CRE23414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:64281:65269:-1 gene:WBGene00065201 transcript:CRE23414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23414 MEKIMNYLDFIAIQSVRKTCWGLRNFIDDKKPGIGMKRIRISQMSDTLVRLMIILPSSERPEGTYIDLRYEKHENGRSISCETSDGFKAKFVENLNFLDAALHDFKIAINSQKLLFEEVTVSENRFFEKFEEMMKSQKPFATESMKIHADSLEHARQILQHADPKYLKTIDINLHKPNNITETVKFESSENIQNFSHFATASIQFENLNVDALRAIKEVCLFVQMSIYIKFFQNFLQFHEYNKYLFVIEAVRENLFIDAFGAACKQLGEKREIWFFNVPGNKEKVLQVYNDFIYFEYRFVEKCEVAEDYVILN >CRE23412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:61401:62441:-1 gene:WBGene00065202 transcript:CRE23412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23412 MSPSFLEIPDIPMEMIMNNLDYLAMYVFFPILSLYSLLIFRQSVRKTCWDLRNFIDGKKPGIGMKGIEIVETYHDRDIMLRFKYPTLGLPKDTYIDITYEKHENGCRISRRTSDGYKNKIVENLNFLDAAFHDFRVALYTQKSIFEKVTVTGETFFEKFEETMKSQKPIATESMKIRGRCLLEHARLIMKHTDPKYLKSIVIHPQDLLRILETVKLESSNLIHNFSHFATAAIQLENFDVETLRAIKEVCLFVQMSIYQLFFQNFLQFHEYDKHLAVFDEFSIRRINLFIEAFGEPSKPLGEKWDIWFFDVPGNNERALKVDNRYLCFEFRFIDKCEVPESYVILN >CRE23411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:59232:60300:-1 gene:WBGene00065203 transcript:CRE23411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23411 MAPSFLEIPDVPMEMIMNNLDYLSIRSVRKTCWVLRNFTDDMKPGIYMKRIEIDEKSDTAVRLAINGPVYWQSIPHSLPANTYIVITYEKYENGCRISDGTSDESKIKFVENLNFLDAVLHDFKVALNTQKSIFERVTVIGNTFFEKFSEMMKSQKPFAAESIEIHADTQKHVRQIIQHADPKYLKEIYTQKTLKSFDINQRERIVIHETVKFESSKNVQNLFHFSMYSILLEHPRVKTIQTIKDNFLQFHEYNKHLVVISILGENLFIDAFGEAFKPLGETYEIWFFNVPGNKEKILKACKSVVRLEFFFIEKCEVPEGYVIID >CRE23307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:54393:56110:1 gene:WBGene00065204 transcript:CRE23307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23307 MQLCENKTYKIFIVLFLILLLFLLKHWQEDTRAIISPLFPFPSPESSVIQNPPDSRKYVSSILAANSRLGNHLFELSSLYGISKKLNRTPTFFIQDSYHDQMIQDTDFLIPGLLDKFVVVNGTAPSTVTQIEFGMKCCIFEEPDRLKNITDQYLHLGGHHYHSWKYFPRLRSKLINFLKLPTTNFPDLPKSEPGNYISCIHIRRTDFNGTGFHMAGNDFILNSIKYVEREEKGRIADLNVTTVFFGDDLEFMEALVNETRRWEDENLRTVSFISKNTPPDDILYARYHCDAVLITSPHSTFGWWMGFLSKGNMVYYNDIKFTDDQSMVSGNFEPDDYFPPHWKPIRYGDVNNLTVVESLK >CRE23409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:53013:54073:-1 gene:WBGene00065205 transcript:CRE23409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23409 MTSELSLLQMPDVALNEIVKKCDYQSIQTLRKVCRDFRNFIKDVKPDYQFTNVSIELDSCFLDLTFNESDDEGNEITIRYRKHGLNCYVSLTKPNGKNSKHLLNTNFIDCFSTDFAIAMSSQKSIIQQFTLSHPVDFYMKSRAGDLLKKLKSLLKVRNVVLSTNGTSMIARFLQILDPNYLETIKIGGRTNQMTSTEMNQICKLEQFKKAKELEISTYYITTSVEYFSHFEKVTLCYISVTDEMFRSLKQMFITSPNLLSFSIDGVNYKYCQSLITSCFGPPDEQLSTMSTSRWVLMIPQCDDVIIVEKETYHVKFERKKKN >CRE23408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:51238:52246:-1 gene:WBGene00065206 transcript:CRE23408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23408 MRLSRSVTMFLCKLNLLIQFSHRIEFIFRQTLRKVCRDLRNFIKDVKPDYHFTNISITLDPYSLDLTFNISDDDRKKITARYRNDGLNCYVSLVKPCGKNSEHLLNTNYIDCFSTDFAIAMSSQKTIVQQFFFVNAWELHAKDLMRNVKSQNSLLKVTNSELFTSEPSRIVDFLQLLDPNYLETIKISGDCFMNKLTKITEICELEQFKKAKELEILSFFVTTPLEYFSHFEKVTVCYISVNDEMLRSLKQMFITSPNLSSFSIQFSSYCDRDLISSCFGPPDEQLSNHDTSKWVMMIPGSDDVLLVEVKSSCVQFERKKNN >CRE23306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:49839:51186:1 gene:WBGene00065207 transcript:CRE23306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23306 MSPSLVDMPELAMERILRFLDFKACLTLRHVCRDLRNFIDDDVNYSILPDSKFTKITVTVELNLIRFKFTGSRGSIWLTYNIRENMCTRMFNSHKRLLMDVDPVEVAVRDMDLVLRFQKTTMKRIIFNIQDSNLTESFTIILERRKRKIKVETLIVLASQPYQIVSIVNAKVLKEIVMRPLVDQEDFQLLDFSEIVETEQWKNAEELDARNFVFPNEQLRNLTHFSRANVWIHRISVEEIDYLKNHCRNNIEIRLQHPTSSEELSMKWGPSCRRHVTKDDWYFRTRDAEKILRITNDERFCDIVMSIRKMREGSIPENAEILEF >CRE23304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:47619:48367:1 gene:WBGene00065208 transcript:CRE23304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23304 MLKYLVISLLDRLWPAFNFLTFHVFERDPTLDPPLPPPDNSILIVVTHDVISYCHGPKPIIEYRRFQNGCIKTVNLWFKNERRWMENVDFVTAFCEDFSKFADEEEVLDNLSLKFSGDSEMETFSREFLEKFRHILVARPPLKTRRVRLEVFNQENLMSILPYLDSEALESVLIIDALRRWEKLEIDKLVVVDQWKKAEELEIRLFYVDSGVDMNNFRHFKKVVVDFKECLTE >CRE23302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:37471:39778:1 gene:WBGene00065209 transcript:CRE23302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23302 MITNYCDFPTIQALRKACRDLRNFIDDKKPDVYPESLKITVERGAIALDLTNGDHLEMYPEGSRIYLRYVGNEVYWIRSDGQRKKILEWENLFFQDFGLLLDNQPKFFNQVSFHFSNSDGPFYITKFEEFLKTRTRIPIPTKSVIISVSTKSQSLQLLPYIDPKHLESLEIVLHGRYNIPKDISKIEDMEQWKVARHLDLLKAVLKPTVPIEKFRHFLVANIQYETLEVENVKECKGLFLQSTDFKKHFTIGSVGFIDRDLFNGVFGDDIDTLPKKWHFKTEKNPEKVLQITMDSVFFEFKFVERSEVPNGVVIID >CRE23301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:28649:30057:1 gene:WBGene00065210 transcript:CRE23301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23301 MRFINPPACCEYSLPPILSCEQSQFLVVDGRFFQSYKYFSSMESTIREWLTPDDEVRQYLGTMIRQEDMERHKICVHIRRGDFETDGQHAGTEKEFTKNAIDFLYRLTPGAIFIFSNEQRWVRKEIVSQSKYQNEIRIMPTPQDQPFKDLHFSQVYCDTVLITAPSSTFGWWIGYLSKNQKNVYYRDIRDVEDSVKYQMIDDDFYPRSWRKMGMIENNGTIFLKN >CRE26787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:173372:176766:-1 gene:WBGene00065211 transcript:CRE26787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26787 MADINEDDATRRAEEEANRLAEEERIRLENELLEEEEPMEEGDEEKRVQEVRLEEIEKAINETCTDIKTRQNSARNSVEYCCRHSLNELERKSQQQPPTSLEEPTATSVFSGQSIQGNQERTKMVSLLEANEIHTEEELNKLFEKYEQLEYELSVKVEYLQRSQRQTDSFRSELCRLKVKCDQQQQKLLAEEEKAKKMSEELKTKYSNLRANSSTRVGECNQQTGERKESTRYYNAETSEIIETIPLQESLDSGRNWNQRIVEQNAQRNIIVHNEHEMSNMNAQWRMAQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDIEAQKSFLETRFLAGNALTVYKGLPEADKNSVSRILDAIKRRLSQSEPEESRRAKSKFQALKLHKEQTVQDFCLIMDEVVRIGYKGVPEYQISSMKTTKLLDEMREHTVFEVLLQILGSQLRNCPGEEQYELCRVEATLFDEEWRSGKKKVAQKERGMNRQQSDQPANNLQRTGSTQNNAFVPQQYSRFNRYTPNSQSNSYQTNSGAESGNNQCTSTTGQQQNFSTSPDVTNQYAQKTDQQNQGPKGYVYNEKSPECWKNSVHSSDSANNASSSALGFHKCSECSLTGCHAPTCSRAPGSNTSKVKINSTIVCFRCDQQGHIASKCPTRNAVIQEVRVAPEIQSTVEDQKFKMKSDTKCSSDKESERELIDHEMKTKDLCEGQSVTASVFKKDMVIDVDRVPSKSINSIEFSVMSSDEMLRSGDTKDDGLQTSCTKHAHTKDNNVGVNREFTEANSQKNRNCDEEQKSERDQRLCSISLTKNKKQSAQQKKVSDVISSFGDLKRDQNEQSVQKSIVKCDSIQSYMFSEDRDGEKKRNTVDQKAVDNVMCQGPPLNSIEDSSSLNQNDNMDASEVTNIVKINTSKSKFLNQKCLKKPGLQKTGDDTCGVDFPKKTKRRNKEETRPRTDPPVSSPETSEYVYNSVFCFDSDLWLKDTSPGSLDSDKDEQLDSYQKWKRTVPKMFKSQVIPRPLKDPPVSTNQSTCSDTKFAPVTDSPRPLKDPPDSWMEDVNMRRMQEEYRPRKDPPSPYCQHGHRNLLGCLQSTKFLPTPMSTVSS >CRE23300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:8765:11190:1 gene:WBGene00065212 transcript:CRE23300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23300 MPDDVMDRILGNVGMEEVFSLRKVCRRLRKQLDRVIPDIRLTQLHLSICQEQVEVQYDSDRITYLKLGPNCMIWQDTAQENGTSMRKKKSRKILVDEDYFHRFLEDLQLILKHQKGILDVLNVVVSEELTDKVAPILGRILKQNPHPLAVNTLLLDMDTEADVLQMLPHVNEDVIKVLELTNPRTDIQEFPLYTGELRKMAVWKKLEELRTRNIAVMGKPYLLGHFQRANVVVDQIRDDDVRYLKNALIKSSHFQYFHLKYLQFDGADQLFSNLFFTGVPPSFETINDVPHYLYRMPDTTQFLSITHHMEEMTISFGKIDAGVIQKIRESRNKL >CRE23299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:6960:7844:1 gene:WBGene00065213 transcript:CRE23299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23299 MITEPLLLCAISLAIGVLVVSADYTILPLPKEDCDKFVKNLNDKRREMVKRMNISDAYELTWSPELAKEDGEAAGITMLDNENRAYYGGNSFDELSQEFGVQLKGDGGESYDNMQSLLSPIHRTIGCSGYGDTTYFYVSCSLAPGAVHDVPDESLETPYFFQGFKGVPGSKCAAGYENNDGLCALIGSFTTKKPLTKPPVKPSTEAKDKDSVGVTEVTSGSPSISILVAFLLFFVSFTYWF >CRE23399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:3842:4735:-1 gene:WBGene00065214 transcript:CRE23399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23399 MTTSFPDFLQKLTPSLAIKSIRFAASPDALSFRASYGEGKDHVEKEYNSLSNFKKEMDKVWSRFSPESVPQLDISVGDPDENQNQLFLKYIREKLKALKSPLQVNIFIMRSVKEKEVMEVLQLLDPDSVAVIRISKPDTDNRNCQNLFGVRQISKLPQWKNAKGVIIMGLKMEAELSAFFHCENVKINVEKVTLDGFCELKETFFTKNHMRTFEVHFTEFENAELYFQSMFKTPANKTKDRTEWNIQMAYSLARITVVLTDAKFMMTKVSANDDEEDEDS >CRE18152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:11081:16665:1 gene:WBGene00065215 transcript:CRE18152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18152 MPIFWSELPENFRKNVVEHLDLMSRHSLKSTSTSNRFLVNSVVFSVPRVRFGYKEGRCLIMIYTGIETFLRMEFSKCTDGTVIHRSESSWDPSEISTKSHASTDALHLSISILKSLLANQSILIGALELEMEKKTGGHQEVIRHFSPMTSTSTTKFRIRKLVFVHNAFGGCEKRIGTAICEMEDLVGMERICLMISSKKLGVTRSFVQREKAWNGKSMVLNELCMRSDIREVMHFFKKSSDRMTDATADESPLNTFVQSYEPPRPSQKWNFERIDKHKYILRTTKTPCGEWSHVLRERDEKGHMPFFESEKCGMGYLCRTCSDPFDYWYHQNLSHRVLHEPFWNGVIDLMPDFEKECEKLRIHLEKNEKERIQKAQKGSKRIPKVKKSWGFKVTSSLEILMMPSTTTKKNREKKNKKKMKKMEKKAQKKADIQNSEDVTSPPESEYQEEAEYAESFHSDCSECLAARLRAASEDVEDSEFCQNQCPPGCTDCLADSEAPEDVEDSEFCQNQCPPGCTDCLADSEAPEDVEDSESPEFAEDVGGGGCGPDCQECMRVNSGSAVDPEDPENPEDVTPSEDIALVEISKPVVSQEAPEAPEDVVGCGPDCQECMRMNSVNSEDVASSEEVVPDALVEISKPVIWDSAPSNELAFEWGPSFDVPQPLSFVQGPTANLVPDDVDSEALEYSEDVSEDVKIEISKNFDFRFIPILVFCAVFLICVYFCVRFVILT >CRE18171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:23097:26938:-1 gene:WBGene00065216 transcript:CRE18171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18171 MMCHDREMYGMYVPPIRNPQLSSMSDEKGRRRMTATRKRDGAETTQKTQQNAWFVLLKEALSLVFTTYQESGILFYVTTISLDTLDELDDLESFNESIKDYTQLDDKSPFYFTFRGGHLIKKGQTSNRIVSDDGAIVDVYQQSSCGQSRFKMLKIWEESMREEMESEKCGLGWMCKKCSAPFEYWYHQNLPRRFYLEPFWRETFISLDVENNDKMYALIEQDEARRRKQRIQKAWKPSWGFRMRQIGFQNRAQKKAAVKNQKQKMNRKMKKLWRKENKNDVIVTKKSLGFRIRMGIREEIYKMYLFFKKMLRIALLIIAILIFSIIVGTRFAIQYVLFKIRGY >CRE18153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:29897:32530:1 gene:WBGene00065217 transcript:CRE18153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18153 MSFSVERTKKGSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEVIGVMRENGVETVEELRELCEKGVEMVPRGVVENAKKARDELALLQGAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQVRDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGEFSDSERSWGEDWKSGRSSRYSAENEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDDELVAILEERFLKGAAKSLFKSLGDRQERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQKAPGQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKERMGRLGDQGNSDGRRSPEGSIDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIREGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRGWEKEVEVFGKPTFTILNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVENWVEKILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRSASTGDVGEVFKSEGEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVNEAVVRMRTSRIYLRMALPNNSTGCQLKKDETIGQDTTIWIGESLRKRTPDRREEGEVASPRFFSHETHWKRRNQRREGTWNPDDPSHVKRSNMNSS >CRE18156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:43912:46903:1 gene:WBGene00065218 transcript:CRE18156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18156 MPIYNMTTGKRVDIEAGRGQYTVMEYNKKTGEFDCIVKEIPKNNPENSFEKILKWAEEKEKKKLAKKKQRKQAVPSAELSNSGNSSKSDSEDSDDIKKYSEEAKNRVYDWTPEENMRMIDNLRMKMLKMENEQSASEPDSESDSEDSEDAEEDFEGTGDSESSDSEYTHDSQSGVLTKHGVSEILKTGKIENSSEGFNLEDVKKVFDAKAKEMKEKKSLNSAIFTWQFQLKMIALSCVFSYLYVMSGPRIVSYFYPETNGKK >CRE18173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:48513:57446:-1 gene:WBGene00065219 transcript:CRE18173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18173 MIRSTPAGMPPARGTKTLAWFMDNDILKNGGGENGENGQGRRGSSSDGFTQKSLFRSSFSFPRFSKFGEEKGFFWIFWNFQFSLRKFQFLSNFSIFFFSFSFFFFLPRIFKIWGKKKVFFWIFWNFQFSLRKFQFLSNFSIFFCIFNLLRILVFPRFLEFGFLIQNRHVIWRQLLHPTRSTTKFRKTLSGHGAARKEGFTSSQAMSESSEDGAPPPPVTSGGGTSSGAPTAASGAEEYWFYDVATDGYYYEQNGAKGWRRRQPNAAVHKGGKDQEVAHMSVNGPGKYNQLLAAQAAAAQAAFLQHAFQLQQSQMIPQTPPPSGASGAPQMNNPTMRYYDPSSDGFFYEMASVDGWKRRQPNKPVSASVPAGITRPYSQRHQAPESMPRHPQQPTSSYGAALARGQFPRNTVKQPDSEVLSTTPTTTTTSESARGDSTASSICGDSVTTTTFAELFNSADPMFNPIQRPSALKLEQKAVSPTSTMENAPMNSTAALFDAMLADLNGGEKKTPINFNTYTIPPPQSSQSPMKESWNSFRSCSLFSPIKPSKRVQNPLLVGSQNQQPMGVATPMIDDMVDDDTMKMLMRDLDKLWANTPVSTLNQA >CRE18158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:84623:89376:1 gene:WBGene00065220 transcript:CRE18158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18158 description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3N8J4] MDSKLDESDDKVVQVGGANVRVLTKLAEDAKGRFNGLVKTVTLSLELPTSMTSDPRGAELFADTLIELVQRNDPFKTPRTRAGFNFESSEFEESVGMAFQKINNMSVSEIVENMARMSQSSRSPLELEDPKLTLRITYLNPPSGSGKRKFNTGDILELTAFEKKKKTDDTIEEPCCETKTMVETKQTRSNIMPNEVRENCLVHALYQTLMYHEWKQNRTIENQNKYRSSVRKTYKRPGICSEVYDAVNDLKERAGMTKSSDFDRLDIEQFQKTVFASTHQIVVFVENSTTPYYMGPYVGSSKQLVLYLSNGHFSGVRSICALLKTDYYCFLCNTKYKDSSSHYNCPLIHRVCGQKNCIISKEDEEVRCNKCTIKFRSQLCFENHLKNGLQNGKSRCQHTKFCKKCGEAYYTNKNKDAHKCGEKWCYRCSCKRARNHQCIMPISKKNEKRLTRRRVFFDIESRADEKTGQQYPVLFVALRCCPECSSSIPKPLKDARKETCKKCAPDGRLKIIECVTIKNRNVDVASELTEWLFADHHKGSVLLAHNASGYDAQFILEKMIASNKASPNLILDGTKLIFMEHNGVRLLDSMKFLTMSLSALGKAFEIDSVKGDFPVLFIKPEHYDYNDSMPEDKWYNLENKPSSVKKQILSFLENERNANKKFNFVDEIMRYCYNDVYILAKAMNIFETEFEAMTNVCLLEVSYFPFNTKRNVQVQESTTAASAAALVFRRNHMDPEKPIVLDVKPSASVNSSVISQKYLAWFGRKEGVQVNMSTTNGEQKIGEYHVDGFVSPCEKFPEGLIIEFFGCYWHAHSCTYTKSSMIGNENGEEIWDRDAKRLKSLKEHHPVKVVWECEVKRELLFDTEMAEFFDNYEPIGILHCEKSLAGGRTEVFKLYANNTRKVLRYLDVVSLYPTVMKHEAFPIGSPENVPQSTMKVPMKKPEDIKFRGFMSCRVLPPRQLNLPVLPIKYGGKLLFGLCRCCAKKMSNTACTHSNEERSFNGTFTTAELQKALSIGYTIIEIYHGLKYKHWAQNDANGEGGLFTTYINQMMEEKIYSSGWPSNVKTEEEKHAFCQEYFEKEHIRLTDHSRFKKNPGKRAVAKLMLNSLWGKFAQNVDRETTSIIIDPSKFWNMVYDTKTVITVARSVNDVLIVKHRKQAETLESMRTSAMQLATYTTSYARLRLYRFMEMVGGENIIYTDTDSIIYSVPEGTEDPLKDEVGSYLGQLTDELNGKMTEFVSLGPKTYCYKDLIDNNEEKIVRKAKGIIMNSQVEKEVNYDKMKVMVDEAIENAEDRTSLYLPQHTIYKDKNHRMYSRNIKKIFKYTFNKRRLLCDGSTLPFGYCD >CRE18175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:110154:113976:-1 gene:WBGene00065221 transcript:CRE18175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fce-2 description:CRE-FCE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N8J6] MGAGLVSACLPVSYVLLVHLFDRGGTDRNDSISIKRRFKGALLSNVFSIAVTAYYLLVYTTTPFHEMGLRLDHWKEALIYPFILMNAFYLGQFVMMHIDKTLWHYFDYYEWKMCFNNWVWRRDIIVGPITEEIVFRACSSTLMAHVWGPSVTCLLNPIPFAASHFHHIWDDQRRGHSLAHSILQRGFQFCYTYLFGVFATYLQLTTRHALVPIVAHAICNAQGLPLWLEIANYPKRRDRLTLYSAYSAGFAVFVYLLYTKHGMPAR >CRE18159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:115247:117759:1 gene:WBGene00065222 transcript:CRE18159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-50 description:CRE-CLEC-50 protein [Source:UniProtKB/TrEMBL;Acc:E3N8J7] MARSAVFLAIFALAGGVAAQTCNTGGIYSAQFNRCYQYFTAPAQFEFAEEQCNLLGGHLASVQNGQENALIQSNAANSFKKSNYSDYWIGANDLETSGTWKWTDPSVTWNYQNWQLGEPQSGSDCAIQDKGDGTWSAIGCTSYRPYVCVTPVIVTATCPPITTPAPTTCPTPAPCPVKNCVPSCDQGWTYFAPTDFCYRVYHNAKWDDAEAACVLLGAHLTSVHSETENTFVANLASCGIKEGNPKDLAWIGMHKVGQDWVWTDGTKSDYINWAPKQPDNPGKENCVETAPDLSHDKWYENWNNEACSTEMRAYICKKGSIHV >CRE18160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:118360:120512:1 gene:WBGene00065223 transcript:CRE18160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18160 MNVVIYILLLSIGSGVVAQKCNTGGVYSAQFGRCYQYFTAPAQFEFAEEQCNLLGGHLASVQNGQENALIQSNAANSFRKSNYSDYWIGANDLETSGTWKWTDPSVTWNYQNWQLGEPQSGSDCAIQDKGDGKWSAIGCTSYRPYVCVTPVIVTATCPPITTPAPTTCPTPAPCPAKTCVPSCDQGWTYFAPTDFCYKVYHGAKFNDAEAACVLLGAHLTSIHSLTENTFVNNIASCGIKESKYENLAWIGMHQENGKDWVWTDGTPTDYINWAPKQPDNPGKELCVQTAPDVSHDSWYENWNNLACNTVMRAYICKKVSIKN >CRE18176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:120836:122492:-1 gene:WBGene00065224 transcript:CRE18176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18176 MPIYDMTTGKQIEIDGGPETYTVMEFNKETGEIEYSVKETPKTISKNSLENILKWAEAEEKRKKKLAKKSEQPDDESSSSSDSDSESESEGSEDTEKDSEGSDDNSEESGDSESSDSEYTYDSQSGILTKHGVSEIITGEIENSEGFNLEDVKKVFDAKAKEMKEKRSSSNSSIFTWQFQLKMIALTCVFSYLYVMSGPRIVSYFYPETNGKK >CRE18178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:125088:126215:-1 gene:WBGene00065225 transcript:CRE18178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18178 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3N8K1] MMSARGFNIPGPWHLKEEDREQWMIENYGYSKPSFVYEVEDPLRGPLDPLHNNEDLFQEDREGHKFVGNLEETTNARNWSIRNADGTEVPSHRINYAHMKTWTDEDDAWLYRENTEDTHKFVGNLETAREEYMRNQYPADFEPLYAEETSHKIQYAHMKTRTDEDDAWLNREMPEDPDFSESEIEESDVVSQKQKPKRRSKKSSTVTTDSSDPLNAPTPDYIDTKPLCGTVWSILKEYGISQTLFAQVVAQRRQGTMSDLLRNPRDWFKMKSGRDVYRRMYNWVRMAAHERLEILNRDTPPVEPRRKKLTDGRSKRHTFKPEEKAMLQAVFARNERPDEDEMYEIAKQLDVKYDIIRIYFTNARRRKSNVPSRIQ >CRE18161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:128222:129334:1 gene:WBGene00065226 transcript:CRE18161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18161 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3N8K2] MMSARGFNIPGPWHLKEEDREQWMIEHYGYSGASFMYEVEDPLRGPLDPLHNNEDLFQEDREGHKFVGNLEETTNARNWSIRNADGTEVPSHRINYAHMKTWTDEDDAWLYRENTEDTHKFVGNLETAREEYMRNQYPADNEPLYAEETLRRIDYDEDDAWLNREMPEDPDSSESEIEESDVVSQTQKQKTRRSSKKPSTVTNDASDPLNAPTPDYIDTKPLCGTVWSILKEYGISQTLFAQVVAQRRQGTMSDLLRNPRDWFKMKSGRDVYRRMYNWVRMAAHERLEILNRDTPPVEPRRKKLTDGKSKRHTFKPEEKAMLQAVFARNERPDEDEMYEIAKQLDVKYDIIRIYFTNARRRKSNVPSRIQ >CRE18164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:138054:139508:1 gene:WBGene00065227 transcript:CRE18164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18164 MLKIFGKVSNVSLNSIMVDINCMNTCFTQDDCFLAFFNSQNHCKLFNFNTTEALEVEKTSREEGLFVAFKVCPPVHLSVHTSRCPLFQTTLPNDTCPTYDSMIPVVNNGEDPVNWKKLGNMFSFQKCRGDWKMFRRSIPEVTVCMQVFLLGTGGGISRLEAVQYCESINTTLTGVATIEESKWLNGRVNWGKDRLKKLYPGAQKWEGVWMDGIRNCTGFKEPNCRNYDWSDGYTEGLDALGLSNARLSYTGDSGKNENCLKTFIYYAPLTINCVNCDRTSRLDIGAFSQKMYSWTLFFLFVIFFWNLPDIDAMEQVTLTPEGRQVAAWVIVLGVIGGIAVSASIAGGIFMMNRRRANEVLVVR >CRE18180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:142137:143162:-1 gene:WBGene00065228 transcript:CRE18180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18180 MLKIFGKIPNTDLGDTVDPDCVQTCFKAFDCILAYFDTNGRCQLLNFNETETLEVVETTKEDGLFVAFKVCPSVCLSVHTSGCPLFQTTLPNNTCPAYSDIHPVVNIGEDPITWKKSGTTFSFQRCVGDWKMFRRSNPEITVCMQVYGIRSGVNQTEATRFCEDMGYKVTGVATVDESRWLKKRFLEIYPKADNYQAIWIDGVRNCEGESNPKCNVSFETDGLEPRMVSKRPTKRFEVFEWSDEYTVGVEALTPDNAALSFYKGSTPENCLGVISNSGTTVSLNDIPCGRGSGLELGVACGYRMLA >CRE18165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:144099:145333:1 gene:WBGene00065229 transcript:CRE18165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18165 MLKIFGLVLHADLEGTVDPNCVQTCFEASDCILAYFDTSGRCQLFNFNETETLEVEETTKADELFVAFKTTLPSNTCPAYDRILPVVNIREDPVSWKKSGTTFSFQKCIGDWKMFRRSNPEITVCMKPFDFAPRILRKDAVTVCENMGAKLTGVVSAVESRWILGSTELENILIFQYFPEKINDLHEGGIGVYDGYWIDGIRNCEVPGTNCTTFRWTDNYTVGNDALDAVNAALSFSSYLGDYNEDCLAVEATPIETQTINDVGCIGTADEYGMVCGYRMV >CRE18181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:147205:148317:-1 gene:WBGene00065230 transcript:CRE18181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18181 MSPKLLTYFIIFIVILIRKDDAELIKMTKIFGKVVSLGLNDAPENSGCLTTCYESEDCILAYFDTTDRCLLFNFNETQKLEVEETTKADGFYVAFKMSMFQTTYQNDTCPSYNILSLQVNIGPDPITWKKSGTTFTFQKCIGDWKMFHRTGPEITVCMQPMLPPALLNITQSKEYCESMGYKLTGVATVEESKWILEKMKVVKPDWNYWQAFYIDGIRTKNCSDSNPQCNNFEWSDGYTVIDDAVLNSTNAMLTFHDDNYIPENCLGVVDMGSSLTINDITCETFALNVGLVCGYKLY >CRE18166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:152992:153993:1 gene:WBGene00065231 transcript:CRE18166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18166 MLKIFGKVSNVSLNSIMVDINCMNTCFTQDDCFLAYFNSQDHCQLFSFNATESLEVEKTTKADGFYVAFKTTLPNNTCPAYDSMIPVVNIGEDPVSWKRSGNTFSFQKCRGDWKMFRRTNPNITVCMKPFLLPKRGNLTEGKGFCEKMGYKLSGVASVEETQWILEQMILTGISLKNWETFYIDGIRTQNCSLTRCNEFELSDGYTVIDDAALSSTNADLSFIDKNQNREDCLGVVARYSGRINDVRCDSADLNVGVVCGYKLF >CRE18184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:156553:158133:-1 gene:WBGene00065232 transcript:CRE18184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18184 MAMFSCFFPKPSKNCEKYESELEPGALRFEEFFSHGHHNSIPTDPLTPFNFSNMCITGGHKILTVTYSPSGHGGCVLYFKRGNNCDVKLISYDPREMSDESNETVSQQILNDQNPFNAFLSDFEKVLSHPYVTVDSLSIMLHGDVNQQFVELMEKKLAAPIAVRALELDIKAPEHHVNLLECIHPLTLKKLTISYFGDLEDFGIKAGVLEKLPVWNNLDELHIKTFVVTEGVPDLGNFEKVSMTFDKVGVNDVVKVIETFLNTPKCKNFHFHFFHFDDADKLFDIIKLPCTEMNGLKMVHLGRPDTVDILSIMQNLKENTISLTKMDPNSEKSDRFFGF >CRE18168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:163750:166817:1 gene:WBGene00065233 transcript:CRE18168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18168 MPSIFSCLFPQLLRPKAPKYDTNLMDMPADCMNIILGHTWFEDIHTLRQVCHSLRTHIDATNPHPDVQFLDFSVQITATRVLLNYMAEKRMGDIKYEMKGDDCEVTQGLLYLVGRKRRERKVRKLVENQNYLNVFYNDFERLLKYHNQGVLGTLTLHIAPGLSPDVAQVMMRVLAAKPRPLQVRDIDIYTEAPEEFLPVLSLLDPREVESLTMKSGESEEKRILKLNLEKWTKLGHLDIDPWIVDVPPMSSFRTLWAASLHFETLSGEQMAEVVEIIRNKHRCRHLDFTFKTFENLEAFLYSLKTRFWLGRDGEVECFMEFPKGQITVDKGKNRIQLKQEVWDFKITGDDDVIDWDEIYRNLPEEERKYIRK >CRE18187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:179576:181979:-1 gene:WBGene00065235 transcript:CRE18187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18187 MSYNFNDDSNWFTSPEDLERDGDISIDFDRNVLEDRDYCSQEQPWGTPLNCNDDVESSQRNQIPHDQDDMDCGNQDLDVYGDDDFGQDFGQKDIGTDSQVLNDNTYQNPTSQIQTAQIPESTEILHDDGIFFDSFQDPIMDEIQQQIQQYVGIDENGNKNAQQLVEQQQSKSQVDMNQTLIPVNHSQGHQKQHLEAQDRPNQDYQPNIQEQQPSTWSLPIQNLPSGPYRLTQERRLLRTAAPYTTKPTVPKKGSQNNRFVGLIASVLLPNTQTSKAALPRGKSTPNPKFVQILIWRTEKNQRPAESLPRPSFSYQILAMMACMNSPTKSVTPQEVYSFLLHHFPYYRFVVDQDSWKSSVRNSLCSEKYFWKISGPEKELMYKIRSEEAVGFTKEELAWIETDPRGKEFFSKMALGHLGLPRQLFYTIIGIGCPQYAGPENSALFYHLWSLGLDFNDLHDDNPFRIHVSPFEGAEPVFDEKHEFLKMGRKRMLVEKRFGYGSNLEDGEKKKKWSMEENSKFFENLHWYLEQMEEMKRRNMENWTTPSMVTTNFLV >CRE18169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:186573:188947:1 gene:WBGene00065236 transcript:CRE18169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18169 MSYNFNDDSKWFTSPEDLERDGDISIDFDRNVLEDRDYCSQEEPWGTPLNCNEEVESSQGNQIPHDQDGMDYGNLALDVYGDDNFGQDFGQKDIGADSQVLNDNTYQNATSHVHLEVPQDKDYAPQLIQTAQIPESTEILHDDGIFFDSFQDPIMDEIQQQIHQYVGIDENENHVENAQQLVERQQSKSQVDIDYPDAENLIPVDQSQHHQKQHLDAQDRPNQDYQPNIQEQQTSTWSLPIQNLPPGPYRLTQERRLLRTTPYTTKPTVPKKGSQNNRFVGLIPSVLLPKAQTSKAALPRGKSTPNPKFVQILIWRTEKNQRPAESLPRPPFSYQILAMMACMNSPSRSVTPQEVYSFLLHHFPYYRFVVDQDSWKSSVRNSLCSEKYFWKISGPEKELMYKIRSEEAVGFTKEELAWIETDPRGKEFFSKMALGEVGLPRQLFYTIIGIGCPQYAGPENSALFYHLWSLGLDFNDLHDDNPFRIHMSPFEGAEPVFDEKHEFLKMGRKRMLVEKRFGYGCNLEDEEKKWSMEENSKFFENLHWYFEQMVEMKRRNMENWTTPSLVGTNFLV >CRE18188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:197426:199856:-1 gene:WBGene00065237 transcript:CRE18188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18188 MQKLEPIWQNLPFFFKSQVVENLDYKDRCCLRKCSKADLCLVDSSPNRLDSIAVNTYENYSFLSWSDTGKTVYTVKYYKNCRETDLRLEFSAAPSLFIPTKNEKTIFKKTEILQNLDPKFDDMDHVLIDFSIALKKLTCDKILIGDVILKGPHPTAHPEKTMEFRERLLNQMTSPVKAKCLYLKWGNEMNEIEEILKNFDSKTLKKLEISDRNAFWELSKIMKMEQWKKAEKVHLEVLSDLKIGDLMHFSEIQMHVRTLEESDLWKVIQNFITKNQHCSYFHFSYRTIDPDLQRILGTFNVPIKDEPIRASTQVRQMLERRINHTQRFPMPSPDLVLVVMIADKEVMGTVCRKDNVDVEAKVETGILRE >CRE18189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:200738:206521:-1 gene:WBGene00065238 transcript:CRE18189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-algn-7 MSLISLFINAGLSAGGAVVCYRLILDYIPIFIARKMYGNDQCKVSNAPVPEPMGVICAAVYLIVMFMFIPVPFFEWIGMESTEFPYAKLLAILSGLISISTAILLGFADDMLDLRWRHKLLFPTLSSLPLLMVYYVAGNSTTVIVPSIVRHLIHPIAVLPITINISFIYYIFMGMVIVFCTNAINILAGVNGLESGQSLVISASVSLFNFVQIYRFGTENTTGFWHHIISLYFLLPFTACTAVLFYFNKYPSRVFVGDTFCYWSGMTLAVVSILGHFSKTLMLFFIPQTINFVYSIPQLFHLVPCPRHRLPKYDPKSDTVSMSIAEFKKSELKLLGSIFISICRSIGMLYVNEFEKDGEIYLRINNLTIINLVLKFGGPMHEKTLNDVLMTIQILSSCLAFFIRFYLASLFYDVVE >CRE13797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig501:12157:17491:1 gene:WBGene00065239 transcript:CRE13797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-24 description:CRE-DHS-24 protein [Source:UniProtKB/TrEMBL;Acc:E3NLL2] MTIFLHFSCSTQSGTKYDLHEDLAGKTYIVTGATSGIGQATAEELAKRNARVIMACRNREKCVQVRRDIVLNTRNKQVYCRQCDLEDFDSIRTFVQKLSKGKFELDRIDGIVHNAAMMQSERHVNKDGIEKTIATNHLGSFLLTGLLLDKLLNQTNPVRIVFLNSNIIDRKCDLNLGDFNSEGAKKFDGYEVYKHSKLASALFSKELSERLKESNCNVIVADPGRTKSNLSAQMDGQTFFLSRWLLKIVSFGMGERRTEKAVRPVLYALCDPETVDENGLFIE >CRE13796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig501:6420:9016:1 gene:WBGene00065241 transcript:CRE13796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13796 MRVIIALLSLATFANSLYFHIAETEKKCFIEEIPDETIGDRKLQSPTIRSEHKGIRRLSDIVGMHVEVKDPEDKVILSKLYTAEGRFTFTSNTPGEHIICIYSNSTAWFNGAQLRIHLDIQAGDHAQDYAQIAQKDKLNELQLRIRQLLDQVDQITKEQNYQRYREERFRQTSESTNSRVFYWSIAQVVVLAITGAWQMRHLRGFFEAKKLV >CRE13795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig501:51:4752:1 gene:WBGene00065242 transcript:CRE13795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13795 description:Polypeptide N-acetylgalactosaminyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NLL0] KPNSFNQEASDSLNPTRKIPDSREPPCRDVDYSTIDMKPTTVIITYHNEARSSLLRTVFSVFNMSPESLLTEIVLVDDNSIDVDIGKELAQIERVKVLRNNQREGLIRSRVKGAQVAEAPILTFLDSHIECNQKWLEPLLARIAENPKAVVAPIIDVINVDNFNYVGASADLRGGGNTRRAAEVWMDEYKAIYLKNVPSARFVNYGDISDRLAIRDRLQCKSFKWYLDTVYPQLVVPKKTPGKSLQMKMGHLCLDSMARKEDDAPGLFACHGTGGNQEWVFDPLTKTFKNAITQLCLDFAAEKKAVLMVKCEKDPNNRIRYRLLSAGGLEAHFNVNAESGLITLARPIDAFAGEKITLRIEGADSGMPPLSSTTTVLINVVATSSHLIPDASPVSNTPNEGELQFSLRNYTSLAQNVVKFGTLYVITVIYALRPETMVMEKNGWLTQGGMCLTVNQGTSGEWLMFGSHCDLKNGAQRWIFEKLDTFE >CRE23778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig477:180:2228:-1 gene:WBGene00065243 transcript:CRE23778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-4 description:CRE-GLY-4 protein [Source:UniProtKB/TrEMBL;Acc:E3NLC5] MLPRMLKMRTVGTVLAVVWLLALGFIYVQSTSSADLKANAHAPPPLNPLPIPDENRPKKQAPPIPTIDLAEDTTIHERTEVGVTWKTFDVEKFLNKGKWHQGEAWGARFSHFHLPISSLSSPKYRKFQDKYKANSFNQEASDSLNPTRKIPDSREPPCRDVDYSTIDMKPTTVIITYHNEARSSLLRTVFSVFNMSPESLLTEIVLVDDNSIDVDIGKELAQIERVKVLRNNQREGLIRSRVKGAQVAEAPILTFLDSHIECNQKWLEPLLARIAENPKAVVAPIIDVINVDNFNYVGASADLRGGGFT >CRE23779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig477:12661:16019:-1 gene:WBGene00065244 transcript:CRE23779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fars-2 description:CRE-FARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NLC6] MNLDPATVTPRSEIQDPVTVPPTFEILDSVTVTWRSEILDSVTVLPRSKLLDPSTVNLESRIQLHTPRSMILDSVTVILRSEIQGPVTETLRFEILDPATVPSDPGSITLKSEILDLVTVIPRYEILNLTTVTLESRILDPVTVPPRSEIHIQICYSNPGTVSPRSEILDPIEGVRLYSKDDLLGKKPDGSNVADLFTPTPDTQRIPEKQETHSIDATKATEIQLKQFLESLCDELFGKDAEKRWVDAYFPFTHPSWELEVFYNGQWLEVLGCGIMEQKLLESAGVTDKIGWAFGIGLERIAMVLYGIPDIRLFWSKDSGFLSQFAGKLPGEDVKYKQISSHPQVIFDISFFLPESTQFNDMTSDVYDTIRTIGGELVEQVKLTDEFENKKKGKKSQTYRIVYRSHEKALTKEESKKNENAVLSQKNSTYRIQKARNSGFDYLGRR >CRE22394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:2893:6706:-1 gene:WBGene00065245 transcript:CRE22394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22394 MPPKRSDDHSLKRSRDKTPHRGRQESPERGRSQRRNSRESPQRRQRDASPPDSSCRNAAPRRSNTRREFQVPMTPHSPTPTKKIKPSSGATSSSRANESPMDTSTSTLRRSSRLSSDSDSMTSMMTSRQSEMRNTGILRDDGPRLPRRDSSRPRNAVHFGDQSRVRDSSSRRDKKESTSNRKKSIQRDPSSSRFECASTANRDHSRERDSSSRPDKKESTSNRDQSRPRKSRRDEIAPPASRNRSRSRTSRNDHGDGPSGGASKLPKTSTRPSRAVSRVQPDRRSKSKPKGPEIRADVSTSDNKFTSNRNRSLSPSPSPKSSRGKSSHRSRSQKPRKHKDEVSFEETIRKVHEHSIDWFTKCCIVSMYKRMDKNDLSVDVRRILDRLERDVEETDCCSAKIPVPVRNKATTTDDYRMLDKHINNLVLSVKRTGATSAPFDAKYPKGPTYTGDTFIDPSTTSAADIIEAAGNMLETGRNTIHETLDKTRMRNLISETTGALKSDVKFFEKYRQRDDIRPSQGHLPVAVYEIETNSEDDILLLKESLRAASVAVVRNACAVFKLDVDRFKLDEVTLINPNVFVDVARQIPQPTSSNYHIRNYARQTDEENWAAYLYTHEVKITELAQYHQNVETLSKRALERIIESPSTHETVLRHLSGELKSVQIPLPRNVKADRDAMAIAFGTNIDIPNGPAYAALNKEIAKLPEFVQPVGRKTFWAYVNDKLLGVNSMQVYYKVPGVRTFPHLENGCLASVNINIGMGECIWFCVPMEFAGKLQELAREMIGIKNGESIFVRGFWPVVKCCLDAGIPLVKFIQKPGDMVVVGPGTYHWVQSNEITSHIAWNLARGTFTQLAAVAISNDNYLSNQYTPMIPIEPIIWGVTVESRLKRDFRRLLKHLLCRSLFNAKVEEGFVYAKKYTLKNVNEKPNLLAVERCQMSRCGTVLFNTIPCDKDGIVTCYECLARRGLLDMKTEIVVYIRHDIYELEDKYDEFINKRE >CRE22395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:10156:10511:-1 gene:WBGene00065246 transcript:CRE22395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22395 MLFRALLALCLVSSIGAVTLAICQNYCASVNGGASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGSCMTGNNFRCCLATTPAKTQEFKVSGCNTLYNNL >CRE22286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:11073:11428:1 gene:WBGene00065247 transcript:CRE22286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22286 MFFRALLALCLVSSIGAVTLAICQNYCASVNGGASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGSCMTGNNFRCCLATTPAKTQEFKVSGCNTLYNNL >CRE22287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:11985:13321:1 gene:WBGene00065248 transcript:CRE22287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-24 MDCFEIVFDNKNGYIPGSNVTGSAIIRTSTDINARYLKICIHGAAHTKWSEGVQRHRYCDGKKEYYTEIEHYSSEVNYVNGETIAWSATNGNGFLPAGHHVFPFSFPLPVDCPPSYEGFHGHIRYSVRVELDRPWKFNKKEWEDFKVIPNFDLNYFPYGNVAVQQRDIKDIGAIFKKGIVTMTVTLPKQAYAPGEVIPITIDIDNASKRPAYCVRAELHQHSHYHGSLHSLLGTCSSHHEHHKDDSKRVVESRKNIKIAAKSQGREELKMKLPKLTPTFECPIISVEYCLSVKLDTETSMNNTLHCEFNIIIGTIPTNQPQPIVLSSSVPQVPSAPPAIERTESMPPPYFLVPPTKMSDDTAGPSAPPPTYEESVSITKV >CRE22396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:13804:15751:-1 gene:WBGene00065249 transcript:CRE22396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22396 MAPVGHEVKQEIIDSQEGYATIQPKTEIRDNDESVIAMICKDRLPVTILEGAGFRELFGKLRPDVQLKPVTYYIENALPTLNDKLKEKIRIDLISASKVSLAFGTFKSHADRPEHVSLSAYWMIPEKMEPRHALFFFKTVPESSPNVLSKLIINYGIRNKTIGYISESQRIPRICWLENDSTKLPDFEGILNEVIARSIYTSSPDLLRKIDRSVIDFQKVFSWREHKEILRAVLDTSAMQNSENSSTKENQKDTELTEEEMEVSNFVFNILEQLQKANDQIRHRFYQTASVIIPILKVLLHKLTNVVNNGASVSEQLIGRNVLNKLETASNNSQKNVVLKTATFLDPRFKNEFFSECHKVGVLSIERKLQKTVFQNYMIHHFKARFDKVIKEEVLTEETTDFDQFVQVKSSTSPSEPKIVCLEKEIEAYLCKDTNSKTDPTDFWMQNQSQLPILKSLASEYLPIPASASGTEKLFEDGQKMISKGFISGIRDDFIFCSANIAGYGC >CRE22397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:24606:30127:-1 gene:WBGene00065250 transcript:CRE22397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22397 MEDPLNGIPPELPPDSETKLLKMNGGASSTNHADTGAHSVSPTMTNRQQSMDSSPSIADDVEMKDDLSAEDTDNESSKASMSPGDSVSKDQDSSDLPASTDVSAIDNRNGKEIEQKEGELVAGSPVIGIHQKPVDSSPSITDSIMGSEIKDDGNDMDWDVEKKENPPSEDSGNEEAEMQDDVPAEDTGADEKMDNLPVENSGAGEEEMQDDVPAENTANAAESSNASMTPRARPKAARQKICQIAGEPASAVFYTLRSVDAAQNSRVAAPAKSDAAESDLEPTDKKQKTSENEKEKKPRKTAARRSTVRSRARKAAEEKPTEAQEGMFRMSARWLSIAWILSALRMEPGNIIPDVETKKRRNEIEKMVKEIEEENSHIVDIDLDTDMPMQENYRGVMDKIEKMVLQSKKDQPRNKRKRRGNSKLTEPFEVNFTGVPFYDFKKVTSLEIVKLAEKAKERGLNLVFGKPDPSCANLIEGDDAVIKEELRFFQNQGMQETPGYVSVARFHLADNSEGTWKKLHKHLMKTSMCVITGLAKVTGFDEKKFSPALLVKWKPDFVHPLMHQLPQPTSSNFNRDDKKGNSKKWTITGSVTEKNFSLKTFVDWLDNGINYAKEVYRKMRESQMTDLEIFREELERFLHENQLPINGQQHNTNANLIGFATNIELETQEFTFAELKTVSSVLLTFKFKISCFQEVEKLPFMLSPNGKGNLLNYAGEIIAGLNSAQLYVKAPGSRTSIHPENSALASFNHNIGPGDCIWYGVPLEHSKKMTQLLKHHNAKSKSVGLYATANWPSEEELIKEGIHLQKFVQKPGDTVYVGAGTYHWVQSNGYTVNVSWNIAQPNFDQLALAAFMNDHYRLNHSHALLPIQTIAWNIAEKKIRHSLKFDQLISVICMRSLARAQTEFDYLAAHGVEPRPAAESRNVVPVERCSKCEVCTHNMFFVYTDTKTFCIECAHKKQPKFIGMTAYYRHKMEHWMEKLDQFNTLWRQEAGEDKAPQEATPQDAAPQDSAPQDTAPFNAAPQDAASQDAALHDAAQTPPDAAPQEAAPHDAAHV >CRE22398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:34832:35800:-1 gene:WBGene00065251 transcript:CRE22398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-183 description:CRE-COL-183 protein [Source:UniProtKB/TrEMBL;Acc:E3MDX6] MESHVDLKLRTYRCIAYSAVAFSCIAAVSLCFTLPLLYNYVQHTKAQVNKDSMYCKGSVHDMWSDIREMEVTHNRTARQAYASAGGAGGGGGGAGGGGGGGCTGCCNPGPPGSGGRPGKPGKPGKPGAPGSPGASGKGAAAPCEPSTPPPCQPCPAGPPGPPGPDGQPGQPGGPGPAGAPAGPAGPGPAGPPGPPGSPGNDGQPGQPGGPGEPGASTTGGDAGPGPAGPPGPAGPPGPDGQSGSGGAGAPGPKGPPGPAGQPGSDGNPGTAGPPGNAGGEGEKGICPKYCAIDGGIFFEDGTRRR >CRE22288.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:37670:38591:1 gene:WBGene00065252 transcript:CRE22288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-184 description:CRE-COL-184 protein [Source:UniProtKB/TrEMBL;Acc:E3MDX7] MSYAVAVTSAASILATGMLLISVGNIISDLNNLQLEITDGMNDFKMKSDETWSRILSLHVNPTGETAAPPNFKTLFGRHKRSNSQCHCGLQSQGCPDGPPGARGPPGAPGLNGNPGVDGKPGVNGKALTYVHAAEADCIPCPGGPAGPPGPDGEPGVKGPNGAPGPNGHPGQPGTPGPAGPAGPAGKAGPTGPTGPRGPAGPRGTRHAKGVDGKPGDVGPCGPEGPKGAPGTPGNDGTPGPRGYAGQPGVPGEPGEDGSAGQPGADGAPGDDAGYCACPGRTMFEA >CRE22288.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:37597:38591:1 gene:WBGene00065252 transcript:CRE22288.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-184 description:CRE-COL-184 protein [Source:UniProtKB/TrEMBL;Acc:E3MDX7] MSYAVAVTSAASILATGMLLISVGNIISDLNNLQLEITDGMNDFKMKSDETWSRILSLHVNPTGETAAPPNFKTLFGRHKRSNSQCHCGLQSQGCPDGPPGARGPPGAPGLNGNPGVDGKPGVNGKALTYVHAAEADCIPCPGGPAGPPGPDGEPGVKGPNGAPGPNGHPGQPGTPGPAGPAGPAGKAGPTGPTGPRGPAGPRGTRHAKGVDGKPGDVGPCGPEGPKGAPGTPGNDGTPGPRGYAGQPGVPGEPGEDGSAGQPGADGAPGDDAGYCACPGRTMFEA >CRE22289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:39434:42449:1 gene:WBGene00065254 transcript:CRE22289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tre-4 description:Trehalase [Source:UniProtKB/TrEMBL;Acc:E3MDX8] MRWIGSILLSFLLAPHLIQSRLDINYKGVINVWQFFFGVFRNFHIFFFQSKNTVLWNPLDVPCNESLCTGPLSEIYCHGEILQNAWQFGLQKLCPGDKLRIPAAEVLEKFDKLKYPTRIDDFQTFCKESFEQVNYLEVVNLTDWDIEPKFLKEITNQKHKKLAAELNERWKRLARQFTSDVLRHPDMYPLLPVQKPFIVPGGRFDVYFYWDTYWIIKGLLVSKMYETTKGIIENFSSLVVTLGFIPNSGNIQLTRRSQPPLFPHMIWEYTKATSTFDKKWMESMEMEMSFWEKNRTIEVEGQTLFAYRTLSNCPRPENFRGDYQIGMNSSDPSSVWRSISSACESGWDFSSRWMQSNNTADLSSIHTDQIVPVDLNVIIAKNYIILASYSDHFGNFDKAAVYRRKFDQLSDAIQKIFWDDKKGAWFDYDIPTKKKNLNFYPSNVYPLMLPGFEKYSAKVEGYMKSSGALNYAGGIPSSLPANSVQQWDFPNVWAPNQHFVIQSFLASNCSFLQQEAKKQAASFIETVYNGIYNPVGVVGGGVWEKYDARSTGGAPGAGGEYIVQEGFGWTNGAVLDLIWTFRHDQHQRQEKSEDIENVTLNRSQQSALVYAAGGFCAIVAITVLAKGLISRKKQNRRGDDLESSALLLGDDDDDQEEL >CRE22399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:42681:43528:-1 gene:WBGene00065255 transcript:CRE22399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-efn-3 description:CRE-EFN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MDX9] MNPGVLYSAIWLSHFIVSAETRAYHDVIWNSRFFNENFKFTKMHVRLGDQLTIVCPESYHQGMNYEYAKLYWVSEKEWEQCYTDRSQPFGVCGDEDRTETIKLNFRSRNPIPGGMEFEVGKTYYLISTSTGEAYGINNVIGGLCTEHQMRIAIEIIGGQVPVQQMRYEVHEYQSLSYTAPISSWLSLLCLASFVLYYYV >CRE22400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:44800:46413:-1 gene:WBGene00065256 transcript:CRE22400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-50 description:CRE-SRD-50 protein [Source:UniProtKB/TrEMBL;Acc:E3MDY0] MMSDMENRLVLILTIFYNLYFLLAITSQILLLFLMIKCRVRSLKDMKIYLFNTLVLQFISTISAYLLQCRAVPSSDTMALLCYGPCKYFGTIPCKVIFQILETSLIACATSLIIAFYYRYEMLTTNSFTRNRHYKQLVISYGIPLVFLIFEVLSPSDLSKVAAELMALHPTYDIDSYTVLGFSEVKSVIATIQTLLMMLGIYGTPFIALLFRSKIMKILNKTSKSYHAEKIEQTKSMIQVGCNLFLLVLPHRKPFLFQGLTLQTLLPLFCYVPSFTYYIYAQYTHSDSLVAEFAVSPFGFIYTIFDPLLTIYYVLPYRRTFNAIFRKHSVSNVTFIQSETTRRVI >CRE22401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:46853:49207:-1 gene:WBGene00065257 transcript:CRE22401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-51 description:CRE-SRD-51 protein [Source:UniProtKB/TrEMBL;Acc:E3MDY1] MTFSFRMVAVEKKLELFISVYYSISITLALLTNLLLLFIMKTTKSSLLKDMQYYLLNTAIFEMIVGSSTFFAQCRPVANKSTLAVFCYGPCKYFGQNTCFVTFAVVQCSVVAASFSILLSFYYRYRLLKLHQTNTNNHAKIFIIFLTFPTTMMIFQLLTDSDYAIVEAETREMHPDYDYTTNALIGFSDSKGVGAIIAQLLISLGVYVAPLIAFYYRRKINNIISTNTVQRIPVAYCKQLINGLLIQTLIPFCVYIPPYSYFLYSQISGESNLYLEYLLNIFGSFTAFINPLLTFYFVLPYRRALCKRVFKYLPSISEEGTEITTFPTNANFQRRNTASTKL >CRE22402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:51617:60459:-1 gene:WBGene00065258 transcript:CRE22402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sad-1 description:CRE-SAD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MDY2] MDSYYTSFPAPIPSEPCLEVLGEINSKLAAVNNELNSKIMSENIVSTRPVAQAQYCGPYKLEKTLGKGQTGLVKTGTHCITGRKVAIKIVNKEKLSESVLQKVEREIAIMKLIEHPHVLHLYDVYENKKYLYLLLEHVSGGELFDYLVRKGRLMSKEARKFFRQIISALDFCHAHNICHRDLKPENLLLDERNNIKVADFGMASLQVEGSMLETSCGSPHYACPEVIRGEKYDGRKADVWSCGVILYALLVGALPFDDDNLRNLLEKVKRGVFHIPHFVPADVQSLLRAMIEVDPGKRYSLADVFKHPWVSGTTKADPELELPMSQVVQTHIIPAEDSIDPDVLRHMNCLGCFKDKQKLINELLSPKHNTEKMVYFLLLDRKRRRPAQEDDTEIVLRGAAQNNDPPKKRTDTARTGRLPMGGIADGSPINPRKTYGRNSKSGRHSSLGGSPTESPRSSTRDLFGSSSSGSYSARAGEDRERGRSASRSTNSYHYYTQPVDPQTLAEAARHVREAREQERRESRDNGRGSSRKESKDRGDKASCSSSKNEASSSVPHKYSPPSVMSESVVVPGSTMNSTNSSTNSLIAGNSQTSIGSTSGPWRSKLNTIKNSFLGTPRFHRRKMSNGTAESDSEDSQMIDTTDLVKKSWFGSLASSMSVERDDTHCVPVQGKTLNSIKAELIRAFLQIHELSHSVVGQNCFRVEYKRGPTVGGSVFSRGIKMNVDIIPSPQQVVIAGETPTYVVQFVLLAGPVRRFKRLVEHLSAILQNSTQQRADRQQQASLMVRPRRLSDSSVGSACSDSESNASSINMIARHADKTETSTASSSDAYGPSPSMRSVGSSTANSYKSPTPHRRNTTAVSASSSTASNRYAPSSSSSGSYSNAPDYSYHPEYAQRNNGSSAPKNQYSPGSQRSFAFSMFNKADKV >CRE22290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:68123:69255:1 gene:WBGene00065259 transcript:CRE22290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22290 MQSISLVCFSDVINGIEYFGVSVGEFGGSGVDGEVFLVNSTHLQILGFRVGKPNLPPIPFAFISASNEKSTPKIYQYHSSPNGEWLENLVSLGSEQHKTHTRLIVKMTGSAAQWKQFAVVDNSGNIIASVNLNKKSPQPFCCFESEPDMGLFGEYGIISDPIEVIDSRTLRIPRFSYKASQTPDGYFFAGAGTDIDQKTGKKAMIVGRDSTLNACPMLKDITDQTMTVRLDRSQTIYDIEWISVFCYKYSHDFGHLDMGLVENEEQVPPYIPDVRTSEPPRISQRC >CRE22403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:69377:70329:-1 gene:WBGene00065260 transcript:CRE22403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22403 MDSPTDLRLKAYRLIAYSAVGFSVTAAIALCLTLPLIFTYVENTKTQLHRESLYCKNSVQDMWSEINNDVAVNRTARQAGYSSGGGADAGAAAPAGGGCSGCCNPGPPGTGGAPGKPGKPGKPGAPGAPGAPGKGAAAPCEAKTPPPCQPCPAGPPGPPGPDGPAGEAGPAGEAGAPAAPSPPGPPGPPGPAGAPGNDGAAGSPGEDGPAGESTYPEPAAPGPAGPPGPAGPPGPDGASPTAAPGEAGPKGPPGPGGAPGADGNPGPPGPAGNPGGEGEKGICPKYCAIDGGIFFEDGTRR >CRE22291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:72252:72549:1 gene:WBGene00065261 transcript:CRE22291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22291 MPGTFKLVVIILVVFCAMQINFEGVVAAGSDEVRRYCGAKLFMTVSKFCKSGDNCEAITDNTLTEICSIGVTFTKIQSLCCQ >CRE22404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:72925:75883:-1 gene:WBGene00065262 transcript:CRE22404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdk-4 description:CRE-CDK-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MDY6] MSENLYGEEHRVEMLRLQKMMNNMNCGSRAVPLTMKDFQIQQALGKGSYGHVYRVRSVRDGKDYALKQIYMSSDKEGIPQSVLREITVMKHLARKAHPNVVGLKSVFHQVDNIKNVIKINMIMEKCDWDLFTFLRNIPRGIPEKQARYVSVQIVKGLDFLHSHNIIHRDLKPQNILVNRDQTVKLADFGLSKEYSNTTAFTTTVVTLWYRPPEVLLQSYYNSSVDMWSVGCIISEIYSREPLFAGRDEAQQLAEIFKKMGTPVGKEWPSESVISKDSFPTYPSKPLKQHNPYMSLDAYDFVQQCLRYDLGKRLSSRAALKHPFLNVKTLITKPRVLRTLNFNK >CRE22292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:76597:77747:1 gene:WBGene00065263 transcript:CRE22292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22292 MNSFRNLFSCLTPCFDCVEGSSFDSVPYPRFNAVYQPTVRLDVGRMGKNVVILKEGERICGIGGAIATVPIVQNKAYFQVTIQQTGIWGIGLGQKQSPFDQIPCTEKFWGIRDNGDIAKENQLVAKMAKTVEEGDVVGVTYDHVELKFYVNGKPVEDVITGVKGPVYPMVYVDDSAILDLKFKNFTEAPPPGFGEILVEQTIL >CRE22405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:79924:83841:-1 gene:WBGene00065264 transcript:CRE22405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pab-2 description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:E3MDY8] MAQVPPPMTQVVAPAAAPAPATGATTGQQPTVMQQGPPANMGYPPNAPNATYSMASLYIGDLHPDVTESMLFEKFSMAGPVLSIRVCRDNTSRLSLGYAYVNFQQPADAERALDTMNFEVIHGRPMRIMWSQRDPAARRAGNGNIFIKNLDRVIDNKSIYDTFSLFGNILSCKVATDEEGNSKGYGFVHFETEASALTAIEKVNGMLLSDKKVFVGKFQPRAQRMKELGESGLKYTNVFIKNFGDHLDEKKLTEMFSKYGEITSAVVMTDNSGKPKGFGFVAFVDPDAAIKAVDTLNESTLEGTDLKLSVCRAQKKSERTAELKRKYEALKQERVQRYQGVNLYVKNIEEEMTDDGLREHFANFGSITSAKVMVDENGRSKGFGFVCFEKPEEATAAVTEMNSKMIGAKPLYVALAQRKEDRRAQLASQYMQRLATLRMGQQTNGVPGMTQIYQQGQQGYFMQNPMAVSHPKHEHNINITITTFLWAQGRPAFQPPSAQIRGPPGQRMFNNQVFMQYPFNQQRQAYPQQQGRPPMRTADGRPQYGMAPRPTGPPRVGGPGAQLGGAPVRQVILISFIFDDFPSNFLIARSFQQGGAPRGAPQKPFYQGPPRQHQQHQQQPHTHQQQQQQGQSGIVISGQETLTSHMLAQAAPQEQKQLLGERIYALIEKMFPNHKEAGKITGMMLEIDNAELIMMLQDEDLFRSKVEEAFTVLSNAQKNANGPAATIVGN >CRE22293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:87309:90251:1 gene:WBGene00065265 transcript:CRE22293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22293 MHENRPLDDIIEVNEKIGTNPPDRQRFENDLEEFHSEMQKIRSQIQIRCKKCTESNPLESEMKTQREQLRVELMGLKRDGDKIKVEIDNQASFRKKQLDLEKDCRIRNKDQLEKLLQEYQDRYKRPLSARDEQALVTEINALKRNRKQLESLAEITTGRKDLDVELEKNRHKKHKVYIDLTNLQNKFKLIKQNRRMIEDEIRDLKHQLQGAHERRKILINAYDDNREEYKTWLNANKLNGNSVPMSFPSARKIKAPIDIEELEPYYEQKRDCNRLIHYLERLQTTLQKDEGVKPPAPAPIVDDDDDSADELPPQLIRNNPKITVASAAPAVKRNFKKPTQPISHNIDIYKLFGTVDVDVPKVYADVGDALKAVREKLDFYNQQTTNELDWGEELGGIEYLSISRTASDMDSFMDESLSDVGSMSSFYRASSRTSCPSPLANDNSPKRFVAPLPPNSEKNSPV >CRE22294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:91804:94186:1 gene:WBGene00065266 transcript:CRE22294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-12 description:CRE-ACR-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MDZ0] MPQRRKRLQMFSFNWALILLVAVCGTNAFKIKRTSKDLESQLYEDLLFDYNKVPRPVKNSSDILTVDVGASLIRIIDVDEKNQVLTTNLWLEMKWNDAKLTWTPEKYGGLKTLHIPSEFIWTPDLVLYNNAAGDPDITILTDALVTFEGNVYWQPPAIYKSFCPIDVTWFPYDSQKCEMKFGTWTYTGRYVDLKQLPQEEVVTITKDGSDVEFMQQGMDLSFFYRSAEWDLLSLTSERHSVLYASCCGPEKYVDITYYFGLRRKTLFFTCNLILPCFLISILTTFVFYLSDHKITFSISILVTLTVFFLVLIDLMPPTSLVIPMFGRYLITTMILVALSTVVSVITVNFRFRSGSAHKMSPWIRAVFLKFLPKILLMSRPEKGEVTKQPPLVDAASLVGSNYATAAKAANEYRNKAKGKNNDMISLRGKPSSQAVLNNTFDMRMRNNDSNVENRLKPYLCAQNNNAPTVAFRKTKRQKSKTVDDVVFMNLLNQVRFIAEHFRHNELEGEISDDWTFVAMVLDRLFLIIFSVLNVGTVFIILESPSLYDYSKPMNITVPSKPLGQANFYSSWNLK >CRE22295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:94827:95662:1 gene:WBGene00065267 transcript:CRE22295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22295 MASPISHSSHENASGKYGYGDESQHAKNFHQKAEHSGHEAKEKGYSQDGKASDHDALHKDAAEHKQNKEAHQSGSGNKYVDDASNYNKDVKTKTFGFFDYRYVQPQYHMEQYHTDEKHANKYAGDEHNAGQQHKDAGGYHADGHQGYDKAQEKHGATHTDYGNEDAGHKSKYDDNEGKYGDHTDGYYNKGYDNYGHGHDSESYQPSYYQPKPKYYGGHQSHYQPARHSSPYHGDQYYGYEAPHY >CRE22407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:100220:103549:-1 gene:WBGene00065268 transcript:CRE22407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22407 MADIDEEEATRRAEEEANRLAEEERIRLENELLAEEPMEEGDEEKRVQEVRLEEIEKAINETCTDIKNQTKFSTKQCRVLLSPLIGKVLEVEEQFRGKKKESEFWEKMNVKMNETVLSLQNELERKSQQQPPTSLEEPTATSVFSGQSIQGNQERTKMVSLLEANEIHTEEELNKLFEKYEQLEYELSVKVEYLQRSQRQTDSFRSELCRLKVKCDQQQQKLLAEEEKAKKMSEELKTKYSNLRANSSTRVGECNQQTGERKESTRYYNAETSEIIETIPLQESLDSGRNWNQRIVEQNSQRNIIVHNEHEMSNMNAQWRMAQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDIEAQKNFLETRFLSGNALTVYKGLPEADKNSVSRILDAIKRRLSQSEPEESRRAKSKFQALKLHKEQTVQDFCLIMDEVVRIGYKGVPEYQISSMKTTKLLDEMREHTVFEVLLQILCSQLRNCPVEEQYELCRVEATMFDEEWRSGKRKAAKNEMRMNRGQSDQYSHNLQRTGSTQNNAFVPQQSSRFNRYTPNRQSNSYQTNSGSDSNSNQCTSTTGQQQNSSLSNDLNDQCAPKGYMYNEKSPECWKNLVHSSDSADNASSSALGFHKCSECSLTGCHAPTCSRAPGSNTSKVKINSTIVCFRCDQQGHIASKCPTRNASIQEVRMAPDVQSKVEDQKCKMKFDTKCSSDKESERKLIDHEMETKDLYEGQSVAASVFKKDMVIDVDRVPIKSINSIEFSVMSSDEMLRSGDTKDDGLQTSCTKHAHTKDNSGGVNREFTEANSQKNGYCDEEHKSERDQRLCSISLTKTKKQSVQQKKVSDVISSFGDLKRDQNEQSVQKSIVKCDSIQLSMSSEDGNGKKKRNTVDQKAVDNVMCQGPPPNSIEDSSSLNQYDDMDANEVTDIVKINTSKSKFLNQKCPKKPGLQKTGDDTCGVNFPKKSKRRNKEETSPRTDPPVILRFDSDLWLHDTSPGSLDSDNDDQLDSYQKWKRAVPKMFKSQVVPRPLKDPPTSTNQSTWSDTKFESAKESPRPLNDPPDSWMEDVNWRRMQEEYRPRKDPPSPYCQHGHRNLLGCLQYTQFLPTPMSTVSS >CRE22296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:107910:109075:1 gene:WBGene00065269 transcript:CRE22296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cah-4 description:CRE-CAH-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MDZ4] MAPPQVRRSARLDQCPKSQDNPVKQPKKKLGFKPKQPKKSEKAKQFKKAAAQRQSPIDIIPQHVCCDTDVCKADALNIDYKPGDCCDVIVNEGGFRVNVKRNCGTFLTANHLPSSKFELAQFHAHWGCNSKEGSEHLLDGKKLSGEVHFVFWNTTYASFNEAIEQPDGLAVVGVFLKEGKYNDNYHGLIDTVRKATGNNTPIAMPKDFHLEQLLPTPEKREFVTYLGSLTTPPFNECVIWTLFTEPVEVSYGQLNVLRNIIPANHRECQDRCGREIRSSYNF >CRE22408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:109528:114672:-1 gene:WBGene00065270 transcript:CRE22408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22408 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3MDZ5] MFRSLLVLFIVIFQHRNVCNGIQLILFDNWPSAYNVCSSAIDDAKANGMCTTKSIQINRQTGCTGDNSVKAASYAINAVASKTSGDLDFVFVGPTCTTDIRTIGDFAELWKTPVIGYEPVFEARGVQELTSVINVAQFSVGGVAQTLVFLMKELNQSEITLIGSVKVLPNGFSLSNDLRNYNKVMKSFTIREYFEIDENNADWSKVDYRLRHGARMIVICVDFYDIYSSLYNIAIRTLSGFRFIIVIILNKPPDEVLNQPNIKNLLYGSNAFIISPLQEQFSDALSYMQNVLPTLADEQFTSFHRIYHACYAYCVGSMNGVETQTDNYHQAMRGKVVTSELKGWSRMFTEKFREFFLNSKYNYTFFFLAKYGAFTFDNSGSVLTNYAVFTVDPADMTFASVMTLKSTPKDCDYYDCFELTSNKTPDLLWTLKDMDPPDECVARNACSNYIPHVIAGIIIVVSLLCTAVVYLKQRTHRMNIYKMTWKVANHTLKIIVNKNADAKMQRELENRSSKDENALTSRRRVFGSYALVGTQRAEYLQFKQEKKIIFPEETLDYLYTLRQLQHENLAKFYGIQCNDDNVTTFTVLHLLVERGTLEELCLDRDFGMDETFKSAFMRDILKGLQFLHKASIGYHGHLHAATCLIDINWVLKLALYGVTNFIVDCMDNKNIRLPDHAAPMITYPQYVCFPPEHIREYDETGKLPPRIVRGSPKGDIYCVGMIFYMMIEREDPYMLIHSLERPNPALVKEIMDEGKMPRMPVEHNLEGKLLEKCKDCWNRNPMMRPDIKSIVETIAVVYPTAKGNLVDQMIRMSEKWADELEDMVAIRTADLAAAQFQTMKLLNEMLPASVAQDLKNGIVRPPRSYESATVMFVQLCEFYALMKRSKPDEVINFLNDIFDQFDKVVKRHDAYKVETTGETYMVASGVPHENEGRHIFEVAEISLEIRAVSYTYILPHDKDYKLRIRIGFHAGPLAAGVIGIRSPRYCLFGDTVNFASRMQSNCPPNQIQTSEITARLLFATHQYKLVKRGIVHVKGKGEVNCYWLNEHIHEDEDPLPPMTPVPDFPDPDGPGPSGMTNVIDHPLKD >CRE22409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:116499:119253:-1 gene:WBGene00065272 transcript:CRE22409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-20 description:CRE-GLB-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MDZ6] MGNSSSSSSRINKKSESMEIRSKSNRSSVSGEFNKSKSMMDMSSKSSRMSTSTTSNTSRQKSITSIKDKDRPKSAREAKNTDISRTKSMKKDNHPISAQGRDIISQCFDNPHSEFANKVVQRIFEKREDYQKYIMNLGKERSVIVNNRLKQLVEDIVAHIHDADFIESVSKQYGEEHVELKQYGFKPDFWVAVADAMTLEGVILDMANHQPADTVSAWSSLVTLIFSSVRDGYYSELRRHRMSSRRTLKHQSTVDSREENNEQSSSSAPNGVATTAAGMLGQRDHSKSIPVHMSEDLTPRNILASSRRETLRSVSTYSTDSTSHSHSGRRNGSGEQSLRVPPRYVGGGDKMSCKTISIKQMVSDAPSTSSTSSNVIRRPIFE >CRE22410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:125650:126409:-1 gene:WBGene00065273 transcript:CRE22410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22410 MIRLLASLAILSPFVLPFNYNNGGSSACIVTKNLLFSQGNLIRQLKKEEVDAFKKYKKELHLFNTKINEAFDKAEENEAKNATVPPMPIRPTLPSFCTGADTTMYIFGACTVQNNKVYIGNVFARDLEEKEKGKLADFAKKLAAVTPGTTPPTDIYKGLEFCTEL >CRE22411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:127133:129023:-1 gene:WBGene00065274 transcript:CRE22411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22411 MRAILLFLFNLFTLSSTFIIRSFVPSNAKCNSTVAVSCFAELFGMISEVCQQREISFRCVHYTFLDECFEARVGKCDAGSVARVGAIGYKQALSSCHSSKKQKAEGTLPILGAPAQSFPSPVQLISALGYLQTQCSLSRSKNCSSDHVHNIMAQCEEQMKPLAGYPQYDRHRLLRIKMDTSKKLLMYDETDKERECLVVRSTLSEMYSLHHANCYHSLVTRCLCERLRFDVQCGINCDQLEPTSPDQDTLAWDEWKDARLVHGYGSNYKQFAITLSIFFVLSSVAFLF >CRE22297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:134254:136844:1 gene:WBGene00065275 transcript:CRE22297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22297 MILRLFGRILIPTVLILISVKNVYADADNCNSRNINFSTPVGILPYGYKDLVKIPAGTTCSYNFSIPYGYVLELQTDYYIAQQDQIVVTNSLGSQIIYNDYGGLAESFYWCTAGTCQIQVESVAKASFMTYYWYRSLSAYTAISKNTGDYFKLSDIGSTQFLKFYGSQVIFTLAQNTNTDSIENLGNYYVYNGSDIKTSALIGTLDELKTKNLYCPFNFVSIVNFYVGYGSNAYIIANDASAISNNYSFVVTTPETMTSHGFSDYWPEEAAVTFACPECNQFYITRLMFDMVLSATGYVAFQGQTPSQGYLKRIMTWQVPQFSQNQLPQLIPTNKFTMYFYKANLTIQLYSGNNFDGWEKPFDGRKGLITSATVWDNLLDSGNFSYTFGMADQLFQFHLNMSNMDFGGAPTDRVNLLIGMTNGDSSVNYSYPGANATNITSTGNFMSISSNFSMFTKIVVPFEILGVTVTTTTTMEATITSTANPSTSTISTSAATTTATTSSPTVTTQSTSTSSTTIPTTTSGGGSWKFVICFVTEDYCPDGWNVLRKADDTPQTCDAMGGVKCQKPFSCVHSRCGMDFCCAHTYKIEQWKRQQEIEADIKEAELEDEEL >CRE22298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:138574:144397:1 gene:WBGene00065276 transcript:CRE22298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-84 description:CRE-UNC-84 protein [Source:UniProtKB/TrEMBL;Acc:E3ME00] MPPSIDNDFDTHEWKSEFASTQSGRSSPNIFAKVRRKLLLTPPVRNARSPRLTEEELDALTGDLKIYDPSLPDHWEVPNLAGGTSPGTLAQQEHYSAASLSRQLLYILRFPVYLILHVITYILEAFYHVIKIATFTLWDYFLYLIKIVKLRYARYQENRYRTALIRNRQDPFRVKVANFFYRFCEIIWLIVTTPYRMLTNGNGGVGQYDYKSIKNQLETERASRVTTRSQALEKSRTFAGLSRSPARRVTPITTTSTITRITARVFSSSPFGAGESSSGTGTPTVITTKTIKERSVTPRFKSTRGILKVDGLQKAFDTPEIDTPLSQYGLRSRASHVHTPEPTFDIGDLAATSTPLVPRGINKLDYIWESRDEERSTLQTLLSWIGYIILFPFYAARHIWYTIFDYGKSAYMKVTNYQQMPMEAIHVRDIDEPAPSYVDNAGVLTTSWSASIYNFFASFFSAIKESHQIVFAMLTGAVQDTTSYVGGLFSGLTNKNSSKFNWCQILGLLLALLLALFLFGFLTSDNTAIRVQKLEKEANDSKSPDGELPAVPVWLNGVNHAKHYMWMAKEYVYDMAFDSYNVIKPIVGRTATAPKYAWGLLASGCGAVTKFLGSVVTGAERFAGSLWYFLTGNFASAYESIGGFANGVYNSTSNGIGWIAKNTKNLVVNGISGIYNFFSWMFTRLLNFSTNSQTAVVSAFKSARDGSANFFYNYIYTPIAGCFTYLTGNYQNLLKPVWSALRWTYDSTVFVIQKIVEWACFLVTYPIGLITRGWVKISQYAPEDVVQVIPIPQAVTPTPEIEITKEQQEVKILKKKPEVEDEEQELVIIPAPAPEPIPLPVPPRDPVVIHQTNVVETVDKEAIIKEVSDKLRAELSAQLSAQFQQDLTEKIEQNYNTIINKLKVENNNMQYDNNHLEAIIRQLIYEYDTDKTGQVDYALESSGGAVISTRCSETYKSYTRLEKFWNIPIYYHEYSPRVVIQRNSKSLFPGECWCFKDGRGYIAVELSHYIDVSSISYEHIGKEVAPEGNRSSAPRGVLVWAYKQIDDLESRVLIGDYTYDLDGPPLQFFLAKHKPNFPVKFVELEVTSNYGAPFTCLYRLRVHGKMLKV >CRE22299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:144951:146078:1 gene:WBGene00065277 transcript:CRE22299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-9 description:CRE-COL-9 protein [Source:UniProtKB/TrEMBL;Acc:E3ME01] MESHIDTKLQMFRIVAYSSVVFSVIGAVSLCVTLPMMLSYVQNTKLQISKESAHCKTSVHNIWFDLTEFSDIPHNRTVRGAYATAGGNYGPRGNGGGGYRTPMMRGGNNGGNRRPPIGGMRGGNGGYGNTRPASFVNAPRTSGGSCKGCCNPGPPGPAGRPGKYGRPGKPGAPGNPGASGKFASAPCQASTPPPCRPCPPGPSGPPGPPGQPGPPGGMGPPAVYSSPAPGPSGPPGPPGIPGQPGTPGTPGGPGQPASSMTTGSAGPGPMGPPGPMGAPGPDGQGGSAGSGPPGPPGPPGPAGRPGGDGNPGGPGRPGTSGGFGEKGICPKYCAIDGGIFFEDGTRRR >CRE22300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:146383:147337:1 gene:WBGene00065278 transcript:CRE22300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22300 MDSPTDLRLKAYRLIAYSAVGFSITAAVSLCLVLPLIFSYVQNTKTQLQRDSLYCKTSVQDMWSEINNVHEVTVNRTVRQAGYSSGGAADAAPAAAGGGCSGCCNPGPPGSGGAPGKPGKPGKPGAPGAPGAPGKGAAAPCEAKTPPPCQPCPAGPPGPPGPDGPAGEAGPAGEAGAPAAPSPPGPPGPAGPAGAPGNDGAAGSPGEDGPAGESTYPEPAAPGPAGPPGPAGPPGPDGASPTAAPGEAGPKGPPGPGGAPGADGNPGPPGPAGNPGGEGEKGICPKYCAIDGGIFFEDGTRR >CRE22301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:147550:148387:1 gene:WBGene00065279 transcript:CRE22301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22301 MFFFLIFLCLKLVTSQFFEAESPWQFAGFAAVNIPATETNEINPYFSQYSQLQQQLQLQQLQSSNRFEQQQQHLQQQSLISSPHNSPLLPNAIPIPKRETGISLNSLKTESVENEKERQQGELPDFSRSGTTLEHATYLSLINDKENFQASGCGWDLIRLQCHDLFGLCKGGCRDFAIAINSPIHDCRCIPFGYLALVKLAGR >CRE22412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:148975:150625:-1 gene:WBGene00065280 transcript:CRE22412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22412 MGVTQSKRHPRFLSCTWEDFLADMKEVNRNYRSLLVENNEYLAFALRTIDFERGQFENWKAEIKILCCKVNQVTKQVVRYKELSFREFLSTYDLHKLMVTTKESRKRSVDPMSTSQFILTQTSDVEGNCVICMENINDLLLPCLHAFCIRCIAAEMEYRHDFSCPICKTKIRNPIESSWEVPDVPNQSEVNEYLKEVARDM >CRE22302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:152679:153762:1 gene:WBGene00065281 transcript:CRE22302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bra-1 description:CRE-BRA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ME05] MANGNSTLQDMKIEFNDMSVPSDFETQSVTGQLGDLYVDDKMRRMIVDLQRHWLTDYHQSREKALVDLTEKLHEEFRIDQEKCKQDLMDQFKVELAATKAELEQKHDESLQQEINRLIEKQGKELAAAKKKQWCWQCDKEAMYHCCWNTAYCSVPCQQGHWTQHRKFCRRKKGNMGAPGPQPKPHSTMDMME >CRE22305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:170700:171241:1 gene:WBGene00065282 transcript:CRE22305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22305 MSSWLRTLFVFFIVLVVSTSALPSDYVRFLIQSARNHESSYYPQEDVGFMRVNRNQGAGSVSLDSLASLPMLRYG >CRE22415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:172044:173305:-1 gene:WBGene00065283 transcript:CRE22415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22415 MLTTSALLLSVFTISALGADEDYTVDTYPNPKKGSYKECNLRSAGLVCDPYETLSESERYRINTQLVTFVTKTEVNNNKDFCSKKGTDAMFVIINKGSQDFADGLRQHWSNIDTQCGRFGLLVLSLDDRSVYGSFDERSPINMIQLQAIIATEDNHIKTGLYTTAITNILKEVADSMTPQNPGTTIKPQTTTKSSQIQFSVFSIILASVFTYFL >CRE22416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:175315:179634:-1 gene:WBGene00065284 transcript:CRE22416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlg-1 description:CRE-NLG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ME12] MKPIYLLLLLFLPRIRSYDVRSVSTSWGMVRGEVVSPEGDDLPPVAQYLGIPYGVAPTGQYRFNMAISAAKWTHMPKDSRKVSPVCIQTDMPELSETKAFKHTSAQRFDFNHRLLPNLKKQSEDCLYMNIYVPERLESSRDNYLPVMVVVHGDEYGWGTGNAFNGTTLAAYGHIIVVTLNYRLGVFGFLGRCESSSCSGNSGISDLVSALTMLNVILPSFGGDSKSVTLAGWGSGASLVSLLMASPLTQPGRRLFRRAILLDGSALSPWAISQNPQQYFMQLAEELACAPKNRTSSFNDNVDTILRCMQVHSSENITKAVLKIDVPTFLSGFAPIVDGQLIPNKPQVSFSTQYGSLFREIDLLVGISSNPSHHMISNEDLKVGISKEKRMRIFRSLVRNLYDFHREEILASIINEYTDWENPRDHPKSIRNGVLSALSDVLYTAPLIETLRSHSADEVRKEANTFMFAFAHETRSWSQEQPNSGIRGSLSGDIVPYIFGYPLAQGDSEERLYSGFNSDDKGISKVMMHYVSNFVKSGDPAKPNPMSKNFPMGDVFHSTAWPQFDQPNREAYLEITDRPRVKNYYRNAQVGFWNSFIPQLHRKGKETEPVGEEHHLLPDHFRKDTYFGKTRHFSEFANVPFPPPPMPPSPPPELTTKPKTSECKLAKISANQNSFSAPTTLQTTTESEKVTSGKYGTALAFVIIVGVAFLILNICLFLGVRREWGKTRRNTDKKFQLQYNTYNSNHGGGAEQYNSLNSPEPLLSASHKNSTSMRPAGISPTCPRHGRAALALQNSR >CRE22306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:186159:187756:1 gene:WBGene00065285 transcript:CRE22306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-20 description:CRE-TWK-20 protein [Source:UniProtKB/TrEMBL;Acc:E3ME13] MNQIDGKSARALLLILSTFTYLLFGAMVFDKLESEEDTRVRDEIERITDRLKNKYNFSERDMHLFEAIAIKSIPQQAGYQWQFAGAFYFATVVITTVGYGHSAPSTSAGKLFCMVFALFGIPMGLVMFQSIGERVNTFIAYSLHKFRDSLHQQGFTCLQEVTPTHLLMVSLSIGFMVIVSGTYMFHTIEKWSIFDAYYFCMITFSTIGFGDLVPLQQADALQAKPLYVFATIMFILVGLAVFSACVNLLVLGFMASNADEVTAANREPPSAIVLERFARNSLVESQLFNIQKHSTVGVLPGRPRRMYSIVPNSAGDVHLRRRSTRRSIQDTVCCGCFKPRPPRHRFSLTRRPTNISHLVDLELY >CRE22417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:187886:190355:-1 gene:WBGene00065286 transcript:CRE22417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-9 description:CRE-ACR-9 protein [Source:UniProtKB/TrEMBL;Acc:E3ME14] MFSPVLRLTTLFCVFLHLLTEVHGSADEYRLLADLRHNYDPYERPVANSSEPLVVSVKIYLQQILDVDEKNQVITLVAWIEYQWTDYKLKWDPSEYGGIKDIRIPGNANAIWKPDVLLYNSADENFDSTYPVNYVVSYTGDVLQVPPGILKLSCKIDITYFPFDDQICHLKFGSWTYSGNFIDLRINGPEGKNISDEGIDVQYYVQNGEWNLLAVPARHETNIFDEQPYPSLFFYLIIQRRTLYYGLNLIIPSFLISLMTVLGFTLPPDAGEKITLEITILLSVCFFLSMVADMTPPTSEAVPLIGAFFSCCMLVVSASVVFTVLVLNLHNRKPETHEMSPFLRELLLIWLPWMLLMRRPGKTVFNCTHLKAEKAEEKAKQDSFKNGKGPMIGKPTDSVHPSDGLSLMKNIKMGKQQNIDIDDEFHVQHNHLMPVAPQEMAPRVTYSKVMAESYVEDILMTELNKYMQKACLELKNISSQTKAMRKKMEEDERDEQAANDWKFAAMVVDRCCLITFSVFIVVSTCGIMFSSPHLIA >CRE22308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:199719:203654:1 gene:WBGene00065287 transcript:CRE22308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22308 MLKSILILQIVFSQRFQMHSVSPISFKSSKRRSSRQAPFSGNDKIFSERNTNGSAIEEYTGLVLRRFTSSEFKKMSDDQICCMVWINGLRDNSYSDIRTKALQVMEAKPNCTLLELEQDIKRLLDVRADSKSVSKVEAVSNEINAIHNAIKRDKSQKAPPSPCYKCGGSHWAKECSKKVTCSNCNKNGHIAKFCRSKQRDSSKVNKKVRSVVISTASTSGSSRIYRIISINGKKIQMQLDTGADVTLISVKDWNRLGRPQLQAPSIKVKSANHQPIAVKGSFQCNFIINGNSATGHAHVAETGTLLGTDWISKDTKLWQLLNNNQVNAVRSDVGSACDYLDGSREQLKIDLKKEFDPVFQPGLGLCTKTKASLLPKPDAHPVFRKARPVPYAALTTVSDELERLQQAGVISPVDHSEWAAPIVLVKKKNGSLRMCADFSTGLNEAIQQHQHPLPTADDIFSTLNGGKYFSQIDLAEAYLQIEIDEQAKQMLCINTHRGLYRYNRLPFGVKSAPGSFQQIMDSMTSGLDGVAAYLDDIIITGSSVAEHNQRLKTVMSRIQDFGLRVRIEKCTFLSPKITFLGFIIDKDGRRPDPEKVSAIRHMPVPQNESQVRSFLGLIQFYGSFVKELFKLRPPLDALTKKDVEFKWTSECQNAFDHIKQILHSDLLLTHYDPKLPIIVAADASQYGIGAVISHRFPDGSEKAIYHISKALTAPQRNDSQIEKEAFGLITAVTKFHRFIHGRHFTLRTDHKPLLSIFGEKKGIPVYSANRLQRWAIILLNYDFNIEYINTHDFGQADALSRLISEQIQQKECEDRVIAQIESEVVTNLVSTCEQLPVTADMVRSYSRKDKLLADVFQYTISGSWPKIIEKNSQISLFHNRRDQLSIVSDCLMFNDRVVIPTSLRTRVLKMLHRAHPGIVRMKQLARTLVYWPSIDKDIEKIVRSCDQCAAVAKDPVKNTLCSWPISTAPWQRVHIDYAGPIDGTYFLVIVDAYSKWPEVIPTTSITSTATINILRKLFAQFGDPETLVSDNGTQFTSTQFDEFCKQRGIRHTRSPPFHPQSNGQAERFVDTLKRALGKLKGEGTTDTALYLFLQSYRSTPCTASLNGSTPAENFIGRKIRTYLDQLLPNDQLTVSHDTEMEEQFKKQHGARPRNFQKEEKVYVKDYRNINTASWIPGTIQSRIGKTLYKVFVNDTTWIRHSNQLRRRDDPIKDVPLDTLDLMDFMPSSTTHPDSSNSATPKVKTPVKPRTPSPPTLRKSTRNVKSPSKFIVKPSLKSYR >CRE22309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:204744:206461:1 gene:WBGene00065288 transcript:CRE22309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22309 MPESAADSSSDEHDWQEEKEDMLMMVMVVLLMLLSVVIWVAFCVAVRKTARKFIELKRQRRRQALITAAINRAASTNEADRHNVLPIYITTLAHELNVFGAREEELPTYEEALSMSCTPSPNPVAPTSNRDRVPPYKPRSGKTGGRVLRIAPDRPGSIPTIVLPPSYESSVRHEAMLSPAARIHASPVPTSSNATAPSTSTSPPIYTISGNMHVTEC >CRE22418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:209861:211624:-1 gene:WBGene00065289 transcript:CRE22418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22418 MNANDSMTLWSSYKTTEQRAGPLPTTSTPLKTPRSHRGLIPEEPNMTTIVVETEQDENRNLCNSTQSLHISCQNRREMKIELQELRKKVEKQAQYINILKKSLNSTSEMDSSESQHGNGSTASSLNKNTLIQRVTPTKRETSGSGSTSTSSSSSTPVVRQILQNLVTPRSGRTPRPASANRTRTPTGTPNMARNIRQATNRTSSSSDSPIAHITVSGFLFYFQNFPNLKPQSSNRRLRLPPTTRIPQSEPLGARARPVHFESPSPRFVAATRRSQSRRRLFADEPPVEPPRRDLNQYLRSRSENAIEHLNISPVKDDQWAEACPPRLHLERNRPEFIERVEARQSIIRAAAEKRAEIEQRKRMAARAVASGQRSVESVSRELFADSTAVKAFYEKDMKEITLKNIRKSQSYQNRMYQRIATVDRHANRIIAQTHSLRARSTSRSRLNY >CRE22313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:218881:223508:1 gene:WBGene00065290 transcript:CRE22313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gck-4 description:CRE-GCK-4 protein [Source:UniProtKB/TrEMBL;Acc:E3ME22] MKGEKNKKIQKIPIFRMAPEVMACETFKDQPYDCISDIWSFGITLIEMAQGEPPHSDVSVMRVYIKVLKSDPPTLLQPSHWTRTFNDILARCLVKDPRNRPSAATIFEHPWFNNAPSKKKMILDLLAEMNAEVQEEVVIDGDEESVAGSDEISQRRGGDSWSSASDSPRPATTEGFKVPMLPPSIETPPPDTPHKKRAAPPPPQEAVAHQSPVLNGKADSSSYTEPIKLKKLLNNSMFQFSFSNSNTFSEGTAEFSTPSSNKSSVHLSTPAVLSLNTSGSPSSANEFVSPRREALSILDELTTTLDDEQSSNYFNSPRSVGSATGSARESPRQSPRNSPSNSPQKEQREDQSGGHSIRDTILANRQRKSLSPQLRRKFCYFTEKKGEKCHKLIFSELSPSESGSSSFDENVNLSFHKLEPAEHAGYIVAQQNMERATAKLQERAAASHQAKIEERQAEEARVKQRQASTDSSEKRISRSSSPSHSAGQPETVFKKQSQEMSTSFTARDTPFGKSELTVSATSSPAKIRPSPQRTSSNHSVRTSNSSNSIPHHSASSSTDFAATPIPANPEYFDVPKRSGHQKDKTPPPEPPVDYEGSAKENAAPVATAATAAKTLQPAEPTSSTSNAAQKTNSKPLGGRRDGNRQTITKKTRTYMIDGVQVTSTTVHVLGVKDDKVQRKQQLHDLRRLQRDEARQKQELQSEGIKLVDEQARKFTSEQTNLTRTSELEMDAMERRQRKEIEDTEGSQEQELRNAQKRLRVEQEKDMRAFKERLKQEMKIFKQELTMLSKVQRKDALKQRKDQIEIEHQLKEKDFLMQLQQNAEAMLQRMAEKHKERMASIEKQFLMQKHNLLRAKENNIWELEDKQMREKFVLHRKLFKDEYYLLRTQMLARHQREMAQIEKNHQEEEEELIRALTLDRKKLPKMLRAETKTRSVMFKESLRISSTNMSNSEMQERIRRFDEQESLRMRAALEDHDMKSQKKLQALKDRHHAAVIELDEMQNEKRKQLLEKERNTMKEHEAKYHEMRELWQENLIARKTVLEEKFEDELSKQEVFYGMSYTPSQASTLSGRHMP >CRE22314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:226721:233537:1 gene:WBGene00065291 transcript:CRE22314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adm-2 description:CRE-ADM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3ME23] MTDTLDLKLSSRRHWNPVKCPVRLEVNGQTQTPASLVKNALNNPSFDLVITTTSGQRVYIPFEEDRELFTANTADDPSTSSLISHCHFAGVTEDGRHALSLCDPKDITGLLITQTNRFGLSTTSNGSSSSFVLTPYVENNCDLGSLVTSTSRRKREAGKPNTVIDRNPSYIKEHLDGRKRYVELALVADYSVYTKYDSDEKKVNDYIQQTMNILNSLYYPLNIRITLVYSEIWKKGDQISVLADSKETLNNFMDYKKILLKDHFFDTGYLLTTLKFDEGVVGKAYKGTMCSYDYSGGIYVDHNNDTVETVATFAHELGHTFGMDHDPNDKDVCYCPMPRCIMNPQSGHMEVWSECSVKNLASGFNRGIDLCLFNEPGQKPSDAKCGNGIVEAGEECDCGPLKCDNHCCNGSTCKLIGEAQCASGDCCDLKTCKPKPRATVCRAATGICDLDEFCNGETNECPADFFVQNGATCPGRDLEFCYEGGCGSRNDQCAKLWGPTGHVGDDNCYRKNTEGSFHGNCGTNAHTKEIKKCEPENAKCGLLQCETEAERPIFGDPGSVTFSHSTVYSALKRDDKKFCYVFKSAYGGLNAPDPGLVPDGAMCGEEQMCIGQKCQKKEKITKVTAQCLDDCNFRGVCNNVGNCHCERGFGGIACEIPGYGGSVNSNEAYKFRGITLSSTFLLFFFLFGFLIGGLCIYYRVKRKRNLVSEWWAVVKKKFDLHGDLVPVRKAPPPPYAQRIRQSFTAMWGEDHSHVAVAQPAHPRNCYNSCCRQPPRFDPPSIPMVTLKNPNLASPTPLLNSNEKEQNNEKVEHQHVELYPVADSFRSDSAVSYSLLYPENSSFPRFQASFNTRTGSLRPNVQPPPVPRPNNDVLTKLNDDLVKEKKTKFDQLNKSHPLPPPLPKEKPKTSSSTSLRRNESIRPEQAPPPPPAHAKPSLPAKPPKTTTTTHEGNDNAEAEEKIDVRSMAAMFNQKLKK >CRE22315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:242181:242858:1 gene:WBGene00065292 transcript:CRE22315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22315 MQFYCLLLLAASALAAPRTTLTDDQIFRIITKTCESTKFSCPKQDYLIKDGNQRYIDEDAVMRSDTVGLFKDGKLETSEVIEIFKTEFCCTETDCLKECNIFPIKEKPIVKNFDLYAKDLFAMNLEELKPYEKFWYDFVEDYSTGRIKKIPAEVEELFDILDANERRYMALLGKTHNH >CRE22419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:243846:245441:-1 gene:WBGene00065293 transcript:CRE22419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22419 MPATETPDYHATYDAAMQNAENRVFHLLHSLEWQLHTEKKTGSIWSMRCNVMDNYIFRYEGRIPNRTCAEVSAMIHPQGLHRSKWDSQSAGTSVVEEISHDTSVILHKTKGRMMGLISPRETLDLCRFAYDPVDGSRSVVMVSVENEKSPKTAGVVRAQTYPTLLMLNPLGDGVKITSIVQGDMHLQGIPQALIDSMMPKGIASFFEDLIKYANKDDTKVSLNHNLTGWCGAHLEN >CRE22316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:247322:248918:1 gene:WBGene00065294 transcript:CRE22316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22316 MPVRCRTILGILLLFVVGAHTLVDYDSDSGRRFLIWALCIGATNPEKCVETEFYGQLILATFEADCSDLIFSGKCRVVIDVDDAYTLLGITFKAESDIESTTSTLLNQQTLTNFGTYGQVQTDFLTAFGRLWNNGLGAYMKQLWTEYCDLYISFSGYSMGACLAQMAAVRFQEEQWWPADQMFYFGYGAPRCGNEDFAYYVDTSLADKFNIVWFNDAIPNYPDSTCTFGSAAAMGQCTNSYFSCCTTIHYTSWSSVALNTYSTCTSNTCPVATGTASDHYTYFETTAADVDSLTCTDTKKFP >CRE22317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:250611:251682:1 gene:WBGene00065295 transcript:CRE22317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22317 MTDATDSIANNPVSSWGHKSENAVNSSGVRFSVKFMGYVPMPRSIQHRNREERDALVRLFINTVVASNSSDQYKEFMQQGVRLIFFLKECNFSKLQIVGPFVSVHELDVFLNIANFTLTFFHIKDGRTFLLGRYMNDQISHYKCTEIDGRFYLGIIMKTRQNDKRECNVIMLDNQTATNELIQNMKTVDGIDHPPTSKLEARLRSTQDYAYRIHMLACFHGQINHEEACRRLSKIGDFLIRESCTVHGQYAISYQSGINSYDKALINVDNINLFKQFQVQESYLKTPVHRPRH >CRE22420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:251901:254722:-1 gene:WBGene00065296 transcript:CRE22420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-poml-1 MTILRIWDEAVACCLAEPLQKSETFMSGLHLDINKRSYNHVPGECGVIPNLPGIISLTTSTSGRLYMTTNSKDGLSDNTHVFTFYSDNRTAREIEIRGAPTKWKLKPGAISVASEPNNPKIFVVNRRTGSVDIFETNNQNDMWNYHKSFKNEKFEGILDLSASSPNSFYYIKSSIFGDIFALNLFERTFSISTGEICFASGKLSNHVKYISSPTGIVFDSLRKSIFVTSFARNSIFVMKLEKNIRIAFSKEYDLGCSPTSIWKDFDGSFLITCHPVRFRYLLHAMDAMSSSPSMVLRVVVPTDESKELIITQLYSNDGATISQANMTVRAGRSLLISNGNKILNCHL >CRE22319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:257959:262681:1 gene:WBGene00065297 transcript:CRE22319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pix-1 description:CRE-PIX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ME30] MEPGSASPLTARVKFPFEGSNNDELSFEKDDIITITQQPDGGWWEGTLEGVTGWFPNGYVTLITEKDKLQRSRSVPNATAKEVVAIGAQPDYRVDVLNCFITAEKEYLQSLKKTLKTILIPIGASRVLTAPEYSILVGNFEVIYTLKREILESLEREKDAELPKMRVGGIFMNAALELRTALLTYADNHPDAVEILKIKKQELEKVVKAQDHEYKDLVSGLSEPLRHVDKYYNLLQELERIVPVNHLDRGDLQRGSAVFRETKDLCETLRKQKEAQLDFLFVSKVDKIVPPAERGTILYVGVANVEYKEEEQVDRFVALFTKYIMFFEVTREMTYDIKEKFPVAGFVCRKKDATEIVFDRQNTGEFTLTMVATGGEMERFMAALAKADNVTVISAPTTNILRRPSKNTMDSMSQSQGVESPLTAKPPLHPMGNSETSILNKRKSSKNFDDVINLSGMKLDHELGMVLPEGFELPTSSRNSKNTSDNLQFSQFPAYFLSGNAGKRSERGFRLRKDAARDEEIEFDTLRILEGYCVETGGGQLDFHANENYQQPHLIVAEDEKILMEEMVGDEMVLQEKSIVDAVYSLKDHVMVLQADLKSLQKAFETEQKARRRLEHMLPKMSGIISPDGSASTPRKEINSFDS >CRE22320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:272798:276457:1 gene:WBGene00065298 transcript:CRE22320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-71 description:CRE-NHR-71 protein [Source:UniProtKB/TrEMBL;Acc:E3ME31] MKDDYNSQDCMVCSAPADGLHYGAISCRSCNAFFRRTVVEKAEYRCKHTNTCVIDPDGRCACRSCRFSKCIEAGMKVSAVQPRRDPTGSQKERRKRAAGDANSMTGSPQERSSNSFSSDVPTPTSSYLVDAIKSDYGFATPRRVSTDYVNSPSDRYRKHVKIEIDDEPLPSTSSAPDKLSRQCTPTDDQQQEFNHLVFAYGEHQRMMQLSFSTFEQFLDEHSTGPKLRRMDPLDVSKLSAVELTGLLYWIEKQQPYGELPNEDKSSLLKRYSVRKLSLDHFYSASKHPEYCARGEFVMNNFTFVPADRTGFELPDDDAHQIQAKRETFAPTFNRFWSNVIHPFVSMKVNDAEVVFLHIMLLWSVTNNEHVTENTRTVMKHRRDWAMNRLFEWYNDHNTEDPALRLGQMILLLGEIELICDMHCQDFQVAKLFEFCDMSKFWYETLCYAPCNTNVLKFDPNLLENLKRFTAISVMEANGALNSLIKTEIKSDPGMYSSPEMQDSPTQYIENHTIDLTNGFLPLPIHPPVPPPKSPDAVDPDLLVYNAHLIPCVLAPLPDDVHMNMEIPSAHTAPVSAPAPAPISVSVTLPPPPAPITLPITLPISLPVPVPILNKRNLEIRL >CRE22321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:278859:281587:1 gene:WBGene00065299 transcript:CRE22321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aakb-1 description:CRE-AAKB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ME32] MGNNQPGGMYKRDRPFDSEKGGSHSRSRTGAPSSPPIEDECPVQMKIAKSEENTNKFPVVFKWNATNSQSKNVYVCGSWDKWHSKIPLVKSTADFSTIVELEPGKHEYKFYVDHKWVVDDNQQKTSNSLGGENNIVMIDEADFEVFDALDKDLASSNAGEQLRNSHPSKESHDTPNDRELEKLHQFGQETPTRADFSKAAAPPVLPPHLLQVILNKDTPVQCDPNVLPEPDHVMLNHLYALSIKDGVMVLSATHRYRKKFVTTLLYKPI >CRE22322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:282884:285340:1 gene:WBGene00065300 transcript:CRE22322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22322 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3ME33] MELLSAVFLLFLVFSGAAADDPTVDVTVTTPSGAATIRGYKHPYGTSFRGVRYAQAPLGSLRFAEAQRFDPAGLVTALAYGKPCVQGDGKYSSEDCLFINVFTPNNVTASSKLPVYVYIHGGGFIEGSGDMGAGIYPNLVYKGPIVMVSMNYRLGPFGFFSTRDAMAPGNWAISDWIEGLNWVQRYISFFGGDPTRVTIGGQSSGAEAVSTLTLTPLAKSLFKQSIHESGSAFGAAVMSYSEKTRSTSRQLSVKLGCATADQWNNGQNFGTILNCLRGLTYDKIVTADNSLPGHRMKWSIVQDKKYLTQRLEYLALQRDPTKNVLIGDVHDEWLGWGRSKKIVKRIQVCSSEMNNVLHNLNSTHNTGQQIKYDLKDCYEMTYWDNPTSVSVAANNKYVNNQGWSEDDHRDWEARRIQLWSEMVFIGPTLRDAAYFQYMKNNVYLYSLDWLSPPALPQVTDPLFRGCEHTWELQYIFSTTCNGFTCTQQDEILRDYFTTTWVNFIKGGNPTPAGSPLPFKWLAMDKTNRFLSFSPNPKMQPSYHPDSMFWVCTAPTIDGYKGPFC >CRE22421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:286014:288253:-1 gene:WBGene00065301 transcript:CRE22421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nkb-3 description:CRE-NKB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3ME34] MHMCILVVLFWYAFSSKCFFSTSSPSDFPFCLRFCSFNTISILYFLFFRKFGYPLFHLKEKQQTDLKMTNHAINGEENKTLMNGEAKAEPETFGQFLYNRQKGTVLGRTATSWCQITVFYIIFYIFLSAFFVGCLAIFLRTLDPKVPRFYGKGTIIGVNPGVGYQPWLKENPDSTLIKFNLQDSKTWEPYVKQLDLYLSKYQNTNETRDCGAGDNNGALETDPDTYPCRFDLTPFDKAQCGAKDQYGFKSGKPCVAVSLNRLIGWRPVDFDGNSVPEEIKGRYKPGSITINCEGATSFDKEHLGKVKYVPESGIDGRYYPYVFIPSYQQPIAMIKFETIPRNKLVIVECRAYALNIEHDISTRLGMVYFELFVEDKKPVAIPVS >CRE22323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:301149:304678:1 gene:WBGene00065302 transcript:CRE22323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22323 MLKAYLWLCVWTFWAIAAKPRLRAPAEGIRLLDFAFPLDSIYEHMGTGINPQVCIYVETLSQKNVSIGGYQQMLNLGTFPANSLVPLNKKAIEQALQFEDKSNATEYVFPGKFTQFNHQRFRLTFHVTARFERSFSYYLQRCHCPRVTAPLAQKQLQYSSVVTCQPEMDNYGDRFQQFHIFMEGMKVTKTIDLRQSLLQAVHDPHKTICIRYQGQPLLHMRIKRCEMEETINIVAGSDYIYGIDKDALKRLHSYECKYYLPEWSIDVYPTSDKSGKLSLEIFGCSKHEDAQDEEAVELDKAFPAWIPDTDWQNHDQKYYFSLQPPKTGEDYSADLKSMIDTAMIGGKNAKIRMDLKTNVPVNLSIKRCKEDEGVPLNTAKSGVYYLDHAQLVKLIDASLQPKCEHESDDSLYIRIETTNPAAAEGSVSFSMSTENKQKLVEKEVAVAFAMPANSSFVRRVNLIELVNEAVLNERQVGFDIKSSEFVQLFISKCRRSEQVILAGHVKNGERKPSLHVFQKIAELFEETCDEMDRVEEGDAFLHIVSTYGEAIGNIKFFRSGESIENNGTRPTPFVDLKPELTASSDDEFVNFNHQDIHGDHPVEIDLLYFLKTVMSYPYMDLIMELDSQKPVNLTFSKCKYLSSKNVTIAVDNNKIVRLEDVESLYDLIMTKRCDNTAKYGLFVHVDDWHYQGIINFYLENFRVMIPHNGGKFEAKNNSAHVVKIPIGNMEHAISIRLSNYNQKPVQMSLSMCSLNQESVDLPNVPTTFAYLVLDIDDLAELKENSKNCTAPLKDVMLLTIKSEDASGRITIDRLESENCDNCSKGGIEFFRNSTGLTIAQCKFEQKTNLGKVFGPAHRA >CRE22424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:308715:312401:-1 gene:WBGene00065303 transcript:CRE22424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-obr-3 description:CRE-OBR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3ME38] MLERRKERKLTITSPPEDIRVVEPPIPKHRRASLSVAYHRLLSFSSSPIKKQKKEYRDEKKRVNDELLSALRDPTVVVMADTLKIRGALKKWNRYYCVLKPGLLILYKHKKADRGDWVGTVLLNHCELIERPSKKDGFCFKLYHPMDMSIWGNRGPLGQSFGSFTLNPLNTSFLICRAPSDQAGRCWMDALELSFKCTGLLKKTMNELDVKNGENSIIDSQRDESRMSRDSDGDEMDRRVPAVSETDAEKHFQEIDDVQDEDHEDGKMSETSDTIREAFTESAWIQSPKEIFGPDGSLTEEVAEENKSLIWTLLKQIRPGMDLSKVVLPTFILEPRSFLEKLADYYYHADLIAEAVAEPDPFERIVKITKFFLSGFYKKPKGLKKPYNPILGETFRCKWEHPDGSTTFYIAEQVSHHPPVSSLFITNRKAGFNISGTILAKSKYYGNSLSAILVGKLKLTLLNLGETYIVNLPYANCKGIMIGTMTMELGGEVNIECEKTGYRTILDFKLKPMIGGAYNQIEGSIKYGSDRLASIEGAWDGVIRIKGPDGKKELWNPTPEVIKTRLPRYEINMDEQSEWESAKLWRHVTEAISNEDQYKATEEKTALENDQRARAKSGVPHETKFFKKQYGDEYQYIHADYRPWDNNNDIQQVENNYVVKTISRHSKTKAGTSDQLASDNTSEASESDEEIVAPKIKKKVVAPVTSKPVIPIVPDERIKEMEHKFDLAIRRMEVANERQAARALNAVQSTLLFFFVVISIMQSMFFYFMLRK >CRE22425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:320037:323081:-1 gene:WBGene00065304 transcript:CRE22425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-23 description:CRE-TWK-23 protein [Source:UniProtKB/TrEMBL;Acc:E3ME39] MFSLRKSIPHKTSVANSTITRTSNASNSKCAWMKFRNVLRIALGHLALYCFVVCYVFAGAWVFHQLEGENETELHDKQREYAMNLKKDVIAKLATTENVAEINEHLRMFLRNISNLHISLDNYLIFNEPAQIVPKRWTFPSSVLFSFTILTTIGYGNVTPHTQQCKVFLMIYGAFGIPLFLITIADLGRFSKTAIMALVQKISKREIKKQSDEHLLREIAEVMLVAGLFVVFIAIGSAVIPLWENQLTYFDSVYFSYMSLTTIGLGDIVPRRMDFLLPTLIYITIGLWLTTALVEQLADVFRLVHYAGRQVTNVKGITVWLGGRRLSMGGLISTVCRRVGMSENLINQINWDRTVIDALDGKMPPTLPIFPWHFTDFLEHDPPLIDLSIDLDQMDVCVGYFGSFYCSKPLSAKRQRRASQLSAPAIAWMRPQEEARILDADGSFPNIDDIK >CRE22324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:329767:331776:1 gene:WBGene00065305 transcript:CRE22324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22324 MGTVYEEEMGTSILLVYCSDNDSPDKFFPADPRLVQAHWDSQNEKKPPPTPPASPVSGMVTPSTGLMSEVWEVERSLTPSPTFDVPMPQNLVIEENRTRDAIGQQLELARLQINQNSNDVVINNKRSVTFSLKLEYIEYHHHANTWKAELFNSGLKKMKKSKKLGRNKKIRQGSECRGCHECRISQATVNSQNVFRKIAKTVGLSSSSRTYSRISSVVRRVCEFTSRIVA >CRE22325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:335345:336394:1 gene:WBGene00065306 transcript:CRE22325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22325 MEWAMMYSGRPDRPKRVDESTSTAEKEMQQAMDFVNNNADVPLEDLALQNQELQKEKWIEYRKLKARKCPTLEEQKRGENGRIIFSDEYIDFIFYRELQPLVDIRVLQHTENIIKERIAIRDAKKFAEDNGLVFDEAAVIKTHRENVEPSTSTPTA >CRE22326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:341721:344608:1 gene:WBGene00065307 transcript:CRE22326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mct-3 MTEVDQKTDEKKVVPQDPETVEKELEEVGFVAPPDGGYGWAIVVSSFLLNMVVDGVIFTVGKILQPAWVKGFNISEASAAMTMSILSGFYFFVGPVASALCNVFGCRQVALAGSVIAAVGFLLSIAAPNIYVLYLTFGLVAGAGFGMMYLPAIVIISQYFAKKRSLATGIAVCGSGIGTTVFAMLNDVVWEFVKQDWQQFLVYTATVTISGFAAALLLRPLKASQDQIEKVAEIVENYEENKEKVAESPSLSKHNTPFLSSLELHTAGKHANGVHRNRSVKSIIDAVAKDVEELNRPLARMDIFYTGSTTNIAARSRTGTMNREEAAEHLKNLRDNLKEDTPLQYLSKLDLNVAEDGTIQHVEEKSVMRDIGAALKNLLDKQLLASPSFLLLAFSGTLTLCCFYVPFIYLGNHLDKIEGLTVAEKSFTVSLIGVLNIIARIGCGYIADRPEVSALHVNNIALIMAGLATMTVPFYTAYWQFLVFCVPFSIGVACFAALRSVIVLELIGLEKMSNAFGILLTFMGVGAVVGSPMAAAMKDITGNFDTSFYVMGALMAISGAMCIPLGALRKWELARATKSDAKTEVELQKLTDAEQK >CRE22327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:345290:347141:1 gene:WBGene00065308 transcript:CRE22327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22327 MDGNPTSTSTFELGCDSDSSFIDVIRDENSLVIDESILASSNQNSTMNFPLSAPSSIARNKILACSTPKDQVQSPNSRNDLYGSKPLTVLYGTGHRRDYNTPQVNDECQDRRNTYRNTRIRNMAFPTSPPRPGTENIKNSYFTNSNRPILEALQKQDKADYLLKKALKEREQYRENLSNLFQENIVEVLASLIVIVWQLFYMCNVVPYDEDLVYCLNIAICLAYSAIFMAPQPILWAIFGCSFLVYLLFAWLVGGVYATGAFAFTAIFGKAVCSVLHFLFLLTC >CRE22426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:347365:349727:-1 gene:WBGene00065309 transcript:CRE22426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22426 MRRIPLLLLLVISVYAQEGDDGTDKPALMQRLVQSLPQIPNVIKGQMEGKPDELKKMVSSMLGDGLLSQLVVNPLGVAENMGVPLHDLGINKTVLDKSISLSGSNETSGAGAAFNFLNAFYSSTQAPTTQAKKMFVDGVEVKDWDRYARKYKLENLGYSTLAPPTTTTQLSPENVADVVLQRLRERESATDAPRSNPDISNSLDMNLIDPRRVAEVNSLLRRSPQRFESPAPSMNSMGAMDIGPPGFVQSLDPAIDEVVTNLRTKGAYGLNVDDVKRLQNILQTYEQTLQTKELLSRRKQLEVLQTELTEQRKRIEVQKKMEEELRKKEKELEEAKQKMEQQLREQLSSWHSSFGPSQPRAPAALPAELDLENLGSPPAPPAPIAKEVVATTERAMPSIEISSHESLLAEERENIVEQQMMPVPPPTKISSSLRNHIRYSPTPVVTEATPVIPPSTSVDREDDEFVSKCNCEKISLDKMDGKWLLALASPNVIDTLQQKTNDLTGKSEPITCSRFDVSAGKQSVAAQDARLIWQFRTGTASKLNRLRGSALTMDHESVRVQMTDFHGDNFSFPFCVLRSGGNSTSYEHLLVTNSQGTCKDVALLIRHPQQFFDNPDKELRKYLKSKISKKEMNALNVITFGDC >CRE22427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:350984:357378:-1 gene:WBGene00065310 transcript:CRE22427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlb-1 description:CRE-HLB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ME45] MYSRHSISDAYGAVAMPSEDALTVSSSQNSQIDAFAASVDRERDSLRSSGSGNIFKDNGSIKRRQAIPYVTHYSDSGFGSAPSAGSSCSYLPPPPPYRMRGSGGFTSKPQHKIHRSLSDSKYTASLMTAVPQIPLLSMTPLNQLPSRDTRGASWISLSPSPSMSTVSCPEYPELQDKLHRLAMARDSLQLQVSVLSEQVGAQKEKIKDLETVLALKRNNLTSTEELLQDKYHRIDECQELESKKMDLLAEVSSLKLRYATLEREKNETEKKLRLSQNEMDHVNQSMHGMVVQQQLQHHTNGNGSGGYMSPLREHRSEKQDEEMVQLRTAVQRLMADNEHKSLQINNLRNALDEQMRSRSQQEDFYAAQRNYTDNFDVNAQIRRILLDEPSDSMSHSTSFPVSLSSTTSNGKGPRSTVQSSSSYNSSLSAVSPHHNWSSAGAGTPRHLHPIGSNQRVNNINAAQYRSPSSPAARQLAAELDELRRIGNEVAAHNNYSSASLPRGVGKASSTLTLPAKKLSVASGTSAGELGGSMSSVAHPTPKRNYRAQMNRWINEKLRRKRAVSAPNLVESDDEIARGRGLNTATSQSNLKNFSRERTRSSLRNIFSKLTRSTSQDQSNSFRRGSAARSTSTARLGSTTHLGTVPKRPPLSQFVDWRSEQLCDWIAEIGYPQYAHDVSRHVRSGRHFLNLSMHEYEGLLNIKNPVHRKRVALLLRRIEEDIVEPANKWDVHQTLRWLEDIGLPQYKEIFEENVVDGPLLLALTAADAVEMKVVNAHHYATLARSIQFLKKTDFRFDAMEKKIDQNIADKYPCPDVVVRWSHAATCEWLRKIDLAEFTPNLLCAGVPGALMIYEPSFTAESLCEILQMPPHKTLLRRHLSSHFNQLLGQKIIADKRDFLTAGNYPQISQTGRVKIVRKGFSLTRKKAKNEICLEPEELLCPQVLTHKYPTLAGDNSSLESSNV >CRE22428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:360529:363401:-1 gene:WBGene00065311 transcript:CRE22428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22428 MDGFAEANQLLSSALEQLDDIIRNGNTTSRSTTTIGSVGPTPIIKKSFRDLLPPPPSLRSTYSPRIMENGHSHKQHINDDIDNGNADDERSSREINRQWISSDWKHRTDLGSDGSSGVESPPSDLPTSSSSLFSPPRIYQEFVKAIDEHTMTERPDLETRLKIMKWVAGRTVSLVEVIFCMNVLCEIV >CRE22429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:370096:373472:-1 gene:WBGene00065312 transcript:CRE22429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-37 description:CRE-SRA-37 protein [Source:UniProtKB/TrEMBL;Acc:E3ME47] MGRTQIFNDTQCREAAEAVSGVLYLAPSLCNTVSSVATFFVCGLIYLNYKFRLFYHINARILIFLNIGSYLIFSTATLLNYSILFINAIFADNNCDYLFLTKNCSILRRCFLFSNMLSTLSSFSIILERAYATWKLGLYEHYGPRLGFFLATGCIMISAMMVAIVTGEEDATEMMTNCYAFSSSPSVGHRVYNMFRFEFAVDIATWIGYFFLLWFHEHRIRQQIKGKLPQRFQAEENKLVLQVLRPTILTSSAIIAVYIIFSSTGHLFRDQMSLAHYKQLASMIFIMPHNSLISITLYYFIFKWGCENKKKRMEDTVSSPNLSEKEYMAKLSREWQEEFDKRESANPSPRTPRATSYFNRLSRYTSTV >CRE22431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:378837:380403:-1 gene:WBGene00065313 transcript:CRE22431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-39 description:CRE-SRA-39 protein [Source:UniProtKB/TrEMBL;Acc:E3ME49] MEGCEERGELYFHPAYLFAVVFQGMSGWLTFPMLFIILKKYAFNVYYHPNLVFLMMLNVVTCLLLGSITAWSATNFFINLMIKPTPCDLLLKTHFCSKIRATFLFAFCLVTTSHAGILIERIWATYAVKHYERQGKALGIILAILAVGVAATAIFIVLIPEDGEELITTCLTFSASKSIGSRIYVMFFAQLLLDGLISIVHLVLYRYNIHADKKGSTSLSEQFQRNENVKTLKQVTPLLILSNVTIGVYIFVISVFRLFKNDLPRNWYEIIAANLFIMPHMPFMFTSLILIELRLAQKRQARVHRDMMASQDVPLDDQFHIAIENWDVQFQSRLKAQALANGKAHPTSSWGSSKFMSKFKKRQSTVAVVSLPSSPA >CRE22435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:418870:422089:-1 gene:WBGene00065314 transcript:CRE22435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22435 MLRAPAADSNKDVILEVLRRFATSGKKVFEIASGTGQHVAHFAKALEDVTFQPSEVNGRSLHSIVAYLDHNQFQNVRIPLFIDVAKRFDQWALPADFGPNMVDIVLSINMLHICSQTAVDGLFESADQLLNETTGKLITYGPYSEDGFISPQSNIAFHASLKNQDPEYGLKDIKYLERFTVVICTFILTFRVVWMFRTCLGVTKN >CRE22436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:422822:424190:-1 gene:WBGene00065315 transcript:CRE22436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22436 MEEQDIVEFIRRIPFFPDAKDRVNNEINRMKADMETLLSTWSVEQIRAVFTGPIRYRCRIEQLQGETLTEKCNSALRIGGLTFLTDAIYLSDFDMAERLRQTKLNVFSQLITRDQFFRPKNQEDKELVVAGETPGPAVFDILCLPPEIIGQIMQQSLYKDAQNLAITCSSTNTIYRNNCSNMDLPDIVLILGFSNGELTVRWFLEKEDQEAQGDPDVNTAKILIQNKENERKKYQLLKNTTLLKVMITDEFAPEYCAEVLKFIGNRKFQRLVVKGDEYNENVKMMVEVLDKEYVEMEVNRFTVEHIPFPDIKTITVRDNLNRDNSERLFSAKQFVNITCALINIDSFRKALFEWDTEQREIGKWVILKQFTIFQTPFGRRHRKDTCQRKGYLEFISELNSTQMPHGEVTEYVFRPTE >CRE22437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:427383:428870:-1 gene:WBGene00065316 transcript:CRE22437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22437 MANRREHNQMLDLERIELMDEFNEVMMNEIRNPARNDRGEHHQMLELERFEFMNAFHGRMMNADRDPARIRIQELLNRRANHHGRNGHGFVLRFENGPMDLFDLEFDNHELHICNICLAQIPNIEYLKHLDDCAEKHHSLEHVKNAAYYHFTSSDYYVHQEFAKLMENLEIRYLSAVVDESFVKWMPCMSCDTLMDHLSEKCMDNNMEEIFTFEGKTLVNRSLNNYKLYLEYNMQVRLDGIVSRHSKYLDSIEHILDMEPTDMESQLLKNRTWDNFVNVTLPAQHVEIFDFKEKTMLENEEMLEKEENSLDSLFLKNKNRMVAYIETFKKKENLREILQQRKSLRLDPIDMFIPSNLMIGPYQEGVVEEEYPYVANVQAADEEMSGL >CRE22439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:440254:443503:-1 gene:WBGene00065317 transcript:CRE22439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22439 MTIFRILIKFLLIASAISANGNWKEDILQPKPLHDLSNQCMNDTETWFQSLQLFANISQQCLTKRECTPKELKLLEENVYAIEQLDAFGQIPVPGMLELTTLYDGSYQECQRISGVKYETNYCYLVLRPGKNVSCSASNSTSSLASLPLRLAVCLPYSCNHQDMINIFNQVSLYPFTACSAFCVKNDVKKDAPFWGYSVFLIVMVSIAFLATVVDYFRDTLFGVKSDKENNILLKIFIAFSFWTNAELILSVREQKPGFIKSLDCIRLFSMTWVVTGHSYLYIILSNTLLPIVNFPKHFWNHLILNAFLSVDTFFVLSGIVVAYLFFKAKPSKRMIMNPITWVLFYVHRYLRLTPPVMLFIGFFTVYAPYIQGTFSASAFNDLVAESNACKMNWWENLLYINNFGDPSNNNNNTCYGPTWYLAADTQLYIVSPIFLIGLFFSFAAGTGLLVAACVGSIITTYVLFNEYDMASDSYGNGDATYFFSVIYSKPWIRCPPYLVGIFTGYLIAKYGNRKIKLNWALALGGWALAFVIAGFCIFGNYDYDKGSHWSVFTRASFYNFHRLGWAIFLCWVISANHMGWGGPVNNFMSHPIWQPFGRLSYCAYIVHWMVLFYYHNISGTLHYYSAWEVFTHISLPATFLAYILAFFWSCLFEISTLKLEKMLFEVLLKSNRPSQKLGSSIEGGEFAEKKKAIESWETTENDA >CRE22329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:453403:454558:1 gene:WBGene00065318 transcript:CRE22329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22329 MFLKACSIVVSTVLDICSIIGSLAFYKVVNRFSRFFYVAQLYRYLFLVISFLATLNSLLATKLILVISQFETMMSIFIDEEYPGSWLDIMFRISFACFYTITCMQILIVSILFVVKANDSFFNRKPGKFDYFLIFMVLLVSIAVGLPCLLEPLKLVHVNDEFSFPQPPSEFIMYSVFVLFACLFCWIITVLVNKDNVFSEILIVGLGMAAVVVNISLLYLGLTEGLAYLLEPAYIALPITSSFFSSSVMASRIITYPKVRTLVFDFVLCKQKVKVDPVVVNYEANTRNNREIITIKI >CRE22330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:456387:461216:1 gene:WBGene00065319 transcript:CRE22330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elt-3 description:CRE-ELT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3ME62] MEASNYYSTSPYSSSSSSETKESRMNTPIPFTFIEENVSSSTHYLFMQQDNNMHWMTSQGTFWNGGGSHPSTEYQFAQHEAVQPTNQARLPGISNFMKDSQLSVKPATYYTAGSPTMNDYRVEKVATSLLDPYVQLDQPTYADFTNAQVLSHQQEMLQMNFSAPLSASYMNTAQVAQTQHVPFNIFELNLTNFANFQPTETPISVINTSPTHPYTTMPTFTPPPQDPLVAEPKPIKKRMAAVQCHQNSICSNCKTRETTLWRRNGEGGVECNACNLYFRKNNRKRPLSLRKDGIMKRNRRPRNESPGAVMRAHQRAHGHTAAC >CRE22331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:471370:474697:1 gene:WBGene00065320 transcript:CRE22331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alg-1 MSGGPQYLQGVMNPLQSPSPPNSSPSSSLVTTAAIPTTASSQVVPTSGATQQPPLPSAQTAASTALQNDLEEIFNSPPQPQTFSDAPQRQAGSLAPGAPIGSTGVAIGEQSSTIGGTLQGAGAPGNAPGGAQSGVQFQCPRRPNHGVEGRSILLRANHFAVRIPGGTIQHYQVDVSPDKCPRRVNREIISCLISSFSKYFTNIRPVYDGKRNMYTREPLPIGRERMDFDVTLPGDSAVERQFSVSLKWVGQVSLSTLEDAMEGRVRQVPFEAVQAMDVILRHLPSLKYTPVGRSFFSPPVVTGSGGIAGSSPPAQAAPSISSGSHSAGQYHAESKLGGGREVWFGFHQSVRPSQWKMMLNIDVSATAFYRSMPVIEFIAEVLELPVQALAERRALSDAQRVKFTKEIRGLKIEITHCGQMRRKYRVCNVTRRPAQTQTFPLQLETGQTIECTVAKYFYDKYRLQLKYPHLPCLQVGQEQKHTYLPPEVCNIVPGQRCIKKLTDVQTSTMIKATARSAPEREREISNLVRKAEFSADPFAHEFGITINPAMTEVKGRVLSAPKLLYGGRTRATALPNQGVWDMRGKQFHTGIDVRVWAIACFAQQQHVKENDLRMFTNQLQRISNDAGMPIIGNPCFCKYAVGVEQVEPMFKYLKQNYSGIQLVVVVLPGKTPVYAEVKRVGDTVLGIATQCVQAKNAIRTTPQTLSNLCLKMNVKLGGVNSILLPNVRPRIFNEPVIFFGCDITHPPAGDSRKPSIAAVVGSMDAHPSRYAATVRVQQHRQEIISDLTYMVRELLVQFYRNTRFKPARIVVYRDGVSEGQFFNVLQYELRAIREACMMLERGYQPGITFIAVQKRHHTRLFAVEKKDQVGKAYNIPPGTTVDVGITHPTEFDFYLCSHAGIQGTSRPSHYHVLWDDNNLTADELQQLTYQMCHTYVRCTRSVSIPAPAYYAHLVAFRARYHLVDREHDSGEGSQPSGTSEDTTLSNMARAVQVRFENLKKLSIYTIKTLQVHPDANNVMYFA >CRE22332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:479446:480984:1 gene:WBGene00065322 transcript:CRE22332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syx-2 description:CRE-SYX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3ME64] MRDRLNEFQSRVTDRFDEVELSPARPPSAAEYVDRRFEEVRNAIGSVRGEIEKLRRDQQHILSLTIADPRDKNILENQIGTIRRRTGDLRKLVRQAEDDFLEFTKQTQSITEKRIRQNQLELLKDNLNKLINLFNDTHQDYKSRVSLRVRRQLQTVGQDLTDEDINRIIENSGSEQLFFREVNPLSVSGQAAYEDVKKRHGEIKDLENNIAMLEEIFLDLQHLTEAQDEMVTNIDNNVESGLEQVKQGSANVKTAVEYKKSAMRKKICVAAILITIVLILIIVAIILAVVLSRGNNNNK >CRE22333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:481592:483725:1 gene:WBGene00065323 transcript:CRE22333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22333 MGRQEAANNMRMIGRLFIFLLVLISSVCFVVLTISSVLFSSIIKEDEINDEHCLNMPPHHYIHPVFLASGPPIQSEPRPVYEPRRIPSSAKLDCNLQNKTLIIVNSHINHAAFRKMQRDMFRPEWLDENNAVLYFIVGSEQSTDIENEMKQHGDIIQVDTTEHYHNITYKAIFWVKEIANCEHGPKLFLKLDDDVHIDMIGLQFLIKRYRTIDDFIACRVISSGPVVRNDSSKWYLSKDEYKFNTLGTYCQGMVYFVSGNLLPVLNRNIEKSQFLWMDDWYVTRSLVGDYKISYYSLEQHSLSPNTVPELQASLHGIRHRKWRTIFVHFRPPQKYPMYRRKRIFANITDVNNSCDILKEARKNFVPAY >CRE22442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:483898:487805:-1 gene:WBGene00065324 transcript:CRE22442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-39 description:CRE-PQN-39 protein [Source:UniProtKB/TrEMBL;Acc:E3ME66] MAKGPAATALLIVVINGGDCDNHALYPSWYYSMSAKPEQAQAPAIVSHADTGPTPQQPHPLQHQPHPPNYHQGQIIYQQPYGHYQQQGPPVWNQPPQTTVHFVQYAPQQDNTTFQDNKVQSYNHYGTVHFAQPGMDNGDMMMRGPQTAFVPQQYVTSPQWFSTGQQNQGQFIPTYATTFNVANDPTYHPNHPMNRPNTGRQDDYQVGNDSYMQFVPFVAPIGVMESQAHASASYTANWVSSTSSIPPEMKDEPESDNISQYDSQRPASQMNGDNWTDQEDHLSPSTTQQSFTLPTQPRPMPYVEKDKSPSQSTTKTTKSAKGEKTEGKRELTFDERIEKARMQKTRVENESANVEGNTNAVPATGPAPACGAVTSSAPVQTPRSYGHRKDYYGYNNNSNNYNMNNRGRGGGHHYNGNNSHNEMPGAEHYHQQQQAHQQQYYQPQQPLYKHVGQAIDERDTYNSKRDNNYSTNRFENNQHNASYNQKNVQNQNQGQHQNQNQNQIPIQNQNPQGQEFYRQPSYRGRGGRGGYRGGFQQQQGVPVPQPNQQPMQDMSRFVGAPQVMSPQMLATINAFQNSHIGNIPRMPLLPGPFGMPRHAQLHQDVKFHNTWLDAASCPIPLAAVMTMDTRYGNRGGGNTYRGRGRGGYVYRGGYRGGATGGAGSGGYVNPTPKFAPEKVPDVEATAEMKPSDESPKSAPEASGVKESVAEPKIEVEKKDDVDKAASEEKKEPREEKKKATPEKPTEAEKPSVQSTKTEENAETNAEKKDSK >CRE22335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:494022:495012:1 gene:WBGene00065325 transcript:CRE22335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22335 MQAMQTMKDIPPRIFEIWDAPVDIETCGNLITHHKLQCSTCDEKFETEVQFAIHIDKCLQNAERDTHYQIHMHANRLLMFQHRVALFCGASHQDRFLQCGLCKENFENKETIRKHIRICARDNASYGAFIRKTRPLFSNMLSSYEQLGLLFQRYVMDDHIVDIRNRRRSVRLAKADSSPVGRHLFFDEISEHFIEQYRDYVFAICGLIDDAFIRYLKKKESESEAADVIYSLPKKRKRRADGESVDSDSEEDEDDDSEEEIEQPSTSSGRGRKRTTRR >CRE22336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:496387:497239:1 gene:WBGene00065326 transcript:CRE22336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22336 MAAEEEVFVMKLDGDPGDFHCMACLKICNYLDQIYCCAKTCNMPEQQKKNSKMFLFQQRCEGAFLKVCLQRHAIDEMVTAMLADKKIVDMKGNCLFCRNKKHHEELKWCAGKTKLELFLHVIDREDWKIDTLLEKYFEIRVQNRMNDLRKVQARIEREMRAVAEAHGKQPAEIEHMMAKQGRSARRIQRREVDHIETENEGIRRRLGVKLLKKKNQTIQRIKDACEAAPIPEMVCIQMNLQKLNVN >CRE22443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:497531:499329:-1 gene:WBGene00065327 transcript:CRE22443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22443 MESIDIVFDNPKYYKPGDQVTGNVNLITSTDIKAHHLKILIHGAAKTNWTKCRKDDHVTYGAVVHFIRKDTVVWSGDSQNNKFPAGSHVFPFSFQIPANALPNYNGWHGHVRYKISVELDRPLKFSIKKTVYFGVAPDVDISCFPLTSTKVTRRDVKNIGAFFKNGLVTLTVTIPYKPCIVGENLPITVNIDNGSTKSANCVRVELQQHSHFTGNRSSLSGDYETCQKNVMNKVLEKKKRIKVLPKSQRSEELTIEIPRVTQTFQSHIITVHYVLSVKLDTDTLLNNTLHCEFPIIISTVPITTRSITPARSASQPPPYSVLPPILSNVESYKHPPTYKEALVA >CRE22444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:499958:501544:-1 gene:WBGene00065328 transcript:CRE22444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22444 MESIDIVFDNPKYYKPGDQVSGNVILITSADIKAHHLKIRIHGAAKTNWTKYSKNDNVTYGAIMDYIKMDTIVWSGDNQNNKLPAGPHVFPFLFRIPANALPNYEGRYGHVRYKISVELARPLKFSIKKTANFRVVPNVDISRFSLSSTKVSRRDVKDIGAFFKNGLVTLTVTIPNKPYIVGENLPITINIDNASTKPANCVRVELHQHSHFTGSTSWKLSGSNELCQKNEMDTVLEKRKKIEVLPKSRGFEELRIKIPRVSQTFQSSIMTVHYFLSVMLGTDTLLNNTLHCEFPIIISTVPITSTPVTPLRSARSASQPPPYSVLPPILSNVESYYHPPTYEEALIA >CRE22445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:502302:503889:-1 gene:WBGene00065329 transcript:CRE22445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22445 MDSLEIVFDHRDAYQPGQQVSGKVILNNCTEIKASHLTICIHGGAFTHWSEHRYSETVYFSSTVDYVKEEFLAWSASEQNKKFPIGHHVFPFIFQLPTGIPPSYEGAHGRVRYSVRVELDRPWKFSKTQREYFQVISNVDLNTLSYGNNPVAKKESKDIGSVFKKGIVTTTFGPVLYIGSIYHSYSQVTIPKQAYAPGETLPITINIDNLSTRPAYCIRAELHQHTHYHATKSSIFSNHKEYHKNETKMVAESRKTIKVAAKSVGNEESSIKIPKLIPNFGCPIIMVEYCLSVKVDTETSMNNTLHSEFPIFIGTTPTNNSMTFPGTVDEKTDLMLMPPPYSCSPPSAPTDDSPAPSAPPPTYEESFSTNKI >CRE22446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:505412:507632:-1 gene:WBGene00065330 transcript:CRE22446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22446 MGKHRGGKKSSKKHRTHRHNSSSPRHSSKHHKSHRHSKNRERSTEREYIRRESSSTDERSRRFGDTSSRDRTSTRHSDNHRQDDSPNGQGTSYQNNSHDLNVYRQEDGRRRFCETPPEDRSPARHHECRKSDYHDKSHDKKSKNASRHHSSEKKHEKCDRKRRHNERDTSNQGRSSKRHHSQERQEVNDTSSVDKIIPYGFEESDVKHRISESTRLHLNIPYVKQVTYCNLAYEKTEEPKYIYIRGEDGMRQARNFIDDASIKGAQLNYEWSIKNSPEEEEWPETSFYYWTGHKHGRFFSSPDCAAPIQEMEKKFDVSIEKVTDEPDLSKISGGYFREVKEQLDDLCHEYYLSHLFEEIKVRTDLVKKMGEQGCDLAMLEDATDIVTDLTNGPGLIQTLTLFGYRDNVDHAIDLIDDIAKRVDPRGEDIRIYEMKLSPREISNLMGEKNDEDEKLLKLIENTADVICYLNPNVLNGHQHLMFQGTETNVSNAATLVFCAVTQGAVEITASEAREYLTEVRLDY >CRE22337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:509256:512815:1 gene:WBGene00065331 transcript:CRE22337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22337 MNLGSCESSEDKEKLLRFLGMSDKEQHIKEKAISDSDVRAQRNEPLKKRGISSGGNGSNSKTAIPRHLTAPIADRDIKEEVPSDSEIGAQGNVPPKKQGISSGGDSSNSKMPFHIVSLLQSQVYRDIKKEVPSDSEERTKEVKKRKTSCISQSSNSKGAVSRRFTTPAEEQIVKREIPSDSEKESGSAQHRYDKASGSRRDLRSRTPIAERDIREEEPSDSEEPKEAEKKPKSRSFISKPLNSEGAVSRRFTTPAEEPVIKSEIPSDYIKEEEPSDSEENTKDIKKRKYTPRSPARSKIVRPVDEQIVKSEVPFEKGSESAEHRQEKPSGSNRALRSKTSIKAGDIKEEEEESSNSKTEDQNLKCSTGKDTIVRRNNRSDSQRNSEDAYCENIDGESASSSPAPLPLVSDTDHNVTDESITDLSQNVSQNSSNSSSDSDEMVRQTSNRVAGNKTKKPIRRRMKKVESLKLTREEKEARRKEKNRVNALNYLMRKKDKKKNFAEKHSLLRKKVEFLEKQNKTLEKSVLSAYSQLVDLSSGTDSPYGSLELFEEQLITQKIAVCEEVMEKDDNGRDLQKLEEESFAAEDKFKEIVENGFPEGALRTTITSQKCRAKSAFELAKLSYETKFVEVEIQKKETFGRVLDEFLEEINKLLETFGEKKLAEKIVEEGLTERTE >CRE22447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:514205:518697:-1 gene:WBGene00065332 transcript:CRE22447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22447 MIHQPCLFSEMNKYKIALLQYPCGFEEDQYPRAPRLDPRLVVGLLFPSQNVRERIREEREEEKDRQRREKENRRRPVHLKPITDGNQLIREAEARRRERGGNDRSPRRRAHGDYESSRRRPRRDSEENRREQPRRKRRRSRSPLQRDHERRAAGTTDSIKECKKEREEESQKKRKGPVSEPLVEDGIILLEEIKGSRPTNSREPKQEHNRDSHNDSRSRSSVEDINLLLRQFEFDRRARRAGDSNEPINEEESRRRPNSNKKKSSSLRRRAHGDYESSQNRHRKDSEDRRRQSRRSRSRSPWQRSHEGRAANTTDPIRECNSEAEEESQRRSREHDKRTVGGKVLAMDGGMDEMEAQWTLGKRYAHPISEYAKAACEEEGLIFQLRNTNSSWDQNAPSPVYNYLRFDGNFRGNVTIETIREDLDRISLREMKKRWPADVAIGPDEVAPYFIYHVPEGGYATFVRTKFHNDINSIMISSGAAVLNMGNDENGPIRIAAKCLSEIEKAKKLLDEHFLNMIGPVTTETKKLRKSVQKIVQGGKLYRIEEIQRTTATEIIVEYRKYGPCQKMTIEGTARNIARAVQEIKEITCKDVLEIDDIYEIRINHEGRRGLLYRSCELLKRINNVITCKLDFEMNEPFGTIYFYGDKNQAEIGLRMINKRWILSPDGGF >CRE22448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:519564:524627:-1 gene:WBGene00065333 transcript:CRE22448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22448 MDGLPSWQRKRPKIEEDQHQGPEGASGSSAANQVPNHNISRMLLQPYCHVSLEERRWMQIEEIIRQNEERNGQIRENEHRGTSPSAHEALDADNHVANSERSSSGNRDSDERRPREDLRRDQDHNSRMLLQSYCHVSLEERRWMQIEETNSSDTEEGHRNRHKKQQKSRHSRSQGRSPENIREEDEVAAMRIVKEEFDSRAAGLIWEIKQEDEEIIYSPVRSKSRSESSGQSADERKSAKRNAPIRDIKSEPEKKTERGNCPVRGRSRSVSPSQRAHERNTADRNEATTEGEREPEEQSPIEDRASVEPTRERSPARGPFDKYGTCLPWNEILRQQARKPDGTSNLGESRQGHSWYSDDESRNRQPVQGRTADTAEPNRECNIEAEEEIQRGNSPVRGRSRSVSLLQRAHQRSAADISEPIRENEREPEPENQIRSENRRDPENSSRSSPVRGPPGGGVIYPRFFEMLARNKFVANASEPIRDCETEPVDESERGNSPVRGESRSVSPSQRAHERRAADLSKPIRENERVPEQVNQIRSENRRDPESSSRSSSVRGPGDANLILRQCEMARRERRGDPVEPIMAEEESRRRPSSSRAGNSEDRRRWDREERRKKSHKHKKRRRRSSEGSSSEEGHRKRHKKHRKSRRSRSRERSPRRIRREDEEEAARVPKGPIDINNYIPNGFDRYSDKHPISRRPADLLEIRNIARANNCSLSYDKIDFPGPEMRYIYMRGEEEDIERAREEIDEEGIRLLEEEYEYEQNNLDKDQRTEFSFMYWIRSGCVLYFASEDFNNIIEDIERRLNVKIERMATDPRVLKVVGWNFRKVKQFLNSCCDDHDEPPITKKFTIRSKLLEKLCGKLGSEFTLGKKTLTRIRIGFEGKVTDSLTITGLSENVDKVEKMIRKAITELDPAVTEIYAFTMVLSEREVDLVYGDRATTLTRIQEHCKVEMQMGDGWHNGKKNLFIEGNVDQAIETAKKVRFEASKYREHLNSAEAYHLLPSELLASSRCSPSSIDV >CRE22449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:526333:527520:-1 gene:WBGene00065334 transcript:CRE22449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22449 MTEHVFWRDILNYFKYFCSGCSTIFNIILIVLVFFRSPKSLGAYKYLMIYISAFDLYYSLWDAATEPIVYSYGSAFTVFRNCKNSIFNREISFYIVLIYCGCFTFSLACFGVHFVYRYGTLNNSFRERYLSGKRVIIIFLIPVIYGCWWGVISGIMFHYDDYTDAYLKTAIQTDFGYDIEDISTMVIFFYLPDENGSLHPHWQALATMSNVWFMSFTSMFCVGYCGINCYRSISKALAATQTQSKAAKTLQHQLFNALVIQTLIPSVLMYLPLFFVFALPIFGINIPYASSCISATISLYTAIDPLPSMFIIKTYRKAIISTICSVDHAKNFCYRGSHKSTVVVHIFSAR >CRE22339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:531903:533817:1 gene:WBGene00065335 transcript:CRE22339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22339 MTCLLLLTLFVFISPIYTVFTYNATDDMALLFETTGCDSQCRFNASEFSLDTIDLWPGNCSTVCAVLKFTSSSNNIPYEKLKSTFQNLNSLRGVLRIENTTLTNFSFFGNITLISNINKNVLSISNNPKLTDISVLFTITNIYINYPVQIMNNPKLDVFPLCVGENFLDFDRFYIVNNMKDCGCTGSIINNANIFAYKNCTSIFGPTEISNATDASMFSALSDVRNITGPISIKNTNFQNLSFFSKMERMKGDDSIVNLDIHSNPNMTRLGFESLMDLYNIEDWFRVNIQDVHPDFCLTMEEMQVFTTFNTKYVKLEAKYCNITTRKDGQKTCVFESMSALANDCVHIMGNVVVSSGDEHHTGKLSKVIRIYGSLSITNTTLKNLEFLGNLINVAVLNDTSPAILLDSNPDLFDISLLSMRFPYTSGPNAVVVQNNAPNIFPNPYQCHNYQNAVGAYVFYNRAECDWVLSDGDYTEIDTGGSSQFSEKKAHQNCGVFAILICALLSFVNI >CRE16238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:446559:447083:-1 gene:WBGene00065337 transcript:CRE16238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16238 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3MSQ9] MRISVCVRKRPLNTKELTKNEVDVITIPSREITILHQPQTRVDLTKYLDNQKFRFDYCFDEYSNNELVYRFTAAPLVKTIFDNGNATCFAYGQTGSGKTHTMGGDFSGKKQNASMGIYALTARDVEQSIDEHDFSDIRLAQ >CRE16180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:444980:446280:1 gene:WBGene00065339 transcript:CRE16180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16180 MIILEQNNRIIIELLEQKFANAKEGAKPESVNVTFADFDGVLYKVSNPDGVKTRIILLRRVYGGHMRATPESGFNVTLEYDLSALPDNTEYCFASVFEKYFEFQEAGQEGHKRAVINYREDETMYIEAKADRVTVIFCTVFKDADDVIIGKVFLQEFREGRKASQTAPAVLYSLGEPPLELKDLPGARVGDNVGYITFVLFPRHTNKKTRDNTIDLIHSFRDYLHYHIKCSKVYLHTRMRAKTTDFLKVLNRARPEVKGEKKTFHGRTFQTQ >CRE16178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:441586:443829:1 gene:WBGene00065341 transcript:CRE16178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16178 MFLLSNIARLSLLPTRSLHQTIQNASSKIELKTNEDSDVVLNRWDRDGFSWSQEANDILRNKFHLDTFRPLQRAAINAVMSKEDAVVILITGGGKSLCYQLPALLAKGLTLVVSPLVSLVEDQILQLRKLGIDASSLNANTSKEEAKRVEEAITRNDSEFRLLYVTPEKLAKSKRMMNKLEKSLAVGFLKLIAIDKVHCCSQWGHDFRTDYGFLNVLKRQFKGVPILDLTATATSNVLDDVKDMLGIQAALVFRAGFNRSNLKYQVLPKKGSEDECVEEIAAIIKQRFSGETGIIYCLSRNDCEKVAKSLKTQGIRAKHYHAYMEPNDRSACHQSWISGKIQVIVATVAFGMGIDKPDVRFVIHHSLPKSIENYYQESGRAGRDGRPATCILYYRLADIFKQSSMVQQERTGIANLYNIVNYAYESRICRRVKLAEHFEEAWEPSWCQKQCDVCEKATDGETKSIDVSEEAKAAVKIIEGRLKAETYETTKHHFPENLNSAKDGSGRITGNKLFELLAKRLKEKRSREFCERLIVFLLLESYLQEDFHYTVYSVISYVVVGSKWRVYNGRDEILMTVDDEKGSISAASSIKSRKRKAVISSDEDAIS >CRE16177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:437923:441261:1 gene:WBGene00065342 transcript:CRE16177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16177 MISTLSKFDFRFRYMVGVKKHVRANRRNSEKNSLRAKNETLINEGGIIQSTISQLQEFIQSIILVITMLRKDIVNVSRRIATVSLESAMKDEKLRKLEYTISQRRKTIVRIQNEKKNFKKMNRKNRKIIKNQENELNNGKEEVFKLQERDPSFGCNAEYRPNSHTKIKKDRCERVILAIRNLVGSENVDNFLHYFLQFVNDSRFKTRFQLSPEETFFAKVRFHLTDGFLKKFHTFYHTLTGFNVFSSRWCIGHIQKKVSCISDYTISIQDVVKKMILGPTTTVKRPKVLINNISVVLARRLSNLAASGRLVFDSSTGNNICVTLGGDKGGEEAKLLLIIENVSTPNDANGIMLLGYYTGNDDYKSLKENFGEVFEQFNKLTEVQYECGGVQITKNVQKRVAGDCKFLSSLYGHGGQSSSEPCHLCFTSYSTHGSKKALIESFEFDKCVGRRSLSDYRDSLVDVPLENSAIPPMHIFQGLTQKYGIDHFLSECNRLDYINLQGLDEDDEADVDFPDSLAAQKKMLKNLENEENHYLKRISAGLTSRESYYEILEGYEKVEKRKRRKEKYKRCESTACLANVLNKKLAEDDTIFRCETCQKTLHYYCNGVVELDENEMDGAKECFECTNGGRPSSIHSRKTVVKEKLNKLEDVIEDDEKSWDAVTKEKDNVTVIIKDATGPMRKKFDKIMRKIGCENYHCSQNITGNMSRKFLRKAIIDEIISIFKPSEQMESLRMFLYDLSNLMSTSNNSIKTNEEIEEIRDLLSSMISNLKKAHPKKGIILKLHLLCAHLMPYLEKHRSWGKVSEQGIEMIHQVFKKLQLLYAPVRDLVRNASLLVQSHANNNMVYDVGEWWNE >CRE16176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:432766:434029:1 gene:WBGene00065343 transcript:CRE16176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16176 MPEMLKTNPTALLACIFSKFLQEKNVEKAHKNFCKTVGEDVIEYVDFEYWFYGFYHGNVDYDHDRSADPEQLSLTDLPNEMLNEIFENLDIQDRINVRKVSRTFEKVVDRVKEEYADVSIYIHGNQEMGIYLCNWSACYKKGKCDCVVGHGRKGINRFNIEPSALSSMLTNSKSPIKEFLISIDRPEITPYLIESLCKDVSPIFYAKRVELDIEDDDYLIKILSLFKPGVLERFFLDGTQLDDDMFAKLAETDQFKQLKHFSYSELFPLSQIKQLSHLSMFEITMDYLSAEDVVIIRDVLLQFVNLKEGCINFSDMDLSGIRAVLRNDDDDSEKRSYLYPIPNSDRSLRFESYASVDGLSYDYLRVFEVFH >CRE16237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:424880:427171:-1 gene:WBGene00065344 transcript:CRE16237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16237 MRVLSVIPLVVIGFISTDENPDTIIEKLPGAARILAAVNLQNGHMDDAILRLMGLDMKFSLSDLQKFNKKNVEEFVEKLLSVGGLLKSDSEKVEQVFIDFNEIQQFWAQYSEKINRIPGSLKMLEDVNNYNSYELETIDIKEPLDLLNDIIEGRHSDFEHLQLSLQAIITKIEVFSRSHNTKGLQHLLESLSPLEYLVRIIDWLAISPFWELAEYPKGLPANLKNFDLINIKKIPDLEILEEVFNSQAFELKKLNFKDSWIKNALWGSNFSETRWILDFQTLIDKFYEPFQEQGSQRDVRKAATFHRRLLEIKSLQISDFERIAKGIQSCVLHKESASAITNLRTTSKNIQILLKKIRAVRNVEVAMVDHKKVVQELSGKTEMTELKQLLNAVLFLEKNLRNVILYVLNWGESAKSIFESLNGFHSLDTTKEVTSYMKCLMEVEGPVEKAASVAMVFKGMRKLRMSFKENLLRASNVMVEFLGSIKAIRDFKVEKIHKVADVQNLQTYSESFQNSIPILNTIKEVLTPNNEFLKFIEIGNELKERSNELMKFYDWYFGNFESLQASIANLIDESTVWKNKIRIKKGSSLDAYGDILKDLVNIDGVEDFQPETILSAIDNFEGFTDDSDISDTLSQLRGSVEKLEGFEMHFSKYHGSLMKIPEDLRKMKEILEGKKPGDGNPNESLSKRSRYYE >CRE16236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:417662:421440:-1 gene:WBGene00065345 transcript:CRE16236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pck-1 MADCRSLRSMETDGFQVVTEVVTHKLNHIPIFKGDFGSLSPKVQRFVAEKAELMNPAGIYICDGSQKEYDDIVDKLVERGVLTPLKAYENNYLCRTDPRDVARVESKTWMVTKDKYDSVCHTPDGVRPIMGQWMSEEQFGVELDARFPGCMAGRPMYVVPYSMGPIGGPLSKIGIELTDSSYVVLCMRTMTRMGQKVLDALGDQDFVRCIHSVGLPRPVKQKVINHWPCNPDKVMIAHRPKEREIWSFGSGYGGNSILGKKCFALRIACNIGRDEGWLAEHMLIMGVTNPEGQEKFIAAAFPSACGKTNLAMLTPTVPGWKVRVVGDDIAWMKFGEDGRLYAINPEAGFFGVAPGTSHKTNAMAMESFQKNSIFTNVAETADGEYFWEGLEKELKEAKGYSDEQLKNLEITNWLGDRWHIGDEGKAAHPNSRFTAPASQCPTIHPDWEAPQGVPIDAIVFGGRRPEGVPLVFESFSWEHGILVGALVKSETTAAAEFTGKNVMHDPMAMRPFMGYNYGKYLEHWIKLGKAPNKAPKIFHVNWFRETKDHKFLWPGFGDNIRVLDWILRRVSGDDDIAVSRETTKKSFKKVETAIGYVPKRGTINLDGLARIDWNELMSIPKPYWEEDIDESRHFLDSQVGSDLPQPIRDELDKLEKRVHSI >CRE16175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:416514:417561:1 gene:WBGene00065347 transcript:CRE16175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-40 MSLLSNLARLSLLPTRSLHQTIPNASSVFMKRQKKIDPEVAKQREARRRKRLEKDIRQMQKHSKKPKPVDELTLDVKSAKNIEERRRPPTQLTLEEIDERAIALKDYSRSRLALQREDDKWIRGAIESQRKALAELKKLSPELYTAAVQPAANDLPLTIHGPSLTPPIKNYEAPDGDYIDTTRNWAS >CRE16234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:408822:414875:-1 gene:WBGene00065348 transcript:CRE16234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-attf-3 MEQEEEIDVVNFDASIEVPAGFQCDQCEWVGKSERSLGAHRRHKHPKPEGSVSTPRGRGRPRKEEFDSLKPAENEVIPARVTTKQLLGGRKRPKTIVDSIDDSALRTDREASRLHDLGIIDEATSVGEFVRRDVNYPMVSNDMMMMEEGGSMVVGEEVIIDNEEENGDVEKRLSPMKPRVTPSRRFTDKVVPDRLIIGDVTGKYKCYIPDCGWKGGYRSIRMDHMKAIHPEWKKPARFILERISKDGVYLDPDAYVPPFACNVPGCTWRGNYRASRSVHMKKVHPNEHAERKKSAPGYNSNGTYACHYPGCTWKGWSRSTRSMHLKKAHPDWRPEDSRVLMILSCFFCKSTFPSYPLLSEHISTHGGVGLHVEEFFETKELYSVRKMVFFEFLQKYLFQEWMIRIEKLYSLNFERYDPPLHGDHGHPDGQIYLLHCSCVGHRGAQLAVDARAYIYDKHHFLKRRPHQLMTRHKNDCSAFLEIREESEYGPVHVKGTLEHTGHRFGTPLLRMTSMERQLFCDVTEWKSLGNDQFMAMDVVEKLTAYEGFLMDNFSEAKGVTLMEPLDMDPLLSVRILVEQSEPSCFFGVNFSEFDNHKKMSFGYMNLEMQKIWGKFGPGGTVCIDMILMDFDEIEMVQYTVYVIGEQMNIPKCVMLYMTTEQASGPPVILEHLTIIDARSPKEFLTNPSEIWVELARNTTRGRRNSRKFCALRRVLRTEDAQQVLSFFVEFFEACYECGYDQLAEFFDLQLTDIEYFKRWNPMRRLGINAHSHPMLATVSRVIREFYLTNDGIDRVDQWFAHATKRMEEFNQVETTQTYTMRPLEPIRKFYYQSTRDIEEVEAEEVRMQQAIQGRPHHQGHQQVHHQEVHQQMIYEEDDILTGEVVEEEIIGHEIEGTLEGEEIVEEMTLQEGEELIYEEIDEIEEEIQYQEEEVVESTPKVPPHRMRLYQQSQQKPAPPPRARPLHQVVSQQIPLLPPSKRPRILKGHEGHHLQPPPSTPPATRDTTKSVVRGRKKRRDRFAQLRDCPPEVMRAIAAHAIAYDGRKKEQRPFMYVPGEAKLSTSATPVVPTPDMTRYGAPPPRRLSAQQIAELQQQRRLKRDQQWAQKYQRVQEQQQRMQEEEELQGEEEELIEVDDGPYALPSTSPSSSSAPPSSQPQQPSTSSASSHHPQSSHYIHRGPAPSTSSSSSSHPPSSHYIQQHEAPPPSSSHQDVEEQPIYEEDEIPIYEDDQYNQEMYAYPPDEMVVHHEEVHMEYDDSQPCTSASLYR >CRE16174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:404488:407142:1 gene:WBGene00065349 transcript:CRE16174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pat-4 description:CRE-PAT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MSP7] MYTRQFSHSRSTETLKIFCEFVFHFFKGISVERNCNNCAELIQIIQFQPVTMALSSTYHAHKPNVPIIMEDVFGWVKEGNGFQVRVWLDDHEHDLNVGDDHAFSLLHWASKGGHVGIAEMLLSRGARVNSTNMGDDTSLHLAAAHGHRQIVVKLLSRKADVHATNEHGMTPLHYACFWGYEAVAEDLISCGALVNVCNKKGLTPLDVCQPACKNTIMEIAQEHGQSPNDQIPFKDTTWKGTKSRTRDATLSRYTGVDVSSLNLITKIAESHSGELWRGKWQGNDIVARILNVQEVTARISRDFQTEFPALRIFAHPNICAVLAAANQPPNLVVISQYMPFGSLYNVLHEQSF >CRE16232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:398988:400619:-1 gene:WBGene00065350 transcript:CRE16232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16232 MIPSTSTDLPITDHIPSVVIESDYEDAVSSGEELAKLCTSLKTHLVTTSKMRREKKSATKLLSNRERMTQYYSRESSSEHSSLEKLQPAEMHSRRGTGQKRRSNSGSDHSSDWKVLNLAFQEMAHYPLLMQLNEQLVEISRQLNSVEATNALQLKVIMHLLNRVSKRRPTWLQSFCRFFRNFQFFFFAFSWPFIARLIYIWYLKVVMRR >CRE16173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:394669:398913:1 gene:WBGene00065351 transcript:CRE16173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16173 MMKIVLALSVGVLAVVVAQNDSFDLVDANNDGKLSEKELNVFMRKRGRPDGKEYFQRFDLDRNGHLDISEFVPLVYEMSRRPVDMDYQFFKKMDLNDDGIVDKAEVEKIRKDNNDRIIDGILSIADVNQDGQLTYEEFKSHLSQGSKLNAADEQRNHALQLLSFIDSNGDNRLDQLELYNFSQKTSTNKVTKSDVQQIFAMLDKNQDGYLQENELIHLAQQFSTIGNVRSTIPKV >CRE16231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:393891:394214:-1 gene:WBGene00065352 transcript:CRE16231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16231 MAQSVPPGDIQTQPGTKIVFNGPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEGVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFPS >CRE16230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:381597:391213:-1 gene:WBGene00065353 transcript:CRE16230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16230 MREKLMMIAAVLLISILTANLNTASAKNVPENVDKLVSYFNNLAYSSDVQFVRADMPIYEDPMTVIENLRGVARIVAAITLQNGLSDGSISIENASVELMNLDIPLSDLKKFDKKKMDDFVDKSIEMKTKMSNGSEKAEEAFIKLHEIREQWREHSVKMKDFPKNLSALEGLKSWNTNVFKKVDIDKVSNMKKESEKDYKALETPLVALMSEISSLTKTFDTVPAEALLRNLSNLEKFVDITGQFDTNPFKALYGFRRYEKTFEDTSTVVSKVPVGGRDRQDSKVLDAISKSLLAIHNESARVLTTGFTNGFHDLGQLEKDLENPWILTVLDPLYNLNGTMSVTRFKSPIEKFHESWSSLTYHDHYRAVKKILKIPLMFDDVSIHNASQFGAIIVSKLKPCEKVFDSTDTTLLKTTVSNIQNIYSKVVALHNLQKISGNYSHFLKQKKIAEAINYLKENLAILKKDIDWSKTVEEITNEISTYSAQAIIDYTTHLNCLIRLEGPFKEVATASRIFKSLRSLNVSAELAANFKKVSSATAVSIEKIPEILAAGKVIKEDQSSEVRELKKMLLLRNHSRTFGHVSMLLQTVKLAISKDTSIFNTFVNDGEQIAEVSKLEEFQSTRQQISEFIKNAIAWKNGMKINQSSRLYAYGHHLAALSNLNDVDLHTEKLLEILEKLEDSGDATTKKKCENLIMSLRKMSTMELKFSKYSSELKEMPRDMMDMLRIFSTPTNEPPEKVDHVGISIFWITLICVGALVFVAAIYFCFFDWRFPIYKLRQMFKKPVMKTAREGKPPPGGFPNQQKSVGPDGREIREKKKRKDDSDYEMPPPPPPPPTAKDDKAPPTKDSAVPEKKNVSDSKNKPSATDKKEETKMVVEKVDETKTPPNASAPGSSTQPSTSKPDTPSSPPPPSKPIPKPPAAPSKVNLPPLATKRATGERTRDSGDVKTRTTQEKTRPTSTKDEESTNEEKNKKNKKKVDTLAADTTSSTNLVKTRSADTQKFTVDNRKTLDEVPNPEWDKKFEPPNTIYCSMRLGVGFARTEGMRTMFAKSKSLLREAFARVVMRTAPDQRLFVVYCSMRLGVGFARTEGMRTMFAKSKSLLREAFARVVMRTAPDQRLFVVYCSMRLGVGFASTEGMRTRFAKSKSLLREAFARVVMRTAPDQRLFVVYCSMRLGLFQLYLVWKLYDYTKTETCDRLWQVWALSILLGAIAGGNIVTTSMVCIRKLKTTPSYTNIVALTWKYSSRHKINQAPPTFDQNATSSSSSATSTRVPPPPAEKLHLH >CRE05833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:146744:147380:1 gene:WBGene00065354 transcript:CRE05833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05833 MDITVEGFTSCQFKGLTFLSPFLTIGYLYQLYLAWKLYVYTNTETCDGLWQVWALSILLGAIAGGNIVTTSMVCIRKLKTTPSYTNIVALTRKYSSRHKINQAPPTFDQNATSSSSSATSTRVPPPPTEKLHLH >CRE16172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:371442:376509:1 gene:WBGene00065355 transcript:CRE16172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-20 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3MSP2] MEEAEKVKVVVRCRPISTTEKIQGHKVAVNCSDEENAVTIKSTNQDDSPRTFYFDAVFSPGTDQMTVYNIAARPIVENVLKGYNGTIFAYGQTGTGKTFTMAGELEPMEMRGIIPNSFAHIFDHISKSQHDTQFLVRVSYLEIYNEEIRDLLSKEYSGHLEIKERPDVGVYVRNLSNITVENASKMQALMEFGNKNRKVGATAMNLESSRSHAMFTVTIESDRNGCLTQGKLQLVDLAGSERQSKTGAQGERLKEAAKINLSLSTLGNVISSLVDGKSTHVPYRNSKLTRLLQDSLGGNSKTVMIANVGPASYNYDETLSTLRYASRAKKIENVAKINEDPKDAQLRKYQLEVEALRKLLDEENPGDDENHEEAWEAKMKEKEVEVERKRKILEERVNSAVDDEETHRLVREMMENEAELKKARSEHEKLRSKLEKIEKKLIVGGENLLEKVEEQAKLLEINNKEIEQSKSQEAHLRNQLEERSAVKVEIEERYSSLQEESFAKSKKIKKVSNELKDARAELKDLEEDHQRQMEGMLEDCRQLKKELMLNLAIINQYIPVEHVEMIEKYVSWSEEHGDWQLKAIAYTGNNMRQTAAPVKQEFSVGIIFRENRTYKFQNNNQTAPMYYSYRADLGASTAEHRPRTSSTKRMKQNIQLQRLLILPVVEDDSREKLRNSSLVPIQSIFFCVHIFNFPIFFQLFLLNCFISSMLSFSALFLSNPFYYEFPFSILVNGPKRADTGRLESEDNVKIKK >CRE16229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:367431:368555:-1 gene:WBGene00065356 transcript:CRE16229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16229 MAYVESHYLELNAEPNFIDNVDAQQVENIQPLVDDSQKAMPKSDYCGFEGDDIPPVYRAQLRAAQKTKLSTSEPKSSYIYGKDEKEKAMSEEKIAPNDAQSVEYYVPGFSPPPQNDGEEVQKRLDFSLPKIQAVEATEHVFDIKGKHEASQYVLMENEDPMKVNKKTEMESEISYTSSDVTSSIATSSCLTASLGPAYGEINSGRFSNDKYKGLSAFQPVTNGVQSQYVSEELN >CRE16228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:366230:367239:-1 gene:WBGene00065357 transcript:CRE16228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16228 MLLVILYFVLNFAGFLVFLAIWNRIPKPKPFDYTTIPGMKLNVSTPDEIPDMITYPGHSVDKEFNGTFDEIKKFVDKISFDKKSIFRITSDLRELLDFLNTKYGPLSSFWWSSRYVVVVSDENFIGELKKYRSHLVSLSPFAIGSYLHGHRKYCTTNKLATLNIRVGTQKIELDKDLKEDVQNKLVMSLEEMAVDSEVADVAQIILNLKQCKVQMRKNRKSLGRPLMVVFDKEIWVDAHPIPKYIPIILHTSEIIREWSDDELFPKFFAQFYWIPGFSMITDIAV >CRE16171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:364748:366240:1 gene:WBGene00065358 transcript:CRE16171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mxl-2 description:CRE-MXL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MSN9] MSTRTTRSSAASSSQKDDSILELMSPEGSSSSPSAPNTPAASGGFSTDRKKATHLRCERQRREAINSGYNELKDLIPQTTTSLGCKTTNAAILFRACDFMAQLKSDITDSDKQLNQLNAQAAALEMIASEYEQMASAVPDSSQSTIQVKMLQLLLDDCFNSFSSTVDFTTYATITRTLLSWVESLAPNSEPFKSTAGKMVTMPFTSP >CRE16227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:361240:362591:-1 gene:WBGene00065359 transcript:CRE16227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-20 description:CRE-UBC-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MSN8] MSNIALNRLQRECKEVVTNSEISETGIMIEILNENLTEIRGHIRGPPDTPYAGGSFDLDIKIPDQYPFSPPNVKFATKIWHPNVSSQTGVICLDILKDQWAASLTLRTVLLSIQALMCTPEPKDPQDAVVAKQYMDKPQVFKSTAEFWTLKFAKGSVPVNVDYQSRVDKLKDMGVREEEAISVLSCNNWDLNRATVYIFS >CRE16226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:360760:361081:-1 gene:WBGene00065360 transcript:CRE16226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cox-17 MPSPQEQKTSSEASGTQEKKLKPCCACPETKRVRDTCIIENGEENCGKLIEAHKACMRAAGFNV >CRE16225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:358169:360529:-1 gene:WBGene00065361 transcript:CRE16225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16225 MADNKNPQLKIAEAESCHLELNRLYGVTKEKVEIVRIGNSSNDSKQLKTDVKEAQRLLRAMQVKIAHLKSLSKEVTSSESSTTQITNFLQIPSLNDRKTIEINVLSHEKQMSLLQKKLKEGAEDVGKDIAAEERRSLLLTKDGKLATGNIKIASHEERATRLQDLLARMSQQVDSGEQAMSSLVHSSSVLGQTHAEYDNQKGHIMVCIAPITMIIISFQTGNKLLSKYEQREFTEKILLVFFFLFYFGVVYYILQKRIFSWFKIF >CRE16224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:356854:357968:-1 gene:WBGene00065362 transcript:CRE16224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-20 description:CRE-SEC-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MSN5] MATASENVENAKPINMVELVHDLANLLRESKDDEAKRLLTRHPNLIAFKDDSGRSTVHFAAVAGSLPLLQFALLNSPEMANKEDDLGWTPLMIASSAGRLEIVRYLLSLPFVQVAHTNSNKQTSLHYACSKNHVEIAKLLIEADPSCINFPDKFGATALHRAASRGNDIIARALIATGKCSLDRQDSEGNSALHLACDEGRTDVALLLVHRGADIGLNNNEKQTPLQMVKDSELRQKLKLSVTQKK >CRE16170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:353345:356709:1 gene:WBGene00065363 transcript:CRE16170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16170 MDSSSLCLPESQLRLSGSNLEAFLYTVLFPPICLFGVVGNALTILVLVNNDFMSRANIFLTCLAVCDVSFLILIIPHSLANFDRFAFNYTFRYLYLPSKMHLIAFANWTSAVAIWLVVGVCFERVAGVRSPLHRLNTPSRGKLTTGLLTLLSCCAALTFYNHVSHHCFIKSFCNASQIMAVCLDVNLDVWPNNRTNISPPALRTYVAATRAANAALVVFLPMILLVVLNMMLLYYVKKRSFFMYASLGRVSARMRKSGDVALPFVGTLFRRHSDQILQQRTEHRVAVTVCAVVTSFTITQAPSAVVLTVNSLLKERLDAHWYHMTTITSFLVVIGKSLNFVVFCLSSSSFRRRLANTLRSKYGSRDEKRSHSINTTYTRCDSRDSRILSQKKSFQSI >CRE16223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:329734:331763:-1 gene:WBGene00065364 transcript:CRE16223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-30 MNKNDIIIAIQTVNTIAYVVTIIIGIGGNFWVSWKVGVVFLFDKTSVPRNIVMLILFICVADLLVLLHLILYVHFQFHQQWIFGTYVCKSFFIIEVVNKLVIPLALLLISRESYESVRMTSHKCAKKGLNPRFFFFRMYAGMASCAVVMMLISVLIFADIRTFVIPRKGTPTEVTVCSFHPPHPYATIFDVLAFVFGYVFTSAAYVYFYLRVPMILKRRYSSIKTTSSSSNRINCNSILRIRRTVTAFVIVYLVCWTPYWCLFWLLSFFPIANNWMVVLSTFTHLLPYISCTAYPVILTAINKGIRSAHTSIISSQKKKFMTIRAGAYQMITAQLGFVQTWLRDDTNGQLAPTIIRPRWSSSGSVGVSKVCVDEEEQEPPTTQIFL >CRE16168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:326199:329646:1 gene:WBGene00065365 transcript:CRE16168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-80 description:CRE-NHR-80 protein [Source:UniProtKB/TrEMBL;Acc:E3MSN1] MFLGSPSTTQVTPASTRCLICGAQATGFHFEAQSCSACAAFFRRTVALTKSFKCITTLDQCVVHYSMHQICRSCRYKKCLASGMKPAGVQPKKPNVETGRAFFTKSGLKRNKKFASVLPQTDDEQKLVVEVSVSSPKVPKMESESEGTPFSNSSCGTSDIEKLDLEDFIKIPTPRKISSATSITSNVTNFSSPGPSPMTSEFASPAPPTTKPQPNTLSVFIKQEMKLGEQRRLLFSGRAVGTLLGKTRQCPYRKEDIKPLIFHDFRISIKTHIMLVHEWLEIWPEFEELDDFDRMSLLRKAVLIHFLLDPSFLTFQIGEPDKLIMQNGGFISTADHKGVGWEDEPDISGENKRKYYVPIMKQITDEIMPAMQAMNITFEEFVALKALASFQGGFENVSEAKRHLITQQVNRVLNSLHAHYMEKGEEKAAERFGAIVLMLSNIFTAGNDFVKNHREIDIFHIWDLDELGLQLLNLDKILETEARNEAERRAVRNGINVVNTSLHHS >CRE16167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:314362:316692:1 gene:WBGene00065366 transcript:CRE16167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16167 MDNLITCLPLLFRKKKCKIKLSDMPLLVKLLIVKSMELRDLIMLSLCSKKFAKLVGSIPNFPNKMHLRLDPFPTISLFNGDWNDRSSIVQFQFLMGSGYRMKHSYSWRFNGIHFEIEQPTPYSQKGYPCITAKCGNKSDVAMASILTHLLSVFPNCIIEEIRLGKKKKGTKFNMEKVLSLVPEVNVLDVEMDTDPKIFEFVMNNVKVMRNFTKDYYQGPVVPEPFSTQLHCTDSILSRGCEWTLPDILLKLNCRHSVFEYHKFVTADLMAFLKKWMSSEGEEMKNIEYLMIAKGTKFDDMAEIEYLKKELGVVRCDQSRGDRDTSKYPDVDFTTGFDIERSDGLLGTIVFEYWNFTFCFYTWHNTGEFVINFYNLPD >CRE16222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:308990:311225:-1 gene:WBGene00065367 transcript:CRE16222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16222 MILRLIITTFFTISLVEMCVRMIPPEEVSISTTASSLPTDAPGEITTERTATSSETGSPTTGVPVTDTPVTDTPVTEDPTDLCTTCNIQTIKPDPIPTGVVFSFVENAADGECIETKATCTRNDGMICTDIKMFGTTATGQVSITDSTTTTKVSATLSCANDGTYSWGTTTGITKLACQFESCTTPTPCQTCDISKISPTNPLPGTSFVSEENIVNGCQVITVTCKRDDGLICSSVAVLAEFPTGISELSSTMNGDSATSVIECNENGKYSYRGIEITALSCDFIQCPPPCTSCDISTIPLTVPPAGTSLIPQENTINGCKAATITCQREDGQVCTSVAVQATTSTGVSEIGSTMGLGVATAELTCSADGKYTTGTGTEITGLSCNFNQCPPPPSCLTCDINTIAPKNLPMGTSFTSTTTILNNCRLTTITCKRDDGLICSSVAVWVTSLFGTFALRTNYNNDNVATTITCNANGIYSAPMLPGISAISCVFNQCPPCKFNSVFL >CRE16220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:302622:304804:-1 gene:WBGene00065368 transcript:CRE16220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16220 MCVRMIPPEEVSISTTASSLPTDAPGEVTTEGTATSSETDSPSTGVPVTDTPVTDEPVTEDPTDECTECDIGAIMRDSIPDVQFEFQDTTEAGQCITNKVTCKRTDTMTCTDNKMLATTATGQVSITDSTTTTEVSATLTCANDGTVSWGTITGISKLSCQFEGCESAPACQTCNINDIAPTNPLPGTSFVSSVLTINGCRATNIRCQRDDGLTCSSVAIQGITAGGTTSLQTTENSDVSILTMGCNENGQLTYERNVGITELSCVFNQCPPPSCTSCDIRTIPLTAPPGGASLNTDEATFDGCKASIVTCQRDDGQVCTSVTVQGTTSTGVSDIGSTMGAGLASAELTCSADGKYTTGTGSEVTALSCNFNQCPPPPCTSCIADGVIFLDPPTADYEYIYNEFNVAGECIEAEITSQTTDGKVCEEIRLLLDSSSGTAEYIGSALSTSYGILPISCGGDGTYTVFSRTQVTKIVFMFVNCA >CRE16219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:298911:301053:-1 gene:WBGene00065369 transcript:CRE16219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16219 MIPPEEVSISTEPPVTDTPITDEPTNACKTCDISKIAPTNPLPGTSFESEENIVTGCLTTTVTCKRDDGQICSSVAVQPAPPATSVNLLQKLYQMIPVLYQIRIENGCQVITVTCQRDDGLICSSIAVRASFKDQIGTVEVGSTTDSGSGFGLLECNSNGIITYNGADEITELFCEFVDCPPPSCTSCDLSTIASTVPPAGTSIIPQENTVNGCKEATITCQREDGQICTSVAVQATTAGGTSDIATDMSTGLATAQLTCTADGRTKITKFTVKFTNCAA >CRE16218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:291373:292645:-1 gene:WBGene00065370 transcript:CRE16218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-38 MKTSLMILLVLSVIHVTSTAAATSSASMRRFIVKNDVFMGRRVPDFCMEYFESSSAKLKLDNINRELIADALESQFDWKLQEEYARLQMRIQDLAEKQYADHICDAAEFSPTILSADYQYTCTEWSRPSVPVHLRPSESCGERRLLASS >CRE16217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:287015:290372:-1 gene:WBGene00065371 transcript:CRE16217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-29 MPTPPGQSSSQPLPTKELGYFKKIVKSYEQKQYKTGLKFAKQILATQGFSEHGETLAMKGLILNCMGKCQEAQECVRKGLKSDLRSYVCWHVYGLIQKTEKKYDEAIKAYKQALKLEKDNIQILRDLSLLQIHIRDFDGYLSSKYELLRLRQNQRVSWLGYIVAHHLLKEHTLALAIMAEYIKNNTPPGYDFEFSELILYQNLIMREAGQSDVALQKLEENSTHIVDKVAYMETRANLLMDLDQPKQAEHVWRVLIDRNPECLEYYDALQTCMGIKDSLESPKPQLLMLDALAEKFKKAAAPRRLALYLVEGEELRRRLHEWIVPMLRKGAPSLFASLVPLYKFPQKIAVIESLISEYVKKMDDEGYDKVNLNGVSQECEPPTTALWLYVLAAYHFDRCHKTELALHYIDRAIQHTPTVVENYMLKARIYKHAGDYDEAASWMEEAQSLDTADRYINGKCGKYMLRAKRIDEANKMLAKFTREGENAASHLTDMQCMWYELESGRAFRSVNKYGEALRKAHHIEFHFNTWIEDQYDFHTYCLRKMTLCSYIRLLRMEDRLRNADYYYQGAKLAIKIYLRMIDRPDDMNEHSALIKEGMTDQEIKKMKKKLKKQKELQEEEEKKKKEKETKEEGLQRGPQIDAEALLKTEDPLGEAAKFCHNIHTFGTPKVTGYALCAEVYQRREKVLLVLKCLNEGTKKDPHHPLLHVQKVKFLKAWPTYDLTGIVKDTALSLIETVFGAELDAVKHNDKYKMEHQHEFPARLAYAEAQCAIDPSASVVNWLLKSVEDTTVNERSLKMMLKLKDGIEYGKYGKWSKDDNEKLRKLVNGLFPLALDFGGGVPHKSPSTNNSTVESK >CRE16216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:284139:286477:-1 gene:WBGene00065372 transcript:CRE16216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16216 MGVLVVDTDHEDSDDSQHYSHQEEQGFNAAYESKEVLGRGLASTVRRCIEKGSGQHFAVKIVDVSTEKQSENEAKRLLEETISEVAILRQLIGHPSIIKIHDFYQTPSFLFAVFEMAPKGELFDQLNATVTVSEKKARRLMKQLFDGVEYMHARHIVHRDLKLENILCIDDERIVISDFGFATRIPPGQKLRDLCGTPGYLAPETIRCQMYDNAEGYSLEVDEWALGVIMYTLLAGYAPFYHRKQLMMLRIIQQGKYEFRNEQWNNITGEAKNLIAQLLQVDVTKRISSKECLAHEWMIPIAQQVPTVEIEKVKDQSGERARKRFKTAIIWVRFFQRLAKYKYLKTVIDRDVLRKRPFRDRDIRHEAESSMFSVYGHWVNRGFYYSRDMLFANKPRPKMAKKSVEAKPGAAATATANTTEQLKVPASQK >CRE16215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:279972:283697:-1 gene:WBGene00065373 transcript:CRE16215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xpd-1 MQLDIDGLKVLFPYDYVYPEQVLYMKEVKKALDANGHGLLEMPSGTGKTVSLLSLVLAYMISYPDRLDKLVYCSRTIPEIEKCVEEMKVLYDYWEKETGQPVAKITVAMSARKNLCINEKVAALRFGNTVDSACQKLTASSVRAKRKENSEIEGCDYFENFEANSFPMQNGVWNLEDLRQLGRERKICPYFTARNAINRAHIVVYSYHYILDPKIAELVSKDFSRKSVVVFDEAHNIDNVCIESMSVAISQKNADRALQELQNLEGVVGRMKSANSEKLQSEYDKLVEGLKRAERERANDERLANPCIPDDILKEAVPGNIRQANHFLLFLKRFVEYVRHRLRTHQLFHVDSPNSKQTNVGLLAIFHWSGSCFCSIYTFQVLIESPAAFMKDIQERMCIERRPMRFCAERLANLVRTLEITDNGDVWALSQVTTMCTLVSTYSKGFSVIVEPQDGSQMAMITLSCHDASIAIRPVLKRHQSVIITSGTLSPLEMYPKILDFDPAVVASFTMTLARPCLAPLVVARGNDQVAMTSRFEQRADVAVIRNYGNLVLEMASLVPDGMVVFFTSYLYMENVIGVWYEQHIIDELMKYKLLFIETNDALETSAALEKYVEACDSGRGAVLFSVARGKVSEGIDFSHHLGRCVIMLGIPYMYTESRVLRARLEYLRDTFGIRENDFLTFDAMRHTAQCMGRALRSKTDYGLMVFADKRFSRNDKRGKLPRWMQEYLEPASTNLSIDEAAQVARRWLTLMAQPFQKEHQLGVSLLNKEMVQDQQMMKRFERVVEYVD >CRE16214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:278659:279912:-1 gene:WBGene00065374 transcript:CRE16214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taco-1 MFSPLRRLAGFCPTALQQLQHLQQFQPNRLVHLTAYQYKGHSKWQNIKATKGKNDMIKSKATNFLLRKVKGAVSRGGFDLKLNRELADLETEFRAQGLPLDTFKNFLQKMKDKPEVEYTFDIIGPAGTFFIVTAETSNKKALENDLRKYFNKIGGFRLVADGGVRSWFEEKGVVHVDVKKDGKEMTTEQMEEVGLEFDVEEVLLVEEDNTKKFELICEPKSLSNLESQLGKGGFSILQSEIEFRAVHPIECPEAESAKIQKLYEMLQEDEQVRQIFDNISADE >CRE16166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:277014:277849:1 gene:WBGene00065375 transcript:CRE16166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16166 MKLFPLIFCYFLLIKLAECRTLRRWTQKQILWNFADPHNLLTHKQFEGVRYTVQEAFGKWAVALEDLVEFEEVSSEKIADISVFFAKKNHSCYEEFDGKGGVVAHSMYPPFGILHLDGDEEWHTRNRGNGGEEDDEEKEKGSNDKRFIDLRLVSEGDN >CRE16165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:274445:276636:1 gene:WBGene00065376 transcript:CRE16165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ads-1 description:CRE-ADS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSL9] MSASYQTIEHDVPQSYRDKILKWNGWGYTDSQFTINKDGHVTFTGDKYDISGKVMPHFRPWFENYLGIDLNYVSPAQKLSDVVIDAPVENEDLIDFLKENNISFSNSARIRLMRAHGHTVHDMVNLREGKIPRLPDVVVWPKTEQEIVKIIEGAMSHNCAIIPIGGGTSVTNALDTPVTEKRAVISMDMALLDKILWIDRENLTCRAEAGIVGQSLERQLNKKGFTCGHEPDSIEFSTLGGWVSTRASGMKKNKYGNIEDLVVHLNFVCPKGIIQKQCQVPRISSGPDIHQIILGSEGTFGVVSEVTIKIFPLPEVKRYGSFVFPNFENGVNFFREVASRRIQPASLRLMDNDQFVMGQALKVASDSWWASLKSSVSKMYITSWKGFKVDEICAATCVYEGSREEVDQQEDRLNKLAADFHGIVGGEENGQYGYRLTFAIAYLRDLGMNHGVLGESFETSVPWDKVLSLCRNVKELMRREAKAQGVKHPVLASCRVTQVYDAGACVYFYFGFNARGLPNGLQVYDRIETAARDEIIACGGSISHHHGVGKIRKQWMLTTNGAVGIALLKAIKSELDPANIFANANLIDIIGSPHCKL >CRE16213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:270537:273321:-1 gene:WBGene00065377 transcript:CRE16213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16213 MFDYEKYFLQLPEPAIKHVLHFLRLPDLATLKETCPDLADLCDLEIRILDNEFTSRPFFQFERCVLEDGVNDVEIMPERQNASVTYYPGNQSVYLFGGETLGVREDGAPRATFNDLWRLDTGTMKWSRVIITSAPYPSPKCHASFVTWKDQLILCGGRAYHHDGRDTFFSEIHFFDVQTSTWNRGNFSPDLMPIGGHSAVVTENFMIMYGGFFEQPTQEQIETLFVVELREQVFHRVHLQKLPIEEDPDESPSQFPKFQWRKTKLVLIREGLLLLTGELLPGDYGSSALIDFDPVDVTATWQWKRIETIGRWWRPKDWRDPRHPLHGYLRQERELMNAVENCSLPNYDFHQIAEVRNSRMVRLVSLGSVEKTSHMLRTSGRKDYDNLQTHYTEFCRQLNRHLEAEFENRMVLHAMSPDSEQLRKTSAVFEYQNIKCRIDGCFLAKQECSAVFRHGAPSFKVRVRIDEIEKNPKILNSDDFDKWELRQRIETTVNQLRELSKFVTKHSISFSDSKFYQSGRMEQLAPRVPTRNSLLKRLSLYVTEIDSNVAIEDFNVLRWKVQPMQYPSPVETRDFSLVGAHHDVIMHAGLVKFNVTMKRMGQSVLMTPVSRIPTSPRNSRKKEKEAIERLLYTSNKQ >CRE16212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:264514:267957:-1 gene:WBGene00065378 transcript:CRE16212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16212 MTTEKLENDWKLIQDDFQKLEKIHDEYIQKCRQVSKFQDTAVKAMKHHNYLLKNFKETMKQTQQSLEKKEPSEEKSSIVAQIAKMREEMEVSNLRLRDMQGELPAQSNGFYLNLILGSNLNVSLLTRTEKFKYKQEYDGFKWNITILICALALIPSVRFDSVLPDDLVLLYNDNPRVGSPCEWIENQRMVALSPLSLVRRAGYRTHVERRPLGRLESGQTTKRS >CRE16211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:262116:262948:-1 gene:WBGene00065379 transcript:CRE16211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16211 MTSSLTICYIPDSVKDELKKFRFLKSSAMNALIMKIDRESHQLETEQTLNDCSIEEFKEELPSQQPRFVLLSWSKKHEDERVSYPMLLIYYCPNGSSPELQMLYAGSRNSIVNECGVSKNIEVRDIDEIDDDLLDSKF >CRE16164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:257557:261810:1 gene:WBGene00065380 transcript:CRE16164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16164 MNHQSEDPYYHESTSSSSTAPGGTYTVYTQKLSSDSNEEDNNPHSIDESDKLDDFMETYFDDSVTSDKSQSSSPNSKKMTRAEQDLEELMKETEGMEEIQEQLQMGRLDLGRALQEERTRQRRAAAARDRYQRMTEAERRLYNQRRRLRSLGVTSETSDDNEVIRNQVKTANAKKAEAARQRYHMMTPEQKKSYNTRRMEAFRKRREEEERIMSMPTGRIEKEDLAKASQIMVRNARKAEAARLRYQQMTLEERRAYNEKRARRPKISRKIPTKSVQTVAVNEMDEYGVALLKSLDGRNDDGEMKQFMEIDGGKYMEVDDEEIDDILHRSTLITGQRANLPLEILEVRANLVSNVQMAEVIDSVAASRRKDEIYVEMEDEVLRQTRKAHAVIMRNLGLTGPLPPNFPQLIQQFHGQPHASSDLRPDSSFSDYHDEPTSSSPIPEDTHNPEYTNHIPISPKHSPIPSIPAPEKEIGEPDVIDMQSVYNMVLNGFDAQGTPVEVRSQSGVLITSMEEFERFAHETIVITHRPEEKCITFDEMQQPTSSSSDMTQDNHVGSTTSTSSHPDMDYSDITVIEDYGEVYSLNFYQIGSSEYGDYDEEDVEVDMVEFEEAPVQKGRRSQAVRARRAERARARYHRMSVEQRREQNARRAHALRVARAREDELLRLGETTPLDHLDEATQQAIFDAQQKRALKAEKARAKYRNMTEEERKRYNSGKEGNRNKRKRREPEFHIEPEPVSEDVSVDVDLESASSPVHDPDDPSSFFFDPNEN >CRE16163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:250639:254314:1 gene:WBGene00065381 transcript:CRE16163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gei-4 description:CRE-GEI-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MSL4] MQQNLQYSYSSDPRSGGNQNQQLHGISFQQNSYQPTSYSNSNSNTFHSSSSAAFIPNTVTQAPQHRRGEEKNLVNMLDAYFIDSTTPSTSSSWNNQQPVQMSSIQQSVSTYNQQIPPLKDVDLRDDSMSMKMGGSPDKSEKDRVKKARQAEAARMRYHRLSNEEKRELNLKRTLAQKRKRQREKEMEELESILRETNDIQEDPDITEQLREKRMRAKWAEAARTRYARMTPEERRAHNTRRRMRQMQNAMSAIKNSEFQACGMATGDPQKDDEAVRQHIKNQNAKKAEAARQRYHRMNDDEKRVYNQRRTEAFRRRRMEEEMLLAMPIGRINGEALDRAQQIVVRNAKRAEAARLRYQRMTPDQRKIAKQEPKDESWPSHESDTHDHDLGDSYNQKRYTPKRRRNEIDDMMAAGQMAVGSSGTIAMGTGTPSKKDDMDALSTLERDVVKRTQHAQQILLRQRANQASTPRSDTPPPQQAYIVNSNGQPQHQQLVSNNGHQQILHGGHMQQQQQIQQSSQSIPPNIYTSGMLIQNQGQQGQSQQQQQQNQQILNNPYRN >CRE16208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:209972:218950:-1 gene:WBGene00065384 transcript:CRE16208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pll-1 description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:E3MSL1] MTMAESENENESAETTPRNLDVPFAKRKTLRSSIIKHGGRAKSPAGTGGRKTVSFSSKNSDAKISNVNDCWNYMQTGSDFVKLRGTNRQFRRFFSLDADLSYIRWTPTNKKPHKARIAIDEIREIRLGKNTELLRSSDEVFSDLQEECLFSIIYGDNYETLDLIASSGDDANIWVTGLMALTSNKYDCKPSSSQFATLRERWIESVFDEFDTKKSGHLDEQTAFKAILHINSRISHHRLTNKIKEVTIGAEESERGKIEKTKFVDLYKEIGTRPEVYFLMVRYANKDYLSCQDLRLFLETEQGVSMVGVTTDNCETIIEQYEPCCEARENNLMTVDGFTSFLFSPDCGVFDPNHRVVTMDMKQPFSRYFISSSRKSYLVEDQLGPSSADGFSSALKRNCRFLEFDIWDPNENNGELEPMIQNGQTAHSKIPISNALRIIREFAFERSRFPLLLKISIHCSTDWQKVAAKLIVTHLGTRLYLPTSDPTDWDDEKNCPTPWDFQNRILIIGKKLEDPEAENGDVSEEDDSLASTTRRKSRRITLCKELSDLVPVFFNVKTLNDLLSTAPGSTTMSCRKNMASVNESTCLRLMHTYATDFGQATRNYCVRVFPNPSRVDSSNLNPQEFWNNGVQMVCLNYQTPGLMMDLQEGKFSDNGGCGYVLKPQVMKDDMFVPSDRVPTSPQILHLRVLSGQQLPRPRGSNAKGDSADPFVVVEIFGLPGDCAEERTRTVRNDSINPSFDESFQFQVSVPELALVRFLVLDDDFIGDDFIGQYTIPFECLQPGYRHIYLLNNEGDPLENATLFVHVAITNRRGGGKAKKRGMSVKRKNSRISSGMKLVGIKSVDDQFKVAVVPLAESTAMRNRLENAMIDWQEECGLGPAGTIRQGIRLIHSRMITLAVNSSPPPSPTSSAENPRGEVTPSFIIDSDEHGYPAILTVGTLPDQLQRSFNKLKNLIAHCVTTLSQSDSLLTKIEDSIRRISECHEELTKLCAESGLKGQKATRAAENFTWNLRLLKAQLNLMNKSQEEAQDVVTQVFDTGGVLGVLSQKLLIRKNGRRFSRVIADPVKDSVL >CRE16162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:203100:209396:1 gene:WBGene00065385 transcript:CRE16162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16162 MDADSREDLNREIRRSLSEWFGPFEEFHERVAKNQGTSRHGLIRAKMRPRPPPIVTIQAPTQDSPSHVPVHVALQSMKNLVEEPLSALPNKFDDLNKFKNNAVVKGNVTSSSSSSVAAPPPVAPENNPKKRKIVETEQETSAVVIEAKRKKETNLRNSIPASTPDSGVHSTESDLLEEPNSDDVVAMLNIMKTLDMPKLSPLPEKIVREFNREKSAEREKEKPLDLKSKELFSYKLDKETKFLSDSSVSSASTSREPTPEPPLKGTLKLKHLKPERLQKLMDLAKTRGKLIDIPVVVEKAAVVVEKMREKSIEKPVSKERSRDRSVEKMRPEKSVARPGRSDRRDERPERSERSERPEKSDRPEKSEKSGRSDKYERPERSERPEKSSRSDKPDRSERSEKTDRSERPEKSDRYGRPERTERLEKVVEKVERTDRIDRIEKSDKMEKFERSEKSEKNERIERNERLEKPREKKIPAEEPKPSTSTSTATFSVLPPPPRPPSITPYVRDVTTPISNTRTPTPSMTSLPPPPSHSPFTTSQKPPNKTVQSLQNQSHQSQFPPRNFSPSGPSPRSIRSTTPSIQSSRPSSSLSTHDNHVASTSEVVTVITPSKQQRWACQWDHTKMKTMQRLPIHDPTTTTKSKGDFYHMLAKEWKSQADRSKDKVLRPLNYMLSSIYFVLEAIWKADKERSHQARIQCASIYRDTYELLGVAVFQSIRETDDTIAAHLLPRVKVIGQIMLSVMQYQMYLFRADQAIKNYARLEMREVVETIDIRSVSRASDNSSVHSQNHLSVPPGNNRMASAAHGNTPKAIPSAGSTPSGPTGVPISPWIVTVQTCPNAVTMPQVVFDAYKSQLKTSNCLILASRYWEDMKILVRQVDSTFIKDIETICGKSMGMDMQFDQLAQFVLTAVASLKAEYEEELRQPLKPALEKVKKGLEIAIRIGNFHSDRPPIMHRPSQSTPQPGHPPPQRPRV >CRE16207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:197012:200445:-1 gene:WBGene00065386 transcript:CRE16207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-stim-1 description:CRE-STIM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSK9] MGRILWIFSIAFILFNVLHIVGSDRVTRNVEVTAEEEKIRDKLGYEAIRDIHRDMDDDHSGSIDRNESTGFMKEDMQMRGSERTRRENKFHGDDDAITVDDLWEAWFESTERTWTNERLVEWLINDVNLPSVVDAVKIKKIDGKILPRFASPSSDFLNKELGIKSSVYRQKLRLNSLDVVLFGYKDNNNRTKDILLALLALLLTSLIFLYVRQKQKAQQKVNELSSKLTELKSMESEFEDVQKMLSDERSKRSISDGIVSRTEMENLRVQLEEAERRLEANSNGSGTPLALQPLLRRTCENEMAFLEKQRQDCFKEMKEAIEMVDRLQKKQGSVLSSLKLATGAASTSDQVDSKIFALKNRMEKIHTLTRETQERWMQIESLCGFPLLYLNETEHINRTAAASSHFYNNSQEGSSSSGSLSNANSGSVQNHNLVKKVVQPAPIPQQQPSTIAFVPTGKSDSIHSEDVSPVLEDHITIPRSLTQDLQEDMQSIISNSTNGTSSGIKKKKGILPKLFRRNTSKSSSLGGNSVN >CRE16161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:195138:196713:1 gene:WBGene00065387 transcript:CRE16161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16161 MASVVVVTAGAALAISVPFVALKESLEGRFKSNMKKHLGQRQRCRVNFPDIEDELEQQYQHVMMRKKANNEKVSANSKSSSSDDEDNSSTISSPPSYNDITQPPAYGLFVARGN >CRE16206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:186174:189189:-1 gene:WBGene00065388 transcript:CRE16206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gsto-3 description:CRE-GSTO-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MSK7] MVLPGNCSTSAALCMQFLTQLASVAIDSAYSTPAVPSAVPNYHHNPHEKLFISYRSVPPPAPAPSSAAVPIRFNSYSSVGSNIRGLNSATLHPGSMEPPLTPGNYRLYSMRFCPYAQRVLIYLAKKNIPVEVVHVNPDRSPNWYLAKSPIGRVPALEINGKVVWESNVIVEYLDELFPTTTVLPRDAFEKAHQKILVERLSPIMNALFEFYSSSNNQQAQRQNDMNVHSALRNAENLLRDSFYGGENAPKVRRTLFFSGRQPGYADYLTWPFLERLQLLTMSQNSQFRYFPGLHYPKIGAYIARMQNQPEIKFSSRPLTHHKAYLDSFAMGMPNYDFGIYGKN >CRE16160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:183088:186104:1 gene:WBGene00065389 transcript:CRE16160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16160 MRLADFNGLVAFITAISSIFGLAANTINTSHTPFNLSFVNAVSQNKNPSIVIDKLATTARIITAISLENGLSDGSIPVDNVIAELLNIGSEDMKSLLNFNQTRVSDFLDKLEKVRLSDDSLKIEVGIANAYDAGKKWKEIKDLDKLPTKEAYKKLDDIKNIDLSKLKGIDFSKVTSAVQKAKMENADITILKTFLEETHNKVKNFKLAEVLGVLEQLKPLEEVYEVFTILRDLPNLDIKAVKNGITNNFDSITGIVSSLDLLAFEVLNKLIQSRVSPHSIDRPYTTGFINGFNDVKKLSGDISNEWIQSNLPNISNRFTDVIRLAELNPEMDKLDNKWREVSTRNIFNSLRQVTDLNKMISSIKEVPLEDGMGKTFGTAEGCQQKLIGDVVTELKSIIDIEKPARKKLSSLQKIQKGFVALEPIIKVLSSQTSAEKLAKLKKFVLELEKDLTEVTDGTPINTLKFQTTYPWTFLNFQGNGDIGNYKTQLTCFNNMGAELENVATIAKSIAKIKEIREDVEVLRNVRKALDAISQSVGSLVAIRKTLNSIKANDGGEMKKFRGLTDYSKPFGEAVTALVMADVASKKTPEFESFERDGNVIEGQVDMENDEEFKKAFKQNWGDFGKTTDEIKKMLDGIQDWIKKIEVSENATFHDLGAPFSFAPNFIDVDLMGERRIDAIESFEKIVKATATSEQKKLLGKFKESLSELSKMDLKFTRFQKWVDSMKATIGKVVEVLDKVKNEMEEVHFMPEFDIKRNKYNPTTLYVFLGLHGFGLPWLFWVLMCYRSDAKQLREEMEENKKKNRKKTSKKKKSKKKKHSKK >CRE16205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:180178:182531:-1 gene:WBGene00065390 transcript:CRE16205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16205 MKVPSFTRFVVIITAIVSTSVPINAIESLDLSFVNKGFKNQNSSNVIDRLAATARVITAITLQNGLSDKSISVDDVIAELLNFEPQELKNLETVDKKAVENFLKKLEDFKFVDAVTADVAKALGNTYKFKEVWSQTGDTIKLPNDSEYKKLDNIKNLQVSDLDKLDFKLAMGVLNQSVLTETNVVEVKNHLNSITTKIESIKGNVDIDGLINILNQLKSLHFIAQVFTIYGEISEFNNIGKTTSDGLVQELGELKDLVAQSKSSSLDSLAKLVSSRYVHYPIDRMYTSGFINGYKDLNLLSVDEGNVWLFNSTTLRNMKGIYQLSQTTPRLKKLDEEWNKMVSLEMYKSIRDIASLQKSMLSITDVPSWADVEQFIKDVKDCAKATYPVSYVRDLKAISANTNILLKKMTTIRGALQSVEKLKTEYIPKLTTSAPTETIIKVKKLVMKLESDINVLSDGESIVNLDMKTSKSDQITDFKKLFSGNIIAFNCIRDIKSRFGDVTLSAMSLDKIREMKKDAQVTKNVKDTLAGVSTSLKSLGEIRSSFQALKFPPIDDNTIPNALKNVSKPFGETVTALVLGKNLMSKSSDFEMFVGNGYLVEGLVDARISDKFGKNWGDFVRTTENIKTLFTALTELIGKIDGSEKSKIEDVGSLFTNIPNLVDVDLLTDNRLNAVELFVKDEATPEQTKLLDDFQKSLLELSKLNLKFSRFQKSLNSMSDTINKLMGMMSGISSQVSAITIGAVVESEGSS >CRE16159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:173797:176693:1 gene:WBGene00065391 transcript:CRE16159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16159 MNEAYNCANSETQDDVAISMIDLSERAGMLLRKLSSLQKSLQIAQQINSEVIPNLSSHLSVEDISKMKNLVTKLENNIAIILDGVSIITIDMETKNFESIKDFKTDQADNIKTFNCIKGISFWFDEVTFSAQSIIKLRDIKKKSQALKSVKTAFSAILESLKSLSQIRSYFESLKVGTTDDNKQLATLNEVSKPFGEAVTALVVGNNLLSKKSDFDFMIKTGYTIQSKIVSKQPLEVKSLFVKHWGDFDETTQNIRSLLHGFSELLEKFEVSGNMTLEDVGRLLNNLPILVDVDLMFDERLAAIELLKSNKPTPEETKLSDDFKKSLLELSKLDLKFSRFQKSLNSMSDTINKLVGMMSGNSSQVTATTTGGAAVESVSSWSDPEYIVCYAVLVVVAFIAFIVFWCCSPIKTIDYDALNTLEMENGLKKDEKEEENVKKGKFKVLVCGKQYKVFQKRMKEATDKQKQKLAGHSASGSSSATNTGESATPSGSTTNTENTVTPSGSTANVAGGSANPSGSGTNNSGSQANAAAAPPAPPKIVTGNKKGTGAAKKEKSKKTSSTASISKTSKKSTGTRTETEESGLTSGRTEKTQGATQEVLREPQHVPSSQALTATMEHESVRNKRQKDRLQKEETKKKDDANYNKFLKEAKEYVETAHQKIDEQKIDFSTSVSEKRT >CRE16204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:167248:170992:-1 gene:WBGene00065392 transcript:CRE16204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16204 MKVPSFTRFVVIITAIVSTSVPINAIESLDLSFVNKGFKNENSSNVIDRLAATARVITAITLQNGLSDKSISVDDVIAELLNFEPHELKNLETLDKKTVENFLTKFEDFKLNDGTVEAVTTLGNMHKFKEMWTQLGDTIDFPNDATYKQLDNIKSLQVSDLDKLDFKPTIDILNQSVLTEKHLAEVKTHLNSITTKIESIKGDVDIDGLINILNQLKPLQFVVQVFTIYGEMNAFTNLHQSVSDGLVQELGELKDLVAQSKSSSLDSLAKLVSSRYVHYPIDRMYTSGFINGYKDLNLLSVDETWLFNSTTLRNMKGIHQLSQTTSPFKKLDDEWNKMVSLETYKSVQDIASLQKLLLSITVVPSMTDVNQFIKDVKDCATASNPSMDVRKLKDISENTNTVFKKLTTIREALQSVEKLKSEYIPKLTTSTPFETIIKVKKLLIKLERDIQVLLVGESVVKVDMKIAASYPVTGFKKQFSSHIGSFDCIRDIKSRFGEVTLSAMSLDKIREMKKDAQVTKNVKDALAGASESLKSLTEIRSTFQSLKVQPIDTIPNALKNFSKPFGEAVAALVLGKSLMKKSSEFEMFVGNGYLVEGLVDARISDNFGKNWGDFVRTTENIKTLFTALTELIGKIDGSEKSKIEDVGSLFTNIPNLVDVDLLTDNRLNAVELFENIKPNPEQKLVDEFKNSLLELSKLDLKFSRFQKSLNSMSDTINKLVGIMSGISSQVTATTISDAVVESEGYKFIWWHGALLFTFVIFCAFLFCYVCCEIVDNEVVNDDVDIEKAKEKEQLVKTNEQKKEKDQKKKKSKGKKLSVFGKRLVWKHRSKKPNQKYAAVAQTPPPPHPNQTATASKSTDTAISSGSSTNNAASAVSKIDEVPIKAAENEKKKDADKDGKTGETDKTAAAAAATGDKNKAGGSVIPNKTGNNANKTCGNKTGVTEKPANDGKNLDDIFTFYGFVKPKSFPSSLKEQLWIEKTLFDIQQKIKEQREANRDPSTQSSSSSFHKGWTQEEAELNELSKQLKIRYKGLTGKVTNKNKKETMFFLQNLQEGIPEEEHVGRNEAKTVDDENYSFKQDIKK >CRE16157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:146664:149646:1 gene:WBGene00065394 transcript:CRE16157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16157 MSSKSEGPQVSIRSAIERTCILKIQKFQDFFNDLDEISGDFSAQSLRQALEKIETMELDHVPAEHRVGVLRRHAEYLYMLSNYQTMKDKRMEMLENAFKKARQGHVMDPTDLDCAKTLCSTCGRLAEESSMKKKVDYGFKFKTYLDEAIAMCDPDFDLCHMRGRFCYTVASLSFVERCAAKMVGQVPDVSYQNALDDLLKADQLMQGVAENQLFIGKTFLAMGNLAKAKKWLMKAATNKVDTVIDQEYVDEAKALLEEKKLKAIKW >CRE16202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:143784:145370:-1 gene:WBGene00065395 transcript:CRE16202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16202 MSVLNITPHQKNILGSLIASGLSNQQIIYQFYMIRQNMYRFQPYQQIGGGTQKSSEFPSSDSQGPPNGPLLMSYGSQGSQKASESLQGSHRWSSPGAHVAESQVPPTGHQGFHGRANYWGSGGALRPESSGPRYSGATGSQVPPGPSNGPTGAAGSQRYYGYPFPFGSYPYTALGVAAGGQGCPGPQSTGLNPAGSSGFQGSQNMTSGSPGFQKVSGPPNAPTQTPGSFGYKWSYGSQNMSSGSQVLIRQQTLEFTPPGFQKFSGSPNAPIGTHGSQFPPGSTLGPQSSSEFPEPFGSSNGAAESQQSQRHYGFPFLYASYPFMAYAPAGSQGYSGPQSYWTPPTSSGSHGPTRPQRKAPKTTVVVYKRRLYDNRYDPRELAKLMDIDSATLRQQIKEWTQERGITQKKIAEDLGVCSSTISKYLGNKGCVSAILEQSILVWFLQYRDAWNRVKHARGQMLRIPYNRPTPLAICAANP >CRE16201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:136927:139225:-1 gene:WBGene00065396 transcript:CRE16201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-150 description:CRE-CLEC-150 protein [Source:UniProtKB/TrEMBL;Acc:E3MSJ6] MHSLIFLFSLLALLSDGLLAAVVCPGEEKLDPTATWCTKAPCHSTMLKKLATTTVAIIWPPSPTMIDNRFLYNLSSHSNVYANYFWLGLTDMTADGTWQWIDGSDLNFVNWAPDSAQGYCGAMRESDGRWQAQDCAKAYPFFCYGVAQGAPTVPTIPPKTTTRPARKEENMLKFMADSESIGDPNTDTNALNFYNMERDFIRAVTDSLFANPSFNGNVCTFYMSVSFYGYTKYDQQFDHSAAWSQRQFDNLLEGNVWDDGHTDLAYNITDAITGAQRFQWSPSMDDIGYTTLVFLTARKDFTNVPSLFNPFPKFDEVVVVSLNGAQMPGIPDGVQNVPVSNKFTNVDINNLVSALKCH >CRE16154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:133062:136758:1 gene:WBGene00065397 transcript:CRE16154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16154 MHYHQHPLLLVTIFLMRFLQSSVDALYANVKLQCVDADEVTGQLQTDLIFTQKDGVHTFLPAYTDLCAESTKFDDAQRVYFCKKCLENCRARVESAPKNILIVADERAPNSRVSWFHVNSPVANIRESGKQCNVENVQGNSMANEETSTDALRSFSKTSVLFVSISFIILMVISLAWLVFYYVQRFRYAHAKDRLQRRLFNAARKALTRIPTMTITPGMNQELQSDCAVCLDPYQLQDVIRLLPCKHIYHKSCIDPWLLEHRTCPMCKNDILKHFGYWNEIRNDIQLPANTRGMIPDDFTIRLELGDRDDHDHVHSPDDAMSPEVHSESSDSQGFSFDHSEHTETFGFSTPSVPPQLVLNASNAKSFVMPMSSRSNLNSSDQCRPQTSIANNFRSARNAREHRASLHEISTAAQRPQTSLPGQIVNLVQVKSRSASITRSAATIRKESLPTPIEITTTTVIPSTSSSSGTTMTTATSSNSHVI >CRE16153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:111182:112123:1 gene:WBGene00065398 transcript:CRE16153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-88 description:CRE-COL-88 protein [Source:UniProtKB/TrEMBL;Acc:E3MSJ3] MSSSSNFYLIVIQAITATAIAGSLLYAGQLYSEISDLQTDIMSDMHAFRGIANDAWNSMIAVNAPQNRGALPDFGSLFVRGKRAAGGSCNCGTQPSNCPAGPPGPPGAPGDDGDDGAPGQAGPNGEDGAGQGKSAQPTECITCPAGAPGPQGPDGPAGAPGADGQSGSPGNPGQDGAPGAPGAQGDSGAPGADGNDGAPGQPGKNGQRGNGAAGAPGPQGPAGAPGNAGQPGQDGAPGAAGSPGVAGAPGQPGAAGEDGAPGDDGANGEPGTDAEYCQCPPRTGAIEVEPAVESEYKRRKYRFSN >CRE16199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:109384:110402:-1 gene:WBGene00065400 transcript:CRE16199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16199 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MSJ2] MSAVRIDFEMRSLDPLDPKNRNAVFVNSSSDASSKSGRRQSKVSSGSTSLSAENSGRTTLKAVPNNSNYKFPEGQIKPSTLRASPNKLPFAPTGGVQTVKIANSTKSRKAFKVKTSDNLLYRVNPVFGFVEPGQDLSIDVLRHNGIEKTDHLVVLTSDAPAETKCAKGVFETDPPRELTVVPLVAH >CRE16195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:88025:92980:-1 gene:WBGene00065401 transcript:CRE16195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16195 MSKFLEINKRALVPGYLHRKSMFYILEEFQGNLQTFRGYPYGCAYGKEEECAQLLMNAAKNRLRMYGSAREFAKNLKIYGSFSGNDLFFDLDEFPYQTNAIVYRSLKNDEEYICNLDLFVILQNILLKISENPPMLLAAGLKTGLDYYLKNLDEEVNENSLKFVRFDEKIFEGIEKDLREMIAKRRPNGPDHQLFSSEFPEIKSLVDVIGKRQELFHPTVKVVRLFEDGDQKFVMKAEVDYILNKTKDDTEICFLHTMGMEEAERTLGKNTFEFIRCPIQRAKHRAVPIKGCGGGKQESNFYILAVDALFEYLRSVIFGAKFLQKMSNFDEFSRILSDFQNVFTVEFNRPYFIRMSVMNGLSAKLPSEVEKLPADEVRISFFFHTVRNAKCDGFTLQNLKNELKHLGLTKALPEIQDYAEVVYDHVDRVKAEEYLRTCDLFDAIEQCQLICIFNRLPNLKKFLHNQNGCGRVVGLKCDECEKEKKTPEVQISSQNDSQMELIEIKKELEDLKKNYEKVVDSEAKKEEELSKLKEELTLEKEKNRETILTLKAENAANKRVIQQLLDKLKPIRSNEDRQNAVEEAPFSNMM >CRE16148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:66957:76234:1 gene:WBGene00065402 transcript:CRE16148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exc-6 description:CRE-INFT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSI1] MTSDTIRRTLDELLLDKDGGSGSENEARAFFLSQIIDQLKLISSQAGLFLFQTDAERQLKKLQLLDSNDNLVHQAPPPPPPPPPPPPIMQMKAPPPPPPPLGMIPPPPPLLKKVAKSGVPSALPAPKSRAECPTSFIPKKEKKTKTRTVQWSKINANTVQDDSVWGKLAKASDVDIDFDLLDNFFGIESLVAQGASEIVKKKSSRKDATVELLTPKRSQNVAIMLKQFKNVDEFIENIYSNKPVAEIDALQNLFGILPPSEEEEALKRYTGDISLLSPPSSFVYRLVQIPFYRLRIETQIFLGDFSRLMRELAPNVEVLISASKEILSSPTLPRLLLILVNMGNYLNSNNAQGNAFGFTLNSMWKLIDLKGNKQEFSLLNLLVTCEPDLVSSLQSELSSLKEASQISFDEIKNSLKTLKDSRSKLEKQLESVSDDDQFQQFLELIKIDCSFELKEFEAKYDLLCDLQHQLANYFCENRHTFQLDECLKIFTFLLNRLHQTLKEHVSRESRKQRKEEKRVETQTEEKKEKKSINNPDLFEALNGTSSDASEVTRKRVSDILDVRHKLGNVRIRKLRDVTTAETFTAPPPPPLESPTDSTGSSKENEEKSPKPAKTSTNYDMCNDLESYISSLTKKRASHLQKEQQKEVAAAPELKSTEIKKLVVEKPAEPMKTAQKIEKPVAAVSPPKNPKSLKTPSSIIRPKISTPSSTTPEKVEKVRIVSVTTSNPSGQSKLAELRKPGARSPPGARTTPVVTVPKVTVGSTVRAPSGIQAPLSRRMSAPVVRKPTMTAEKKREITMKPSVSTSARPSLINTSSHPMVRSPLPKMSVLEKPKPLRITRPTVIPQPPATSRVSGIRKPSNEGPKPKWV >CRE16147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:54304:58182:1 gene:WBGene00065403 transcript:CRE16147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-par-2 description:CRE-PAR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MSI0] MARNRRLLVVILSVCLPINPHRRRPLPPSARPTKSSLKLPPDASNFFQSTSSPESNTSGGDYCRRQRHDDSIIAGAASLQFRRNGFGRRSERFTSSTSSGIQMMMMEMSDLEEEIEENSSMILKLTSSKKKDSDDADGTQKLKRNSFFRRSLNAFRSKTGVRGVRGTKVSDISTIPEDSEALLGDSEGEEPEDSKNDKNDKNAKKSNMKSIWRRLFLSKKSEKSEKSSQKSEKSEAPPIQLLRHEHLKDETSFSTTFIRLENQHDDPRYTEHVKNSAHFAFGYYMGIGRKFERVAGGSEITLVVFGHAMAGKTTFVRSIRQLFLVGTDRIRVIPLAERYRLAGIGMMPYPVGDNRLPHVVSLVINNDDVEYVLDIIDPEYDNSNLPQAYMDACDAAYLLIDTRNSASHLSTRQILRNMKSARHTQCEILMNIPSESCGQPRVVSSDDMEIIMLKKSAPIRELCVNELDRSGVEQMLLSMCERVSTARKSTIPITSSATSSPTITQV >CRE16192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:52707:53312:-1 gene:WBGene00065404 transcript:CRE16192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16192 MARQVHRIYTIAEVQEVQNCYNMERYLPLRRSDRETDRYFEEMLTAQNQLVFQSIRYAKSADSRTKRQKEEVVFQEAAFFQRIETQCKYALEEYKERNREIEWRKKLERAEKKRMIKLGLRKPSPSTYKESSSEPEDANFEDSDEIDERPAAVNGRSTAVEVEKDKNGVPIKKRKLVKPKKERDPSYTEESDGEGPSNRYG >CRE16191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:47054:48507:-1 gene:WBGene00065405 transcript:CRE16191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-18 description:CRE-RAB-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MSH6] MSDDTSSPLTTLKILIIGESGVGKSSLMLRFVDDVFDPEQAATIGVDFRVTSMAIDGNRVKLAIWDTAGQERFRTLTPSYYRGAQGVICVYDVTSRSSFEKLNHWMTEVDTYCTNDNIIKMMVANKIDMPNRVVSREEGLKFAKRHRTLFIEASAKTREGVQCTFEELIEKIIQTPDLWDNDRPTFRLGQPTDTSGGALCGC >CRE16190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:42455:46904:-1 gene:WBGene00065406 transcript:CRE16190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uaf-1 description:CRE-UAF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSH5] MEQQGTLEEIERQFLDVAQRDGLDGLVEEVPASIDVKDLNGNGEAPVPPTRGSGGSGDDEDRKKRKRSRSRDRGGDRDRKRSRSRDRDRRDRSRSRDRRRDRSRDRGGARDDRRAPRDDDRRRDPEPQKPREPKKYRFWDVPPNGFENITPMEYKNMQASGAVPRGSVQSAVPVVGPSVTCQSRRLYVGNIPFGCNEEAMLDFFNQQMHLCGLAQAPGNPILLCQINLDKNFAFIEFRSIDETTAGMAFDGINFMGQQLKVRRPRDYQPSQNTFDMNARMPVSSIVVDSPNKIFIGGLPNYLTEDQVKELLCSFGPLKAFSLNMDSQGNSKGYAFAEYLDPTLTDQAIAGLNGMQLGDKQLVVQLACANQTRHNTHLPNSASAIAGIDLSQGAGRATEILCLMNMVTEDELRSDEDYEEILEDVREECSKYGIVRSLEIPRPYDEQPVPGVGKVFVEFATTSDCQRAQAALTGRKFANRTVVTSYYDVDKYHNRQF >CRE16189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:35376:42074:-1 gene:WBGene00065407 transcript:CRE16189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16189 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MSH4] MSVPSKTLKTTEESVQPKKQSREEEKSAPCFMSNDSYLWCEINPDLVLIEPQINVSVNYNYLGFSRKPTRPPESRKITLINHGDYPIAFKVETTDNFSYFVDRRNGVLPPRQTVANMLIRMPNTTEIQNASREVAVEEQLVKNPSIPIFPGIAPLPNRPPTPTIAIMPGRRRQGVRMVRNMSPFNRTQKTQSETPKQFPTPNTTPRIPVITPFNKSGENQKPPGGKKSIETPKKPSTETPVTTPHVITPVLTMLENPKAPIMKRGSNEAPRKKSNEHARSPIKKSHEDGKAPPKAISPKAKSPKAKSPKAKSPIAKSPRGKSPKEKVQEQPEMPHSPTQPKNGQMEKEQQQEALSPKPKKKGSGEATSPKPTAHSPS >CRE16144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:29036:35129:1 gene:WBGene00065408 transcript:CRE16144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16144 MTSPSQEEDDVEEWRVLYQETFGYRRPLFHPEHAVFQFQPDPKFPGHQRNNNRERREENDVDEEEDVEEWNPWKESYEQLSRGVHVMNSFEQFVGAADIQCFDHIDEALKFLDENPEGHHEKLIFLHEGTHNVNHTIRIASDVQIIGASSSEDIAASVILTGRHATILEIQEGARSPYLGWITVKYEVDTEHEAEMALIMEVDAPPPPEPNSSGAVADNDDDDDLEKVNEIESDQTTNCAMVVTGRGVEPIIESCHFQSGNADHHTVVVKDHAAPKIKNSKFIGGSGGGIIITHQAAGYYDTCEFAQNLRSGIRVQFQANPYFYKCAVHHQGEVGVFILDDGLGHFQNCDIFSNAKFGVELTSPNANPTITECEIHHGELGGICVHEEATGQFLKNRVHNNAFLAVWICGGANPILRQNEIFDGKHGGILVHRYGKGLIEDNEIYGNVLAGIFVETGAQPWIRNNHIHSGKQAGVYFYDNGSGILEGNEINGNTLTGVQIRTGANPRILKNRIWNNDNGVLIHEGGQGCLEENTVFDNTTTNVFIQTEATPTVRKNKIFGSRETGILITDGGKGTIEDNEIFDNGQAGVMILSEATPIIQLNRVHGNRSAGIEVSSKGECVVRENRVFRNRFGGIMIASGSNVADSSLNQVYDNLDRVSKAIDRGHCLFSVSGKEFYPMHNFYRCITCNSSDRNGICQSCIKVCHKGHTVMFLRCDRFYCDCGADHLERSCCLRQS >CRE16188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:21207:24047:-1 gene:WBGene00065409 transcript:CRE16188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16188 MATRNGFKLLKLPFLAIEQVFLYLEILEIIDISLCSKRCKNVVKRNRATPATYINIEFSREHGVDVCSVDNKKAVATWNFSNTNMGNRKKMWWSIDGAEGYTLKNINSQNIRNGFYQCCTPSRQIAASMNTVISHLLEVLRNCYVKHVNINMHYERVAYKTLLDLLKTCESLEMISDGSSDHTKHVLSSIKITKTFNDKSYLYSSANPFLGALECTDHINCDNASWMRAETLLTLNCEYVELKKARLTAEDIMEYLKKWKNSTGMGRVRKLNIMHTAVGIGPLDFEELGAMRWDPVKRAAMYRLHDGLYIMCNNGMDIERADGLLATIVQHETRGFFFLVWADRFPDQTFPYGAILL >CRE16187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:18966:20325:-1 gene:WBGene00065410 transcript:CRE16187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16187 MTSSLQRPSHNFPLLKLPYLAMENVFSCMTHLELVDISLCSKKCKNLVKSGRKRPWTYMDIELNWIQLQIYLYDANDEDIVSVWDFSKRVVRNRKSVQWWKLNELEGYTKKQRGNERHYQCTTKQPLEISTATVISYFFELFPDCYVKNMTLQFMYNDSQCLLPVLAAVKTVENLKTHNYDRIDHIKNILPHVTITKAFQTNYNVCKNPLVLESLACTEIIYCDNARWLSPETFMTLNCKLVGLMKARLTVEDIMRYFKKWKNSTGDEMNRLQHMYIISEDIEIGQGVFNALKELGATAWDPVKRARYFKYPEGDFIDCQTGMDIERTDGLLASIMVPTKKKVSIIVWKDRFPEQHIRQ >CRE16186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:15744:17906:-1 gene:WBGene00065411 transcript:CRE16186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16186 MRYDKLDHQNSGTLFAKSALKRYLPLAILTVLGVTLYWLYTGVPEGIRGGSRASRRSESVGGGAESWDTIDGEGYQFKGETNQLRLDPRYDQLNRLPPCGDLSSTGVQQDVTNLMESVKKQFSDCITPIVNQWKGRAKEMNIEWVSKAAICDKLPIFEDLKVIPFNNQHETKWAVLPKCKEENILVTLGVGHDTTAEERLNRTIPNTKFYGADPIIEPNRQMYSAFGKFFPFAIGKQPGFTKFRVLPNQNQKTRKYIYQDVTTIPFLYFLHDILKLQKIDFAWIDIEGGEFEFLDQIHHEVKFCQFNLEVHSRFAPAGAQVFHDFIFKVLEQQKYVFLQSINTGTGVHRMFFLNVEDRECLAKYFNNY >CRE16182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:2078:4606:-1 gene:WBGene00065412 transcript:CRE16182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16182 MGSGKSTPVIQEDENVTTVERAARDRLEKELFEHRRLANLEFHSNIHSFLFDPIPFVLRRILPNSNSLNSPVGIGSKQSTDEVSTMKMSSPHELPDGLPPKVETLSEMGDIHNLNKAKLAAGVIIELMRDLGNSEDFFDRKRALDRISIELTIIREVTNGIDGKNIVDQKINERSNDVITQVEMIKKCVEIFEEILLENSSHDFERSNHRLMEHARKLKDLVDDWSMEYNRALVNKEVFEKSIEPTTISTPVIGRSSSSPSQNLSNLQKALEKFCNDVIQFSKQQFKTLKLPNTDGSKAYTLSHFLKIESDILNFQMKTALESLKSFDSELEKPIFGQITSEMSHDNQKIIEAHLESMKRAKKEIILLKSSSTSTAANVISELAFWVLSFQNSNEELLSMGIKPIFGEDDNSFDRQKVNQIICFLFGIQLYESFDTTISSYHYAISLLLGDIERLRVADYSECFGEIKKGEEAIAGTSSQI >CRE24888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1035:7992:10003:-1 gene:WBGene00065413 transcript:CRE24888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24888 MTEDDDPIDSNTCHMTRIFDSPAALAFIDSLRKKHQKAVPLVWRIRQTKCMEEISLINEAARQEVMKTMATEDRINLRNIAKWRQEMGGLKSRHSKWITETEISTVCSSTTISRSGRVRYDANWTDDEKRQCIRCFHWHQDDFESISNVILTKTVDQIKAFYKEHEEILSKSLQSYREVMKSRRMRIDGNIRY >CRE20418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:700:14516:-1 gene:WBGene00065414 transcript:CRE20418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20418 MPPKKRTRGPRVRREPSPESEPEPEPEPMTQDEGPTITIAEDVPEAVTEVKVEAEPSPEEEDEVDYDEMMDLDNFENEVVVEEPVAPETEEEDEEDDDDDDLEVIEEDDMNGPEDEERKRRRREARNRKSLDPAVKLLKIREGMTREERKLIQAAKYIKRNIVEAMRVIFQAREVTNQDFITQSHKMIAAFKRALEYEKARWNLIEVFYRDCDVRLSMMMEETSVAEHRARVFKLYAIVATELKILGYGDNFLRFLITFLKTWEKCENLAARINSSIFISYIFETGKSRLEQEGTFCGYEITDACKLFVMLRQQLYDREYTARVPSIKGMGVLQSCEIPSEWPDEVKTQSPRELLLRSCRDLSWECRLVAVQSIKPNENDVRLICDVAYFDRSNIVRCAALEQMGKLKPNKFVRDKVTLLDTCFKDHEIPIRDAAKQVLKRWVERLSDKWSDQQKGKRDNEVLELKNGTDQIVPADFRMKGYFLAGQALVLLWISDTPETPESHNNLRRMVTHTLDVIRQMYLCHAEPINNFADVIIGDLREKMLESAVPIITKSTIASLLEDSEIVRCHDAGTNRAMIFYWRCVLDYCAERKRSEADKLNALSRFISPLMTMVEHLERIILRIKAEESRPNYHGVDNFVDDVYLLQMSMVENLLSVMRHAPPEQSGQDAYKKLLISLFLNPFYQKKVMDVIAQELAPFYKDCPDDLFSWFYASVNEMRQKFNGEDFASLEGAVLIGETKLRKDWDHQRLVDPEITMREVRGIVVQEIPKKIPKSRIFSPKMLNIPNRGAGSNRAMIFYWRCVLDYCAERKRSEADKLNALSRFISPLMTMVEHLERIILRIKAEESRPNYHGVDNFVDDVYLLQMSMVENLLSVMRHAPPEQSGQDAYKKLLISLFLNPFYQKKVMDVIAQELAPFYKDCPDDLFSWFYASVNEMRQKFNGEDFASLEGAVLIGETKLRKDWDHQRLVDPEITMREQGNRFLDYYELKMLNCLMKTDILKGWEHEYERRYGTMLRNTLESEDLDAGIMAYECLGMIGIYDISFIKDDILEWIDKEFDVKAEVHQGAIVTALTDMFIKNEEDINELFAQTPRRGFIVFLAEIIIQVPLRTESETLLRCVEAMIRIILNTTYDSTDNPDWQRGIVVLMYRASFRLCNSFSSRIRSMIVVGLKFFCSLRRQNQLLIIKSFRRFFDLYTSTSNVDLFTEARSDILPKLRRCAAAFVTLTRHSLLNSDKELNMQPAQVRLMDDILHELTGSPNSSAVDFYLCALIHIEFESFPRDALIKIHKDLEDYIMVHAHDDDKGRYNELRRISKKIAAILGLNLNKDDDNDDNDYYDMPSQSTSSRAKDSKRGKGRRKVSTRSAATTKFGSTDISADGIQMADDDDDDEEEDDQRMSVIREESEDVDDDMEEEESDSDMPSTSAKPPPPRRAPPRVRGTPAPSAASKAKKASEKDPLELMRSPVRNPRSEC >CRE20419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:19271:48797:-1 gene:WBGene00065416 transcript:CRE20419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-che-3 description:CRE-CHE-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MT40] MASENKDQRKTYFLRVASYLLGLNIVEEKLKNTEPLEVFLDSNTNLLVFSRSEQKLELSNKMKSSAPSANVLRVVFYKTQSVPLNNENFKSVVNVISANGTLNHVFLKSVQNVFGKELTEGNNMQLIAAVNELEESLLATVEMSGGGSLHDEIRSWKGQSGKAAQDYNEAFKQLQLLVETMEERRIDELSELVETFEDTCDELWNCGTPYPQARMKMLIEYGSSYLCEAVTFKIDDSAIWRDEKVSDQLRSAIDVCDQMLIVIRLLTGQTWKRNPEHTWEGDPMDMKFLQGFKERLDEILSLRSLGGQLEGLLEERGIREEVEKTIETAMRGMAPLAYNPFTEPNWKSRMLVAERAIEGTIDRTLPILKQRLAPSNGDSQSIVLSLEKLKSFLCRSNIKEKLQHEREMFLNRLVSMLSQKNQEFNEKSLQVDAKNFQFLTEVAARIVWIRQQTSQMESIRSLSKMMLNDISNYSQFSTKLDEFIEKLQYAEKECFDDWCRETVGLIDNKNETINLETTGKIMYLEASNRELNVNYSDRLLRLLKEVRQLSSLGFNIPSKILSCANNGEKYYRFGVILKQIAHFYNTIDQQMIPSQQSLMLEEAIAFEKLVIPRKDASNSASKVTWNDPKQLEEFIVQLQTAEQKLSNRNRRLRNVHMELIEMVEKLMDLNIVKQNNDWKEIILKIRSKMKDEEVVHGAAKNNMKPWLIHWDFQLYKALLIQYEWGIESIQSQLSTISVSLVFADQKIQLRPAIEEIRSKYYKELCRFLRIPDKFRGVQEDDHSSKFYAQMIDRSMHLLPSVYDKAEQLMHKVETCDAIFVDWLVISQVDLEELIEENLKTAADWESQFKILKAKAREAERLPHELKFECILVSTAGVKSAIEDAIQRLYDALTWTLRHSISTTSTSINTFLTQAIEVLNTVPGSLDEVAEANAKHMIFAETNRQLKQEWKVMEEQLTLLRSVAGSGMEQIDNLEQTWDRFELMLDAHQGVIKEQVEALKTNVETSIKGMKDEAEKLKARWDQFKPRSDALQGDREEMLKAIQFIKEKRTQWQELSDGREKIEKECGQFGIEPPKLDIIDEIDEDIKQFEDNWLIYEMFNNDLDTLSQEEWIVFRSKTYLFDEFLAKWVEKLKGSNQTHMSVRLMKDVEHFKEVSSALKFCRGDVLSADHWHEMFRFLGLPRGTTIEKLKFADLLSVSKSIIENVDQLKQLNSRAQGEVAIRDAIQELTLWAAQTEFTLADYKHSNGQNLKIIKEWKESINSLKDSQALLQSLKSSPYYSQFSDKTAVWETRLADLDVFLAQMNEIQRKWIYLEPIFGRGALPSEASRFSRVDSEYRAILNDVSKDARLVSLCSRQSLKKSLEQIVDQLNRCQKALNQFLEQKRTAFPRFYFIGDDDLLEILGQSTNPQVIQSHMKKLFQGINRVTFSSTGETITSMVSSEGETVALSKPVRIVPQVETWLQQLSDEMRRTLKDLSGQAVADGQISLAKYPSQVLCLAEEVKFSAAVENILSSSSDLNSFKSQLLEKLKTYTNMKVEDKVSDLKLKSLILDLIHHIDVVDQLMANKAKGVNCWTWQRQLRFYLVNGGIVLRQVSSEFEYTYEYQGNYAKLVHTPLTDKCYLTLTQAMYMGLGGNPYGPAGTGKTESVKALAALMGRQVLVFNCDEGIDVTSMGRIFTGIVECGAWGCFDEFNRLDSTVLSAVSMQIQTIQGAIKSRAGSCTFGGKTVQVNPNSAIFVTLNPAGKGYGGRQKMPDNLKQLFRAVVMGKPDNELISSTILYSEGFVDATSLARKIVSVFQLSRQMLSKQQHYDWGLRALKVVLGGCGALRRAQPTKNESDLVVQALLLNTLSKLTFSDSERFNSLIDDIFSNVNKEMTKFEELVEPLGVAAKEMGIKLGEKQMEKVFQLYEQMRQRIGVVVVGAAGSGKSTIWKVLHRALILTKKPLRVTQFNPKAVNRNKLLGNMDMDTREWTDGIITMAAREVQKDTTIHHWIVCDGDIDPEWVEALNSVLDDNRLLTMPSGERIQFGANVNFLFETDSLQFASPATVSRMGMIYISEEDVTPQDIVASWLSSNSEDLHGDLAQWIEDNFWKTFKWVKSHQIPGITNFALLKNGLTHLKSSKTKIQFLALLYNGFMPYVLPDQRGELAKGVVFQGISVPDPKNVCYDERTDSIMTYTDDVSQSVTKEEVEREDLRPFVQTADIQRYSDIISSWLRPGNRESFLITGTTGCGKQQLLKHCFQMDPESQLASLYCSAQSSSAHLLQLIQQNCVQASNPTGRVWRPKDRPNMILFLKGINLPAPDKYGTNELLALLQQLLTYQGFFDHNLEWVSIENIQFVGSMNPLGDSTSSNISNRLFSLLRCISLNNTDTSQLTSIYRTYLTPILEDVGERNSEGVASRMVDVYNKVQSNFKMSDSIVFQFSPRDLTNWVVSLLRHELDQGRLESVICFEAKRIFADRLPTEQDKMKFDEILRNVIPISQNNEKVYVTTGTVVPGESNTGLPLTPINMSDFNQLLLKSINRFAFEIANFNSPLTSQLSFFCACVDRVLTSPGGHLFLPGRTGFGRRDAVRLVAHMHNILVFSPPVTANFSSKQFDNEMKTAITQAVTNNEHVVLILEDHQLRKNIFLQAINSLLASGSVPGLFTQQELDGLVALISEAANQASFTGALQQFLAHRIRSLVHVVLILEVEANDFKLNITENPAILKHCNVIFADRFDRNSLLEIPKILMEAKGITTTDAVLSGFSDVLVNLPENLSIQPIKYRQFVENCSQLLGYKRSTLSARLDRLKVSLSGGVSKLNEAREEVAKMQKKAAKKSKLLAEKQAEADEALKAITESMSGAEDQKMSMEQLKAATEKENVVIEEKKAKIDEQLKEVQPLIDEARRAVGSIKSESLSEIRSLRAPPEAVRDILQAVLVFMGILDTSWEAMRKFLSKSGVKDDIMNFDANRITNEIHKKVTAIVKQKSASFEEANAKRASAAAAPLAAWVKANLEYSKILEKISPLEAEKNKLVKNLKKAEKQMENLSKGLQSVDEVVGELKRKFEVLMKEATQIKVDLDREQDTIRIAGTLVESLSGEFQRWKVQIETLGEEQSKMELCSLITSAFITYLGGCSEKDRKSLLKSMSKMFNMPPNFKPLSFASLETEQLNWKTKGLPADQLSLENGMIMFNSEHVPLIIDPSGQVSSFLAKFLEKSETFKAAQPDLMTQIELAIRFGKTIIIDDVVEFDSALIPILRRDLSSQGPRQVISFGGKQIDFNPDFKIFICTRDDKVQIRPNSSVQLNIVNFTTTISALSAQLLDVAIHLEKPELEERSSSLLRDAELKKLELEGLEQLLLQQLASSQGNLLDNTALLDSLNKSKESAEIISKSIVESEHLHKELTTQKEIYVPLSLFTSSLFFSFSNLQYYNPMYNYSVNTIMRLFEKTIRSCEDKSPSRVETLARQMQLTVFYHISRGIFRQDRLMFAVAFINATMPKLFQPKEWELFTGLLVDESTDLSGIRVSWITADRLQALARIRTHLPSLFNNFQIQDDATWNEFSKTLQCETAFPKNVEVKMTHFQKVLFIQAAKPERLYNCLMDFVLKTLNVPSINPPAFELMHIFAESEAQEPILFILADGADPSQELSELATKLQVPYHSISMGQGQEQAAYEAIREAASKGEWLCLNNLHLMLQAVPAIFKHLSLTTPHSNFRLWLTTEADARFPSMMLQQSLKITFEPPPGVRNNLLRTYTQIDRNGMKNVVTCQSIFVLAWLHALLQERRTFIPQGWTKFYEFGASDVRVAKSFVEQLTENKADWEFVRGILKFVIYGGRIENDFDAKVLDSYLNILFSDAKINGTAGQTLVKGIEIPATTNVKDYLAHISKSIPAVDEPFLFGLPENIKYSWQIVEADRTISSIRTLALGDSKNALSDQSDTISQIVSLWKKLCQVDDLPKRELPPSNRSTDPISEVLCLETINALSLIKQLHRSIGNVAKSMKMPSLASSSTQKTIQSLVFQQTPDEWDSLWAGPADPADFLNAVVKKTRGTMQVSLLLYESSKTSSASLLSSPIDFSDLFYPNIFLNALRQMTSRQLKIPLDQLILSSAWTPSQLPAKQCVQVQGLLLQGATFDSFLRETTVSSAAFTQAPILFLAWTAENSSTISGEQIQVPLYSSSDRADLISSVNMPCRGVDQWNIAAVALFLR >CRE20396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:51661:72497:1 gene:WBGene00065417 transcript:CRE20396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rme-8 description:CRE-RME-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MT41] MAQFCSENRDIACYLVTKHSWKGKYKRVFSIGTLAITTYNPTSLEITNQWLYEDFISVKPLTRNVSMNDSKQDEYKIHVRNRGKKDDMRFSSDYTTDILTHCLQFSTKFADKNFDPLTVNAFKQSWSERRIPVTLRANASCLEQIDGRGVVVQSYPYKNIRSIGRVNDCPGGFVVDVGEHRRRHMFASSNTDELIKEVRRLSIENIGVTIAIAKESLSLEDFMKTRLGLCSRDEELTSYAEFKVSKITRRVEQPVRRLLCLSETCIIERDLATYAVICATPLKHIICLVRSEKDPQQFIVEYENGDGRAYVAAERDLILASLLDGIRASGNQEVFVCGHRFERNLRVIPFSTSLDEDAESQCMKHIIAPPPGLRRCDLIRRFNANVPYSGLRFSKSHEGFFSENKGKVIVNAIEAVLGENYTKDDKEFKHKTEAQFQCLRRLFASKSGFQAFTEVTGVREKLGSLVVRVLSWKSESIDHSTVEALCALMYPMHDQYELRIEQLNKQSLMSSPKFVENLLDLIVLHVDRSTGWLVIASMLNFLTFSVCSPYSETTSGETFDHILKLVAIRGRSFFRLFQCPSMTIVKGAGMVMRAIIEEADVETSKSMQMLALSEGAFLTHLHTALLTVGKDLRRLTNKQLSGHLISLWIADNQQANDLLIRCLPRGLLNYMESDEKVPVSEKDLLIVRNNLTAATNESKQNAVKEKFDQLRVTAEAGFERFVQHWDLEQKLNFLPKRVIDEKQQQRQQPVVLRKRRNRVRPNVNWRLFAYQFSKDHSQADLIWNEKTREEFRHSMENEERALSSEKEQAPTGLPIAWNHTEFQIRYPSLLEEIKIGDYYLRLLLIEADENATPIHNPLEFFNNVYHRFLLSTKTDMKCLCLRAMAITYSRHHITIGPFQDAKHFVEMLQKCANPLERDHLVLLLSKLSLNKDNVRELIIANILPLLVDLCVLAHLHVQRAKVQNQTNVIEASAEQMSEGGSEEWYYHDKEAKQVGPLSFEKMKNLFAEKTIFEKTQIWAAGMDKWISLAAVPQFRWTVCQQKDQPNEINTGKGPFQATVLNFTDLSVLCLDTILQMCEFFPSRDSHDCVVRPMPSVKKQLTEPVLLYQLVQLLLTYEPQIVQRVASLLFLVMQDNPFLPRLYLSGVFYFILMYNGSNVLPIARFLHYTHMKQAYRSTLPHLDSTRQSILATLLPEAATFYLEQYGAEKYAEVFLGEFDNPEIIWNTAMRRHLIERIAVHVADFSHRLTSNVRALYQFCPIPLIDYPELTQELFCHVYYLRHLCNTQRFPDWPIRDPIPFLRSCLATWYNELEKKPATMSVDVACEILSVDLTNEEHRNPRFIRRQYYKLAAKYHPDKNPEGRDMFVRINDAYLLLTSECVSKSNTPDSHRIVLCLQAQSIIYSRYSQELSEYKYAGYSQLIKTINLEAKDDALFNKGGGDLLSAAIELANYTLISSALNAEQLRRDGGLEALVTAFDRCVPMVTMSSTPDDLSVQVCIHVCDCFATASTFEACRLKLAEMVSIFGALTRLLQFSHLPRLSTAAAQCICAMAVDELLQFQLFQTGVLWQLVPHLFHYDYTLDEGGVQHSEDSNKQSLANSLARSSTEALAALAGYREKTPDNDGVQKSLRALLTPYICRCMRVETNDMVLKTLNSNLENPYMIWDNGTRAEVLEYVERHRTSNEPMSALMGAEFELSVHKNELIVGDIFIRIYNEQPTFALHEPKKVAVDLLDYIRKHSDELNGVLKPTKPSDNLIEIDWGNSPTQTNGNSLSTDTKVLMVMTSLANLVSANPGVEILLIGNFPLLITYLRCRKYPQLQISALQVILLAAANKECVTDLSTCNITTTLFTLLRDQPNIVARVLDVLIALSSNGQIVKEMLEHGGLMYILSILCLTNSDQGQRLQAAELLAKLQADKLTGPRWTRFIIKFLPEIFADALRDSPNTALQMFDSSNENPELIWNETTRQKVKGIIDNEVAKLYEQHLKDPTAKWNTTSLADKSCAYGDSISGELVVGGVFVRLFVENPGWSVRHPKQFATELIEKVLELMSRPTPEIDLVTKAFVELVRHHPNTADQLPSQGYLPQFCTAMCLQNTSASRSAILILQELSENQFCCDALSQLPCIDGIMKSMKNQPSLMRESAHALKCLMKRNTGELAQQMLNCGMIPYLLQVLDSSMDGVSNGAAARAEIVDALKSAILDLKVGQKIAEILDKSPIWAQFKDQRHDLFLPAARTLAVTGGPTGDAGYLAIGMFNPPPISNQPPLMLHDAAGDFQPPL >CRE20397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:73679:77927:1 gene:WBGene00065418 transcript:CRE20397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20397 MVKKVIKKKSVVIKQAPPPEDVELPMANQNDVDDVAEDYQVVDMSNIEAPVIEFVMPNLGEMKIEKLEDSGDEKSEKAYSGDEKVKKSAKKPKKLVKKTPKPAQKAPEVSDDDSKDSDDEKPENSGKNGPKPGKKAPEVSDDDKIQLTAEKLNNELVGLRPLIVKSKNQLMSKLVRQKKELEEKLKKNKGGVKDDVERKIQRLVDEILGLKTIDKDTIAKFAALNTKTLNQLKINGKTVVAERLMYKLACQEVVTQKMDSVREKYIEWNKTAAFFMQRLGQQYSNKPEKKEKKVVKEDSDDVVEDSEGDDEEEEEEEEEKEEEEDDVIPDDYDQSDVEIGDSDEETVGDEAAKKRRSLLLGLIGVKEDASRPALQPKKRKLVEEDDDDVATSSETLQKRQKTKEAVKQEMKQILEKELKTKEIEKPLKVTAKKGKKIPKSAKKIAERAVKPKDYESEPEPKDSESEEPEDVEEDSETLVMKIDLSKGGKIAKTTKTATAPAKAKDSEAKSSEDSDSEEDDVAFFLPKSSLTAPTGKRSAKVKAIEKMEDGSKPKREEKKKKNEKKKPTPTTSTSITLTDKKKGSSKKNASSAAGTGEMHPSWIASQLKKKEAAAAIPCGKKIVFSDEDD >CRE20398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:93558:99780:1 gene:WBGene00065419 transcript:CRE20398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spr-4 description:CRE-SPR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MT43] MTDQAESDNLRRSKRKKFRLDVVAAAHGNNQKKSRRSGGGGDDYDDVDMDDFDEDDEMMQQLNVTTDDSMTEYVDDDDDALSKRRTRRSTAVFQNYQQDTIGSWVENSGPYACAKCPSRYESKSSLANHNKMHTGEIRKFACELCDFSASTLKSLTHHNNVHKQFGVLSPQISPAVKASTASTSPGAASVVNGTSNGAAANGASAMNTSLESTSSTSAPQLEVEVAEVTAPEPDELEEVALEDGGPPFLDRQDEDDDGPPVLEREVSESPEPELPVRKSNRSAKPTQKKLEQKSRGPRGPYAKKIPKLELMETSGGSLPTSSASSVTPPPLRAESSPEPEPSTSTVTKKKSHKKKTPAAAPPPQKKKQQTMKKRKRCPRCPYTCTSTARLNRHFGGHRLREGFMCPIEKCHFMCRKPGFLPKHFILHKDATLPWPPKYCKIVGKRGAGERVKEVKSHQNVKKTAEVVEEKKKIKKQEGTGKKRKLNVEEEKKQLEEKKKAQEEKRVHMMRQAQIKTHTKVQQDNVTYKKCNIAECDFQTLSMTQLIVHKTKVHGAKSAFAASHKFMCTTCGHRAKTYASLRSHKLTNHLAAGRRFHRTFYLKEILGERFFIKYFADGKMPEESGEKVKKEEELPAPEPEVVKEVTPEVEAPPTTSEVRMEEDEEDDGSGDESFDSISASTDVPPETLAAMNKKEVFFCCNMCPYKAPTMNRCQRHYAKHFTNDPFKCAHCSWSSRSREVIHSHQKLHEPPEEVAPPPPPVVEEPKVEEPVEPKVEETSEDSKEEKEEKDSKKSPPKVVVFSEDLIASPIFAPLQKWCTAEKAKRPELNDQFSRKMIDGVKGFQCLDCPYTSKYRGDMRSHKKRHDIQQMYRCVQCTYTTNRPVSLKDHLKQHVALNKVNLENAASKKVVVNQGIPIGHRKGAGKFRIYTCDQCPFTTLTVGCLWRHHRNHRSPARSNICSNCSYSSLEQRKMEEHTLIHLALGGVGEPMPFVKRVDNKGRPVSSLTDLNSEKMSERKSNKRKAEKVKEEAEEEVEQQTTSQRVLRTPKAPKPVKEPKEKTPKKKEEKVPKPDGRKTRKQSLEPSPDPDYSIPGPSSQPTRQLSERATRNRINYSLLSKNGSGKPTPSSSSANLEKLGAVQEEEEPEEPLEVTHWRIRSFLNEEFASTKDPEQCPECPYKTDDPEVMSMHTSFHSQKTHPRPYSCSDCTFNTYTPTALLQHLKLHSEGVCFDPSVNKRQAKHQRKGDYIPPGAKGFYCKNCSFKTINHRSFVEHAAYHRHQLINRINITLKRQPPKEEYQRPKLKTQFVAKNAKYCKKCTFKCVSQNAYIEHLDRHGWNQLYKCYMCDYSDNNKSVVDFHQLTHHIVRDQTLHGINQASKFRIDNGQIQTPETSKHAKPTPEEFVQKSRTLLKCPSCDYYCHVAEDLGFHMSVDHRSDDPKKKSTKDDQETATTTSDTISYLKMGLIPPKATVTIV >CRE20420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:100432:114003:-1 gene:WBGene00065421 transcript:CRE20420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-10 description:CRE-LIN-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MT44] MSSEATTTSPEHAVSSTTTPSNSATPPPPSKGGGAPGGGGGGEQQQVPFQMIPPGHFFANPFMNPYMPTPVAQQEGDPNGGGIPQIPNQMVFSPAQYQEVMHHYFQQMMAASGAQFPIPFPMQFQPAFQQPRPSSQASSSHRSEDDNGRQTAGSAVSTNVSPNHREVRGGEGGKGHNQWKKDLLVPTSTSSAEVVIGDVIGDSSENSQESTGEEKSEEKRKLSGDRTDSLIRKQMSEMEKEITRRSQNKNIKKIDDDGLAELIGSSSSSKLTADDFSPFTDKSGLSYQPPAATPPTSEKAPKESLNQLRSSFNLPEDSPTVGPVPTQQAPPPPAAQFANNSMFMANAGNFAQNAAFVQMTPQAPFGAAQGFQMMQPHQHNLFMQQPNPTFVNNGTNPFLQSQPSLPNFVNGSGGTAPLVPTVTAQQFTPEQLAAAFAQQQIAQQQQFQQHAAPTPFDAPPPSTSPATVTPAGSGSGTGLAPPPPPSHPIPRRVSGGSGWPEENKENGTSVTPNGTTPVGAPSTSTGPDDPVWVLRDSYLKKMQREQRTSAEEEMSWHEAATAAQEAAENGVGDEQEEQETDRLLNGAGGTSGQKGAIGGDRRGSVDKKKNSKETMVHEPAVLIEGVLFRARYLGSTQMLCESRGSKAARMAQAQEAVARVKAPDGDVQPSTEIDLFISTEKIMVLNTDLQRISDTDVRQDILMDHALRTISYIADIGDLVVLMARRMSTSHSDESCSDGDSQSGGVRKTPKVICHVFESDEASFIAQSIGQAFQVAYVEFLRANGIDDPSYLRQIDYQEVLNSQELLGDELEMFAKKETQKEVVVPKKAGEPLGIVVVESGWGSMLPTVVLAHMNPIGPAAHSNKLNIGDQIININGISLVGLPLSAAQTQIKSMKTATAVRMTVVSTPPVVEVRIRRPDTKYQLGFSVQNGVICSLLRGGIAERGGIRVGHRIIEINGTSVVAVAHDRIVNMLATAVGEIHMKTMPTSMFRLLTGQEQPQYI >CRE20399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:126194:129973:1 gene:WBGene00065422 transcript:CRE20399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mau-2 description:CRE-MAU-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MT45] MSQNEVAKALLGMAEALRTQEPPKLKMAIKCARSSLTLQINDEMQAICNLQLGKLLFFYTENSELAKTHLQIAYDKMLPMGTFYTRDRMHAISMIADLYIHYQHWPIAGIKSALRHEISTSRGYPVLTNKLMFQLIEILKIDKDVEGAFEACQIAINSSSSDPKMELYFRITRTLVNYQLMHEESNATEIARIGSMIKSLEATPEDRVHLECIKDFYVCTKLAYMFYEGKSRTSRQLLRQIQKSQTSGETKIHGIRWLGETSMTLFACVMNIISALVQSNPGRVEKYYHLVVKHADEIIYKSTRTPQEPGVVRCINMIKMTTLEMMACCNVMACRPQKTLVNVRDMLEMCHRSSGPLLYRYFAPHIQYVLGLQCCYFRQHEYAEKHFISAINLLHLNDCTSNNSMALLNLNLAMTYLNQLKMADYYEVAEKLTAPKIAQCSQMLKSNVKLLSAFFSYLTNKPNECKTLVHEVLDDSKAEDFFRLHGLALLLMSLIFPVDEKGVKPTVDWSKKSHDHVVIMWSHHLYERIIRAAGVDPNSEQVQLVMTDYEESRKILNVDYLVPLVNNMSTVKLLQWFEGDPFKLLPRDEIPM >CRE20421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:130548:134136:-1 gene:WBGene00065423 transcript:CRE20421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-18 description:CRE-INS-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MT46] MVHRPLFIAFLALVIVVATTSAISLQQADGRMKMCPPGGSTFTMAWSMSCSMRRRKRDIGSMFEKRALIAPSIRQLQTICCQVGCNVEDLLAYCAPI >CRE20400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:143277:147361:1 gene:WBGene00065424 transcript:CRE20400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-del-4 description:CRE-DEL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MT47] MGVFWTGLKYVFTDFSCWTSTHGVPHIGMANAKWLRAFWILVVLVNIGLFIWQFITLLTNFLSFSVNTETTLQFAERTFPTVTICHLNPWKLTETKSADGDMSSLIDAYNSYSSSAQFGLPASLTADRQQQANKWTLMYSERLNEKQYDDDLNIAYSYDDMVVSCTYNAKTCNITDFNDFYNPSYGNCIQFNTAGYYNSSRAGPLYGLRMVMRTDQATYLPWTEASGVIIDIHMQDEIPYPAHNIAFQASYAPAPVRKYIWNPNIILTPDVFGYFAPPGTASSLGVSYVQTTRLAKPYGTCTTKTKLETTHYTGTYTVEACFRSCMQEKIIASCGCYYPAYSHAPNTTQFVSCDNGAATLDNLLCVDLINSADSTEFDVLTDCDCPQPCEVDSYGVTVSTAQWPSDSYTPTECNPGGPSGPWDTSGQTCLDWYKANTILIEIYYERMNFQVLTESPAYTFVNFISDVGGQVGLFLGMSIISVIEILVLFFLVFFYCCTHKSRKAEIEQLEMDIQKAKDDVDQVAEKRKKHQKANAELYEMDAGDDVVPPKPVAHD >CRE20401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:148818:150550:1 gene:WBGene00065425 transcript:CRE20401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20401 MSVTETLIAMGFPEEAAKAAAGNNRNLDQALNYIENDGVGFENEGESSSGASSSSTVAAEAASPENRAIPAVAAGFKCEDCGAFLSNDDEMVRHAGQTKHGNFSQCDQPDIDDSREPLFQLPPMTEEEKVAKAKEICDKIQKARDLKDKKKTEEEKQELMERREEKTAMLSLREEAREREIKEAAAQLRRQKVEDEAAREKIREQIRLDREERKAKLTPMPPKVAKVEVAAPVRDHSSTTLQIRLLDGKMVRQEFKTVEPLVMVRAWIETNQPVGSSFSLMTPFPRREFSDDDMGTTLGELKLVPTANIVVINRGVPAVAAPEEAVPAEPTNEPAAQ >CRE20402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:168129:171476:1 gene:WBGene00065426 transcript:CRE20402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsy-22 description:CRE-LSY-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MT49] MLSHSPQTLLSTPRTLNFKFRARKFDRLVDQPPPTITSDQRRFANPNFSHQSSSSSRENRKRSGSASGMTLNDHVEAIKREMTTVSSQLSSNKLELERARQEMTQIQLTLQQVNDQSIQTELQKSHETTKKLEAIATRFLATISDQAQQSQLIAELEQISQKAGGANQNPNPMVMTPPMMNAAAVAAMQPHLMMRNPMLNAMAQASPRGVPGKQGVPNGQQQAAAMNGMMQQMQMQAMAAQAQAMMHAQMFQQMGGPMMVPGMMGGMPPGMAGLPGMMPPNSMAAMQQQYQQMAAAAAAASVAVSTPSRNPSTSGAASRTRTPLTASATNSPRPTVEPVIIKEEEPTSTAMETEGVTATTTTTGGATIKQEENSTISVA >CRE20422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:172352:174709:-1 gene:WBGene00065427 transcript:CRE20422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20422 MLSARTVLTRTARLSNARLNSTLVVAEHDETKLAPITLNAITAAGKLGNDVSVLVTGANAQKVAEQVAKVNGVKRVLVAQDDKLKNNLPERVAPVVLASQKQFNFSAITAGSSAFGRGLIPRVAAKLDVSSISDITQVHSADSFTRTLYAGNAVKKVKSSAAVKLLTFRGTSFEPAKEGGSGAVEKAPSADIATDTSEFLGQELSKSERPDLATAKIVVSGGRGLKSGDNFKLIYELADKLGAGVGASRAAVDAGYVPNDMQVGQTGKIVAPELYIAIGISGAIQHLAGMKDSKTIVAINKDPDAPIFQVADIGLKADLFKAVPELTAALK >CRE20403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:175599:176300:1 gene:WBGene00065428 transcript:CRE20403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20403 MAVSVDSKSQHSEVSTAVAGPEFTDSQLRTAVQSTLRSRGKASTRTALSASTTQTVGVSYDTMVSAFTSVTISYVLLAISLYVETAVSAFHLAYFSYRHPAVSKDLIKTAAHLLKTSYDNKLLTASEIIATVQNTIIAPMARQNKQYHLEESQRTAQLQTMRTTSTAVSR >CRE20423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:176780:177959:-1 gene:WBGene00065429 transcript:CRE20423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gna-2 description:CRE-GNA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MT52] MVSLFDERLLPSNVPIPDGYRLRALRNDDYEYLELLKQLTSVGFINQLVFRKRFNAMKNAQSYYIVVLEQLGSPKIVGAATLLIEFKYIHEAGTRGRVEDVVVDEKMRGMKFGALLNRVLVEMAQTIGVYKLSLECKTELITFYNKFGYNKTLHFLDQRFDESSAPVHWPKARGNSLFNEDLLPPSDSNLPAGIRVRSLHSDDMDEYLKLLEQLTSVGYVSKTDFEKRFATMKTADSYFIVVLEDLSTSKVVGAATLVVEFKYIHECGLRGRVEDVVVDESMRGKKLGVLLNRILVEMAKNLGVYKLSLECKTDLIPFYTKFGYKENIHFMVQRFDEETAPPVIQL >CRE20425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:182888:184694:-1 gene:WBGene00065430 transcript:CRE20425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gld-1 description:CRE-GLD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MT54] MPSCTTPTFGVTSQLETQNAESPSRSSILTPTSLEDETSPRKRFPLIETNISASDRWPPAPRRDGWSSVRAPPPARLSLHTNHRSMLSPISSAYSQTPNSLLSPTMFHPKTRSIFSPTLPSTPMSYGKSSMDKSLFSPTTTEPVEAEATVEYLAELVKEKKHLTLFPHMFVNVERLLDDEIGRVRVALFQTEFPRVDLPEPAGDMVSITEKIYVPKNDYPDYNFVGRILGPRGMTAKQLEQDTGCKIMVRGKGSMRDKSKESAHRGKANWEHLEDDLHVLVQCEDTENRVHLKLQAALEQVKKLLVPAPEGTDELKRKQLMELAIINGTYRPMKSPNPARMMTAVPLLSPTPIRSPGPVMLSPTGTGVPTSTFGGSILFSSFQSPTLAGSNGMLGSNIFDYNLLSPSMFDSFSSLQLASDLAFPKYPTTTSFVNSFPGLFTSASSTNSQNTTINNTQNMSPIPSSQSASSVNNTSF >CRE20426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:185308:186539:-1 gene:WBGene00065431 transcript:CRE20426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20426 MADLFRKPKRKIQKRKIDTEEEDIDEEESSRVSDIRDLQRSRERKNGLTELECAVGITKAAALEDGIQMTGGGMMMTAKKKAAMEAASIEHGLRDQFEKETMLRDEHEELRKYIDDGLTHYTKDNSSNSTQKTEKEPKIQSTSSKFSSLNADDRDVELLKEAATKVRANQGKKETELLSEHMLAGIPEVDLGISTRITNILETEKKKRFLLQKSAAEAAGLPAPLEESGDSKPKHRRRFNNQRRPF >CRE20427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:187163:191371:-1 gene:WBGene00065432 transcript:CRE20427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20427 MVRSDSRTDLFLSASFISSLEIRKTSDGRYIPPLEGCHVEAWLEDETISQEKIRGELTKQQFLLDLLHSKISARYERGRTTVELDEHAWQVQNSITAIKRKLKQLVDALPPVVSLDTTADSRCIEMYEEKQLMATQGMLREDISEERQKVAKLCWQLENMKQLAKEEEDSEDNKDSDDTDEQEWIERCKLEEAARSALLEEITRLRSACADLRARLEMESLTQRELPNYDSL >CRE20404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:191972:196975:1 gene:WBGene00065433 transcript:CRE20404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-9 MGMRRSLVAFILISLIYSTSAASTPIPNCPDLDVLENQSDLSQDDLNNLILCFCKPDPINDEKVAISCLYGSNLDHLMKATDAVKNASLITSSVSISQYLDISIQHMEFPEGGLPEFGKIAPELQSLEIRECSGTDELQIGDDSFKGLEQTLRNLTIHSCNLQTIPKSADSLENLETMAFSSNKLNTLGVDQFKNKKQLSYLDVSGNFITSIEEKAFEPLTSLETLVIGEHNFVNDTVIEEIGRLKALKTLDLSRADGIFEPPESLFKEIPQIETLKLSGCSIPTLEPGQFATLKKLKELDLRVNLIENITAYAFDGLESLQRLSLAGNFISNLEPDVFFGLSSLEDLDLGWNEIKTIPSEVFKPLVDKLKIFSIRNNPISQLPATGLSNLEKLGLAECGFTSITADQLKDYPKLQDLDLSKCNISIIDANTFENQKDALKKLNLQKNKLKSLPNLVKNLPAIETLDVSSNPYICDGELAHFVFGVEDRVKQSEENGHTFFISNANETVCDRPYSLRGEAVLQVDLDKFQPYDEKSDTTTAPTTTTTSAVEETTTEFKIPDLLVGNKTSDVLFKEEPRRDVYDISKTDDAKGVYSQKGTYAVPITIGAIGLVTVIVIVAVVLFIKKNKKVGGTEKSGGKSDKVVKMEDGMVEIELDSQPGTQHATPRR >CRE20428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:197531:198950:-1 gene:WBGene00065434 transcript:CRE20428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rlbp-1 description:CRE-RLBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MT58] MHDEPEKKKKGLKLTRKSTRKIIGKWRKLSAEEGDFSMTESIEIDDVATVKRVLGLQLKESVTVDPSLDGIPLPSFFRYALDFVEENGLCTEGIYRLSPPKSRLDELERRANCGEPMVFNEPHEAAGLIKRFLRQIPETVVPPELDAIADSCECGLASTHQLTPKLICTCGAVRHMRDALNKLAIERRTLFIYVFLHAQHVMAQEKKNKMGLQALGLLLQTVLEMSRRMVCFSAHAIRPCDGIPVPGVRFF >CRE20429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:200937:204607:-1 gene:WBGene00065435 transcript:CRE20429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20429 MKLDKSSVEARQYLTGLLTTLEAIKTQLADNDAIKNETIAQAHIESFAEKLFNFADKKEKTGNVDKSVVHAFYTAGHVMDILALFGEIDEPFLSSKKYAKWKSTQIFTCLRDGTPYVSSSQQEADDDELAQFGSQFQPSSSASIGAGGPQEHSYGQPGPPGIGFGAGIPSVPSDHSLPPPQPPARSNIPPPPAYSSTPNLPSQQQQFYPPPPSRRQQPHQYEDFSTPPPPAPAGSVPTEEEFSEIRRLTKYAMSAVDYEDVKAIRENLMKALAIVQKY >CRE20431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:205717:208247:-1 gene:WBGene00065436 transcript:CRE20431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20431 MSWLSKVTDIAGAAENLLNKLDEKTGDAIQQARDSKPRTRYGSTASIPATVISMPEQVITEDFGRPAPSIPSRAQSDYTGAGWRSGGRNYELSSSSTQPGGTAASESWTMVLNSNAVKNAQREDDTRSQRSVGGRSVASIRTTSEFAGTDSSPNFKSQLFAKDSQISVLKTKLAESERKLEKRSQDYYEMKAEKEMLEKRVENQKVSNQEVDTLQELKLARQKAQDQKEKAVDECNMHKRKIVGLEEEIRAMVEQLRLAKFNLNENKKEFDEYKNKAQKILTAKEKLVESLKSEQGIGSSDRPVHLLQAEVEEIRVERDLTKADLESAQLQVYTLRSDMEELEAQIRDLQSQLSDQKRTHLEEKQTWDSTIGLLNEKVECSRIENEFTKQEMKRLGDQYQSKMIEKETDWRKQINEMRARMRDEQTKQEDDGTTQLSDMLLQKQQQLEDVLRTNQVLNVRLERLQKATNRETSIPIDGQTSGSPMHTSPSHPLLSNINHPQTRNALQTVDSTAFKLLSMLRGHPSARLFFVLYFIMMHLWLFFIVLTYTPEIH >CRE20405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:208834:209922:1 gene:WBGene00065437 transcript:CRE20405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20405 MSYGPQLPAWLAKNTDQDEESEDKDDTTPIAYGPVIPKSVEKSEKDEEEEDDEPESGVYGPAIPKNVDFSNLTTEGNEEEEEEEEDTAGSSYGPSIPSNFRQSFGPMIPGAQNDDSDDEIGPMPVTEGNEEKEALERAYRMVLQKEAEDEEKNSQPKREEWMTSVPKKMGNFGLGPRTFKKGTVSERDSSWEDAPGAKKKRRDEQKTAKSVGAAMADARQEAIVSQKTSGPSLLELHQKTREEKVKDAGYLPGERRPFDRDKDMEVRGLKAGGSKEAVEKMKELQGRFANSKDKKFL >CRE20406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:210840:213277:1 gene:WBGene00065438 transcript:CRE20406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20406 MVSLFDERLLPSNVPIPDGYRLRALRNDDYEYLELLKQLTSVGFINQLVFRKRFNAMKNAQSYYIVVLEQLGSPKIVGAATLLIEFKYIHEAGTRGRGEDVVVDEKMRGKKFGALLNQVLVEMAQTIGVYKLSLECKTELITFYNKFGYNKTLHFLDQRFDESSAPVHWPKPRGNALFNEDLLPPSDSNLPAGIRIRSLHSDDMDEYLKLLEQLISVGYVSKTDFEKRFATMKTADSYFIVVLEDLSTSKIVGAATLVVEFKYIHECGLRGRVEDVVVDESMRGKKLGVLLNSILVEMAKNLGVYKLSLECKTDLIPFYTKFGYKENIHFMVQRFDEATPVIQL >CRE20407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:213474:217414:1 gene:WBGene00065439 transcript:CRE20407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20407 MKNALREEENAELIHFICQKLKYVKSPQSIYKLCQQFKTETVSSRNVESLAHFVRNWKERIHLLEEYDLDTRARMIFALGVDINVHFLAEIRRFAHVALDEKGRITSYKKKNGKLEFGTQQSPIGAPKPLMNPVNNKETAQLIQFVLQKTKDVVTQRSVHWICRDFKHKMKSIHSIATLYTKVYQWRQKIHESNEFDMDTKVRLLFVLNGKIDDGFLAEMVKNADVELDREGKIARYKKHGEEWEFKKKEGLKVELQPPPIPMSLRVKREEDKQKYPPPIIVKEEREVEFQPPSIPINLKVKREEESPEDEESEMIDVETVEESKPEIRKSSRVSKKRELSIMPIIRPSPYSPKVPKPKSPKLPLSVVNVKKEFKSPVKKGTSSSDDSYTYTNADGSGPSTSGSPSMMSPSGKPVNSVPDTIPDVNLSVSDQNESKWIIYVQNGPFYLKGVSYFFPISDGIKSTSRPALRTKFSPMNLKKSPNSPTIKIPTPKSPKRLPPVNVKNEFKSPAKKVENQERKRSSSSDSNAKESESSTSGSLVDQKKRRLNSLPEIDSGVVDLDVKKTPKVKNQPAWLDDLYQNIGGVPFVCDESVRSARESENDKEPKERTEMTRVYSEELSTSVKWYLCVLRAFIVALNHPIFNELHAEIEFLIKKMSVKDEKLPLFALLLNLESLLMVTTKNARFYQHPDIDWKSLRDFASMLQLAAGTVNHPIMDPFLKKLRVLIEELTKDTDKKISMEKLNTAFKISIDMLNA >CRE20432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:218412:219834:-1 gene:WBGene00065440 transcript:CRE20432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20432 MFGSVHRNSDPDNPNTLNGPRIPQENEQQPTVTTGMSWELRVQSFVGLFILSIIASFCGSYLLLLTKITGFCIMTSISAILSLSSTCCLMGPCGQLKKMFDRSRWIASSLYILFIFLTLISGLWLKNAPLAIICTVGQYIAMAWYSLSYIPYAREAVAKIFF >CRE20408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:220091:223118:1 gene:WBGene00065441 transcript:CRE20408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20408 MCFPPPPITNCLVMGCFRRLQLFFIKFFFYVEFNQNFSNITEPTSLIMPPKQAPSKKAETKRKEKVIEDKTFGLKNKKGNKNQKFVAQIENQVRNNNTRMDLVRQQEAAKKKEKDELLDIANLLKPVEQKVAKGTQKIVKKKYLKIVKTDVDPKSLLCVFFKQGLCGKGAKCKFSHDLAVAQKTAKKNLYADSREVEKDETNENWDQDKLAEVVSKKNKGGHVIDIVCKYFLEAVENNKYGWFWECPNGGDKCQYRHCLPEGYVLKKDRKAMEAQKEDEISIEELVEKERAALSSKDLTKLTLQTFVAWKKKKLRERKEKEEADLKAKRDKIKSGKHNGMSGRDLFLFDANLINNDDDEAGDIEMEKEEVDENEKVFEIDANFFKFDGMDDELTNQMSNSSAAVTSTAGAMAKMDINEDLFDIDEDVGELDSDED >CRE20409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:223308:226059:1 gene:WBGene00065442 transcript:CRE20409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-173 description:CRE-TAG-173 protein [Source:UniProtKB/TrEMBL;Acc:E3MT67] MSHRAVSLLSKCSSTLTNAQIRGKAHFTFQPSTTLPAGLQGQETSKMNLMQSVNEAMRIAMETDDSAVLFGEDVAFGGVFRCSLDLQKKFGKDRVFNTPLCEQGIAGFGIGVAAAGATAIAEIQFGDYIFPAYDQLVNEAAKFRYRSGNQFDCGKLTVRTTWGAVGHGALYHSQSPEANFTHTPGLKLVVPRGPIQAKGLLLSCIRDPNPCIFFEPKILYRLASEDVPIGDYTIPLGQAETVRQGKDLTLVAWGTQVHVALEAAQLAKEKLNADVEVIDLQTIQPWDEDHVVESVQKTGRLIVTHEAPISSGFGAEIASTVQKRCFLNLESPIERVAGFDTPFPHVHEPFYLPTIHRVFDAIKKSVNY >CRE20410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:226569:232487:1 gene:WBGene00065443 transcript:CRE20410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20410 MNHYVAACLLILISLGSILPGFPILMILQNYFPELPVFYTIDSLVMYFVQASIIMFLGFLSMCLYSDIACPLAIKGYVFIFSRIYILLEDLESWFSNGFVTPEDSIRIFEKSGVSVNYTISSLIKLYGSRHPFRRIPDLTSSDSEDVPGPSEKSGKMDGAFGLKKFESKVEEDKSQFVYLDFPLFNVDNEKGDERITGKAVIDEMEKLKKLFPIKRWTQQYSQYFFFLDRRKHGDKEKLTICRLCNYTPFSAPLFFLHFFNDSHIKQLSEHQLSRESFDFWIRHFEECGKCEIQKSDVLSVPTATVSPITAAPSLPRKEEKPVVQKISEVKKVPETPKAVVKPETNNPRVPLLDRLATAVRCDQKVFVKSFRQIAESLTHSGREAAKNKSVDWKCEYCGLIKLTTELEAFNHIISQKHKEKMKFTAPANDFKFWFDWVGKMNAENAPQPTPVVQQPPEVKKVPEISKPPAPTISEVPANSPRVPMLDWMPANETSVSKDQFNKILDHCAEVFRKDRKRIEAGKMLVLYSDCSICSSRTRKVRPSNMQDALLHIVKDEHRKNMKYQSCLSDLLYWKNWVETFEAPPKPVKQKPPSPPPPAPRVDRMTFQYSRKPITKEEKREPFRRPANSPRIPLLDVPQNQASMMPQREFETRYHTIRMELENRTSCLETDKSILCFCFHCHGVRQMTSVYEVMQHVFDGIHDKRIRFNANRSDFDYYDNLIKKMPLRSTNPLPTTKDPEVPFKKSPAVSVVKPVPKVEATPSERPQVKTLNKSNDPRIPLLDVPQNQSMLVSQTKFKERHKAIILQMKSRNNCPELNQKVTCVCHHCPGAVQLTTMIEVLRHVFSNGDHAKNIRFSAIQSDFDYYDNLLKKCLLISTTTPAKTSEQVPAAVKRSPVVSVVNPVRKPDTFSSNQLVPETLPTTTRTDCSLPLFRKIDLHLDESICHGPTNTQIDLLRRWKFKDNATDLCYVPNNLYCGFCNANMIGWTILEVANHAFSVSHIYAMLKSCNCLHSSEFDWWITHLSKMSYLTHPSLSELPMKCHLGGLQTSYKGDRYTGGLVLCEICESNYIFVEFHYFTPGELQIIDNVDKLKIQLESRYILNMFGGCAYCEQWFLTGMESVQHFTSWEHFSKIREKHPVNKHPVNMLLRRLELLQKDQEATKTR >CRE20434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:241955:250098:-1 gene:WBGene00065444 transcript:CRE20434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20434 MPTGDTNLKFNDGYILLKDLEVWYSKGFIASEDEIRFFKKDGKDASDVYTIASLIQQNGKEFPFRNQPISSPSNASDVQNPTDRINRTDVIYVRHRGPWIASDQGLPDSIRSAEMNNRNRTSSPSRHSQNIRSYTQPNYTLEPPPGIPCPTPLAQNKPLVARPGGFEGSKSTSISDINQTVGGYDIKPPPGLPIPFNPYGFGSSSAQNDPVASHLSQSNYPQATVGGQIRAFNIEPPPGLFLKRASDNQQFDSAQNFQDTEESESQSQNHLPEMPIPEVDNNTDMELPPGLLIPRPDDVIQVVQYESAVTETPTSDKKLPTASNSEENQTGHFDIETPPELFSSSEINQEQSSDSASVPTKGSLDDGSEESTPEISALSAMSSPSNAPGRSHLLNSTGRLNRTDVIYVTDRGPWIASDQGLPDSIRSAEMNNRNRTSSPPRHSPNYHLYSPPDYSLEPNEPISEAEVDDRLEESTPESNSEVDQTGNFDIETQRGLSKSSHIDQKQSTDSDPVPTTTSREDGSEELTSETFALSEDQVCVVSAQNEETTLDCSSEDVHSHASESSPMMQDKPENSENSEADKPSETKPESTEMPLILFNSITGNSDFIYHDCPLFHNKNEPKEDRITGQEIIEEMEKLKSHINMDSWCKEYSTYFFFLSEIVSQIGMRDRTTICRFCRISNFNGLSLVEHLFSEKHIEHLSKHQISKTSFSFWSTHFEMCKRTTAHRKLRKDKIPLFESFEYSEKERLEVSEVNEIVELFSRIKDVEFINHQCFVAILFGRRGAPKFCHTCRVPMPTLIYDYASHIFSKSHLTCLRGVSQADIDFWIEVLKLPIIETTDEITINKPIFDVIPLWMSRRNYDLRRLEPAEIDLLVSLVRNLSSTFGNSYLANFFDLMYLPDECIACKERLGPRNLGPRSMCFIKHILSEKHLKTLTRIPEEHYNFWVNILNLEKSTKKVYSEDKIRVLTNRNTNPIPLFDFKLDSVLLPKEQRARKIDLLFKIYELIDEQVWSTDPLKYGNSGETIDSKEVTCFTCYARKEKFKTQQQLVSHLFSKRHVDYYLIPFGFSERAFLWWKRTLETLANIDSSQIELAMESSSAQSALPEEQETQDAELFREGSKSEDDITTDEEFRDNEEDEKKEIEPNDCRVPLLDKPLSDVEMVPQTSKNPLAQLSWVIRNKCTDEQQERGQKVLMSTCYCTFCDDALQPIGFGNKLFLFSHVASWKHWEKMNNTAPVEEIKYWEKWAYSIIEETLMSNYPTVPMLDKSDPNAETVPKEKFDETLDECKAILEESRSLRVLGRKDRVYWTCEYCNTVPKTVRLEEKVQVLYHIVSDEHREKMDHKATKSDLEFWKKWATDLKEKWKINEEKKLAAYLKCYGETVQKPKNDPRVPLLDYPNRGENLHIRVYKLRYNSMRELLTLVEPNEETQEAMDCVCYHCPGTPRMNTKWEVMHHVFDGVHDLNIKYMGSSSDFLEYKKIMRTLLDANPSLKSVPKLTLPTPPKRITLPYKLDMCKLPLFACNYFEAEGFVGAKSAGPTNIQIDFLARMKVKNETQSVKFFEKPTKCLLCPLDMSDYSIEEVVKHAFSPVHLNFFEKYSGCFYVEEFDWWMDKLKNASHIEPPAEDSVPQQEYHLGGLKAIDMLKILPIFESFTDEQTELIANIDEDKVKEHEVVLQKRFGGCVYCDKWLLVPLEIIRHYLSEQHFEKVVYKIVLLTHKFLFKVRVWHPVKNLDVEDILVYVEMCQKEKE >CRE20435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:251589:258206:-1 gene:WBGene00065445 transcript:CRE20435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20435 MGEEGYRGWIKLEIPCSTSERQMNSIIRCHTTKLEHALNSSNMRILTRGQVQAVEEQDCELYFESNYEVASDKFSHRLIIAIQNFLKDLKQERLMPFQLGNLVLHSSDFWSSELTCHLVDIPLNAFFFGNIQGGTFINHWEISFWEDTLRRNMNRARNRPPTDRDRAGFNQIKVEFEFDKVDFMTVHFKQKETDYISEDRQTVNIYYQITVRRTSIRRVIVDPVVSDLNGHNRIRIHFELNCPVLIRRAYRTDRQEAEFKHVIPHYRRHLVINRGRKADQYPTAKAITDSPVFTIEFDNSVDVSFGNAFCCELAHFLGDLVRVRLKSYSRSIENLIMFIRKVLYSKIAKSERNVIIMLCIFQLPVVYRLISRLRVRTGVSIEFADISSVDCLIWRENPYHRWTFQNNQHMSPTHFSSPIYRDFIATAFPRKHEVCGSREIDTNRERKFAITYLLECLISRGAVVKDQILLEDVVWHRFLEVILHYYTKDDKLCEAGLEDLVHMIDGRKRIGSLIKCFDRICQTRQKNSLVNGLTTEEMREGYQRVRKIVFTPTRVIYVAPETLMGNRVLRRYDHDGTRVLRITFRDDDNQKMRTNKTSMLLDKTVHTYLRDGITVAGRDFGYLGSSNSQMRDNGAYFMEKYSSSQCREYQSRTGVKPPIDFNPKIQAARKNLGRFETIDNIPKMMARLGQCFTQSRLSGVNLERCTYMTTYDLTGGKNVKRDEYTFSDGVGMMSHHFAQMVSHVMDFGKGVPSCFQFRFRGMKGVIAVEPLLDNIRQWFLENGIPEASEETSWNLNCVFRPSQIKFISKRHPRDQVEIVKYSSPVPVALNKPFINILDQVSEMQSLECHRRVTNRIEELLDRQMLSFAQQMVDETFCRNRLKELPRRIDIDYLRTSWGFTLSSEPFFRSLIKASIKFSITRQLRKEQIPIPSELGRSMLGVVDETGRLQYGQIFVQYTKNLALKLPPKNAAREVLTGTVLLTKNPCIVAGDVRVFEAVDIPELHHMCDVVVFPQHGPRPHPDEMAGSDLDGDEYSIIWDQQLLLDKNEIPFDFTSEKQKTEFKEEDIDALMREFYVKYLKLDSVGTISNSHLHNSDQYGLNSRVCMDLAKKNCQAVDFTKSGQPPDELEKKWRRDEETGEMIPPERAERVPDYHMGNDHAPMYVSPRLCGKLFREFKAIDDVLKISEERDEQVEITIDETMMIDGYKEYMHSAREDLSRYNAQLRSMMENYGIKTEGEVFSGCIVDMRNRISDKDQDDMSFYNTNQMIETKVTNLFKKYREHFFEEFEGGWQANTEVTSRHGSETNILQRQCRAPSNRMMRKAVAWYRACYEEARITRENKKLSFAWLMFDVLGMVKQIRSLTSETIMLGSSNPLYTMMDSHRKQYLIDNSAKFSEFREFTAHPEDGHQVERALEIVWMYAEMNPGLDEVLFLLNEWARASKLFDNHPIRSYHFSLLFILFATRQFSSVDGNAAAFFNKIDERQWRMGEEHQMEQREPFSEKEKSNMTVKFLEFLASRKFRKMANLSFRSLDFSSIFMRGEWQIFHVAALKTYYNVLFNLRFEELPVTTDPSMTVRSIIRENEPFVIELPADANRELVHRKLVQHTGVEEIFMRTMEKSIRAHDDQQKTNIRYLVSTRGTLEAMYKLRQLVAVKVPIKTYVTGQEISIQMARLCYEKIIKGHINT >CRE20436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:258913:260185:-1 gene:WBGene00065448 transcript:CRE20436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20436 MKKNQFRPECLLFLLPIFSSISFLSFPFPCTTCFFSFSLFSPIYFQFLPKVHTHTFSYHLVSRAPLFSILGSLITSWYYTLTILLVSFNYTNSISFPEKKMTLSFRVNPEYAAMSEIVPGLFICGVSALSKEEMKKHKITHIINATTEVPNLRSLGDIQRTKLWLEDTAQTYIYPHLELQSDQIQALIADGGKVLVHCVAGVSRSASICLAFLLKYRCRNLREAYHLMKSKRVMVRPNLGFWRQLIAYEQNVKENAGSVRLVRDEAQPEQLLPDVYLNIAIPARPASPEQDPNMIPDEPRERRNSGFKNKFQPVLEPVMEMAEAVC >CRE20437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:270946:272753:-1 gene:WBGene00065449 transcript:CRE20437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-del-3 description:CRE-DEL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MT73] MLIRRLFGGLFFLFLLCSCIALFVLHSLYFIFRTASQTEKTETKTVHDDQLFIPALIICNRMPFSQDGLNNVNVNLRQDSALRYLLEWTNPSLREAADYTAPAADFMNQGQNTVLQYITQSTRNQTIQNMQYQCQSVINSCSYQGIQLSSFDCCRNLLSLIPSTNGLCWVWRDSTMWQNSTGINRQFSITFQMTRNSWFSTYVPTHPGVDVYLREDGSDVMRMATELENPIRLMDKRGVRLQMRKTKKADIRRTSCGYALGDARRSDEHAFKHNDTNYLMCSMMLVMRYCTCHPLMAELLHYDPAAYRDFLLRVSQTNVCSVDAYDNCARRYIDLTRVENWDEDIPKDLPGYDDAKKCRNDNHRSCLITSYPGTIEGYDLPEEYRTTQDYVSRLVLEYSTMRTTEILVSKDPNIYELLSFIGYNMALWFTVGHILWSMFWYATGLCCPKRHSNRIVPEIRRKRSVSSPEPIVVEHRPSQSEEPGAGDT >CRE20411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:278214:279331:1 gene:WBGene00065450 transcript:CRE20411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20411 MFRAIFDAFNFTSTTTEDSEDVPSDTQTQNENIDEDGWVNFTHPEDGDDTFAIVENPEIMEMDEMTMDDEIETGTTLGRPELEAIKRREKLNLAKAKTAFRNHLESVMFADPKSGKDVRTTKTTHGSTHSGVSNSSNSIRRLNTQSQRSKKSSGKSNDRKTHRVSDLQLTEA >CRE20413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:284777:291512:1 gene:WBGene00065451 transcript:CRE20413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20413 MTANDCLFKYKDGYILLEDLESWYSHGFVTAQDRIQIFQGTVSVNYKISGLMKLYGNRFPFRRIPEPLDACSSSGDMQEENDKDAPPLTPFPSIQKSLPPKKLGGAFGAKHGANSVSAPTEVTQRNPSFSSEAIDRPPRPPQNKIMEDSNWQYRDYPLYNVDDEPDDDQKITGIDVIDEMKKLKELAPLNDYPKGDFDYFVFLHRKNYRRTGLKPSVAICRLCNYTPFSGHLFLKHLFNEDHIQALSKYMISKSSFQFWEDHFDQYKQQASGRGYEPTETKSTFMKGKPTADFFPTIPLFSSDVSTDIPKLDPSIVSTLLSLAADWQWREDQTYLLKYYKSVTIPTFCDVCQEEVEWHKTRFTKHIISEKHLSGLTGISRKELNFWVNILTLEGKETKLRPTDRTRILEFRNPRPIPLIDFKLESPLLEERMRASRIKELETIFNKIDRKRANESVSGMLDASGNSLNQDVACFACDLPRNHFKTQLHLVDHLFTEKHLNYLRVIGFSEKAFLWWKKFLSVFPTVSIPSQQPTAAQDPPTKNISGNIPRVPLLDFPLDGAKKSTQQEFVLSIRTMVSSLKSSGKGIATNKIVNWKCAYCCSKKQPSIILRTELEAFQHIVSQKHLEKMKFVGALDDLNYWKKQVIDINSVINEKTVIPSSKLFPLQKILTDPISDVPRVPMLDRISPNAKFIPLNKELLLHLMKYRDKFEGKYLERAKAMKINWRCTFCREPGVATFFSYKLYAYYHILLPQHWKNMGSKTTKEDLAYWTDWVKAIFSPSYTMPQKIPATFKEPPKKVGAQLLLQNNPRAALIDDMPKGAVAVSKEKFNEMLNECQRKFKSGGKAMLIDRVSVVTHWNCSFCSTSTKKFSLSNQLDAFCHIVKESHREKMQYTACLSDLEYWKTWVDKLYAKHVVGSVPVQKPTEVKTNNPRIPLLDKPRNLDYSMTQSVYLTRYNAIQTKLRSLKSNKATDQKVDCTCYYCPGGPKMFTIYEVMLHVFDGRHFSYIHCLGLPSDFEYFDDLIKTMPSNVTPPVEVVHAQCMMSFANDATPKISRPSPRPAPCVTTTITTRPPVSSTKTLPISVVNPVCATTSVESAIRASTYPLFCLKPFNRTSKDSTCPGPTNRQIEFIRKTTVREYTPHMQMFATPTKCSVCNVVMSNWSLIQVATHAFSVDHLNQLKSSGSAFYTEDFEWWINKLNQTTFLRVPYTPSQLTNCYLGGLKKVTRYSMQSLGDSIHLTETEQAWIANVAESKLENPNTMVGIMIKFGCCVHCNLWFLKPLDAIHHFLSDQHFAKVKGHCSYDQRQVNSILDIVKNNQKDSKKCSIM >CRE20414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:303536:303867:1 gene:WBGene00065452 transcript:CRE20414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20414 MKLLFVALFLVSILSCLARPGYKDIDYKLFDEESSDALAIDKVYEVVEFPKHHHHHHHKKASKTNRLDRLKRFSVLNDLL >CRE20438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:313852:319153:-1 gene:WBGene00065453 transcript:CRE20438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nsf-1 description:CRE-NSF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MT78] MSWFRKPASNDFSSHNDRPTAPPREVRAPLPSAPSPYIAVSEKMFRVRKAPSEEHTLANYAYVNRSDFDATHIKHVCVNTGPARHYIFSIKNDPTIKPGEIAFGVPHRRWAVLSLDQEIRVTPFSFPTSDYIGSIVLSADFNNKKNVTSEPLNADLMAREFSMQFSGQAFTKDMQMAFRFEDKEKNKTHTLSLVVKTIEQLDLMKAAAASNGEGAPADSSAKPKHIDAGQLMANSVIVFDKEEGSMLSLIGKSKGKSAYRSIINPNWNFAEMGIGGLDKEFSNIFRRAFASRVFPPEFIEQLGMKHVRGILLYGPPGTGKTLMARQIGKMLNAREPKIVNGPQILDKYVGESESNVRKLFADAEEEWRRCGANSGLHIIIFDEIDAICKQRGSMAGSSSVHDTVVNQLLSKMDGVEQLNNILVIGMTNRRDMIDEALLRPGRLEVQMEVSLPDEFGRLQILRIHTARMREYNKMDPKVDLEDLSKRTKNFSGAELEGLVRAAQSSAMNRLVKAGGKAQADPDAIEKLVINGGDFDYALENDVKPAFGRSDESLNRFLTRGMIVWGPEVTRIIDEGSLLADTVKNPENSGFRSAVLAGAPKTGKTSLAAQIAKSSDFPFVKVISPEDTVGFSESAKCMSLKKAFEDAKRSKLSVLLIDNLERLIDYHPVGPRYSNLVIQALLVLLNAHPPPGHRLLVLATSSDRSFLRDMGLMDVFGAVIDIPKLSTADQMMNVIQESNIYTDDQLPQIEHKLRQLVEGRKFGVGIKHLLELIESARQCEADYRVSTLLSMIENIALNLF >CRE20415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:320705:325318:1 gene:WBGene00065454 transcript:CRE20415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adr-1 description:CRE-ADR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MT79] MDPHLNYNFNYGDSYGSGADPTNDPTNHYGWASQWSQPASAASQATTGQYPQYVSPQQQQAQQQAQQTYAALNPLSTFMQQQQKPQFQQQKKYGQSGNGNAPKPVGAHRNTPHFGAFNSGSVGGGRGGPAAGSGSFLSQEWVQPMSSQNMMGVNPVLRTFPSKGGSFNQNNKPNWRQNKQQQKNSLGPKKFDTTGKTPAMVLHEVFKSVSEEFTEVENAVPKRYRCTLTVDGRQFQMESANKKAAKQKCAEIVVRELRPDLHVTPFEEGVTAKAVPVKPQNAGGAAGPVASGGNGTPNKRNADEMMNQPAQKKGSGNSAAAKKPKLSPVESALSLLDFLQKMIGESKETYTPVFEYSFEPPRSQEEEEPKAPEVKHEPMETEVVKAEPAEEVTENQPAVEAEQSDANQPATGKKPVAEKKVRKPDVQQKVTLKFVEQGKEYTKTGVNRAVVKDMVIREALQDLFGVSHKDITTVARRHATNRLGSDMNILQCLYTICSVLNCTVTVECEPAEDRPIGDGRMYFMGKCSIVDHSEGGQTFQTKSDSVQSKPLAKEHAASEMLRNYFEIDPNTCCKSENVNAQGPCAVLHAMLNKQTKQRTKIAYEFKENVPQVAGSSAQDFYCDCVIDENDRYTGSGRSKKIAKNAAAMMALKKKFNIDFDPNACYPLALSNRAIAESKVSPFCKTIAEFFKREYHKMCNEYSITPSTQTACFIIYNHLEAKRLLAIGSSPKFVVEPDTLNGANGTSILHLDPIVLARRALCRNFLYELAAMTEGSESAIFERREDGRFAMRSNMKLALYCNYSPNCFHSTDDAAVKSLAILTPMSLAASPPELLSLDEIRATKTLRIQCTADKILKWNTLGVQGALLSNIMEPVFITDIFFGSSYSTNDESLKFALYNRLGPQENDRDIAVESIPVDIRCQQSVAHVWARGFMDIEALDMNTGRTQKGSPSKVCKAAIFEAYRQLPIPDKSIVDYSKAKEHASSYQYEKKVLYQKLEAAGWGKWQTKPTELADQFTLAADEF >CRE20439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:325362:334246:-1 gene:WBGene00065455 transcript:CRE20439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20439 MSSEQCLFKYKDGYILLEDLESWYGQGFITPEDRIQIFEGSTSVNYKISALVELYGKRSPFRRIPKRMEHDPLAEYSSSEDEQDDQNYPNDYDSTHIEGSSTPLPAPQDPSPPKKMGGAFGAKRIACQEQSPINKEIPVVLIVEADRPSMPVQTRSMENSKFIFRDYALYNVDIENEGDKITGHEVITEMRRLKSMIDLSKWTISKICFFKFLHRKNNRNNRKPSVPICRLCNYTPFSGLLFLSHMFREDHIQMLSQHMVPKESFQYWESLFEKCQKQCVLDEPFDFNEEKKEEEILDQEKIGPCKTVSLYTTIPLFSSNTSTDLPKLDSSTISILLYLTSQWQWREENSFLAKLYKSVNIPQYCKVCEEDLEWHETRFTRHIMSENHLSGLTGISQKELNFWVNILTLEVVLKGFNNRHKTRILEYRNQRPIPLFDFKVETTILEENLRSTKMVELRTLFDSINKKLLVDPEPGIRSWPIHQGVACFACDLPKSFFKTELDLVLHLFTEKHLNYLLKFGFSEKAFLWWKKFFDNILSSPGGRDPTYSFVRRTSSLQMSDSPLQDFVAVPTVLPLAVPPAVPSPLQTAEQSITQEQNVFDSLPRIPLLSFPPKEALKANQQTFIMSMREIVSALTMYGKEVADRNFVNWKCAYCSSEEQRVILSSELEAFNHIASQKHLEKMKFTASIDDLQHWKEWAIATNPVIKIEAQAPDSKEASGPLPNVPRVPMLDKVQPGVECVTEKRQFYGYLMKYKGKFQYCDAKVLEKGRAMQVNWKCTFCTIRHSTLHIQFSDMMSAFLHIVTSKHWRKMGCKASIKDLNYWVNWAVSVLKRNETISTVSLNKSLNESFNTTGKEESNVACPGKNSPRVAMIDEMPENEDVVSSNLTDNAIVECVTENRMFYGCLPSIEDQNYWKNWKESVLKRNETTTTASSNNPLNKTEKEESNVACPGKNSPRVAMIDEMPENEHAVSKETFNRILDEARKKFKKGGKQKNRAVSRNKKNYATHWVCSFCCTPSKTVTLGNEMDAFLHIAKGVHRENMQYTACLSDLLYWKTWVDELHVKLEKETPLPMKLDPPRVPLLDKPLDTDSKLSESDFLTRYNAIRLRIPHLKSCIVTEQKVNYTCKHCPGTNEISTIHELIEHVFDGRHHSYIQFSATLSDFTFYENLIEIANPNVSEAQGKECLIAQKPVAPPSTVPSIGTVKTTPISVVNPVRTTTVIPDCELPLFFSLPSTRKSSDSTCPGPTNRQIEFVSKTQLREFIPHIPFFTEPTRCGLCDVNMSQWPLLHVTSHVFSVGHLKKFKSNGVIFYQEDFEWWITKLNSATVLRVPSSPSQLTNYYLGGLKQISTLATAQDFSCLTSAEIELFANIDKTKLTVPKTTASIMIKFGCCVYCNIWFLRPTDAIHHFISDYHFANVKKHHSVKRTEVDEILEIVKTCQKDSLKCIIM >CRE20440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:334764:335642:-1 gene:WBGene00065456 transcript:CRE20440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20440 MEKQITADATFKYKDGYILPEDLESWFTHGFIHPEDEVHIFEKDKSCVIFTVQQLLQQLKNSSSRNNASNFQQIPLLPDCYEDQFSEDVTTDEHELDKMLSELYSLVKSIDKSFMNNESKFQSVFNKTCFGCSSPKSQVILTTKLDVFQHIFSEDHLDFLSGFGFSKADFDQWKITLEELKKGNQEIFDLFMLQITGAPPPMTP >CRE20441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:336307:343373:-1 gene:WBGene00065457 transcript:CRE20441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rrf-1 description:CRE-RRF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MT82] MSVSQLRHGYLKFEFPESMKTQKDMEEIIETIIEQFEPSLEDSNIRILKRHPTQIVEEQDCDCFFEVNFEVVSEQFDFKLIDAMNEFMKKLTPVAAYKRPTIVAHSSDFWISDLRLSAKDIPLNAIHFGNIQGNRFLNHYEVSFWNETKRFKNGPAGDPLYKIFANFEFDKNDILMVQFQCTQMEEDRTTSGSDSSDKENKNKCNKNENKNQKKKIERTVNYQVTVRRDSIRRIIVDPYAKDDYGERVRIHFDVNCPPLIRKAILDKKMYNQNPHYVPFYKRWKTIKHVWEDHGYAEEAAISDSPVFSLDFKHPQFAEIYSVLARLRARTKVSIEFASLPSVNVPICRREMYHRWTISGYGIIRSSTDPTVPIFRDFCAEVSIYHFPKPNTINIFQIFPVKYQIVDNREIDINEERKFAITYLIECLISRGAVVKDQILLDEGQWSNFLEIIIHYYKIDDKLCEAALEDLIHMVDGRKRIGSILKCFDRICQKRQQMNLVNGLTENEIRDGFQRVRKIVFTPTRVIYIAPETIMGNRVLRRFDKDGTRVIRVTFRDDSNGRLRASTTGEELIDKTAMKFFSEGVKVANRDYGFLGCSNSQMRDNGAYFMEKSTFGQRQRLLKNNPNANLIGFQPKILEVRRHLGRFETIENVPKMMARLGQCFTQSRLTGVELERSDYCTTFDYEGAKTKPIVGKCYTYSDGVGIMSYGFAQRLARSMNFGISVPSCLQIRFRGMKGVIAIDPFYDEVSEWAQANGIQSYDVPNLDLKCQFRPSQIKFPAKSIPGDQIEMVKFSSPVLVALNKPFINILDQVSEMQSIECHRRVTGRIEELMDRQIVSFAKQMNDELYCRNKLKEFPRRIDIDFLKRMWGFTLCNEPFFRSLIKASIKFSITKQLRKEQIQIPKELGRSMLGIVDETGRLQYGQIFVQYTRNSNEKLPPRSNMQHMKVQGSQVVTGTVLLTKNPCIVTGDVRIFEAVDIPELHHLCDVVVFPQHGPRPHPDEMAGSDLDGDEYSVIWDQQLLLDKNEAPFDFTVEKKEMPYDREMIDQLMHEFYVKYLKLDSVGTISNNHLHNSDQYGLNSRVCMDLAKKNCQAVDFTKSGEPPAPLTKKWARDPETGEAVPPELAERVPDYHVGNDNAPVYVTPRLCGRLFREFQAIDDVIRISEEREEQYEIEIDESIKMEGYENYLTCAEKELANYNGQLRSIMETYGIQSEGEIMSGCILEMRNRISDKDQDDMSFFNTNQMIETRMTSLVCEFRKRFFEEFGGYLAVCTQLPNTFDANNSLTYRCEMPSELMMQKATAWYRACYKFAQKSRETRKLSFGWIAYDVLAKVKEHHLLKSEEIHINSSNPMLKFLEQHRKQYLIDNHEEFEAFRSMDQFVQDENSGKAIRIVTMYTTRLPGLDTVLFMLMKWGESLKLFEDQPFKRHHFFLLFILFLTRQLKSADGFTKESFFEKIDVNSEESDDSEEEDEQNVLTEERKSHLMIKFLEYLASRNFRKLPNLSFRSLDFSAIFMRGEWQPFHAAAIKTYYNILFTLRFEELPISTDPEITFQSMIRECDPYVIELPGKKIQDPTIRTKLHFSDLPDRLEDITAMMATNSGCDTVSMRRQSNKGKKEDKDDMTDGRNRYLVTARGTLENLQRLKKLVSVTIPLKSHLGGADVAKQMAYLCFRQIMVGFE >CRE20416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:345108:346390:1 gene:WBGene00065458 transcript:CRE20416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20416 MVSSKAIYKHNDGYILLDDLESWYARGLVESDDKIRIFEIGRNGSCETYTVDSLINTYGVEFPFRRVPRSFSSVENKENSTPETSSASSQNSTLPNDKKTEQRIQVFHRPARTIPVSHKSSIRNRVTYPNQSEIVRRTSDVEKTSDTEAEQFEPKLCVPNKSKKGDMITGDDVVDEMKQLKSALWKQRLDRLAKSIPVAQKSSIWNRVTYPNQLDRIKQTSDTESEQSEPKFPLFYVPKKKSKKGDMITGDDVVDEMKKLKTAFPMKDWKASDDEHFDFLKQTDTKKIRCHICNIFPACPSHAIHHLFRKQHIREMANYEMPRNAFEFWTQHFDKCNQKKH >CRE20442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:346555:348629:-1 gene:WBGene00065459 transcript:CRE20442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20442 MQIRRVRGVMVSMDAFQAFDGESSKKTSQQLFKMMGVNVTGEIGDMLTMCTPENDMVMTYTPMAKKNVIVTGFGPFRGFEEENPSSVIIDELKATGIDGVNLELHKITVAYEDVSKRVPELWNEHNPDLVIHLGAHPVPKTIKYEQQAFADGYCSNDVNGCTPEDNRTTCASQDQILKSCINCDELVRNVTKICGLDGEAKYGGLCVKKSEDPGRYLCGFSYFLSLHQDCSKSLFIHVPAFEGECTKEAVADVIRETIKSILGIF >CRE20417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:349853:352334:1 gene:WBGene00065460 transcript:CRE20417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyf-5 description:CRE-DYF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MT85] MSSAVKLADRYLMTKRLGDGTFGEVMLAKKIDTGDRVAIKRMKKKFYSWEEAMSLREVKSLKKLNHPNIIKLREVIRENDILYFVFEFMQENLYELMKDRDRYFPESVIRNIIYQVLQGLSFMHKNGFFHRDMKPENIMCNGTELVKIADFGLAREIRSKPPYTDYVSTRWYRAPEILLRSTSYNSPIDIWALGCIMAELYMLRPLFPGTSEMDQLFKIISILGTPNKDEWTEGYQLASAMNFRFQQVVATPMEQVVNTISKEGMKLMMDMMLWNPEKRPNANQSLRYKYFQVAEKLGAPVVSQPAPGSIRKTSAASVKSDTKAMTAKAAKKEYVGSENVSPQQPTKIIERHANRNLPLKDTLFEKSDTKPLAPAKTNEAKPTAKEIYLSKSKYVPGQVSKDTSAHQNQIMTNNGLTGTTKTTSFSAKKEGRTAVQTRFEYAYGSSFCSLF >CRE20443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:353904:382734:-1 gene:WBGene00065461 transcript:CRE20443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppfr-1 description:CRE-PPFR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MT86] MEKRNIAFSGIQHGHSFIDDNDDEPPPLMRNSDSRPAPHWLDGPRDRAVLPDIIASTYSDYSDEADYAMKQMLAILETLNPVEDNNMELFGNSLKAIEFRLPFDLILNEDNNPDWQAIRQKLLTDSMKLTTSSESSDRPGLSNVQMSAVFDAIPFLFDAVACNHELKNFQNTLSMIVLIAMTGNSMIRRQSINAIQALMERSMLSQDFMRDGIVPGLFHIYQSGVGAFQSSDLRMECVQALCQVISYDTIHDRRWIFDNFLPRFSQMLKDPTMHVRKSALHIFGNLGNMFGQKFTEVFLVPHLITLSCDMTWAVRKVACEIFVKVAECASSDVRATTLSPHFVRLLNDPNKWVSFIAYQQLGPFISLFANPDITGIDYKNGQITVREISTISDETEISPPDVLSATFSSDSTNSDEYGVLPENTWLPEADYNDEYEYQLAMNETTVQKETMATTPAAKTTPKRGERVMDSVISGINKMFGPFERNAPKTKDVEKIAATFSSPSKRSPLSLHSAVSLMDKWSKRSNPNNPQRFPVFDSSSSSSPNSSSEPSSPNKPDPNMMSAEQDKKENGGGGSGIAAKCNSTDDLTKLGLEDDVSSSGAHAADADAAAADGATSSSDLPRTASPRGRRIATNAWSKLDIRQKLFLRRLGALPPTSVTSKKKVLSPRLSPTRSSPKSLSARKLAAPSKAPTIFDFAPPRQISISPVSSVEQSDMDIDEEGEDQLNTIERKQYMENSHSKFRRRFDDDDDNDSDSDDDFDKSFVANEDDEYADDNPLTIECPVNTESVSAADSTKQKEMVSNVFSLTYWSSNYAISAIEDELKPFGSSSSFTNSPTSNSYLESRFDVMKSQSLSPRPRSQSFGVNGSPIALSPRRKANSTCESSSPPTTALLDVSKSDDSVVMLNTEEKEQLGILDIDEMNMSISSNSSIHGEAAMTEDSDISLTSLEQAAFQHVPSDLVDSYMKVMGPIGHNSNNGSGPAGMDPSLCAEIYRHCAFNFPAICYTLGRAAWPRLKLVFRKLAMDEQARVRQSISYSIHAVANMLGQEITDEDLLPVFYDLRNDQNQDVRNGILLHLYEFVKCLSTEKRDEMILSLPQFFPIGAQPGNQTHNGDWRSRFELISQLSKLCSLFSIQDVNLHMSGIALTLADDRVADVRCEAVKLVSTIVGALVAAEWEEIKVNRNIDSGHMDVKSKKEKTQSFLSEQFVDDIVSSFAKTQKWTRRQTFCFICAQILREKQCDGIQFRFFFSVPLQQLVTDKVPNVRLASCEAMSVWRKTLIAARSRQSPVSSPSAEFTASIRRDINLVSDMMVKLSNDSDVDSAYLAKICQGLTDDQQPVDVEARTTRMREREEAYFGDVILTDAAGNTVRMVGSVVKQLIKRGPIITQEVINVPVETAKEAPLQSMEEFDDEILNQAENISTPPEMSVVVERITPASEENDRMEDDRNEVDMEIDEENDEQQVTAVAVVEVLENNRAETEEKKTEEVDVPMDPAPSAPESPKSPNDVPSPSSSFSSSPSTQSPTESEA >CRE20444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:384557:386717:-1 gene:WBGene00065463 transcript:CRE20444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rtcb-1 description:tRNA-splicing ligase RtcB homolog [Source:UniProtKB/TrEMBL;Acc:E3MT87] MPRTFEEECGYINRLTDCKFQIVKGFVPNMNVEGRFYVNNRLEQLMFDELKFSCDGQGIGGFLPAVRQIANVASLPGIVGHSIGLPDIHSGYGFSIGNIAAFDVSNPESVISPGGVGFDINCGVRLLRTNLMEEDVKPVKEQLTQSLFDHIPVGVGSRGAIPMMASDLVECLEMGMDWTLREGYSWAEDKEHCEEYGRMLQADASKVSMRAKKRGLPQLGTLGAGNHYAEVQVVDEIYDKHAASTMGIDQEGQVVVMLHCGSRGLGHQVATDSLVEMEKAMARDGIMVNDKQLACARINSTEGKNYFAGMAAAANFAWVNRSCITFCVRNAFQKTFGMSADDMDMQVIYDVSHNVAKMEEHMVDGRPKKLCVHRKGATRAFPAHHPLIPVDYQLIGQPVLIGGSMGTCSYVLTGTEQGMVETFGTTCHGAGRALSRAKSRRTITWDSVIDDLKKKEISIRIASPKLIMEEAPESYKNVTDVVDTCDAAGISKKAVKLRPIAVIKG >CRE20445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:387677:397292:-1 gene:WBGene00065464 transcript:CRE20445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20445 MFFFLLPIHSYILLIPVPSTPLLLFFIVFLTSFFAKHSFPIDFRSLQMAFAGLADLRLFDNVSQYINALAGEFSPDTTHLHDHNCKCSIVDRLWCDYFGMGTCISREARARRKERKRNNKRLREARNASSPRDHHNISNGGRYGHRSRSEGGNGDVRDDIKELIIETLEVIRTLVNNEQEPPQSLLKLNFIADEEKGWMLVVKALIYTIPENDPLGPAVISLFLDECPLPSKESVQTLLNSLGLSDDFVESKHLPPSWHKNMCIVLGSLAEKMAGTAAVTIFNNNIRGYLMKMISYGLTYFPPAYGKSLKEKNTHSVRMFALLALEKFAQTRENQITISKMFSDNSGSHPLLKMEEYLKNEDVVRYWNAKQEGFCAQWALDNIFILPNRPYSYETCETSQINAMLNHEDVSEYLKIGPDGLEARCDVSSFESVRCTFEVMDGVWYYEATVLTSGVMQIGLATKRSRFLNHEGYGIGDDASSVAYDGCRQLVWYNAKSQKHEHDNWQPGDVIGVLLNIPSGEVVFFLNGIPLREPNTEFLSNRQPAEGVFAAASFMSFQQCRFNFGASRFKFNPGRTFRHFNEFGRLTTAQRTIIPRRVRLEQLEKEHIPDDYCTICFAAPASTQLEPCNHDGFCSDCCNMMDHCPLCRTPIQDRIQKFNNNTKFDTVKSPSHSSISAFQRVNSQRRSIRATSAITNSSSGGDTVWMNKFSGRSNLSNIFRQSAQLRRKIPTQHRPLLLPGGILKFNMFSCNIPASCCSICPLTVIYIPLFNHFLELSHPSPVYIL >CRE20446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:401515:404990:-1 gene:WBGene00065465 transcript:CRE20446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20446 MTSILRKVEWVRVAGKWTKMSVMGSDISDEMLNIPSKNQGENRVVILMIPGNPGNEGFYAHFGREVLQNLMKRDEEIEQTKNRYLFYTVSSLNHVRMPDHLNSDGEHRNHDRISLEEQVSHKLSFVKEHLPRGKQLYILGHSIGSYMMLRILPDVIKEGFHVEKAVGLFPTIVHMATSPNGQKLQRTLATLNHHDWLTKSICWWVDYLPAAIKKFLVGLNLRHPNTPPEIVDAAVELVHMDVFRNIVHMSNDELDIVLDLDERLLKNQEVVHFYYGLKDGWCPVEHGHSMIERLGEAQVTLDEHDCEHAFVISEGDVMAKNIVKYFD >CRE20447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:405692:406809:-1 gene:WBGene00065466 transcript:CRE20447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncbp-2 description:CRE-NCBP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MT90] MVFDPRTLDQPKDISAYRDQRYQGSVRDQEVALRTSCTLYVGNLSYYTKEDQVYELFGRAGDVRRVIMGLDRFKKTPCGFCFVEYYTREDAELALQNISNTRMDDRVIRADWDAGFVEGRQYGRGKHGGQVRDEYRKDYDPERGGYNRAIAQKSKNYWENRRRRHASVLGQISIQSRQSHCFYYIFSKL >CRE21896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:974:2932:-1 gene:WBGene00065467 transcript:CRE21896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21896 MLGKLSRILPSIQRACKNLPFRNKNSKKGKKSFPLLSLPSLILEKVFNQTEAIDLLNLTACSKNAGRAVKITSKRNSAKTCLLHLRYPRPSITISFYGFRKNLVWMFDKEEKLTKKHVQEEKTLSGYLFNTYREKKKTRHVMCRNENVREGFIKILDHFLQVFTVPIQIVVEPNLGDLMTLFNHPLLLRCSELELTRGYKQYHFGREELNAICKKIHVKDRFRVDVDTGSTNLRQIFFVENFSICNANWMCSHDLLNLDCRTAKFKRHAFKIDEIEDYALKWLSKPHKPKLERMEFAWDPLEEVWFDKLKTMEWDKTRRSKCCMKSELLTSGTYDCSAAFDFIRKDGLLASIGVVDDVGGSILLFHVWHDRFPTKTQQDLLQKKLADLYTELPEINELYGVGSVAEQALQNPKLSIERFQELLNETYTHRIALFNSSPELEYWYGFHNQILNVMKDIRFLV >CRE21897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:3743:5080:-1 gene:WBGene00065468 transcript:CRE21897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21897 MPGILGVPLIPLLLICRKWDTQTISEFATASRSSKKKVLESILPFFVASHNWKVEANRVTLKLTLEYDGDMNDQVPDEEDWNGEDGNCVFIFDDNEYNKIKRKFEGSCDSRIRSYGSFLQDGGSYYHVFDWDVIFIQAVFLMNTFEGTHELHILTNHVSEFVQIFQQREEPGHCEILEIGYEGMKENDFDFVLQQFETVKMLILDEYNGKINSHQTFNYNQIFFNNCSNIHMADLQYLQTPNIDLFNPTSLQSIDIVAFIHGWLISTDMGFERFRVEYVGMPNQLNLQQILSCIYYLPYNPYSRMVQQVHLDVRRVHHYAFLFNCTDSVDIYRADGTVASLISHKDSVYFVVWHQTEQGRNQVQHPHVEGLSSQFQSMLHI >CRE21898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:6066:7600:-1 gene:WBGene00065469 transcript:CRE21898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21898 MEEMQNEQPQNPLTKDEKKALHTLVEMCETMKKTVKSYQNTADLIIERVLEFATYDPPEEHIADLTLIEESLEPKLQILEKAAKCLKGLQTLIQPERLHENMYIADLAQDDVTCYLAKTSKLHIPSSPDYSFITTHIQFKEMYGLFKKSLKNAGVTTKVLYENASGLVIEFKIMVTQPSEFGMDACLLKFLVVEKYGVVEWINFIGPEEEWDIENNYNVKKVIKTSNFYTNYIFFKVVPFKASRYEVYKRMTANAITHLDGFTPIWTQDRFNELFSFLFKYGDVFKQKCRICGRILSALMVPTFDRNEETPRHESCPKAY >CRE21841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:9977:11184:1 gene:WBGene00065470 transcript:CRE21841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21841 MANAEGVADIEQEMEGEEVNRDEPAPQPAPQPGGACNLLVKPIFGYINRWTEWDSRQEGKVTLPPRASVLVYVGHCAELPAPKYEFTVKEYIEGIPQKNVWRYNVPLHVELELEKKRNNAAWGYEVSIKVVIKTVRKLINTNIQIDVIVNSMFYQTYLSNDNDHSLYRRIFCIHYCNAISAKYDMVLNAEEALYCTYIGSERTIATMNRKAKPILIRKKFDPPLKEKEIQKIVKNNKTKVQKNRPEMQALGIKEFGKVTITRFERNLKISFPVEYEGMDFNGLFSLNIKVNRNMVSLVSDDGAEVFSVTFQFRFDEKGNRMVYDKENNIITLSVMIKI >CRE21899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:12099:13961:-1 gene:WBGene00065471 transcript:CRE21899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-5 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3MU80] MIGVLLPYVRKFQRSAESNDIVDRFSYQYTSTILGFSAIMMAASQYVGRPIQCWVPAQFTRTWEKYAETYCFIKGTYFLPGAFASEEEMSVTSPDGGVTASAQVGYYQWIPIILFVQAFLFYLPSIIWRTFNESCELKIKELAAVSEASRKIKSNMSDDQVKGRKFGRYFFKKLTFRNESPVFKETGKIVASGKFLPSLYLLVKILYLANIVLQFWILTYFLDTKSWLWGWQTFQDLVAGREWETTGIFPRVTMCDFSIMDLTTIHDHSIQCVIVINMLAEKVYVFFWFWLLFVGILTGCSLLYWTVMYMLQSVGRNFIYSYLQNTPSFEAEQEKGSQVPAHFVDNCLTADGVFISRLVQQNSGDLFTSIMLEEMFNLYRAREAEKAHKKNDDNALPPATAPLEIKDEEDDDIPLPPPTKAVAQLVSDDEDDDDDDDVDSPDTTATLPR >CRE21900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:17063:17718:-1 gene:WBGene00065472 transcript:CRE21900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21900 MAPALGATQFGLLLFIAVIFTTATNALPDKRQSLDRDEYEDVPDQPFPGKIEIMPIVQRDTKHRGVSEAVQEIIRELPNDEQYERIGKMLNNSYDALNKDVAEGKTAIRQKMVMAGERTNAAIKHAAVIIAMMGIPIIALI >CRE21901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:22142:23343:-1 gene:WBGene00065473 transcript:CRE21901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21901 MHNVHFISTPVYIFTLAALFRETSLVFQTYKYYIIIHIITNLTSEAYVTFMWLPMTYLPYDVYRTTGWLSQWGVSGIVQFYIVAQFIITIGVSILEMFYYRFKVVVIHHYDNYLLKLPSYGMNVYRFFATVHVVTITWSTFDGQTMIYQQNKKDALFKKVPDLVKEIGCHSVFILALEDPILCGNVVIYGILVTLGSIVGLSTVVFINQFLNKARNLSKETKKLQRMLIFSLLAQGAIHVGMIIFPVFVQIYQMMFIMYDNNFSTLLLFCVAYHGFFSTCAMVVFTKQLRQRVIRYVRCCAKPETVQIKSSHGITTLAETSIR >CRE21844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:33045:34851:1 gene:WBGene00065474 transcript:CRE21844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21844 MSDSNIPEDALLGNTPTAEMPLNISDDEEKRLLDEVHESPPISSPVIVISTDIVNREKNDTPNVNHSVIPQCSPVIPSELTTSKVESNGGEKPKDTILPTKTSHVDMDGPLSRPASGPIALVPGLFTSTSSISDMERVHNTADSELLKKILINQNFIMEEIREMKANFVHREDIPTFVSHTDFDQFVRNTTDLIDSPCSREEWLDLVKLLQPLSSLGGSAETVGGAISTLRELLLNQRRLSKVVSQLQKDVEMKNEIFSATMSKFDTTSNDIKVLYTSLRAYIAMKVSELEKESRLKSLVAQLDKVSRTQSELNSTLAPRLLNNDQDDRVYNETIGSLEGIERSVRQLEKEQHRDRENLRIENMRRQKALTCLFCSGDHFSHQCHKYQTPDSRAESFRIQNRCSKCAKIGCKGLMGECRLYDRLCHQCSQLPKEQRLHHQELCPRTGHKKLRAPESQNRVVPDLTAAPTAQSPAARESGAGTSAQRTKDDHSGVNEEPKELQRARKRSSTASSSHEQESGPAKKHRRRGRRGGNKKITAPQSGKMDGDNSTTD >CRE21846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:41569:49810:1 gene:WBGene00065475 transcript:CRE21846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21846 MSRTGPPAWIDPSSQPSEDGTPAPDRPRPLSTNFKKAQRHYRNAITRAATAGTKAARESRLIVEELRVNRDEQGLETIDHLRKEMIVAAAMIETDAHFHDVVNNFQAILSEKERRDLCNGVTAYLNAKARPVDLPPIKESILELQQVLTDHQFQFTEAVSPAETADISDSIARSPLEDEELLERDLLDSSISDADIESVILTPRNEALEVPHREQFHSASSSVRPPANDREAPASDQVDGGERTGIFPAVPSNIRSNVEHRGTHIIGSRLSRTAPVTAFPETMVSCPVCHEDHDILECNSPIRPAYCAKNELCVHCTSARHLTHQCPLQIAQASPISRTPKLEAQENGGRFMSAARPIGASTPLDRADSGFPSPPETEARENNRNISGTVSPPRVRKTTLVEEESDSECREPEKSRKMSSKSKDLSYYDVDTILTKFSADPMQYKRFMTMFEKQVMQNSRLTDDLRLALLEKKLVGEAKCYFINVGDARKAVEASLKALRAAFQDDSTGANEALARFQKLTFHETNYKQATRELLECNTLIMTLEDLGQDVVSPGFVRSLAQKLPRSAFKLVRELYANNAQPSTNDVIELYTDYLKAEAFYDKFCPATASERTKEIPDEAVLAAEGTFSNPTQSSKVSNQAANTRVTAPVKSNSNTANVNSNSAQSSKKNDKKKKSKTASQSSGPTQGNRVNQGYSAGAGMGYFGSQATVQQNNQTHQSHGNAPHTGVPAQNPQGSSSYGIQNGGKQASTPAQKEKKASIPISKGQPGETLEPCYKYGRGYDERFIAHTFPRDSPTGTKCCFICGPGHSILQCALSSYDVRQFFRQSNSCHNCAQRNHRTEECASYSTCAYCQESGQISKLPFAALRTTDGHRVLALVDSGASLSVLSHESAERFGLAILATKTLTISGYSRTTTEESNIYQMSFSTDGDPYSMLIAGAPRLPKTRFISPLLSSEDLGFLRDNKVNTNVISSDQKFNGQFIDMILGNDLLARLLGTSRRLLLPSERFVELTPFAPIVFPPPRSSLPPPESVRTLIEAFISEGFITALTTPPDSKDPVDRLHSEISQLWNLDNLGIEEPGPIEGKKTELQDLIAWFEQNVRFDDEGNLLVSLPWNGKQLRLASNRGVAVKRLEQLVISLKKKNNLLQDYDEIIRKQLDSGIIELVTPEMDDNTDPRYYIPHRVVEKLTSLTTKLRIVLDASSKKGGELSLNDCLEAGPSMLVDLFDILIRSRMPDYLVVADIEKAFHQVRMVPEDRDCTRFLWLKDIAKPPVRSNIAEYRFTRIPFGMTSSPFLLAATINHFLRDMKNPIAERIRENIYVDNVMLTTNNREEIQSLRIDSREAFNQMNMRLREYITNCPGEMEKFPKDEISSETTIKLLGYLWDTVNDTYTIKLAQLLETHPTKRQVASRMAETFDPLGNLAPLFVSFKLLMRDLWVDGIDWKHRIPKSLLPRWDAIRKQFSELSITIPRLLRPRGGYKNVQLLVFSDASKDTYACAVYIMYEYDDREPEIGLLTAKSKIKPSSSKTLTIPRLELLAIEIGTRIAMSVVKAMTSEHPCSVRFFSDAMVALYWVLRNEQKKCWVSNRVKGIHEVCDSLKSLEIPNTFHHCPTDLNPADIATRGMGSEELKNCTLWFHGPGFLKEDPSKWPCRLEGNITCPSDFRELISSEIIATKKNTDTTDSTEQSVGQSEFDALTDALKGMCMVTQRKDQYVSFVPYERSNSLSRVVSYTHSTLNCLLKLFKRHEWKSPIMQEFVKSKSIPDTSLMGLKGRAIARRLVFIEHYKEAASQGQEFPSKLLPVEGSDGIVRTHRRVPSPVLASDAYKRILVHKKHRLARLVVEETHLKNVHLPATYLVTALRTRYWILTDKQLADSVCRSCVPCQKVNNKPFAYPFARRIPRFRTTPSVPFQHVGLDYMGPLSYRLDDGISLGKAYVLVYTCLVTRATHLELIPDGTAETYVQGLKNVFSRRGIPHSVYSDNARTFTLGSKIISDDLKRYVPSTSFTNFLATFDINFHYITPLAPWQGGIYERVVGIVKHQMRKEIGKTTKSFFSLNHVIVRVESMINSRPLTPNPRDINDLPALRPMDFILPTVLIDLPSERDGLKSNEQFDPTRNSSVTERRTLDHLAGLDEVIERLWDIWSSAYLAYLRENAHPEKRTSLLKPRVGQLVLIYTDKSPRHNWPLGVIDSLKYSKDGSVRTATVRCRDKFYERAVNQLIPLEVNPVDDPPASEQVESDQQFLVPPDSPNIATFPVYSQNPNTPTSNNKGIRNKDQKVHRVGEELINAGPKVLKSPDLDACRSGAPKDRNFTTADKSGHTSDKDATALESSRRGVGLKSCCFDPTIDSTDNGSDVVASRSGATRGGVECATPVPDVCRSDTSGKVVNRTAPNLDAGCSGTSTKEFNQFAPNLGIRQSSSTRRGVGLKSCCFDPTIDSSDTGSDMAASCSDAARRRMKCTIPAPDVCRSGTSGKGFNRVVPNLDASCSGASTKESSQFAPNLSSRRAKTHRRRIGLKSYCFDPTIDSSGTSSEVVASRSGTTTEDTKQSDPTLGASRSGASKCKDDQKSSGSEYSQNVKLKRPRTIDDWAKIRLPIHRVRPYQPRKAKAKLARYVLITQAAEPQTPRSVDSCQVPDQASVPLQTKMH >CRE21847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:58478:59959:1 gene:WBGene00065476 transcript:CRE21847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21847 MLNNNLQPLTNVDLMKLVQDLNIEHFRGVFMRDNLPKKPEIIEVGIVNLDSSNNNGTHWVCYKKDQHGLYYFDSFGLDPPIEILHYLKENKHNEITTSTFQIQAFNTHHCGYYCLLLLKLLEKLNFQDAILSLIDNLKTGSNIKPIKPSEKSKLILEKTFYNPETGVCGINELQRKTKRPIKEVKQFLNEQDVYTLHKPARKNYKTERVYIHDIDEQWQSDLVEMIPYADENNDFKYLLTVIDCFSKYAWVIPLKTKTGKETASALQSIFKERKPAKMQTDNGKEYYNKEMKALFKSFDINHFSTYSDKKASIIERFNRTLKEKMWKMFTYQGNHIWTGILDKLVTGYNNHYHRSIKMTPIEASKKENTDQVHENLFPDEKTSSNSKFKIGDSVRITKYKAIFDKGYLPNWSTELFKIDKIHLGEVVTYSIKDLADEEIKGKFYEEELTLFNNVKEEYKIEKILKRRTRKGVKELFVKWYGYPEKFNSWIEDA >CRE21848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:61255:63732:1 gene:WBGene00065478 transcript:CRE21848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21848 MRIISAVLILLTLYKDASSKSIICTDNSPVQYFRIPKLHKCNYHRILNSRSEPVQYEVFKPNVIEYISDAKLCKKLVSEVSMYTDFSGYEHMVEKELNNNPITLAECREMINSKKCGYGTLSQGKSNSWSTNNKIEADYPNRFTSFFTPKRYYKENCIVIETKVYSHFNQTKPTNVLADMNNCKYQDGFCEIKKNEIITWDVNKDQKCQYISIGILDGIYNNNLWVNNENQIALNFQTNKTIKDCNSDLTISDEGFAVKKINRSQYAPRQIPIAIPVAIPVAIPTYPQRQQQEDDRRRREQEETRKREQEDARRREQEDRRREELRNQEDARRREQERQKHNEDFEKKKQEKLKKEEELEKKKQEKLKKLQEEAEMKELMKQRQGEDWTIHDKSPKNGSNKVNRTKREIADSAFFQPLPLPEYNEYLKIKQDCENLPNYEAFRNSREGCDSLTTYTDFINKIANFEKEQHMNKETWQPLPFPGYEEYLNIKEDCAILPTYDEYKTIRESCDAFPSYTEFIKRIANYELFETGKQRRKRELYSDFQAAGEFQYLENQSNNLLRHTTEVMCDIFNEQNEVLESLIRENPRRYIQKQLNHSAVKVRFIDSAQVVQVTFCKEIDEEDIDFIDITHFDGYKLSMALALGQIPIKIKSLGDKIWYFKNDYSGGIISSKPQLHPMIDYRTNITNMKDFKEFDLKFLDDKVVFHEHILLDMKTNIEEELIDEMREKADVAIDIHASNQDSTMPHEILDDVEGFFGKWWLRIWRVGVTIAVAFVYIFIARTFWLILSPKTFIDKKNKRERNKQYEGLELRELVRRPTVASLTVD >CRE21905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:65130:65600:-1 gene:WBGene00065479 transcript:CRE21905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21905 MDGEATSLRLPNPLSITTIHAEELKYDKPRNASPNVEEMTTKELSEYQHRRKEVIKIQKMDERISAKMLQLQKMMMDRNEEIKRINSRRKLFDDNVATSTQMKVDELEIKRRKRKEAERKEEILETFRLGKLSLEPKEKPN >CRE21908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:68309:69289:-1 gene:WBGene00065480 transcript:CRE21908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21908 MHSVVEIYDPKRRNDIVQSFLKNRKEHKLKNLQERTDLEHVEDYRMDVFKPILESNKKLQEEIIDEKNKIVETLNNFKAPTQRSIAPALSTSTPATPRNQSLIRKPSVTLPRDAPSLPSTSDLVVSNLIVGYLQDGTDRSNAGYSIKFNKDEKKYTIGNKDVSFDQNMIKVNDEKYTATVGLMELLIKKSPNIKNVTAEDTSNYQKILICSDALYQGFDKTCKRYNADSSDKWKFIKTNYFVAKTSTASGSSISFKPSPHVGGSVNTLYLPSDSNSLMDSLRLSIGSYQAGNSGEYNKIQAMLDELVRQKLIKKKDLGVIYLNIGM >CRE21850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:69394:72996:1 gene:WBGene00065481 transcript:CRE21850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21850 MERVDYSTLSRDQLRVIVKNRTDIKGVDLKKMNKKAMIELLKTIDEEVYDAEINSTMRDLFPFDKTTFTDYKEVGNTLRDTSHTGNSKNIETFLDKKETAVLNDIYEDNVKLYVTLECEMLKEETEEMQIAHLTTENKPLYIGDDKVEWFKSLKLDLINRLEHYQARGSGFRLNRMLGLRVFQTKIRPLSGSKYMELPDWIKNKKAVINVQNKDNMCFMWCVLAHLFPVECNAERTSKYKEHVNNIDFNGFEFPFQVKNLDAFEKKNNLAINIIHHELGQQKFQPYKVSKNTKVELNRVINLLLVTDSSGEGHYCLIKNIDRLMKTKDSYSTKFCIRCHNNFYSAEKYDKHLSDCMSNAPIQMIKPSKDYTEFSGVQKTQKHRYVCYADFESVIYKISNSTNSPNKSWSENIGKHVASAFCVVVVDSFTQSIYEMKSYVGYDSVFKFNEYILDVCRRLLNMSDVEMNKLTKEEWKDFNDCEFCPACGKNFDEDNGLPTKVRDHDHWTGEYRGPLCNECNLLKRKNNFIPVFFHNLKGYDSHLIINDEKSAEFLVDKGVTIKNISANIEKFISFSYHFEGEEFSRNGKFFTKKYEIRFLDSFGFMACSLDHLSSLLKTEQCAITKQYYNNEDTFKLMKRKGVYPYDFIDSFEKYSNTELPSIESFYNTLTDENISNESFEYAQKVWKETNCETLKDYTEKYMINDVLLLADVFESFRKVSLEKYHLDPCWYYTSPGLAWDAMLLKTDVKLQTIKDVEMYNFIEKGIRGGMCNAMLRHSKANNKYMPDYNLEEESKYLLYLDANNLYGWAMSQKLPYDEFEFVENFELEMIDDLTANGKGCILEVDLDYPKELHDKHNDLPFCPENKRVGTSNKLISDFSPKRNYVIHYKMLQQVLDHGLMLKKIHRVVTFKESNWLSSYIELNTKLRTTAENDFEKDFFKLMNNSVFGKTMENVRSRVDVKVLSDMDKVMKLAGSNNFKQRHIINDNMILVEMTQKSIKLDKPIYVGMSILDLSKYLMYEFHYDVMLPKYGNNLKLCYQDTDSFIYEIKTDDVYEDINSMNEYFDLSDFPKDHKLHDVTNKKVIGKFKDELNGKIMSEMVAFRPKQYAFKIQDGLETKKNKGVKKNVVKKEMTFDDYKNCLFKRTLERRQQALINSKKHDIHSVKQSKVVLNNFVGVDKEAKRYIVDNIETLAFGHYKIAK >CRE21910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:76721:78305:-1 gene:WBGene00065482 transcript:CRE21910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-11 description:CRE-SRH-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MUA0] METRNCSLPAPGYYSSMMHNFHIVSFPIYIVTLNALFRENSQIFTTYKYYLIGHIIINIISESYVSFFMLPMTYLPHPMFRNTGWLAQFGFSGMFIFYGLAQTVMLTVGSILEMFYFRLKLIAIYKKDLLKKLLRLEVLMYRTLIILHPIFTTATMNYSIGVEKRATEKLFKEHPELPPEVTCYSVIMAVFDDYVTYIVTVIYGIGVILQFTVSGGVLMYVLKVVKAAQGMSASTISLQRMMVFSLFIQGTIHGMMIMVPTMFLIYALFFNSAQNDLALILLMCVAYHGFVSTCAMIIFTKPLRERIVPFIRFGAPTEPSNVSGIISSSTRDVSSNHSRLSRQNNRDNNQV >CRE21911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:78894:80149:-1 gene:WBGene00065483 transcript:CRE21911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21911 MRFTTLAIFFACALVASGSVLREKRQCGCAQPQQSQCSCQQVQQTQSCSCQYAPVQQQAPSCSCAQPQQTQTIQVQSSQCAPACQQSCTQQCQSAPSVSQCQPVCQQQCQSQCAPMYNPPTTTTTQAPIVQCQPMCQQQCQSTCVQQQQPVAQCQPQCQQQCNVACDAPTTTTSAPQVIQIQLEIQQAQAQCQPACQQQCQSSCVQQQQPSNQCASSCDSQCSNVCQQTAQATQQVIYGQNTNTQMYDSYNNQGQQQQANCTPACQPACDNSCIQQTVAPMYNPTTTAAPQVVQIVLQASVAQSAQCAPQCEQSCQQQCVQQQQPVAQCQTACQSSCSNSCQAAQPATVACQQAPQTNQCSCQQNYTPCGNGQCCRRK >CRE21851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:80550:81803:1 gene:WBGene00065484 transcript:CRE21851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21851 MRFTTLAVFFACALVASGSVLREKRQCGCAQPQQSQCSCQQVQQTQSCSCQSAPVQQQAPSCSCAQPQQTQTVQVQSSQCAPACQQSCTQQCQSSPSVSQCQPVCQQQCQSQCAPMYNPPTTTTTQTPIVQCQPMCQQQCQSTCVQQQQPVAQCQPQCQQQCNVACDAPTTTTSAPQVIQIQLEIQQAQAQCQPACQQQCQSSCVQQQQPSNQCASSCDSQCSNVCQQTAQATQQVIYGQNTNTQMYDSYNNQGQQQHANCAPACQPTCDNSCIQQTVAPMYNPTTTAAPQVVQIVLQASVAQSAQCAPQCEQSCQQQCVQQQQPVSQCQTACQSSCSNSCQAAQPATVACQQASQTNQCSCQQNYSPCGNGQCCRRK >CRE21852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:82123:83454:1 gene:WBGene00065485 transcript:CRE21852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21852 MHSGSRCYININGKIRQVSCFYLFFRNFCFGVFFSNRKYPNGYKTCACDYAVIQMLSLVVLAVMLTLLGLFVYQLFDSKLEKQRYEQMFNDFILKYDRRYPSLEEFQYRYQVFLQNVKEFEAEEAKHFGLDLDVNEFTDWTNEELQRIVYDNKNVKTDGSEEVRFEGSYLESGVKRPASIDWRDQGKLTPIKNQGQCGSCWAFATVAAVEAQHAIRKNQLVSLSEQEMVDCDDKNNGCSGGYRPYAMRFVKENGLESEKEYPYSALKHDQCMLKQNDTRVFIDDFRMLSQNEEEIANWVGTKGPVTFGMSVTKAMYSYRSGIFNPSADDCAEKSMGSHALTIVGYGGEGEAAFWIVKNSWGTSWGASGYFRLARGVNSCGLANTVVAPVIN >CRE21912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:83801:85114:-1 gene:WBGene00065486 transcript:CRE21912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drd-5 MLSATALLYGFSIPFMYMVYKGKYITSRISYQEFYYAINVVPVFKWAYSLRVENMNKKTVAISGCDSGFGRILAVRLVKEGVPVIAGVLRKENGESLKRNVANPSLLTYGTLNVGDDESVKEFGKLVEKTVEGKGLWGVVANAGILGNSGPDDWLNTQDYINTMQVNTFGVMRFIQTLKKFVKKQQGRVVIISSISGRTPRPTVGPYCVSKHAVEAYADVIRHELVDFGVSVHLLEPGFFTTNITQTATNDLDAVWNRLDDETKKEYGKEFFDAYKHSRFSRLSHCADDLNPVIDAYEHALFAKYPKTRYWVGWDTILFYIPLATLPTFAQDWFISYQRRERPVPAAGVKRH >CRE21913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:87181:89318:-1 gene:WBGene00065487 transcript:CRE21913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-28 MSTMLMAPSSSILMSTISTITSTTPPSPTLLSEPMEIQFEIMFVMVLYSCLAVTGVSGNCWVLMKVIKQLSGCSSNRSRPYKTAVVQSSAYVYLIILSVVDLLSLIPVPMIVTDVYVNHFPFGLWACKLSYFCEAINKSLSPMVLTALSLDRYVAVCHPTLYWLRTTKFSLGVLAVCFSISLIFIIPVTQKATMQVMKDHSGDEIVKCAFDGGSASDVFDTAQAIICYLVPMFLICAVYLAILYKLWRHTRFSTVGKKTSISLGRVVRCSVMVVAFYFVCWTPYWVMRIHYLILRFVEKNSGGVSVKNGTMTEDIVVTTTKATITDSNEFSWSSLFDFISETRWHNIQIAAIYILHSFTYAQSAFNWLFYSFLNRNLRSNNGRGNGTRSAANTSVFDNGNATSTVNTSLTPIWKNIQQMGSHIKTAGLDTRSALLKKSPFKGKSKIQSRSAAYLDCSSAHMLRPASENTLSSSLLEIPRRPSLIPRQEPSLVGKALSFTNIPQPQYQRIEQKEESSHGGVSESSSVEWL >CRE21914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:100603:102068:-1 gene:WBGene00065488 transcript:CRE21914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21914 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MUA6] MNSSDGELSGAAPAAKQEKVYQKTRVPPREEPRSHRTPKPKIPFDQQFLKTSKRQGRTATKKRIPVSQRVMKVARSPPPQNPPKRKHAVKQGDSKKQAGTSSRNDDRSSSSEGEDDEKEVEDGDDADVPDVGGLEANDDIAAARPPNGNDFLAGPPNGNQVVEHHAPNERDEEHEREGFINLDPRQYPPGIISTEPFRRVVFNAPFDIAQTNIIKIKNLSYQRVAIGVDSLSPRIELDTCYKTLEPKESIYVQIKTKPFTIQEGLNDKVYIEYINAPEEGEVCRQWFCDATITSLRQLLVQYSL >CRE21853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:105455:108075:1 gene:WBGene00065489 transcript:CRE21853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21853 MNLKLKIAILVIAIIHVTIAIARKSTDGVAHHRLVRLHTMLITSTAMLATSFYIWKRVNFAITKHRTHKSESEISLANNVETESDFAATTLLPSPNPVGNRRLRLLCFQDIAQALMLLFLFLSHIALFFYYILLGPEPNVIAITSLSFIACYAHILIFLIIADIFYYATKLIHHKVSANSVHTYLHENRSFHILLAVILGFLFMFGGLYTTHTDPVVRKASIPMKRFASNNGNVSIALLSDVHIGPSVGRTRIARIVELTNALNPDIIAIAGDLADGLVRDFHGAAEPLCNLKAPGGVYFATGNHEYMHGNVTEWFWFLENCNITVLHNQNKHIKVNGQKLCVAGADDLFAVRAHVPGHGMDLMKALSSCDSDSTNILLAHQPNAAKIVLTNPKLSEKVNLILSGHTHGGQMYPFVPIVHIANAFVRGQYYDNKTDTYVYVSAGVNYFGPPIKMFGACEIIFINMT >CRE21854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:110831:112354:1 gene:WBGene00065490 transcript:CRE21854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21854 MTMLESYRECVIYGIFILVCINGSRCEKNDEQAEDLYKLMVEVMGSNYSQDDENTRNKGKSTWETCESRTDSLREALTESSRHTLVSSTYVSFFTHNLRLQSDLLSQFSARATEHVKLAKSISSHLDVDVRQGIEETKKLVEQVLAIAENLIKSTPWVKNRHLVAKFEKITSELRMHDNYGKDFQKVTNILVAVEKTFLECRLSYSFVEESDLLCYIMTASEHRLSHGDEVFSLDDNAFNNHPTLAFGFPNYHHTQYGREMASKLGYTGFTVGHEIGHTFFDSYSQPELLPYFSKQVDDCVQNQFNATCTEYMEDSCATTDDFLDENGADIFGLQLAYELMKEYYGFNIGNIIERLNMTYDQLFFYSYAMGFCSGSLSSVELQDDGKYEPHSAHNIRINTIAQHPAFQQAFNCPTDSRMMRSATRQCHIYGNEAPETRRKFLI >CRE21856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:114944:116901:1 gene:WBGene00065491 transcript:CRE21856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21856 MNILLLLAIVHRCIAVEPPQPFDFVEDAIVKYVNHSVDPCDNFYRHVCSFDSPNDIAVAALKEVYNYIEDTQKNSLWNHLDIVNSFKSFESRKKLLSSTEATNDFLIESVKTICEKKDMDSVHALLSGINKLESRLKNETVKKPRSKRKTDKAGCNSLTETFRQVLTEKSKDHVITAWELISNFHEAATSYVNTANSINAHLEVDVRLGIEKTRDMVEEMLKTAETYIEVCCHNVYKKSLYFQKTPWVKKQNVVSQVKNITSQLRIQDNFGKNFQIVTDLLFTYEKVFLNCKSKFSVGENSDLLCYILVASSSILTKGKGHFTTENNAYNDHPSVSFGYPYYYASQYGIEQATKLGYTGVAVGHEIGHTFFDEHDKLQYLPYFSKEVEDCVQNQYNATCMEFKEHSCATTNDFLDENGADIFGLHLAYEHLKSYYGERLRTKIDRLKMTYEQLFFYAHAIDFCSGTLSFVDKKKNGKYEEHSANNVRVNVIVQHPEFKKAFNCSADSRMMKSATKQCYIYGSKAPKTLKKINN >CRE21857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:117877:121902:1 gene:WBGene00065492 transcript:CRE21857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21857 MAKYKKGKDAKYADAVGDDDDDVFPIARKIAEHTKEKDKEIHASHFELDGKPFSAINKAMLPIYIEDPHEYFDEFGFRKQEEDNGTKNEEDTDTPKAHPVPLEDSGHKLKMISYLQDVHKEVKNDVLWSHIVGPELKTDRFEELLKEGGIPHSMRPFLWSRLSGATRKQREAKYTYESVLQQCAQDKPSIGVQIERDLLRTQPNNICFWKKNSEGVEALRRILKCVAFIYPDLGYCQGMGVIVADLLLYCSEETTFWMMTALIEDILPPNFYTQTLLGLQADERVSRHLMKCHVPDLNKALDDYEVEISLLTINWLLTLFGSVFRTRVLLRVWDFIFYSGSVNIFRVMISILKMKEQEIVEIAETTKSSADIFTALSQLPATVTEVEKVIEYMGSFEFTITDHLIAELRKKHQAVLMADQGMIVNTSTDTNLPKQTTTRRKLTRSKSIIKQIFSSKDEVCCLFLKFSKNWILQSGNDPKSKNIRQTEILVDLKESVLQICRYFVSCDEKMELNVCTQADYSPESHNQDIANYLKGRRIGAKRARALLDFAREEENELGFRKNDIITIISEKDEHCWVGEVNGLRGWFPAKFVEVVDERGKSYTIYGDEAVSPEITEYIRGRLANSFRQIMDHGIRENVLHSSMGYHPWSFIEDIAYYSVEKNFNSVYSRLTLCNTFNLDEDGKILTPEELLFRSVQLINDSHNAVSSHYDVKLRSLLVVGVNEQCLHLWFDLLCSATQHEHIRNKYYHSWAFIRSPAWRQIKCDLRLLSQFSFNLSMSFEIEGIDKKKRKFVNGLLTSQKKKILSTVVSSSQDNKQNGDEPLKQGVTDMLIKHHLFSWDL >CRE21915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:122267:123887:-1 gene:WBGene00065493 transcript:CRE21915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21915 MIRLIAVAIFSLLIVNVESTPCTFVTNGQQITYGVRGNTIHFRVVLTGIPPTGSGWTAIGFGNSMFSGLDVIVVRVLNGRVIVTDEFVRGFQSPVPDRQNNVQVYGLRYENGVVVASFSRSVFSNEQMDANLSGCSPWKFSVGLNRMSPQGHLFHHSQTPVHRVVCINQCTV >CRE21916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:126807:130173:-1 gene:WBGene00065494 transcript:CRE21916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrk-1 description:CRE-WRK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MUB3] MLKLVLIGLGLLIASTTAQIRTKGGTLIAKEGESLSLRCEVEDPTIAIIWRKNTDVVAVDDEILDTYGGYEISMEGSTSVFTIKRVEPINSANYSCALAEPEVSVTFVIKVQVKPLVLISPDTGVYHARVGEKNLVITCHVKEGNPKPGVVWTKQAAKLPEDIKREHGGARIVITEVKKHHAGKYNCLAENVAGSDRATIDIHVAEPVQGEREEKPWVKNEDTFIPVRKNQNASFWCTYDGTPVPQVEWLFNGYKINFNDEKFKKTSETAQRLNGYSKSTLTVGDITEEAFGDYACRISNKLGSVIAVVHVSGKPGPPQLSLDDSELSWTVRAFDKILEYQLCHRFENADHFLPENCHSVRVKKSDNNGDDKWTHTVDLSSYLEQGQKYHVQLKARNALGWGSMAKDALSVELQRVEKEETKATSVLSAVTALLVTLVSVFLL >CRE21917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:137889:141297:-1 gene:WBGene00065495 transcript:CRE21917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sulp-3 description:CRE-SULP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MUB4] MSLKQLFSDRPPLNAVLQEKAQKIRYSCSPAKCLHSLLSFLPIITWLPKYDWSHSFFGDLSGGLTMAVFSVPQGIALASITGVPPVYGLYTAIFPSFLYIFFGTSKHNALGGFAVLSLMTHGAIEKVMLRTATSYNATAYVNHTLDELFDKENDTIITNTTLMQILGNETSFVEEVTMEMWTEGVTPVKQIHVATTIIFLAGIIQVLMGVFRLQYLTSLFSEQVMSGFVVGGGIHVFFAQIGNMLGIELPRRSGPGYLYYRIWDLIENLDNVHIPTVCISMSSFLFLVIGKEYLAPWLSSAFNYPVPYEFVLVRKLKRSMTSILILVIKVVVGITATNYAELSRRHDVKVVGNIPTEFPPPSLPRFDLIRHIGLNAAAIAITAVAIHITVAKVVEKRYKYKINHGQELYALGFVGVLSSFFPVFPVTSGFARSVVGAAVGGSTQLTCLFSSLALVSVILFIGPALKYLPQCILSAMIIFAQKGMLEKFGELKSLWPVFKIDFAIWLMSFFLTVCYDMGEGLLMAIGFAVLTTIIRTQRPKWHFLSRDDETDHFKETKKRDLERIQGNVCIFRMDAPLIFTSSDRFTMSVWQCVKKWERCKSESFVTIEQMNSDRSADIFESKLKSARRRWKRDQKSDTRCKLVIDCDGFPYVDYLGLSTLKAVYVDLKAAGVQCFFVVQKSELKRLFRATDFYEVVDESQVFNKVGDAVKAAEQTISSPKNTKEVLTALSSIATTDTMLIDEEENEEDGDPEERVTEESENSEEVMSETSVSIGEARSSASSRNSINSEE >CRE21858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:142779:143275:1 gene:WBGene00065496 transcript:CRE21858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21858 MGSSFINFILLSTFLLYIIGVSATGKQCSDIITIRDNENDTVNARILYFEGGGQQIAEISCEGETSGVVELIANERSLGVIDNRFHRAICRLGRWYSLNKYGGITVVKDVACLVHL >CRE21918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:143365:145167:-1 gene:WBGene00065497 transcript:CRE21918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ced-8 description:Cell death abnormality protein 8 [Source:UniProtKB/TrEMBL;Acc:E3MUB6] MYLKKHESKLLLIPKGAEQEDAGIIAVLTDRIPSVLIVRWFDLFCFGFAMCSYVLDFFSDIGIAIFHFWAGRHLSGALVLTFALLPSVIINIISMVWMLDDEMHWKRRAHPRRTGTFELNQKRFISLGKMITLCIFQMGPLFWYYKALYYGWMFTKSQKDNTDREKRRFFMKMVEAERDATLLRFFEAFLESAPQLIIQGSIAANYFQSYYASGTYPYWLYFQAASLTLSIISISWSVVVQNRSLRMTRDDKVNIWPHEAVLQFCWRFLTILARIITLVAFVLVYEIYTIPLIFGHLLVTLIHVIFLQALHIEACTHIEKLLLVINAMIHIFTPFNMAEGKTRYRYLVAYGVEFLEMMTIFIILPPPLNLFPMIDKVRVGVPVSFAIGILIMMIYYKFFHPNRRQLLGAASQVDVSRDVEKEKVATPLNSSPIPEEKPEELNGNVVEHKNTDKKVFESLLEDECHN >CRE21919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:145834:146350:-1 gene:WBGene00065499 transcript:CRE21919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-13 description:CRE-SPP-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MUB7] MHPLQIVAIVLIVITVSFIDAEIIQKGKLAKHGMLKENKPNCLMIRSGLGCACSSCKEIVGFTRMLILNHVPEEQEVLDKVCNRIFGDDKKKESFCEELIKEELPDIIKYVRSHLEPKQACAKFC >CRE21920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:147106:150007:-1 gene:WBGene00065500 transcript:CRE21920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-123 description:CRE-TAG-123 protein [Source:UniProtKB/TrEMBL;Acc:E3MUB8] MSKSTRMLTKLLFIGFLAGASAFYLPGLAPVNFCEAEKANCPSNVSLYVNHLDSDRSVIPYEYHSFDFCTVNEDESPVENLGQVLFGERIRPSPYKVKFLQEEKCRLVCDTKKYARGNADDLTKLRLLQRAMTLNYQHHWIVDNMPVTFCFKNLQNMDVCTTGFPVGCFVDEQGYQHDACVLNQKYNTPNNFYIFNHVDIEIYYRDMTNDANFLEHRVGGRIIRIDVKPRSIKHSSSSSLDCSDSAEPVAIDAKSESAEITYTYSIKWTKTDIKWSSRWDYILQSMPHTNIQWFSIMNSLVIVLFLTGMVGMIIMRTLHRDIDRYNRLDTEEDAQEEFGWKLVHGDVFRTPRYPMLLSVFIGAGCQTLLMVSVTLVFACLGFLSPANRGSLITFALFFYVLFGVVAGYISARLYKTFEGIHWKTNLVMTAFLVPGILFTIFFFSNTLLWTKGSSAAVPFGTLLVLLVLWLFISVPMTFVGAYFGFKKRGIEAPVRTNKIPRQVPEQTFYTKSLPGMLMGGILPFGCIFIQLFFILNSIWAHQTYYMFGFLFLVYLILIITCSEATILLAYFHLCAEDYHWWWRSFMTSGFTAIYLFIYCIHFFNTKLTISGTISTILYFSYTSIFVFMFFLMTGTIGFLATYYFVCKIYGSVKVD >CRE21921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:150464:151627:-1 gene:WBGene00065501 transcript:CRE21921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tth-1 description:CRE-TTH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MUB9] MAAVTELPKMNQELAGAVREGLELKKVETNEKNILPTKEDVEVEKQLVERIQEIEAFDSTKLHSTPVKEKIVLPSADDIKQEKQHQELTDGIQNFPSENLKKTETTEKNVLPSPTDIAREKTLQMAASFDKSALHHVETIVSNDIRVTDAQ >CRE21859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:155654:157485:1 gene:WBGene00065502 transcript:CRE21859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21859 MKSVFLLLAILVVATTGEYLQLHTESDDDPFTATSNFSIPKFESVMNHRSYAYVSAGLIILLFVGLIYCHILSVRKTRLLEQYEQRSTLPEWSPLLNKNIRPPPPYEQVV >CRE21860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:158929:162236:1 gene:WBGene00065503 transcript:CRE21860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-9 description:CRE-KIN-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MUC1] MTHQCLTYLASSAALVSTLAFPTPAPDDSSEDWPAHKSKFSPWTPQPSTMEAGTQTDFRTTRNNNNNNNAGNANYLSPSDIRYLETITNLSQQALNNVKDTIDTINGVDPPPPTPQPRAQYPNAYNNPYQQNSWTPPPYPTLPPDRPTTPQYYDPYYGGDHKYSEQGGGVNAVALGLGLGIPLGIIACALAVFIFFWCRKRRRQNRNPFPMPFTTKKGNDYETQLDSPAYSIHDPWLLDRNNLEINYSKKLGSGAFCNVFKGKINGEAPVSTIHPGQRTQQLRDCPVAVKMLPSFADDAARSDFMQEINFMKSLAYHPHLVSMLGFVADRKSPYLLVEFCEHGDLLHMIRNRKQEIMDGPSISPDGLKIKDLLMFSWQISNGLEYLNNIGCIHRDIAARNVLVDSSNTCKIGDFGLCRLTDSLLYTARGGRLPLKWMAPESLSSYEYSFKSDVWSYGVLLWELFSLGEVPYGEVQTTELLQTHRSGKRLLKPEYCPDEIYDVMRSCWQELPDDRPAFQQTCAVLAQMLENATENYGYLIPKHFTNQKDPSEQCDNV >CRE21861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:169696:171621:1 gene:WBGene00065504 transcript:CRE21861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21861 MPESPPPSYSPRRPPPPYEEQNNRNAKKESTMGTPEHNYKVSPPPFVPLTQPTVIDVEQLQNVVLPGGQPNPTVVIVTTPKPAPSFASYETTCYSCGKSVHTLPKFVIGSLTWIVFILVLICFFPLAFVPFCLDSCKDAHHHCPRCNALLGIKKRIF >CRE21922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:172307:176626:-1 gene:WBGene00065505 transcript:CRE21922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-6 description:CRE-DPY-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MUC3] MRYAWVVSLSIILLGSYLEASSLLSKTIHSDGSRDFKIIKHIKKNTCTCSCNCVPDVASNPFDVTTTISSINNDNVDIGPSVDPNPTGSSLFQEIEATVGGQTVKSDHNIDSSVEMEKKEKVTAETTTTTAGTTTAAETTTTTEPSTTVEVITGSVVINTESDSSTETSSEPSSTSETTTTQQSTTAPETTTTEVSSTEATTPAETSIESTTAEAITSEIVSTLASEDETTVTVTSTEPATVTSEIITTAAPTEATTTESKPTTSEVTTSTSTEEQTTVTEATTTTEATTTTEATTTTSEEVTTTTKEPTTTTTEETTLSTTTEAPTTTETPTTETPTTKTPTDEPTTPEVDNKISGAVTGKTESTHWPNTNTTPNFDTTTEPFVAKSEDDETSTKTSAETTTPEEEATTTIIEQTVSAEQSTSSPSSETTVTTEKPTEVFHSTIKLKVSTVEDTKETTTTEKPKETTTQKVEVTKPTKKEQVKVTPKLELSIDEPTHTKKPTTVTSKPTKSSSTTTTTTTTEEPTTSSTTEAKTSTEETTTTSEATTTTEEATTTKKPTTTENVTTTEEPTTTSVETTTTEQSTTTKASTTREEPSTTAEGTTTTTEESSTDTEKPSTTTEELSTTAEATTTTTEEPSIATEKPSTTTEELSTTAEATTTITEEPSIATEEPSTTTEEPSTTAGATTTTTEFTTTEEPKTNVISTTTEQTTTSQEFSTTPTETSPATPDETTTTETLPTATNETSTPEGTSTQSTARVTNSTEALTTTEGVTTVASVVIETSTQRELPERWKAIVNKLKHKLEVLKEQKRLLKEKDSTSTTESTAATTESTTVIAENVDSATTEKSADVTTGETKLEVTTEEPTTETTTTEAITTTTASTTKESTTESTTKSTTTTFTTAETTTSTEPSTTESTTTTVEKTTTSEQEGFVTGESTTTSEASTKSSDSNESSSAEKTGARRDFVPKKHKTTVPAPTTTETTTTTTTESTTSETTTEATTTTVETTTSEETSGAAFVTGTSIDETTIDAIELLNKINNTNISLPKPTDISKTDALSSLISGLIGSFTKAPVARIPTTEAPFITAPDAPTTEFTSDEPAGDIRRTEQVDTDTLDREGAIEKEMREQRIRIEQAKQLREAEQKLQALNEQQVEEKARVEMLERQQKMLEQLKELKEAEERQRVLLEQEQLQEEERRRLIAEKQEEIEFGSITTTTEASKFKYRLRPAQVTSFRVFCAAINKFTRVFNITDPSEWIQKNCEFAKRYFPEASCPQIQALIESCFAFL >CRE21862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:177931:178717:1 gene:WBGene00065506 transcript:CRE21862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21862 MGKTAKSKNLKPKIQDNQNQSMKYIYLSLLVIFLVICLAYATDFEEHQSYDIDEHHDESIHDIEEDSGDNTYLDMASQFLNGLTKDDVKNHFKDIIRGFSDKGFEDCDTNNDKFLDMTEVECFVTDKMGYSLKEVPEKVVEYFDKDHNGKLDSDEVWNIVEDKAPSLFEILPSTVERNMELLHGLQQENGPVDAGAGIFY >CRE21923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:179015:181734:-1 gene:WBGene00065507 transcript:CRE21923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21923 MEWLYWLYWLLVISFFVYSFYLLHIPLPHDISDRSKLAIAEFLLRLSNEYLGDLIENVFGPEVRNKVTRFIMSVGFLIPTKPPAGITRKRVRVQGVSCITYQIEQSKNDGLLIFIHGGGWCVGEAKYYDDIMYQLCQRIGCNGLSIDYRLAPEHPFPAGLNDCHAVVLELCNNGLPDLPFNRKKVLISGDSAGGNLAAVVCQRMHREHNDVLLGQILIYPVTHVFNFTSASYQEYWKSYAGTALLNPKHMARWMLLYLGLDATKSNIKKVMNSQHVPLNLLEQKQYSSWLYHLNDRKQEKTVDSVLAEQFRKLGTNPDVSPMFGDTDGLPPALVLTAGYDVLKDEGIQYADKLKQSGVRTEWCHYPRAFHGLFNMPNSNDRVEMMQAVVDFAKGLL >CRE21924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:183470:186665:-1 gene:WBGene00065508 transcript:CRE21924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-10 description:CRE-MEC-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MUC6] MNRSPRMLKFQPNPRSKSRFQDDADLRSMRSFKTDFSNYLASDTNFLNVAEIMTSYAYGESNNANEKEVQCDLLTENGGIEIDPTRLSYRERIRWHLQQFCYKTSSHGIPMLGQAPNSLYRYGFLSFIVLIQIFVFRAVWVFLLLICAIQFINQAVAVIQKYQKMDKITDIQLKFDTAPFPAITLCNLNPYKDSLIRSHDSISKILGVFKSVMKKAGDSSTEVVEGDYDMDGITIQARRKKRGAGEKGTFEPANSACECEEEDGSNECEEKSEEKPTADHDMCICAFDRQTNDAWPCHRKEQWTNTTCQTCDEHYLCSKKAKKGTKRSEIKKEPCLCESKGLFCIKHEHSALVLNLWEYFGKMDDFSDISTEEREALGFGNMTDEVAIVTKAKENIIFAMSALSEEQRILMSQAKHNLIHKCSFNGKPCDIDKDFELVADPTFGNCFIFNHDREIFKSSVRAGPQYGLRVMLFVNASDYLPTSEAVGIRLTIHDKEDFPFPDTFGYSAPTGYISSFGMRMKKMSRLPAPYGDCVEDGATSNYIYKGYAYSTEGCYRTCFQELIIDRCECSDPRFPSIGDVQPCQVFNKNHRDCLEKHTHQIGEIHGSFKCRCQQPCNQTIYTTSYSEAIWPSQALNISLGQCEKEAEECNEEYKENAAMLEVFYEALNFEVLSESEAYGIVKLMADFGGHLGLWSGVSVMTCCEFVCLAIELFIMAISHHVNQQRIRRQENAANDF >CRE21925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:188861:190830:-1 gene:WBGene00065509 transcript:CRE21925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-14 description:CRE-NHR-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MUC7] MDFLMSTSLSESTSTSADFCVVCGDKAIGKHYGAVACNGCKGFFRRSVWQNLQYTCRFNKQCNIDKDHRNACRYCRFQKCLADGMKPEAIQNERDRIGSTKRRKRSGPNSENNSDSEGTPSPKIEVMGNSVSRKLIEMLLDIEHRLASNQSMNALLRDESEMKNSRQRAVNYLIGWTNMLHPLPEVPLADKVLLLKKYSSAFTLLGTMQRSMALPHFVLPNDQVLSISASHSPELFEALNRIIDELLTPLRRLRTDHAEFSCLKALLLLNPDVVGISNTTRERIREARDALLKALFAYMSNTQNSIEASLRVSSLLMIIPSLISVSTNIMEFPALSDLFGLGDVIKRDSSSPIINPSFFSESPTHKMQPPVTSASPMQPNLIMSKDILSQIMNNPQLFPLLPMPQTASPPMSYMGQSEFGSHLQPMPMKVLLS >CRE21863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:205528:207122:1 gene:WBGene00065510 transcript:CRE21863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21863 MSDNAAKCTQTISKNLLLLSVLLVESLVIIPSLVFTTLNVFASDENHYKSYHPELSEVVKFHFLLPIFVYQIIWLLSNIVSVVTVHCNTPYFFFFTLIVPCIGLLLSILILIPAIELLIERNFNVSGFYLGFVCGLSVFVVFAILFTITRCSTFRKMMKKQKVVQTTAEKSPDDPVEEAAAPEPIRIKDPDEISISFSRRSTMSMNDELYVPPPRR >CRE21864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:208634:209459:1 gene:WBGene00065511 transcript:CRE21864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21864 MKLILLIAVSAATVFAAYDDLPKPADPYEPPGYEPEPEKPCEDKYVKIINALRAELGKDVSSIKFTNTLGSRVQKAFGSAHEIMMAPSAPTLKTNFNGTICRQAADDGNHYVLYPTPGNYDLNNAAQEEYFEKFAEFAALGKAANIADLPKDPRSA >CRE21865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:209914:210899:1 gene:WBGene00065512 transcript:CRE21865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21865 MKFLLVLIGCIFVAVQGQDDTCPSWKDRQLAKPGKLFCCDSTIKTVVETGMKTLDLFGAGGPQTLGPLVQTLSSFIQRHFKVAYEVVMAPKEFVLNTNYNGTKLCKFQSNSYTLAVYETPEYYDINGPGEAYFYNFAANDKLHIPSVSEHLKKFGGLANTATGGLSGSAGSLAGSLGGWGR >CRE21866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:215159:220552:1 gene:WBGene00065513 transcript:CRE21866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21866 MTSTFLTGVLCLSLVLIQQVYGECSPEFFARSIRYDQAVAIDFYYTGINLASVKECATFCSQREFCRSAVYNSRTKTCGISYEYTVACASRTQRYKEYKLEEGQGTDLVQIACVDDCRNREEKDTDKKEKKVPVGIITGEPNDGHPKDTSSSGNSVITDPLTTPYVSKLSSTSTSSSNLETERPRAEGYVTRLILANISSLRDGGTGSVEPLTLQQNDASVAKGGKGEDGNKGKGPVCYRTIRHRYLLGADFEEHDVDSVNDCRCLCAATHQPSNKKHKCLSFQFRNKTCTLNRGNHLGQYDLIEQRKTLYQYVGCDPEILLETASSKCPNFKTGSKSAHEKKEEEKKNETTTKKPKVELVTAKTVEGEKKKTHRHQKASGYQKDYPQRLQPLPLRNPRLPRSHPPLRSQKRSRDPTRKMMLEEENTVETKPKGEWLLRDGCFEVIDDHLMVSVAGGLEHDVTIEECQCMCANSKKSGRYEFQCRSATYYHTEKDCILNLEDRNMKSKLFERQFISLNVSYIGMSCEIDETVTSLGSLATSGCRRQQETTTGEPAKELSTKKNGLKSDECYVELNDFVLEGTAIAVETAVTPEECKCKCAEGQKLYGEECASFLYYYDSKTCLINKQNRFSNPEKFNFVPSINQSRSYFEWTCANKDEARHKYRADVCKVEPETVEGNLLNNESDPVEVKQDEKMAEETGRMKRLDEKEFLDKLDKVVESQEVIEKKPKVTTKKSEHILDTEEVLKKIEKVEATSTTEAATTTEEPTTTTEEATTTTEEVTTTTEEVTTPKKEEVKMKPMDEKSLMEKINQAVEKLEESREVTETATEEPVTTTTAKLILNTKKSSLKEVKKTVTTDLDNHKNETISMKKTDQKTIETLLKAKVTEGSNIDSAEQEKLVTDPKHVQMKKVEAQVLEKLAQMKITESSATETSIPAIPESETESTSSEVTTQANKVSRKSSIPEAEGLEDDEVEDHIGDELSTGLDDEPTSEPAPESTIPVTSTTEKVVTTTTSKAKASGVKTHKFDEKVDKSGMPLPRTTTTTEEPTTTAGYPPAGRCSYSALYQTSFLGRRLLKAVRVKTPADCFAACYALRCRSANLIAQGEFNSCELYRDSLIDYRRPDMIGYDASTVYFDGINCDGTP >CRE21926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:220826:221632:-1 gene:WBGene00065514 transcript:CRE21926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21926 MSRLRSDTFIKPGSESSFDSEDLNSRRYRQELESYCCCTNVSALGALVVSGIVSIAQAILHFILLLHDCDLQMIDMAMAMWPVVTVTIALVFSYFAYDTHSSTSFKPYIAHHIQLAIAYCLCLVLFAMFSLKQQFFVDFLAFCSNYSTLFSHLQETSSERKLRIAGFLSSQLSVSLFCLHLSGPLFSWLDTEKKYISTDGTEAI >CRE21868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:231814:234538:1 gene:WBGene00065515 transcript:CRE21868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-5 description:CRE-FLP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MUD4] MSSRSTTIAFLFIATLLVFQCVSAQSSDEDSEYLDKYQRIARAPKPKFIRFGRAGAKFIRFGRSGANSWEDGYAAPSVNELYVKRGAKFIRFG >CRE21927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:237089:237501:-1 gene:WBGene00065516 transcript:CRE21927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21927 MLFRALLALCLVSSIGAVTLAICQNYCASVNGGASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGSCMTGNNFRCCLATTPAKTQEFKVSGCNTLYNNL >CRE21869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:237831:238181:1 gene:WBGene00065517 transcript:CRE21869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21869 MVSLQSFLYLKILNFQFFRALLALCLVSSIGAVTLAICQNYCASVNGGASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGSCMTGNNFRCCLATTPAKTQEFKVSGCNKLYNNL >CRE21870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:238712:239169:1 gene:WBGene00065518 transcript:CRE21870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21870 MVMNTRILVILYFCEMFTNFVDKVIDRISKISFPGFCNVPVGILKSRTHNNDDKSTVDSVKKDKERFNTFCDESCMTGNNLKCCLAITQAKTQEFKVSKWNTLYNNLRKTFFRIFRINRKL >CRE21928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:239537:241041:-1 gene:WBGene00065519 transcript:CRE21928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21928 MPENNSYDDFGKHLGTLVSQPFVTSISRRCLARDFHNQKGLNLTDSVYHRNSKLEKAILKTTFDNETKAKMFFIARNHSKRREPLSGGDARISKPTTSMRQKRGRGRPPKKTRRARNGNSTQTLSPLYHLSVRNFLINTADTTKKPKSKTILYENFSRLDDSKSKEVFNMVSKSVVQTILESDEDIVMKAKLCFMSGEKISESLETQLKQHGDLQLNEERQVMQFLSGDGGVVFEVNMENRRRRNTEENEPMEEDENAGDLNDTNDNGMPVGINFDVQDIEQNAEMMRDNDFGKFLNTLEKKVKTFTVPPGRCVKIEVPSDSEEGNDWADRTQNRIGQRMKTAEERANTASNPSTSTGLREPKVEDPTTTALKVPKVEDSDSTGLRAPKVGDSEDAVAAKNDLEKKSTPSISTTGLKVPKIEEP >CRE21871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:243691:244043:1 gene:WBGene00065520 transcript:CRE21871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21871 MLFRALLALCLVSSIGAVTLAICQNYCASVNGGASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGSCMTGNNFRCCLATTPAKTQEFKVSGCNTLYNNL >CRE21931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:244522:247403:-1 gene:WBGene00065521 transcript:CRE21931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdha-1 description:Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3MUE2] MLRAASNGLRNAVATRSVSLTAANYSDAKRSEIAQYKVVDHAYDAVVVGAGGAGLRAAMGLSEGGLKTAVITKLFPTRSHTVAAQGGINAALGNMNPDNWRWHFYDTVKGSDWLGDQDAIHYMTREAERAVIELENYGMPFSRTTDGKIYQRAFGGQSNDFGRGGQAHRTCCVADRTGHSLLHTLYGASLQYNCNYFVEYFALDLIMENGACVGVIAMDLEDGTIHRFRSKNTVLATGGYGRAFFSCTSAHTCTGDGTALTARAGINNSDMEFVQFHPTGIYGAGCLITEGSRGEGGYLVNSAGERFMERYAPVAKDLASRDVVSRSMTVEIMEGRGVGPDKDHIYLQLHHLPAEQLQQRLPGISETAMIFAGVDVTKEPIPVIPTVHYNMGGVPTNYKGQVISYSSEKGDQVVPGLYAAGECGAHSVHGANRLGANSLLDLVIFGRACAIDLEKTKNILKNTSAGVGVPELPKNAGEASVANLDKLRFNNGDISTAELRLSMQKSMQKHAAVFRRGDILKEGVNILSKLYKDQVHLKVADKGLVWNSDLVETLELQNLLINATQTIVAAENREESRGAHARDDFPDRLDELDYSKPTEGQTKKEFKDHWRKHSIIRSNIETGDVSLDYRPVIDTTLDKSETDWVPPKVRSY >CRE21932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:248303:250264:-1 gene:WBGene00065523 transcript:CRE21932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21932 MVTRTQLISAMLSIDNIDDNIYRSEGPHIGGIVGPNRLFGGYIAAQVYEAVRNYKNKNNDKNVIFSMHYNFVSAGNPRKLIDIKISQVEKIYAVEVYHENKSIGLAHVKTDNTFLKKPPYPANAPAFLNIPSMETIIATLPSNKLRTEFEFFLKHFVFELRPVHFMFSPGPGDHRVMYYARISPECVDYIREDGGMVAVVALSDFFVLQSVTNAIKEAGMKMTTGASLHHKLYFHQEKVEASQWFLVETRTEIATGNKAKIFGGVFDSNKECIVSFIQEAYVVPGAQPLESKL >CRE21872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:253000:255958:1 gene:WBGene00065525 transcript:CRE21872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-233 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MUE4] MDGDTEVLTHNLMKPPAAVDSFNLHGVISATQHGHVEHVEAALKQGLSPNATDDDGCSLLHWAAINNRIEVARLLISYNADTNLIGGVLASSPIHWAARNGLVAMCAMLVKGGAVCNVRDVQGYTPIHLAIQGNHVPLVAYFLLKFDYAKDISDNSGMTPAMLCAKRSYTMFPLRLIVRAGADLSIKEHFSGNTALHLAAHDRNSSAVIELLEGKADVNIRNKQSETPLDMARTIKSPQILGKEPFQTNYLIFLNVSDLMEEAARRQGVSRTNCFRKAFVPPLSTYFFFFVPMFGFFITYLLFKYLPLIVATFTTLISCIFLMVLIRFDYHDPTYKLLPYGVTMAEAVLMVFSWSAYAHWYVPWWAQMLFVLSVLALGFTLFRIGTLDPGVVRAPKNCHQLYVNEAEAGIQHQQKYCFTCFIRKMEHTKHCAVCGFCVNNFDHHCPWLNSCVTRRNMREFIMFVISVAVSSFIYCLATSHYAILKIDDHGLEKFLEADAFLMITIILSAMHAFMLSVLFCVQMNQISQGVTTNERIKARRAGHSHTSDYNVIHHRPSVSKRCHNLLEFFSSNGDPNW >CRE21873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:257350:262839:1 gene:WBGene00065526 transcript:CRE21873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-utx-1 description:CRE-UTX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MUE5] MCYMEIEDYDRCLNMFNLALNDIEETKFMPRLIIKYNIALSHENSDELETAEEEYNVLLKDTPCDSNSYLFQIENYQTSHGKNLEAAISDLLLKLTAACHRQLGWIEYRRTYKDEEKRDEHLKKAQDQLTHANVIDSRDGQNYYYLGRVYGEQDVTNGQVASVSTHEGTSRGRKFGIVPNFYSGIKVNLIRHIRNAHEAFVNYRHSIDKREQNADTWCSIGALYQKQNQPMDALQAFICAIELNPKLTSAWTDLGELYEKNEQFQDALDCFKNAMKHDPVCPEPLKARIQFLEKELSMPIPTRPANQAPPFEKNIPCLKEAYEQPIPLELRNRQDAAYMKQFLNFQEREYLYDHAFWNLWVEFRTMEEDQPEEVYWDTPEPRPMEDLEIQVLELLRANEPALVKAEREVLECLETSEAVFKRNICEYFISDATREMPRVTEIMIQNLRDHGHLLESPRCYPYDFLPRVAFQNLPESFSLLSELYVSLDISAQEIMQMVSKRAILNNTYQPIFDDYAKLPVPPKEPKKVIATEEDVKTALERNERHPLLLKTPVLTIDNRKEAGSLELQRYLDNCTVACIRGLTGCLRLDLSLFSTKAVMETDGNQEIEVRTQYHIPPETNCDHASQPTWKCISEPTYTTVAKYAQYQSESFKHTLRNEAEKIKKHGGAGGRGPSPKRMKMSKQSHAPTPPKELKSIKFGTNIDLSDDTKWGKQINELSKLPAFCRLIAGSNMLSHLGHQILGMNTVQLFMKVPGCRTLAHQDGNHMATVNINIGPGDCEWFAVPYDYWGKMERLCEKNGIDYLKGSFWPILDNLLEEGIPVHRFTQKAGDMVYVNGGSIHWVQATGWCNNISWNVAPMNNNQLTMSIFSYEYNKLRLFKSQVPMQLLCWQLAKNVKFTNQLIYNNCKGVLIRSLAFCKMVHDFCVGHKKVIKTHARSPGEQSHFCMTCEVEVFSILFIKDISGKFHVFCVYCAKSQGLDDFIALQQFPFNDLVSIYEGMKFIPAVINNNNKQNFTA >CRE21874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:266272:272616:1 gene:WBGene00065527 transcript:CRE21874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21874 MHVLNDARSSTPSDEEFIEIFHENDYCYRCIPLCNVDREKEERIYNLCSDLKWDTVHTILSGANPSKLKGHIQRALTCTKCPSMDNLSEIKKFQAEITVMMRRRGRLEVLSVLTQEKKDAESRQKSMEEVHGKQINALVLDMDEYVRRLLIMEFCYSKSFNAQETFDSALTCQNCPKIETTSQNEQMWAKILVLMRRQGRLATLKKVLNINDEAERAEQDAAATRIARSFNSENLNKNRKIISLIEHTRLRQTYSSLPKQLQKPKGGPLHSAGSPSDEVTGLGMGEHLALLGSLGIQCSSSVAEFQRNSLEKNQSSSSDPDGTTIPTSSNCNYSHFDFLFGSQENQQNGGETSSAISKNAPAPVYPETGSTRKRALPALGEGTQLKKSSFQMREEKDQSAGSPDGKLHVDRNNKINENHGSIEGTHELRITETNSDRDSNTLASSSTASTPETNQNDMNEAAEQNENAGNASEVDEQMEMDPPVDQTEQVVTEKTQETTDNTDNTPSPQPKSKNEQGVASSLREGKEVVIEEENTSDSNIEMLKSKKKQTTLTSSENLAFSSSVPVNILHEEREHEPESDTDAVEKHAAGSSRSPVHFDFAEHNDIQYDDREPLLDDPEEHLRQQVPEEADENHYIPCEADDSQEIPEETDESHQVPEEAVENMMHDKEAPENVQNPVNLDHDDDEPEIDNHEGESANEDKDTPSTSDAKNSEVQKQKRKQGGRRIVCSFRGFQNQQFLNFNLQNNKTKAEVERRKVIMNKQNTDWLLKQMLSFAYEKKKKDRNHVWEKDEKARVENCIKNWAEKSNPKHMPKRKDIELGTELPDRGNYRYCLDYLKRRCTAFETKPFGESSSDSKMTTVAPPTIRLTGTMHLEHNCTAEDVKKAVLSSPTSKVNPVYKKEELVPPVPDKELLKKEQDFYGLTEDDYHLPCPVVNVSNMDPSEIKKLEEISNGVPILLIQGLDTAAEIDLAKFAIEKMPIDRNKTEITVLNQVPQPAEQNKNDEGEDTWSIFHREEKNPLDEYLKYLGKVEKKADELYEVVIHNKTRGPEKKSNIDSFVDEMIREQDKPVSGDRNFPWSRFGTNVDLTGKDCKKLLEELQKLPEHLRPRSETNLLSQLEVNVFGINTIQMYLKAIGSRTAAHMEHLLMASINWNLGPGPCLWYAVSYEYWGELEKLATKKGVNYHHENYWPSEEELVKNKIPFFKFEQLAKEMVYLNSGCFHFVQSNSYCSNIAWNVSQPTFTQLAISMVAVDHNIQKKNDNIIPLGQIAWSVAEEKKFMDVPEVYELIRNIMIRSLAHMEKYFLFIKENKKEFGVNPYGSASKNCWCTSCGCEVFNIVHWAVKTKTSKKEEYPEPFCSGCTPPKGYILNKLEFTYQRTLEELREIFDGYK >CRE21876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:282433:290325:1 gene:WBGene00065528 transcript:CRE21876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-279 description:CRE-TAG-279 protein [Source:UniProtKB/TrEMBL;Acc:E3MUE8] MVRGQGRNEVFEELNKAKKNIESHQKIDEEINDVIGKPKNQKPDEVQSSSVSWITKRTDNSKFCYRCIPLCLDDQKKENDVKKAMVLDLDRYLNCFLYLRFKPSEDFDAQQMFDSAFECQNCPNIGTTSQYVQKCAKLVVLMRQQGRVAALGIVKEANNLAKRQRQTAASRSAGSSNKRHWKNYLNFNKRSQTPKKGPIHSAGSRSDEVTGLGMGEHLPLQGSLGIQCASSVAEFQKKSLEKNQSSSSDPNGTTIATSSNYDYPHCYFLLGSQENQQSGSETSSAISKNAPAPVYPKTGSIQRLAGFNIDALLSPSTDGGNKTNKESDSVPTSSTADTNKKKMTGGKEVDDGNKTEANEQCPQNARVSANPALKQIQEVVTEETSESAGKADVRFSPRPLPQTTLEHSRNNEEESTIDDREPSITPQPYSNKQKAQCSEASPSHKRVDGEEHLLLQGPMEIQSSPPPLTVKITEPEKTDVGQLKGSEPVAEEGTISTRQESSTDGDNITDKVPIPVGTSSTAVTNGKEMTESVEKLVHDGHGKKGDEESSQNATVPVNPALEIIQQDVRERAPESAEMTDERFSPRPFPQTTSKDLRNDEEIPMDEGELSNTLQPQENEQEPQRSEGSPNHKMDFAVDREGHLPLQKPVEIQPSLSLLTVPTTEIEQAKEQLEGNEHVAEKGTTLTRQESSTDGDNIIHKEPIPVETSSTAVTNEKETTASAEQPVDDGHRKKGDEESSQSATVPVNPALEKIREDVTEETTESAEMIDDRFSLRLIRQTSEDLRNNGKEIPMDEGELSNTLQPQANEQEPQRSECSPNQKVDLAVDRENHLSLQKPMEIQPSLSSLTVPTTEIEDAKKQLEGNEHVAEEESPSTSQETTSGAKKRRKRRRGGAGTQKRRRTASSKTKEAKTPSVEEVNSQIDDEVDSQNSAFSSVPAVPSSSSSTRRRYVRLPVQTPPEKRIQLRSRELLVGQSAEVPGINSEEGQSTSSAPIIPISDVSSTSSSFVPAQEISAVSAPVYPETLSTGSNRTVKSSFSTDGDNTIHEEWDRVETSSTADANKKKMTEGQKVDDGNKTKVNEQSPQNALVPVNQVQDKDILQTENEKTRPNSTENEMSAAAGEDEDNGIDMEVDQQNEQDDTEETPELALTTDDRSSPLQATRENLRNNASTSSPPGINFPLNPESGSKPEGNVEEQYDMDFDLDGDEETEKFSTARSRSTWPQKENKKKGPRKQKKAINETEKERKKRELREHSWNWLITHKLMSIHAEETEKDQKKEEKDRVPPIKRARVEKVIKERMVRLKYFKTGENNEGVDSHYMRRVINLETQFPDEEDYRRCTGYLKKLCTEVVSCEEKPRNTKVAPSVPLPPPPRIFLTGPMYHSHNITSAHVLKEAANWSQSQINTLYQKSEGLKRPNPDKKLFEEEAEFYQGDSPNSEEKDKCRRACPVLKVENKEQALSPEFEKTATKAPVTVISGAFKVFDIDTNLFSREEIAKVKPNEEIKVLNQVPQAADQNKSYEGEDTWKVPHIDQKKVKMSIFQKKIEADYENAKQRKFSCVRLNRGFFLTVYNDILESEDPEKTRKILEKCAATMAKFHRPPPNGFYGPNFEKLDRSLPWIRFGSNIDLLKKHYVAQFESLEKLPEFLRPTGGNNLLNQLSVQVLGVNTVQLYVKSCGCRTAAHMENSLMASINWNRGPGSCVWYAIPYEYWGKIEELTKENDVDYHQLDYWPGEDKLVKDNIPFYKFEQHTDELVYLNTGCFHFVQSNGYCENVSWNVGQPTFTQLATSMVAFDHYIECEKYNQVPVIQMVWAAAKNKKFVNDPEMYRLMRNIMIRSLAHTRWYFDYLKSVNYKTEDIPWAECYNPRCEVCGTEVFNIVRYTEEKVKGKRVEHDFCSQCEIPEEYKHQRIKFAFMFSEEDLCDIFDAFVPDCKVPEGSSENGPTPGPSSSLSSQPQTPGPSKAPYVEDKDATPNSPSSSQSQQTAGPSSI >CRE21933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:291558:292896:-1 gene:WBGene00065529 transcript:CRE21933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21933 MTTCQGIDVYPFIFLRVLTISWHVQAPFNFYAFYCIVFVSPKVMKSFRWHLLVYQCSTTFLDFSFSMGITPVVIPAMPIGYSVGLYRNFMNCHQMLTLSLVCMTTSASTTVEIFFWRWQNIILPNSRWLKLKTFTYYSIWVFALSLLFGSIGLIHNHLDSDQEFLRFKLKSIYSCVGFLFEQPGVYICEPEKYLWVVIYGIISCSIGGFFLVLFVYQSLQAINQMATNRSAYARNVQKKLIYLLCAQMMFPMGAYAIGGTTIVISLSLQLVWMQRKAYFKNANSLSPRQDISEAFNFAILIFSNYGLAASICLIVCNEPYLRHTKRLLTCEFVPDDVTAKVKLCVKHIRLSKKGSPVIRVSDRSAVNT >CRE21877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:293274:294965:1 gene:WBGene00065530 transcript:CRE21877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21877 MKRNMIVFLLLLFLIPYVTAQLTVGGWFFPVSVAPPDPKDNTVYDEEIAFPEAETVNVTHQEIKNDMDHTSTTTHDPLEISKSKRFLPDSGIQNSMPLPTKFGDLSVNEEPVITMSMTPIRSLVQPEEISADITRNLKAEQAMLEISSTTEPVKTTRLPFVYEIPKTKAFDDSFSAVEKERDMMKLKRDVFRLRNEMNLVKALLNKMYKRMYRKQKDFLMKRNTDSTRLSAVQAEGTNHDWSVFRGLVQQRKNQMNRSI >CRE21878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:296850:299025:1 gene:WBGene00065531 transcript:CRE21878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21878 MLLLELLLLFFIPLVLCEKVKRQEQNITHEIPKFKRGPIVIVDETALTNPNSFDYDFSDDLDKPADKQDLYDQFIDQLPMFHIYKLGTDRGVTQVPIVTRAGDTWFTPSTISNREQKFTFYLTTPMTPKKKTTTTSAPTTRKQKITTTPSPTTESATTEESTTTPLKTTTEHTTPPRTTTTSTTTTTESPTTSIIRIRRPLPKQPAPVFKVRTSSSTTTSTTTTSAPETPAINRGPIWRKGGVIIDTTISPRPLTTPRQWTVRGIRIRVTSTTTTEAPSTTKRVIHERPTTRVPWWKTTTVRTTTEPSTTTRRTTTEVPTTRVPWWKTTTASRWVAGPRHPPRFNQNQNWKQPIRKLQETVGRPVAPVNVDPINRSQPKPVVIHTGRYAGPTYNCRVLNPFTDGHPSDEHDSSCKMLYPGLSLDGTCRCFYKVAGRDEHGCATGFIYACRALGLRSISV >CRE21879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:301917:302648:1 gene:WBGene00065532 transcript:CRE21879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-7 description:CRE-NLP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MUF2] MYIKAVLLIVVSFCLLSTVSSAMYLKRADFDDPRMFTSSFGKRSGDVAELEPEVIPEPRYRAIRIQRRNFDEMDDPRLMSMSFGKRMILPSLADLHRYTMYDKRGSDIDDPRFFSGAFGRK >CRE21880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:304047:305544:1 gene:WBGene00065533 transcript:CRE21880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21880 MSSFLLFFLLLVGSASAGVLYSRNADGSFMMSSGLDNMSNENLATLNNGNSDTVDDRIVHETIQLRDPDISVVEKALVKDVVRIIMKNDEYMILLNHPTFPEIVAMVRSMLREPIQEVIQHQLWSKVLAIREYYDNVFKPFNDPEAKTTAMEFLNHLKKSSEDHKLAVTDTVELGKRVKELAFLLQEEEFDQKLSELEGTLDDSKHEYKRRHHVRKSAVDVEPKFAKFPPPTVLPPTTLATPQSNDFRMMEMNNDHSNGVMKPVVRKMNNGDENEPRYMVENNDNSEVEKKINGILDGILEPKLNITNNKKSKNGNVGMNKDNAGVMKVGNLIGNTVKVSQNSSEEVAPTTSAPRRNAFGMTEMNNDNSVGPIKPVLNQMNNGNANGAMNPKFNNVENEKMKSGNMNDSTRKISNTSNEELPLISANHMNPPQHGFAEKDEIDPGNDY >CRE21935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:309439:311116:-1 gene:WBGene00065534 transcript:CRE21935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21935 MSSFLLFFLLLVASASAGVLYFRNADGSFSMSSGLDNMSNENLATSNNGNSKDESRHFAGNVDHPMNVIHVTRFPGKNVNVVQDTVDDRIVHETIQLRDPDISVVEKALVKDVVRIIMKNDEYMILLNHPTFPEIVAMPFNDPEAKATAMEFLNHLKKSSEDHKLAVTDTVELGKRVKELAFLLQEEEFDQKLSELEGTLDDSKHEYKRSHHVRKNAVDVEPKFAKFPPPTVLPPTTLATPRFHDFGMMEMNNDNSNGVMKPVVRKMNNGDANGAMNQKFNNVENEKMKNGNMNGSTRKFSKRSSEEIPEYPRIPGRMPPVVRKMNNGDVDEPRYMVENNDNSEVEKKINGILDGILEPKLNITNNSKSNYHSKSRVYQKISEKSKNGNAGTNKDNASGMKVENLNSTVKVSKSSSEEMLPTTSAPRRNAFELTETSNDNSGGVMKPVLNQMNGDAINPKFNNMENGSSENL >CRE21881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:316972:318457:1 gene:WBGene00065535 transcript:CRE21881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21881 MVYSNTFCFYFQPFFSFVDSPYYHVSRFREFDERPTVAEADSTTPEEKEYERPGEVHVGPVGANVAPDARQPNVPVPRGEQPAPPPNLAQPNPAQPNAAQPYAVVIGQLVQPVENGNVCMIYADKNPVAPTADENSKEDTGTDQVDEEAAPPGAKSPTEASAKEEAVKEATPPKGLFTEEKITNSKSTFCFLETADAPPTGDTENSAIEKEDAAENEETAPGKDTEAFEEDAKPEEEKVEETNDKQGVKRARTDSQKDGESPKTDDFYDTDEPEYKKNCTVDGIEDEAPF >CRE21937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:338266:339210:-1 gene:WBGene00065536 transcript:CRE21937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21937 MLFIDNDFRMTIAQCSKKCYNLVKSLNLKADMIEVSVEESYVIHVNSGSFETVVILQNIALDTMLVGSVLACDVNQDDLDVTWEKPNNRVIDWIRFVLDLINEKVPYTFEIGDGEYEPEWIHGSLNGFKLRQLLFSDDCDDERARSIIECFTTVPMIYIKRNPYLNGQPIEVEQTSKFLMRNYEFIALGYEFPMDIDLLLITNGIYIEILNHNFSLKNLRVILKSWMNGALPNLQYLCFEMNQRVNQDELMHGIHHQVISQEIVREKIFNYQTDSFTVRARGGFNIRKFNQQQFATVDLNNKRASCYFQLFVWD >CRE21886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:345347:346982:1 gene:WBGene00065537 transcript:CRE21886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tnt-2 description:CRE-TNT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MUG5] MSDEEEVLSGEEEEEVEESLAEEVEETEEAAAEDAPAEEEEPEAAEEEAPVEEKPKPRPPVQEEKPPAEMTEAEAAMLAAKKRHEEEEAAKLLDYEQRRVLEKEQMEQELRELKEKQEKRRAEREEDERQFAERRRQDEERRRKDEEDRKAKADAEKARKNEEKSRRQQMMAGSFAGAAVGGPGGKNFTVQSKGDQAASFGNLAQGAKAEGLSKEQQEDAKRAFLNAVCKAQDVSNLMPNDLKDRIKGLHARIVKLEGEKYDLEKRRERQEYDLKELSERQRQVARNKALKKGLDPEEAASSVHPPKITTASKFDRQTDRRSYVDRRDLFENPFVKPAFTIAHGTARPPAEWGRKENDELEQIRKNLEPPKYVEQVKAEGDAAKPPVAPIPLQIPDKDFEDGPAKNPNEGAEVVIPDSEAAAEAVAA >CRE21887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:347980:348420:1 gene:WBGene00065538 transcript:CRE21887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21887 MANNYGNAGYNGPNTGSYGNAGYNGPTGPYGNNSGHPGQPPHQDGIPTLHYGNGGYNGPQAPYGSGGQGQPSGPYGGAGYAGPTAGTGFGNAGYAPPPPLGAPGHNIAPGGVVHDDHHHEDSHGKHGKKEHHHHHGHHHGHHHEHH >CRE21888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:349456:349766:1 gene:WBGene00065539 transcript:CRE21888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21888 MSHQGHEHGPSDGHHDHHDEHKDGHHHDTQHDAHGQHVHHAGDHCDTQHAGNHQAGEHCAHTQHDAAHEHSHGDHSNDSHGHH >CRE21889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:351550:351813:1 gene:WBGene00065540 transcript:CRE21889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21889 MSYYGNGYGAPPPMHGGYGAPPVHGAPGYMPPTTVHVHTDGGHNGGHMDTHHHGHHESHHHGGHHGGGHHYESHYESHHHGGHHGHH >CRE21941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:354749:354994:-1 gene:WBGene00065541 transcript:CRE21941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21941 MSYYGNGSYSPYGQPGYGAPPPVYGAPPPVYGAPGYMPPTVIHTDYHHHGHHHHHGFLHELGHAVTGHHHHHHHGHHHGHH >CRE14923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:241570:242034:1 gene:WBGene00065542 transcript:CRE14923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14923 MVESSDDYNSSVENIKRLLADFVKQELRGIVKSILAENGEMKEAVRDERRNIKRRIRSQKEKWESVQRKIQENRKRKMKSEKKARRICKKKMKAAKSRQWLKFRELKYNFVSRKEVILRSSICSVPLVMYRVWCLLLSFETFFNARNKKKKYSA >CRE21946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:365058:365709:-1 gene:WBGene00065543 transcript:CRE21946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21946 MALQLLKRPRPLPAFKDEEKGIYAQWKIKGFYYRKAGLPKISVKGVARVRYAELEVEGKLPHFTVSKQLVNIGYVENVNDDILDIRGCDFRLLIFGVQHVEIIRDWLRFLVIRQRIPHSLMGLPFDWQVKPIRDDRELQLSRISPFHCFKIW >CRE21947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:366553:368868:-1 gene:WBGene00065544 transcript:CRE21947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21947 description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:E3MUH8] MESSSSGGAVAEHSRRRIAYYYDANIGNYYYGVEHVMKPNRIRMAHHLILNYGMYRHLGIFRPFPATVEEMSRFHNPGYLEFLKKATPDNLNRLGGGMLKYNVGEDCPVFDGLFEFCQLSSGGSLAAAIKLNKKRADIAINWMGGLHHAKKGEASGFCYTNDIVLGILELLKYHKRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGDIKTTGHGIGKNFSVNVPLKSDINDQSYQSIFKPVMQRVIERFEPAAIVLQCGADSLNGDPLGRFNMTLKGHGECVRFLRSFNIPMMLLGGGGYSPNNVARCWAYETAIACNVELSNDIPSNDFMEFFGPNYKLHIEARKVENKNSQSYLNKMKQEIFENLNDLTFVPSVQMRPIPEDAFQSFNDPTLTVDMANPDIRHAPQIMDNVVAHPGEFYDAQNTAGMFRHEENYALKFPYPDHQYGF >CRE21948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:370090:371952:-1 gene:WBGene00065545 transcript:CRE21948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jbts-14 MSRPVPPPPKNMFREDSSSDENEAVDPGDNNIFHRRRENNQIEAPSENENDEVFHPAAEDVETVEVIKTDNDKVEEPAAIPNLRNRRVSYGRIPVPPMAVTVADIQEAAKQSREENGEKEVEVRKPVDPRRSSVSLDVFKGFRDPHDKKNMPAKDKTIYVEGPNGKFREMKKGRFWDKDKFASPIIIKPKKKEKESIIPHLLKAPGQAFRTQRVYNQLANIIQGFLAGITVMLAIFSFNLDAYVLVGGYRLMSLPIHAAFMVAFTVGLVSAIDRTGIYEVEHFTSRTRLTATIHNNGLFTVIVWFCGLISTLLCIQLESQLAFAVCLLSLIIQKMLLQPTRIPADSLIYKWRIFNVLRALTAALGFLLLAFKPDSDTMAKELRTAIFDQLELVTTDPDRQKIILTAMKI >CRE21950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:372706:375595:-1 gene:WBGene00065546 transcript:CRE21950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-8 MAMNSTIISLLSNTTLMDATDQPGYSGTAKDAMCTFPTNFAEVDYISTYIYLLAIPTICVLGAGAAVMCIVVFTRKQMRSSLNVYLAGLSVFDLILLSFSALIFSPLQGCVLQGHGDTTVCHFFWRSTPWTLPISNIAQCGSVWTCVAVTVDRFLAVNYPLHSKIWCTPRRAATILVAITIFSIVFKAPMFFELTNDDCGRLRTSFLRDNKYYKEYYVTFGYLIALLLIPWTIMIILNVFVVKAVHKAYKIRRSMQGGKNNQEEKDRRNSLRCTLMAIAMVLTFLVFNVVAAVNNLAETVFEVSLGFWSPIGNLLICLNSASNIVIYSLFGARFRQMCVLMLCGRQSRWMEWLKVGRYPPQSMVSDWDGRDGTTRKTSLDVSTALLSARRMTISNFITYPMSSRRWSKRSQSGAPHQPAARKDTVIYTSAQNRLSLQSTPS >CRE21951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:383572:387196:-1 gene:WBGene00065547 transcript:CRE21951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21951 MFFRVFWLFLFASFWRFVITVDLQKEGICPPVLDIIILFDTSGGNDTVFEQQKNWTIKIVRDLPIHEDAVRVGLIQYSDAAKTEFNLSRYSERNDIITHLETLTFMPGEDTRTGVALDKADEEMFNYIGGARLKATRLIILFTDGLSMDKPTKSAKTLRRKGVKIYTISVNSIGFVPEMLGIVGDADNVFGPTDEDRIEERLLTEVEHSRSCEVQPKRHEKTTSSFGLKITDFIAINPESSEVNFANTTTIPTTTTSESFARDPENSDEADGDNNVEDIGRNETETTVVMEITTTSPVSLSWKEPIQLFTVEAESTHENKVLNLTNLKTSLAEESNTSEAKEINDTVFETASTGQTPDDQLTLSTELPTSSVSVDSPTVTTNSVEISSTPASSPEPTTVLTTLPPVTTICINAPTTTVTSSTGSSNLSSSTSKSFLVKLGVEKEKKEKDPVSKFLGRDVSNSNFIKEEKEEVKLSENNSEEPNASETKTLHPDSSVSENKTTSVPPAATNRFFFSTRVTFRPVSSTTRISTTSSIPSTTSTATTTSATTTTGRPVPTTTTLSLRSSTLKQKIESTESSTTSTSPSSPVTPPQKSAFLFQTLSAVTAFPTLLSMEKAVTLPINNALGVSKPMKKKIRRIIKRRRNILPSSQAKKVTDKVVEVKRVPTPTTPPIRSTLRPIIIEEKEEEIFSSSVQCPMDILFVVDSSGSIARTYDTQKDTHFQDYLTQLIKKVEPSRSHRVGLIQFAGPHIQKMEWSFDTHSKNSQLLSAIRSVRHLTGTTYIGAALELSLILLDSRRKHTETTVILISDGFSQDDSTQQAKLLRQLPNVKMYAISLNKLTNTKYLTDIVGDRKNLFINDESHWFEEFFTKKLRCVPTTR >CRE21953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:390381:391351:-1 gene:WBGene00065548 transcript:CRE21953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21953 MPSANSFHVPSDFSQEPVQFVALEDTGAILTHSRKGYVAIGEKRVEVPVVDFVGNSDVGVLENTFTMQWQNIGNTTQVHFTGTGGSLIGSEGNPGVLLIGDELIKVNLLLKRTDGSFYLEANEDFLMIVAKSEPNGSPDVDTLQASQFPASGAKLPIGYWHSVPFPIPGAGKLNLVELVAKSDKNVVINVAETTGAPLRIPISTVLDQD >CRE21954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:394371:403588:-1 gene:WBGene00065550 transcript:CRE21954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rig-1 description:CRE-RIG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MUI4] MRMIILIFLCCLVRIGISELESQLFDLPSLNVKTNQLYLHKKNATNLTCELTAGYSEARMQSVIWLKDKEFIDINDTDYEWKGFILTIHGTRPQNEGEYQCLAEINKIRLSNRQLITTTIISSPLKIRRARFTKFDETYVNHAAITVRENEVARIPCAGMPDVIPSPATLCFQRLESDDECLGGRNDTKYFISETGMQISLVTPSDAGFYHCVVTNEFTGQTRKSPKPVLIIVKETNSTKKTVGEVIKPVLVYPSKETTIDKPIDLAVAEGEDVILECVINMAKVVWITHNDTTPNVTMDDNTSRFQQIWGNLRIRSVALGDAGIYSCLGLPILSVNESFDGNRTRPRVDYNLVVYSPSGVRLSITAQYDKRYMLECLATNLLYEIPTAYINGTSIVHNINAMGIPSNTNFYTNPIRVHMEVKTSFTGSIQCVSRPAMVEGEIYGYGLERGKSMNMYVMNIQNSNDLIIKGPHNITVHVGHTADFPCIVQRVKARSWMKNNRTLTLFTARRTILGSNSLRIHKVELGDEGIYTCVAQSESGHTSRSSAYLTVIDNSTTTAPVVTSTEPFLDKKKDMKIDVEDVRGFVTGTEVRIQWSVLGKMEALTKVAKFLIEAQRFGTPEDSWIEAETVDSHVRATTIKSLIPDNKYKFRIKMVRDDGTHVVSLPTDFMSVEATSGDVLPMSPKILSVYQTSADTAKVLFSHNVLIENAAARTFVIIYNEVSSEQNKSQVIQVDGDQTDATITGLTYETEYLIRVLAENSAGKSLSSPEYLFETTHSGMLSSVRIFIGSIEPHLTFKNIALGGIITFLALIAVLVCFFVFCNLRPGKQRQKSQANGKFLDTSYRIFNEQKVHKSRGFDTQAGILEPDVDECSPLKVRQVDERLSGDSDKYGFNNHDENLPNLYGNMDDIMDMEEQAEIPNDPTYVSASKMTNDYGVHSTARCYSPESRTSHEMLVSYSRSAPHSTANSSSPQMNGSMQRVKAYNYADSLLYSPAGTTSSIAETNSSGTGPIRAHCDSPNTAPASDESDQGIRCGGSSRNSHISSTDGAKVMTMGTFKGSTPTSSFVSTFDRRRSENVA >CRE21892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:414249:415968:1 gene:WBGene00065551 transcript:CRE21892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-stg-2 description:CRE-STG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MUI5] MNKIKTFMFRVSNETKQEVSPRNFLSTSSLCAGVVEKRDSCALGVSLRGSLGFFRSSLFGLPKKIGSKMALGTIVEEHFHHPPLPSSPPSCKTRTNSEPPPLILPPQIRTNEMTLGERVSKYIIEARCTDNILFSGAMAVGGFVLVMQTFPIFMNNWVFLTEPRGINKTNENGEQLESTFHYNTGYFQICRVHINNNSGIMYDELEIPPSESEYKCYLNPLFSQVDLTDVSLASLAVIIRLGLPALLHVSGALVCCFAFFLGVVGHIRSSFYTLFSSITYICGSIILCIAVLMVVCVVDDELAPRMKPNAAGEPSKFSYTYGPPFFSSALSFIPVQICACLHAFLYFRRYPSVVEKLKFVPGLEAKCEPTRNGETKLSKNFTVRRAQLDKELGIPPLESFRRGSLASYLPIPSFSQGSRRNSRRSSQASFSNPSLLFMQDV >CRE21893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:425166:428596:1 gene:WBGene00065552 transcript:CRE21893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21893 MEPATRHRADMISFFTFDSYISIVGVAYTAVGLLGVFCNVTTVIMILTNRVFRLSAYTIMANVALADSIVMLIAGVACGMDVMWPNPNDLTSFIPSLEEPLNKVSTLRNDTKTAAESEGGNIHAVLSFSFVAAWTAGVISYAMLGMNRCIAICYYGTKARSLNQVSVAILCSASTWVIGIAAALVGTLSQPMIGIQRTMWSISFLEPKPHTTLFFTLLCAANLIGLCAQWVCSTLVLLKIRQVKKKISKNKLNQNSANRFRKQVTYLIRARLTFQFFYPSILCTISTFLFFIKPYAFEYLSGWQLVILHLLWLCNHTCNPFIYAYFNDRMRMTYKEILTCAAIRYQIRKRRSSHPFRMHGRHNVSKRSNAAGMKSTRISARSGRTNRDGNFVRNSLQMQSRDFEQLCEFIMRVNPLYDSSEGWRESSDDEPFQPEFTKELESAHNQGGGSSRFDSEREAKSIVLDLGRQTVEHWVKFAKKASI >CRE21955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:428981:429501:-1 gene:WBGene00065553 transcript:CRE21955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21955 MGNCLRLLLDSESDVKIHVIEPTSINNGEGSSVVTSQPAPQTPAAAPIATLQVPGLQRPRTPEPTDREYFIIICDHLITDHLTIHNSLISSTCCQLVIIYSYPSFPLVNFAEMFVSSVTSFFRSNFCCNLINFCD >CRE21956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:434751:437788:-1 gene:WBGene00065554 transcript:CRE21956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21956 MTITYRKHKKQKPESTRAKKEKESGVLKETEKTDKNSAKTPAKAPVRTPADIKRRLSLYRSMYGKQHEGETDEDYLRRVEELPDDEMSERPKATPKRHNVRPVFDDSPPRQKKPTTSVQESSSTVPKGQGEKWSVSEALAQLGYSTTSSKTVVQAIDHVGGSKKLEALKAPTQLAQTQILHRHTAIDKPIPNAAQATNGHNVGPKNVEKLVMPQMDETPILPRQAQPSIRPAHMSRKEVFERRSKSSEEEEAIQRNPTVSLGAPSTSNQTPLRSRTEVQLPPTNLLSEADYDSLEQFLGALRNAEFGEDPMERAKALLTPHARALAGYSAQPAAKKSAAPVGEEAVEASKPRRSSRMVTPRYNLNKDDFGEGDSDEDYVDEDAEEEKKRKKAEEKHLAKLKKDAIQLLKNEERRERRRVKKEIREQAKRDQRQVEKAMKKKRREDLKAQDQARIDQEKEAERTRKKNIKDAEDKKRKEAAAIRKLQSFFSKRNTTAGENVKAIQRLAKRQARQARADKKQAVPSFNSKERASRSFSADGRRKDLEDVTAVTATPPKWLYWTMLRDAKKIMRSNYGKVAKFAMDTSNLVEEHYLSFLPDPFAKAAALRFDWAKETNWGSGELTKSIGQLITFCFSAPDADYCLNLSPEELYAGYGTAFTPLDQSNKASSYSGLKVTKSDHILKFAQFLKMFPLRKMELKVVKEINLTKRIPSLEYFVEKFIFLFKVWKISNKDTPYPRVYHYFLHVVSNIMDIKIGRLEYNDSIPEDEETRFNLVRDLLFWLPLHIGDLTDKIHSPRECFVGLARQLLAVLVDEWIVECAEVHEEELQVLFRLQLAALRLECYDVFNEETPVDPAPFFRPAASQNGFIAGWIDQQRPKMSVIEAMRLPKESMKRKLRRRHQSFSEFKDIVETVEPIQVRRAPRFFDPDPTSYFNSVRGQEF >CRE21958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:445797:448045:-1 gene:WBGene00065555 transcript:CRE21958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21958 MTSSFLPPTLFPMSTLLPPLGLQVPLPRLQMQHQELAQLLPPLTAFFPTVFTPQQTPVQQEPPPIQQNQPPVLEFYGKSQFNDDLNFKKSQNPVQQTQPPLLQFYGNSQFSDDSKFKKPLAPIQQGQPPVRQLYGNNQFNDNSKFKKPRTPEQQNQPPVFPFFENCQFSDDSTIKKPQTPVQQYNSPVLEFFGTNEFNDDSKFKKPRTTDQQVQPPVLQFFENSHSNDDSTFKKPQNPSQQSHPPVLPFYGNSHFNDDAKFKRPRTPPPKQRCQLKPHNPQQQRFLPIQQPPKRKPKRTYDEPPQSTPMLPPFFEHYFPMRLAKSYLDHYAKYSTQPAPDDSPDIIILEGPTTKKPRINVVPDVPLETTKIEQEPIYDQNPPQLTPVSPNPTKIHKTPTPKPVEFSEKNPEWAAKLVEAIDRMAAANRTPPPEEDPSIPPNTARYMTTLIPNYIEWKYQLCMHRIITPDDLDTLLTDQNNCILRRRLSGHYHHILTDAELRKIDAEFRQIANLIMLISSERQKKLFAKELLSNLRLRTSQLLKLRQQTGYENFTEMVSDLIYVNESQTNFNVRLPTPEEINKAIEDAFNKLEYEDLDSFKKMMFIFSMQYDWKNPEENTGHIEKVSELTQLTPARVIEIMNAARVEIRAIIAQQQYYWSIDDELPHFD >CRE21959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:450756:453389:-1 gene:WBGene00065556 transcript:CRE21959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asns-2 description:CRE-ASNS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MUJ2] MCGVFAICQQTCQNRQKYDLDEARKLSRRQHHRGPDFNGCYQDKKTGDILVHERLAIMDLGVVQPIQGTAPTRQVIHNGEIYNHQLLRDTELKQYKLKTTCDSEVIIFLYEKYRDGHICNMLDGVFAFALCCDGEFMAARDPVGVKQLYYGVDASGRYFFSNEMKCLEDSCGDFQIASFPPGHYYTPKTGFVRYFNPEWFDFRSAIHPLDLKLLQKTMIAAVHKRLMSDAPIGVLLSGGLDSSLVSSIASREMKRRGMTVHSFSIGVDHNSPDVIAARKVAKFIGTTHHEFYFSIQFYFQEGIKNLRKLIWHLESYDVTSIRASTPMYFLSEEIRKMGIKVVLSGEGADEIFGGYLYFHNAPSDEDFQKETIDRVLHLYTSDCLRADKSSMAHSVEVRVPFLDKAFLDAAITLDPTFKRPQKLEDGRNCEKFVLRSAFNTDQYPYLPDEILWRQKEQFSDGVGYSWIDSLMKYCASKITDKEFSQASKLYPHNTPHSKEAFYMRKIFHELFPSDQAALTVRKWIPKWQKNQDPSGRASLVHESSVHNLGEDLCNSKSPISQQRIEQCRPQA >CRE21960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:456529:460587:-1 gene:WBGene00065557 transcript:CRE21960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21960 MDHGLLPKILRVPGNISVTIASLEPNDGFPHSGISGKMIPYGLKNLNKISFFECNDKVDLSSGETYYLISQNYPLSPTSEITGCSIKLSTSGSRQGIRFAVYDFYLNQLQHDSFFITGKNGQNVTITGFATEDEPVVYYFQSETEVTFSISHQDHSFTQKRFYILVNSYESEKLNVSAETVFHSVSTSKTCDSTGKFDVAVGQTINFGTRQFGVNPYDNNLICGYDYTRTPGTNTLFALAIQYESEKCCDTMSIDGLTDDQQIYQGFQYSNLFFTDSQNISFIFNSDPIMGGTGLNGSLEHIDCTCSPGIILVKNNTLTSPGYSNSISYCPDLLCTSKIEFQDDLYDLQLDFTDISLRSYSLNNDTDSVTVFNSYDHPLVIMKPSYFGFMNFWDNLSHQSQYMKKNFVTEKVAFNDGFFLKDISKLDLASGKTFEFIVTGRPGTQIHMHFFTKVSNQVFVDIFDGDSMDAPRIDNKELYSNIIENGYSIELSTTSEKAVIHIRGNPTFLEPGTDFQALVTDKSKTPECGLWVYTLKQQQMDSEKLLVKGKDCIKILHFTDSSYSQSAFMVFSYTADIPMNIYYGLNASSNNLISSQTSDLRPAYLFTSYLVLQYTASEMSEIHFFWDISGQVIPAVMNSDQKLMLMSDDYLKIDSGNTIQQFIVELKDSNTGMMCEFLADSGKGTGTLTWSSYTNEIKKESFSPATRSLKFTSCAEKLLVDYTSPGGSDNGLFVKISKADLRCSSHRSISAVVLLIFFISSLFI >CRE21895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:464486:468235:1 gene:WBGene00065558 transcript:CRE21895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21895 MSYEDHDKSNLHLKFEDDHFPAYFRLLKWKFLDICIASMDNGGFGYFKLFQSLFPEKKLAEAVEKMTEEMPSFTTDPDFKKLRASKVDEKAQRQAETYLKMRHEHKYKVQRRRYAEYFLKNVLFNEEGLRIADRLMYENCKQVYGTSHWRDLPTNWVTHETFKEKFYEEKELKNDRFGMQKLDRIAGSARGMIIMKHFGNNPHCTKEYETLEREVNDNENMVHTDLVKEMLDEGPVFEIVKDKNGEEQRQRAVKWSIHKFVFSILANRPPFEIHK >CRE22529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:8230:12457:-1 gene:WBGene00065559 transcript:CRE22529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smg-9 description:CRE-SMG-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MTZ9] MKKVEILKTSSTTSSKKSMAKRTQSPPATTNGPKIAIRSRPPGGGAELTNGRTVSPPPPPQELIISSKSMKESIRFLNDLGEITDAVADLLTTSPNFNVISAIGSQGAGKSTILSMLAGNNSRQMYREYVFRPVSREANEQSRHQTIQIDIYIVNHTIFLDCQPMHSFSIMEGLPKLRGGRMDDVTAHSDTLRLTAFLLYISHTVLVVSETHFDKRVIDTIRTAEQIRPFLSQFKPKISIERKTNLIFIKPKCGSIDLAPTVIREREQLLRLVFRDSKWLKLSDDPFKVLVILEEIRVRREHLYEENDDSMIKEDLILNEFDEQIANLRVELQKNRQNFTVENGAMDEKKWLEMCREVIRDKTFHKILKEFHRQNRQYSDNADGFRNMSDTPPLR >CRE22530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:13674:16764:-1 gene:WBGene00065560 transcript:CRE22530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tac-1 description:CRE-TAC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MU00] MFLVEKIDLNSIFSMKFLFFAHFETEIDSSSNIGENFCFLHIFGWKNVFKLDFSLKNHVFLHFSHIFTRKLRISAISSQKKMDSTFTKEDGEETHVIDDVANEVVVPSEDVPIQKTEQKMQQFFMGFSRAEIIVMKHTVKYLTETMERLLYSNEFEVRRCATGEIVSQGRCHVNGAMNGNGGGASDEEVQKLLKERDAARSEAEKLHANYATLYAAYNQVREAANDIRTEFEDAREKLKLATAEIDEWQVKFMSIKENATNELERASREYEDLVQNHEENVKGLRLRAKRHAIELSSKNEEIDVLKKRVEELSAICDQLIEDVDLSDQMSAVSMDA >CRE22484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:17634:19972:1 gene:WBGene00065561 transcript:CRE22484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22484 MDLLDYIERIGDDPPAPPRVASYRQNIRLQMDSEFLEWPNSDAETANFSTGEEPMSLPEVPSTSGGGSILQPITDTLRLYFPDTIETILQNLLEMLCSSRSDNDIQCELIDLLGVELFELAGDVLEKRNKIVYEVKSAELAKVELAKQNKTKWSELFITKVSQKVYFLKILRSLDIRIHK >CRE22485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:23126:43971:1 gene:WBGene00065562 transcript:CRE22485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22485 MRSNDNPSVPGILQTVSVQSTSQNEKRRELRKEEKRTRRELNRVIHAFGDEEKLELELAQKEIQRQRQLEIDQMKWKPSLMAGGPRVEEIYPYVFDARIQAGHTIFDINGMKFALPEGSKRDTFKTHESVTVPPSNKGDIEKIQHVYIKDMDELGQKGFKGFEKLNVIQSIVFEQAYKTKENLLICAPTGAGKTNIAMLTILNTIHEHQNAKGEIMKDDFKIIYIAPMKALATEMTESFGKRLAPLGLKVKELTGDTQLSRNEVADTQMLVLTPEKWDVITRKSSSDNSIINTVRLLIIDEVHLLHDERGPVIETLVARTLRQVEMSQSGIRIVGLSATLPNYIDVARFLRVNPYKGLFFFDGRFRPVPLTQKFIGTRKCGNFRDNNTLMDNVCYDEVIDFVKRGHQVLVFVHTRNGCAKLGEAFCARASVLGQMDHFLPKDKTSSKYVQADKAITLCRNRAQISPLFQRGLGIHHAGLCRQDRILMERCFAEGHISVLFCTATLAWGVNLPAHAVVIKGTDVFDAEKGVFGDLGVLDVQQIFGRAGRPQFENEGHGIIITTRDKIDKYLTMLVHQNPIESNFYQRLHDNLNAEVALGTVSTVDEGVEWLTYTYMYTRALKNPMAYGIAYGAIEKDPTLRDHFGNVIREAAIQLDLNKMIRYDMATEYLNSTDLGRIASNFYVKYETIQLLNEAEKGVGLPVTFTAFMPDDMVIGLVSMATEFANIKCREEEINDLEELMSYGCMMNVRGGGLASVAGKVNVLLQSLISRTSTRNSALMSEQLYVQQNAGRLCRAMFEMVLKNGWSQAANAFLGIAKCVEKQMWMNQCSLRQFIQIINIPITWIEKIERKKARESDLLELSPKDLGYMFSCDGDRLYTYLRYLPRLEVTARFKPITYTIVQVEVTLIPSFLWNDAIHGKSGLQSFYLVLENLNENLIIHQERIGIGKMKVLKAEPQFIVFTIPIVDCQLTNNFQLRLASEYFVTEDVVVPMSLHNCILPKSFKSHTDLLDLEPLPIKTLKNSKFESIYTFDYFNPIQAQVFYSLYKTDKSALVGAPTGSGKTLCAELAMFRLLQDHPGMKVVYIAPLKSLVRERVDDWKQKFENGMGYRVVEVSGDVTPDPQELQASSILITTPEKWDGISRSWATREYVRRVGLIVLDEVHLLGVDRGAVLEAIVSRLKMITRRSHTREEPVRLLGLSTALANAGDVAEWLGIPDEACFNFRPSVRPVPISVHIQGFPGQHYCPRMALMNKPAYKAILTYSPRKPVLIFVSSRRQTRLTALAFVNLLIADHNPKQWLNMEMSELEVWMASVKDENLKLTLPFGIGMHHAGLSAHERAIVEQLFIEKKIQVLIATATLAWGINCPAHLVIVKGTEYFDGKKGKYVDFPVTDVLQMMGRAGRPQFDDSAVAVIYVQDAKKTFYKKFLYEPFPVESSLLPVLPNHVNAEISAGTIDSKQGIVEYLSGTYLYRRLFANPNYYGLDEDSEESMLKFITKIVDDCVSELLESECIHVDSENDVIKPTPSGRIASVYYLQHETIRFLVKTMHSGCTVEQMLKILTDVPEYAEIPVRHNEDLINTELQKKLRIRFSTSVMGTSACKAHLLFQAHFMRTLLPTDYRTDLKSVLDQCIRILQAMREMARLKNWLSATMNIVLLQQMCHSARWHDDHPLLCLPHLTHEDARSLGDQMTISQLQNLLEIEKSTSSEDPKMVKRAMKLLRESTRLDESQMKEVLKSLCHWPIVQIKSMDLVDSDGKFCQDVSGEKEVKVTAGEVYKMRIVLERCGPARNNSSMHLPQWSKPKQAGWIVIVGREFNDQIVNTTSVMGSHSTRSTAKLDIRVPAAKGKHALSVYILSDCYMGIDQEYTMRLDVC >CRE22486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:49721:57519:1 gene:WBGene00065565 transcript:CRE22486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22486 MNEEDIVLSNLRDSVSRIVDNLKFNYIDEAAHAKLVGLMADKLFLMSHTCRALLENTGRIGSALNASVDIKHLFRIHRVDLRQLVDYTQQVRPFPPNKCDIHGEYVAEKEVAKTAENEKNTMEIEEIAVKKAQPTPRVFSFPVFDDMSDWELGFGHHSDTDSAMSEPPTPRPLSSSARLLEPPPPPPPIPPLFFYSPEFPDFESKYRPEPIEKQLKIFKADPPLYSPPKVAKDTWKYEKYEKYERNRPKTSQKLEKTPSNSSLAPKMTKKRLMQTNYERRLRNEQMAEEERKREKKREKTERKSMKIEKKEAIQQKQKFKERVIRNKREHVAPNRVPNFDENDNKLMSSSEITPPPTKKMKTEEAPPPTQPPLIKMLISFKRERQGFRIKKVETTIYNEVVNGEWKPLLQTWKSSIGIYSEPKYPIRPPKRAISEDDGKPKIPKLKLIKNADNNYSKVS >CRE22494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:86084:86680:1 gene:WBGene00065568 transcript:CRE22494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22494 MPQFLSGVTLKNREGTELKAEEHLKGKVIGLYFSASWCPPCRLFTPKLKEFFEEIRKSHPEFEVIFVSRDRNSSDLVGYFEDHQGEWTYIPFGSDKIIELMQKYEVKTIPAMRIVNDKGEVIVQDARTEIQNKGEKAEALWAEWMALFK >CRE22496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:91807:98028:1 gene:WBGene00065569 transcript:CRE22496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22496 MKLLLFMIDLAILAQVFCAESLFESNSKGTFGNAGSKESTTTPSIPTTVPTTSEPSASTGPVSTKTASLNCGPLADTSCCTQEIIECLLDNRIDLSRFSSADGYEVKLEECGCVLTTTSTTTNSETPITPSNSSSCGWLATSFNLDAYTINGGTDFSYNGTCCSDVAVNTLKNENEWGLSINSTYSQWDYLVNLLYCVEGACTDPPRWDNCSKSSTSSSSSTSPQTTTSSKLTTSSGQATISTTISTEGETSETTRTSTTTAASIETGKGDNNDETTTMATTIIGSTSETKTATDVESSSVTTSTQLNSEGTTETGSTGTETTTLTTTTASSGGSTTTSATSTTVSTATSSTPTSATDNPVTASEGSTTTSTSTTTMSTSSSTPTTPHTSFSSGSTDTTETTMTTQTPSTTTMTGSSTAPTSTTTESTTSASTIAGQSTPTTEVSGTTTSHTTSTGLSGVSTTSGTTGTTTGTSGASTSTSATGSTTTTGSGTTTNTDGVYSSTTPMATPEQTTTYNWPTGGTTRILPSGEIILSESLIAYENCTTVLMQLIFNPSTNKTRTESARDANGCKTSTTTLMISTTPMTTPTHSSTAKTTTYNWPTGGTTKILPSGEIILSESLIAYENCTTVLMQLIFNPSTNQTRTETTTDEYGCRTSTSTSSKFSTTPMTTTESGTTKTTTTGKPTTSKTGTTASTSSKTTTYNWPTGGTTRILPSGEIILSESLIAYNNCTTALMQLIYNPKTNKTRTETTSDAEGCKTTTSSVYITTPISGGSTTPSPSDTTTSFQWPTGGTTRMLPSGEIIISESLIAFKNCTTILMQLIYTPRTNTTRTETTADANGCKATSTGAVSGSPGATSPMTTSTTTEAPFTFPDSKTTQILPNGEIILSESLTAYPNCTTVLKQLIFNPATNTTRTKTSSDAQGCKATTPVTVTSSTPIPPTTTFKSSSSGASTTSPVASSTASPVTTTTPPPTTTTSPRRRTRSTTAVPVTCPFPSNLNRQNTNRPTPEEIKESYAVGERIIHICKKYYIQELSKQPLRIYQCGEDGKWIGTLQKCILEPGRKAEL >CRE22546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:110685:112674:-1 gene:WBGene00065570 transcript:CRE22546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22546 MSDIETAAAIQLLVRRVLPESLRVSVKKARAELTEKQKRAALSLKYQKQIEKMTESKLKIDKFRKIIEQFDRDVRIAQSALEFLLAIAEQKGIDLEKNVEMNEGGNINLRYYAARFVNEPEYLFEFAKHSRKSLKTAEKELEKARKTKKHIESHQWNIEDYAAKIAEAREKIQELEKKVREEEDFVNFIQQIADFLKIDIEKNAQMNEGGINIRRFAARYVNRPEHLFEVAKHLRKSLKTAEKELKIAKKAEKCIQKNDENFETRIAEAREKIQELEKKVREEEFFVNFIQQIADFLKIDIEDTWRRSFLRLLQHLHDRFQAPRVFVPIPQHSIWFFEVLLTILVFYVYFTF >CRE22547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:113626:118154:-1 gene:WBGene00065571 transcript:CRE22547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22547 MTSKPEDVTRNDFNVRVAKSNDNVRYSVMMFNGMDKVDTSKWTLESAVTMEREDNQRVILSTQTVQEYGEGSEYGKAAREEARRKKYGRQSKSYKLDNQPWKLTFTEPEGRQRQMRGIREGGANEHADYWVFLKPPGSSEFKAYKVDEWHKFLPAITHKTLDIDQAEEQFSQRNKVMNQFALKAAIQNQLNATDDSEMTEQQKRLLKIKDEGSSDDSDDGDDEVEGGGGGDDKKAKNKKKKKKNLKPAKEKRQRVENSDEVAKYESSDGEDEGREYDYISDSGTDSDREQVPSDEKIEKQLVGVAEEEGARKLFETDSDESDDDLAKKLLKPDDKKKGNDVEERDSSGSDSEDPDTEKIESVVFLKANKNAEAAGGGGGAKKRPLGEDSEVKTDGVGPSDAKKAKPAAKFEEGLNEETVRRYLRRKPHTTKELLHKMNGKCGDMSKSEMVTRLATILKAIEPHQTRQLKGKKEVLFFSLANTIA >CRE22548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:120064:122058:-1 gene:WBGene00065572 transcript:CRE22548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sco-1 description:CRE-SCO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MU32] MLRTVSLVCSSANLCKNTKPVWSLASAARFSDKKKGDDLETDLQKDLKKLNEILKTGIKETESLNEKLQEATPAEKIDKNFMNFRKQAEQEAFKRSSIFNWKTVLGTFAVGGSCLAALFYIKKIRLEEREKHRKQTAGKARIGGEWELINTSGKLEGSEELRGNWLLMYFGFTNCPDICPDEIEKMVKVVEIVEGKKNAPPIVPVFISVDPERDSVERVKEYCSEFSDKLKGFTGSQEQVNKVAKTFRVYHSQGPRTSKQEDDYIVDHTVIMYLIDPDGQFHDYYGQNRKAEEIANVIEMKVLKYQAQNRKSLLNLF >CRE22549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:122717:127073:-1 gene:WBGene00065573 transcript:CRE22549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22549 MLQNRVSKLFISKYLSFSPFQPQILHIYSMTSPTYTPKNVVITGGCGFIGSNFVNYIHDAWPTCNFVNIDKLILNSDTQNVNESVRQSPRYKLVLTDIKNEAAILNVFEENEIDTVIHFAADCTSTRCYNETAEAVQNNVLSFIQFLDTVRKYGRIQRFVHISTDEVYGDSDLSADEQGKVEHSRLLPGNPYAATKIAGEAYVRAYQRQYNLPIVTARMNNIYGPNQWDVKVVPRFIEIAKARGEYTIQGTGKQLRSWLFVDDASAGLKAVTENGRLQEIYNLGTYYEKNVADLAKTIQEEVDRQLGRAHEPPRYKSIPDRPYNDLRYFISIEKAQNELGWTPSTSFDDGMRITVASALKERKHVKMHVAIYGGKGYVGQELQHVLKAREIPYVLAEKKVGFDSDEEVEKELALLGVTHVICVTGRTHGPGCNTIEYLEGGADKVFINVRDNMYSATILAHMCRKIGLHYTYIGTGYMFAYDKEHPIGGDEFKEEDEPTFFGSAYSVVKGFTDRQMNYFNQNGWENLNVRITLPLSLDLEQPRNLLSKIIKYKELFDIPVSLTILPDCMNAMCNLMEQRTGGTLNLVNPEPISLYEVVKIYKEIVDETVNPTPIGVETERAQQLLATKGNCALDTQKLQQFAPVLSAKESLIKHFNEMVAK >CRE22498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:137414:143259:1 gene:WBGene00065574 transcript:CRE22498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22498 MMSSKFRTEDAWIIGQRMAARLDHEAFPLHKAAFFNDTHSIVQLLRVGRSLSEKDMHGNTALHIATMLGHREAIAILLANNAPVRIKNIDGWNPLMESVSYGDRQIITEMLRKLKTQTNEKLARGKPHLLKMFQDLGDFYMEFKWDFQSWIPLLSRILPSDVCLIYKKGNLLRMDTTLADFSERNWERGDITFLFNVDAAPGEQLVVMDNKTKVFQRGRREESEAEIDEEVDVLMSTDIVNAHMSTKTVGFKQAYSGWVFKHAREEQMGDFPVNFYSVEGLKLTTRKRREHLTSDDVKKNKSILSSLTSGHTVNDDEFVSLQHRKSLPPPGRLPTTWEEYSGAAPGAPPQMGRPQIVKTNEKQFKALVGMSEEFPLSVDVLVDLLEVVAPFKHLDKLRRFCSARLPPGFPVCVEIPLLATIAAKVTFQKFQFTNDIHDKLFTIPTSYREDPTRFPDL >CRE22550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:144372:148449:-1 gene:WBGene00065575 transcript:CRE22550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22550 MRILLILLFVAPVIYSTAVGVGSGDGQKKNCKRAKAFALGTRSANGIDAVDFEDRILRKPVQKVYFWPSLTDFEKKVLRDAFVQIGRRTCVKFEEQEYKPWYHADRWDANSPHVLIRKSGKFAAYSDAVVEGLVDRTILYVAQSSFETSNFNNSRGMVMDQLVRFMGLQRELYRPDAVSYVQAISGGIPNLGTPDYNPIQLTWPFDPESITVPLWAREKFRLTPYCPARNDADIGAGQRVGLLTKWDTVKLNSMYCPDKVVDADPSRGPCVVPRSKDLDSFKKRLWAYKRLLAKNTKRRKAKAKVVKKHKTV >CRE22551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:149053:154400:-1 gene:WBGene00065576 transcript:CRE22551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22551 MSVQEMDTIKYILYEICIPSICIICFFAAMLNILVFISRGYCKSRSASLELTYSLALSDTWTSIVIGVSLFWNSYKPVVLQMPHETYCFPLTLEFPVHFQAFRTGGLLTGIFHLVALAFTHYMTIKRPFDHHKVLPLRTIHIMIFFMWATPPLALMVYFASWKGQGYQNDKCMGISFYENFFFRAAISLIIVILIITTTIFYIKMLQKITEVLIISKLRPLVYFFQVRNKTVAANPAPGASARGRRTVVTAVLIFGTFLIGWMPASIMYILTAEDMPLYKKQNVYITVMSIVVLVNIMGKTLTNPIIYATRIPEINQFVFQKLLWWCWPLQRINNCNNNNNNAAVRRRSEMEPLRTRCSNANTHSVML >CRE22553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:180867:182954:-1 gene:WBGene00065577 transcript:CRE22553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22553 MSELKLYCFPNVVLNVVLELMHPIELQLPSVPQYTLKLYYSGNLVPTINQWTTYLSNVFNVLPTSLSLEYNCFENGTINKIMDAYCTDVNSMKHVELINNEELNQSKDAIISFLQRQNATEKIKLLFQPITDFVFDFKLLRNIPSCIKCKYANWITYKQLMEFESESVYLSRSNFTNSDFKNLVVKWRNGWNPTWKDLMIEFREDLNIDAYISDSYIEINREDHYRNVLMRSCSLNRISSHSSGSVHCNCDNGEQQNWMVSYPAEQSRWKNDSPII >CRE22500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:184878:190527:1 gene:WBGene00065578 transcript:CRE22500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22500 MRQQKRLVRKLKTPHLALPETFDVVFEMMDLVKEERPIVLDGLFKLDGLYFSSWVPWEDNLIFNRLWPDDPRTIPQYSLRLYYFNDVVSSLKTMSFYLSNLFGMPPTALFFPCRFYETDDIKKIMDIYCTKETNINSLELSNYPRNATTDDDEVLTLILKQQNATSNLHLLFKPTLNFTFDFKTLRNTPKHLEIEYSAWVTWEQLLSLESETVCLLKSNFPSSDFKTLIETWRDGWTPKWKSLMVEFKTSLDIDSYVSDEFKEIESGKERQKSIFLRDSSIQAYRFTTRIITARNVVDKTGYHLTRSDQTIATVTMENENIGWFHIQSNDPNAEMFIPVTKPELLFFN >CRE22501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:191679:193025:1 gene:WBGene00065579 transcript:CRE22501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22501 MTTNHAFKIFNLPNIALETVLCSMNPVEFDPTFVPEYSILIYYAGDDVVHSFNTITTYLSDLFDVQPSFLSLNYKYLQDDLISRIMDNYCNVHNFELKDDVNKDYKIVNYNDQIIVSILKQQDSTLQLKLLFNPPPEFTFDFNSLRNTPVVIEIEHSHWITWSQLLEIKSENVYLCRSNFSNLDLKNLVQIWKNGWTPKWKWLMVQFKEDLDVDALISERFINIDLKDCQTSFILKDISTHAYKFRAEFHTFQGVTTKTGYHLTRPDHSIATVTVENNRIGWFYMQSNEPNEKLITRVTKHAFY >CRE22503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:203755:205871:1 gene:WBGene00065580 transcript:CRE22503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-135 description:CRE-CLEC-135 protein [Source:UniProtKB/TrEMBL;Acc:E3MU44] MKRWVIFAAFLFCIVRAVIIDDNGEFFFIRNQATPLFSESCESEEHEEKQKMKCPKHYKGYYRVPSAANNQTKMWCIRVVMVNVSVSEPAAQQACNGEGAVLTTFSSYDEQKHIVRHASKFFRRNNITQGSIWLDGVRIEECRTLNVSKQMSAPCNDKTRVFDITDPRTDPKYAWTQWYRTAPSWAYWPMRTEEYSNYPTPDSITADCINMWIYPGNDDRNGQLHAMHCDMAVPPYDFEKALNTNYGYVCGRPPVLSFY >CRE22504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:211107:218907:1 gene:WBGene00065582 transcript:CRE22504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ast-1 description:CRE-AST-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MU45] MQVVSSATLPPPGADLVVVGGRSVATDEDVIKYSTIQSVKQEQQSNTALPQTPYNFAFFHGMPNDFPPTNRMVYNDQIMQQQQQQQQKNENDHFTGMNLSTSGTSDSATSKEQNRRNQFYTESGGGNSTGNGAAASAGSNGSGSSSGGAKSDVFNISMNAFTPASKNDDQIPNFNMLSSYYTGALKLSNSTSFASPDPYQILGPTSKNLAHSGSGQTQLWQFLLELLSDKRYSEVITWEGTNGEFKLVDPDEVARKWGERKSKPNMNYDKMSRALRYYYDKNIMAKVHGKRYAYKFDFQGIAQALQPPTATHPQDYFNSHAMGRIAPDFSSWTSANYRSLNIAAGFNNSSTIFNPAVNYSAFGAAGSSNNLSATRTFPLYR >CRE22505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:228004:232968:1 gene:WBGene00065583 transcript:CRE22505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22505 MKRLLLLAVVLGVTAAQEEVKTIAERAISEPGQGGDDKFKIYVGTIDGVRREIFNEVDGGQIEVAPDNTPNVQDPFGAYDDNNVVSADNEKLLFVPKPYRPGGDKKEPKKTTQAPTTTPRTTRPPATTIRTIPFVQTTPATLATLPPAPVTTRAPFVPFIPQTVATIAPQFIRPPAPTAPPRVIAPQQPRPIQPFQPQQPQPFRPQPQPFQPQPFQPRPQFTQAPFTQAPFTQAPFTQAPFRPQPFATRPPPPPPQPQPQPTTAAPRFPPQSGQSLRSGQCPSSIFYISTPISGPSRLTFTHFAVAVTVDQCARTCHEFNCAIAHYNPLNGHCEFNPSTAFAIRNGQCPAWPSLHYRNNVVANEPVRIFCVTCQRPRRRPAGRRPFRGQARRGQRLGRKTPVHIPATPVETKATEPKQRQLRATEVEAQVDPQPTSERSSQLNLLKQLEDLQL >CRE22555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:233460:239122:-1 gene:WBGene00065584 transcript:CRE22555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpna-1 description:CRE-CPNA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MU47] MSNQNVAVVVEFRESYVRDSQLTDYDISDFNAHEEPHYHTVLTPQQLQYQQQQQLLQQQQQQRPSAIQEERRRTTADSDDYVKVSEPIYDYPPKTTPTETVPPKRISPTEAAQLQELYQEYDLGLDLPGVAPIGGKPPVQQQGTRPLLIQQQQVQSPGPNFNQGVQGVAIRQNSVPESPRTVINVPISRKTDVPIQQNQQNQFSYNVPIQVKDDPRNLATADLFVQDALEYIGHQQNPDKGQFVMEEEMLSSHEPALESGKKKLSAKNPSFEATSRQVRTNDVFERVETDEHDDMTYAPEIQSVEIPPDQMSETSENMIDYFDKVAAESEQQIQHLQQQHQNTLKKQTQQIEPSIPDSSLLKPVGRAPQILPAFGNRAPSNSSLGSDRRSGSGVSSSDVYPYRHLRKQSSLLSVLGVTSMQEMLLTITSLDSLSEAMRKAGLETTNLIFGIDYTASNKYQGEESFGGRSLHTIHPHVKNPYQQVITILGRTLAPFAGQGKLGVYGFGDAKTGDWSVFNLKGEGDCRSLDEVLNVYNTVTPTVALSGPTNFAPLIYQAMEICQKSRDYHILVIIADGQVTNERATRRAIVQACQHPLSIIVVGVGDGPWDMMRIFDESLPKRPWDNFHFVEFHDIIKKSTSLEDGDVKVAVQSLLEIPDQYRCICELGLLDRSIPPRGSEIRREMMHNPL >CRE22506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:240223:255051:1 gene:WBGene00065586 transcript:CRE22506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mth-1 MRPLLLLLQISILHFATAQYYPFTLSLKEQPSEENDQNQKFLLFDTKMTCGDSDCVYAITVSNFGFYQILVNNRQCPSNICQDGRFFRHQTVNVTVYKSSGEYIKLFDLAFDAEPNIVVLGCEKGYVTDKSCTKISKTIFGGEDSYYDEVCVCSQTSDACDKKTPERACTIGRTNWWDSIRDSSSPTTSLATTTPPSAWSIDDITVSFQETLTNSGPSNIQNVIVTAYLNCTIVNCYYKTTVINNNPSNYMVYVGNDECSQPTCAGNFLIGHSAPVIIQRVGHPKALEVLTYQWKDLFHNPQILVLGCDAGLVLVDTCQQVYDTPLVDNQYYNDIVCSCQGKTATCKDASPASVCVDGSLVWWVDHVDPHSTVPTQSTLATTTLPPENPNVFDLTFFLFEGVNDGNPDEDNNVTVTLAMNCSDTACQYTVRIVDYAQTYYTVRVNGKECPGSVCSDSFLQSYHVPVTVTRVSEQLTLFDMEFLELPNVKVLGCTYGMFKYGQCRSAQYYDTVIQRDDHMCICQNSEMQCDGGTHPGICSDDDPTWWQPILTLSSTSTVSTSTVSTSTVSTSTVSTSTVLTTTPTPYSISFYVNLNETVKAGTENITIHTNMVCSDSACSFHTTVVNSNPEYFGISVDTFENCDESCEGSFTDSEERHAHVYRLGGEMLEVFVLKFHDVVVLNCTEGWLIPGKCIMALNGNDEVCTCRDVASYCDDVKESTSCSTGTPNWWQPDPRFTHSSSTEPSTSVATTTTSSPSNQYTTVKVTLNEELSNTQDHKIVMVTAAMNCSNNICNYGATVDNQNPDYYTVLENGLQFVSPLHGTLQYGDPAVIISVHRLQEHLDFIEFQVTDDGHQLLVMGCQSMQNDGSGLIDTTGNCLHIFKTSYNGGFYYIDDVCLCKGDADNCDDTAAVGKCSSGAVTWWEKDQSTSLATTTVTTTTEPTTTVTTTTTTPTTTTTVTTTTTATTTTTPKPTTTTTYDLLNKFSFEISLAEGRNNKKHEVNISSDMSCTVADCSYSIKVENSAEAWFKVFVANEPCQNKKCSGSFIKSSSVNVTVYRDDQILDLFDFEFTDTPRIVVLGCEDGLSEFGNCIRISEVTKVEGEDYVDEVCSCRSAEVDCKIGRGGVCRKGTQKWWKDVIGSSSTTVVTTDMVTSSSTVTPTTPATMPSLQDLSDGGVGLNNVTQVLNDTNHYSQQGPALNRTQIFDITKILHNSANLPGITKENALQILQNMDHVLNAHQKEIRNGQSKEFRLLSVLLPMVRNTKDKLIQYLGGKNLGFNAKQVNCNTETHTDDGLIDYGPDKGFAVLNNTNKLSATSENSIIVPLGTVCGSQQVSHVFFTIYRHQKLFSGPQKYRSYGGEDTEPTSQSLSKRDKRHVVLSEDDADTISQIPAPSRCTRQVSIPPVPVMSATLMAGDMVVKTFRDLSNASRGKRDLGEEDDSRPGIIAKLQFNTGKIPRPLHGNKIVSWFIPGTQQWSLQEQCKMESDENGIVTASCEHLTDFTVLIDGQIDAEYVCSWPLIIIGYSVNGASIVCLLALILIGSLVYLRYNNLQKILSYIRGQAQTTGDIVSLAYHCIFFMFFILSLFFMDQSSDDIDEPITTTTYCVVIAAMSYFSLISAIMISMLIGIRMVCHFFSPKLRAFFGVMTSPPAALSIGLVIPFTLTMMLAIFDTPFFERNDSFCWVRPDYIAYAVVVPVVLPIINGTICSSFAIYKMFFQAKRGLASKEASHYDAEFWSKILGLIIMQFAMGLPWGIEFIVIGVTGSSAWNYVFVILLGTQGIDLFLIFLYRRQRLVNESRKWSAREEKMERKKYLNTVVVEE >CRE22507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:256280:263648:1 gene:WBGene00065588 transcript:CRE22507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mth-2 MSSFFWRHFVCLFVLFGATQGAITYTFVFKESNLNNFLQVYYLNVQVDITFSDNGVAVFTTSVANVSPLHFSMWVNGRNCGILNLTCKFQIQPNQLLNIVVNKIDMQSNLISFLYRGGSQSPDVYINGCSETMSFGGCSYGSQYSVDTRGNSFADSICICRSIVASCFLSSNDTCISNRPFWNYDPTSDSTTVAIPSTSRLTTSTEASTTTVTTTVAKTTVPTTTTVATTTTVPTTTKLTTTTVATTTVPKTTVATTTTKLITTTVTTTKPTTASSTSTTTTTTSTTTVTNSTNATSSSTTTTSPPTTTTPDMFVPTLKNLSDSGVGINNVSTVLNETLVYSKIGVNLNATQVMEISTILINAANVPGLKAENSWSILRNLDNMLYVTPTTMYQSGNSAQRVLNSLGTMVDNTVDQRIEYLEGSNLGFSSKKIDCTNLGTDDGLLDLGTKFELINSTDSLGKWHSILVPLSELCSTQTLSHVYFTIYRDTSLFVGQQQYRSYSGTNHPISSGQAGTYTPPPRYKRSMEEEEEEGEGEKVMNVTSQTVPLTPCARQTSLPTTPVMSATVLNNGVAVSVASTSDATMALLRFNVSTLLRPLHGNLKVTWWDVGRRQWADTRQCEIVSDSDGILEAKCTHLTDFAIIVDAALNDPNVCDNALITLGYVVNGLSIFSLVFLTFFSIAAYIPSLSNSRLYSYVRGHNLARRDFLALAYHFDLLLFYVFFTVFSNQYISGTMCTPMAAVMYALLLCSLLLTIFQALRNIVVFLPSTVYHILRILLSTPAVLSISVAIPFTLSIFLLVFTKFFDRQDCFCWVRPDYVVAAIIIPVTVLLFSTVVCTSFMIYKVFCGMKRKFSQGGHHYDPNVITKIVSIVVMQISLGLPWVLQFGTLYSPYTTFWHYLFTIVLGSQGTILFLIFLYKRYRAWQSESMLKSREPSFKDRSKKKLAKKEDSLGSSTDTFNEY >CRE22556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:264204:266290:-1 gene:WBGene00065589 transcript:CRE22556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22556 MVFDARLGYDPDEWEECPEPEHFLVFSGFTRYLLTIGAIAFVYYFFKLLDDKNKKESGGDNKDDEKSEEQAQTSVEQVLAAASHKLQDVKQTVQHVPESAEELMREADQYLKDQVHNVQNNVQHFAEQAANKFPSLEVDLNVGYAIDATKEKFDTVLSSVDSHLHQTKNMDLSPTSRDSTQFEQIPSIAPDSPFSHDFEHVPPHLKKAAEQYYAQQQPPPPVPQHKQPAPISPTDQQLLQEFDIYDVPADQRINQITEQLGQLGQKTPAQIQQLQHQQLQHQQLQQQGAFQQFQQPLGLQIQPQQPQQYFDFSQLSPGARARYEQQQFVDISQLSPGARALIAEQQAAGAFQPVAARKLTREKRLSEQDERALQDWEKEKALLEADRIKKLLDHEAGGDSNTDLSSSQKAYDHFAPASHISYESHSTGAQHQ >CRE22557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:273058:273624:-1 gene:WBGene00065590 transcript:CRE22557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22557 MTPRSEGTIRKLVKQVADGEDIITALKSLAVLDIPLALSTDLQLVELLTPLSGSPLLNGSIHEILKKLEGKKGKESNGKSILKPVRRVSKSGSSRKRRSSGVTKKIPVDIEVKKIEEKKEDVKENQKVKNTVRCHVTLCIFNEPKKKESAIWKKIKKVQG >CRE22558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:274681:279555:-1 gene:WBGene00065591 transcript:CRE22558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22558 MVKLFHMEYLCSYVAKMRIFGNTCDPQIESSFIPLYMLITLLVIRLTPIAIGVLLAAKKTPLSEGVAQVVEKIRKNEKRRLYVKKQQRTTTTFDGDHVSKSTTFGIEGTPPRITSTPLPPVPSPAQIDHSISYNNAAYFATSGAFSSRSSEISRIDANELFKNPINRAPSGSLQSEV >CRE22508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:282768:287089:1 gene:WBGene00065592 transcript:CRE22508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22508 MTDTTTGDQAKAMADLTKQLATLISTFSALAQKGPIVSGSSQEANSIDSKLADSITSRIPTFTYDPDNDQTFEQWYSRHKDTIVIDGATLSDDVRTRLVLSKLSSKEYTHYSNRLLPKTPSDLKFDETIQKLEDTFKATSSIYQRRQEFLRTEYTGSNLEEYTGIVLRRFATSQFKSMTDDQLCCMIWINGLRDPSFSDIRTRALQVMESKPDITLLQLEIEIKRLLDIRADSKCAAGSTPAKTTEINAIHNKYKRDTKNEKSPPSACYRCGGSHWAKDCKVKDVKCSKCGKTTHIQKYCRTKQNEKHRGFDKKQVRSVIIGSAATPGSNRLYRNIMINDKMVKMQLDTGADVTLISIEDWKKLGKPALDKPSITIKSANHQPITVKGSFTCDFIINGNSASGSAHVAETGTLLGIDWISKDPELWKLLLGSRQVSSTVATVGSACDYLDDKRAKLKDDLMNQCSEIFQPGLGKCTKTKASILLKPGAQPVFRKARPVPYAALPTITEELDRLIHADVITPIDHAQWAAPIVTVRKKNGSLRMCADFSTGLNDAIQDHQHPLPTAEDIFSNLNGGKYFSQIDLAEAYLQIELDEDSKNLLCINTHRGLYQFNRLPFGVKPATAIFQQVIDSMITGLEGTAAYLDDIIITGSTIDEHNERVKAVMIRIADYGLRVRIEKCKFLMNQIVFLGFIIDEHGRRPDPEKIAAIDKMPPPANVSQLRSFLGMIQFYGNFVKELFELRPPLNELTKKDTEFIWSKECQAAFTRVKEILKSDLLLTHFNPKLPIIVAADASQYGIGTVISHRFPDGSEKAIFHSSHSLTAPQRNYSQIEKEAFGLITAVTKYHRFIHGRHFTLRTDHKPLLSIFGDKKGIPVYSANRLQRWATILLNYNFSIEYINTNDFGQADALSRLIAEQSQSKEVEDQVIAQVEVDVLANFIFTCDNLPVTVEAIIASSQKDPIISQVMEHVKSNSWPKQIDKLSELGKFANRHEQLSIVKDCLMLGDRVVIPSSLRQRVLKMLHRAHPGIVRMKQLARSFVYWITLDKDIETIVKSCDQCASAAKNPIKNTLCSWSTPTNLPFILNTIHADYAGPIQGIYYLVIVDSFSKWPEVIATTSISSSSTINIFRKIFAQFGNPKVLVTDNGTQFTSAPFNDFCKENGIQHIRSPPFHPQSNGQAERFVDTLKRALCKLKGEGNNDSAIQVFLQNYRSTPCASSPKHLSPAENFIGRRIRTTLDLLLPSKETPTTRNETMELQFKNQHGAKKKIFQEHDKVYVRNYRNPQSPTWIAGIITRRIGHTLYKVQVNDNSWIRHANQLRSREAVPQITSIAELLDMDTFYEQPSDKSTPTPAPAPSSTKNTPSPPPARKSTRLRHPTRNLDVNPKKKSYK >CRE22559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:287894:298305:-1 gene:WBGene00065593 transcript:CRE22559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22559 MDFDFDSEPKTPPQLPPAPPIRTVSTINPKVTFKGPQTWRESIGMFMIMALALVVAISSLILLCYVWKDKQVYDHIVDFLDKTIQISKIAERPFLGVYQLSEKEHEVLASERTYKWFLRGYLLSELGVFFFVFLAGFLYFCFDISGGKARVVFWIIIATGIIYAVVPIHIFTFVLIPYSSMLPNATEKLLNHAIPHNVGGIQQMEMGLGCTFDLNLYAANRRKLNPNNFGMAVRGNGGGRAGEIRKSGANEKLEKKIVCTLDVNDH >CRE22509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:310716:313666:1 gene:WBGene00065594 transcript:CRE22509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22509 MSLDTGTRNVVRLSISFMLLFFAYMSQEFIQEPLIEAEHRRTGLIDPHAGYHSFAILYFFFTIACLLIAPIVETITAKWSMVIGCIASFLFQAGFIWLNTAYLYVTSAILGVGAAFLWVGQGKYLTENCTGKTIERNTALSWLVFKFSLLGGGIFLFFMFQNQTMTELVATGGVMILQSESYNPFQFQYKIFVYIFCSITFLGCLNTVFLPVSAYLPEKKETETLAQTLSATFKIMRQPPMLLLFFIFLYTGFSRSFWIAIYPTCIKFTTQLGENTSKLLAISCIATGIGQILAGGIFSIIGKRARIIGKDWICVIACCIHLGIFVAIFLFFPSDAPLHPTDKIGYFQPSVHVAIACSGLLGFGDAIIQTQVYSYLCDGYSEESSHAFALFKFYGAISSTIAFFISKYFTLTGHLVLYGSFAILSAITAVIAQKMYFHKTQHFFQENTIHPMISSEPIKIQITDSGSTINNMSSFPQTLKLSVATITETTKSLPSFSFLLSLSYIYNQKTLVVRYMYVLISD >CRE22511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:322749:327286:1 gene:WBGene00065595 transcript:CRE22511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plep-1 MPQMSEETINVLRVSISMMILFTAVLSHEFLMEPLLHGLAQGGIGGIQNRDGYMILCIMYFFNSASCFFAPYLVAKLTGKYAMVLGMVSVLCCQASFVFPQRIPIIATSVLVGVGTTFLWVGQGQYISDNVSDRNREKNTSLQWALFKMSLIYGGLFFFFYFQNASLETIVANGQVPAFICVFMACTIISLVNTCFLTQSEVTKNRVSQAFFQVSKNCIKLLKTQRMVFMGLFFFYTGFVRSFWISIFPACIKFTSRLSTNTTSLLTMGMIVTGSGQVLGSALVALVGDRVRKLGQHILILSALILHITLFMVIALSFPNDAPLGHTNESGPVFQADVVMAMTISFLLGFGDAVLQTQIYSYIAKYYQKESGTVFSIFRFASGIASTIMFFGAQYFLLVHHLTLLTVSACIAGFSILAFHKAIKKQYQDKAAVVVVSIAPWKPRAVSPISPVRE >CRE22515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:344455:347754:1 gene:WBGene00065597 transcript:CRE22515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22515 MSSFLEFPDVALTPMCLHKEAMVYMIEELGLGLLSFNRYPERSSKGKEEELMQLLIESGGYKLRMYGSAHELWENLNIFRNFSQSHQFFNTADEPYQTTPIIYRNSKNEEFICKSDLFAILQNITLRIEQELSFDVISIVAFFLKTQEEKLNGKMEFMRFNTKVLKKIEKELRQEIEKKTIDEYKQVALEMAIVGFDESFEKMKSLNPTIWNQLREQRIRGLVAPFMQTLPLARRGVTLAAIHLSSDKIIRSLRTVIDKRPELFQRSNEKKTNVPLRVRLFEDGNQKFVMKDDIEECDEKKEEDRNLLFTMSMEEVMEKYGEEHVEFLPYPIRRTKHRAVPIKGVGFFKDHDEFYVLAVDAFFELLGNLIFGQKLFQNVEFKDFLEFFAGFESQFKPNWNKPYFMRLNMIRAMKEMMGKVMTKKVEKKEIRNAKPDGFSLQNLKNELKYLGLTETFPEIENYAEVVYKHVDSVKKEKFLRTCDLFDAIKHCQMICVIQRLPNYMKFVHNQKGCARIPGLRCEDCEGIQKKAQLLRKLKLAECVEDIQKTSSDFIPESVSQLEQELEKKTEENRKFHETILKLNAENEANQRLIQLLIDKLSGN >CRE22516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:348185:351317:1 gene:WBGene00065598 transcript:CRE22516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22516 MSNYLEIPDVALTPKCLHKEAMAYMLEKHRSSSRMSVETYPSRSFKEMKEEEYIQILKDKAGRRFEMYGTVGEFLKNLKIYSRFSESYRYFKTPNEPYQASPIIYYNLDNGEYIQKSDFYAVLQNIICLKLGNISVQALSIISVHLKNRESETSLELSRELFTLNSSQIIEKFKALAPEIWNDENSLRLKFLVENAFHDNLTAMPRLYLGNNYSTASSAIKSIQKLINNRRNLFDRTVRTVRMFEDGTERFVMKAELSDVTGDVSLKSDDKIHVLHTMSMQEVMRNYGHLNIEFIRYPVLRAKHRAVPIRKLGSVGPLDFYVLAVDAFFELFRSIILGAKMFQKIESFEEFSTSFREIEKDFMVECKTPFFIRHSLIEHMKLKMKFSSSRTAKEVRNAKKDGLTHQNLKNELKHLGLVDIFPEIEGHAEVVYRHVDSVKKEKFLRTCDLFDAVEQCQLICVLNRIPNLKIFLHNQKGCERFLGYECEHCEKEKDAQKSLKNLKIESSNASMLKQYSQPALSTPNDCEKCSESSKTLTETQSELKMSKDQLKEMEKKVLDTEKELSEVKKENEKIVQSEAKKTEELAEMKEELSKEKQKKREKEEEILKASKENEELEKAILKLTAGNEANENKIQKLLDGITNSSTNNQKTNQIDEKTIEESTSTTSVSSKNAPLVIDCLICSSQIKSGQEVIRCPLCKRRFHSNCAFKWRKDHTQCPACNGDLPGI >CRE22517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:352582:355861:1 gene:WBGene00065599 transcript:CRE22517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22517 MSSFLEFPDVALTPMCLHKEAMVYMIEELGLGLLSFNRYPERSSKGKEEELMQLLIESGGYKLRMYGTAHELWENLNIFRNFSQSHQFFNTADEPYQTTPIIYRNSKNEEFICKSDLFAILQNITLRIEQELSFDVISIVAFFLKTQEEKLNGKMEFMRFNTKVLKKIEKELRQEVEKKTIDEYKQVALEMAIVGFDESFEKMKSLNSTIWNQLREQRIRGLVAPFMQTLPLARRGVTLAAIHLSSDKIIRSLRTVIDKRPELFQRSNEKKTNVPLRVRLFEDGNQKFVMKDDIEECDEKKEEDRNLLFTMSMEEAIEKYGEEHVEFLPYPIRRAKHRAVPIKGVGFFKEHDEFYVLAVDAFFELLGNLIFGQKLFQNVEFKDFLEIFAAFESQFKPNLEKPYFMRLKMIRVMKEMMGIVLTKKGEKKEIRNAKPDGFSLQNLKNELKYLGLTETFPEIEEHAEAVYKHVDSVKKEKFLRTCDLFDAIKHCQMICVIQRLPNYMKFLHNQKGCGRIPGLRCEDCEGIQKKTQLLRNLKLAETPSDLIPESVSQLELELEKKTEENRKFQETILKLNAENEANKRLIQQLIDKLSGN >CRE22518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:356928:360491:1 gene:WBGene00065600 transcript:CRE22518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22518 MSNYLEIPDVALTPKCLHKEAIAYMLEKYRSSSRMSVETYPSRSFKEMKEEEYIQILKDKAGRRFEMYGTVGEFLKNLKIYSRFSESYRYFKTPNEPYQASPIIYYNLDNGEYIQKSDFYAVLQNIICLKLGNISVQALSIISTHLKNRETGTVEFVKIKGETLERMEIDLRVEMNKRRMEPKEFGRIANQLAPLTLEDSLRDYPHMTPLIWNKMQEVGRLRFDINWQRREAMAGVYIHSREAIESIESVIKKHPKLFLTEKPIVRLFEDGRREQRFVMEAEFSKALNIDFVKNDNVLNTIDMEEVERNFDVKNIEFIRYPIRRAKHRAVPIKGPDSDEFYVLAVDSLIEFLRNLIFGYKAFQMKRFKKFRDLFQELEKTFDADIKNPYFIKTNALSETKESIKSILKSGKKTNGESIRNVELDGFLVEDLKVELKHLGLTETFPEIEEHAEVVFKHVNRKKKAKKTCDMYDAVENCQLICIFNRLPNLKKFLHNQKGCGRIPGFQCDDCDEEKKETSENIQKSMENLKIAESKC >CRE22519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:361226:365195:1 gene:WBGene00065601 transcript:CRE22519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22519 MYGSFEDFEENLEIFRNFEMNHLIWGNRNEFFSTRPLIYESLNHVLYVTKMDACIILQNIMLPYCWNSDLNTVLNLQKRIFALSYNVGRTLQFAKIDGEIIIRIKYDVHTAYRVTFDEPEALETLANCINDDDFASVINHFQESSSAWKDQKIYNQATLAALHPEMEPMARAQEFASLYMHVVTDDCIRKNYSMFRGTPPLTVRLFEDGDVQFVMVAELVDALNKISMEKLKYKDRELLVGTMSWRAVMYRFGDQIKHFEFIRTPIRRAKHKAVPVRSHSNNIVYVLAADALIEFFRNAIFGLRFFQMPEDVRRSARLDKVLKKLDKCFSVEFKSIYFLQADWSESLQAALDDEFELENLPDRDVRGVSDYGFTVYDLREELRYLKLHLVFPDIFDYMKVVHQHVNSVKKSEFLRTCDMFDAIEMCQLVCILNRWPKFKQVIHNQKACHRVIGIRCEWCEETEREVEATEFPSFDVTEEPEIVLPPRDFSSVALIVISILFVFVAYFWMMARR >CRE22520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:365719:369708:1 gene:WBGene00065602 transcript:CRE22520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22520 MSKYLEIPNSALTPMCLRKEADAYMLEELRKYLVDFHDNPDGSSKGKEAELIQMLMNASGQRLRMYGTAREYVENLKIFRNFSSSHIYFNTDVDSYQTNPIMYHSTKNKEYIMKSDLFPILQNITIRNSPDFTFEFISLIAYFLKTQEEKLNGKLEFVRFDAKILEEIEKEYKLEYRKSRLDAKNTEKLMGEMSRMNFTQWINKIKSLAPNIWKNEWREQRLRNTLGSSLNTLPTPTRFMFVSALYLAVHPAFKALQKIIDKRSKLFSVGLNNNNRNPPTVRVFEDGNLKFMMKSELFGAISMESEDENDVFHTIDMKEVLQKYGKSNIEFLRHPIRRTKHRAVPIRGPGSVESSNDFFILAVDALFEFLKDIIIGAKIFQNDDFSRFSEVFKDLEQFFMPELTEPYFIRIRAIEKMKEMMMKITENDMETSEKDVRNVNSDGFSVENLKDELKFLGLTKTFPEIEEFSENVFSKMKKLKKGRVLTTSDMYDAVEMCQINCVMKRVPNLQKVLHNQKGCSRIPGLHCEGCKTSDLQKSIKNLKISETSECLICCNEINSKDTSMKCPLCKKRFHSNCALNWLKEHKQCPTCNGNLQEIIIDS >CRE22521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:370148:373843:1 gene:WBGene00065603 transcript:CRE22521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22521 MSKYLEIPEKAMTPMCLHKETTFYVLEEHLHSLLSFEDYPNGSSKGQEEKLIQKLMDTKRKNFRMYGSAAEFAENLKIYRKFPESHLYFNTDVDTYQVDPIIYQSLKNEKYLCKSDLFPILQNMLMGLDEQFTIEIVSIISYFLKIQEERVNGKVEFVRVEETLLEDISKELGEEMAKHDVTAQSFQLAPLLAILDFNQCFQIIQRLCPEIWNDRKHHRVHELITSSCNELPPEMRPVVFSIIAKLVFKSLQSLENVIGKHPELFLPYSETEYNAMPVTVRMFEDGDQRFVMNAELSDALSRRLDWEDDTNTRFTLNMEEVLRKYGNEKIEFIRFPIRRAKHRAVPIKAGGPNDFFILAVDAFFELMTDLILGAQIFQNNDIGRFSLIFHELEKFFKPDCMEPYFIRTKVSELMKRLMQTVTVNDDEKSPVKCIRNAKPDGFSLQNLKNELKHLGLTETFPEIEEHAEIVYEHVDSVKKEEFLRTCDLFDAIEQCQLICVLNRYENLKKFLHNQKGCGRIPGLKCQECDKEAAERVPTNNN >CRE22522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:374329:377156:1 gene:WBGene00065604 transcript:CRE22522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22522 MSKYLESPDYMLTSTWLGSIAQSYILNELRSSINKNIFSRKLFVEDNGKALIQKILDKSNYKLRMYGSADELSQNLKIYQNFPKSYRLFKTEFEPFTTTATIYRSLKNEEYICKSDLFVILQNMALDIIPAADSAEVFPMIIVFLLSEQDELPHRLEFIKFDQKVFDEIEMEMRETKKLCAISLSELVVLGTQFAAGNFDSLVAKFEKVNVVKRDPDEIREELKDYYNKIKASNPNPVKDLVLLLITTAAMICLNQIINVKRPEMFHPNSINKTPMIVRLFEDGDQKFVMESELAIAMWPEKASEIFAKKGEVGFHEYTTITLDEAIRKGAGRVEFIRYPIKRAKHRAVPIEGPDPEDPNDWCILALDAFFEYMKSAITGFKIFQKCGTSADAFGNAEHMFSALEKVFKHEVKSPYFLQIESINYMLTTLQNDMKSFPTTKDVRNVKKNGFTVQHLKNELNHLGLTGTFPEILDCAEDVYKGIDKAKKERYLRTCDLFDAVENCQLICLLNRIPNVGYYIHSIVKLYNFQLKMFVHNQKGCGRILGYKCEHCEEKKNASDETVPTPSEKEIQKPLKNLKIESSDETSSQKEEEEVQKTSDLQNPPQNPKNESSNNSDDDKENQNPIKDQKTSKKMVSDIMKLLAQRSKVSIESSNILKDNLENCVSESQQQTQLQMELKEKISAKTEENQRLEETILKLTAENEANQRVIQQLLDKLAVGIQKKDMEEVSDDSGDLLAVRIPPVVICYVCHKEIEPSDDDWLDCSRTGEKFHQVCAYFHIRIHEECPACDDKIPNYF >CRE22523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:378623:383545:1 gene:WBGene00065605 transcript:CRE22523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22523 MSKYLKSPDNMLTPTSLESIAHSYILNELRSSINKNIFSEEYYLESSMEENGKELLQQMLDNSNYKLRMYGSAEELAQNLKIYHNFPKSYRFFKTEFEPYTTTTTLYRSLKNEEYICKSDLFPILQNMALNVFPAADSYEVFSSILGLLFNEQNKLPHRMEFIKFDQKVFDEIEMEMREASQLCVISDAELAVLGAQLEAGNFDSLFAKFEKVHMKENLSKKDSDGIHEYLKEYYSELKESDLNPAQYLVVFLVTTAAMICLNKIIHVKRPEMFHPNSINNSPMIVRLFEEGDQKFVMESELAIAMWPKKASEIFAKKGEVGFHEYTTITLDEAIRKGAGRVEFIRYPIKRTKHRAVPIEGPDPEDPNDWCILAVDAFFESMKSIITGVEIFQKNPILSAKAFDCIAPVFSALEELFKPEVKSPYFVKIECVNDMLTIIQKNMNLFPNKDVRNANKEGFTAQNLKNELTYLGLTVIFPDIQEYAEDVYQGIDKAKKERYLRTCDLCDAVESCQLICILHRIPNLKKFLHNQKGCGRVFGYKCEHCDEEKDVQKTSNIQNSLKSLKIESSSPSMLNKYAQPALPAPKDCDKCLQSSKVLTETQNELKISQDQLKEMQQKITNTEKELSDLNKEHEKIVESEAKKTEELTEMKEELSKGKEQIQEKEEEILKASKENEGLQKTILKLTAENESNERTIQKLLDRITNSSTNNQKNNRINEKTIEESTPTASVTSKNAPLVIDCLICSSQIKAGQEVIRCPLCKRRFHSNVIFSLFSINFPSFSLFSCPDNVLFSNIVYSHVNAYILPALRPFLHRSESNWPTKLVYDDGEEVIQRMLVKSNNKLRMYGSVKELAENIKIFRNFTESFRFFDDDTYPFNASPIIYESLKGEKYICKPDIYTIIQNIALNVFPQKSSVFFEMLAKFLKFEVGKNNGPVEFVKFDQNFFDEIENEMRECQRESDLRTVIF >CRE22526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:390004:400685:1 gene:WBGene00065606 transcript:CRE22526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22526 MSKYLKSPDNMLTSTCLHSIAHSYILNDIRSSIDKNIFYEPECFVRGDGEEMIQKMLENSNFKLRMYGSADELAQNLKIYQNFPGSQQFLGTYYEPYLNAPVIYHSLKNEKFICKSDIFVLLQNMAVEISLMPNVDTFRNVVAGTLSGHPEQQAKHLEFVKFDQKVFDEIEMEMRKANSKNEITKTVSQVSSGNFETLVEKHREIDNSDCRTKEDIRNFIKYNLETVMNSSKNPAEAFTVYSVITTMAICLRKIIETRPEMFHQKAPITVRVFEEGDQQFVIGSELSYALWPEKLSEIFENSEKVGTHMYITMRLDEAIDEGGENIEVNHTCKQRRHQRCWEFIRYPIKRAKHRAVPIKGPNPEDPDDWCILAVDAFFEFMKNMITGFSLFPKYASMVRSFDSFKTVFEKLGDTFDPDTKEPYLLQLKSVTELFEMFQVCMTSVPMSVKVVRNAKPDGFTVQNLKNELNHLGLTVLFPEIQDFAENVYEGIDEVKKERYLRTCDLFDAVENCQLICILNRVPNVRYSIYSIANLYKFQLRKFLHNQKGCGRVIGYKCELCEEKKKTSDALEISRQPVEVQKTSDIQNSMKNLKIETSYASVSNQYSQPALSAPKDCEKCSENSVILVKTQNELKISEDQLKEMQQKISNTEKELSEMKIENEKIVESEAKKTEELAEMKKELSKEKEKNQEKEEEILKASKENEELEKANLKLTAENEANERMIQKLLDRITSLSISNQKTDRINEKTIEESTPTTSVTYKNDPPVIDCLICSSQIKSGQEVIRCPLCKRRFHSNVIFSLFSINFLSFSPIFSVHSNGDKERDFFTSNIKTKNFTAIAERFQELNPLFWNDDESRQLIEKNESNPKNFYPAALLLFVSSDFVNACTINSIGADSPPDKSDYNHRRMTSFSKYEKHPLSSRIPISILQIYVIPELRPFISGTADTWYSDGGDGIKEIQKILDNSRFKLRMYGSAEELAENISIFRNFSVNFRFFGENCCLFYTSPVIYHSLKGQKYICKSEMYTILQNMAITFRPESKLQSSASMFCHLLAKYFNSKIDFSKGNVEFVKFDDKLFDGIEREVREGVEKAKKMADSKSRNLNYPLTAESYRAVILELKHLNPLVWPDSDVSMFLDMTSVINMQTKEEQMFLTPRLYLDTFVMSSIGEIIDNRPELFKFSTDSTPITVRLFEDGEERYVMKEELYHALNRLSPGYERFEIHNDGLNFDGMSMNDVETEYGDRILNVEFIRTPILRSKHRAVPIKALSHFVIPAVDFLLEFLREIIFGLKLFQKYQCADWEEFAPIFEQFEAKFIAGRKNPFFIRAGTDISQDLNPLKKYEVLPVKEVRNAKIDGFTVQNLKNELKHLGLAETFSEIEEHAEVVYKHVDSVKKENNLRTCDLFDALENCQLICVLNRIPNVRYSIYSITNFYNFQLKKFLHNQKGCGRVLGYKCEHCEKEKDVQNSMKNLKIKSSSAPIPNQCSQPALSAPKDCEKCSESSAILVETQNELKMSQDQLKETKTKVLDTEKELSDLKREHEEIVKSEAKKTEEQVEMKEELNNEKEKKREKKEVILKASKENEELQKTILKLTAENEANERVIQKLLDRISDLSTNNQKTHQINEKTIEESTPTSSVSSKNAPLVIDCLICSSQIKAGQEVIRCPLCKRRFHSNVILFNFLSSFFIFHIFIHFKLRPFLHDLEFNELPELVDDDGEEMIQRMLDKSNNQLRMYGSAKEIAGNIKIFRSFPESFRFFDDQQYPFNTSPIIYESLNGEKYLCKPDIYTIIQNIALHTIKTLKTTSFHLLLAKVLKSKIAQTDGPVEFVKFDEKMFDEIEKEMREVEKKSHQQASAHLEYLEKFLLTKNYAAIISKFRELNPTVWNDVQANSFLERLQSLSHTEIEKKTEIISTVLINSYIMKCIEQIVQKRAPLFMSTPDTSPITVRLFEDGEERYVMEAELYHALNKVSKGSERFEIPNDGLNFEGMNMNDVKKKYGDQIQNIEFIRTPILRSKHRAVPIRSHFPGQFVIPAVDHFFELWRNAIFGLKLFQKFQCSDWKKFASIFHNIEKVLYVEKKLQKQQYFLRSGYLFKTIVRSSLSKFEVSHINEIKNVEEDGFTVQNLKEELKYLGLTETFSEIQEYAEDVYQGIDKVKKEKNLRTCDLFDAVENCQLICVLNRIPNVRYYIDSIVNLYKFQLKKFLHNQKGCGRVLGYKCAHCEKEKKMPDEVETLEISQQPVEVLKTSEHQNPAKKRKIETSNSSTPYQHSQPALSAPQLCDKCSESSKSLEKAENELKISQDQQKKMVKELSDLKEENKKIVESEAKKIEKLSKEQEEEILKASKKIEELEKANLKLTAENAANERMIEKLLDRISN >CRE22678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:70259:75243:1 gene:WBGene00065607 transcript:CRE22678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22678 MAQFLKCADNLLASNVVYSHVNAYIVPKLRPFLIDLERNQGTKLLKDDGEEVIQKILDNSNNQLRMYGSAKELAGNIKIFRNFTENFRFFDDDTYHFNATPVIYDSLNGEKYLCKPDIYTIIQNIALHTIKHPKTTSFHLFLAKVLKSKIAQTDGHVEFVKFDEKMFDEIEKEMREVENKSHQQASEQRNDLEMLLLTKDYAAIVSKFLELNPSMWDDDMANALLEELQSLSDTEIEKKTELIFDMLIESYIIKCIEQIVTERTPLFISTPDSTPITLRLFEDGEERYVMEAELYHALNRLSPGSERFVIHNDGFVQVCAWVALSGAEIFIVVAIVIVVAIVSLFYRFSFCFAVSSLQHHEDSSFQRIRIIVVSWPDSVIFVRSVFFCDSSLCQRLDDSEGALFTDANLREDLFPARTVSLSDWDNRAHFPRQFVIPAVDCFFEYWRNVIFGQKLFQKYQCSDWEKITPLFHSIEEYFDIEKLFMGNLQKQQYFVRAEYYLESEVSDSLLEYEVLPVKDVRNAKKNGFTAQNLKNELKYLGLTVTFPEIQDYAEDVYQGIDKAKKERYLRTCDLFDAVESCQLICVLNRIPNLKKFLHNQKGCGRVLGYKCEHCEKEKKASDELETMEIIHEPVEVQKTSEHQNPAKKRKIETSNSSTPNQHSQPALSAPHICEKCSESSKSLEKAENELKMSQFQQKKMVKELSDLKKENKKIVESEAKKMEKLSKEKEEEILKASKENEELQKTILKLTAENEANERMIEKLLDRISNSSTNNQKTTEILPGI >CRE22527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:401149:407993:1 gene:WBGene00065608 transcript:CRE22527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22527 MTAPITPDAVRKISSDYILEKFCQQSELKDDQLIGMPRFLDEKGEIDLIRVLFNNSNNLLRMYGSPEEHMKNLRISRNFTMSYKYFGTKPTVAFYTNPLMYKSLKNDFFMAKPDFFVILENIAVKGHPDMQYGVYSAFLALFIKMQVEKVNKTLEFVRIDNAKVEMIAREFKIGMDCCNDDSPRFPEYLPIKISSGSIPQFYDKIMEFFPGVSEEDDGSVTILYILKFMYSNRGDDLRIGCFNHLLFVFETLVNCLNDIIKKHSELFLPTDITTNPSPPIVVRLFDDGEPKFVMKSEFLRAIDPNSNYMERVDYGYETIQMKEVLEKYKDNIDRIEFIRTPILRTKHKPVPIRLVESEEFCVLAVDALFELLREIIFGIKLFQYVEEWPLSIFQQIHSVFDSNLNNQYFINLRVFNDLKKSINAAYSSSLSPPPKDVRNAKKDGFTVQNLKNELKHLGLEKHFPEISDHAEIAHTEVMELKKERFLRTCDLYDAVEICQLRCIFNRVPELRIFLHHQKSCWRVLGLRCDYCTGAEPFYENGRCEISIFAEAPKDNSIDTWLTGGRPVYKPIPERFKTVSKSEDPVLKLKTEKFDIEATNSHENSIADVNKEYKESEAKIEILRKKLMEKEEEIVNFKRDALIHEKTVKGFKELKAKIAVFEAREKEMIEERERDALAHEKTVKGFKELKEKIVEYKAREKEMMEERERNELTHSKTVLENEHLMRENASFRSQLKDSIERIKSEIDTRDKEIEKLGKKIANYEKKQMNEHSIRAEIEKENENLKKEIEEEMQKNAITVEELSNLKMRLETSEAQMSEARSQYEITIEQLEKENQELKGSNELYCNNFNSINETIHRERQTFGAVRQQLVERISELERELISRNQPGNIGITDWIAEKRVMQEEIAEKTRQIAQVIESNVVLRTENEMNSRMVQNLLDRLSGISISSGVAPTPPPSGPSTSSWNHQNPTRDSELEDETETKDDQMSSMSTVPSLLTKALRWMTLGVEYDWNTKQYPPNGRAVPEELYQLGNLINISLKLGDMRPDATILNYYR >CRE22560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:410532:410798:-1 gene:WBGene00065609 transcript:CRE22560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22560 MKEEEDEERDTSSGAETDESSSKIVSRTARVRQNAPGADESRSPSAEEEQTTTTTPAFNSSNSSTSSRQSESPEAMEREEEVSMVSGG >CRE29880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:209313:211353:1 gene:WBGene00065611 transcript:CRE29880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29880 MFSATSSSSSMPPSSYSSYEKLPRHHQSHQNNNSFYIPLHTLNYFQCVILLYFFVFTVYYHDRYGGKVENVQVTSTVFDSNSKELNIIFLFDSSSKPRELFLGFGSNDAETLRNETQIELKPPPGFTSSSQIFIWSSIEPEKPESLTVNAEHPKSLLLRDHTGHPFLELSLQEPHSEFRDVVSCFSPISGDFEMVLTSLTSSIAMGSFVSIPYEELTGELYKFLRIFEKSGNLRLTASPLIRHQPRLDSTENYHLKMLKLKTDVAHLHCWLMHKNRAKFMIFQNSAEIVLPISSTLENPVYISEFSTIFETPRVEGYGILEYNARITIEKRLGDFSDFSIRQAMESSRIEELNGNSRALIMKMHSPNSKNLSHRGKMYPFFKNFPSLPQVIPKKTMNKIDRIIEIIEENDAFWTLIKECSENSKVWKCSSKKCVRPSIRHRSLHGWYSYDIHFSKFLSVESFDCAD >CRE07887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:43192:43623:-1 gene:WBGene00065612 transcript:CRE07887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07887 MVHTFESVLRDSGKQWIIELTYNFNEQETIEDARRITLDAIDNNWKPVTLIPFCAGEGHKEPVLMNPLDQVKQDVETFINYRRALKIYIEQLLQEAVRSEVFDSSELEKVR >CRE29882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:214757:216599:1 gene:WBGene00065614 transcript:CRE29882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhp-2 description:CRE-DHP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MLZ0] MSLLIKNGTIVNDDSMFKSDVLVLDGKIVEISPSIEPLPGLEIVDATDRLVIPGGIDPHTHMQLPFMGEIAKDDFHRGTEAAVAGGTTMIIDFVIPTKGESLLVAYDRWRGWADPKVVCDYGLSMAITSWGPEVAKEMEMVTKADYGINSFKFFLAYAGVFMVRDEEFYQGMIQCAKLRALARVHAENGAVIAERCEHLLSSGITGPEGHTQSRPEELEAEATFRACTMASQANCPLYVVHVMSKGAAAAIAHHRKKGAVVFGEPIAAGLATDGSHYYHEDWLHAARYVMSPPLSRDPTTPNALMKLLAAGELHLTATDNCTFDCHQKSLGKDDFTKIPNGVNGVEDRMSVVWDKGVHAGIIDPMKFVSVTSTMAAKIFNCYPQKGRIAVGSDADIVIWNDKATRVISKDTHHHAIDFNIFEGMQVHGVPETTICRGRVVWVNGQLRTVQGAGRFVPLAPDSQIVFSAVDNRGKALEPVKVERAPYEATSALAAPDANANTVVKTLARTAIPPGGISSIQF >CRE29972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:216736:218133:-1 gene:WBGene00065615 transcript:CRE29972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29972 MDVDLASVEVVFAQKLACGEPATRQRALRVLHDWIRDQSARKPFDEADLMRLCKGLHYVLWMQDKMILQEELADRIAGLITIFTSEQEKLRYVSCFLKSLSNEWPHIDRWRMDKFLMAVRRMVRSCFAHLASLKWKKEVRDEYWNVFRKTTISADKSFNEGLKFHFCSILLDELDKAGSLTKKQVTACLKPYIELLGDKNISEYLFKSIHDEIFKAILQEKSDSVQAAVYSSEENDEAGIEFSYNEIATLLFEEGKKENLNAKRRKTIYALVEKFKKCARGQDPHHFEAPIPKEKLTKKDYEEAEKRAVELAESFKQDKKNARKLKSQIKKRAREASESARTETGNADVPEDEITEVRKGSGKKTAVPKVKKGKPLMKAKGVGKKQMLGQKKKKNGGKKN >CRE29883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:219694:223857:1 gene:WBGene00065616 transcript:CRE29883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29883 MPGYEAERDRLREHLGIEWIQNGLSKSGWTPSKSIRHSSDLNCDFSHPEKCQWKNMADEKQMDSRDFYLFEKIDYTEFPVLRVGPGPSKIQQGDKMIFVGDKKREEQHAIFYSSPINCQNSTGNLTFTYWVYNSARVEVILLEDDGRGGYKMIFDDPSEEKPYVDCGTIQLNTECHAEIPPREKPFRIGIRAYEISNTDGSFVMIDNILYSASLCKVGIDIGDNFKSNALETGATGKHVDTAAELKCDNFDSKCRWRSGGDAMVMWRRSSSILPSPLLLNATGTTVGPRGGYAVLYVEQGTQKKSLDILRSDPITCQSLTENEFTFRYWHWERFSFDNFEFSRFWEFGQIELEACAVDLMLKDVECVPIPRGSSPANVKLTFRKATKNFMIVIRVSSLNSDFDNMVIVDDISYRATLCTDALSVFDIGDSFVSTPMLSLLLSRNVHTAQDLSCDFSKRASACLWGMLNQDEESSDIASNTWTVGHGPLNDEKLYSLTGISEMPEGEFGLAKFETGGSSVLLSEVVRCALDNVHVQFKLWTTGTAKIKVCLVEESSPSLLDCQDASSGDVVVDLPRIRRPFRVAFSAEAEDQGMVLIDDIVVTGQICPPTAAKQFSSKTFRPTADIPDPNVCRLLSCDFHLGHACLYESSQVPHSAMHGVSQRKLNAFLTRSKRVFILESPQFRLNTVARLHFDYKLQGDANLFICNDSGTKELESCFKVEGREGNDYIELLASDTKVYIISRLSESGKSGSLEISKIVFTDISDQAIC >CRE07878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:25992:31240:1 gene:WBGene00065617 transcript:CRE07878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uba-1 description:CRE-UBA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NL46] MTSILEQASTNNASPKSIINKQRQGEHECPPAKRSKASDDDEPVKNGNGTSAEALKQQTLSGATKSGSVSVGSTTSGGQESEKMDTTNNAGGASGNSDELLDKNLYSRQIYTLGESAMVNLRTASVLISGLGSVGVEIAKNLILGGVRHVTIHDTKLAKWSDLSAQYYLREADIGNNRASSCFERLAELNDSVNVELSTSDLAEEFVKNFDLVVLTDANRSTQRLVSSWTRSHNRRILIADARGVFSYIFNDFGNNFRIDDATGEQVREFFIEHIDRITGEVTTLENLFHGLEDGDHVTFSEVKGLDGINGCEPIKITVKNASKFNIGDAAASFPDYLEGGRCRQVKVPITVNHVPFEKSLEEPEFGIWDYAKFEYPAQLHALWTALYAFEEKHGRSPVPRSLEDVELLKTFIPSGTEEIPEQLIQMFSFSAAGNLVTVSSVVGGIAAQEAMKGVTHHMTPLKQWLHLDHVEALPGDWTAFDNSKLSESDCRPRQSRYDGQAAVFGWPFQECLFRQRWFVVGAGAIGCELLKNLAMMGVACGEDGLIKITDMDQIEISNLNRQFLFRRKDVGGKKSECAAKAVTAFNSDVRIEALADRVGLETEHIFNDEFFGQLNGVANALDNVDARRYMDRRCVYYRLPLLESGTMGTKGNTQVVYPYLTESYSSSVDPPEKEIPVCTLKNFPNEIQHTIQWAREQFETFFASPGEMANKYLSDERAFNENIEKLISGQQIDILQKVKDALIDARPSSAEDCIHWARQQFQELYHNAIAQMLHSFPPDQLTDSGAKFWSGAKRCPHVLNFDPSKEEHFNFVYAASILKAEMYGVQPILDREEVIRIALSINPEPFEPRSGIKIAVTEAEAKEQNERGASSLATDDDAAIETLKLKLATLNVGTTRKLNCIDFEKDDDSNHHMEFIAAASNLRAENYDILPADRMKTKQIAGKIIPAIATTTAAVAGLVCVELYKVIDANGVPKTPIERFKNTFLNLSMPFFSSAEPIAAPKKTYMDKEFTLWDRIDVQGPLTLQEFIDEVQKQTGCEMSMLSAGACLLFSFFMNGAKKQERLKTEVKAVYEELLKKPLHESVRAIVLEPMMTDPNDEDVEVPYIRYAF >CRE29976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:236988:239090:-1 gene:WBGene00065618 transcript:CRE29976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29976 description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:E3MLZ6] MKFNRNVLILVALFTSISPRKTKNPFLLTEEEIKYSSISKDDIDYGLSKTREMFEFGWRNYMEYAFPADELDPIHCRGRGHDHENPDNININDVLGDYSLGLIDTLDSLVVFGDHDEFKRAVNLVIKTVSFEKNTTVQVFESTIRVMGGLLAAHMIAADSTNRFGPFYMSDYGGELLTLAHDLAGRLVPAFEGTATGIPYTRVNLQKGVLPGTTNSTCTSGAGSLLLEFGVLSKLLGDDSYERMARRVNEKLWTLRNEATGLHGNLIDIQTGEWIGHLSGLGAGIDSFYEYMLKSYILFGDQRDLDMYNESFLRIVTYMRRGRSVCADLEGDIPIYVNVDARDGSTSNTWMDSLQVRKFMKTNKYKCEVCFQASFAGVLVLAGEVDEAVCHHAFYYAIWKKYGVLPERFNWQLQAPDVSFYPLRPEFVESTYLLYTATKNPFYQHVGLEILESLETITRVKCGFATVHDVADRSLEDRMESFFLSETLKYLYLLFDTDHPINKEEQERILFSTEGHIFPITSLFNTPPSPPSLLDPPLSILPRRNASFCETATEFSAGITPLRHSQMSHLFKTVGVDTNLHNWV >CRE29977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:239466:240635:-1 gene:WBGene00065620 transcript:CRE29977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29977 MSTNTAHTPIIINKRSDAQKFAIDLLIGGVSATVSKTAVAPIERVKILLQVQYSHKDIPADKRYKGIIDAFIRVPKEQGFLSFWRGNLTNVIRYFPTQAFNFAFNDLYKSILLKNIKRENNVLSYSVRTLVSGGLAGCSSLCIVYPLDFIRTRLSADINHHTNREYKGLVDCTVKTVRNEGFSALYRGFSISLQTYFIYRSVYFGLYDAIRNTINTDKKKLPFYASFAIAQGVTVLSSYLTYPWDTVRRRMMVKGQLSTSKALAAAKKIVHEEGFRGLYKGALANIFRSAGGALVMALYEEIHKHM >CRE29884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:241029:243522:1 gene:WBGene00065621 transcript:CRE29884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sars-2 description:CRE-SARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MLZ8] MVLDIDMFRKEKGGNPEVIRKSQRDRYKDVTLVDQVIEFDEKWRKDRFIADELNRQKNAISKAIGEKMKKKEPQGTDDAVADDIVARLTDLKLDELSKLTVVQLKKLRVLVDEKSAETAAAVVAHETARHEKLIQIGNLLHESVVVSDNEDNNKVERTFGDLTTRKKYSHVDLVVMVDGFDGERGTVVAGARGYFLKGPLVFLEQAIIQLALQRLNVKGYTPLYTPFFMRKEVMQEVAQLSQFDDELYKVSSKGSEVAGDTSIDEKYLIATSEQPIAAYHRNEWIKETELPIKYAGVSTCFRQEVGSHGRDTRGIFRVHQFEKIEQFVLCSPNDNESWKLFDEMIGNAESYYQELQIPYHVVNIVSGELNNAAAKKFDLEAWFPGSGAMRELVSCSNCLDYQSRRLKVRYGQTKKLNGEVPFVHMLNATMCATTRVICAILENNQTEEGINVPTAIQQWMPENYRTFIPFVKPAPIDVDAKKAAAKN >CRE29978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:243683:244087:-1 gene:WBGene00065622 transcript:CRE29978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29978 MDRLLILYSQQCIHPTTAEMCRNFLLDILKSGKEDVVRWRNPELLEFEITNETYLRKRFYENTGKNLIRVMVDGIKFIRKVPGHENVYRFYFVPKHLLPQLPRKTFAQIVRFSTETAV >CRE29885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:246733:250565:1 gene:WBGene00065623 transcript:CRE29885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29885 MVEVDAKPLSVEEDENENEEKYENEEKEKLVKQLKFEKSERKRIEEEMEKEIRDVEAKWMEKVRKASEERDEIARKIDEFEEKIESMQIQVHRSETYKMEIEEANQRLRLQYEALQRDYEETMQERSIVLEENSRQTEERERLQKEIEKMKEDSEEVATLKKKLENTRRLLQVNVEETVTANARRDAALARLTEAEQNGVRLAEERDEALRKCKQTSVDAIVDIWNTHSVQVNLPFNKPNLGIMLGGGRTDDGSIVHGPIYVRHVAHGSPFENLLKKLDHIMMVNDISVTDMDERSVMGMLSNSHHIHLVIRRRSNCNKISDVCLPLNYGVGLELANGVFINSCEPNGAASRSGLAPGQRVVHVMHTPVYDAKHAEILIKNAREPLIIGILQSTKRGDHNGKDKNRPTIFSRWFSRNGGAEKERTVVAKANIDRSNDQVLLRQGSLRMPQASPASVSPLVRYGSLRAPTYSNSVADHSKLMLDTLDKRFNAKSSAHSEISSSSTAAPSAPWSPSSVNEKDLVFAGTNIEGVPVYVPKNTIFSTPTSPVTRLIRQNSEQKRTMSQISSSHRGGSGWDGRSFSSNTTTSDARPYSMHFTPTSSTIMEGKPHRRSAVYSPSHPPAYPTIRDDSLSSVMSSSNSIRLPSTSFSNQYPNNSACSLTGGNGAPPRHCISKDGSDFSISTTGSAMHSYAEGGRSHKKYHHHMPRGSHHSESLLVNRSSQPRLVEVPRSDVKLCGGNAIGILAEKSVGSELLEGDLILSIDGNCVRNTTLECAVNTLIADDTELTSLLVQDGGDRLNRLRLGADGDSFFLRVNIDRSMENKDELELKCGDVVFVDKTMLMGKTGRWRAWKVDKEGRQREHGAIPSSTTVYQAIRANRYANPFPKKAYEWVEKLDTKVKRPVLLFGAVVEPFLQMLVDESEKFSIVARESLTASFDEVSALLKDKVLIDSKQNEDVYDLYHVISTAHIMDITAQGLHCVLQVEQSAIDRLKRCRMFPILVKIRFKSVKQLKDINEHICGEKISSKEAKQLIEKDLKIEKDLDGSVTLVVPSHNNVSFMMTHAVLQVTDFILKKLIEEEQKKIVWVQRKVDEE >CRE29979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:250697:252179:-1 gene:WBGene00065624 transcript:CRE29979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29979 MLIQLFLLLTVFREVTTLVTCSRCESGTEECNYSRSTCRGDFCYHAQYFYGNEMMPTLQKGCVIGEISPEGCRVNHHGNVMCFCSDADYCNSNFTSIVDVTSTILPVQTCQPEKVNNMPKPRWTKPCAANYCTFIAAKTLMEVDNANYTWSTKDCNKENEFDFFPTLTVFNFYPGTCVWLNYGGQPDTHACYGSDSLDTTLAFDTTTATTECHVDYFNPHLPYVKSGSSCLGQFCFISATSRGEVFRGCVNSQTVEGATPLKIGYTRAYTGLEQWICNQSYCNADLKSAELSWPPELYLYRNISNLREFNVFYIDSARSSSSIFLAIPFVFLINYCLFH >CRE07882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:6668:10336:-1 gene:WBGene00065625 transcript:CRE07882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07882 MLEESSSLPEFFDIPESSCEELEGNSSMSQESRDEPESSSEDNSSPNGKKEIRPFIPRHNHEYENREFIEILEGAEKDVFDEALKLESLAHPFSEYYENIKSTGNDYNLAMNIRALFDNYPHYKALRFMAKSHVWFRRAPPTEILPSIGHSAVHQFVKMDGDHAIYRVILVKSDKYILMACHMNTHAFHRGDLRFVEVNNRTKTNASPYSCLGHLALGDLVAVSELAITEEMPTTLGNMDVYSATPETRCVWMVKMMTLLKRQTFENVPFSFLGNGSVVALKWGQVLNVQIPPMYGYPQNVIFLGNGFIPEKVPEFASGLDEEQHDLLLGLTRNISKYPWSTGTIFSYEYSPYFTSQFDLGHRAHAIPNPDPDGVVELCALMGATAVTAVLVGNFDCRSFRMLETAKVAQNLISFTIENTGYPQPYQLWKANARILISSSKTDAEATISTVFLVGGLLKFTAILSPEYYDFQFTDKIHMVSQKEMPEGEGLRDGFLEKIPDDSNGKRIIEALYGGYPIVDETTEDDDVTYYFPGTDRIVLNEFQNEYVSKLLKKTPLTLADSPFGSGKSMTIATAAYYAARESRLWGNSQQLLVTQSNFASVNLVDITKRYTKKCRVVRYVPLNTWMELSEGSRTDLDLPVMMRPLFMEYVTGRKFHSNSRYLFEMAKYLKEFKLIEVEQMEKRCQTYFRENTFTSKYDFDRLTRLFFTFYQPQIVITTSDCLRNVLPMLHEVSTVQFDESSQMPESALIQVLSMFPYACFGLVGDIRQLPPYCDYSVVGHLKMYGVGNTMERACRGDLFPRVVLRYVYRCHPVTTKILGSEFYGRNLLSAVKEEDRNEFMRSRPDLWQNPNFPIMVLNNNTPGIRDLTSMHNPVEVSIVSCLIDALTSSPLYSIHPSNIGVISFYKAQNDRLLQVLADKPVKCGTVDSFQGTEKEIIIVCCTNEVINGFMAMPNRINVAMSRAKQATFIIGNVSGLRMAIHWSTLVNEAERFGCMRY >CRE29980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:260494:261392:-1 gene:WBGene00065627 transcript:CRE29980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29980 MAPKTVFITGANRGIGLGIVRELLKDTGIETIIAGARNLEAAKELQFLSRSDARLHLISVDVSDDKSLENAVKQVDSIVGDRGINLLINNAGIIEKYQTTSTPNRSAVLRCIDVNAVSSLLTSQVYLSPLISVQDFQHFLPLLQKAATHTQGEELSASRAAIVNIGSDCSSQKLNVTGFCNETLLAYKMSKVAMLSFARSLVADFKTLNIPVLVTTIHPGWVLTDMGGPDAEITIEESASKIVNSLGQLNQSHAGGLFDRQLSALPF >CRE29888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:264141:266445:1 gene:WBGene00065628 transcript:CRE29888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29888 MSRVFLEEADRPPPAYYQNNNSDEQKPLKIVPFQTPDPEKWISAPEFVPRSKQLADAFGFPTTDFENQNIQETVPFDPNFYDYSLPFSSQTMPPPAPFNGIPPGYTANMTTINPGNGPPIAAILLRKKRKRRSKHSTNSMVNTHSTSPCSSHPSDPNGDISSCDEFQKNSDADNFSGSCPDLTAQQIQKWDDYLYETAKNVNENGILHESTGERTSEDLSSSVYEIAAPGLLETAAQTISGTSNKELQKLDQEINGNVMTSSMVLRKLANHTFISDGCTEKTLEQEMNELTFRKPVVPQRYGYPQIESNVSPYVHLATMDPKKKKVTNHLIDKDALKAYLDEEDEEEEDFLEGLNITVNNFDTCEDLSLSDVEQPSRFQQFQDAIRKNTVVIATDLQAPDRQCCTIM >CRE29982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:266504:267052:-1 gene:WBGene00065629 transcript:CRE29982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29982 MSFVFSGLEFLSTFYFNLVFLFGFLGFLALNCGVCDSSSPIDFQDSYGYDNHDAQSPSDSVGFDINPAMSPVFEKGATESNFDMNVFEEHKEESSWWNVRILCGGGSSTSAL >CRE29983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:267199:268522:-1 gene:WBGene00065630 transcript:CRE29983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-12 description:CRE-SRV-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MM08] MTSSLPSEFWNLQMADINYTRTEEDEKLIRTVENVQFCTFCVTLTVHFTIVWALFEAHHRRFEELMSPFFKLCLATAGVDIWTLLSNYLGAMFPKWGYFVPVYLFLGKGYAHVYLYFAWSTGICQAMGVSILAANRLSVMLFPTKFHLVRFFLIQMFSEIEYFQMWQGYRIWIAISIQYLSGLSVGIATFFNPTQIFRNEQNGIVPKFLNVTMTNTFFVIGGAFLAVNCLFLVLTYCYLFAVLHQRNRNAPSQPVRYQSKRKEKAKMREAKLFTMSTITVGVQMSVLLLFIFGGSDILGFSTDQFYMVYNALSDLYASINPYLLWIFSDSLRRYVLQRFGFSKKEMRMTSVTPVIFVLP >CRE26023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2445:2991:3845:1 gene:WBGene00065631 transcript:CRE26023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26023 MQIRCLLLLILLVSAISCSPRRGIRVVAVNKDGLFPKRVQSSLESALKWFENAILVQDSSSSYVVDYVGKFVPGYLTPFAGRVTIQTPNKVFSLGILSDEFKTLFEYSDVVVFIRAKPFGCGSQPQAFGGAMRPKSEILRASITKLAFLEYCYNEVSDTFSYFDLFRHEILHSLGYGVFEPHDSAMSVREEKYQWKNEDGTESTATRHFFNTNQPALDEVKKYFGCDSDILKGVESDEGGMHLNEYIFQVSL >CRE29984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:271491:273473:-1 gene:WBGene00065633 transcript:CRE29984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ipla-7 MNNFFHRDVLINSLSYFTSIGLFFIENSHRHTHSVDTPLLPTFRRLSSHLFDLHSRLFQKFALSFLKLGSPCIPGDSPVKMPSNRCAHLMSTKKRDERIDTMIAFLKSAVQAQKEKPVQQEQAPGNAIVSMTAKLLELWSVPQSSITEMRLLLTLGADPAPLFKDNIGEKILADRIDNGSVCEECATKFKEFLEFSGTIFEEMFERTPIQINKSKKVDGVMALCLDGGGMRGLVSVVCLLYASRRLLGDESLPNLFDWFIGTSTGSMLALSMVNKKSISDCFFQYWDMKAQIFLRGSTMKRLFSDQVSVQTKNIDKVLEECFPTQTLQACPTRITVPALDISTAPARLHVFRNYSFTKQFGVCLNEEQDVLFREAARASSAAPTYFEPFEYGNKVLVDGSFVANYPLNVLFKEYDSFSKHQNPIHLAGVLSIGTGEPSLAQRKYKSGKSIKSRARNMGQLSTLILEQVVGQDLTAVEMAQDRCHAHNIPFNRLSPKGISVRIDQIDDAKLMDMIWTTLMYLTENVHEIDQLGEMLYKLKSDPTERKRRSNTVL >CRE29890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:280854:282801:1 gene:WBGene00065634 transcript:CRE29890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cwn-2 description:CRE-CWN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MM11] MIPRRSNFILLLFNLIQVQSLLDASWWSTVAQLSTALAGHNVKPICELPGLSPGQAQVCELFKDHMPAVSIGAQNAIQECQRQFTGHRWNCSTHYSTGMLGPIHKMATREAAFTYAILSAGVTHEIGRRCKQGLLTSCGCSDEIKPKNVPNDWSWGGCGDNVEYGYKFSRDFIDIREKEHDPKRNHDNGRSLMNRRNNEAGRKILKRHRKPKCKCHGVSGACNMKTCWMQLPSMEQVGKILRNKYDKAIRVQINDRGNLQLLADETSKERKTRALPTDLVFMDDSPDYCRFDRHSGTLGTEGRVCKRGSSGAEGCDSLCCGRGYNTYTQEIKSKCNCKFEWCCKVVCQTCNNVTQVDICK >CRE29985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:283110:284847:-1 gene:WBGene00065635 transcript:CRE29985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29985 MTAPVKKLSVKEKELAERKTPWKSVYVISFLLLLTGLQMSIYFTSTWQYLSENDESVTVDFFGVLLALQALASAFANPLFGYWNQVSASTRNPVFCACGIAGFGNLLYGFAYLAPPYVKWVMLFSRILTGFSPGALGVLRSFIGTASTQEDRMKAVSITNAGFTAGFFLGPTIQICFIPLGKDGFYIGPFLINMYTSAALFMTIVSVLSILLTYFFLEENYVGIISEEEKNQDPYFVLPKFDRLPVLLLFYMWWLMCGVVCVESMAAPVTIAMYNWSREDAVLYNGIIQTVSCVFTFIVNFSLASTKLKTIDNRLLLLCGLVFFEVFFILHMPLPFYPGPLDRPGTLNSTISEVGRCEFDWCDSTPRVPLPLYLVICSAIIGLGFPLISSTSSSLLSQIIGPRKQVFVVRKMAFILSFQGTVQGFFAFTGAFSQFVVSLFSTRLFELSGYKWIMIYHWSIVTVAIISTLFLWRRLIPLKMTPSNGEATRYKLGTFYRM >CRE29986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:285298:286203:-1 gene:WBGene00065636 transcript:CRE29986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-2 description:CRE-COL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MM13] MDIDARIKAYKFVAYSAVTFSVVAVVSVFITLPMVYNYVNNVKKQIHTDVNFCKVSARDIWSEVHLIKDAPSNNTRVARQAYNTGGAGGGGGSGGGGCDGCCNPGPQGPGGSPGKPGRPGKPGAPGAPGNPGKGASAPCEPVTQPPCQPCPGGPPGPAGPAGPPGPPGEDGAPGSPAGPSSPGPAGPPGPAGPAGNDGAPGNPGGPGEPGASEQGGPGEPGPDGPPGPAGPAGNDGAPGTGGPGPAGPKGPPGPAGAPGADGNPGGPGTAGKPGGEGEKGICPKYCAIDGGVFFEDGTRRR >CRE29987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:286685:287390:-1 gene:WBGene00065638 transcript:CRE29987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-39 description:CRE-TTR-39 protein [Source:UniProtKB/TrEMBL;Acc:E3MM14] MNLLILFLIPTVLFVFVSADDLLQTKLNETSNSDIEIMSPEGTKKPTRFIDKVRAIGRKQAIGVKGKLMCGGRPVRNATVKLWDNDMFDPDDLIAETHVNEDGTFELSGFAISITSIDPQLRIYHNCRTTSKVCRRKITFTVPDNYINKGVKVQKWFDLGTPNMEIGVKHKEEPHCY >CRE29988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:287770:289473:-1 gene:WBGene00065639 transcript:CRE29988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-21 MTQVTLILLGFLYLFRSVLSQNCTFNVHYVTKTSSFPTNCSQLIGRFRIDENSEISNDQLVALFKNVHSIRGVLQIWNTQLTSATFMRNIQNITGDPLDKGISILNNTRLASISLTSLKYSNGKVEIQNNPVLNLQKSCSVIHDVYFNRRSITGNLFDCGCEITGYFQWPKIKNFPENCIVVYGNIIMDGVAPPYNVLYRLATVTKLYGFISIQRTNLETLGFLQNLEDIESGVEAQNTIEIESNEYLGRLDLIRLRSVKSRNSDSDVRITSNEELCIDPSFIQLLAQSNIRYINQYSPLSYCELDKINNPSTYCTGKLSTINERCTRYIGDIIYSNPDIWDYSDINNYTKVPQNEIKKFQQFEIIFGSFVIEGSTLTNISMPNLREIYQVSAVYIGTSPLGLGVAMKFRRNDFLKEVSFPNLKISHNSILLYRNGNLSTDADFCKKMTDGRKRMTLLGLDSEYDCRAYL >CRE29990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:292533:294097:-1 gene:WBGene00065640 transcript:CRE29990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29990 MDDEYNVLSTGFADDDGELQYPNDDREPLAVISNGDTENQRLSEVRGALEEVGYGSRKRRLNDIEDVFDKNGRRTHPMDWHMRMEPVAPDVAEKSQMNAAKRRALESLEQKINEAIVEAEAIKENDPENFGADGEQTIYSLCEQEMSRRYHRRKEVMKNPPTDGSPWIGVSDVLRGQRFYIRTFREDRSSVPLVEAITQQATRSRVGYRAFQAICEEAENIRYLFRKEKEQNCVKQQELEFSRMLEESSELETMTTSHVESSLWVDKYKAKNFSDLLSDNTVNRNILAWLKMWDECVFHRKVDDLLSSLGEKEREVLQMDNGKIRRPLSKMLLISGPAGLGKSTLARIVARQAGYATIDVNASDARTVADLNKVLEGAVKTSRTLDADQRPACLILDEIDGTPIDTIRHLVRCLQATGKKAVRRPIIGICNNL >CRE29891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:291425:292419:1 gene:WBGene00065641 transcript:CRE29891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29891 MGSEKMIEVKIDMEEGEPLGATPNDKLVITKIQSGTISEGKLRVGDQVKKVNDVDCKDANDFFRALRYAAPLARILVNRDEKKAEELEARVHIPEDRAKIIQRREGYVYEMATLVWVQNGPKLGLGIKHFQNRVLVSRVDPGSLAEKCLVLGDHLCDVDGVPVTDKDVARDLLVKNIQEKGKVSFVVERPDSIEAKQWAKNALAANILTPPSVTMADDVRSIAAKYRQALKGLKGPEKSAMTTGGGPGGRKVSIVEETATHEIGHDHEGKALRKVK >CRE29991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:294708:296483:-1 gene:WBGene00065642 transcript:CRE29991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29991 MQITSTLTQNKMEPINGNLSVAAAPIEPLTVYAAEQVGGAFCHHFYITVSENRASITKFYGHESKFYMEDQTVTGSQEIANLYNHLPESTHFKIHCIKGYPSPHKQGVIVNVIGTVNLRPFMQTFLLGQQGQKKYYVETDAFQYLDGYFDFNIEQEKTVSPTGVNGNSNGSNGKVTKAIKTPEQPKEQPKPAALKQQTPKKAEETSRPAKQDTPKASEKEVVRTPQKPETVQHSQQQQPRAQAPPQAPVPAPEPVQEQPKAPKTWANLVGGGAKQQPPQQQSYGQGQQAHQQQMHQMQQAAALHQQQQAQAQIYQQQRHAQQSSQHPANDLRQAQENSDASLNERKIYLGGITREIVPSNTAQAETEIKQVFYKFGEVEEVRMPRKVLDSPNDTSKHAFAFITMRNKAGVQAIFAAATRDEQGTWKLPLKLDAFGFEGHAQISEQRDQPGGGFRGGRGGFQPRGGQFFRRGGPGGAPRGGLRGAFQNGGQH >CRE29892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:296933:297662:1 gene:WBGene00065643 transcript:CRE29892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29892 MKFSLLILAWFVSVDASARVLIGKNMLSTYPLAHKQNVFEYQIVNIGNTSAINVELHDRDSFPTDRFEILKGSPNTKFAEIPPQMTVYHHVVVVPRNVQPIEDKNVTVDYTDSETKQVSHVSTLWYSKGRFTHYLHEDALKAVIGTSSKPFLGFAAIALPFTALSSLFYFRSKSRYSVTKQN >CRE29893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:297931:299899:1 gene:WBGene00065644 transcript:CRE29893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glt-3 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3MM21] MGMKKDLLLVLTIESVVLGVVLGFVIRPFNPSNDTISLIGFPGEIFMQIVEMMILPLIMSSVISALAQVRARDARRIGIVTIIYYMTTTFLSTFTGIILVSSIHPGDPELIHELGEGTLENTALSTLDTFLDQIRNMFPENIIQATFQQVQTEYMPIKPSRVRNSTSMNMTSEILHKQTLTYTNEMNVLGLIVFCSGFGIILSILGDQARLMINFFIVLDAIIMRWISALMWCYPIGILSLVCKNIIDIDNLTETAQALAMYVVTVICGLMIHSLLTLPLLYFLVTKKSPFAFMTGMLQALATAFGTASSGATLPVTFRALEENLKIDRRVTRFVLPLGATITMDGTALYEAVAVIFIAQLHNIKLSLMDLVTISITTTVASIGSGSVPAGLDTIVIVLTTVGLPAKDLSLLLTVDWLLDRIRTSVNVLGDSFGAGIIHHLTRSSLLEADTDDLIRQIREDIDILNNPHQDTLPISHHSVQSTIQNTQNSMQAPHIYSKSARASFAPVPNEEERKALLKESIALNKSETHIV >CRE29992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:300110:301177:-1 gene:WBGene00065645 transcript:CRE29992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29992 MNSNNEISHDINCGKIKLTTNDFKGNEKSTDGSVTIQSIPWFAISLMNWNIMSLFRNLRVSETNKTLNFKLSCNENSANTMWNCEADIEIHVNKLHGKRRGNFKKMKVISEKKVFDFKNKSMEGTLVANEKVDDIESLLEKKQFEIEAKLKLTRIYGYRKRKIIDYSIEHPRFSNGIIVVDGSEFHVNKQILAMHSKYFYNLFFGNFTEKNSKSVEIPGVSYDDFVIFLDFVHPTGRKMEVEFVENLMELADLFLADRIMDYCEEFLMTTEVWDVGKKMLLAEKFSLSELMASFDSFGFLEQSNFQNHCLNSFKTTKSLLELKKSNNFFDISDEIKSCLLERAVQLNKQRALRFF >CRE29894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:302985:303352:1 gene:WBGene00065646 transcript:CRE29894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29894 MTRTRKVQWADDDGVEVQKTSTSNSECLKMKSNTNSKKLVQVRSYSGYAESHADEKIVQTSPTQRKTQKFDRNLSTVDEEEDEDVSENSENENV >CRE29993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:303563:304478:-1 gene:WBGene00065647 transcript:CRE29993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-3 description:CRE-GST-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MM24] MVHYKLVYFNARGLAEISRQLFHLAGVEYEDHRIEDQEFAELKPNLPTGQVPILYIDNVQFSQSTAIARYLARKFGFAGKNTEEELLADAIVDTFKDFIESFRKFVVGVLTGESEENMKKIREEVMRPAVKTYLTYLNKILENNKSGYLVGNELTWADLVVADNLTTLITAEFLDLKNEKTLGEFHRKILETEKLKEWLEKRPVTRF >CRE29994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:304630:305539:-1 gene:WBGene00065648 transcript:CRE29994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-2 description:CRE-GST-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MM25] MKYHRKTLTFVIVLKFDLIFALGVLETLSFSFSLCLFFLFSSVDTNKTISCFSTFSNLISIDKSKMVHYKLTYFDARGLAETARQLFVLADVEFEDNRLTVEEFQRLKPTLPSGQVPILSVDGFEISQSAAIWRYLARKFGLSGNTPEEEATADSVVDHFKDFLVSFKHYGAGLFFGKSQDELDRARKEIVEPAVQVYFSVLKKYLEKSGTGYLVGSKLTFADIAVAENLTTLKNTDFFKPEEEKTLASFHQKVLETPKLKEYLEKRKFSTL >CRE29895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:305732:306492:1 gene:WBGene00065649 transcript:CRE29895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-4 description:CRE-GST-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MM26] MPNYKLSYFDVRALAEPARIIFALFDVPFEDHRVSVEEWNELKKSKFNSSETNNHLVFSETPYGQLPVLEVDGVEIGQSMSITRYLARKFGIAGKNAEEEAIADSIVDQYRDFIFSFRQFTSSVFYGKDPEYINKVRVEVVDPARDLFLGIINKILNKSESGYLVGNSLTWADIVIADNLTSLLKNGFLDFKKEKKLEEFYSRIHSIPKIKEYVAKRKDTNL >CRE29896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:306709:307455:1 gene:WBGene00065650 transcript:CRE29896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29896 MIQYKLIYFDVRGLGEVSRQLFHLTGTPFEDIRIPRNDEKLWKEFKKSTPFGQLPVLKIKDSISETEIEIPQSSAIGRYLAKKFGYSGQTPEEEAMVDAVIDQFKDFLVSQKQLTLAIRSKKSEDEIKRIIDDVVNPAKKIYFEALIKLLEKSLSGYLIGDNLTWADLQIADNLTTLKGFGLFVSSEEPILSAFHQKIMNIPELRSYLDSRPLTML >CRE29897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:308184:309083:1 gene:WBGene00065651 transcript:CRE29897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-12 description:CRE-DHS-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MM28] MAPKTIFITGANRGIGLGLVRELLKVPGVETLVAGARNIDGAKELQSLAKADSRLHLLAVDVSNDESLVSSVNSVSGLVGERGLNLLINNAGVIESYGTSSTPNRYTVLKCIDVNAVSALLASQHFLPLLQKAASKVSGDNLSPDRAAIINIGSDCASQTLNLRGSGPGNSLLAYKMSKVAMLSFSRSMAADFKNLNIPVLITNIHPGWVQTDMGGSNAEISVDESVTKIVASIGKLDASHQGGLFNRDLETMPF >CRE13864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2781:2802:3311:-1 gene:WBGene00065652 transcript:CRE13864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13864 MCEDIAFISSFFQKRLVWDSAPLATSYHIQVIHLHENTEISKESLKKQEITTKALRKFAEKVKGVTRVEVSSEHLWDFEPTMQFLAKDVQERWTLTHEAMEELIKKVDSQMQTSLEQETVLRFVILETTEPVVVLDETGEDIHGVAVASWGAVLTRNEATESKAIAAIR >CRE29997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:312202:313338:-1 gene:WBGene00065653 transcript:CRE29997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-12 description:Tetraspanin [Source:UniProtKB/TrEMBL;Acc:E3MM31] MANRRQPVPHRAQQRVYRQSQIRYAPGAGGESEISCCVKYSVFSFNVIFFLLGFGLLLFGVWAQIEKNTFVNMLSKASKLYLDPTWPLLIVGFLTFIIGFSGCVGSLRENTSFLTFYSTLLGLLLIAELSAGVFAYACRDQLDNYIRNLLNDVVVGYRDDPDLQLLIDSMQETWMCCGINGADDWDRNTYFSIEAREVASPEAGGVPFSCCVNSSKLEFKNYFCGHGVRIKPESHMTAHLAAQRVMAHTASIYTEGCLPKLQLWLNNNMLLVAVSMVIIAIIQVLGICFAQNLKSDILAQRAKWYYTH >CRE29898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:314665:317974:1 gene:WBGene00065654 transcript:CRE29898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29898 description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:E3MM32] MSIAPKNKGGQPSRMKTNKKDEEASGNVYAHLDKTSVLQECRAFNETPINNKKCTFILTKLIYIIQQGEPIGRTEATEAFFGVTKLWQSKDVNLRRMIYLGVKEMAEVSDDVIIVTSSLTKDMTGREDLYRAAAIRALCKITDTGMLQTIERYMKQAIVDRNSAISSSAVVSSIHLMRKSAEVVRRWANEVQEAVSSDNHMVQYHALALLYQIRANDRLAVNKLVQKFSKNALRSPYAVCYLIRIATRCLVDDDQPDSSVFTFIESCLRHKSEMVVYEAARAIVSLPQTTPSEIQPAITALQMCCTSPKAAVRFAAVRTLNKVAMAHPNAVMSCNVDLEKFITDPNRSIATLAITTLLKTGAESSVERLMQQISGFVNEISDEFKIVVVDAIRSLCSRYPRKHTVMMPFLAKMLRNDGSYDYKKAIVETIIAIIEENPDAKVAGLAHLCEFIEDCEHDNLSTRVLHLLGREAPKTPNPSSYIRFIYNRVILESTKVRAAAVTALAKFGAQCVDLRPSIQVLLKRCLLDSDDEVRDRATFYLKMLTEAAESLIHNFILDGLQVSPAGLERSILDYLRSQSYSSAFDLRTVPVVQQALSQPEKRVPQLIEEEEKPKAPKVEPYAAQLAAIPQFAALGPVFKSTARIALTESIAEYTVHMIKHTFANAVVLQFECKNTMNDQLLLDVSVELEDPDGEWESKETVQINKLPYGEIHSAYSLLEFPDSGAISGSLGAILKFKVMDVDPTSGEPDSEDTYDQTYVLEEVEVNVSDSVQGVAKTSFASAWEALGDDGTREETFQLSTVENIPDAVKKITEILGLVPCERSDRVPEGKTQHTVFLSGVFRGGYEVLSKATVAVDPSDNSIAMNIIIKSNEPLVADLVISAVV >CRE29899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:318592:319102:1 gene:WBGene00065655 transcript:CRE29899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-54 description:CRE-TTR-54 protein [Source:UniProtKB/TrEMBL;Acc:E3MM33] MRVHLTFLLCCSSALLCSAANNCAWFVGQLQCSDPSKLENIVVEIWDRDRSFVSFHSRDFIESSIQFPLTLFVDDDLAGRTITSADDNGTFKVEGCASDVDFLFLKNEPEFYLKIRHYCKGSAEVTYAHPRDMKVFVPETNDYFTRHPIKLG >CRE29900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:320302:320971:1 gene:WBGene00065656 transcript:CRE29900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-53 description:CRE-TTR-53 protein [Source:UniProtKB/TrEMBL;Acc:E3MM34] MLKSFIILCVSILPISLAGRECVWVLGKVECQSDPTKNLNVEIRVWDKDGPGPLQMIDPDDLMGVTFSNDDGRFQLDGCGDDFDWIPGVKNVPEPYIEIRHYCNSEKGDVFQLPEFNTFVPNTYDIGTIILDKETRGTKTTQKTDPTQ >CRE29901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:322454:324741:1 gene:WBGene00065657 transcript:CRE29901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pps-1 description:CRE-PPS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MM35] MLTQRDENNEGEIMPMLKKPRYSLCARKETRNVSFPTKILINISWKVSELYKKARAGQIPGFTGIDSAYEAPEKAEIVLDAGKDGVEQCVQKVLDHLESVGLLPEQIPEVPPVRELFVNDELKAAELLKESQNLPSVELSKVDLQWLQVLAEGWATPLTGFMRERQYLQCMHFGQLLDLKHKVAFVGEKDNGKEDSWPLMEEINQSIPIVLPISDDVKNNLEGVNRIALKYNGQVFAVLSDPEIFEHRKEERVCRQFGTNDPRHPAVAQVLEAGNWLLGGDVAVVQKIQFNDGLDKYRKTPNELRAIFTEKKADAVFAFQLRNPIHNGHALLMRDTREKLLAQHKNPILLLHPLGGWTKDDDVPLDVRIKQHEAVIAERVLNSEWTVLSIFPSPMMYAGPTEVQWHARSRIAAGIQHYIVGRDPAGIQKPGSPDALYETSHGAKVLSMAPGLSSLHILPFRVAAYDKTAKKMSFFDPSRKDDFENISGTKMRGLARNGETPPEGFMAPTAWEVLAGYYKSLQNSN >CRE29998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:324976:332832:-1 gene:WBGene00065658 transcript:CRE29998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pxf-1 description:CRE-PXF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MM36] MDPRKPRQDPVNDARFYESLIKPPSKRTADDIRNVYEQLRQLDTFSNLFIGPLKAICKTARYERHPAQYILFRDGDVAESWYILLSGSVFIENQIYMPYGCFGKRNGQNHRRTHNCLLLQESEMIVIDYPTESQANGMSPRSHPRGMHSGEPVHQKTPRKSAPNMSLDSIAMPPPPVPPRPLRLPPTAAKGPAPLPPRGLPRTYPLDFPVDVPTTSSTSSTASYNDQHRSHVYLNGLSADDDTLVRVKHRREKSNSIGGPGQNGISTARRLRGRSTASSTTTEGESASNEGADSDEEEGSMPSQESSGGGFMDLRDSVRECLEKEPSERNSEDLAVLLDFMQHMSAFAALPMSIKRQLCLKMVFAVVNDAGTVVLAHNEKLDSWSVIVNGCVEVVKPSGERVEFKLGDSFGAEPTSSIQYHVGEMRTMVDDCEFVLVEHRDFCSIMATIGDHIAKDRDGLTGEVVSEVERRVIGTQTGQVLIKGKPDKLIQHLVDDREHNIDPHYVDDFLLTYRVFIRDPTTIFEKLMLWFADSVYRDKVARLVLLWVNNHFNDFENNDEMWNLLERFEGALERDGMHSQLSLLNIACSVKAKPRQVTLTRKKDDRMMMKLVGGQETGNSVYVAEVYPETSAAREGVKRADEMLEINQQSAKYLSAKKAEDLLTGSLSLTLMLKNNVLGYKETIGKIEHNKPKNGVARSGAGIPMVIPVHKTSISGKKSSTSSSKSGMMEKLMTILKSSKEDSMDFTDEARISSTDLRPSRSNPDITSISQYYGPVRSECPEHVLKIYRNDQTFKYLPVYKETSAQNVVQLALQEFNMTAEGSPEWSLCECTVTMDGVIKQRRLPPQMENLAERIALNSRFVLKIFQCQIFFFRYYLKSNSRSEPLVPDELAPELLKEAQTQLLSLNAQVIAAQLTLQDFSVFSAIEPTEFIDNLFKLDSKYLEEFEQLFNREMWWVATEVCTERHVQKRAKLIKKFIKVARYCRDLRNFNSMFAIMSGLDKPAVRRLHSSWERVSSKYIRMLEEIHQLVDPSRNMSKYRQHLAEVAQEPPVVPIYPVIKKDLTFAHDGNATYSEKLVNFEKLRLIAKSIRGVMKLSSAPYEIASMAERSGGVVIDALLHMNSFENSNVATMRKGMGGKQNQPRKKVYEQALMVRKVKAYLEGLHVVDNEMELDAMSYDIEPQVQTAHRGPSSSSANIRRVPSPTPSSLSSQSAGSADQSSRHRLLFNGNGSISSAGGGAKFGVESPQAVQKMLALVQNSKVKGAPPPVTSPSTSARSSLQRNMPRVTGRQTTPAQGPVQLNEETSTVTTYYQSDNGRRQRSGSEGRFDNIPPSTFYLTPGGLTVSPRQSLSVVIPTHPHGHSPTSPRCRSRSPASSGNSSLSTIASIAATSMAAAPSAFVANPYHNQTVRGHMIGHRPMPIVTSGSATLPNHVSPRGLPPKSRPTILPGSHNSSSSRMGTIKEATFLTSEQVSRV >CRE29902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:335187:336310:1 gene:WBGene00065659 transcript:CRE29902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rack-1 description:CRE-RACK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MM37] MVQEQMKLTGTLEGHTGWVTQIATYTRNDKTTVLSSSRDKTILVWDVDSVAPVDGGAIGRPVRSLTGHNHFVSDVVISSDGQFALSGSWDKTLRLWDLNQGVSTRQFISHTKDVLSVAFSADNRQIVSGSRDKSIKLWNTLAQCKYTITDDCHTDWVSTVRFSPSNRDPVIVSAGWDKVVKVWNLGNCRLKTNHIGHTGYVNTVTVSPDGSLCASGGKDGQAMLWDLNEGKHLYTLSGNDVINAMSFSPNRYWLCAAVGSSIKIWDLEDKKEIEELKPEIASSGNGRGSSPQCISLAWSQDGQTLFAGYTDNIIRVYQVSIRASN >CRE29903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:336457:337762:1 gene:WBGene00065660 transcript:CRE29903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29903 MTDRNELIGVAIRVVAAAAVSFLSVRYLVKYLDPNYSVNEDCKKKVATLFRELGIDREIELSEHEVRIATQFVGGEDVGAEWDEIGGCEELVAELKDRIILPLRFAAQSGSHLLSPPRGILLYGPPGCGKTLLAKAVARAAGCRFINLQVSILTDKWYGESQKLAAAVFSVAQKFQPTIIFIDEIDSFLRDRQSHDHESTAMMKAQFMTLWDGFASSGDQVIVMGATNRPRDVDAAILRRMTARFQVPVPNAKQRSQILNVILRNETFEDSVDLEEIAQKAEGLSGSDLKEVSILAVFSKSVCRLALLARAKATVASGGSVQRLLPLEQTDFESAVRKYMRAAQLLVEETLD >CRE29904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:338482:340762:1 gene:WBGene00065661 transcript:CRE29904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gta-1 description:CRE-GTA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MM39] MLPRLAKSSLIQQVRGVSAIANAEPSGPSIQTSIPGPRSKELKQEMDKVHQTTSVRFHVDYEKSFGNYVVDADGNTLLDVYTQISSLPLGYNHPDLVKVASSPQLITSLVSRPALGSFPRTDFADGISHALTSIAPKGLKAVQTMLCGTSANENAIKTAFIWYQAQRRGGLGPDALHLESCMTQQKPGTPNLSVMGFEGAFHGRSLCMLSNQCLISINYSFQVDIPAFDWPIAKFPRYKYPLAQNSAYNKKQDQECLADVEAKIAEWKRRDNDVAAIIVEPIQAEGGDHYGSPAFFQGLRDVTAKHGIVFIVDEVQTGGGATGDVWAHDHWNLSSPPDIVTFSKKLLTGGYFYGEHLRVKEAYRIYNTWMGDPTKLLLLEKAVEVIKRDGLIEQSRSVGAEFQKRLGELQASSGGKLDQARGRGTFAAVDFPSGSLRDKFVDLAISNGLHCGGCGDKSLRFRPSLVYTKKHLDLTFDLLDKTLKQL >CRE29999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:340946:349894:-1 gene:WBGene00065662 transcript:CRE29999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptp-4 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MM40] MPRKHFIFFPLFSLFFFWKFESIQSISVTSKNATEALLRCPPAENFIRTHMAPDFETPRLVRRLDWFQDDSLVASYQQDILADSSRQWWVADGRYQLIRPFYTLRVSPVTPEDSGTYRCRIETDPLFSSPQSTATQELAVMVRPVAPSSPEIKSFTNRSITLVWTHNAARAHRPILRFSVSVRTVSDNTRFVMAAPSNATTVIVDNLSPYTLYAFSVRAENSAGTSDFGPETTFRTLGEPPIRPPQIQKIRNITSECVEVTVTPPDEMNGELDKYLVLIQAINETIPRKMTFDKPTSTPLTICALIPSTEYALAIEADNGFGTSPQATLVFHTEDSVPNWSPSTITTLPVVGKPEITVLWPAPLPNATEKVTKYHLYYKANNEDQWKIEHLNVNPNGVKSKLFKYRLVDLNPNTLYRIRVSASTSKGEGAQSADSLAQTDVGEPGTVTFKELNFDCKNGVKLSWNYEPSINSKKSPTFTVKVTNQTTTLQFNTTKQSLDIIDLSLYDEYSLRVIVLERSTIDNSTILIGKYSDSHRFLLKDKCSYQSSFCSPGEKCAKLTSSAANPRYISVLIVIFAIIIFAFICFVIVHFARGSMNFKHLLKKKEKCVYLEEISPLVYDSAGQEDIPVELFYGYVEDLNRNDAFKFKAQFQILENQTSGIDSTDSGESTSSADENTQKNRYNNIGAMEATRIRLNSPTGNDYINANYVDSCNERNAYIATQAPLPSTFSDFWSMIWQERSNVIVCITNMVEDGKRKCDQYWPSTQDSPQTFGNYQVTLISESTNSHFSHRILDLKIAKSVPAAERKIHQLHFMGWPDHGVPSSVFPLLNFIHYASDIHSTGPVVVHCSAGVGRSGSYILVDSMRRHLISFRRLNVQGHLTHMRRQRAKLVQTLEQYIFCHEAIRQLIRHGITRVHSDLFMRYLHYLSEENLNGKTRMQLQYEDVCECKHHPRCQIDSDVITLPGYHRSDEFMVGSWSHESEELWRLIWKRKVQTIVVLNQRDSFWRKLHLCDLDGPIQIQHGDNFVLLQKDDQQLCVRIVNVARADLDADFWREIENVQKQRITYHEAPLLILAHKYPPNASSPTDSTSTLSLSMLFNDDTSLAFSICAATTLACQLETTGCVDVVQVLSSYTEIQCGIFSSKQEIEIIYEKISQLVGGTRV >CRE29906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:355350:360143:1 gene:WBGene00065663 transcript:CRE29906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gon-4 description:CRE-GON-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MM42] METNRSAEFPSSDDQPSTSTANNSNVMKANEEEKTDKEMKQLLEATNEILENKAKSWNLTSLNVRSILHHLIKYPSTIDVLLGIRENNDLPSVRNMRSRKKPDEAGTSSSRNTPKKFALRIEDKGPKNFLDLEYDEDDEYDDDYVEEGDNTQKNGQEAGESEDEDMDDEEEDEEEEEEENEDEEEDEEEASEINTEKGNISREKLTGEDASSADIIDDELNNLLYEEVIEDDDNFAMNMTLNDTRPGSVAIVHTIDNVVDDADYRNFVMSIQCPPEDFEHQAVYDEDDVEDEDYNVIADNLNVEDWDETRQDRTTLIPRHEVKALMMDTLLAEKDIPINMFPEETIQGEEIRKSQKDKLFQDAEICERINQVTTSSIESCSLLKKTPVVFRVQEIEQLQLQLEQHVQLLTQFVVTCNHDNSLGHVRNKAQIMINDLDNIRQRSEYPTVYEISNLNAAIESCHDIMGFPQVDEHLLNYAQNTDGHGGIALRPEAAAVLSRSEAIRFPGLLPPCQPMLVEKPIPFLNEEDVMLAIALLQFAHLPRRAEKGIVDRYTTIHQHCLPARDPYKIRTHLKSMRKSSKNPIHEIIQAAENGVCKISVPTKIWKRTDSPINTWPTVSQPGWFRHFSKIFNVTEDRKIHRKAEAVLLLTPSKYRERPNQSPSFALFDGQNGFDSHLAVDIGTTNDGRKIVLGREHIEEIVNKLKKEKVRDSKLGTPKKRRKNPTPMKLSTMIQLEQTSETRREDNRNKNKCSASASAIANSPATVPSKAIRRLDCSNLMKNSCLASVGPSTSSENHESFSYPVCEDLGKARFFCSSQNQSLPKDSTSHASSEDTNDSHHLVDGNSNSSFSFPIPPTPGRRSPDEHDDFFMDLETNSLMWTRQSYTVTQGNPQTPRCFSLEPDEADPVDFSCMNFYQDTDSDDLAFQPSTSCQQPMGCSSEMGELSDSSSFPEAPSSSCYVHRDISESATEDDAVPGLDLVPIDEEVYDEEYIADLEGEDEEPPVKRGLVNSRPPLKFKKAIESGTTGFKASRKRTRMERESMGSVGLDDELYRESQKDIIMRKVIEDISQRLFMHKDTYQRFKNAIIDEKLSDVEVGKTNKQISICVFQKVSRIVLILANHPELLNLVLIYAPPEAVVSDFEFKTNFHSYKSAIEMIMDIERYITSAKLKEPSLRSLFRYIQSFLENDPKITDEQATKRFHQVFGQDRPLWKKLESKFWCLPFKSKPRLENFEYIDLTKMDSLSKKEKRDRNNVHPRFEIIDDIDQVMGNTYRPSKNDPPSNLVVKCGEMGIQNDDDSFVQLEINERTWTRKDDITLLTGYNEALKSIPNFTDSMMPSLVPDLPFGGKSIIARLQYLLEELKTIED >CRE30000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:360244:361645:-1 gene:WBGene00065664 transcript:CRE30000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30000 MSQEFNWNDVAYEMTPISQYTDLYSNQPRIYMFEGATRIDLGTLLFTSILTIFWLIYLLKSESSVTKSLMTVTVSQIFVNLLVRTFKFVILILVQYPQFSWLFVASSVETTFHYTLYLQYTSSVLLYVFLREKDIKSSWTLFYYIPSIAVSLFVSVLNVNFNKLLLSMPFYVYVIFYVGMAVSNIIILIGILASCTKYTQEKLATRCRLFLFVLSASPPVLFNTVITIMDLLFMVVGITDQFPIPYIVMTTYRYKAFEITPLFILIAFFALLPDLKKWSFSKTTSTAEVTKIVTDPPSTSSTNALIPAIHNVVMEQSVPIPNQINVASSEQDQTRNAPAPTYGNMNYGMGALVVHIQPMNYT >CRE30002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:364202:370185:-1 gene:WBGene00065665 transcript:CRE30002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30002 MSSVLSSVGSARTSIRSIWIKPAASEPPSETSKIIVYDNFRLTKHKFVRLMICIPQTVVDYRFSSVNDAIRLTNLEFPDLEFTKEVDKARRKLDDGVIDSKFCIELNREKRLFLVLILFNKLVETGRDIEYNIISQKGDLNKHYCIHCDNERKETWGNAVESQVIVNRFLYWVVRSRGFNHRRPHFQISNIYIKGTDSDPMYSKRQTDLFITWLLLLPVCSRLIDLWNEERESGFYKMLIFLRARRFEYFIAKFVFWYGISLLISGIVLAVNVLIVPMVYSPTYVPFVISYAACITIFAIFLATLFPGSPFFAKFVGLLLMAAGEFGFGNVYQGITFFQLSVRFFGKIENDGFAVDAICLACMTVNCILMMALSIYLDTFFFSYTQEPLKFYFLIERAYWKPTPRAPKVDQFFIQQILTPREEKPEEKEKKPEDGKSTDKVDKAPSVKKEPVVKGPPKKPNLPLIILHGICKRINNQWKVNQVSLTIRLGEVTTFYGNHGCGSEEILSIISGRMNPEYGEVMMEKSQRPLVISMAFDVPIVNYFTVETYLEFVSRMRGVSMQSGTLKEMLKELDLVKVEDRSLDLLSTTQRERLRIAAAFAGEPDIVLIDWPTKESLPDWKYMILRFIEKRKEKRSIIISSYDAEETETISDKVVLLSEGFVVLNGSCERIQQSYVCNYSLSLKYLLSRIESVFEIRLWPVNVFTDDQITGMIDALTLGDPRMRNEVKFFETPNGKIRITLPVIFRRSISLILQELEAVAAQYQIAFIEINKPNIHDVYTNSVYEPRQYEPLANYEQLKEYYSKQERTSRTIHFFKNMVRILKDKQFLFQAVAISVLFFALALLTLISFYGTKQHFTHEISFESHDFPITIYCDDCYKEESEQVTFVKKSGSQQLADNQAVVYWKKKSALSDLELVSVSRGDDTFTMIIQNYVMSLMVSKLTGKYPQIKTTLENVKFRASSTKSGFSTVFNDVNKNQAAMILSESYALIYMLGVFQTFVYTLSAILPLRLVSVNMRLNSHLFPWPRYLYFGLLFAANIAVFLVLSIILIVITLITGFFSTSTASCFFSLFGAWFMSYVSTLPLIYLLVFSVNNPMAVIPTVMAVSSLLVSLPNMVSSFSAENQISLQVRIILTPQHNFSLFQSLLQFVSWVCMISPPTSLQVLAAFLNAGEFLEDNRGSELNSDDIIIRTSHSAIKALILFCGAQFWVLMVFAVCVFQPGFSLIRQHWFTSSTAVKSVFERGTTLKPGARIKNSFVFSTINGGTTGETGGEKPSIGDTPSRSVAGGEEVESKISSDNLAANSTSIEDMSYITNYEEHLIEKMSSMKRWDNNQELPVDNSATYLLGDQTVLKSSLLKTIAETNTTEQVAYVPYTECLPILYTPFELLENVAACHGFEVTEDHINYLLNAFDMKSLATTPIKLLTDSDRRILMLLTKLVIKPSIVILDQLEMFLPHPKMMTVWALCARLRADGVGIIYTSRNNSFAEHTATSCAHVYKCQFMNTHPSASIKAGMGCTILEVVPNAETETDKLLKMLLLSMPDATVMPSANKNVLLNFKKDDAETMNMVTIKTLKFLCNSYSDRWNNSVIEYLYSILFYSFWKLRRVRRISIRKVNLMFSLNECVFSNS >CRE30003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:370390:371675:-1 gene:WBGene00065667 transcript:CRE30003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30003 MIFSLEKGNDSGLVLFTCYMASEHSSDETRKCAEGLARNVNSNHSGIFIDTVVSSILKVFNVAYGFMPSFQSSDNREAMALQNIQARIRMVLAYLFAQLALVSHKRPGGLLVLGTANVDESLVGYLTKYDCSSADINPIGSVSKRDLRQFLEIAYEKYGMTALRSVIDSTPTAELRPLVDGKVSQTDEAEIGLTYDELSVIGRLRKPGGMGPYAMFLKLLTLWGDKYSVEEVEDKVRKFWWRYRVNRHKATVSTPAIHAENYSPDDHRNDHRPFLYPDFSYQFERIHEKVEELKKSN >CRE29907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:371889:372810:1 gene:WBGene00065669 transcript:CRE29907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-124 description:CRE-COL-124 protein [Source:UniProtKB/TrEMBL;Acc:E3MM47] MDIDSRIKAYRFVGYAALTFSTIAVLSVCVTLPIMYNYIHHTRKVMHNDIVECKSEAQRLYSQVNRIPDLMMAHNRTARQAGEGNGQCEGCCLPGAQGPPGTPGRVGRPGKPGAPGLNGNPGRPPKEPCEPITPPPCKPCPEGPPGPAGPPGPDGNKGPLGPPGPPGPEGPNGEPGNKGPAGPPGPGGKPGPAGPPGENGSNGEPQPGAPGEPGQPGQPGPRGPAGEPGKDGTPGGQGEKGASGEPGAPGRDGQPGHPGQPGKDGRQGEKGVCPKYCALDGGVFFEDGHRR >CRE29910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:386762:387823:1 gene:WBGene00065671 transcript:CRE29910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29910 MWKITEHLYLAQLPMIIGPTNKQEFVKNEIKRVLTLETEPIPEKKQIENVDYKFLYLLDMPNEPILSNGLLEEAVSYIEEGVEKGENVVVHCIAAVSRSVSVCAAFLMYKNKWSMEKALKMVASVRKTIGPNPGFLAQLKIWERCEMDFIVEKYKNLSLDIPGVLDADSKTLWRQPVIDDRTKTRFKCRQCRKVIFNSDNLVHPQLTESCQKYLIEPMEWLNITASTCSASHSCGAKLGNFIATGSKCNGCNKFVKRWIFIDKSKIDRVEIVS >CRE29911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:388237:389615:1 gene:WBGene00065672 transcript:CRE29911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-12 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MM55] MSVFLFFIVISYLCEYSIAAKQSWEINQELVSNENKEHTVFGDMLLTPAQLLRYENSKDSDLTIRGVSIKGNSMNRWEKNTVPFVISPQYSANQKKILISSLRYFEKMSCFKFVDRTTQNDYLFIVPLDGCYSYVGKIGGRQTLSLAADCIADYIIWHEMMHAIGFEHEHQRPDRDSFIRVDYSNVIPGQMINFDKLQQNQVEFPDSYDYKSIMHYDGYAFGRVDTSRQVRLATMIPLKPGVRLEDNMKFTATDIEKLNRLGQCGTRGGQYSNQGVAATTCKDVATVTSCEGNRRRGMCKNPFYKQMMIKSCQKTCRLCSYTRMIDEDDELIPNTTLKPLKCEDKHPRCDIYSQNGFCTLPFYDDVRYQLCAKTCNLC >CRE30008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:389698:390623:-1 gene:WBGene00065673 transcript:CRE30008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30008 MQLFLLFVTVLIPFCSSQITRNCYTCASSDLKDKWYLTGLAPVPDAYFTGSCSNRATSSTRESCSGPCLTMVFENPDEIGSSQTPTTYVVRGCHRTLTNSVSDRITNNGGDFCELDNTYRMADRRGNVVNVRMMSLLCGDQELCNDNEFTQTDFMSGVTCANQTNNNLLNSTPLNCYECTPAEGDNCHESKCTKKYCMKQQIKLDGGFQMTKTCTNVNILGLDNSCQTYDVFTNPGGVAVKSQYTQCFCKDKQFCNSGISYSVLFSSILSIWFSSRFL >CRE30010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:392174:393813:-1 gene:WBGene00065674 transcript:CRE30010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ech-9 description:CRE-ECH-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MM58] MDKVPEEVRNYLMEAHQLAGQWSLPNNRGDHTNSEATEINSVAIIGSGTMGKAMAICFCLAGLDTFLVVRSEQKCLKELESMYATEKAFKRLNDARIEKIKSKLRITTDLEKLNDADLVIESVFEDLKLKKELFTKLDKICKSSCIFGTNTSSFNLDDISNVLKDPSRLVGLHFFNPANVIRLVEVVYGSKTSSTAIATAFEACETIKKLPVLVGNCPSFVFNRLLHVYFNQSQKLMYEYGYLPHQIDKIITNFGFLMGPLTVADMTGFDVMEKLKKENGIEPNELEKEMWRQKRYGRKTNKGFYKYDEKTQRKEVDSEMEQMIRHFSQNAKPNIQILNDQDLINFMLYPTINEGFLCIEEGVISHESLIDIMFILGFGWPIHTGGPMRFGKTEGIEKVANTLIHWNSLEPNDHVYMVANKLKNVDKKNMSSKL >CRE30011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:396280:398450:-1 gene:WBGene00065675 transcript:CRE30011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ech-8 description:CRE-ECH-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MM59] MDKMPEDIRNYLMEAHQLAGQWSLPNNRGNHTNSKSIPLKSVSVIGGGTMGRGIAMAFSLAGFETILVELNQQAVENCRKELEITYAREKTFKRLNDSKIEKLRNTLQITTDFQKLKNCDLVSYLEEFSMKNIRIQIVEAVFEDMKLKKELFTKLDKICKSSCIFGTNTSSLDLNEMSSVLSDRTKVVGIHFFNPANLIRMVEVIYGSYTSSEAVATAFEACRAIKKLPVLVGNCPAFVFNRLLGVYLNQSQKLMYEYGYLPHQVDKIITNFGFLMGPLTVADMNGLDVMEKLKKENGWPASDFEKEVWRQKRYGRKTNKGYYKYDPKTHKKEVDMEMEQLIEKFSKQARPNIQILNDQDAVNFLLYPMFNEGLLCIEEGIIDHENLIDIMFILGFGWPILTGGPMMFGHQQGIEKVANTLILWSSLEPTNRIYKVAEKLRQIDQKHLSSKL >CRE30012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:398726:400112:-1 gene:WBGene00065676 transcript:CRE30012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lipl-7 description:Lipase [Source:UniProtKB/TrEMBL;Acc:E3MM60] MVINIFLILLFLLINETTAIDDECYLTVLSQCFFQPEIGKRFGYESEVHLVRTKDEYILELHRFPCKQKEKCDPSAKRPIVFMQHGLLADGFSWIPNLANQSAGFVFADAGFDVWIANSRGTPASQKHIGYGPENQKFWNFTWQEMSEFDLTSSVYYVLKETKQEFLYYLGHSQGTMIMFSRLAEDREFSKKIRHFHALAPVATVSHIGGLFGLFGKQFLTYAEILLGRLPYSPLSIPRTVQKMISYMCSKFLMQNICTLDIGFIDGSEKQFNQSRVGVYLCHTPAATSVKDLQHWIQLVKSQKVAKFDYGKDGNMAEYGQPEPPVYDLTQINTPTYLYWSGDDILADTQDIRDSILSKMNKTIAGSIELPHYSHMDFVFGINAASELYPVMISTNNTDIISF >CRE29912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:401530:403684:1 gene:WBGene00065677 transcript:CRE29912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29912 MTVSEQLRHDLEDAANRMRISSIEMTCASKSGHPTSSTSAAEIMSTLFFSEMKYDVAEPKSASADRFILSKGHACPILYAAWEEAGLLSHEQVLSLRKIDSDIEGHPTPRLNFIDVATGSLGQGLGVATGMAYVGKYIDKASYRVFCLLGDGESAEGSVWEAAAFASIYKLDNLVAIVDVNRLGQSQATSLGHDVETYKARFAAFGFNAIIVDGHNVEELLAAYETARNTKGKPTALIAKTLKGKGIEGIENEDNWHGKPVPAETINAIKARFHGSQKGKLVAQKPINDAPAIDLHVGSTKMPAPEYKKGDKVATRAAYGTALAKLGDVNPRVIGLDGDTKNSTFSEKLLKKHPDQFIECFIAEQNLVGVAVGAQCRDRTIPFTSTFAAFFTRATDQIRMAAVSFANLKCVGSHVGVSIGEDGPSQMALEDLAIFRTIPGATVFYPTDAVSAERATELAANTKGVVFIRTGRPALPVLYDNEEPFHIGQAKVVKQSAEDKIVLVGSGVTLYESLKAAEELEKEGIHATVIDPFTIKPLDGKTIAEHALRVGGRVVTTEDHYAAGGIGEAVSAALADYPTIRVRSLYVKEVPRSGPPDALVDLYGISARHIVAAVKNFH >CRE29913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:404115:406533:1 gene:WBGene00065679 transcript:CRE29913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29913 MRVLLLFGFCIPWIYGQEINRDYSPLLDKNTSAANPGIYLRIMPNGLAYLREVGMKVINEQILKLQLPNIREPIENGEVSIYNLLMSKYWAPQEYSLDMSEPSTFAWSMSKMHLRAAGDFQATLNSPLLLPTVPITGHFEALLGHISLYITVNMERNAHGAPQVRSTGCRSSIGYVDLNVRNTGVITDFFINAFKAFLIGNFKPQVEQKMCKMIESIIDRDMNILLSNMPLKIRINENNLDIIGETFGVAPKKHNRAGKLSSFSAKNITLTHFVQRLRDKELVLDYQMLTAPFVQNGAINMLSKGEISFRGHGGTPFSPPNIRIPAPHGSLFLIFSNKFNLFSGVHMIEFYASDYLANSMLYHSYRQKFLDVTVGPESSPQLQGLLVTTCGPAGFCLGEFLGTLGEQFPDRQVEIEFFAKKAPLIVFIDNRSRFRLHGGLNMYVRPSKPTQVKQQILKADTTMTANVNLWINGSVIVGNSTIENLDFKLLETKINDVDQDSFSDLGLFGAEFLEKLLTEILQMGIALPTMQGVILKSPKLTFHDRYLKVSTYFKLDEEYAGSLVRGAVGKTLRGPLL >CRE29914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:407009:407803:1 gene:WBGene00065680 transcript:CRE29914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29914 MKKLLLEDSFVPNIPPPSLLKLDNGQLVTPTGVRFDIPRCGRNIGTMNMDENCYNQLERNGKLTQSNDHPRLVQPNSSSDEAPRINMWMHTHRQTGVGPRQEKFTEEVALSKTDVMKMVSAKTQTDVKPKSVKDRNERVRSLNHHRFVEYLKHSTHKKVKPLKHPQGCLFCKDKGHSAQHCHVYPEADSRIEFLNKNQLCHHCVFPGHDAQNCPTFHRKSVCRYCKGRHILAICKSSTIPANTSQYSSTESSSTLQDATIKRMD >CRE29915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:408540:410566:1 gene:WBGene00065681 transcript:CRE29915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29915 MSFGWVTLVATPKSHPFEERRIKVNSPNDPVKIGRAVARIQAAPDNAVFDCKVLSRNHAILWFRDGEFWLKDTKSSNGTFVNNEKLQQIGTGRDTDVRRVFSGDIIQLGVEIVENANKVSVVYGCIYAVINCFNAEGKLLENTGQSCDDGGQRTTNSLVSNRKLFQMQQYVSEAQHREKQREDKISELMSIISANEKAAETAWKALVNEDRLLARIESLEAQLSILSNNNNPDKQKEELLKMIDEKTKFEAMTKEMVRRLIEENGETTSRLKDMERSLETTEQTYQQLRSRNDDLETALSETTEMYDSKVSELALTTAELFEKQKQFSALEDKLTDLQQKNLKLSKSSVINETASGLSRLLANAINSGSFIDAPELHLLISTLKSASYLPPHTRETPSSPDTSSASESDLRTQLMNGCDTSTSEKDLIEEYEKNKQQDEKMTIEICLKTILELQSKNRELEMTVATMMEENQENQENGEKSDSFLSETDIHKEPLEISPSENASFFPDAGNFSGSSTSTSSQAPLMQKQSTVIKKPDGLENLVSD >CRE29916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:411721:422279:1 gene:WBGene00065682 transcript:CRE29916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29916 MSSSAGGPALLKRRSAKPLTGNLRLRRLTKAYLEPLDDNKELSPTVVKIDQIYDLTQELIVQCQSVTSGLFPRYSKDRDVGYVKDSIYCAMACWACSVAYKRLDDDRGRQTELRQTAVKTMRGILFSWMQQSSNLDSFKSQNSSEFALHARFDLSSGMCLVTPNDSHYGHLQMDLVALYLLTLVQMISAGVKVIYTHHEVNFIQNLVFYIERTYRTPDFGMWERGTRYNNGKPELHASSLGTVKAALEAINGFNLYGSTGTSASVIYVDIDGHNRNRTTFETILPRESNSKNTDAALLLTVGWPAFATHDETLFEKTMKKCVRRLEGRFGLRRFHRDGYRTELEDNSKSFYDEHETAQFQSVESQFPIFLACISLTAYIRANQEYGDIYFKKLQKCLVADDSVPGGYIVPECYCVDEFLMDKERSQPNSTELYALSHQEFGHHLWSNAIYIILMLKREGLIHSGDIDPINRHLPASQRPKMFNRHSAFQGSMEGDPVVQVALISESSRLQMMLSTYGITTQTPHEVEPVQIWPSWRMVKVFECLGRDKKMNLSGRPQRPFGPLSTSKVFRVFGDTVLCYPLLFEVKDFYVSSDPAVLIDDIKRDIEFVARRWKLAGRPTMCVVLREENVAGEYFDHILDLLVQLKNGHINGIRVRLGRVHQLLNSCCIEHIDFANSDDLEFDIDIMEETHDNSAIFSRLSLKEGIDDEMTHEKDVTPKTDHELYQIIEKDDMERPRLLAFAIWILWSRTHSDLLVHNYTLKERLEKVYRRSCQLRLWWLVRYCAGRLHKAMNSLAPAITNMLVRGKQITLGVRNRPGAREEIIVRPIAPSDLNRLLFECCPPDEPQTAVFHQELIIACSDLMSHNPQVFEGVLTIRLSWLSDAISMLLNYVRATGALKIPGIITGTPPTPGTTGIPTEFKKSCIYDLSPTVVKDVVTALMTRTNWHLLSSHQTRRLNGALNRMPVNFYDRVWTILERSKHGIIIADQFLPQQPTLSDMTRFELTFSYKIEAMLSRISHPEYRQLLVELLSIIATILERNPEIAFTQSRIDCDSLIKKGFAMFAAEEGIKDLNDLTPFYQLEGQALSTSTATFLTKAVVEFILAGRHFSQVINIFGEGTPEDRTLPSQIHRGKMPKILYTGRASSENKKRHIGGNHHQPHLHLPTPTTLGPSSGTITPGAVTPGPLTSNSASGNDESCRLQ >CRE30014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:430061:430983:-1 gene:WBGene00065684 transcript:CRE30014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30014 MQVIVPVESTAEIVLVDVATTAQKKAMKRLTCRKCEGHGLYAILKGHAGVCPYKDCSCGTCASVMSMRANALIRRFRHRQPDKSMAVVKALRSKNGNMRLRIVARNDEEQLVEPDGTLVTYTNDKNGHQTYTTSIRRSSIMTTTTDDRDSVISTPPSTNNSTPSGSPPLLSPYGEMDIGLEMANAGIDQVANLIKTTLIGQLLQNPSAITPALISLILQPQQSFDPINVPIAPTMLFPAFLNGISAPSLSV >CRE22263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2591:2388:3515:-1 gene:WBGene00065685 transcript:CRE22263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22263 MVLFRPLCKYVARVERLRDIVPTVREAIKAAKSGCPGPVFVEFPVDVLYPYELVVKEIGFNPNAKGFIQKALNFYLRCHVSRQFGNAWLQQTITPLPTNVPMPKSEQIQEIVQLVKSAKRPVLLIGSQATLPPVKPSDLVKAVEALGCPVFLGGMARGLLGKDHPLQMRQVRKDALKDADLTILAGTVCDFRLSYGRTLSKKSKIVALNRNYSQLTKNEKAFWNSNVSVQADVATSLVQVANSLGSNHSKPTEWVKTLREKDDEKEAANAKKMEQKLSNGFLNPLNFLKTLDQSLPDDAILVADGGDFVGSAAYIVRPRGPLQWLDPGAFGTLGVWWRFCSRCQTVYPKRPVYIIWGDGSCGYSLMEYDTFARHK >CRE30015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:436450:437217:-1 gene:WBGene00065686 transcript:CRE30015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30015 MFLNHRPLLVLLLFLATSPTDVLSKVLYSNPSDQDASNRTLSVDPLQLDLNPLHILCPVCSLLQFLLGGVFKLALGGGLLKQLGIAACSLGMGAGIHIPVLPNVICAVVFELIFMLTNKAGSGLCPLLLLCPMPKQKTKPTKSPVYSALDEYENHILSIVENRDSSNLSPQMEYLYEELINQLPMETIKSIGVDEQARHVIRNFVAAIQYHLAHREEYLYLSSQNV >CRE29920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:440803:442298:1 gene:WBGene00065687 transcript:CRE29920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29920 MLEEFIFGIEEEQDVEKNTEIGTKPKEVIDQRMTLFANHSFQVSQGNCVRFPSNQFGYGLSNYSPYFLQDFTQVLNRYMQLEAYCDAVDDLSGPLPKSGKAPNEPDLFQALFFPKSIAPRTPMKPVASTQMTEQTLSAMWFRLVAHYFEWLAGISELQYLNDQDKLRLAVCQLCKVICFSVVYANYGSKKDGNQDLLFFGSGFYWDPARGNDQLMNDYCQEMKRIINQVIRPVEKVSMTKEEFVLVKLILLFDCTNLMGMSYEGLEFTRSMCNKYRMTLLNYVTYGMEEISDSEGWSEDEIKIHTIERMRNLLDLPIGVEVEIEISRYQLFIWYNFQKLGKLDDDSLAEMVQSNYGGMRGTLQQQIHTESKKMRNH >CRE29921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:445952:446565:1 gene:WBGene00065688 transcript:CRE29921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29921 MLKSSLFSLLIVLILACSIGNVSSNAVRQYFQVQRRGDPSEFQTSGDDDEDFFLRSAKWVSKMNPSGGALVSGRGGFRPGFVSRDWRHALAEPNFVKRSYNDY >CRE29922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:447393:448993:1 gene:WBGene00065689 transcript:CRE29922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29922 MSVILSIFCLSCRKPYGNILILIAWIHLNITDEVSRRPRLGSCFHIICEECQNKRNDDTCQVCGKENAFEREMQNYGLVGMWEDIVKSMSAEQYFENHVTKPKKVTYDRCSTQNCTGEVMRFCATCAVTCRLGKKNSNGSFGFFEVTKAIQVIDKCLTCIDCMERIHQGHEFKKLSEIGMITEKMEKTNSLIHGVLLETKLNDEEEKKGRRFGLLTDHLYVYKRSALSHALIQQYDNMSNIEKQIMLANCVVNIHNPNLPEISEAKQKAFQLAKTVYEKTLKLKERRLIFEKEEIDLYRKFVQNLYEKSNDDKELKDLSDGYTWIAKKFEEISITHLKEEEIERIDEETDEKMARLVAICRENEPTDEENLEKFYKWRALKKEKKESEQELELSHRKLSDETRKFRNIFLDRYETLNEIEKEFISIGCSGELLPIAMTEASKLFLLEITDRSFVVDKALIAYIEGLNRGFQSILMFRKHFPPSIPRSYEDDIYIQLFEVNDDTDFVQSVFSQYAFNW >CRE29924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:451067:455357:1 gene:WBGene00065690 transcript:CRE29924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29924 MSMDRMPAIMCFQCGKLFESILESKQDECRQPCLGSCLHSICIFCFTLLDSPDCPICQKEESFKELIINNTALDIIQSVKTNISMETHERFLKEIIMFEEGSCSKCERENQNLRFCKDCAESGYSNTLKMGPNGKWIVLPSTTGILISCEDCSVAECQNHEFISIRDVDNLKDVTEWTKISGYFPSFKDQYIIDYFGKSVKEMVSIENKWKELKESKGCECFRRVIRLELKESILKCLNLKKREMLFYKDRLNTFLNLYETKLAGIEESEAKCRLQNIICQLKEIHDKLSDGTKNWLNSEEVDMIDLEIEGKMLKLENEYRLKSFIQVEQVDGYFKYRSLIEELKAADDEQKEAKKKWEETRKEVEDYKKEHQHNFEDLKNAMTSLEKHKSSIPEKEFENRIEYVEQYHEILRQDQWAEQMKVDKRVIECNRAFSRKCFAELMILNYFPIPVDSEILNFFELLREFKDDNNSAPNLNCPTFLFNMYQQNGTGFYEDVKYDNEYSLTSEEVILNFRRVRILIVFNLKDKPPSLVPPEPINQFRNQYPTLGTRYSRPVNGRRFDPYDRDMNYSSVERYNEEVSIRFLFGNGRPLSTIDDPHFKKFLHHLNPTRAAPEAGNMTTKAMSQMRVSKSTFSWRFLINTFQPDINYHRLCGPLAVTIEAIRKSDEIYLTISAHCYTEYGERQNTIHFEKIIIADYEGKVVADRIRKVVDARKSMNFGVSYILSPNVRMLAMVAANMPFKNKFVCFFSYITNIAREVIAFPEFENGLKLLREYVEALNKHREVFTKYKKYVLLYLFNCLTDYFRMQLDSNNTIDIPVMDSESDWLSTLHFLSICSQNHQSFSNLHENWCMPKYLDEKEENAMSNLYDFLIVLCHITTQICSEDSCISQVLYSMSIINNAIKNCGIQSARVRMRKTFVKFYNQISKGKIGDFYTISTLLDPRYGYSSMIFSDENWTVIEQKLVTTVGVTPSTRFEINKELKKYKKLHENLPTFDEVTTQITWWNENQEQLPLMYRQWVEHSALPAVSIDAKQYFAKGGKLAHLFAALDEEMHFKALLLAQSSQDFIGRGNASHAVYNNLKDGNKFKRFEDKNEDNMEESTVAETEVKQE >CRE29925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:455655:456459:1 gene:WBGene00065691 transcript:CRE29925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29925 MCSSEEISKYANNLENGMNIYRSLKRLFCIDIPFELYKNHGVKHLALKYSKDSEYSEIAINLINKIQKMENDSVVFRKPYVIPHAGYLTRRRPAALPNPNSKPKVPKVSSLKPVELPIETVIPVKKTSDLVEVLKLKNLEESDYDRVRPTLVTLSAKQLKSFMDRNPGIEKIGDGLFRRHCQIDCPENIPKKLLTETWRTLHDVHINCNLYIEAKKLEDKLRDEDEKFEKFKKSFS >CRE29927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:481782:483874:1 gene:WBGene00065692 transcript:CRE29927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29927 MKNWANFTNGILTIHENLIEKRKKENNQNITCVYTEIIAGEELSNVTFLVQSSKKEVTIEPAIPTRINLEQFVVFCRDPSGYHFYNKTFFNFLPKLEKFSVRESECSNGLIILSVSGMSHNQAMRHLKQSLKFAAENDFISFSMFNQETNNNWGNLMKSFGNKEKIWESVRKINGSPTFLHTDSPKFSKMFGNQFDFHSNLYHNFNRQHFGHVNNCITDGSVTVEDAIDLWINSTRVFKHYSSYFSLLHLTEIAVPEDGKVVNLDEKLRISLEQLLEEGILDSTTVVVISDGGSGNSEEILKELLNVVKKKSQCTNVYQKSINFFKFDGFLVLEQVKISEEYGFSDPIQLKFHGKARFSISDEVHLELRSPLELEEINQTIIQNKVTENCYQNIIKGFQNH >CRE30018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:466957:470974:-1 gene:WBGene00065693 transcript:CRE30018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30018 MSAEEQSAVIETCVLYDILNWKTAEISYETMRQMLGNDMISFDDYQSIFVKKVQTNWDETINRINLRDFLMTNKFSMRTCILNDVINGVSIDHSYRKVLEVVGNIRISYPTFDFWYYWFYNGKRDLFYDISKHPRPTTFSQLPVDTLNKILNYTELRDHICLEKVSRGLRSVISERTPLYESIEMICDDNWISVSFNDLNICYRNTAIVSTCLYEPLRGALRDIMVALRNPKLHLESLEISFHWEKDREMRWFAEQIKNEIKSLNHQLSVRKITLKVSNEAQVHAILPFLKARILEEIDIYGIDMFWMQNFGTYNIIQMDQYNKAKLVRIMFSTGFFLFDQSIDRSEKCWRSSELSEFRNRPSISGTFGSTTENAICPTISPSIHAQRFSLNFQSILSKKSIESNNHASKSSTNFSRNIFYSEFKSYRGVYILTESVWVMNSPNQFRTLILYQISQWKTIDKSYESYEKLCVAMKKEAISYDNYEYWFNRYLKENYYSARDGRTHRVGDLQVGILADVVDGRSTENSYKSICDAFGIYKIDEKCHENLFRICGFEAFQDTLNNAEKPIHSYSASSDTCNAKSLSFCSFPEDVITEIVDRCDLKSYLNLRDLSHSLRTIVDKRPPPCTDIDIIVRDDYIHIKANNEILVDSCPIELTHFRHRSLWLIEKRVFRDLEALLKNPKLRLKSFRFDSNSSPHHTYWNNVMDVNAETRNYYMLFLKMLEELNHRIHVERCSIKAARKEDVILILKRFKPGTLQKLELNNECLTEEINKIVEMDQWKRAIHAQLDAVLTIPIKNFFHFMTFDASFGAISMNDLGRLCENLSQSIKFKNCYIATTDLLDIETIKNALTLRPSAAPGSYYIPNSNLVIQFSNSYGSDEIIIQKL >CRE30017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:461544:465524:-1 gene:WBGene00065694 transcript:CRE30017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30017 MPPLLSNCPIDIRALILYDNSQWKTPEKSLKGYEKLCEAFGTEPMSYKDYEHFFNIHSEEAYYSKYCKRCLPLPDIRGCILSDVINEKTAEKSLNDLYEAFKEHKIVKEDLDYWYKRFENGHLFTRVTFSDLPYDVLYEIVGKCDLRTLLELRNVSCGLRTIVDQKAPPFTEIYLNFIKDGIDILTKHKWSPLQQLMFRELKFLLQNPRLRLKTFHIETNIPADHVQVLLDLGTIPACRRDKARLLNLLHSLDYQIHVESCSIRAESEEEFIGVLQCFKPETLQKLAIRDGYLRGHSINRIAEMDQWKHAKHLKLVGVNLPSIEHFLHFTTIGTSSHAFSVEDLVHLCNGLSESINFESYTMRTRERLDTEAIKEALNLQQTTSPGIYSIPNSNLLVEFIYYLKMIDSPLSSPSDLRDLIIYDIHQWKTTDKSYEIYEKLCEVLGKEAISYNAYEYWFNRYLKESYYSAKNGRTYRVGNLKVCILADVIDGRSTENSYRDLCEAFGNDKIDKDFHYDRYDDYGFEAHRHALIKAERSVNTTLPDTYNDHDLTFSNLPEDVVTEIVDRCDLKSYLNLRIVSQSLQTIVDKRPPPCTDIEIIVRDDYIQLEANKEILVDSCPIELTNFRHCSLFLIEKRVFRDLEALLKNPKLRLKSFRFDSNSSLHHTYWNNVMDVNAATRNYYMLFLKMLDSLNSKIHAEQCTIKSALEKHVKRILPCFKPGTLKKLELNHSCLMSEMNRIVKTDQWKLAKHLKLHGFITLSVEHFIHFSTFDVQTISMKDQGRLCENLSKSINFESCNIETTDYLDIERIKNALTPRPSAAPGSYYIPNSNLVIQFSIGHSVNKIFIRKL >CRE30022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:476012:481568:-1 gene:WBGene00065695 transcript:CRE30022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30022 MGDEVVLGGVSNEVLEKFGETILGKVADLIRDVGGDRKRKEKDVEEEKPPKVNSKGIQKNLDFNVKLRNILTKAVAFGQLEEAVKEVLEMLKTRNGELMLLDADPSLLQTKEKLDALKAITGGTSEGSTNQSDMTSLLLLSNMAGGSNRNGGLHMEKAMEVLVKTVAKDTGTQEMSREASSASSVHSLDIMPRIVSKEGMSFPERLSEAIEFWGNICSSEWVLSVIEDGYIIQLDSRVTLPEPQGLRPSVLRHKDFLFAEIERLEEEGVLERSDRLPRVVSPLHVVEQGKKKRMILDLSELNKSLVPPRFKLENMKTAWPFLENANFAATFDFKSGYHHIKIHRDSRDLLSFSLSNPPAAPYFSFRGLPFGLATAPWLFTKIFKVLVRKWRAEGVKIFLYLDDGLIVGETEYEVARASRRVRGDLAEAGVCVAEEKSFWVPDAKFTWLGYECDLVAREVRGTEKRMATWQSVLDELRRSVAPSVLDRMKFLGCLASFELVAGDVGVGRARWLMQTVGESQKKMESKNTRKEKSPGEIREIEFWKAYGAELLKRSLLEIEPFFDFLLFTDASARGVGGLLKDKEGCVLWKMSELGDSNFEEQSSAWRELTAVEVASARLIGQVRGSIQVLVDSQAAVSVLRRGSMKPELHALAERVWKNFESIGGCSFLHKDCGAKLKGTGSQMHRTRKRNISFPDILSLDRPASTCSSTFREPKGWDLLEGVKRSFIEELQTKVGSEFLPHIETLKAVPFDSKAMSTAKAYKEENEKRNRWIAQRNLPVDESSLLLYLVDKAKRIGSSALTRISAAYQTANESLSTIGSSFVSDLIRSKRREEIQSRKKTVEVTVEDVSKIVELAMKEDSPAKDRDAPLAVLSFNVMLRASEAAEIKWSGVKQKDGMMEVFVERAKNDQMGLGRHSFFNYAPGSDTDILMCRWRLRTKGKCPYVFSNLDGSDKLSAQSISALSTKMLKAIGKPGAHSPSKNRCIIGFKLKNENRASDRRNRALSRIITLKDCGATHHCFRRGGANHMRASGHSMEEIQTRGRWRSLVGLQRYIKDVPRAQGCSHPQEMLEDQVEDDEEFEYNK >CRE29926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:456961:458978:1 gene:WBGene00065696 transcript:CRE29926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29926 MKNWANFTNGILTIHENLIEKRKKENNQNITCVYTEIIAGEELSNGTFLVQSSKKEVTIEPAIPTRINLEQFVVFCRDPSGYHFYNKTFFNFLPKLEKFSVRESESSNGLIILSVSGMFHNQAMRHLKQSLKFAAENDFISFSMFNQETNNNWGNLMKSFGNKEKIWESVRKINGSPTFLHTDSPKFSKMFGNQFDFHSNLYHNFNRQHFGHVNNCITDGSVTVEDAIDLWINSTRVFKHYSSYFSLLHLTEIAVPEDGKVVNLDEKLRISLEQLLEEGILDSTTVVVISDGGSGNSSVFEVESGKIEAKYGFFMIRLADSFRKKFPQNLEILKNNVDRLITNADVHETFLDLISKWNDSMSSSFGNSLLETQISRAKTCSDSEISDENCICSKVVKHETVKREEILKELLNVVKKEVSMHKCLSKVKINDYGLDFRTFGSINFFKFDGFLVLEQVKISEEYGFSDPIQLKFHGKARFSISDEVHLELRSPLELEEINQTIIQNKVTENCYQNIIKGFRTH >CRE29928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:494277:501947:1 gene:WBGene00065697 transcript:CRE29928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mca-3 description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3MM86] MPEYGASLEELRALMEYRSAEAKEKIDTDYGGTAGLCEKLKTDPNNGLPNNEEELERRRNVFGANEIPPHPPKCFLQLVWEALQDVTLVILLVSAIVSLALSFYRPPGEDTAGTDDSEHDAGWIEGVAILISVIVVVLVTALNDYTKERQFRGLQAKIETEHRFFVLRGGQSVQVVVNELVVGDIAQIKYGDLIPSDGVLIQSNDLKMDESSLTGESDQIRKSPDHDPIILSGTHVMEGSGKMLVTAVGVNSQTGIIMTLLGAAKTVAEEERKTAKREGGDGASGAEEGTAQALLDSKGEDGMANGKAVPAAEADGKKERSVLQAKLTRLAIQIGYAGSFVAGCTVLILIIRFCISRYAIDGKSFSLADFQHFINFLIIGVTVLVVAVPEGLPLAVTLSLAYSVKKMMLDNNLVRHLDACETMGNATSICSDKTGTLTTNRMTVVQSYINEVHHKDTPKIESLDQNTTKLMMDCISINSSYSSQVIPPKLLGEQATQLGNKTECGMLGFVLALGKSYQEIRDRHPEETIPKVYTFNSVRKSMSTVINLPDGGYRVFSKGASEIVTKRCKYFLGKNGTLTKFSSKDAENLVRDVIEPMASDGLRTICVAYKDYVPAAKKTSDNQIAYSSEPDWENEEAIVGDMTAIAILGIQDPVRPEVPAAITRCQEAGITVRMVTGDNINTARSIATACGILKPGEDFIALEGKEFNARIRDENGEVSQEKLDLIWPKLRVLARAQPSDKYTLVKGIIDSRVTDSREVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDSIAKFLQFQLTVNVVAVVVAFVGACAIQDTPLKAVQMLWVNLIMDTLASLALATEMPTEELLKRKPYGRTSPLISRTMCKNILGHAVYQLVILFTLIFYGEVCFSIPSGRWAPLHSPPSKHFTIVFNTFVMMTLFNEINARKIHGERNIFKGLFSNPIYYIIWIATMISQVVIVQFGGRWFSTSALNTTEWLWCVAFGVGTLLWGQIVTSIPTGSLPANMTIGSGEAPTNDPLMPDYEDSDTHEKRSGQILWVRGLTRLQTQVSAQYSKLFRINFRSGISDRTQKKTLFPFHFYSVLRVVKAFRSSLEEFDERRSIASTHSVQSMRGGFWGGGRHTTTAASPDPAGARNAMAAISHRQSPQPYPYYHHQQPRTPSPQPHIVVENGNTGGNGSAAPPKPETNGKTEKVPLVQQWSPDTNSSYTQTQRSINKSMSLDTPVSVAHV >CRE29930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:510616:515244:1 gene:WBGene00065699 transcript:CRE29930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29930 MSVTFKSMVPIEGEPCIRNGSNGGATVHFRQESRRRKSDFARKCIAVILLHAIFLFAILAALIIGHWISEENQKINNRTMLNLTLAEAFLLESTFTTTTSTPESQNYYNTRLLTTSSAFGPLKKKAPPRVVERLIPLEKKRNFTISDVFPPPPDGGFGIAEQLHAVRSNKMSTDALLVPLPLYILPYHYDLQLDFSQFDTSLFIRANISIHLESYGNSTEDEIQFHLGPNIKIERMRLRKDGKKFYAKTFKREESKKLGRISLRDPLQKGKYILEMSYNMTICDEDIDGVRCSLDNQNNSSLKSTSFTTKFEPTLARAFFPCWDEPGVKATFNISVRHNKKYTVLSNMPPIEQLHLMNWNDQVKTTVFQSTPPMSTYLLAFAIGEFVKLETRTERGIPVTVWTYPEDVMSMKFTLEYAPVIFDRLEDALEIPYPLPKVDLIAARNFHVGGMENWGLIVFEFASIAYTPPITDHVNETVDRMYNEFRIGKLIAHEAAHQWFGNLVTMRDWSELFLNEGFATFYVYEMMSSERPVTAQFEYYDSLAALVSAQSEEDHRLSLVRELATESQVELSFHPTNLYTKGCVLIRMLRDLVSDFDFKAAVRRYLRKNAYRSVSRDDLFASLPAYADHGAEQEKLNFVLEGWFVNEGLPEVTLIRNYDNEMMTISQRKTVHHEYRSFLKDRKSTATVRYRASRSAEDGSTLFDDTLFEGYIERKKDKRRKHRPARRKVANPPDISPISRRDELRKPRRVGSSQDLWTIPITYMFGSLKTSEGQVIREFWLKNRTVSFGDAEISPNQAVLVNPEWKYPYRVNYDLLNWKLLARTLHQNHLEINDKSRMQLILDAEYFLSNSVNPHLYLYLLGYLAHESKMEVMLFGIDAVYRFIDVFKATQLNKAILIYFEPVISQMDHLLNESQVDAETASLWLVRPERLAKLYQMRCAAGLPSCKNDYYTQKWSRAPDEWTEDVHKQVTAVCHQLFTNTPDDVKTIHELLDSRLQSSGAKWALTVQLAACSHDQKLLRKTARAIVSTKNAAVYASALQSDFSLHYNPTFRKYLWSEISKMSTFEKTALFSTNSTNILPASRILLHSVKTIDELQQIRGLLTNWGPLLTLHFEYLERYLLWVSSVSQGVLHQFFAADLSNF >CRE29932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:534080:534376:1 gene:WBGene00065701 transcript:CRE29932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29932 MNLWHLLLLVILFIGTAFTADLEGSGSGDNVVEEGVLKAQNLLNAVQSDGSGAEVEASGEDVQTFFF >CRE29933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:534739:535089:1 gene:WBGene00065702 transcript:CRE29933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29933 MHFFPIFLILIISYVSAIPGSVNYVAPSNSTRVLRQSENGENGKNLEDVLARRQEDSEDVGPLGEVQLDVEEEDIGESSQPKLRVRRGYSQPKPKGYSQPKKPPTKYSPPSPPKYY >CRE29934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:536379:548800:1 gene:WBGene00065703 transcript:CRE29934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eel-1 description:CRE-EEL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MM92] MRIEEAEPSSSSAGVDMPPASAALLRNIVSTKNDEEFISAINKGREVHAVMGKTELYKWTEVLNRCDEILEKAVQKNEHGNMKCDYDTTLKNHAVAIVRFTVLLFECTSSRRIYKSVDRILSLLESTDMDLLAEVLRLLQVMGKRSKFLSTRIPQKEQHALAYRLTAIAQCWGGKLRTVKMAECLKRDPKLPLLFPFTYTDAKQRSIIVENPLKDESVGELINRTVAQLSPPASTDSVPTPQFSKEDHYCLLSRVRMLVSFNDWHHRFKCLIVRLLSVSTLVYCRLGGTDESTVSSLLYSGFIEEIVELLKSDREADTQQDHSLHDAIQTEALSTLCSIVTYEKEPKITQILEALSAASYHGFLSVMTRQIVDELKSNNLGKTGKPSVSLATALFSFIYHLASIEPGGENLVGSGLTQTLLSVIGFHELPIECITFGTRCARIIDLFTTLDVAAFKANNGMEICVNRVVQKLQHEINECRKEQPFMIDTCYDVPFEDEPEPRVDQEPNEGNGEDEQQQQQPPENQEAQNPENAPEEARADPIEIDEPPAPVSSPAMDSSSNPTAVTVDSKIKGGPWEQVQLNGATCHQQRSGLIKGLLTFIKRAIGDGQFQDVMKHLMEGDLPEALMHILSNAEYYSPSLFHQSAHLITNFVYQFPEELSSIQRRHVPYVIFQSLLRKEVCFFLDLPNSKDVITTLGNVFTAMCLNERGLKQFKSYDPFDQIFRIVLSVKFLVTLRKKRSADVFESAQAIGGALDDLMRHYPDLKHDMLKSIIIILDLLDEIGRQPPPGVELVPTLTKSMARSVVFSPNNSSLLPREAREAREARLARERNQQRDIPEQADERVGGDVEEEPMEVEDAEDSDVDEEDNEMSMDEMSGEAPDPPAPSPKPTKPFEYGAGMMCEDSNGKKILPIGDYMMLIARVVETMMTQSPSQKITEEFIEGRVMQKIMRLCHLPCVTTETTQSAYTSSIANIIKHIVKAGFQSQRNGPEAGPKLMAAIVGEFIKTIQPLFEVNSGDFSSMKQKDTKKATLLMHLDEKLVEKTLMDLNSIIPTLLNLNKSPLSSSYNAPDHRNRLFETWKMPEGMKLYGMLRKLSRMLCWEHELVQTLKPTIRTAATQTEGEMLSEQPADTHLDVEVLPPWEEDVTAEQQEKEKAKDSRWKQAGVTQEEHDFWAKNKSLQDVVSKSHQMVKELINSMGKQANVNNPRRLRSRETPPLPLAATQCISMIFTSIYKDLKWEPSGQNAMNSPMAYGRYIELLTQLNHSLFESGRCANPALAQNFYTSGCHKAFFELFTDKIIPFLGDYMPEGVEQTMEEWCRLAGKLTDRNSIVNVEGLQRRDRQSQDFDMNKYLKLVCRDMFNVYKQFFEKMAQMPDWELKSLKKVCESAFSVFKEVAKNLVEETETSTAAAAPGNVFAPIDAPPEEWAPGIPRPLQVPVEAQPAPPPVADPQEDTIVMLMDLGFSRDIVLYALENTRNPDEAANYLLAHGNDIRIQEVVNAFREDDVIAIALREGGGPVVAAPAPPNFDDIEHQLQVRFSNRQGYDLVRIAQRVLREVGAEQVYEQILLLHPDETAEINAQNLINEIMDRIRNPRSSYEENIATPLIPPLSQLKIEQDVSLNSACKQLFPLVKRLLLVSNDSIHPCAELIVSIFPAMTEEWRKEHLIAEVCGEDLLTMAKSLVDQELDETGRPKKHDVARTMANRLHFAVLIFDKVSEEYVQWIDSNGMIEVMLTALDYLVQKFKTVDYFQSLITRIICWYDFYAKTHRLILRRKYLESLSSSLEWSYQAYEEDAMRRRAEYTSGEKKWVAFDAPSQKALNDAFFAGSRGVKCTVKRGTRPSKKVDVDFTTMKQGDGTTRENIKAELPSSIEVSIPDLMASEAKLTWNEAENDKLLNLSIKIIQIGALDPKCSHSMLSFISRLTKSPSNAVKFIESGGVEAILRLRARCASTYPYLVSVILRNCIDDDALLSHVYEKTIRSYVAIPNHTPVSMSDYAASKAKDFSDTLNLMAPLSSRNPLVFTEAVNKLARMNGSDIVPVAKEKKPTVSVSSAADVSFTSTASTSSAIPPTTTSSNSHAAVENNSRAEKIVSLMITEVMNGDFPTTGQTRMLSQEKILQVLAEIIKSYPSLAIVIAESQAEGQSALHSLIDTHISAPADKSETINALKTLIAVISAAQNSTKAQELLVLDVKNALSAYSEKASELRIEQANLQANKESEEREEAAAALKKQESEILQKITELCTIIVIMCQNCPSHHHSHHSERHRERHSQNSIMKLFHKKKMCADLVKTIHCLQLSSKDSLDAVNQILKTLDTLLEGSNTSATSSSLSISAPRSLMEIMAAGRPVVRERRELAGGGVNERELDGLLREGIAFDGEMQNLLRRLQGDHWRENPRAGQPAVQDEEGSETYERERSDSPSEASEHAGDEEVRDDAVETGDGEVEMADAQEVAGNRPEEMIAGDMEIPEADGEDDGEEGEEGEGDDDDDDDDDEDDDDDNQNAVGREEEDNDRGEEDDDDDEDEEDEEEDEAEEQDDAIRVVEPNPEPIGVRRIFDEDDDDEEDDADEDGDSMEDDVAPLDMDDDYFDMGGPFETHRMEDIIFPPSFGRPTGTAFADMFRDDFDFLPPYRTERRQLIRGPTMGGVISEHPLLTRPPAENEVVRRSNSSYRAEILSMHTRSTLHRQNAIRRTATDGRDFDAISRGMRMGEMQGRMVLRGTTAHGEGGLHTSFFDHIFDLRPSNVAFSRGGGGYRSYTTADREDRRDVRASQVATCLERLESYTLSMEPISIRFVTVIVNSHLLSVHSAREAQLKKEAEAKAKAKKEAEAAKKAAEEKKKLAAQAQAVQTVPASAPAPAPEEIGLATPAATNTTNTVSESQAPTAAPTAAARGEETSTTPAGTLPDAQPPQEDAAAIVEPVAREEPMEMGDDDAAQETLPQSSAATAASSVAGTEEIEEVERQAVEEHVPEIRDHNDPPADAPPAGAAIPEENFIAEEFRAILGDIDIPDGVDPAFLAALPEDMRAEVIRDYQRQQRAERASRPVPAVQPVPVNPVEPAAEGAPAVAAEQPAAPVALVEPIDPVFLNALPPELQEELLAEHERRLREAEEQLRRLNAPPAPVVEMDGAAVIASLPANERAQVLAEMDDAELAGLPADMQNEARRARAQHVEPNMLRYHRLLFRGGVGGGPAQVGVTRVRTAGRPAAHAGPTIGQQAGNAIQAPTDHPHLLDRESILTLCLLYLVDNNRVPHTRLQKVLRSACVNQTTCDFIVWCLLALLDKASDASLDDEEILSNVPAWLDSIAVSGVGNNERAIRISENAQKISIHSMLSVPMCRNILDLLASIARAFPGNFLPAILRHGSKSTDVAKQSPSFQQFWTMVQNNSKVPKNKDWTAAPDQQLEECPLGQMLNSLRKPTMAKSPLKEKVLKVASQIMVTLPMDTLKLLGNDDSKKPLAEKLEFVIRVMTTGSCSADGLADGLTILSEAMRSLSDSTSFDIYEHLFTAVTNLGSELLPQIDRLIVELDEAQKSEDSPSGFSDQPSTSSKIAQLVVDTSAGGRTAAGRFDGERVVIDGDQNMKLQMSSCKELQLPAVTALTDKGGSQYALLSALQTLVKVRTHMKAIRKEKAKRAKEAEKKMKEKEKEKEKEKETPTTSAAPPSDAPNSESASTPASSSANPPSSSSANPPPAQEAESEEVADEEPRISERLHSLEELWNSLSECLLRLGKASDPHAVLALQPAAEAFFLVHASQQHKPKSKESEAKRKESQSASSSASAAVVASSVSHDGLREDLDPDTAKLIEFAEKHRQVLNQALRQNNAVLSAGGPFAILTQFPKLLDFDVKRKYFRKELTKLEPS >CRE29936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:552270:554579:1 gene:WBGene00065704 transcript:CRE29936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29936 MMLKLFLMFICCTQLCKSFHITPMSYSVLSENTESVLDLSGRVHEKRFYLHISNDASPFFMYVTPCGAAVHWQLFSVEKEMTLTEEFGIVSDISNSLEQSENFRLIAGEEDKKRMTFFAHRLPRKVILTIRTSPSSASARVFFSPSLFRLEDQYPPLPHDTRLAMNTLNDNSYSRRDEVSTQINWKISPQVRNAEPGRYRICTIVSRQDPEFAGMCDHVEEGVETVKCVPQTNNSVVIGHLRRDRTYYVTVFVRDHKRGTSSAYEVQTIYTSPLTEIVSHRKVTRNVPRKQKKSAPRVLTDGQLEQVELEPKKGTFVNLKFFVNTIPEGNATQSAMLIVHACDGLVRINLFRNGKILKRTDSFSGFRRFVVTNIRSGHLRFQIVNDDGSSKTIRVWASTDFETSPYPNLPDDTSVKIVDRSCSSASIQWIRAHDSHVKYCVYKRKENSNFLEQLVSLADNLCEGGLSSSTLVGCYTHSPIITHADSQSLIETTIENLHPASTYRLDLLAIPLDRPNAQALPYRTIWVRTNRFCGIHE >CRE30023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:555407:556021:-1 gene:WBGene00065705 transcript:CRE30023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30023 MPSFLYSDTEIESDGGGSRFKTNKNKPLMEKKRRARINKSLSQLKQILIQDGHKNSAQHAKWEKADILEMTVEYLHQMRSSQACLPSPSTSSNPTPPQIPTPPQIPTPPEEKPIQMTPLIPTFMNPVMQQYMAFQQLAQLSMYSQIMNNPIGYRENDSSTKVESINSKQEEKSI >CRE30024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:558953:559680:-1 gene:WBGene00065706 transcript:CRE30024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30024 MINDTSPERHHLRHKHMVSDEKIQNTANCETDSLDPYEMESRMRAQIERNNEWIEKMSPIVKYKIQEYIRKQRAKKMQRRKFSLACGLNHRIKQEPMPPPSSTSSSRRRQKKHGESTSKHRHISSTPVLERNLSQPDMSDPRKSASVSNRRKSAPANKMDMRDLDDLE >CRE29938.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:560778:562990:1 gene:WBGene00065707 transcript:CRE29938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29938 MRFYFLVATIFSGLLFSQAAPVDVVDGSGEAPDTLLQNTEEQPHQRLRFHNWDYKDLGTTAFEDIAFPARQPPAAVNQTEQCPDGWVRFADSCYWIETELLGFAKAERKCFEKQSTLFVANSLEEWDTVRSHSKEAYFSWLGLIRFTHYEKTEQLPRWQTEGALNPAKMNWLIKPYKPLSNGWTQFANCAASYKSPASLESASYTFFYPCTYLLYSICERNSTIVNALQ >CRE29938.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:560778:562589:1 gene:WBGene00065707 transcript:CRE29938.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29938 MRFYFLVATIFSGLLFSQAAPVDVVDGSGEAPDTLLQNTEEQPHQRLRFHNWDYKDLGTTAFEDIAFPARQPPAAVNQTEQCPDGWVRFADSCYWIETELLGFAKAERKCFEKQSTLFVANSLEEWDTVRSHSKEAYFSWLGLIRFTHYEKTEQLPRWQTEGALNPAKMNWLIKPYKPLSNGWTQFANCAASYKSPASLESASYTFFYPCTYLLYSICERNSTIVNALQ >CRE31612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1407:6812:9259:-1 gene:WBGene00065708 transcript:CRE31612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31612 MTNLNAYQRGPNIYAAPVPPSMVHQNGPPGVVPTSNPYYPTPGPPPPHHHIQPQAPMMPPGMHGQQQQQQPYYNTQSPLTGSSPSMNYGTNQIPAPPPTSNGSAPIFNFSKPRNSNEGSSVNGGGHRRKKHNDLSSPRYNNNSNEDVDGSIFPDMADFPLLDVVQSKAKKDAGRKPCRPHLCEQSRMLISRVCHFHREFVKRLPSRHPLANSPFSKPDQWTSQIMGISLATVRKCIDMAVDEEVFTRCTDKNLVNLTPSERAEREELLSKLSTVFEDEKTLGAGTAGMTIAEYSPRRSYSNTLIRQSTDDKSSNSSFANGADYGSSETTLLNLDDHSNHSKDFGATPTPTTELSNGGHMFKTSDQLAEGTLKPRKSQLQSWTRQREEDHRDEHEKKTEDEDETNEETEKKSDELEQVVLAAEREDDAASSEGTNRSETATPEPTDTSVAKKTRAAARRKNTRTVDEELQASTKRKRGSVTSATAGVTQFDDENADHKMVTR >CRE29943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:581125:584098:1 gene:WBGene00065709 transcript:CRE29943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lad-2 description:CRE-LAD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MMA4] MIYDVNSADEGLYYCNVSNKYGINRATNRLQVFKPTYFVRIPTPKRLILEAGETAEVFCEAVADSRLPIKYQWTINGKVLTESQYYEILPDRLRFRSVRGRHSGIIDCAAITDVDVKLASMQLIVKDVPAHPVVETAHCSERKATIKWVAASDHGDSIKKYIVEMFTDFKKNEWETINEEVNVNKENFEVDITLTPWVNYTFRVVAVNSHGRSDMKIDGQPKEDWLTCQTRPSFPYTNPTGVKGEGTEPDNLVISWKPLDRYYWNAPNMQYLVRYKLDEPIHGWTEFLVEDSLANFTIIRDQPTFRKYLIQVQSVNSVGPSIVEPEIHYGWSGEDVPDEAPHDFRIDTQINFTTINFTWNPVHENTVNGHFVGYEIEYWKAENTIRKYSIKIPANSTYKIINSFHAVTNYSAHIRTRNKRLRSAPSHPVSFAMPEGPPGKVHNLRVYSVGSTAILLQWDAPLQPNGRIRGYFISFQNDKNETEETYVIHRQKYYLHEKSEPDTGYKVSVWAETRAGEGPTTLRPVRTWPARIPDAPIFRVKNISLDSFVVEWLPNNHSVWKMPGAAFFVNYTAESSNTWHQTEIIYLPNTEILLRNLKEDQKYFIQGIAKDGPRQSESVFLPIKTLNRDYANRLKEDSLRSAAWFIAVLGVLGIGLFTICLTFCCGNKNRQEKFAVRRKEIEIGHQQDNEEEKQFLEYQYGFKN >CRE30026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:584352:586520:-1 gene:WBGene00065710 transcript:CRE30026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30026 MILLSMTLSVVNCFVVIGNLLVFYVILTKKSLQTSTNHLVLSLTISDLLLGILILPFAIIQEHSHEWIFGHLGCRLWLSVDVFLSTASIYNLLAISFDRYMAVRQPIKYPIISSTKVVRLMTFLVWFCSLLLAAILFLLETLIAHDATPSKECQPTTLPSMYIIFSAMASFIVPAFVMVILNVRIFQTVLHTSRTMTVKSKNGSLRVHRRKEPIVPVKKLERYETRLSQEDEGVGSPSKEVIDPVPVIAAVVEKHKNSVDTPAIRSFLTHTVVFGVLEAKKTNIINHITQWWRRSTVRSPNRNSRKKCMRRSLRTEMRVARTTGIVVAAFIVCWIPFTTIYVLQAYSICTVAAGCIPTSLFTTAFWLGYSNSAVNPILYAAFSRDFRIALKRLFFQKPKF >CRE29946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:605392:606698:1 gene:WBGene00065712 transcript:CRE29946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29946 MMSKCVYGFFALVFIEIIQCDLLVFWGYPTVETTFCVNITVVSFDNCKETCQKSLSCMLASGSGNNCMLCDIYTVSSIAKSTKEIGIKTAVKVNPIDGDKCTSDTDGTVFSGQHSENNTYTVTSTGQTWTISHGKWLQFYTLHIRFQRRNARNQVGGYSQDHLDLSVLGIHVQVTNNTTPLSRNSAASACKSKWNIGLTALNSIAERNYVLESAREIVGKHPAYKYTSIWLNGALRADCDFVGSQNTAECSGMKAYEYIGDLITSLEAYKFAPGTPNYTKIEGSSQSCLQLMSSQSETKDNGLVKNSVYVFIIECSFGEKREIYFRCGTNCNEDNSICALTFVCGH >CRE30029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:606993:608179:-1 gene:WBGene00065713 transcript:CRE30029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30029 MDGWKWRTSQHYFHFVSSSLSIILNLLLICLVKSRSPRTMGTYKYLLIGVSVFEIFYSSIEIIVSPIVHSFHTVVVVMTIVKDTWIPKSVMLILTATYCGSFGCFLAFFGILFIYRYYVISGLVIRITLVSHLLSNSFRNPNIKYFDSWNMIFWIIITLLCGSIWGAIGFFTFSQNSEIDEFIRQDLYTELNLFPEDITYLAPHFYLKDKNGEKMLNWKSIAGMNGVSLIVGVTCFFIIRYGLKTYKCIKHFSTIQKFSDRSKMIQKQLFYALVIQSSIPFLFLQLPLTILFICGVLDIHLGQLGGIDTFSISLFPVFDPLPTIFVIRAYRVAVLGKIHWLLYSM >CRE30030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:608741:609920:-1 gene:WBGene00065714 transcript:CRE30030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30030 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MMB2] MSADGNNNKSGSKELPKQETKRLDLAKVDPKCAEWMDDCIKRLNSLYNNTGTDICNIMSGFEIIAIIRLVENIFMDESNLCEAEAPIKVVGDIHAQFQDLNRLFDLIGRVPEEKMMFLGDYVDRGPQGIEVLMLLFCLKIRYRDRIFILRGNHETASVNKIYGFYVECQMKYGVGIWWDFQSCFNRIPMAGLISKKVLCMHGGLSPELVSLDTIRNIPRPCEPLDRGLLIDLLWSDPTNKGEGWFHSIRGISYMFGKAVVEQACKTLDIDLIIRAHQVVQDGYEMMTGRRLITVFSVPNYCSQFTNAAAVVCLNANLEISFQQMLPPPLPPTAKAKAAPSIANDTNVENAKADKEFIKPYVKV >CRE10795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3737:1467:1730:1 gene:WBGene00065716 transcript:CRE10795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10795 MGMDEFNPGIIINLNSNYSDFRFDPFTLPVFVAFIPFLYIIPTCFIILRIIQVYIEKGIKKNEEVVNKSVFLVIILCQLSVSFTSFL >CRE02770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1761:1:478:-1 gene:WBGene00065717 transcript:CRE02770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02770 MSMENDDLGVIINLNANYSNFHFNFLTVPVFLSVFPFIYIIPTCCVIFRIIHVYIEKGIRKNDETVNKSVFLVIILSQVTCLGFFLSDYIIIRLPSTGIMTTWCYQQSPNRFLSLIFTSHIYFSYPLMIYPILLTVVRFMPIH >CRE30034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:615730:616989:-1 gene:WBGene00065718 transcript:CRE30034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-19 description:CRE-SRU-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MMB6] MVNRELGLVINGNSNYSNYTFDPLTFSCLLSFGPLIYIIPTFVVIIRVIRTFYRNIIGKKDKNFNRNVFTVLVLSNFSSLGFFFSDLIVIRAPSTGIMTSWCYRQEPNRFLNIVFQAQAYFNYCTMLYPVLFSVVRLVITYVPNRHDEVNAKILKFAIPAIQIYPLLLLLHMIPALGVCRQHSSPYSFGAVYVHFINSWRGIMNAPITVINSAVWLTTCLILNFILYRKLRKLKTVSLSQSQTHQNIRNRIIEVSLSLTTLAMLFAYATNLVFLGSFMIDYDIATYLVVFRPFGYDLELCVVPWVFYLTHPAFRKKSDSRIVVNSNRKPPLSV >CRE30035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:617544:620493:-1 gene:WBGene00065719 transcript:CRE30035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30035 MSSLSTTLPILAPIGIHGNSTFINFEFSFFTLPMTLIFLPILYIPITLIIILRILVKLYRLIKDRMGNVQIFSVILMSQFMCLLFFIVDFFNTRLPSTGIFTRWCASVDPNMLLTFIYILSFHINLSTMIFPFLLSSMRLILIFYPQNHKKFNGKLLRLFLPLVFFYPFTFTVFMFPALGYCSPAKYPFQFGAVIVRIERILFGNNFPLIFNTLFWMSICLINNSILLAQLWKLRCSISIQARSQYSYRIEKSLTLTTVSMILSFLSNGMTVFASLFHPNFKFYAIMLRPLGNDLYTCVVPWLFYLTHPTFRKQIIFKISESEKKLNEMNNVSIQGNPLYINYQFDFFTFPVLFASIPFLYLIPTVFVMLEILRVYTRQLITKRDDLMNPHVFFIIVLSQFMGFCYMISDYFTIRLPSTGLLTSWCASQEPNHFLKIIFFFSIYFNYTSMLFPFLLSTLRLIPIYYPNKHNELCAKIVKYSTPIIFFYPFLFTFTLIPALGFCRQLLGPYQFGAIYIFFSGNWFNLKLANLLVLNVVFWLMLCLITNILLYKKLKLIRNKRKSVTLQRAEFSLTVTTISMLSSYVTNLVFVIIFIIYPPLSTYFVALRPFGNDCDIVLVPWIFYLTHPAFKRKLLSKDVSRVKTLHTTV >CRE30036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:620926:622263:-1 gene:WBGene00065720 transcript:CRE30036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30036 MSNSSSVPSAVTSLGIHGNPAFINFEFSFLTVPMLLLFLPILYIPITSVVILRIFAQSMSAFRQKNVNDQLFRAITLTHFMCLLFFVSDFFYIRLPLTGILTSWCASIEPSGYLVILLLITYHINYVVILLPFLVALIRINLILMPQKHQKINKTLLKWAIPIIFIYPFIFTFGMIPAVGYCQSAGYPLSFGAIIFRVEYTSFGIRNAIGLIFNTFFWLIVCLIINSIIVVQLIKLKFTLSQHSKSQASHKAEISLTVTSLSMTFSYVTNGMIALGNFILPNLTFYFIALRPVMNDLDTCLVPWVFYLTHPIFKKKQSNNKIFVLTPDYS >CRE30037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:622459:623606:-1 gene:WBGene00065721 transcript:CRE30037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30037 MTDPTKKVLGIHGNSSYMEFEFSFFTLPMYLLFTPLIYVPITLIIDLRIFAKLMNAKLEKHINVHLFKSICMFQFMSFLFFIADLFHLRLPTTGIFTRWCASVEPNHFIMSLYILTFYINYATMLFPILISLMRLILILSPRNHEKINGKLMKAAIPIISIYPLFFTFFMFPAVGYCTQPLYPFTFGAITFHFDGTWFGLKNNYFLLGNDLFWMTICISINTVLLVKLVILKISLARHSRSNHSYKIELSLTLTTLSMILSYLSTGMVVICFQLFPAYTYHAIMLRPYGNDLESCVVPWVFYLTHPVFRKKSKIHIQVLHS >CRE22219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1111:1360:1889:-1 gene:WBGene00065722 transcript:CRE22219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22219 MTDPTKKVLGIHGNSSYMEFEFSFFTLPMYLLFTPLIYVPITLIIDLRIFAKLMNSKLEKHINVHLFKSICMFQFMCFLFFIADLFHLRLPTTGIFTRWCASVEPNHFIMSLYILTFYINYATMLFPILISLMRLILILSPRNHEKVRVELDSGYKFQNFR >CRE29947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:626439:627941:1 gene:WBGene00065723 transcript:CRE29947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29947 MFRITSRFSISHSFTLSFVISLCLYLRMKIFLMKKKKRDRSTINSHLLSLFLLLDYFFFRYNNSKNDTTIVPRVIQSYFCFSPSLDSIFDALLSVLVHFMSCFNTHSIKNISSEIVLKMAKNYPSFIVDAFTTQSFAGNPAAVCLIPQKLKDEEYLKISSEFNLSETAFPVPIGPLDFKQCSQFSLRWFTPKTEVPLCGHATLATSHVLFNEIGNVNEEIKFDTQSGVLIVKRGDSGNVEMDFPEYDLTSMKFNDTPNPLHGILSELFQFEAPSFLLNVIKCAVPAEMSIESVVYSSKSKKLIIVVDPETTKFELESVKIDSSKMLELHDGSFVRGLAITFSPSNPSSQGFKDPSNEPYDYVCRYFAPWVGIDEDPATGSAQCVMGPFWSIMLGKHELYALQAFPGRGAQFRIRLRDDRVVLNGPSVTILRGEIALKHS >CRE30039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:629642:634922:-1 gene:WBGene00065724 transcript:CRE30039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30039 MPRWRLAVLFLALLASSAGVDTTNTTSVAAKKGSEGPHLTTDDEGFLTVIQGFATQLQCVLNTCSSEVVWYKDGSQISKGSDFLNTTSEKAYKFQHSIEVDYEKGCSGECDDSKPCGDGFACVDNQCCSCRREEFTLVLRNLTFDESGRYRCQLGNKSELLEFQVEVLESGLKGGFHENISYDHSECCQEKGISPLCRGMCKPSEMDQHHFDPTSCKTDDYKHFLSCATEGGTRSHVHCCKTQLVPSFCYDFCSGDFQMLRRSHRLCLYYLPEIFSCLDRAYRMLSNEKGGLSSARGGGGAAHLSAFMIDKPVLPYPDPPTAIEVNPVDHDKLSVCWQEPAKHESNKMFPILDYAVYFKEIPNFPLLGGDMGLPLLTGDYSDIGDIQEDEYQQEEDEADEVIKDSTIAPRGKRNADFKLENVEVQVREKRSTMVIVTRDDVTNSTTIREFAFQNVNTTEKCVTLSDLRSATRYIVYVTARNEYGTSVPSVRNIASTNVHMVKNNASLPDAMSQFLFSNKTYIFLFSECCTAANVSSFCSSKMCSVAEDPSSFSTITIATTCRAEWPKVSPCIADGRNHTDCCARKGVRDDCLQICAGSTKELGVHSVLCLNLDLQAVYQCIRQGYETHPSAPGNVTISELTAHSVSVQWTEPDSNAHLVENYTLFIRKNEHGQSVRTVHNVKSPHTELGLDPDSEYVLTLQSHSANGTSLPSTAKLFSTLPTTRPPLCTIGEPIYMNDGRVMICDNVNPCPNGFRCTGAGSDLSYCCPHDGTHSSEEFTSCCKEQKMPEQCMGSCQYNSTLGDDCKEHLNTWIQCASEGHDHLRCCMREEVSKSCQVACMHPFTVDAEQCFNEVPKYRTCFNGAHLALPAVVRNLEVTSITKESATITWEDIEANIIAYRVQLFENGGSLLKTVNSSADIYRFSNLEANKNYSVRVTAINPQGEGPPSWNVSFITKPAQLYEGDRPLAPEGLRVAWNSGPRVNVTWNRVTVRRNDDPVSHPIEYTLYYLETEHSSTWTTLKTNNTWVVIRDLRKDALFYVYVTAREDDKNSRSSSIITILAQKDSLGLPEPVISIEPDHKDGVFLPGEKISINCSLSNVKKHLNIDLTVGPHVIQNDHGAMWVILETEADETMDTATCAVSDTDGRQHVAMKHLVLERKASVTMKKDKIRVLDDQSVEIECIYRGGGRDPKISFEKDGKKVTRGFLNVKKTEAGYVAKWQIRKIKENDAGIYKCIVSSGDSDPVEATSEVIFATETIPVNPKLILQCCEDEGITGDCLQACNIGRAALSAKNQNCTRFATSLLKCAEDIRDHSDCCVASGVTSKCLPLCSGDSFSPDVDCSEHAVSIMSCFVKSHEHAPTEVTNVRVKSSEGKTMVEWDYPLTKDYKYFAVYYHKIHDSDDDWHKLKTIQQNIEIDLDPSEDYEVGILAANALGHSRLVYAAVPKDMEAHSASSKQGSSSAFWIIVILIVFGVCIAGLAVLGKRRELPYPFGKFIGRRNDPNQPTVAFENPAYGEPWSGAEVEIRGLGGSSGNTGAAATQSEWQSANLEANNTTDNSHEYRNGMRYAKLET >CRE29949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:637765:642144:1 gene:WBGene00065726 transcript:CRE29949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-26 description:CRE-DPY-26 protein [Source:UniProtKB/TrEMBL;Acc:E3MMC4] MDIPSSSNVTGRRKRIKSPGLEDDDDEHPLMRSTPARSVRGIKKVNPHDADPGSIMSVINNQVDEIINKKKVGSLNCFEFKSPLELFGIEQMMAANASIQEMAVVLDGAQQVIGYRVDRLHHDIRLLDAALSSGDVNRGTNPAKEEVHLNVESRRAKKKMAVADGVSRISDFLNQIADKDAMHEDEMDSSIIINRKAPQQEEEEIAGEKRIDPTANSRDVDLFLETNMVIEDVIKNLVITRANNLRMKLNTDMASSVSGDDIAHDIKDSSIDWLRSNPNFQKATKGSLDNSSNSFHSLNFYGLHSPSGRALNLHPRIVDRNADDRFYTSDVTLSLAKNTHSLLENAMAKKPHVLDNYLMLEVKDRPVIGRYKIMAKEAKKQILPLAEASREKDLANLTFAEVNQRNENPDTTMAGSSDISMLPVNQGLPLALGENDQTINSRMTPSLNQTISTVNQKPVNDEYVPQSYSQLEMDESLVGKLPYCSLDTTKLTKIFDEKFESSKSSDMIESKIWRNGMRAEEWGDDDETAMRNDTRFVISSGIDGWIKATDSWANSDAVKMIVNRDARNNLEDTQMEDVDAGRTWLPDIGKNVFLVKSDEYMNTYPPDRAQDMQIVGEELDVMKLMATEGEEEEDQRNASLDEIRTQLKEQQYRRENDMDVAEDVYDQVGGGFDVDFDDNLAAPVEIDDMATANNRVEADEIADILFDDQMDETEEKIRDERVIQREIENIALGSDEVAELMTAVPPVQLVGPSAEMREEIRNVGRIDNAHWVPPEIADQEKQAAAPRKRREKKGKGRKVTVDDFLHYFGDVAEDEMERGTGESKCKKISNEKGCFLTEQSLYLSSLGIEAKPHVAFEMGIISNSGIFYKKPYGKIKLERIKQQKTEREVFVDEVKGNKEPDCLNWLSTFSGFRCMDNPVAVDDISEDDDHVAHVEPADDYCQGFLDHEHFDPQFAQEKAAAQMGPEMLKKFDLARQQIRQLLPDPTVKQRRIEYEDSDDEFDDSFDRQAIQAKNLDAAKHKKCLAEILKSDTLSMPSIQYALEQLTLNQTLHQSNASIRGRSASRNESSASPTASFEADKTLTSVFEYHAPNMTSHNVTEIMKALTEVPDYQEEDEEREEDNQGDEEEGSSSNTEPTSSKYGTANTENRKVQIRGCHTLLSLALSMPSKMGENVRPSSIVSFLLHIANENGLQIVQDRSKRSWMSDFIVLNQSEALPRGLKMGKIEDQDDFWKRTQDPDAIEGGETDQNSVFGNIKTRRPKAVPSRKGVRGAAPQGKSPELGAIAEEDEMDL >CRE29950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:642686:643270:1 gene:WBGene00065727 transcript:CRE29950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29950 MIDMNFCIQAIGKSFQKSMGTRYGIDMGLEDRLLLVSLGTKQRRLDEKKVIQLSRILEERVGEVIATDETLGQLQLQITRVRVDRGFTQVSVYWMCRGEGDLEVIDILDKTKNQIKRRISESIGITCPEMKFIGDKSLLMEQEMDKLFREADYGIDYRSLSRSASVLGNVKSEDEQVGRKRNVPKWLTDIREKK >CRE29951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:643482:644325:1 gene:WBGene00065728 transcript:CRE29951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29951 MSTQPKLRVLCLHGYRQCDQSFRQKTGSTRKLVKSLADFEFVNGPHSVAVDEHLPTSRAWWFSNAGAMSFSSREQTYVVVGFDESVEAVVKFIEENGPFDGLLGFSQGASMVHLLIAKAQLGEIKLPGIRFAIFFSGFLSLSSTHDYLTSLRIKDFPSLHVFGDADEIVARPKSEKLADQFEVPPLRIAHDGGHLVPAMSKHKEKIAGFMKEQLDNIITA >CRE30040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:644358:645205:-1 gene:WBGene00065729 transcript:CRE30040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30040 MLFLTSILLLVFANLCSSVEVNPCDSTWHYYNKTGCCYKTSTDLGTWFDGSAICAKMHVGAHLASLRNEDESKFVAKTHRNGLDGIHAWTGLSQTQNANNWTFTDGSKPWSSFMTPYIFPNNHTSCVEIVDNWLVELFQNTGKTQPTFCYHYRKSLCKYCPVPVVPTIITTTTVRTPAARIEKAHPRSNQPELVSGCSSGLAANQVPVIPVSNATTPVPTTTKTTKAGIISAASLHTQNGNQSNKTRSI >CRE23228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1038:1665:3179:1 gene:WBGene00065730 transcript:CRE23228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-65 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NQ01] MPVAANMRGYARNPVNVPNTFLLSSEVYENNRNGFFSRTRRIYEYLIDQIYSGPIASINSEASGKLLGLESATKSRIFENSLLTVNDFPDTFSFIQSRGNDLIPVGEHCASSENLPSDFRNFVEDPISKGTIYVAFGSYLNLEDGPEGTVESFVEALNYFEDYRVIWSHKGNVSQFKIQKYHSFSFQVTGAKCHVKFVNWAPQKELLAHENTVAFITHGGLKSAKEGVCSGVPMLFLPFYGDQPRNAHRFVTNGIAEALYKKSITAVDIKQKLEKLLEDPSYKENVVKTRSYYLDSPMSSLDLGAFHISRVLRRPESQFIRFKRRSISMNHLQYLNIDIIFVIFVVFFIVYKE >CRE29952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:652881:653849:1 gene:WBGene00065731 transcript:CRE29952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-130 description:CRE-COL-130 protein [Source:UniProtKB/TrEMBL;Acc:E3MMD1] MSAKYVVTIASCFSGLAIVACLFTVGAIFKDINDLYDNVMDDMDEFKMFANNAWKDMIPVTRPSLDNQSNLRALFGREKRQAGQCNCGAQPNNCPAGPPGPPGAPGAPGEDGHAGEAGKAGVNGISLISHEGETGCIKCPAGEPGPAGPDGAPGAPGPDGQPGQDGSAGQPGAPGPAGPAGDAGAPGQDGAPGAPGAPGKDGQRGQGLPGAPGAPGPQGPAGNPGQDGAAGAPGQQGPAGPAGPDGQPGQAGQDGEAGPEGNAGQPGADAAYCPCPARTGAVENKPETSGYRRRVSKVV >CRE30044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:654046:656068:-1 gene:WBGene00065732 transcript:CRE30044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30044 MPFPLLIFLFFHLLTTSNCSTIIFLNMFNSKSHALTMMPLAERLADDGHDVSMYTISANRLKIPSKKVKILESLVKMTGSTDDSAPDTSGVSRIFWNYEMTPYYGAWCYEIGLHYLEEGRDGEERN >CRE19822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:419621:422121:1 gene:WBGene00065733 transcript:CRE19822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19822 MTALIHTLLFLCFHVFVSSTFSSDADFYAALNKVVDWVQHDPKCVFNKTILDSETIKSFPDCETVFAIIVINENTDLTSSQIKKYFSKLVWITGGLRVENSNLTDLAFLPKPEKFTFCFYCENYGVYIRNNSNLVAGFKLPAVYISGNEDGKKNCRFEVENNPKLNAGMMCNGTYLHTDTDIKVVGNLKNCGCTPDVVTENCLSEFAEKTYLAKGLHLTKLNDTNQLIYLSNVNQITGAIDIQNTNARDLSFLKSIKNIDFPSVSSVVFNLQNNPEMTRFALPNISSINIPEGTKLFNFENLHPDFCLTIEELIQFFFRHKISFQNIHAKLCNETGKNSSDLMMCGFESMNKLPNNCNIILGDLRVEAGDEMDIVKLDSVYYLFGSLTIRNTKLEKMENLQNLANVAYFGIHPVIQIHSNPNLKEVVMPLQSVITRDNRDVIFQDNHPGILNSTGGVCGFTAVSDAHLPKKSDLNFIGGDCGERVEINRSSYKLDTITILVFLSIVMFV >CRE19878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:417370:418660:-1 gene:WBGene00065734 transcript:CRE19878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19878 MPLEPLSLLTANISRICYVLTVFFNTSLIFLTIKFTKHIVGTYRYMIVTFAIFGIIFSTADILIRPLFHSYNGCLVYFTLGSAFRFSKKASEISMLVYSGVYGLILAFLTVQFVYRAGLLSKSRKTWTRHFDGWKLILWIMYAISIGAAWAFAVTFLKSDDTTNSYLRKMFLSNYGVEISTVANYPILAYVSSSRHLDSQTYKKLFQNEDEAHKTLRWNSVKCIIITTFIMIIHYCIMLICGIYMYQRIKKNFNASSTAHEKLQKQFFHALIYQTAAPTLFFQVPVFVVILAPFFDLKFNFNSSIVVYGLSAYPLVDSLILLKVVEEYKHAYSSESVLDNFCNNKNKLVSGFIGIFVKSCSDVFGEETPRQPPTLTNAIR >CRE19820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:405188:409709:1 gene:WBGene00065735 transcript:CRE19820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19820 MHSLPSVFTMKLFHFFIFSFIFYSSAILDPPDALQKVLYSYEYGNHLPFNSKLFFNSKLLFYADPKCVFNYTQINSKTIQFFPKCDMVFGILVFNENTDLTSAEIKKSLKTMSVLVGGMIVENSNFTDLSFFTVPEGDYGISIYCEMYGVYILNNKNLINPGSLSDAFMIGNEKGNECDFWIENNTRLDMDKYCDTGYFYYYMEMTIRGNLQDCGCPGNQIFEHYIDEYQNCSVLTNGLYLNYFYTSFSLHGLSNIQLVKGKINIQKIPIQNLSFLKNLTTLKIRNLGIREKIVFNIQDNLKLTRFEMPSLETIENVEDAGIRLFNFENLHPEFCLTIRELIWFLEQEVSFLNLHATICNDSMEIELCHFESMSKLAENCNIILGDLFIGSGDETYINKLEAVNYLFGSLIIRGTNLENLNFLSNLKYIAYLGSGVDSDPKPVIQLLSNKNLRNAELNGVSNVLVSSAPSDSFFENSLSKPMNIIRKTPRTAVIQDNHPDIFQHLNGSCNLINTEDEVLKYRTALNYIGGNCDPLCVFKHSEVTSKTIKSFPNCSMAYGISVFNQKTDLKF >CRE19818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:397251:401304:1 gene:WBGene00065736 transcript:CRE19818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19818 MLLPLFFANLLLSYCTAVTTEDLKHVLDSYDFDPKCVFNYTEVTSKTIKFFPKCVTVYGILVMNEKTDLTVSQLNVTFSTMTTLIGGIRIENTNFTDLFFLTVDKQDDFFSLYCGKYGIFIENNKYLTNARILWRMYYYGNESGAECTFQVMNNPQLDAENLCDYGYMYLYMDLKVKGNLKDCGCQGDEITESTASSYKNCAKLLRGFRLDNVIIIENLPSFSNVKLIRGGIDIKNSGVHNFSFFESLDTVKINNEGDGQKLILNLENNPLMERFAMPNLREYLNSENDGIKLANFQGNHPNFCLKIEELSFFLEQQISFLNFDTKLCEDNRTKIATINVCRFVSMSKLASDCNMIIGDLVVNPGDEEYFIKLENVIYLFGALAIQNTTLRDMNPLGGLRYIAHFNRESLNIMILAPVPFIPESLPVIQIVGNPKFEYLAFYELENIITNGNRTAIIQDNHKDLFFFDKGKCKIFGDEKSKSKSYRMHLDATGNQCGQRVEVEWLYSDGCPGLEVWITFLVFGVFFVS >CRE19877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:394900:395266:-1 gene:WBGene00065737 transcript:CRE19877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19877 MKLFILALLAITITTMQAKPQHPFARILQNSPNQLADDCKDIGTNCQNWARNGFCTNCNWTCAQRRHYCERTCGFCHPDYVCNETCPQPQQKEFEELTMEEIMMLDQ >CRE19815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:389989:392106:1 gene:WBGene00065738 transcript:CRE19815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19815 MMSTIIFFFALFSICSSQVMLNLRDFIGGNTRNRLNYGHDKVGVYLSAFSDSVDVLSKMYIISDNNATQVSLNDLKALRMVSNELVPYTIDNTTYLTSSLSDEQIDQLTGVMFICSVKQLGDINFHVKDASYSLTTHFLNYGAADFTILFLNTNVNQFSNQSTTISQWEQNSGSSVYLYIGYPGDQPEEQNSHVFSNPMKFNGSDVFFPNVEKFALTDVSFYFRTFKGGPNFKVDPGFFNVDGSVTTAYTTTGFYSKPVGEADSTKTINTSRDTKYSGFTGANIIGFLPNGGNVTYTEYDGDSHHSYTVQSVNQLFSWSTPFIGQTFQITSRGTRNGEFYVQYFVHQGSLSDVPTTVTPTTPGVQTTTKSSGALQILISFVVSMFLIGF >CRE19876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:385047:387659:-1 gene:WBGene00065739 transcript:CRE19876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asp-8 MTRRLIVLFALLGFASAAQFSVPLRKSGSLRQKMIKEGRYSEYLLQRKTMQLSTGKQGLFDTMDEFYLAYIFLGTPDQPFRVSVDTASSVLWVLDVNCDSFNCNEPVQNVPLKDTYNSSASSTFKDSDKNFLFPYPYQGTTKVYGLLGKEKVSMARFTLTNQDFIRATVVPDFYTEQPVDGVFGLGWPDQQELKGVGTPMQTLLPQLDQPIFTIWMQRADNATKESSGSITYGGFDGEACDKNIQYLPLAQPGVWGFFVEGWSMGSFKGTQNETAISASSSGWTGVPTAAFSHILKATKAKFDWELNAYTVSCSTIERQPDLNLNIGGNIYTIKSLDYVLDLGLNNGQCALAFFSSAKMNADWILGESFIRGYCHVYDFGNSRVGLSKFVKRD >CRE19811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:372289:375294:1 gene:WBGene00065740 transcript:CRE19811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19811 MNVKMNETVLSCRMNGEKKSTAAPTSLEEPTATSVFSGQSIQGNQERTKMVSLLEANEIHTEEELNKLFEKYEQLEYELSVKVEYLQRSQRQTDSFRSELCRLKVKCDQQQQKLLAEEEKAKKMSEELKTKYSNLRANSSTRVGECNQQTGERKESTRYYNAETSEIIETIPLQESLDSGRNWNQRIVEQNAQRNIIVHNEHEMSNRNAQWRMAQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDIEAQKNFLETRFLSGNALAVYKGLPESDKNSVSRILDAIKRRLSQSEPEESRRAKSKFQALKLHKEQTVQDFCLIMDEIVRIGYKGVPEYQISSMKTTKLLDEMREHTVFEVLLQILGSQLRNCPVEEQYELCRVEATMFDEEWRSGKKKAAKNEMRMNRGQSDQYSHNLQRTGSTQNNAFVPQQSSRFNRYTPNRQSNSYQTNSGSDSNSNQCTSTTGQQQNSSLRNDLNDQCAPKGYMYNEKSPECWKNLVHSSDSADNASNSALRFHKCSECNQTGCHAPTCSRAPGSNTSKVKINSTIVCFRCDQQGHIASKCPTRNASIQEVRMAPDVQSKVEDQKCKMKFDTKCSSDKESERKLIDHEMETKDLYEGQSVAASVFKKDMVIDVDRVPIKSINSIEFSVMSSDEMLRSGDTKDDGLQTSWTKHAHTKDNSGGVNREFTEANSQKNGYCDEEHKSERDQRLCSISLTKTKKQSVQQKKVSDVISSFGDLKRDQKEHNVQKNIVNYESTQSYMSSEDGDGKKKRNTVDQKAVDNVMCQGPPLNFIENSSSLNQYDNVDANEATDIVKINTSKSKFLNQKCPKKPGLQKTGDDTCGVNFPKKSKRRNKEETSPRTDPPVILRFDSDLWLHDTSPGSLDSDNDDQLDSYQKWKRAVPKMFKSQVVPRPLKDPPTSTNQSTWSDIKFESAKESPRPLNDPPDSWMEDVNWRRMQEEYRPRKDPPSPYCQHGHRNLLGCLQSTQFLPTPMSTVSS >CRE19873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:361635:362984:-1 gene:WBGene00065741 transcript:CRE19873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-286 description:CRE-SRH-286 protein [Source:UniProtKB/TrEMBL;Acc:E3MTF0] MFLASPVFYANSLHVLTVITTPLQILGSYCIIFKTPKSMGTIKWSLLNLHFWCMVLDWALTMFIIPLLILPVLGGCPLGILTTWFGVSTHFQAYIIITLIFMAGTSVVVVFENRYHQLYGSHPHWRYFRIPFLMLNYFLTVSFSIPAFVNMPEQHWALEISYKKLPELPDEIKSLPLFILAVDLFWLLIPLSSMAFLIVTECVVFIVLINRNLKASSKQATLSKTTIKFQKKFLRALYLQASVSCFYFSIPTSYTLFSQFSGIYSQGLIHFVLIIASTHGFSSTLVMIWAHKPYREFCFQIIVWIQGILKIKPSKVVQKMPNNQCSATIFA >CRE19810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:358572:360630:1 gene:WBGene00065742 transcript:CRE19810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-287 description:CRE-SRH-287 protein [Source:UniProtKB/TrEMBL;Acc:E3MTE9] MSYLHTPDFLSNTLLIISIVALPLHVFGAYCILVKTPKMMNSVKWIMLNLHFWSVVLDWGITFFTKPFILFPAMAGVPLGVLSGMGVSTGMQIYMVVTLFCIVCAAIVSCFENRYYLSFGRNSLWHHFRFPCMLFNYFLAFLIFLPAYINAPDQVSGLQKLFELLPNLSEDIRMLPIYVIATEYSMVVGPVMLMGVVIMIEALIFVGLMYKGAMKAIRLMTISLNTLIMQRKFLRALYIQIFMIFLNMGIPLFYLGVAVPFNYYNQAANNICFIIYSLHGLSSTIVMVWIHKPYRMVIENLFDRGRSRRMSNAGQRKSIGEMKTTLNVSNVNIRLQYNVNIVNVVI >CRE19872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:352191:354589:-1 gene:WBGene00065743 transcript:CRE19872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19872 MSETTQNITCKWSGCSHRFGSEVDMNMHVMMNHMVLLNYGDFVLKKPLVNRKRPAEPQAEPSPEISEVSPVVRQLTATVKVESRPPEVAPESESIPIPPKKPVKPDSSSSAPLPIPPIPIPPNLEVPIESRQVAENLQKFFNSNWNPTAIEMDSDATSLQNGDVMEQMDAIMAVPQKLSDVIDEILERLRPMKQLGFIRETRPVYCLICLKYVKSFHECNWKHTPAEDRIAEMWYRRFGKERPNGKNQLMKELLEVKSWILMEEEAGNLQDINL >CRE19871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:348703:351326:-1 gene:WBGene00065744 transcript:CRE19871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-folt-2 description:CRE-FOLT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MTE6] MEQWKVMVLICLYGAVKEFRPTEPYMYEYQHTTLNMSEHTLNSQVDFALERILFTIMQSFFQVYPIWTYSYLITLIPAFLLTDVFLYKPLLVFEAFSYFLCWVIFVFGKSVWSQQVLELIYGWATATEIAYFAYIYVKVPKTEYKSATAFTRAALLVGRFLAYALAQLLIGMNWASYSTLNIISLVAMTIAVFLALILPRVEWKEAYEKKLEDNNVQGDLKEIVDQSSYMDYLRMFFVGLRHNLLAIYRNPLILKWSVWSALSSCIFYQVTNYTQTLWGTLPESENRWNGITEALVPLLGIPADLITRQLNVNWNKWGDLLLAVGSVGQAGLLFWMSQSNHIVVLYLSYIFYRVIYQLTTTIAQSTLALSLDSRLFGLLFGINTFVALLMQSVLTAVVIDWQKLEIRPQFVVYSCYHLVVAISFAAIFGIWATRRFIKTRSS >CRE19808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:347009:348368:1 gene:WBGene00065745 transcript:CRE19808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19808 MESATILFLYFLSIAQFIGIFINLLQILVLLQKELRANATYRLMTWICFSDILSQISTFFAFSPFWVRENSADCLGIKTLLDTISYLLGTIFSDTFQRVSIWLALLICIYEVMKVTKPTKVMTTVFCFVLLSVVWSYLVNLRNVVKPVEMMEEGCPLSSDQGTRYEIVVAQKHRVLHERILILDGIVKLIPNFMEVILMALLFKAEKAKCVDERKKRENLTRLILIFMIPYILSGIPGTSINVLQLLYPEHSTVM >CRE19807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:343026:344968:1 gene:WBGene00065746 transcript:CRE19807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19807 MLEHILKETENAKNFITLQDACESFSKRQMKSFQEIYSLSFIETRASCILTDPRLLKLYYNLSVYQFSTIFYQLQILPDDVVRKELLKSENSNKVDPRFIKWINNTSDKIEDTGKDTPSCSIVIDKSDSISPERKIRKVDHNEIDGDAQKEYVSGPQPGIGKPGVQNPTNSSTESGKSFGTKIEDKPTFMVSTSSQDRSELLNQNKVEIRDSIEAPVVHAPSESNTNTPDFEENITDASRQKEISVDKCIDYSWDLETFLKQRSYEEIIVCGGVDLCLDVHLYDDYKVLQPVQMKVMLTARSDGFQYIKYPPFVILPLKYVKPEDALKRLYDIFQNTIKFTFSPNSVLNDESVYQFLVSTIKYSTMSRLLVWESNAAHRSEMVKEFLSKNKLDALFVPEELADIFQLFQPTNVYWKPALQAKIREKYAEWRTKSKDIPSSLDVFHWIRETWDLIPEETIRESFVGCGLAEEVKRMMAERWKLYTGKTGRQEPCFETFFHRNFLVEDSRESSVVRNDEAGTEPDAESDEEANEDANEESDAEPDAEPDAGFDGEPSLLSLPVSKSS >CRE19805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:334677:337136:1 gene:WBGene00065747 transcript:CRE19805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19805 MTIGVRKIKAEGDIEKKKEGHILVQQKYLENTVKIRSACVLLEGIRCFHFYGRCKQNVKQNYRGCGISETSSASSSSTGAPSVRTTPSGNTTLSQTTEPTETSSTKATQTVPTTRAQESTTGITENCYLVYEFSVTDGFSSTSTRFSSSTSDVVETSTESSSGVPRSFTLSTQTSPLETVTSQSSSTTRAPVTTTVGTSTEQSFSTTESTKPSSSFSTVGSTTTPGKYPNKFRVTXXXXYAFDGTSEKPNFRTFFREYSLTFPTCSNKFSIAIGDSDLNKIFTRPRWFQCTTPGMRPSIQAVPYRYTEAQNVFNMRHVITTDTSAWETVMVRRLMKEFPSFDVFIVLYDDEKVSEYSLKKKQTEIVPSG >CRE19803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:321117:330778:1 gene:WBGene00065748 transcript:CRE19803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19803 MNRSLQFLLFSVFIFVNADLPTGQYVVSWNFSHSLLNKLFEASAVFLYPNGCPTTSTSTTKTSTLSTSAPKTSATTLMSSTRSSTTTEPTSTTGIGSTGNEITSITDLTSTTLVGSTSTDLTSTTSLKTTPSTRTSSISPSTSSSAESSTSESTSTPNFSSTTPSTSGTTTTTADFTSTPGTTSSATVSTTASSSTSIGSSSSSESTTGEQFETTSTTFTTPTSSSTPTPQPATDARTTSRTTSTTTISDTTTPITSENPLSSSTSKDDETATQSTKFTTTECVGSSCQSSTHSATTTTGKFTTTDGTTTSAEKSTSPTSTMDSTMSTPTTTALPTDLTMLTITPKESITSTIESTYITSADTTTSSTTAVPTTTSSTTFTTTSMETTATSSSSSSDSTSTSSTKSTTESATPPVTPTSGISTTTTTTSITPSTTATSSESSSNTPSSSSLSLSGTSSTTEAPTSSSTQSSTTSRDETSLSSPTFSSSEAPTSTSTLTSTETTITSSTASSSPSSTSLSTEYPSTSQTQSSTSSSTVPSSSTSSSSSSSTSETKTTEPSTSSVTSSVTSGTSTLPSTSTETSTETTTSPEVSETATSSTTSTTQEPSTISTESSTTKVCRTYTYRRCRTEEPEIRNTCNTYPESSEIYKDQPVSLSDKNNNIVLGFQRHLFCTKAPFTRMMYVVRGPEGYEKVLDYAEIGTYPAATIETSDATRWLMTKARELGVFAVDAGEQYHFLNATLLCDPAIGNCPTFKFSQMTRFVYYSCHSKVYGAGVAEEMTQARLAALRS >CRE19804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:333058:333678:1 gene:WBGene00065749 transcript:CRE19804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19804 MNRSLQFLLFSVFIFVNADLPTGQYVASAVFLYPNGCPSTSTSTTKTSTFSTSAPKISTTTLFSSTRSSTTPEPISTIVTASTAKGTASTAELTSLTGTTSNDLTSTTSQKSTPATGTSSITPTTSSSVQSSTSESTSIPNFSSTTPSTSGTTTTTADSTSTPGTTSSATASTTPSSSTSIGSSSSSESTS >CRE19868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:300597:305168:-1 gene:WBGene00065750 transcript:CRE19868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19868 MFIKKILTTSRIFESISINFEQLDKEKVKEIFGQIFNGNEFKYRYVRVPNSKNQVFCTTVDENCIEVARKQDKYIYDYLPSTDEPLIPFEDCNDWSKTLANKTIMELLLNHLGFIEIQTLRKVCSNIRTCIDHFKPNRSLTKLGIDMKELWNGEIDIKMEFLDGSYRKTTYAISLPRSTNYFELSESSVFDGRTPDKLPGCTVGGIFLPNRDMFETCLDDLKTIVPRKNFYLEEFEFAFMYNRRAIYRFPQSFAKKKKVLVKLEKLLNPNNVLMKARKFKLFAFEGDLFEMPEPILWLEPISLELIEIHSQKGSNAEMNKLMKLIMDTNQWKYAKEYVMKELAFLEKVNIIHFVHFSKIGQTKIFSIGKRVPTGGDNCDLVCFQFDTKSKLENSNFVSVFCDDLGEILLQQTFAIYHFSIIPEENYICPDSISSQILSTIMTSLSLKSVKVGIAFLRPAIFGFEQVMNLLSHINPKSLRRLILKFSADSCVQEDIENVFSLERWSAFKNLHFDVNLHTVSEKELLVVKKILTTSRIFKCIRIHYEQLDKEKINEILGPPRREHFDASYRYTRVPNSKNLVLHTTLCENILMTYWKPDKEVYNALRTSDEDLIPLKVCNNWSKTLENKTIIELLLDHLGFIEIQTHRKVCSNIRTCIDHFKPNPNLTKLGLSIKRRITYIQMGFKNGSCLETRYSKVQLGCRVGNTLVKQDMYKTCLDDLKTSLSRKKINLEEFEFAFHCNRSDHNEESFSERRWFIAGVRELLTPNNVLMKVRKFIYFANCLKQDRMVEPIQWLEPISLELIETHSDKESDAEMNKVMKLIMDTNQWQYAKEYLMKGFVFLKKVNPIHFVHFSKIDITVENWTNEDFFNWQEVRKLSPTEILYSPSFLRYKISFRNCSIDNDIYNLLGLPYRTVNGRTTWYFKMPEKNLALHVLYYASKSVIFTRVDIEDVPEVIVMNFDVELID >CRE19867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:296698:299750:-1 gene:WBGene00065751 transcript:CRE19867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19867 MVRAFELINHKPSGHLIHRGILIGKNKIVFVLHGYTDDWKFVEYQQEGDNCRSKSMIGVADLLIENSNFVSIFCEDLSEILVEQSIAVFSFNIILEDKSCYSSDTKSQILSAIKTSLSLKNEKLRLYCFRCEIFEIEEVMDVLSYVMPEFRFLNIKFPDYCVPETMERVFVPGRWNKFVDVQISFNFHTLSRKEMTVLKEIITTSPNFERIGIYFENIDEEVLDELFGARDEKLNVSWSSEINFPCGGPQLDYEALADFPLGRDRTVVYRYSRVRDRQDHVLVTTRINENFVDFHRFKHNDLYALIVDCREGDTTPLATNPERLATLDNKTIIELLIDHLGFIDIQRLRKVCSNIRTTIDHFKPIPNLTKLFVFFGCPGIINLKVDFENDSHQGIMYEEQQESVCRVNQSIVKQDLCITCIDDLKTCISRRDFSLDKFRLCINCDFDKHSEGVCMKKKWFLTQLENVLTPRSAPLKVRKFEFGTQCWEEILMYEPIKWLEPITLEFIALETAEESNGRKMNEMLNVVADTNQWKFAKEFAMKKWIIENPFDVMKFIHFEKIDITVENWTNEAFFNWTEVSTPNSEFNSIFNFQEILYSPSFIKYKISFRNCSIDNDIYNLLGLPYRTANGRTTWYFKMPEKNQVLHVIYYASKSIIFTRVDTEDVPEVVVMNFDVQLID >CRE19866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:292038:294534:-1 gene:WBGene00065752 transcript:CRE19866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19866 MVRAHELVNRKTNGSRGQLIFIVAYKIIYTLETNDAREILQYQQEGDNCNVVYGNEISKFQNSNFVSVFCEDFYKILLEQIITMYDLSIAPMGDFACPDSISSQILDTVKTSLSLRSVKLDIERLQLEIFGFEQVMDILSFVNPKQLYSLILRFPASGAEEDFEQLLALERWSEFENLELEVHWHTVSAKEIMCIKKTLTTSRIFGSIKIWYEEIDEEKVKEMLGHSRRELDHYVERYIRVPISTIQVLRTTIECYCHSLRVDRVSDKDIYDSLPASDEDLIPFEVNSDWSKTLENKTIIELLLDHLGFIEIQTLRKVCSNIRTCIDHFKPNPNLKILRIWITSGYVSIKMGFKNGSCLETGYGQVESGCKVGKALVKKDVYQTCLDDLKSILPRKQMNLEEFEFAFHSFRNLFNGEFFKGAIEFIERVKEVLTPNNVLMKVRRFIHLENCVQHNVILEPIKWLEPISLECIQTYMEKDIWHTADGSEANIKMSEVVKLKMDTNQWQYAKEYVNKEFALLGEVNPIHFVHFSKIDITVKNWSNEDFFNWQEEILYSPSFLRYKISFRDCSIDNDIYNLLGLPFRTVNGRTTWYFKMPEKNQVLHVLYYTSQSIVFTRVDIEDVPEVVVMNFDVQLVD >CRE19865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:289232:291541:-1 gene:WBGene00065753 transcript:CRE19865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19865 MIHAFQVFNCEQQGKIDFLSISIQENSIVITLESGDYPQVNIEYSQVERCCLIKCKETGNEKLVENMDFLTVFCDDLSGILIEQNAMMYVFEIHLNVQSIGSQVFACLEMSLNLRNQKIQIREFTLDFYSLEQINSLLALIDPESLAGVSLKLQPSRFVKSLDEIILLEQWKEFKNVQFSSTTNTLPRNELIVIKKTLLTSQVFKLIGIYYEEIDISLLDEIFGHPTQLTNQYGTIRAIRVPDTKRDVLTVTVYPNNCIVFAREKCRPEFKSEQLRKKMFELALNCEGPFLKVLMNPLIMNNLSSYLGFVDIQALRKTSSGIRKCIDYIKPDPEISKLMIKSKNFVRVDVQMSFQDKASPLYIQYEEVDPGCLVNEKLVKQGIFLTLLNDIEANFRHQEAPLEELNLDLYSMRYFHGDGRSQPKDHFLDKIGDFLKPKTRLLRVKKLNVIADGEDDLLKTMKWLEPMALETIEVHSLVSDSWKEKIVVDHKFYELNQWIYAKELVMKDFVVPVPFKFQYFIHFVKLDVLVENVSSGDVYELKEELLYSPSFIKFKIEFQTCSIDNDDLFNLLGPSYNMENDRLASKRKTWYFQMPERDRVLHIVYHVDQKIIIFKKVGLEEIPEDVFFGFEEE >CRE19800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:287404:288997:1 gene:WBGene00065754 transcript:CRE19800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19800 MQVMQTRDASISRVWKSSMAISTDCVDDHFDKDSVSSSDFTTPVRASLFPDSSPPPVLKREMVIHLKEDRQDEAMYLADEYFRDIIKYTMHRQMMDRPSPIFENQVTKEMRAILIDWFNDIVTEYSLKQETLHLACNLVDRVLSILNIDKSQFQLVGTTCLMIAAKYEEVFAPEIKEFSVITDNTYGVDEILQMEKFLLAQLDFLVALPTAAWFAASFGKRMKFTEKMTKTMRYLVDLSLLDVHFLKYRPSDIAAAAACFANVQLGKEAWPKEMVEDTGIVTDDFIDVLKDLHHMYISAPTSEYKSIFNKYCETDEMQVALLFAPKY >CRE19863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:283262:284840:-1 gene:WBGene00065755 transcript:CRE19863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19863 MQVMQARADSISRVWESPMLVSTGYDNIDIDTTSLASSVFKTPVCAPLFSPRDDSEPNVDMKREMVIRKKEDGQDEAMFSCDEYFSDIIKYMMHRQMKERPSPNYESQVTEEMLTILIDWFHEIAKEYSLKQETFHLACNLVHRLLSFLNVDKSQLQLVGTTCLMIATKFEEVFPPEIREFSIITDDTYGVDEILRMEKFILAQLNFDVALPTAAWFAASFGKRMKFNVKMTRTMRYLVDLSLLDVHFLRYRPSDIAAAAACFANVQLGREAWPKEMIQATGIDTDDFIDVLKDLHHMYINAPTLDYKSIFNKYCEKDEMEVALLFAPTY >CRE19797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:277625:278750:1 gene:WBGene00065756 transcript:CRE19797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19797 MTYCSASDQFSYFSSVEFNSLGYHSLGAIAIPFHILGAYCIIFKTPQTMRSVKWPMLSYHILTTLVDVMFGFMVCPYVIAPFSIMLPSGVLQVLGVYGGVQVYVGIMSVEIMAVSMVQIVENRYMILRSGNLRWQKIRIPWFCLNYSFASLATLPMYLEIPKDQGSAKSDIFVRKFHRAYIIQIGCPFTFMVIPFSMILFEYFSMSFNQTVNNIALLMIASYGLSSTIMMLLVHSPYRKFLKEQLSELFGIQKMARNQTVNVIIPSVGSMKSRINLV >CRE19796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:271020:271741:1 gene:WBGene00065757 transcript:CRE19796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19796 MSEQSAPPVITIYEMAFASSNKTDAILVVDGKKLHVNKTLLSCHSDYFNTLFNSDFKEKSMQEIPIEDVNFEDFATVLSLVHPNPIEPTIENSERLLQLADRFLLPAAKRHVELSISCSRISRIRKLELADKYNLEILLEHILMGYSKKEDFNNMYNATIDFTDHTRSKLFQRYFYLADKGKQDRSSNNSSYGGSAWQ >CRE19795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:267515:270311:1 gene:WBGene00065758 transcript:CRE19795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19795 MSETPEISVYESTFAQSDKTDAILVVDGKKLHVNKALLSYHSDYFNTLFNGEFKEKSMPEIPIEDVEFEDFATLLSLVHHNPMELSYGNAENILKLADRFLLPAAKRHVELFIWTTSEFSSLDKLVFADKYNLDTLLQKTLELYATEQKEFYHLDYSPARLLSTELKAKLFDIIKMSETPEMSIYESAFAKSDETDAILVVGQKKLHVNKTLLSHHSDYFKKLFNGDFKERSMPEIPIEDVEFEDFATLLSLVQRNPIVVEDDFEKFENLLKLARSILNASRRNTTWNF >CRE15852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2385:2537:3430:1 gene:WBGene00065759 transcript:CRE15852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15852 MPDDTQESDPEGELLSPLAKKSRGGQKRKFGAGTAKTSQTIPARLKSLESTVITLKLLIEEQRKTITEQAKTIQEIRGMTKLTGIDSNEFPPLSNSLTRKVVPKQCALYNEVAKRNPKVVEVSNRLDLVTDIIQFNKKSCTAVIENLPDSKEESQIVQDKSFISKFALDCALPIPVEIFRVKCKNESSVSRPTKVRFGSQVERDDFLKGFYGSWVNYSGRKVGPRPVRARRDMTREELNVLFAIRKEVYDANAKAGIIKFAVHDLKIVELKTPRPLPQAKPLPPASSTTPAATASHA >CRE19789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:251862:253199:1 gene:WBGene00065760 transcript:CRE19789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19789 MISRMDIKSEDVIIDEIDFTTMPGTNTAVLGSKFVKVPLRNAYQAEGPWEFSLTNHSRNYLDLKRTYLVFTFDITDTAGNFVQMDSKLLETSMSYAPINNIAGSIIKTVTLHINSQLVYGNSTNHAYKSYFENLLNYSQDIKDSTLSAAGFYHDTAVEEFTSLGYQKRCLMVFNPKPVQVATNISIDLMNQSRLLLNSCKATLTVYPNTSDFLIEGHNLGTKKLKLNIRDVYALVHEYELTDGLSNELEAALIEHKLIQYPMISTQVRSFYIGPSRLDAPANTLFTSKMPRRIFLGLVSAEAYNGSQKTSPFNFKPYGLKNVHIDYCGKTVPGRPLELDFENNKFIEAFIQMQEAIGHSRNNSTCNSINTQMFKEKGFTIYGFELSPVALDNSLFEMVVQTNVSIRLDFKEKTPENGLYCVVVAEFDALMGLDTLRNPILDATAH >CRE19788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:249363:250418:1 gene:WBGene00065761 transcript:CRE19788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19788 MEAAIKDAWTNEKHPCAFTSVASVYKFVKRRFPRCKLEHVEKTLQDLESFTLHRPNVSRFPRRATRSIGMYCDLQADLADMSKYKSKNDGVTFLLNVIDIYSRRLFVKPLQSKHGIGVAKAFQEIFNEMSTPPLTVYSDDGKEFYNANVKRLFDKLHIKLASPKSEIKCAVIERANRTLKTRLAKYMTEKYNQRYIDVLPKIVKGINNSFNRGIGAIPNQVEQGDFPIPIPNKSSAKIKFSVGDHVRIAAKKAHFDKGYEQGWTTEVYVVKQVLAGHPVTYRLVDTNGEPIDGIFYTREMTKCTYAADAVYRVETVLRTEMRNGRRQHFVKWDGYDSSHNSWIDANALLNL >CRE19859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:243269:246066:-1 gene:WBGene00065762 transcript:CRE19859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19859 MMENFYITLPSSVEDPQTRNTSSQYVTRLPQVLNLERDKWSVALSDIIYPYSYVNVGQPLSFWVHFKDAPPVHVTFPSAQYSKIDEIINVLNGDLVFRRKRSAAQAGMSTMEKVIKVRKEKQEEEKKLGQVGKDAVTVAEKNLKKHADVREEAEKEEAEKQKAAQARIDAVIKLRQEAQLEKRIEKNETIQNQAEQDAVAESIAEVEAQKRIDAAMRAKQGTQALVPVPVPVPQGPQGTQAPAPVPQGPQAPAPAPAPPPAPAPAPAPAPAPAPAPAPAPAPVPVPVPAPQGPQAPAPAPAPAPAPAPVPAPAPAPAPAQAPAPAPAPAPAQAPAPAPAPAPAPAPAPAPAPAPAQAPAQAPAPVPQGPEATKEPQPLQGPKKGAETPIIVQVTLTEQAKDGRGGATTGTPIIPKDDLTEDEKVAQSRIDAAKKAREEAENAAQARIDAAKKAREETEKARQARIDAAKKVREEAENAAQARIDAAKKVEKEANDDLVNTFANIRESNKQDSENWLNYNNIKMLVFNRSEKDSEYQESKDSLAKFRNASFIESQKNARGFVHFNKVDERKVGIDFTDDVLFVEFDKPCAYFLGFTDNIVRRSGKAQKNVDFYGNVSALYLYCDCVEPNFIGNELAPLLSIIPCSGKYGDMIRHTITHPRYYPIMSSTIDSIRIRLLSEFAEPIDFNWGSKLSFVLPNAVVIGRMSSTLDISPKQMEKRLCELAYHAQLFREWALKTQRDKGRDLSKMTKIAHVLKSIEFGRLVFQKEERLNSTVVSQRFENLSDEYAILHIRAGAVLKDCGILFKRPSEIPCEDGYTSFLQHYTSRWRTFVDDEQFVVIDDKGETIPLDEVFIVNATKFKRLRLDAAKRREEERPSAVDNVQELIDCLNLLHLS >CRE19784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:241237:241997:1 gene:WBGene00065763 transcript:CRE19784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19784 MGDNIPINVYESMFAKSESTDVCLIIKEDESQPAKKSRKLTASSDVTTSPVLTRKMYVNRATLSKRSTVFEGMFAASPDSTEFTINDAKYDELAAVLSVTEPTPIDPTEENVEGILRLADRFMLLDATRYVESFISRSGWDEKKKNELSKKFNLKNLSQRRD >CRE19780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:223258:225107:1 gene:WBGene00065764 transcript:CRE19780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19780 MSATPAMSIYESTFAQSDKTDAVLVVEPKEENDDDSDEDYLAPELYEPSIKVMRWSSYGRAQQPAVAPRTSRVQPTKLYVNKALLSYHSDYFKEVFDANPKNNEVKIEEVTYKELATLLSLIQDNPIKFEQKDAEKLIILADRFQLPAAKRHVELFLIASSLYYSAKIQIANNYDLDELLKAALLEITHINHIPDENTLKNYSEETNLKIFNRCLELLLSYHSAHFNSLFNSDFKEKKMREIPINDVDLHEFATLLSLVQKNPMVPTGIFLRKSQIKKPLISENNVENLLKLADRFLIPSVKRHLELFLISTKKDRLEKILIAEKYQLEDLMEREIEKYQRPKDFKNIEESRNFSQISNATKIKLLYRLSAVKHNR >CRE19856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:234103:239129:-1 gene:WBGene00065765 transcript:CRE19856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19856 MYPLQLTSSTCVYENAFAQSDKTDAILVVEGKKLYVNKALLSYYSDYFKTLFDTDSGKKSPPEFTIPKVNFENFAKVLSLVQDDSIKINEGEDFQLLLELADRFQLPLSKRVLELVLIKFSKHENIFSQSDKTDAILNFDGKFLHVNKTLLSYHSAYFKSLFNGERKSGIDLEGANFEDFATLLSLVQNNPFGLTEGNAENVLMLVNRFLMPSAKRHVELFLILSSKNGLEKIRIADKYKLNELLEEGIKMLNSEEDFYYFPTDSTFQQFSDETKQKMFFRGIHHLVGDHEGAKKAIVSATRTTGVMAGGALGFLIAGPPGAVTVGMATGATLDGVATLASSQRENKYTSDRNWFIFLYILFLFRPEGSFAVWQNAIDHPSGGAVFDAFAGPVSDGLAGYQGGKIAGAFENAASANAAKAAEFRVKADRAMGIAEQMRETPGATSGQVLEQCNVAMGLDKQANLFENAGRPPPPVLDPKASGASSGPNVYTYMPEYVPESSSRPNVYPPEVPEDHRQRKRFRSSEETIDVHPGRQQYQRTEETTSTSTSIPTSEPPTFNFSANEQFLKELQKVITAFKSFDSLNRYDILVIESKVNQFLKGKGAQVIQTAKIFTKVMLKECEKQYFKTQHIVSVLYGKSTADKFGKNNPLQGLQVLLRHQSESMGALHILLSHPQDMLDILPGLDISLFPPHIRQFLVKDLANLSNGKKRSVLTETQKLAMVEAAKQYYIANPLERRKMMANELLLVAYLRVHMNPANFFVHQFFPVSSNGQQFRCVFPCIIKFHYRQFRVVFNMPTISGNQRRLSICLSCEQDGTSKAIIYKSPGKDGVDCDCYEIITSFLV >CRE19782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:227476:232049:1 gene:WBGene00065766 transcript:CRE19782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19782 MSEQPVTCIFEETFPQSDKTDAILIVEKITTVPGPTEAKIAKTSAESDVTSEQIPTAKFHINKALLSYHSDYFKTLFASDTGEKSPPEFVIKDVNWTAFGTVLSYIHKDPLNFNTGEAEELLELADRFQLTAAKRFMEFQLMMSIEFKQTKIQIADKFQLNELFNHTQLDSNLDYLQGSYDVFFLRDTYSPHRTFFKSLSDESNVKLFHQLIKVMHGSCKASTKYELQNTYESRFTQSDKTDAILIIGDKKLHVNKALLSHHSDYFDTLFNSEFKEKLMAEIPIEDVKFEVFAALLSLVHRYPIFPTENNVENLLELADRFLLLPSVKRTLEQFLISTKIDSPNKLRIADKYKLDELLSNAINSFRDFSSCNDLTMLPFYQSISDETKTKMSETPASYESTFAQSYKTDAVLVVGEKKLHVNRALLSYHSDYFKTLFDTDSGEKSPPEFSIPPVDFEPFATVLSIIQCNPTEIDKCDTGNLLEVAERCIYNWRNSIWNSISLSVTLLLLQKWDTLNIIIRPSYEASLRNRSAMDPYIIIEIPKFPHIQSDKTDAILVVEGKKLHVNKALLSYHSDYFNVLFNSKFIEKSMKEIPINDVNSEDFTALLSLVQDNPIWPKENNAEILLELADRFLLLPSVKHTLELFIISSKIDGPHKLRIAHKYQMDQLVNKAIESFVDFKCFEKLAELSFYQSLSYDTKVKMSEAPGICIYESTFAQCDKTDAVLVVGEKKLHVNRALLSYHSDYFKTLFDTDSTEKSPPEFPIPDVNFEHFATVLSFVQNNPIKFKGKSSYFSLSISSKISECETEKLLELAEQFHLQLSKFQLELYLIGSGLMETDKIRIADKFKLNELFNLSLPTSRNDYMNKGQGPFKSFPNFSLFKTLSNRTNARLFHQLIKIKEGSCGGSTIYEPQNTSDIYERTFAKSDKTDAILVVAGKKLHVNKTVLSYHSTYFNTLFNGEFKEKSMQEIAIEDVEFEDFVTLLSLIQDNPIFPTEKNAENLLELADRFLLLPSVKHLLELFIISSKIDDFNKIRLADKYKLDQLLTKQLEYFNSFSICNTLTNCSFYENISDDTKVKLFYHMLKYA >CRE19781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:225413:226729:1 gene:WBGene00065767 transcript:CRE19781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19781 MSGTPTISIYESTFAKSDKTDAILFVDEKKLHVNRALLSYHSDYFETLFNTDSEEKSPPEFPIPDVNFEHFATVLSLVQNNPIENNDNDFENLLELTERFQLSAAKRYLEFQLIFTSSIPKKSKIEIADKFKLHELFNNSLLDSKNDYSKITPPSRGNFHTSSSSPRYTYFKTLSNESNIKLFHQLVKIMHGSCVKSAQYELENTYESAFAESDKTDAILAVGEKKLHVNKAPSAGPIEDVKFEVFAALLSLVHRYPIFPTENNVENLLELADRFLLLPSVKRTLEQFLISTKIDSPNKLRIADKYKLDELLSNAINSFRDFSSCNDLTMLPFYQSISDETKVKLFHHMLFYVRE >CRE19778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:219534:220657:1 gene:WBGene00065768 transcript:CRE19778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19778 MSVYESTFAQSDKTDAILVVKPEEERCSDSDEEYASPAQKYIRRGLARQRFPAYRPSPIRTTKKLHVNKALLSHHSDYFKELFATDSGEKSPPEFQIEDVNLEDFATLLSLVHESPITFQESAAERLLKLAYRFQLPAAKHHVELFLMASSSFSRDSKFQLADKYNLETLLKSSISNISSVWACPKESTLKGLSDKTNVRIFHRLIDLE >CRE19777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:216453:217083:1 gene:WBGene00065769 transcript:CRE19777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19777 MSAAPEMSIYESTFAQSDKTDAILVVEGKKLHVNKTLLSCHSDYFNSLFNGEFKEKSMSEIEIEDVKFEDFATLLSLIHPKPIKPSAKKADKILELAERFLLPAATNYMELFLLSTNEDAYYKLILGDKYGLNVLIKHALSLYTNRVQIFAYSNALTNVSDATKARLYEKLVDLKR >CRE19776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:215577:216207:1 gene:WBGene00065770 transcript:CRE19776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19776 MSATPAVSIYESTFAPTDKTDAILVVEGKKLHVNKTLLSCHSDYFNSLFNGDFKEKSMTEIQIKDVDFEDFATLLSLIHPKQITPREVRAANILGLAERFLLPAATNYMELFLISTNMRKMDKMRIADKYRLNLLIKHALSLYISRTEIFSNSREFTNFSETTKAKLYEKLADLKC >CRE19775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:214560:215179:1 gene:WBGene00065771 transcript:CRE19775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19775 MESLFEPSDMTDAILVVEGKKLHVNKALLSYHSDYFKNLFSGNTRKKEFPIGGVTYTLFSTLLSFVHSKPIVPDESSIYSLLMLADQFLIPAAKRHIELILISSIPRNEKLAQYAFQYRLNELTEIMLKQFYQQRDHKVFTNVRRSDWFKKLPDKDQVEVMLMYLKIVRARA >CRE19773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:212752:213390:1 gene:WBGene00065772 transcript:CRE19773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19773 MSQQTATSGVYELKFALSDKTDAILVVDEKKLHVNKTVLSCHSEFFNTLFNGEFKEKSMTEIPIEDIDFEDFATLLSLVHPNPIKPKEENAEKLLELADRFMLPAAKFTLELFLIATPLTTMQKIQLADKYKLDGLLNQAVASLALNEICGIRSKLDFKRISDNTKAVLFVRMLDIMGY >CRE19771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:210147:211097:1 gene:WBGene00065773 transcript:CRE19771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19771 MTDIDPNSPTNLRALMLYDISQRKTMQESIESHRVLCEHLGKQGISYDEYELCFNRCLNENYHSTIAKRDLTIPDIYVCILSDVINGKLAEKSIDDLCNAFKYHKIDKEDHLYWFKRFENGHLFSPVLLFPNDVLFEIAERCDLKTYLKLRKVSSGLRNIVDRLKPPYKNIEIRIYPYLITLRLNDVSLEYSHQQGPEVAFEELKFALMNPKLQLETLRVAWYSSSPFCNKVVGKYTTMFDDLLNSLNHKIHVEHCSINAERDERMMSVSRSITVTMRKQQY >CRE19769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:207266:207895:1 gene:WBGene00065774 transcript:CRE19769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19769 MSATPEISIYESTFAKSDKTDAILVVRGKKLHVNKGVLSYHSEYFDDLFNGEFKEKSMQEIPINDVKFEDFAATLSLLQHNPIKPTGENAERLLTIADRFLLPVVKPSVELVLITSDKDKLEKIRIADEFKLYDLLNHAAMLYTSYNQFNGFKKTWAYRRLSDNTKAFLFNRLLTILGCDK >CRE19767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:204617:205242:1 gene:WBGene00065775 transcript:CRE19767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19767 MSGTSIYESAFAQSDKTDAILVVEGKKLHVNKAHLSVHSDYFNTLFNGEFKEKSMPEIPIEDVDFEDFATLLSLVHPNPIKPAAPDCEKLLEYADRFMLPGVKPYIELVLLRSQIHCSSKILIGGRYGLDDLLDNGISSVKVSNWDYNDVISSDDFKELPEATQLKITLKIIKIANC >CRE19765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:200636:203077:1 gene:WBGene00065776 transcript:CRE19765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19765 MPEREERTQMSLSGENPEDKVNLRTPGEIESFRERCFNHMQAVEDKTRKSTTEALTSSLENSQHDPELNLTTAVNSSKSQTLLNLPAKVDSVETSDPTEKEKVNPTSMPPAPAHRACWTVPKSPVSNRECPAPIIEIDEQGTSGSSQFVRGGSVTFIMSKRAERTQKSQSVSENRNEEQKKQYQNIMNKAALQTPEESFRGRYLNHLQAVEENKRKSTTEASTASLESSRSALNLSTDMEQSAVTTLADFTEPIPDEKIEQLMRMGAVQIPGFSYIVQLRNNGNKVDATNDEGGPSELAHQEHVPEFMTDPTSEPSAPAPLAYWTVPKDPVPSIGLQFHNSKECEQLLPAVSIDEQGTSGSSQFVRGGSVMLRDPEKEARKKKSQSFCENMTEEQKKEYKDTMKFARNWLLNHMQAEEENKRKSTTEALTSFLENSQSALERNLPTMDNSSKITKPLAVANLAEPVPAKVDPVETSAPTEKETLTNVETLLETADRLQLAAAKPHLEQFIIGTDIDRFEKIRIGEKHQLENLLNKGIMEFNGDDVLSQMELNPSYKTISDKVKFQILSRVLRMKE >CRE19762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:189501:193177:1 gene:WBGene00065777 transcript:CRE19762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19762 MTTPELSKFLEPEEKESVLKAISENEKAMASGVCNVLTNNNGDWVNSKPGTAVALFLKNSVERYYRILVVEPSCEAEKVAEIQLNFRIKGIIIFTKMPAKLLVFKNDNGEDIGLQFYSTKECEQFHESISNRRSGSSQLTHSESARLKKLKTAEREQKSRSFWENMTDEQKIEIVDTMDKFEFQTPEDERFAWERLLEIKQTEEENKRKSATEATTSSLENSKSALKLNLPTADNSSKVTEMKQNAVPNFAELVPAEVDSVETSDLTEREKKMKRIGAVRIPVVPNNVRLKINNSKKNVPSVEGRPSESAHQEHVPELMPDVTSTPPVPAHRACWAVPKDPVPDNGLPFHNLKEREQLQSAANIDEQETSGISQLKRGGSVKLRESENEARKQKSQSFCEMNMPEEQKKEYQDNVVKQLLLNHKQDEEENKRKSTTEELKSSLENSQSAMKLNLLIAYNLSKITEQSVVTNLAEPVPVEVDPVETSDPTENEKVSILSYYWCKFFPKFQKRMGFVQTSGSPNIGELRDNGKKIDGTSDEGRPSELAHQEHVPEPMPDPTFEPAVSAPRACWTVPNDPAPESPLESPQLEEPEPVVETEYEKYFAETEGTDAILIVKGKKLHVNKKFLSHRSEYFSRLFISIGKDYPTELVEHEDLAILLSLLMPESFSLIGTNAETLLELADRFKLAAAKPDLEQFIIGTDMDRFEKIRIGEKHQLENLLNNGIMEFNGEDVFSQMELNPSYKTISDRVKVQILSRVLRMKK >CRE19854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:186991:188067:-1 gene:WBGene00065778 transcript:CRE19854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19854 MDNVTEAFTSANNETDTGLEPKKFIPNHYLYTASLLLFFIIFPFYEYVYLKNREKEKDTIVYPVINHFYNVLRFSYKLFVFYLLMIVPLVIVLLLSTRHKSFNKPAMIMIGIMLVCMLLLRNSMRTNIFLLCLLSFQKFMVLFYPNTEKYVALNEKGVKRFIWTTYALVLISWVMQIFQGPNLIVIYFVATDIMIITSASLYIPIYRMIKKQKHLSSAQFHKPHRYVMWQLIAILLCKLVQLPIILQAVDITDAMVNCENNEIATTFLTIQLAYLGCCKRNLESLKSFLLEKWWIRLLLCKCMNSNRVAPIERPINNLEVLQRF >CRE19852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:182697:183781:-1 gene:WBGene00065779 transcript:CRE19852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19852 MDNVTDFFTSVNNETVSEEERDKRAVSTWVFFVALLLFFIIFPFYEYVYLKNREKEKDTIVYPVINHFYNILRLMYKGFCLFMLMIVLLVIIIPYLKDNKVLNLGIFICFAFASLQFLLGNFIRTNNFLLCLLSFQRFMVLFFPSTEKYVIYNENELKRIIWWTYANFLIPLTMKGFLVPNVDVVSGFIATICFVASDIMIITSASLYIPIYRMIKKQKHLYSAQFNKPHRYVMWQLIVILLCRLVIELPFILLSDNIERAMNSSDYIEIVTTCLTIQLAYLGCCKRNLESLKSFLLEKWDSVSTL >CRE19759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:177863:180262:1 gene:WBGene00065780 transcript:CRE19759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19759 MDGLFKDETWIGNNVVCLYCGSFALVISLLATQFYYRYVVFCRPEMMNSLNGWKLVRLFIPSMICVIIYYTIVKFGMAMTTQKKFFLKQPLELNYHVDSEKVMFFGPMYWGIGENGDRKWNIEDLLSAVGCFAIIVICMSTIIYCATKIYLQLKSKSDHFSAKTIELNRQLFITLVFQTLLPFVMMYSPVGLIITFPFFEVPVGRAANFVGASLSIYPCLEPLIAMICIKDFRKVWF >CRE19851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:173823:175694:-1 gene:WBGene00065781 transcript:CRE19851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-11.2 description:CRE-RAB-11.2 protein [Source:UniProtKB/TrEMBL;Acc:E3MTN4] MNNPRDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNQFDLETKSTIGVEFATRSMMIEGKKIKATIWDTAGQERYRAITSAYYRGTLGALVVYDIAKHQTFENIERWLKELRNHADQQDISIMLVGNKTDLRHLRAVPTDEAAVFAERNQMSFIETSALDSTNVEEALRNLLTAIYKSVSTKYGVNEKPVYGGLGTVMPSVPTTEQNSSWTLTLFKHYLRISRKFLSTVRDYWSTRVWLTLHYSIFFKEQRTCINLSQEKNGIMSVITELCKNEILANFENLETFVGLRKELYSSPELAFSMGRDKKKEMWHMKLFSKVEKLTGQVTRKARPSKISAGPKFDKSVI >CRE19758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:171081:173767:1 gene:WBGene00065782 transcript:CRE19758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19758 MRFIFQLFLHFLIPILVDSYIISAGTVVYCLPQTNKECKSEMERKDAVLKNIGYGYPPLTSVLKNYTEQCQNVMKCASGLECFKEKTKQHIYETSCDNVGKSKYWFDYECMAPFLMRVFDGSHNCTKDIIMGDLTTGNFKSEKQCFMKIIQEVCDSTYSDFFQKNYEDIVDSYTTKPAVDEFCASPNDKFNRMQFDITFKKLFEGVRQLSLLDMVNQSSSKVQSSLELSRNVQKCMNRLCFFYENEKNETKLFTKVFQALPVDMAKIFKERPRLLEYNCMVNMTLGKFLGEQLPCAATGNKNNCSLSIITHFCQEEILADFGNLTVSMEPRKEMYNTPEFEFSMLRDKMNRKKFVFIKCASGLDCFKGKSEKEVYEKSCDNVGTNKYEFDYQCMIGLIMEVFDGSHNCTKDIIRGNITTENFKTHKQCFLKIFQDGVQPISCDFFQKNYEEIVELYTTRPAVDNGFCASPNDKFNRMQCDYFYDKLFQKLDETKLLSVSNRSDPMVKEILELSYYYQKCTDKLCLVDEYEKEQSNILDKVLQKLPESLSEIFKERPKLLEYSCMVNLTLLTFISDQCYGVKKINNCNLSIITKFCEEDILADFRNLTVSMEPRKELYNTLEFQFLMFKDKKNRKKFVFTVKDDKLN >CRE19757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:169347:170583:1 gene:WBGene00065783 transcript:CRE19757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19757 MRFIFQLFLHFLIPILVDSYITDAGSPIYCLDETNKACQSERKKKNEYLQTIPSTFPPLTHVLNEYSERCQNVVKCASKLECFKGKTDRDVFETSCDDVGTNQYMFDNNCMVYFLREVFNGRHNCTEGLAPGNLTSQVFKYEKQCFLKIVETICHPEYFNFFQKNYEDVVESYTTKPAVDDGFCASPNDKFERLQCDTYALDLRSIMMNMTILSIANQSSSEIVLEVVRNMQKCTDNICLLLYKEEKNKTKEHIHLFEKFITNLTEVFMRRPRLLEFKCLENILFIDVFDDFGYCKKIEKNCLMPIISKMCQEEILADFENLEVSVEPRKEVYNTPEFDFAMFRDNKKKRKFVFTVRDDKLA >CRE19850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:167272:168141:-1 gene:WBGene00065785 transcript:CRE19850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19850 MIEQTDVIHAFLKLKSIKILHSIPHLHIAAYADDIKIYSHLPSSLQAGIDLVSNWAASNDLPLAHSKTSLLRLGALNPHYQFHIVGSPIMVSISVRDLGILFEPDLKFSAHIKKSVSLARLRSSQILKSFKSNNPAFYSFLFKTYVLPILEYASVIFCLAPSSSLSRLLESTLRTYSRKTLQRCNIAFSSYSHRLELLSIYSKRHRRLKAQLLLLYKFIAGASHFPNLNSFIRLSSSPRRPMTLIYLSPLSDNFFSFILPIWNAINANVSSFLSPSQFEHLLDSAITRF >CRE19756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:164327:165576:1 gene:WBGene00065786 transcript:CRE19756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19756 MQFIFNLFLFFLIPILVDSIISRGDDPILCVTETNNGCKTQLEKKNSLLNNIPSNFPPLSSVLKNYTEQCQNVMKCASGLECFKGKSERDVFKTSCDDVGSRRYTFDYKCMAPFLRKLFDGGQNCTGDIIVGNLSTQIFKTQKQCFLNIAKSVCDTENFDFFQKNYDEVMELYTTRPAVDDEFCASPNDKFNKMQCEYLTGEFSQKTTTIPFLSITNRSNSEGQELFEMIKQTQNCLDNSCLDLSINVENNTRIFTDTFQASPTNLTKIFKLRPRLLEYSCLANITIYTFFREVSLCTMFYKKEDCVMSTIIKFCQEEILADFKNLEVSVGPRKEVYNSPDFEFAMFRDNKKKRRFVFTMKDDKLIE >CRE19755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:162236:163471:1 gene:WBGene00065787 transcript:CRE19755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19755 MQLIFNLFISLLITNLVDSYIRRDDDPEICIAETDNGCRAQLEKKNAMYYKIPTNCVLKNYTEQCQNVMKCASGLECFKGKSEKEVFLTSCDEVGARRYTFDSTCMAPFLKKLFDGGHNCTGDIIVGNLSSQIFKTQKLCFLNIVKNVCDTENFNFFQKNYDELMELYTTKPAVDDGFCASPNDKFNKMQCEYLVGEFRSKTMTIPFLSITNQSNAAVQEVFELIKQTQNCLDNVCLFQRVNEKTSSRMFAATLQSSLTNLTKIFKLRPRLLEYSCLTKITINEFFSEVSICTMFDKKDNCIMPTITKFCQEEILADFGNLEVSVGPRKEVYNTPDFEFAMFRDNKKKRRFVFTMKDDKLI >CRE19849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:158528:159969:-1 gene:WBGene00065788 transcript:CRE19849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19849 MSRRPGKKNEVAKGIVNSRYPPLKNAWKNYTERYQKYLDCASEQDCYKGKLNESIFENARDYIGTKTYPFDHNCLRLVLEKVYDGGYECTHQFGDDLRNLSAAHTIFKNAKLCFLKIAQDTCEPKYFQFFQQNYDDLMELYTDQPVNNVCTNPSDKFYKMQCESLAAGVKAKAAEIPFLNATSSDVKEIVSLCRECQTCACDGCLFDQDPGRRAGVKRMCDDFETLPANLTLILDKRPKLLEYKCLANMTYLDFLGKQMFCIMGQKDNCALFVVSKMCQQEVMADFEDL >CRE19754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:154744:156859:1 gene:WBGene00065789 transcript:CRE19754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19754 MYKLLFHLLIFSSVPYLIDADDNSSTVPPTVNQTASTQNSEATGSTTVSPTVNCTTVPTTPGKWYASSQVLYLNKLVAGSKKVMSDIEPGSQLYLASNDDIENLRNISISSGTVNITLDELYDLDSNGKPKNFTITDTLTIFVQDANLNMTNLTGVYYITTKEQAQDPTFHVYVIKTKHNITSSDDSNGTFIILNTQLINFHKEDSDAPQKNSFVSGIVQPETSVLWFHWGLPSATNGGEANRFFANPVKLGTESRFFTHIEPLQVPLDYWHFRSFGKFDLTIENRYQRSQVYTTTGIDTTGIVINNGKYFEHNVKFLNMSIKSRTSGAVISTFPSSKDFINFTFSDNFGASNSASFNNESKETHSILSTTIQATKLTISSTSYTPGKFYCQYYGYSGDLLPTTQGTTVKTTQGSTTVVADKSTVSTTPGSTVTTVTTTTSATTTIGTTTAGGSGQFSIFVWFLVFSLFSVQE >CRE19847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:152324:154178:-1 gene:WBGene00065790 transcript:CRE19847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19847 MKSIPILAILCMVHQCLGWDQIGVMALRNIFPYAKFENVKRLRFQINRQNSTQNAKRVMNAWIDETWPVPQSTTESPSTSSESPFIGLFANTSHPKDSGDDNFNVDDSSEGSGNIDDTPHFGDQINEETDSWEATTTLPVDSGDDFYYDDTNDTVTDDDNSWWTTTSITLKPLKFKRSVDGDTVTRNWEDAWFKNDVMMTTPHLWKNRCLYDKEFARSQGLAFLDHRDTLKMYIRYIFRIGQEQKLLTKEEAEKMRDIFWRNDRLYNHNFPRVELGFLKEVTEDHVWKQSDYVIYRNLKMYAAHWYKFPPIAEKLNWVLEEDSWSCRYQHYKMEHNY >CRE19846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:151390:152154:-1 gene:WBGene00065791 transcript:CRE19846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19846 MSLDNTSITFDVEYLIKYPDLMLSIFGLVTNLIHLFVLSLKFSAIPNFIFLTFICGTDLLQLVTSGIVQVWAVLTYIEHKNCIGYMNHVDVGFKFLSLWSQCFSITAAHWIIAVMAVTVIRKKEISWHGARRYAIFTLAASALYSSIFILNAVILFQYLPYAPCSADNHAQHYLKENDNWLTKSMNLMEYIELRLELLWHFGCLVLLLMFVVKKCKKKYSENGTVLLLLSFLAPNILSCAPYFFFTNGDDYPTQ >CRE19753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:138377:146216:1 gene:WBGene00065792 transcript:CRE19753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19753 MDVQVGLIDFLGVWFETYSKKGPSAMRNKVSRKPPPKVTENQPVTTSPPKIVVPAKAQKTETVIKEEPRESEPEMVKKELSEDLKWTDLAIPAETDTQKALRAVAGHVPKFNEGNTAALRRWLVEHRCALHNLNIKQEAGAKIMPFFLEGLAKSRFNQLNQDQTNTWNKLVENLIAAFEVPGDRELAQQEITTLKQGRLSIAEYARKLKTLGEYAYESIPETVREGLLVNHFMHHAASHIRRKLLRMENTPKTLEKMIRKAERFQRLHDLEEEEKEDELIAAMTQLMRNREESPDELDSRSSYSPSPPPSREEEWDWPQRQNSRQERPREVSYRNSRGEGNAAKRLLAYLTVAMMLAVPAWAGKPQICGFQQGGNMFVPPSILPCESPRTSIVSTRADLFELRTDPMRQIAHACYKQVFKVSTFSIFRIYSTAQMTDRESNTFQQVRTQECREAIRSKQYAGKDMTEGPKGVYRSASLGENAENYTVWFGGKEVEHDEFTIVVGEVASFDGDATISTLGDTPKCSYSSGNCKTAESTIVWTESSPYRACKYQKMISVDAFITDKHIAVPELQMYSTISQDMRFTQLESKGCLAGNVYFTDDGKMLSFPELPSDLWIPDYVRMKQEHHRQKRVAYLKLGGPNNTTISISLGEKFATPLIYKYFKVDALEKIPQFDTDPITNPAILADIKAFGVTNELLRDRSKKYDQERRNSLGSQLIVLKCIRIRQYWYREFTRIQKLSRERTEKDAELLTAMEEELVNVFDELLDLEFGKSKRNPGNFLEGYRVPRVDESKVQSMEHIEPYVEAPYQPPPPSTSTTTTTTTTTTTTTTMKPRTVPPAATTKSTPTSTTTQAPTTTRRSVVIPPTIITTEKPIPYYEDIVPPENRNVYFEKPHSNPFHSPAKDIFMQTCANQQEPTILFLTLLNIDPTAAIRQLFKRTDIVARKAGQGLLISQCRPVEPEEVYWNRRINDTCFDLVPMLVEGKIWFLLEGTDDLVADSGSVECKRPMITGKIHVEGETWKNEQGTEMWVQTLNRPIRRTASQFLFQAPAVIGNDLLGPGTSSAANEELNKIYRRRVNNITFRLLEDNIVKAKDYITTKVKIHKDKVANTLDELWNSTGKKVFSAIKHVILMFGCSFWNREAGEPKVDDQRLHSLVIPQLW >CRE19844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:147544:148891:-1 gene:WBGene00065793 transcript:CRE19844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19844 MSLDNISIYFDVEYLIKYLDLIFSIFGLVTNLIHLFVLSLKVSTIPNFIFLTLICGTDLLTLVTSGINQIWAVLTYIEHKNCIGYMDNVDAGFKFLSVWSQYISASAAPWITVVMAVTVIRNKKISWHDARRYAIFQKPYSSLTESLVSCEYRELVAARFLSSGNVRIINLSWILYLIFLEELDLEENKFRDRLIQLFLSQ >CRE19752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:132730:135397:1 gene:WBGene00065794 transcript:CRE19752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19752 MPFVHDHIQRVDKNHATGINFSFNDREAHGRIFRFPNWTEPHYKHVGGSAGVSTVVTFSSLEYLVDDYFISVVCEDVEAFVIHQLKNLTHFSLNTLETDGDQKMLETIINRMCRCLDNSLQSRTQKLKVEKFSLSVLEINQAVSAVNLLDREILRMVTVHLPFEDQVFTAYDFIPLIEGQGRQRLDLKINLHKFSVEVLEEVRKLLTSTSKQLNSITINYKTIKCIELIVEAEHSSDRNTVKFSIDHADDPIEEMTMLNLSDNKCHLNILEVPSIMQSISSHLECPEIESLRKVSRGIRQCVDYIKPDPHILVYFMLLKNSSSVVIEMMNGKIEAHYKGSLEQEAVRIVNDFDLNTRHQKSRMNWLYIGMDEEIWKLKEKDDSVRTKVFKLLRDVLASRTSPLKAKTLTFVFHWQCLMMDVLPYFDAEILENIHIHRIEGIDEKCVIELDEISKTEQWSKAIRLRIYDLTVRMSIQDLNIVNFKRIDIILKTMTPEDITYCRKSLPQSPIFLEFKIRIKNCSTADFLATLGEPYRIVNNIKYIWYFRIENTQHYLHVVFEQVPLQIEGRLQFAKIHQNKTPFF >CRE19750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:127177:130031:1 gene:WBGene00065795 transcript:CRE19750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19750 MNFTVVRPKSRYHPYSSKNYVSTLGDRVYGSSKLSRPLCESLSWSKRCETPAKSEWNWDEIKLTSAQEQVFDKMVDHLKSGSQFFDFLSGLAGCGKSVVLGKFANHVRCHYGYKESCAITAYTGMAAQNVQGVTLHSLLRIFPGQKPFTPLSRDTTNQLFNALSRLRVLIIDEISLISALFLAQIDHRLQEIFGSDNPFGGVSVVVFGDFLQLPPVTSSRVPEHVFSCVPGEYRAVRATVTPKSPSRLWGLFNMLELEENIRAKDREEARVLAAIRLGECTETISGFLHHKCRMDGGMPEDIYREIRLLENEDPDKVFMVLAKTCAMVKALNEWVFNHSKKRIVLEPSTESSLTTTGFSLRGERCSLKLVVGKRVMVTHNLPLEGLANGVMARLVRNSREYLVLERLDNQKTVYLDRKLFTDGQRYWHQFPVVMAEAITVHKSQGMTFDGVVIVTEGMNRWSGGIFYTALSRARSLGTSRIVDVGTVQWSACRLALAELERMQRRSSV >CRE19843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:119787:125017:-1 gene:WBGene00065796 transcript:CRE19843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19843 MSSYANDEITVLVREMVDTTVEQEEMRRNEEPEEEDVVMTHTQAADNQFGGASMKVLRMWKETPPEAYAKNFEFVKLEVRLPKSATIGAFGPNHFGEMVMELVTKYAPKNATDETRVGVTFDSPDFEAGTAVGLAFTPIHAVSNQKIVQTLELLSQSNRSPLEIHNPVLQMTLKYITPPSGSGKRKMGFSIEDILETNLFGKRRSNLDDQEDAGGMDVLDLLDDAAEESEEDEEEDSDFEIDVDGEVMEGRKPRTNIMKNDGKNHSFTSFNVQFSVRFDCLPHAVYQAAMYNQAYYGETVADRKKGMAMYKQSIKISPDKPNQCNALFQAVREMKMEAGMTKTYDFGYTDIQQLQDTVFAGKLQIVVIVEHQSTPYFAGPYIGENKTIALFLKEVNGMGHFVGVRKLPPLLKTKYFCCLCLVRFGTFAAHYNCPRIHHPCGALDCPETQDDLPLCCIRCTAVFRSTACLENHLKKGSRAGKSRCDHTKLCKKCGEIYFTNKSKVAAKHECGKKWCRRCRTKRAETHHCILSKSTKNEKKLTRKRFVYDFESMEEEDTGEQVPVLFVALRYCKSCSTFIPTNLQDAAGSVCEHCAPNGRCTVINCVSDETRFTNVADEATEWIFSKENRGFIGIAHNASGYDAQFILKSLISRNKASPAVIMAGTKIVSLKHKGVKLIDSLKYLTMSLAAVGKAFRIPTEKGDFPVKFIKRENFDYVGDIPEDKFYNLEHKSTAARQKLTDFLKEERAQNKQFDFAEELFKYCYSDVFILASALVTFENDFEAATDVCLFEESVTAASAAMKVFQRNHLAVERPIVLDARPSASRNSSIVSQKYLAWFGNANKVDIASSATTGEKKIGKFSVDGFVEPCPKFPRGLVIEFFGCYWHAHECRYTNQSIIEGLTGEAIRKRDEDRLQYLRERHEVKVVWECKVEKQRSKNPVMAKLFEEYQPIGLMEMEKALSGGRTEAFRLIADNSRWVMKHVDVVSMYPAVMKSEEFPIGPPKSVQLSKITTPIDSKFGVYWKGFISCRIVSPKDLKLPLLGMKPDGKLLFALCWSMLTIFTDYNNIKISACAKTQSQVDCTHSDEERAFTGTFTTAEIRKALDLGYKITNVYDAVEYESWAKNDESGEGGLFTSYMNKMIALKIYASGWPSDVTTDEEKKTFVEDYRKQGIILDNWDLFEESPGRRLSSKLSINSLTSINVNLQWGKMAQRVDMPNTAFFHDPAQFWKMFHDTSIIMEAVWTFKDTVMVVHRTREESLRSLATGAMHIAAHVPSYGRLHLYRLMEQVGAENICYTDTDSVVYRVPKGAIDPLESEMGPFLGQCTSELSGKMERFVTTGAKSYAYKEILENGDVKTKVKSKGISLNSEAAKKVTMEQMENMVEEVLNGVTRSTIDVPQQQVRRDRNHDVYFKEVSKKFRYTFDKRRVLPDGSTLPYGYSN >CRE19841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:116713:117825:-1 gene:WBGene00065797 transcript:CRE19841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19841 MFQKTLERLYTDPKSGFRSVSKLLYQARKVHPDISRKDVVAYLHTNDAYTRHFPKSKIQHNPWVATGPDSHHMADLAMLPTLKKYNNGFCYILVVVDVFSRYVFARPLKNKMCMTVTKAYDEILRSKWRIPTKLYTDKGTEFMGKEFRKLCSDLDIRHMNPKNTNVKACYAENAIMRIKRQLEKWFTSARSFEWTRILPEIVDGLNSTFMETLGTSPEKVTWKNAAKVWERLYGASRRYTPKFKVGDTVRVLMENSPFDKGTRAKWTEELFIVSRIIPYDIPVYILTDTLDREVDGIWYEEEMVLHTKTDEIYQIDKIIRKRTKNGKKECLVSFKGYDASFNSWIPASDIIDLDG >CRE19840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:115747:116520:-1 gene:WBGene00065798 transcript:CRE19840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19840 MSDFYITLVSNAHANSSISNFNTQLPRTINMDREFEVGLVSIIYPSSHDLISEMPEENGWNENEFVVWLKNTPLKCSVPNCTFSTPNELAEILNYTLAQRIDKEFNKTNTKIDMFTYQPLFKRILVKSPENVTQVHFSARLSYFIGHDRIIKTFPTLGKYSTYSGSDLMYVYSENLVEPQTVSHMKVPLLKVINMNTGDGANIEQSFTKPLYVRVRPSEVSKIGIQIKNDRDHFIPFNSGKIVIVLHFRPVRISFDG >CRE19839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:113939:115225:-1 gene:WBGene00065799 transcript:CRE19839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19839 MAKLVDKKSAPCATANICLFDTPPSQVAFNKGKWMTFSPSNPVDSKGPNTFNVFDSAHFFQLNKTYLSFKLQLVGLKKMKNTAQEEFIPTVAVANLIGSTFFNQVKLSFNNVPVYDSTHYAYKAYIQTLFGENEDTKMGFLTAAGWDNTDDLDNMEKRVISTGDGIDICTPLFLEPFQTEKLLIPHINIQLELYRNTDHFCLESQYAEMTGKLQISDLKLHMRAIDVVPSATIALENRLRTTPAQYPFTISKVKMITIPEGRMEMPFNMLYNDILPRRIIVGLIAPDAMEGNLSKNCFTFAHHNLSEIQVDANGTMYPPQPIKCDFANKNYAQAFTRMYEELGCISNKSCPRISYKMFRSGFAFYVFNLSPLDSTNSWELMKNGSTQLFMRFKEKTPTGGLNALVLSQFDGMATIDSFRNVTVTQHRA >CRE19835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:109948:110712:-1 gene:WBGene00065800 transcript:CRE19835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19835 MSLDNTSITFDVEYLIKYPDLMLSIFGLVTNLIHLFVLSLKVSTIPNFIFLTFICGTDLMQLVTSGIVQVWAVLTYIEHKNCIGYMNHVDAGFKFLRVWSQYFSLTAAPWITVIMAVTVIRNKKISWHGARRYAIFTLVASTLYSSIWILNAIILFQYLPYAPCSADSHFQHYMAENDNWVTNSTILMAVIEFLLEFSWNFGCLVLLLIFLVKKCKKKYSENGTVLLLLSFLVPNILSFVGYRLFTNGDDYATQ >CRE19747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:106705:109428:1 gene:WBGene00065801 transcript:CRE19747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19747 MSDVDAEEIFARTFDYIARLINVPISVFGIITNIFHFRILAQKSMRSSPVFTIMMVICMSDIIQLVSQMGNDIKYIVQYNHPNYCPTVDTYYGTFFTIVYYFLNGFSLFVSSWMAVLMAFFRSLSIKFAMSSFSETFSKKSTAHRIAITVTLIAFFYNLLCCLLKYSITPPTIVPTCPGEGPYYIIGGTKTNSHARIIFTFFDGITELFQLIFFTYSTVFLSRFIREASRKKVMKNVEERKTEKTEALILFTLLPFFAFMFPTIMANFSYPILVNFNRVPTVITMSAKLLTMLQTINASSHSIVCILMSSQYRDAAKVKCGPKRMRSTSVSG >CRE19833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:98124:102542:-1 gene:WBGene00065802 transcript:CRE19833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19833 MLSESPHPSTPMVSSPPDRENFSPSPNHTVWNLTPTNTSPSNCSTDSGDVEMEQPEGQKKTPNRKSRRLHMEINSDDDELLANYRDGLGVVERGTKIPIGLGHAPFILRKKDSIKVERQKNKLKIDMFPVFNKMGSTSEVVTEKTPSKYLQANSSVNQIGSNHQAEIPSMEENRETGPDREEAMWIPPKEHIDYNICRTGYWRAVWRQFEGQIPFETALQNLMKRGYSFGESLETIDQNFKTLPQKFKPLGENQFKVFEKLMLDENMSRRKLQEKSISSQNFQIRTFSDFQMKNYHIAEVSKFYHNFKNFYLGADNTEECNCRDPICMDLDFVPRWACSNCTKALRMSLAQGDLCLICQTYQQLTGKSRPASNVVFNDEDFQKIQDWNQMEISEGRTITMAESEKIQEEKVTKRWMRNELTEEEEDMIDIPHRGRWNKLTETEKMKIGGKIVEQLKPHPLPLFKKCQCEDVENVPIRVPSPGRNTSHIINTQDFSTN >CRE31634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1927:74:1442:1 gene:WBGene00065803 transcript:CRE31634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31634 MSRRKLQEKSRHHFPTIHNFQMKNHHIAEVSKFYHNFKNFYLGADSTEGCNYRGPLCMNLDFVPRWACSNCTKALRMSLAQGDLCLICQTYLQLTGKIRPASNVVFNDEDFQKIQDWNQMEISEGRSIQMVEFEKIQEEKITNRWMRNELTEEEEDMIDIPHRGRWNKLTETEKMEIGAKIVEQLKPHPLPLFKKCQCEDVENVPIRAPSPVRVMMSREMILKSFRKIGEEVKKPTAKRRKM >CRE13165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig700:91:1074:-1 gene:WBGene00065804 transcript:CRE13165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13165 MAPSRNKIARIERAKQASLFKAAKNHEIEHEAEKETMFPKLKKEVFYLKKEVEELKGNLELANKKLQDAEIEIQHIKSEKCVILAEKNHEKEQLLSSFREKEKKGTYLQSRADQLQKRVDTLVEESPSRGKCLKQYNLIRTNETKKDRYERIIKMISSFVGPLNVDAFLYDFLKMADEDEDLKFTLKLSPWNSFFTVVKHQLSDGFLKDFKQFTKQHLHIDIFASRHQIEEVKKTFATSKYYTFERQNVMKPSRSGKQIMAETALVKANDVHKLLSKRLEMLSRHERLRFDDGTKDSIVIGVGGDKGSDTTKLVIVLENVDIPNDPHA >CRE19832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:92644:94134:-1 gene:WBGene00065805 transcript:CRE19832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19832 MNNTTDPLDIEYLVKYPNLMISIFGFVTNLIHLWFVSRDRRAQPVFLFLTVICVSDLFQLSATCVTKTVSVINYIEHKNCVGYFSIPDLIYKLTSEAFFTAPEYINSWIIVVMAYMQVGYLRKKKVVTYQDVTVASMVTVLLNIVYHILLLTSLALIISRTPWTECTPVVVFTEYLTSNSNFFTKFYTNLRDFDGLLVIFRLLTTIFFPSFLLILSWKTADIQAKKTSKLIISVTLSFISVDILVVITRLWLMELDENGISNNDGILPYEIGKLFKTISSSFRPYLILAVSEEYQKNLKSFFVLTASIDTIQVEEARDWRRPSATVHSEA >CRE19745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:90466:92616:1 gene:WBGene00065806 transcript:CRE19745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19745 MDPFPTFNIDYSSDPTYSGYYLNSDYWNWGNYYYQNGLTYDQPTSSGYSFTPSTSTMSTPSTSSESDSTAISSPMLSMTPPFYPMPTATSAITSYSNASSLLTMTPPTTTTPHRSQGSPKQCSNCFATETCQWRNVRSENGILCNACFIYQRKYKKTRPATAMEKYKSKKAHQQNIEYLLKYLNLIFSILGFVTNLIHVYFLTIDLKTQPIFVFFTVICVGDLLQLSTQAVIESVLIITYIDHKNCIGFVNLPDFVFKFLFELVNALGYKIGGFIIVVMSWSQIRNLKRNRVFTYKNATTVSLTTVIIVFIDYTLLLLSFIILIFDKNLFEVQCGHDDLFQNYFLNNTNKSNYATIFFHTAGTIEVVLEIIRFFSALIFLFWLMKLVWKLGEEQKKHSKLIIYLLFSFLATDIVSLIARKYLYEGNISETISQESSAIPSALVKLSSIFFANFRPFIILFVSSEYQKNVIDFFSLTSEITPKRRQMVSTTIV >CRE19744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:89156:89665:1 gene:WBGene00065807 transcript:CRE19744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19744 description:GATA transcription factor MED4 [Source:UniProtKB/TrEMBL;Acc:Q2PZG8] MDPFSYPFPTYNVDYSSTPTYSGCYPNTDYWNWVNYYYQNGFTYDQPTSSGYSFMTSPPTSTMSTPSTSSEPDSTATSSPMLSMTPSFYPIPTSTMAPTTTTPHRSQGSPKQCSNCFATETCQWRNVRSENGILCNACFIYLRKYKKTRPVTAVEKYRSKKVHRQSNSD >CRE19743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:87494:88598:1 gene:WBGene00065808 transcript:CRE19743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19743 MSSWSETLSKPSFANTLAASVFVFALAYSSLSCYTKYTITPKIPGVWCLWTQYSVDTGLYKFNDDGPDSVKYTIIFTIFDGLMQILQLILLIVSTISLVRFIRETSKIKMLKNKNDRQKERTEGLILFTLVSFFIATFPTILVNMTFAIVASLDMTPMILMASERISLMMQTLNSSTHGIICLLMSSQYRDVVKNTFNSGSDSTISVLPVENVSVVRVERRARE >CRE19831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:83436:84669:-1 gene:WBGene00065809 transcript:CRE19831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19831 MNQLNMSTVIKVQHISRYPNLLISIFGLVTNFFHFFIVLKTMKTNPIFKFLMVICVFDCIFIITTATLELVDIINYIDHKLCIGFKNYADVYWNIIERYVNRCVMATGSWITIIMGFLQIVAIKNHQKPIWNQKRSTRISLVTIVVFFVFFLIEATFQLILFFQIPFQSCLHDQNSRLARLEIMDWITVGVIVTERLEDMIYGIKIVPIIVLFIWLRVIRKKAVEFGKLENFKIINSLLWASLFYDYSYLVMLVPVAIFSGLTGTVGFSKEDELLTSQLAIIMETFIVSIRPLLIMSKSTDYQAALNSFFSLSFGPVGFQMVLIMSCFVFQVAPVRNKAIMTSGTPRA >CRE19829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:74233:80973:-1 gene:WBGene00065810 transcript:CRE19829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19829 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3MTJ6] MLHKPYDYFPLQFVLIKNSEYSFCAWLHSFPMSLNETDTLLDYEYLVKYPNLMFSIFGLVTDLIHLYFCHQTIQKHHIFVFLTVATIADLLQLTIVMIVEIATVVTYIDHKNCIGYVNIADVVFMFFSEGLWALGVSVGGWMIVLAGLLQIFNFKKHVTTVSLVTVAVISIVTVIEFISVLLIFLELPYSECDREDLFMKYIMENQALFFSIMIIIDMFLELFKNLVLVLLGLFMLFKLIRKMENIQKKIMIKLMFWLIILNLLLEFLSLGTKHLLEKRLEDVLTPTQKSAQLPAEISRLFKVVGACIRPFLILTLSAEYQNTVKSFFQLTPAVTKQELSSSSISDIWICEDAPCLSSWWRVYKEPEYSVLYPFSLVTMDPLSYPFPTYNVDYSSTPTYSGYYPNTDYWNWVNYYYQNGLSYDQPTSSGYSFMTSPSTYTMSTPSTSSESDSTATSSPMLSMTPPFYPMPTATSAITSDSHAAPLLTMTPPTTTTPHRSQGSPKKCFNCFATETCQWRNVRSENGILCNACFIYQRKYKKTRPVTAVEKYRYKKVHKQSNKAHRQTDTCSTRLNSMYRPYYSDSLWRNESSYNTNSQLTTTTRCPDDVKDREPMYQMMAPYPVFYGIPYPMLPDTTPQYGEMAPYPTPQEDDLESIDIEKRVVVMTPDPTMAPYPDSYDMPYPTPQYAEMAPYPMVPQKPTRFPKKTAEPDMTPYPETQEDDLETFDIESEEKVVVPKKPKAQDGSKTTVPTPYDPSGPLDPSVHLNAPTPDYIDTKRLCDTVCSLLKKHSISQTLFAHVVAHRRQGTMSDLLRNPRCWFKMKSGRDVYRRMYNWVRMKEHERLEILDRDTPPSPPKKKEGEPKARHTFKPEEKAMLQGIFAKNEKPSEAKIKQIADHLGVKYHNIRIYFTNARRRKSHRKLAIFALKNHFRLDEPVFHMATVVRKIRNVFASNPNLMAETQKPDPIALRGFILCEYLQNRPIFNAFNNLCAKFGDDSMDYVEFEFWFMRFVKRDFNLHYDRSKDPKQLTFSELPTDMLGEVVKFLDPKERKIARKVSKTLCNVVDRQKIYYKEIEVFIGSTEKITVAYNKNTVAYKGCPTGSIIELGGYKKSIDKFNYVDLAFNDLAISLKPSRILVKSLRVSIEEKSDVGVINQFLSLIRSIHNGFLVKETLIETANTTLALSILSVFKPGTLETIEMFTAEGKAENHERIVEMEQFKQAKTVLLRRFGIFDPSYLQRFNGFRRFQLVLNTVEPRDIYLLREVLLQSPHFLHGSIITSSVPLDYIKIGEALGSRIVDSVATLYDKIPGTTDTLKITISVYDVLFCRYSQ >CRE19742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:63392:73158:1 gene:WBGene00065811 transcript:CRE19742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19742 MKITNTWLRFLETDCSHHGLLTSCHALISSGSSQENARRLSVMRRTSTTTAGIYLSLMLIQCFPDTINLIRSSLEMYKGRCGRLIRSVVILESLRAIGLAVLSFHVFPQLDLARCLVLSACFPLVAVLQRSLIAMMSAARNGRSFKNRLGRCFIAIPHVLMFLILMSSCYVWTLFDGKFTATIALPIGVICTSIGFWESWIDTTHAGTTYDELYRLKYAVRKMNNTTNAVVSLMRISCTVSVMVAAVFMNGHTKLNASHFIKAFFSFHTKQHYTRLLLLSCSIIALHSFMRVISRFLAALDLHPFSFIHPLSIAPLIAYGYVRYVCQSPTCFIARRLSRYGLRWVCDQWFQENQTMTSPDFYICIIWLLVGCYRGWRLVRQRYFDTTEEIISSMPPVCNGLCIEQSLVIFQHSLNRQEKTMLIEDEDPSDENDELRIRNDEVDRVSTVYGCATMWHETETEMRQVLRSILKLDVDHATRMNNKKVNELRYRLEGHIFFDDAWEDVVEDGVEKRQPNEYFHMFFDLLNEMTGEKLNEEGKMETRILVNTPYGGRLVVKLPSGTLLFVHLKDKKMIRHKKRWSQVMYMYYLLGHRIMDCPLSIEDRQQMADNTFILAIDGDSKFEPDALLRLLHLMNAKSDIGCACGRIHPIGNGIMVWYQKFEYAIAHWFQKAAEHVFGCVLCAPGCFSLFRASALMDDNIMHKYTKTASEPRHYVQYDQGEDRWLSTLLLKQGYRIEYAAASDAETYAPEGFEEFFNQRRRWTPSSIANTVDLLMDYKRASANNDAISYAYIAYQFLVIFFSMLGPAIIFTMLVFAQVAAFGLNSSDVMWYNAIPIGLFILLCFTTESNVQLIYAKYMSIAYAFVMLAVLVATSSQIVLETVLAPTSMFIVTMVGIFFFAACLHPKEFTNIIHGIVFFLMIPSTYVFLTLYSLINLNVITWGTREAVAKATGQKTKATPMQQAIDMVVGVVKRGLRWISCRENRENEEKRRRMEKKVEKMEFALRNIENGVDVKKILDEDDEKREQKTEETQTTSTETETPEVSDDRKSQKTLQKANRYVWMTSHNLKNCERGKLKGAERQFWQELIDAYLTPIKTTPAEMKEVADGLASLRNQIAFTILLVNSLLAMAIILLQKHKNVLSIKYSPYEGFKHTKMNETTGQFEETDEPLKIDPLGMGIVVFLLVILFVQTLGMLLHRLNTMIGAFQEVKNLAEYGVTMETNTQADDERIMTNARLMINSTVVVEGHAADGYTRHRADEADTGNVLYKLQKARLAKRMQRSALSNNN >CRE19828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:61981:63105:-1 gene:WBGene00065812 transcript:CRE19828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19828 MDQLTSPKSTSALKFSNLPTDAFGEIMEKCDLKEQLTLRKVSKTLRSLVDKHKLAYKSIEINPRDSYIFCAYNGKKVVYASENLDEKEEKGFDKENRIIRNDDYVKIALNDLSIALKNPKLRLDELHLKVGCRMNQFRLEGLMKQLNHQIHVKTLRVRAELPEYFLKNLLSILPCLKPKKLVNIEVFEVICDNELPSEQASKRLRKIANLEQWKQAEQLYAKFSFDYFPFEFLMHFKRFTIFRLYFDKDFLKNLTDLFSTSTKFESCTVKAFGLDDCPEFLESFCRKLDTGVPDVLLKELLYHYKIPDGSNKMLEFKVDKVQRKMFIEKKNL >CRE19740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:49037:50334:1 gene:WBGene00065813 transcript:CRE19740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19740 MSSINKKEESSKSVRPALSHALRFSSDDAPENSLERAKPRYSARAVHIQAATPLPWENLGRDIFRESITSTRNRTPTKLAPCKLPRVSTPTRRVPSLRAGLTVKKKESSSPGKSSAKRKLRVVSPSDSSDSDGDSSSPVRNSSGKFLKKSWKRKPSFQCSISPIRRRKRTRSLRSFEFESKRNDPSTSGVPESFLESIPQSVGTDTTNRFRESTPKFSGYRTPRLEEFSYEQPLRSPSHRFYGNIEVVRTPEISGESSGTLKHRESHAAPSLPKESATTEVAIEQSQTSPRNHRLSEMSSGFFEMSSNDSDNQSSPDAQQNSERQETIPEFVEPAIVKSEPDEEEEDNQEVQEEATTTQNSLSNCKIFTSEVFYATITNCNIERSTLVNCKIFDEPCPP >CRE19827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:47064:48434:-1 gene:WBGene00065814 transcript:CRE19827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19827 MPLTIFDLPVEMINKIVEELDTFSRFNLQKVSKAFRIVVDRLKPSFKTLDFYINTDNSINLIFDKFSVVTYKKEVNSCSVAYENHKKSIIGVDHIEIALGDLARALKNPKLQLERFGLFSDTEYSNVFKKCLNSQVHVKKLVLNTHKIDEISRILTIFQPGKLEYIEFRNEPKTRKNLLKIVNSEQIKRARNLKFLELGVKIRVSNGNRFKIYQNEFDVEDIVRMRDFLLTTAHFKSCLLTARQFNFTFPQIERILRFDKCFIFTINRTQLIIEKV >CRE19826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:45350:46941:-1 gene:WBGene00065815 transcript:CRE19826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19826 MSEILSTNPLAIRYLILYEVLLKRSVEEGYQNLCEALGNNQYDYVDYQFWYYRFYHGNVDLEYDRSADPKQLVLFDLPSEILGLIVGELDIKDRMRTRKVCHLLRDTVDRQPIVINDCAVRLPFDRTSGLELNEYINQYSQKDQNCVIYCNHPKRSKQIQINEAYHMDIVLNDLAILFKSSNVRIDDLELVFKREAKYGVDSCLRLIRKVSNLYVDGLVLKVENTKLALSALSSFKPGSFRCLTIDFCVPKKEVGILYDSRIIGTEQFRQAEEIDIVKYGIIDPIHLESFYHCNRFTITLSSLSAENILQLRDALASSDNFEECFIYLSRPLNLSNIGKSLEANVSDGAPFFNCEYPCPDTDSYLDIKVRPSKVFIFCYSTLESDDENSN >CRE19825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:36464:38362:-1 gene:WBGene00065816 transcript:CRE19825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19825 MSTGEDKLHEAQKYVLLYLPPYRLLCMILPPIGVIFNLFHITVLLHKQLRSTALFKFLLAISLCDVTYLLVQFIEEFFIFYLRVKFFKLNSRFCDGYDSYEMLVLRLALITIRRASSTAIILLCLGLGAYQISKLIFSADLFQDKPPKHFVKLFSWTAIFSLVLQYFIYFYSAFIYENQDCLGSKRKNIEKQYSYIFINDGDLIIGFTSLMLAINVIHPIVSVLVMYYLLKCAKKAEDRTTIKTIFLCLLFIFLNSLTDICMALIGYSSLFFDALVDVEYAAIFVVLQGVLTGALTMFTCSIRWILCILLSPDYRKFALGKNRNTVVVPN >CRE19737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:34345:35629:1 gene:WBGene00065817 transcript:CRE19737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19737 MEIPKNNPTAIGACIIYQFHKRNSCQEAYQKFCAVFGSDSVDFESFSYYFSQFLRGNLDINYNRGISKNQKLADILKNDSTALRACILYEIAQSSSFVESHTNFCDTIKSDFVKMTDFEYYWNAGNKKKEIPDRLPKTPPSTKLEYDLLDIYIGDGYVDLSADGIDQIFDNTDYLKDAFEELKLFSENAEIQEIRLHLNSISEKKDVELFHSIFKKSIFLAKSVYIKTFYTSQASSILKFFDSKKLGNFEIQCSEHDGRVHSFFEIEHFRKVKNVSLRDFGVFDSAHLDYFHHFDSFEIRIISISASRIVRLRDALSKSANFKTCCILSGDRDFDVVSVGRALGTAHPDENHWHMTYRHLIDGVDGFLVFEIYRTFITVNKIC >CRE19735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:30789:32417:1 gene:WBGene00065818 transcript:CRE19735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19735 MSEILKNNPTALRACIFYECRRTKNVEEAFKNFCEAIGDDLIDYVGFEYWFDRFYQGNLDFDHDRSTDRQALILTDLTLEMLNEIIGNLDIRNSDSRQIMEILKNNPTALRACIFYEFLRNNNVEKAYKNFCKTVGVDVIDYVDFEYWFYRFYNGNLDFYQDRSTDPKPLILTDLPMEMLNEIVGYLDLPNRFNVRKVSRKFEKVVDRFKSEYDDITIYIETQEVKFNLDDYFVHYENGQNDCYVQFDEADENQLNIELSALPTVLTTSKSLINCFWITTYDPEAIDVMPKLIDALSKEVSPVFYAKTVVLYAQHNDYVIPILLLFKTGVLEELQLEDIKPDDDTFAKLVEIDQFKRLKAFIMFRKPIPFSQFKKLSHLATIAVYLDRVSAEDIVILRDILLQFVNLKSAHISLINPMNLSEFSTAFGIDYDNSGQIICSHPIPNSDKMLILDIVNCSIEVTIEND >CRE19734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:26243:30209:1 gene:WBGene00065819 transcript:CRE19734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-23 MSEKDKETTKSHHPLRSLTIVLIWIFALYVAVTIIRSSETTETTPSRPSEFQSVKNNGVCKSPECILLAHQLNNWRDVSVDPCQNFYKAVCGKYNEDTLVDGHRLMKKTKIVEQLLKEFLEQPSTTTSKSEKAMKTFYKICQRAKTQNETEYYQQREMFSRFADMISNYSSLGRLNLGLFMIPSNYRDVFIGRDLLFSKEELEEVKQMYLNDNKTLIDFPTFEEDFKNMETFNDELEQFEEQWDLSSFTKLSDLQAHLPSLDFERILKNLFNSKHKDEVWETIRQRLLVPKLPFFFNETYNLETILQTTSKRVLANYFGAYFRFLMEEDNRDKPDGNVDCAKKVIEKLPLASLRVFARNHFDKENLRLASDMVEDIRQSFVEIIQQSTWLSQKTKMAAIRKIEHMQKLVGYPNDLEVPGALDSYFDTLNLSEGMSYLAAEVELDRFHTEQVMNFHASLLSIKPDKMYVETNAYYMPELNKLTINVALLDEPFFDSTYPKYAKVASIGEVIGHEIGHGFDPYGRLMDENGGYKDWWTPEDSAEYDRRAQCLIDQYTGYDDPDYGRNVGVEGGCNYALLHIFQLNGSITIGEMAADLLGINVSWKTYKKVDFSSEPSIFGFEDEKPDKLFFHMTALTWCRARDSTPLATKQRMLHPTSSFRVNGVFSNMKEFAEAFNCPVGSPMNPVKKCELF >CRE19733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:24995:26066:1 gene:WBGene00065820 transcript:CRE19733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19733 MSTTSTLEFSNLPTDTIGKIIEKCDLKEQLTLRKVSKDLRSLVDKQKIAYKSIEIYLTESYILCAYNGKNVVYAGKNCDEKNAFGFDYKGCFIRSDDHEKIALNDVSIALNNPKLRLDVLTVSYYSNDRMRLFRLNSLLQHLNHQIHVKTLIFHTGSSEYLLSTLRYLKPKALVNIEIDGVYDGDYSALEKVRQVASLNQWKQAEQLTARFSFHYFPSEFLMHFKRFVILDWDVDEVFLVNLRGLFSTSTNFESCTVETLGLEDCSDYLESFCEKVDTGDADVLLYHYKIPDDSNQMLEFKLDYDQQKIIILKENL >CRE19729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:20320:22041:1 gene:WBGene00065821 transcript:CRE19729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19729 MEQLTHPRSLRSCILFQFLRGKPFTETYHNFCEVMGYEAIKFKEFEIWYYRFSRGEFELDYDDRSEPKTVDFCQLPTCVIEKVLKKLCYKEQLTVRKVCRDLKSVVDSMRFSLKSIKMVWHSDHIECRFDNQLVVYSRRNSSAQSASIGTSLRESIKIDDDDIIRVVDNDYKKLALQDWKFPMNNQKLRLDGLGIQCKEPNNEMNEDTKVEKLGEFFTELREALDSIHIRIHARVLQIYSFHPNFNHIECILSHLEPGFLFAIQIPDFKLKHDELNVTLPIDSSDEAYETAVSNFLKACSIKRKISKITSLEQWKRAEWLWLYFNWDYISDKDLIHFKRFYLERCNIERERLLHLKEIFSKSINFEECIMHKSPDLRNASHHLESFCEKEESENPNILFYHYKIPNSNKYLVFKVDYNEGGLIIKKR >CRE19727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:15986:17024:1 gene:WBGene00065822 transcript:CRE19727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19727 MSTTSTLEFSNLPTDAIGKIIEKCELKEQLTLRKVSKDLRSLVDEQKLAYKSFEIDLTDSLMICVYNGKDVVYASGNWNSYMTNGVSVIRNDDYVKIALNDVSIALNNPKLRLDELGLRIYDSPDIMNRFRLLLNSLNHQIHVETLIGNPEFLLSILPFLKPKMLSNIEVLAKGDTEIWASKEGAESIRKISCLEQWKQAEQLTTGFDVGFFPAEFLMHFKRFVISKWRVDKDFLMNLRDLFSTSTNFESCTVESNEGENGSEYLESFCEKVESEGEVIYRFKIPDESNKILEFKVDFNRIVIEKKNF >CRE19726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:11952:15563:1 gene:WBGene00065823 transcript:CRE19726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19726 MSAEEQSAVIETCVLYDILNWKTAESSYETMRQMLGNEMISFDDYQSIFVKKVQTNWDETINRINLRDFLMTNKFSMRTCILNDVINGVSIDRSYRKVLEVVGNIRISYPTFDFWYYWFYNGKRDLFYDISKHPRPTTFSQLPVDTLNKILNYTELRDHICLEKVSRGLRSVISERTPLYESIEMICDDNWISVSFNDLNICYRNTAIVSTCLYEPLRGALRDIMVALRNPKLHLESLEISYHWEKDREMRWFAEQIKNEIKSLNHQLSVRKITLKVSNEAQVHAILPFLKAGILEEIDIYGIDMFWMQNFGTYNIIQMDQYNKAKLVRIMFSTGFFLFDRISDAVLCGFKFYCLTMDTLFSLRNVSVRWSVFIFSRSPTFKHCNIECVYLPLIEELAVELGLRLEPGNYLPVFYQYLIPDSTDVLIYEFWMDHIEIRRVSYMEML >CRE19725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:9850:11370:1 gene:WBGene00065824 transcript:CRE19725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19725 MADSPLNTPADLRDLIIYDIHQWKTIDKSYKIYEKLCEVLEKEAISYVAYEYWFNRYLRESYYSSNNGRTYRVGNLKVCILADVIDGRSTENSYRDLCEAFGNDKVVKGVHHNRYERYHFEAHRHSLIMAERSVNTAHPDTYNDVGLTFSNLPEDVITEIVDRCDLKSYLNLRIVSHSLRTIVDKRPPPCTDIDIIVRDDYIQIKANNEILVDSCPIELTNFRHCSLWLIEKRVFRDLEALLKNPKLRLKSFRFDSNSSFHHTHWNHVMDVNAATRNYYMLFLKMLDSLNSKIHAEQCTIKPALEKHVKRILQCFKPGTLKKLELNHSCMMSEMNRIAKTDQWKLAKHLKLRGFITLSIEHFIHFSTFDVQTISMNDRLCENLSKSINFESCKIETTDYLDIEGIKNALTLRPSAAPKSYYIPNSNLVIQFSIGHSVNKISIWKL >CRE19724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:7906:9691:1 gene:WBGene00065825 transcript:CRE19724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19724 MATSLSDHPIDIRALILYDNSQWKTDDESYSNYKKLCEAFGTQSVSYEDYKNWFNIYSKEAYYSKDRKRDLPILDIRGCILSDVINGKTDRKSMNNLYEAFHYLRIDMEDHDYWYNRFESGHLFTRVTFSDLPEDVIAEVVGKCELKTYYDLRNVSYGLRTIVDQRAPPYTEILLYFGKYGINISIKHKRSLCQREVFRELKILLRNPRLRLKKFQIETVIIDDPVLGIGPISLSRRDNTRFFDLLSSLDHKLQVEECSILTEIEEELVGFLQCLKPGTLQKIVIRGGQLRRDSINRIVIEDLGLSKSINFESYTMKASERLDTEAIKEALNLQQTTSPGIYSIPNSNLVVEFSWGSRVLKLSKCSV >CRE19723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:4908:6347:1 gene:WBGene00065826 transcript:CRE19723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19723 MARTSDSPIDIRALVLYDNSQWKTAEKSYLSYAKLCETMGKEAISYEEYESLFNRYLKEAYFSAKMESELPVTDIRCCILSDVIHGKPAEKSLNDLCEAFGFVKIYKKDHGYWCKRYKYTRHPLTPLQFSDLPIDVVSEIVGKCDLETHLKLRNVSYGLRTVVDQKRPACTKLYTVFVDYGSRISHDFLHLLDPRGMNEQQMFRELEFLLRNPKLRLKQLCIKTKIVIRDGIRRHCINRIVRMDQWQQAEHLELYGFNLPSIEHLFHFTTIEMEYQKFSVEDLVQLCNGLSESINFESYTMKTRERLDTDAIKEALNLQQTISPGIYSIPNSNLVIEFSWGSRVLKLSKCSV >CRE19721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:1399:3629:1 gene:WBGene00065827 transcript:CRE19721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19721 MSVTPATNIYESVFAQTDKTDAILVVQGKKLHVNKTLLSCHFDYFNTLFNGDFNEKSMQEIPIHDVKFEDFVTLLSLVHPYPINPTGNKFKFLKLKNLIFSEQNAEKLLELADRFLLPAAKYHVEFFIISTNLNANKKLELAGKHELENLLSHALKLYNTKEELVPMKQFLGFSANVKAKILDRQIELNTINNNDNNLPARGTEDVRRVYTVPRFHKMNRPPPESPIDLRTLILYDFHQRRGAKKSYESYEKMCEIMEKGPIAFKDYKYWFKQYSKEKDRDLPIPDIRGCILSDVIEGKSAEKSLDDLCNAFKNHNIDKGDHGYWYKRFGNGGLFTRITFSDLPNDIIAEIVGKCDNLKAYLSLRSVSHRLRAIVDSSKPAFSSITVKVVDDAIQFMVNDKESLGYVEEEEFEAEDTVMTLNHLKFILSNPKLQLDSLHIVHWPEADMEMFEMILDVFNSLNHQLHVKHFSFQTVHAACIPLVVKYMKPGVLEKLTLVWRDDERNIDPLFGMEQWKQAKHVVVDDMFISIEHLSHFTSFNVLIHLFSTEEVVELINAVSTFINFKSCRLEILDAETVKRVLNLQQTESPKVFSIPNSDLLVEFLLFDTIQINKI >CRE12127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:387460:391513:-1 gene:WBGene00065828 transcript:CRE12127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12127 MSSVTSRSRHSSVSTEMSYRGDENNSKSPRRSMSVNSAKEVLRDTNAQSINAGPSAITNGSGRALSFPQIFEPRPDAAPIVHQTFGDRLSGAVLSNVPASAVRNPAIPSEARISCPICSGGHDDYACESPLRSRYCAKNDLCFLCTSESHKTQECPLNYLEISKNVAKVSLKPGATIGDKIKCSGNPSSESTEQTQSVVDSEKDYRYLSYHDLVTVLPQFNADPIKYGKFARCFDRLVMTNPRLDDLLKFSLVETKLVGKAKRFIVDLMDPRAALEATFKALRDEFEKDNYSVVNEIRARFENLTFHETDYKRATLELQDCKSLILQLEELKEDVSSASFVRELARKLPRKAFKRLRPLNANGQTPTTEQVIGTFSEFLKENRFYERFCPWVQEDSSKIHEESVMIMIEGSTIPPPRKSGKKRNSKSFSRGAGFNTDATEMPEHSNRKTTGKGKKNSSGAKNQKPLVSSVAGAETKRQEFSNHGRIVTAPPTPSNRLGRQLAHSSKARLESEYPRSNNQNRVSEAHLKSETSLIPLDSVKTVSNRVLDDPLSHRARSKLLPQTLVNECCEASGVKVEEDFSHLPFLALRTTKGKVVLALVDTGASCSFLSQNCAKRLGLSPIGYRTAVIKGVSTTTTERMSMYRLSFATTGNPVEFFVSGRSRFPETKFFRPRFGATDNDFLRERYIDPKVITKDRASNGRALEMIIGNDMLARILGNSIRALLPSGRYVEFTPFGSIIFPAPRVIQFNPLIVPTATSRFHPANSISLVDPNPGNIDRAPSSPQVQSGSTNNNDGSIAVRRSRQIANLDLSLISKNHHGIRGRNFVSQQGNCNTIGLGNPRHGTVNRQFKGEKPRMLKCTEEIFLLDASDGSSTIRYMEPRKTQEKDMMKCSLMEEHRVTTEFQRVSVGNRVFECILKEFKMCTHPEVMSHERSFDYFDSLAVYPRDNNLVLEQVELSKDYRGFHPQYYNGKRSLLSENQFQAGISDRKEVIQKVHRVREMLDNGRTHVVKYQCWDAGRSGASLVRDLTASPAPDACRPGTSEEGVLRKLTSMDACRSCAPKGRKFITTDNSGQTSDKYAASSDPPGRRVGLKSYCFVPTIESFDTVSDVAVSRSGAARGGIECLTPVPDVCRSDTPGKGFNRAVPNLDAGRSDASTKESDESAPNLGIRQSSSHRRRFGLKSYCFVPTIESYDTVSDVVAGRSGTTAKDTKQFNPTLGACRSGASKSKDDQKSSGSEYSPNVKLRRPRTIDDWAKIRLPTHRVRPYQPRKPKAKLARYVLITQAAEPQTPRSVDSCQVPDQASVTLQTKMH >CRE12125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:384135:385111:-1 gene:WBGene00065829 transcript:CRE12125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12125 MTNSAIEFKSRSHNVNGNTNVLETSAKNGVACVWSATMGDSRQIDLSWKFNWDKLESQGVDKITGFIYVSSIFNRLTATRIYVELSENNQEITKQVDGGSTSYTVYYECSLIPHYKNPDYEEMFASSDQNDSILVVDGKKLHVCKVFLSYHSEYFRALFSSNYKEGQMDEIPIGEVSYEDFALLLSTFYPNPAFPNDSTVEKLLEMGRRFLVSSAVSSAEHHLISNNSLIDNEKMMQLADEYGMSKLLLMCIRKINTVEDAKKWKKSQTYEHLSAETKLKVYERLMEFI >CRE12051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:376205:381595:1 gene:WBGene00065830 transcript:CRE12051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gsy-1 description:CRE-GSY-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQ05] MPDHGRMPRNLSSNKIAKTIAGEDLDEGDVLEMDAGRSAREEGRFVFECAWEVANKVGGIYTVLRSKAQISTEELGDQYCMFGPMKDGKWRLEVDPIEPENRTIRAAMKRFQADGFRCMYGRWLIEGYPKVILFDLGSGAVKMNEWKHELFEKCKIGIPHEDIESNDAVILGFMVAIFLKHFRESVTSYTPLVVAHFHEWQAGVGLLMTRLWKLDIATVYTTHATLLGRHLCAGGADLYNNLDAFDLDEEAGKSSGAQLSQKCSANSSRISKIYHQYCLERAACQTAHIFTTVSEITGLEAEHFLCRKPDILTPNGLNVIKFAALHEFQNLHAQNKEKINQFIRGHFHGHLDFDLDKTLYFFTAGRYEFSNKGGDMFIESLARLNHYLKTTNDPRHMGVTVVAFLIYPAPANSFNVESLKGQAVTKQLKEAVDRIKEKVGARIFDICLQGHLPEPEELMSPADNILLKRCIMALHNSSLPPICTHNMIRADDPVLESLRRTALFNKPEDRVKVVFHPEFLSSVSPLIGLDYEDFVRGCHLGVFPSYYEPWGYTPAECTVMGIPSVSTNLSGFGCFMQEHVEDHESKGIYVIDRRHKSAEESVQELANTMYDFCGQSRRQRIILRNANEGLSALLDWQNLGVFYRDCRRLALERLHPDVEKIMRENEGKVPSAATSRRPSIHSSDGEDEE >CRE12123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:368518:369592:-1 gene:WBGene00065831 transcript:CRE12123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12123 MCSYSHISMILFLFWSLLLPLLLATCVSKKSQKSGTTSTRDASEKSEKSTKTRRAGTSHSALPSDKSERALSSEKSVKKERNTAIDSLKVPRHHRPSVNSSNSGKSLKTENKASVCSETDSVKTETIEVPLGKAATADPSNNILTPLHELKTSSYSNQSTKVTFAHTLKRVKRRATAPDDFEEKTAYIP >CRE12122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:357522:361845:-1 gene:WBGene00065832 transcript:CRE12122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-14 description:CRE-SRA-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MQ02] MTTLTPIYGLTNDSTCPFRASPDQVTLQTSWILRLNIIYCTILSIGCFFGVAYCIRYMRKHPIFNESTSLLLYLSLVFAVIHDVIHVCIQVSLSQKCVWSVMYRSFFYADDPCNIFFDADDCGIFGRGLLFGISGMIYIHSALSLDGLLATFLPTIYYRKKYVPGVILAIIMVILVIFLDQDPHFLVQIAFNFCIQFVVLPWENSGKDYVPSCQFFKKQDAGRANYFLISSLLLTILNLVLNLGLLYVNKKHSMRLNYLADDTSSSTAFLDQYLIEYNTPSSYRTRYDVQFQYQRSEAMMTSKSISVLVIAQISALGIYAGGSWMFRQARDAIPVYLYNNLIIWVYALSYATVTLPLLIIFCIRYVRRRRQRTIHHITSHKDCQNHRMDELKALWG >CRE12050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:356520:357441:1 gene:WBGene00065833 transcript:CRE12050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12050 MHLSSILLLVLPLVTLANPDANLEATRLMILLKDSIDLQQIDALSGFTDPNFSWNSCKTVLHYGKYVAMLKLLSHREPGDIPAISTEWTVANAYFKHETLYFDVQNKNRKIPDWYFEAKKHGKNKAYVLTRGKLSKCGRLEEV >CRE12121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:347237:352482:-1 gene:WBGene00065834 transcript:CRE12121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12121 MILLSILLLGIPLLVKSSDLDAKHIFKGLYETSGFHCYSRDSMRLPRHLHGKPQTTDPPFDLSVFDSSGKRVESYEVGKIYTVKLEAYVHFRGLMLQPRLCTPSGAIIGSLRGGKFIEDDQFETHGLIFHQCGRLVTNDSLTHTTDDKKFLAEAKWLATHDVGNVQFVATIATENVLYWERWRPRHAFLKGPYQKYSVQNPNIFVYNLEKDIEQKTSEKVVTSEPILPSQHPLFEEQKEFLKRKMSVTSSPRILETSENSDDVFDSATSSASKTEKIQVTAASMMMGEKLQVTRTPEISVSAKFLKFFLQVFKFQQSSKFLTSESTFMMGRMFKKFAPLNDTVLQEDDLKFLNAHAHLRRLQHDFVDNNDLEMEEKCQDNPCGNDGRCSLQKGKPVCQCSKGYSGTNCTEIDKCVENDCENNSTCYNDKLSPVGYSCKCQNGTVGKLCEIECPLDQCQNGGKCYLNTFGKIGCKCLPGTTGRKCEREINECGWNKCRNGAKCVDLFNDYRCDCADGWMGRNCERPCQDIYGSCRVWKREGQCEQMRNATDFFDLNCAASCGVCVKVDNETEIPYLPLQPILMPFAFLLGEWKSQIKGWNNHTTDYPVDMDGMVYNETITFSVAPSLSFGTPYINYTATMTSQDDPSNTHQYNGFLTIQQYKENDESTDKGALTTVSNTGLIMIEEGEILDEKTSSEGAPTLMLTPTYQFFKSSPGIQKSPERSKRWFTLKNKRLMQYMVREFKGRTHKFTKIYKRTQEFKYL >CRE12048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:343584:344170:1 gene:WBGene00065835 transcript:CRE12048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12048 MDMEMEAEAKILKQIQTKLFESMNVGKMTPPTEEEQKAIDAKSIFVGNVDYGATAEELEQHFNGCGVIVRTTIPKDKMTKRQKNFAYVEFECAASVESAIVMNSSTFRGRQIVVTAKRTNKPGMGVSRGRDGGFRGGRGGQHTVVVKYIYVNGPASRGRGGFRGGRGHFNPY >CRE12119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:341730:342353:-1 gene:WBGene00065836 transcript:CRE12119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12119 MASIIDNNCNNFNSMDLELEAEAKVLKEIQTKMFEKMDTGKMTPPTEEEQKAIDAKSIFVSNVEFGATIEELKQHFKGCGEIVRTTIPKDKHTKKQKNYAFIEFDSASSVENAAVMNGSTFRGMGYSTSRGRGGRGGPQTVIVKYVYVNGPAPKGCGGFRGGRGRFNPY >CRE12116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:331244:331717:-1 gene:WBGene00065837 transcript:CRE12116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12116 MTPRPTPHSIFSSSASLIRPHPIQKTPLETSAEKVAKYSKILKHSQCSDVVSHALLRLSQLDCPMTVFEKCKTEKRLKRFTRYVECLYQVNILKRKFEELRRKEESEKFGKESSKLELKEEEYDPEFPGYNGLESTKMEMYEEYKPTPIRKFGKFNR >CRE12114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:304818:308489:-1 gene:WBGene00065838 transcript:CRE12114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-35 description:CRE-LGC-35 protein [Source:UniProtKB/TrEMBL;Acc:E3MPY9] MTSSTSGAMSLRTFFMLLVFMEAVQICSGVRAALRLRKKSNSENDSEDEEYQDEMYNDAIYDKASIFEQGETHDFLQFLRSIKYDHRQVPDDGYDGPVHVNVSIVVSNIRSVSEVTMDYSIEMFYRESWRDPRLTYSREKFKNKTEISLHESYSNFLWHPDTFVPNAISSKNPRRQSITHRSLLRLRNNGSILYSRRLSLILTCGMDLTLFPFDTQLCKMGFESYGYTADKVKYFWSSGVIQSLKLHKIRLPDFQVKEAYVTSRVESYATGDYSRLYVCFVFNRAAGFCFLQLIIPSTAVVITSWVSLWMENETSFQDMISIILTITFLLFSYNEVMPRVSYIKAMDVYLGWLIGSIENFENLKADFFTGVCFCIVFLSLIKLAAVKYMRQRLLITRSINRDRLYKKVVNYKSGGLDLVYLIDVNSS >CRE12045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:296926:302023:1 gene:WBGene00065839 transcript:CRE12045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12045 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3MPY7] MTGSDEPAEPEEDQKFDKGIEFLLSCLGMSVGLGNLWRFPTRAYENGGSVFLIPYLICALLFGLPGVYLEFALGQYQGKSPPFVYRRIMPVLEGFGWVAALLMATVGVYFMLIISWISVYLFNVVIGNSGKWGRCDNKWNDAATCFNIPAQELCSGAHPAGWNTSDTPIQKLIYMNGSCHDKEDYENITLVSASAQYFQNFIVRPSTSLTDFNSINWPVFIAMIIGWVITVLSIWRGMKFVGKLSYVTVGLPYIIVTILFVRGVTLEGAGDGLRFFFAGSDFSALWNYNTWTAALTQMCFSLSIGFGGLMNIASYNRRKHNCYRDAIYLIIGDTAMSLIGGAAVFSTLGFLARKRGVDISEVVASGPDLAFSAYPDAMNQMPVPWLWNFLFFVMLWLLGISSEFVMVELVCSCLRDRFPEFCERRIMVVGGVSAILFVFGIVLTTDAGIYWFELFDEYGAGLGAVVSATSMCVIIAYLYGLEHFKFDLNLMLGAGGGCCSIFLGHNSPYFRVNWKWISPVFGVILIVLSCWKSYPHHNDPVAYPVLFDILGWTLAITPFTMIPLCAFLAYRNFKKHDIPTRGLFLVQKQHPSYQRISSDWSPERKRIGLRLPCHEPGDQVAVSLSTLHSVNKNSDLESI >CRE12112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:294534:296072:-1 gene:WBGene00065840 transcript:CRE12112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12112 MSIPFAKFPLLVQLKVLKQLEYPELFWLSVCSGKMRRTMEYVDMRAEKIVYSLDDDKYGVYVEYREKKWHMAEIKVVRRLYKNKKIVNVNLGGEQLECVCLKGLQKDRIAWSLSFAESPDNSLVTLFYNHISSLIQNTPQNIQLGIRSVDLFPSLDIIKNVTDIITTHWDPNTTSLDNYLTNQPHVESIHIDSGLSGPPFTSESKVMKIKGVGIHLALEMTSSALENFDGSHLAIRNGEWNAETWRSLIRKWKSKEAYGNLVGIYANLSHNIPAEFRRAEFLEEIGAVVFDGQRRPQRFNWDSRIMYYNKMVFDCLNYRDIQQNGNGKWASIAFGRNQVGFFVWG >CRE12110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:289800:290946:-1 gene:WBGene00065841 transcript:CRE12110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12110 MYIPFLKLPYVVQLEVLKQLEFQEVFLLSLCSEKSKRVTQSLNMRPMKIIYTFFEKGVQVFVAYDQYCRIMHGVANLKFVSSISQEIPMKLGGNAISCKYVEETSGGDILHALLCHQEHSALESLQSHMNYLFRDQPQVQLFVHSLCSMYQSGIIKDVTATCFKIDELNTEQLEKYLAIHPNQNSLQLTTKLIGPPFGNDSRLWDIKGLAFQNVDDAFLVLDKRGSEIMRNFRGQYLFLFNVVYDIDDWAHMIRNWKAKKEYQNLKCLYTMAPRNTSLFFEHAMSEFNFVKFDGQRRPRIAKFDTKIINIKTNFSGGLDCSDYLDVQQDGGGKWASIDLTHTFIHFVVWD >CRE12109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:287514:288668:-1 gene:WBGene00065842 transcript:CRE12109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12109 MSIPFLKLPSVVQLEVLRQLQLQEMFLLSLCSVKSKKVAQSLSMRPMKIIYTFHEKCVQVLVAYDQYDRLIHGVANLKFVPSISQGIPMKLGGNAISYKYVEETSGGEFSHALHCLQEHSTLESLQNHMNSWFRGQPQVQLYVYSLCSMYQSGIIKEVTDTSFKVDELNTEQLEKYLAIHPNQNSLQLETKLVGPPFGNDSRLWDIKGLTFENVEDAVVGREIDKRGSEIMRKFGGEYLLMVNVIYDIRDWTHVIRSWKTKEAYQNLKCLYTTAPRNTVIMFEHPMSEFNFAKWDGQRRPRIAQFDPKLVLIKLWKVNVPIFRIINIKMGFSEGHDCSDWLDIQQDGEGKWASITMTDSAICFIVWD >CRE12107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:283792:284946:-1 gene:WBGene00065843 transcript:CRE12107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12107 MSIPFLKLPSVVQLEVLKQMEFQEVFLLSLCSEKSKRVAQSLNMRPLKIIYIFSEKCVQADVAYDPYDRVDGVANLKFNSCIPTDQIRPVSLEKNAISYKFVKKDSESYKFGHVLLFLDGHSALEFLQNHMNNLFRFQPLVQLHVWSLRSMYQSGIIKDVTDTFFNINELNTEQLEKYLAIHPNQNSLQLTTKLVGPPFGNNSKLWDIKGLHFQKKEDAIFRREIDVKASEIMRNFRGQYLFMVNVVYDNRDWTHVIRSWKTKEAYQNLKCLYTTAPRNTVIMYGNAMTEFNFVKWDGQRRPRIAKFDTKIINIKTSFYGGRDCSDWLDVQQDGGGKWASIMRTRSSIHFVVWD >CRE12106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:280446:282748:-1 gene:WBGene00065844 transcript:CRE12106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12106 MSVCSEKVRKVVRSLNLKPNKIKYALHESGTQVFIGYQEYDQTLQYFAVLYYYSSIDSKDKSINLGGNKMRHRFHKRPPNFAMPYYLSIPVLEDNRVLKLAHRHMTDLFRYKPRVQLVICCIATMFKSHVIKDVTDISFCLKKAELDTRVLEQFLITRPVQQSIQISSKLTGPPFRKDSRIWDAKGLSINGNDDRAAEIMENFRGHHLIFIDVDWNLKDWEQVMRKWKRREAYHDLKAISARTPNGVEYWFRGNILVEFQLKEWDGLRRPRCHMEDPNIINIQSDVAQGIDCTNWLDMQQDGGGKWASVVVTEKLIGFVVWD >CRE12043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:276157:277296:1 gene:WBGene00065845 transcript:CRE12043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12043 MKCVKEDAENEFSHALHTLGVDIIIVLESLQRHMRDLFRFKPRVQLELASPHFINMYRLIDDVADTSFDVDELDTEELENHLTIFPVQDSVHLKAKLTGPLLTSDSKLCSIKGLGFQRTRDDGVIDPFILNETHQNPRLHFSEVINNFGGEYLVLVGIVYNINDWAQLIRRWKSKQAYQNLKFIATTAPTGIAIMFEHAMQQFDFVEWDGQRRPGTVTLDPKIINLLSNSFENIDCSKWVDIQQDGDGKWASIMLSETSIRFLVWD >CRE12042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:273832:275292:1 gene:WBGene00065846 transcript:CRE12042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12042 MSLSFPKLPSVVQLEVLKQLELQQVFMLSLCSEKMKRVVQYLIMKPTNLVYKFLDNGVSVVAIYDNSHNRIDHYFANVEFVAAIPSDQMKPMKLGGNTISYRCIEEAPKKKCSHTLHYFAPEEVTVLESLQRHMKDLFRFDTRVQLLFNSLNCINMSRIINDVRDTDFILEKLDTEQLENYLTIHPGQDSLVLETKLTGPLLRSDSKLCSIKGIAVQGTRDGDHRNPGLHFSELINNFGGEYLFFIDVVYDVNDWAQLIRRWKSKEAYHNLKYVDTTAPTGVSIPFEHTMQQFDFVEWDGQRRPRTFNLDPKIVFLKLDSSEEDCSEWMDIQQDGGGKWASVMLSQKSIYFAVWD >CRE12041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:270031:271322:1 gene:WBGene00065847 transcript:CRE12041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12041 MSLSFPKFPLLVQLEVLKQLELQEVFLLSLCSEKTKRVVQRLNIKPTNLIYLFWENGVEVFAGYDNNHNQMKHHVADVEFVPAIPIDEVKPMKLGGNTISYRCIETSLEEKCSHALHYLALEDLTVLESLQRHMKDLFRFDTRVQLVLLSLHYINMSCIINDVTYTYFNVEELDTEQLENYLTIHPGQDSLQLAAKLTGPLLKSESKLCSIKTLALHGLQHKDGLIDLFLFFESRQNPRQQFSEVISNFGGEYLFLTDFVYDVTDLAQLIRRWKSKEAYHNLKFVFSTPPRGISIFFEHAMEQFNFLEWDGQRRPRTVKLDPKIINLELTSSEDIDCSEWMDIQQDGGGKWASIMLSQIEIQFVVWD >CRE12040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:263746:269029:1 gene:WBGene00065848 transcript:CRE12040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12040 MSAGTHERWCTDVTRNPTISLDYSEAEENLIIDAFHRHMRDLFRFKSRVQLVLSSNYSEENLTLIEDVTDTLFMLEELSTPKLEYILDTHKNQDCILIQSELTGPQLKNDSRLWGIKTLGFHFTCTRISQVIANFQGQHLLFDHVLWTLEHWIQMIWKWKRREAYHNLKTMSASAPRDIPIVDPVDDLTEELDLKEWDGKRRPQKYIFDPKIINNPPVTIDCSNWKDIQQDESGKWASIGVSQTAIMFLPIRSYLCVLMLFVLDTQTLKAHMTWQICGSRNESDLLTSNITIQTYKQRIKLPPLAYPFPCFKMPFSLTKLPLVVQLEVLKLLELEEVFLMSLCSEKMKIVVQCLNMKPTNLMYLFWENQVVAVAKNEYEENDICHPIAHLEFVPVIPSDEMKPMKLGGNTISCRCIKKAVENRFSHSLHYLALEENNVLESLQRHIKGLFRFKPRVQLEFTSLHYMNISRNINDVTDTTFDVEELDTEQLENYLTIHPGQDSLVLGTKLTGPLLKGDSKLCSIKGLGVHGTRDRGVVDPIETHQNPRSQFSEIINNFDGEYLFFLHVVHNEKDWTQLIRRWKSKEAYQKLKHVALTTPRGVSITFEHTMQQFDFVEWDGQRRPRTVKLDPKIINLQLNSSEDIDCSEWMDIQQDGGGKWASIITSETDIRCIETSPERKSSHALHYLASEEITVLQSLQRHVKDLFRFDTRVQLVLYSLHYMNMSRIIDDVTNTTFDVEELDTEQLENYLTIHPGQDSLVLATKLTGPLLRSDSKLCSIKTLALHGLQDTDGLINLFFWVESRRNPRLQFSEVINNFGGEYLFLTDVVYDIRDLAQLIRRWKSKEAYHNLKFVSSTPPSGTSIGFEHAMQQFDFVEWDGQRRPRTFKLDPKSVGLRIRVERNAVFEMAKDG >CRE12039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:262817:263122:1 gene:WBGene00065849 transcript:CRE12039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12039 MSIQFLKLPWLTQLEVLKQLKIEEVFVISLCSEKLKRKVQSLNLKPGIVKYYFMENAIVAVVKDSEHDEVIHNVAMVTRVQEDPDDSFITIKLGGKKVTCK >CRE12103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:258461:259677:-1 gene:WBGene00065850 transcript:CRE12103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12103 MSIPFLKFPYLIQLDVFKQLEFDGIFLMSLCSKKVKIMIQSVNLEAEKLVYGLVESMAQAFVGHHEDLRLLRDVASFQCFESIPRNEMSQMNVGGNTIECSFIKQLRNGKEAYTVGYHASEEEIVLKSLHCHISSLFRNKPHIQLIAVSLRALTKSAVISNLNEASISIDEPQVLDTSQLEDFVNFHSNLDNVQLVHSFTGPPLTRESKLLKINALTVHDAGQRTSELMANFDGEHLHLSKPIYTTNDWYQLLRRWKRKEAYGNLKTLVTNPPEQQIILNLDVTEFDIKVWDGQRRPRKYKYDSKQVDIRITLIFFQIDAAPIHGSGLFQLVGHATGRRRQVGISYAITGFEILCRLGLGTDFLFSFWISLYYSFIVLWSIPASAA >CRE12100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:248024:253343:-1 gene:WBGene00065851 transcript:CRE12100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12100 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3MPW8] MSLPFPKFPLLVQLEVLKQMELQEVFLLSLCSEKTKKVVQCLSIKPTNLIYLFLESGVQVSVGYGNNHNQIYYDVANVQFVSTIPSGKMKPMKLGGNKFYCRCFKTSPKTKLSHGFHYLAQEQITVLESLQCHMKGLFRFKPRVQLEFTSLHYINMSRIINDVTDSRFEVEELETEQLENYLTIHPGQDSLLLGTKLTGLRLKSDAKLCSIKGLVVYGKRIDPFIFVETRQNPRLHFSEVINNFGGEYLFFIDVVYNINDWAELIQRWKSKEAYHNLKYAMTRAPKRASITFENAMREFDFVEWDGQRRPQTVKLDPKQEIVADESEFTVSSERSSRSREDLESSKTEKDSESFEKTSSDDTKKFDRGVGRIPRIKKINKSFQIEFLLSCMGLSVGLGNIWRFPTRAYENGGSAFLIPYIISAVLFGLPCVYLEFALGQFHGRSPPFVYRRMMPILEGFGWGGASIAAIVSIYFMLLIAWIGVYLFNVIIGNSGKWGRCDNEWNDPATCFNIPAQELCRGDNPVGWNSTLPEKLIYMNGSCHDSKDFENVTLMSASEQYFTNSIVRPSTTLTDINTLNFPVFIAMVIAWILTVLCIQKGMKFIGKLSYATVVLPYVIIIILFVRGITLDGAADGLRFFLAQTDFNKLLNYETWTAALTQLCFSLGLGFAGLMNIASYNGKRHNCYRDAIFLIVGDTSMSLIGGAAVFSTLGFLAKQRGVSVSEVVASGPALAFVAYPDAMNQMPIPWLWSFLFFFMLLLLGFSSELVMVEEMCSCLCDRFPWLRERKIIAVGGVSGVLFLIGIVLTTDAGIYWFELFDQYGSGFGAMISATSMCIIVGYLYGIRHFKLDLVSMLGEGTGSCTNFLGHASPYYGVNWKFISPIFGVILMFLTGWRSYPYMNKPEVYPPIFDILGWTLTLFPTMLVILCAVLAYRRFKKNGIPTRGLFMVQKSHPSFLRVSEEWSPEKQEIGWELPEDEPVESGEEKGF >CRE12038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:244863:247707:1 gene:WBGene00065852 transcript:CRE12038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12038 MHGAKKNAPPPVYPPFTSHSGKTSVTKCACGMPEDDTVEMVMCTNRKCYTWQHSQCVGFQGEDDLSNYECPLCSRGEKWTEDDDKNLMEEFELEIGKKEPRDRIDFFKEFLERYEIDKTTGFLDRRFRKLSKDFLLDESVDINRKAAVCIRGRIKIPEELKETLESCGKLKSDRNGGYKYTSKDKKVRIVSVPRRKVTVKPEESEEDPVAASLLAPNAPFSPAEDPAALPNDASPPHSPGLQDVPEYDAPQQDFDSPQDLSQNKAETSDVAPQDTRTPSPGVHERLLVKIRPSDFPSLFPAHLLAAPVPAAPSPTPSEDRQAESPTPPALAQDTRTSAPLPLNAAPPATSPLNAAPPSTSTKTPGTSTTAPPVTFAPIPTSSTSHVPNGNPLSQFIPQTPSIVSDAPMDFENPLPPSALAPIPPSSTSPLQNDAPRNSANPPPPPELAPVLSSSTTLPQNDTSMNPGNSAPPPAADQRSEPSSELYLAQTGQSGLLDQNQYAPHQQMPRGPPNSRSRPDSSGSPLAHPNQMGSFQIHGSYHVPNTQLHSANYVAYSNYGTGHPGPSHQLLHQYGPLGVMPMPQPPAYYGAGSSSQPGHPGPSHQPVPLGVPGPSSWPGFSGPPGTLYPAHFQGHFMPINNMPRPPYVDMPVPNHPSWFANPFDPRNSGMPLVNPNGSSKKRKHGASSTILAVPDTSAYNMLYAVNSLLESLCDEESGLESLAEEFENTLKMREKVLKEKKVSYNIFFDNLEYVFNRLKDKSRSVKTEDGEDLEIAEICLEIDAKLFWDAFWELLNENRKYWKEFQPLCDKVKELSEGSGENVIRVEDIVNSLRTPFLIYLM >CRE12037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:236306:238619:1 gene:WBGene00065853 transcript:CRE12037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bcmo-1 description:CRE-BCMO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MPW6] METEGFARLFHNFENVLEPKECRKIGTVPSYVKGTMMRNGPGMFEIGKDKYKHWFDGLGFMQRYHFEDGKVSHAGRMFYSARYLESEAYDLNVAAQRIVTSSFGTATFPDPCKTIFSKYFSTFMHDTEKHDNANVAFTPVGDGLYACTETPYMYRIDLDTLKSLEPADFSKYVALHSCTAHQLYDENGDVYNIGSRFGPDSAHVFTVTRNPKNLPSESDHSWEHTLKIGEIKCTDPMYPTYMHSFGMSENYLVMFESPVRVNLQKFILRNFINATYRDCLVWQEDKEVNVFILNKKTGEQVPLTLKMNPFFTFHHANTFEKDGCLVVDYCRIENAGSFETLNIDNMRNGEFQNATTFLPYLTRVVIPLSIPATAKPSDNLLGSIPWAKGYSAVLQEDGSIKLTEQRTCSTSMEFPRYHWEKINMKEYKYVFGSTVFGKVEDNKAGVIKADVSTGNHLVWDRENPHQICGEPIFVPDPAGKEEDDGILIVPIMSISEKQPPFVLILDAKTLKETARFEIPEERIPLGFHAFYQSR >CRE12097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:218963:222538:-1 gene:WBGene00065855 transcript:CRE12097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12097 MWDIPTDPSELYLYLLEIEWLHPFYAYVVFIILTFAVTDGARRCAAQVLGDSKMLKFNEFLSVLTMCTAHFGEASIYYAYGLIPMFIAIIINWKIGEHFYRGSGENSCLLFEEYISRTVENSDMLALALLQYFGATLAYIFNIIAWHYTAKYTGLMGGPEECLYLETAPLPLVALYQFLAAAGLRVALEYMTSERCKKYICLVYATLFCLGQHLVGVPGVHPMMCASRLTGCYFLQEDAVVKYICVYLLGITTGWLVSAAALSERTKLKSMWRVKFEKRLAAEEAALMAEQPVKRFVGKGNRRREVR >CRE12035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:218290:218682:1 gene:WBGene00065856 transcript:CRE12035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12035 MFSPLTILISLICMISLGHAGPYGYYNRGYNNGYATYRGNYGNNGNYGNYNRGSYYQNYQNQGYYQGYRSPYQYQGYGNGYNYNNYNNNYYAQPSYSNGINYDSYGNSFIGTKDNGIYLFCNGRGCPGRG >CRE12096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:214463:214900:-1 gene:WBGene00065857 transcript:CRE12096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12096 MFPIITILVSLIYIFTIGEAGYRNNNNYYQYPTNRGYQNRGYYQGYRTQPQYNYNNYKGYGNHYNNYQRQYQGYGNTYNNYYAQPAPAPPRFQPSLAELLFGGMRQQPSYSSGGINYDSYGNSFVGTKENGLYLFCNGKGCPGRG >CRE12095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:206532:206867:-1 gene:WBGene00065858 transcript:CRE12095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12095 MFSIKLLIVLVAVLSIVEAQSRYNNYKDTYYRNRAYQGYSNQYGNGNYQAYRSPYQNYYRNYYAQPPQVYGYGDKYIGNGVHVDSHGNGYVGAKDTGLYIFCASRGCVGRG >CRE12032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:205276:205698:1 gene:WBGene00065859 transcript:CRE12032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12032 MFSSSILIVLTTVLGIVDAGRHHYYHSNSYRGYGNGVERHGYPYRTPPYNPRSYSNYYQHYRTPYRTPYYQGYSNYYQGYRTPSYGNYYQPYQQPSTYYGSGDRYIGNGIHVDSHGNGYIGRKDTGWYIFCASRGCVGRG >CRE12094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:199891:203700:-1 gene:WBGene00065860 transcript:CRE12094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12094 MQKSRGGRNESGGYRVEIMGDNSSAQTESKPPTGVYPSDDSESTTTYSTATYKSSKKTTIEAKNFLIKDDGEEEEKDGDDDYDSMEEYQVTFPTVELKMPRHHHHRRRHNRRHRGPEHVTMTKQVDRRGSDCNDSVSEYTTYTYSTNPDRSSQTAPSERSGFSRTSKSSGASGATYNSTHRLQSLGNFFTSIIDFFRNNPKLSKLLFGIILCYVVVCNLEILIPRVIALFVRLCYPWARYSAVVGEQFFAMIANMFTRMDAVIFASYCEFAAKYCRSRRLMCDVTCSFVDHVLSQARPTLSPIPQN >CRE12093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:190660:197017:-1 gene:WBGene00065861 transcript:CRE12093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-7 description:CRE-ACL-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MPV4] MSIPQTGRQINYPEYENFLDHLEKKGGPFKFVTAHKHFPVGSKVGTAPKTRSLAEIRKSVLESPRVKSVISEETLRRKCAPEDVRKEAEEILDEMSHTFNLYNVRSFGYAVCKAMEKLYDGIYVNEQKLLEIRERSKRDCVIFMPSHKTYFDFLLLSLICFQYDIQLPAIAAGQDFMSMKFMAGVLRRSGAFFMRRSFGTDQLYWAVFSEYVQTHVVNNDRTVEFFVEATRSRVGKSLHPKYGMLQMILEPYLRGSVYDIVIVPVSMNYDKILEEKLYAYELLGFPKPKESTGGLLKAREILNNTHGSCFLTFGDPISVRDHFGVSLHRNTFVCQPDSQFVLDNQAKVEIKKFAHHVVEIHNKNAVITVWSVACMVILQTFDRDDQATLTYSTIYSGVEDLITLLNQLGTVVNIQGNLDKNLRYYLSMHADLFEPFDCTAQDFQLKFIDFPVAHQQQGVPIKVMERAVSRLILTTYSNGMIHAVDSEGIICAILRNNGVSSLAKVREEFCWLHNLMKREFVTIPGELPQLFEKTLRILTSSEILEVSDDVIRILDEKNVEILSKLVLPYFYNIEMAFAVFSPMKPMVSDLAEMVGTVQKNISDAYQNRLPNTRLSFLSTEPIKNAFAALSDLGIFEKSSSGMRTNFAKLHEIKARLANFTKSTISSKL >CRE12029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:186130:187091:1 gene:WBGene00065862 transcript:CRE12029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12029 MCQYCIGQQTKNRKIVCPFDRKVTVVGTSVELLPKNFAILDILENSSAVENGQKGGVDDEDGSSDSSDQDSHDVVTDEEVSAVTEETTGVSNQETAASDDAPTMPVEVPALPVEVPSMAEETPALPEEAPALPEEVPALPEEAPTPSNRDPAHEASRARSEDSHHNLVSIVPNRNLAAETSTGVSQVPALPEIVPALPEEVPSASTDVDPANTDSVDQAVRRPTVRRPTDVDAELLEIAITLSILDMNKTNQINN >CRE12030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:187495:188184:1 gene:WBGene00065863 transcript:CRE12030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12030 MSCNICFEKYNSSIPTRIPRILTKCGHTMCQYCIGQQTINGKIVCPFDRKETVVGNRVDLLPKNYAVLERMENVSTGENSLEGGVDDENGASRYCDQDSYEDVTDEEAPSVPEAVIEVFNQNSYRDGDSDYDFVEEDELRDEVVSDVSDQEAYQDPTVLLYWTRDDLVSDVLNENLAAETPTRLNLYPALTEHDSDADAELLQTAIALSILDK >CRE12028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:177778:185675:1 gene:WBGene00065864 transcript:CRE12028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12028 MLALLLSLFLLFPYSQSDFMADLRKTIKFYRCAPECIFKHAEITSKTIGFFPECEEICGILNFNSNLDLSEEQLKVAFKKMHVLNGGIRIENTKLRNLNFFTVDKEQQLFGLDCYTGKLLIRNNSFLTDVRMLWKFFYFQSSSDECEMRIEDNVKLDASLLCDYGFMWTIFGIQVNGNWRDCGCTEHSCQILLGGLTLLNTTTTSLLSLATITETRGDILIQDTNLDNLSFMENWTILKISDDGYTNHIAINIRNNYKMTRLGISSVKTISNIWESDMIYGNLENLNPEFCLTFEEVIIFLKFKLFFVNLHATFCNYTTTKLCHFETMENLSQNCIQISGNVIIKTGDEEYIFKLRKVTHIFGTLVIRGTNLKNLNFLSELSYMADLQEDAPIIQLISNKNLTNAYFPGIKHIITRSQLYVVVHDNHPKLVSDNYISLFDAFFTLVEFYGGGWGCPSFKMNTLGLQFYNTCTILTGGLRLVNTSVSTEINNLSNIKTIIGGIEISYTNLENLEFLRSVKEVKFEDIMDKTGINVNIHHNPEMKYLGLKAVKKLTTYNTFTMNLESLHPDFCVSIPEMIAFLNSFVIFRYLDAKLCDISQSDTPKTCQFHELSSLDLECVYIIGDLLIDSGDEGYIEKLKNLTVIFGSLTIQNTNLVNFKFLGKLRKIANLNDQIPVIQLINNRNLKTIEHLKIKSTDKQTIPKIFLICKHPSLIEKGRFVYIYFSNLTQMSCNICYGKYSYSNPTLIPRILTNCGHTICQYCISQLMRNRTIVCPFDRKVTVVGNRADLLPKNYAVLEMMENQKGVENSQKSGVVDNKDGPTSSSVEDSDVTGGEVPAVPEEGTGVSNQETAVLDEEAPAMPVEVPAMPEEVPAANTDEAPANTDGLDRTVRNQVPALPVEAPALPADVPVTRTDDAPSMSEEAQSLPEDAPALSVEVPAPSNPVPAHEAQTSTLEETPVSHSDGVPNENLATGATTVLNQVPALPEETPAPSEDAPVASTDTGPSHTVGGDRAVRNPTEVESLEFPVGTDEESEYSDEGSDDEESSDSDADAELLEIAITLSILDMNKTNQINN >CRE12092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:172339:176432:-1 gene:WBGene00065865 transcript:CRE12092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12092 MKCMKYILVLICLTFCSADFHENLQRVINHFSCDPACTFSHPEISSKTIDDFPICSEICGILVLNSNTDLSSWQLKVLFEHMQTLYGGIVIENTGLTDLSFFTTDTTVFREFDFFCEMYGFYIRNNSLLTDISTLYQFYLWGDENYKECDFRVENNAKLDVQKFYEDNSGLHYLDMRSSGNLRDFGCRGDEITSSNLPLYQNCTFFFGGLKLHNLTDQFDISYLSNVRTIIGSIDIQNTSLKDLSFMKNIELVQINNVGLEERVAFNIQNNGRMTRFALFNLDIAHVWPGSTIANIENLHPDFCFTIEEILQISYLKFVNLHARFCEETGNLAGSILCRFDKLSNLDDNCNKILGDVLIEGGDEKYVSKLGDVWNIFGSLTIRNTNLKSLDFFRMLSYIISLDEDQPLIEISGNGELEAAYFPAIVVSATLLYGRTTTRRCLILQNLISYFLKFQLNQCIRKLNIRLRPYSERPYITHFQMYVFKSTTSPPGPTTQRWFGKEPGDYYYYEGGPELNWKNLPDYFFNEDTETDVISKSEGVDHIVSFVGLFLNLVHFIVLTRKELRESVVFIIILGICFCDILVFLSSITQRYFSNSEERGMYGGYCGTDNQYWMVFMETLSKAIQKFGRLSSTLLAFLVALIRAVTVVFPMSSIVDRLLKVRTGIIIVLASLALCGVRYVQYYWEYSIFKVGIYATNCYESMGSENDDSLRNQEGYIVLVSTVLYLIVTCILLIALFLVRKKRKQLKSDKSDGTSLLIVMMAVSFLLSEIIYSFVFLMDDRDSYTSNRVIIQLVDIFTYISRISLTFNSIFHVFFCFCLSSQYRAVAKRMLRMDKQKTTKVVEQSIISTAARKTETSHQFGY >CRE12025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:163829:165217:1 gene:WBGene00065866 transcript:CRE12025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12025 MYRSFGSQMLKWFKSPPEEVSSADNEVSIMDMPDLVMRKILGYVGFTSIMKLRKVCHAFRNFIDDTKLNYGLTRVCFVVRPSLIRVVIFRSNPMVSSYIDHITLNYADAIILNYAKYAKSSLVNWEVNGLDRVKFLIQEDFLDVFSRDLRAILRNQRLVLMKLEIEEDDFEIVGSLFYLFYIAFSNKTFTSAINKKFGWRCCRRNGFKSFYEFVDHLCDVNGEPLLKPSVDQFFDCFKTVLKSRASLIQIERLDIEVMESYHFMDLACFIDIKHMECLDIRRVYCDEDEDQFLDEIVELDGWECIQELSIKNFHVTIPLERFLHKSHLNIRIPTISMEDVLLLKMRLCQFSRTSPVSQYYTIFYDNLKDMDRLFSVLGRFEIDEDQEKHWYFRIPNTKQVIDIGHKHFYGYFNFSFVWPDIRGVPKNAIIY >CRE12090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:161949:163267:-1 gene:WBGene00065867 transcript:CRE12090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12090 MYRSICSQMLCLKWFKSPPPEEVSIMDMPDLVMRKILGHAGFITILKLRKVCHAFRNFIDDTKLDYGLTRVYIVVRPSLIRVIIYRSSADRIILNYAKYETSSLVKVNGSDRVTFLKEEDFLDVFSRDLSVILRNQRLSSMKLEIMGNNFEIVGSLFYKEFLNRNFTSISNKKYGWWSCRRNRFKSRWEFVDHLRDVNSVYVLKPSLDQFYDCFKNVLKSRGSPIQIEELDIEVMESYHFMDIARFIDMKHMKCLDIVRVNQVGLKGEQQFLDEIVELDGWECIQELTIRNFKFTIPLERFLHKSQFRIRIPTISMEDVLFLKMVRSEVVFKVLVLFCLFQRLLTSPVFEDYTIFYDNLKDMDRLISVLGRFQVDDDQEKHWYYRIPDTKQVLDIGHQHYNNYTYFSFLRSEISDVSKNAIIY >CRE12088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:158062:160941:-1 gene:WBGene00065868 transcript:CRE12088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12088 MDCKTCQRKYQSDLEPLTPRILAACGHTMCQECLQKKLENGKVECPVDGTVTDVNGGINDLVKNFALFEILEEQKIQGEKTSKIECKICLREYNCHLKKLTPRVLTKCGHTVCQACIRKQVQNRQVLCPFDRVVTVVSGGVKELAKNHSVLELVGDRLPRDSDSGDETSDVEETVAPPQQHVLPIPPNLFGFPREIPEIPEIWMEDNVFRNDQVEFGAIFRHIPIPEHRQLIQRPIIERQNVIQPVADDFPDDFPDDFPDDFQDDFPDDFPDDFPDDFQDDFPDDFPDDDNELEIEEESDNDDDYDFDDNNRQRQVDRDDAFVEEPDWLERRNESEDDESGDDDNENDSEDEHHIVHSSRAGSEEEEDDDASEEEENENRHRQEDSDDSENDDDDSDEGEHLTDNQQDLNDYEENDESSDERSEGTPRESWNRDESEEEEDDDHQEDSDDSDENHVSPFLYNGSDSEEDGDEYSDEEPSRERYNQEDSDAPDDDNYANNSYEPPGYQGDSDDNDDDDNDSEEEDLYNDSQEDLNDPGNGFSRISDTNFSDSEENDESSDEGSDGNRRESWNRDDSEDEKDNDPQEDSDNSDENHVSPFLYNGSDSEEEDDESNDEEPTHNQRDSDDQDSDSSVSNRHEPPGYQGDSDDPDDDNYVSNRYVPHGYEGDSDDDDNNSDQQQNYSYNQEDSDDPADHDHDDYSYANNSYDPRGNQGDSDADEDDNYVSNRIMSYSYEGDSDDDEDDDDSDQEQDYGADSD >CRE12087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:156402:157730:-1 gene:WBGene00065869 transcript:CRE12087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12087 MYRSICSQILCLKWFKSPPPEEVFTSDNKVSIMDMPDFVMRKILGNVGFTTIMKLRKVCHAFRNFIDDSKLNYGLTQVNVWVQPSLIRVVMFRSSASTIILNYAKYATSTLVNITGSDRVTFLKNEDLLDVFSRDLRAILRNQRLISMRVELERVNWKVLSSLLYTEFLNRNFTSISNKIYGWWRCRRNRFKSVSEFVDHLRDVNRIPFMKPFVDQFYDCFKNVLKSRGSPIQIEELYIEVMESYHFMNIACFIDIKHMKRLDIYPGYYYEGEDQFLNEIVELDGWECIQELSIRHFNISIPLERFLHKSKLNIQIPTISMENVLFLKMRLLTSPVFEDYTIYYDNLKDMDRLCSVLGRFEIDDDQEKHWYYRIPDTNQVLDIGHGHFYGHLRFSFLRTEISDVPKNAIIY >CRE12086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:154306:155622:-1 gene:WBGene00065870 transcript:CRE12086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12086 MYRSICSQILCLKWFKSPPEEVSIMDMPDLVMRKIMGHVGFISIMKLRKVCHAFRNFIDDTKLNYGLTRMCFVVRPSLIRVVIYRSDPMLSSNTDPITLNYADRIILNYAKYASSSLVNITGSDRVTFLKDEDFLDVFSRDLSVILRNQRLSSMRLEIKEKDYDLVGSMFYKEFLNRNFTSISNKKYGWWSCCRNRFKSQWEFVNHLHAVNDVHFLKPSVDQFYDCFKTVLKSRSNTPIQIEKLVIQVMESYHFMDIARFIDIKHMKCLDIDRLRLDEDKEQFLDEIVELNGWECIQELTIRNFNINIPLERFLHKSQLNIRIPAISMEDVLFLKMRLIASPVFKDYNIYYDDPKDNMDQLFSILGRSETERVKHWYFRLPDTKQVLNIGHRPYNDCFKFSFCGL >CRE12024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:149033:154002:1 gene:WBGene00065871 transcript:CRE12024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12024 MHMMKLSILLFLLIISYCSASFSGDLKKIIKYHQCDPLCTFNHSSISSKTIPFFPKCGEVCGLLIFDENTDLSEAQLKDVFKTMYKLNGGVRVENSHLTNLSFFTIGVEEKYFQFDCLTYGLTIQNNTKLTDVTILWKFQYWLDHDHRECKMVITDNIMLDAGKLCYYGYFYISINMRVTGNLKDCGCRGGNSTTVALSQLSDCDLILSGLKLINTSDTSPLSNITQIRGNLLIQHTNFQNLSFFKNLETLKISTPGLHETIAIDIKDNPQMTRFGIPLLKEFPNIWQGYLFMNLENLHPDFCLTIEEMTLFLKSEVLFVNIHAKYCTNPGNLNGAKLCEFSTMKALEKYCNYILGDVKIESGDEKHVEKLSDVTVILGTLEITNTTLKDLNFLAGLSYMASLSESTPIIQLISNKNLKTAYLSSMKNIITKTENYAIIHNNHPSLIYEGFELYHSIYTSSPEYFGGRLGTVTHFSHLIKNVFLTGCPSDKISSLGWKFYETCTVLSRGLNLVNVTVPQGDINSFNNIKTINGQIEIAYTDLEDLSFLENVKNIEVMDYLNYGGIMINIHHNPNMKRLGLEALKKLFSIVTFTINLEKLHPDFCLTIQEMIVFLDFQVDFLNIDAKICKMDFETKNSKAVCLFKDMLSLEPKCNYIIGDLVISHGDEDYTEKLKSVAIIFGSLAIQDTDLDNLEFLEKLRKIANFNESIPIIKIVNNKDLKNLHLNFPIVQGTISKGFPRAIIEGDDIFETTKDCMIFQYNTRTNVTYNGGSCSKIHGLRI >CRE12085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:146734:148870:-1 gene:WBGene00065872 transcript:CRE12085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12085 MYVFSTTTLTPLSTTKRWHGRDPTDYYFYEGGPEEGWQYKEEYLFDDETEGKTMDVTNSVDLLMSVIGSVLNLIHLLILTRKEMRSNVVFIIMTGICVSDILVCSASITERYFGNSFGMRKICGTRKQWWMTFIEVLSAAIQKFGKLSAAVLALFMACIRTASVIFPMSRVVGTLMRVRTGLIIISGTFLLCIVWYLDYYKNFEIYKPEKDEEACYAFEDILNRGRLHIFLEGCVVILLTICYLLVTLTLLIALHMVRRKRKTLAAGKFDNTSFLVIMMAVSFFISELLYSTLFILGNRDDPISSPKLFQLGIMLEYVAKILLTFNSIFHCFICFFLSTQYRTVIQRMLCWEKKTTEMVEPVTQTHSEAGRTTKTHTRSSGYLYY >CRE12084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:141183:142678:-1 gene:WBGene00065873 transcript:CRE12084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12084 MYIDAPGHLSGFLMEYNATHAMVFNTKDRLLKRGLITHDPTIRLELAARYYFDHLKPPNRRELPYNAHFQFFAAGRPNPTVYARSWAVSPGENLPVEVREKYKGKVWAPYLGLINDKNGMFERRFGKGGRGSIRVLYVNRPDEVFELDRVDNLEYDFWAPDRPAPWHQPTVSMYYDAFPSREIAPYNNDYARFALCVRDKADNLAHDEKALGSTEKCSLLVSPRFGAIRILIATENPGYTIHMNYTAAKEIKIEPPLPTKVVDNGNDVEVTTRFVFEHDHFEKEWSRGLSNWEDRKEGVQSKVYFYNMYLGKVRIPNYSAIHIIKLVENLRKDCYERMKTDPINVIVKVRPLKNFLEMCMKHPDNELYVVKTVVDVEYVD >CRE12082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:135848:137345:-1 gene:WBGene00065874 transcript:CRE12082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12082 MYVDASGKLTGYLMEYNNTHVMVFDLKDRLLKRGLITHDPTIRLELAARYIFNHLKQPKKSELQNTAHFYCFATKPPDTRVYARSWAVSPAEDLPVEVREKYKGKVWAPYLGLLNDKNGMFERKFGKGGRGSIRVRYVNRPDEVFELDHVDNVEYDFVADDRPAPWNQPTVSMYYDAFPSRDTLARVGDCARFALCVRDVADNLAHDGSTDGSTATCSLLVSSRYGVFRSLYVAEMGCWYQHSIQRSTHRRNDPVENPHYTIHMNLTATKIMPIEQPLPTEVVDGGKDVEVTTKFVFEHDHFEKEWSRGLSDWKERKEGVPFKVFFYNFYLGKVRISNYSAIHIIKLVENLRKDCYERMKTDPINVIVKVRPLKNFLEMCMKHPDNELYVVETVVDVEYVD >CRE12081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:131355:133251:-1 gene:WBGene00065875 transcript:CRE12081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12081 MYIDATDRLTGYLMEYNATHAMVFNSKDRLLKRGLITHDTSLPLELGARYHFYHFKPPKRVELPNTAHFQCFAAGRPNRRVFARTWAVSPGENLPVEVREKYKGKVWAPYLGLLNDKNRMFERRFGKGGRGSIRVLYVNRPDEVFELDHVDNVEYDFWAPDRPAPWNQPTVSMYYDEFPSREIKIHNSSCARFALCVRDVVDNVVYDAKRHGSTKTCSLLVSSPYGVIRSLYRVEMGCWYQHAIKDGQRSRKLLIENPGSTLYTKFTASDVVPIEPPLPTEVVDDGHDVEVTTTFVFEHDHFEKKWSRGLSDWKERKEGVQTEVFFYDVYLGKVRIPIDSAIDIIKLVENLRKDCYERMKTDPISVIVKVRPLKNFVEMCMMHPYNELYVVETVVDVEYVD >CRE12023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:125163:130296:1 gene:WBGene00065876 transcript:CRE12023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12023 MQILPLQVLVSNFIAKGIVDGTLHSTRFPFNPNCYVLTELYRLTLPTYEPEIYTKLLHTFNFSTANHQGFWICRNMIQLFQNQNLTSLALGRFSWCQAYMRKGTRSRCVAVFEDLEHKAMDLSNPIDIVAILGDCLNNDSRKDLVSLSIDTEVHETTKMFLPNWIAPLAKMLPKLQSLSISGRVLEQNDFQALCSGFPHLQKLNINGTRLTNLSGIFQLRSLEVLSIGNLKLGSAHDLVDIFNLPNLKVLSLSCEGSCREPCCPRDLLFQFLECDRVMPELVYLDVSFNGLPTHWMGKVMDRCPKLEVLSVIGPITVTDLTSAIEIFSSHNLPSITKALRHYMLLHNRPMLLELFKILMEVLDNVMDLTAGRDLQDCSEALCEIHHKYQGDYTILDGSAPILVMLMRHHQLLEPLHKIDILNCLFYTCNFFLDNPYFNAFYSKFESIFQVVDNPNITKNLKFNIQKFYLVAFRVIGLSIEEESWKIPCIGFLHNILNTVETTEAEREGIDWRTVVGSLKKFGKRHIQHLELWQVEDINKIAFIDRIRINSNRPLSYDSFKKVLIYMNANLRLRLATQCPSIRSAEKAVPLKIKFFQPIRNCMFINDTIYHFGVYKKYPKSECPPRVIVTNKTDGSSRDVDEFGFDYWGDANAVTEGDVDVRNPGEQEEEYPEKPDGFVEQEENKLKDLQARLADPYSNESEPNSKGDIRDSLASLQPFYSRRDGLKVPFKCFLMLTVKHENNEEKRVEFVVYRKKVQEAYKYLSCKFFGNRLHPVTFKKMSFEPTIIRFPPELKIRIRGLYTCADMNVVLDAMEACADLASYPLEKIVGLYPKKEKHFYHKHIKSAKCLNIYGCGEQVDWAQVYINLGNQKIHNCLSHTDITTTECNELIKKWIVDEKPVGTCLSFSIDHKYHLPSYYERIYC >CRE12079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:115307:119459:-1 gene:WBGene00065877 transcript:CRE12079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12079 MDADMPEVDLELVWAKLCPGLDIIFRRENMSAKYYMGLYSTVYNYCTNLTAAHVPATAESQLQNNFIGSDLYSHVENYVSAYTTALCKRCDDLDGEALLDFYTKEWDSYRFSAKVLDGVFSYLNRHWIRREIDEGRKERYMVYMLSMVLWKRDMFDTLEHKIIPAMLELIRLERTGHTINKRFISAVVENLVELGMDDTVSAKEEEAKRLDIYKNSFEKKFIEATRDFYTNEVSVFHMENGSCTDYLIKVETRIQQEDNRVTLCLHSSTGPPLSGCCNDVMITKQLEFIQAHFGRLLMDKMDDHLARMYRMCLRVENGLPALRKALKEHVQKEGLEALERVAAEAFNDPKLYMSTLLEVHERYQGLVDRSFSKEPGFMKSLDSAAIEFVNKNAVTLRAPPQLQPLKSSELLSRYCDQLFRKSAKMPDENEMDDIQKKVVSWVGIWACLGWGALLRMLEVTIFKYLEDKDVFLKFYTKMFCKRLIGELSASDEAESTFIQKLTDTCGYEFTTRLTKMFQDIQVSRDLTSAFKEKSADDKKCAEFQAMVLSSGSWPNFPTSGLKLPQQLVVTIENFAAHYQTKYTGRRLNWLYSQCRGEVTTTAFKGKKYVFGVTTPQMCTLLLFNEQTTFTAENIMEATGMDGKSTKAVVGSLVKNQVLKSDKALEGDEVPLNATITLNDGYTNKKVRVDLSKMTMKAEPVKETDNVQKGLDEDRKNMIAASIVRIMKARKSLTHTNLVTEVISQLSGRFKPKIEMIKRTVGSLIEKDYLKRSEQQRDLYEYVA >CRE12022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:112679:114887:1 gene:WBGene00065878 transcript:CRE12022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12022 MDCKICFHRYSSNVKKLTPRILTNCGHTMCEDCARRQVADGEIMCPFDRMVTCVGGGDVKQLSKNFAVLEIIEEMKKFLIVESDEESSVVEKPVSDDRLDSDEAQPANVEEDADNVDQQSEGHPDDVQEAYQGDALDSVGWSDDDSYNPYNHYYDSDEPDSYDADEAAETENEHSDGQDSEDQPVEEDEDNGDQQSEDHPDDVDAVTPQRRNLQNNNLLYSGRWTNYPYRNSQQYPLRPQNNPHVNYSNSPLANNSLPPPINYSNPPPIFYAGAPIHYGLPPQDSYSNPPQIIYCLVPPNHNSNFMGLIDSQPSQVNNSQPPQNNYSNPPQALSSSVPQIIYSNPSQVSSSQRPGGNYINSPFVNRSNPPQVNYGNQPLANRMNPSQVVSHPLSSYSNPSQVNDCQPSQNNCSNAPQASVGPLTNSYSNPPQVNRNQQPLLNYSNPSQFNRGNPTQINNLPAPQASYSNPSQVNNSQTSQNNYTNPPQASVGPLPNSYSNPPQVNRSQQPLLNYSNPSQMNYSNPPQMNYSNPPQINNNRPLVNNSNYTQVNYSYPP >CRE12078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:101320:110104:-1 gene:WBGene00065879 transcript:CRE12078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12078 MENQVEEIVELRKRNRKGVPESKMRSHLFTRTDRRMSRRRNRQWNRSKEEREEDRRRANREREERLKRREKEQFDAFTKSVEKPKQASRPVRGNPADSTPRDLTATLPQTSGQLLNAELPTPRAHFANPVEDFSSPGSNYQTPSQFPLKETPILSRREGPTGSPQPTHKVRDFFARFLSFFDNSEEDPQDQTSGKPTENEQEEVLTPNPSDLKEKNPTPNSTPPGKPGKEEKSFTRKPEKPDMEKKELAGDLQWEEDSPAETEPLKALRAVAGHVPKFSKGNTAALRRWLVDYRLALHNLNIKQEAGARILPFFLDGLAKQRYHQLPAAQKATWTDVVENLIRAFEVPGDRELAQQEITTLKQGELTITEYARRLRTLGEYAYEGMPENVRESLLLNHFMHHAAPHLRKKLLQMENVPKTLEEMIRKAERFQRLHNLDEEKEDEQLVAAMSQLMRPAPDQDREMTGRPSYRQPSAPPLESGTQPSWRYGGRDPPNYRNGGRPNFQRNDRQNFRPNFRQNDRPNYRQDNRPPMRGRGPPLQYLPNRFPMEPPRGRFPMESPLNRFRPEQPPGRNYRNEERSQDERNFHRGRGFPNKLLAYLTISMMLVGLAQAGKPQICGFQQGGNMFVPPSVLPCEPPKTPIVATKADIFELRSDPMRQIAHSCYKQTFQVQTFSFLKFYVTAKTTNPGENVFQSVRVQECREAVRLKKFAGKDLIESPKGVFRSAHASEVAENHTAWFGSTHFNHEEIIIVVGEVASFDGATTISTLGDTSKCLYSSGYCKTEKTTIVWMESAPFQSCKYQRMTSADAFISDKHIAIPELRMFAAISQDMRFTDTEAKGCTVGNVYFTDDGKMISFPELPSDLWSPDYVRMKEGHHRRKRTLLLVPGPNNITMALNLGEKFAVPIIQRLFSVDALEKIERFETEPISDPRILNEIKTFGVTNELLMTRAERYESERKNSLGHQLIVLKCIRIMQYKFRTTERLNNLKRELTAAESELLKIMSSDLVNVFDPLLDLEFGVTANCIVPRTVAPKRAQVXXXXPKYQTPPEPTNPPQTTTRKPQTPRTTPAITPPPKPTPPRLPPVTTPSTTTTTTNLPQVQTWEEYIPESNRNVVFEKPQERPFHSPAIDLFMNTCIQQREATILFQTVLNIDPTAAVRQLMKRTDIAARKAGQGILVTQCKTVEPEEIIWDRKINNTCFDLVPMMIDGKIWFLLEGTDDLVAESGSVECTTPQETGKIHKEDLVWRNEKGSETWVQTFNRPIRREANQFLFQTPTVVGKDLLGPGTSSAADEELSKMYRQKVNNIAFKLLEENVVKAKDFITNTVKVHKDRVANTLESIWNQAGKRVFETVKTVVFDVTKFLLIIIVPLAVIVILVIILYAYCKYKLARKAATVTARQMIEMATKQLRSVNYVDQTPTRKCYVATNIEDEYPIPGVYSVLHHHNKGHLPVIQVEMDGRTLHALIDTGAGVSYLPESMVQPEQIESGKQIANAANGSVIKFIGSTQQKIRIGDIVVDQLLLVSVNGDCPSEMLLGIDFVRNLNRQGHPINFDMVNKKLTIGKEVALICSVELAPERDTIRVVVLFKCIVNPRSEALIPAKLENYRTEMGNEFMIQDNQRESDDIYTVARTVVNTDDEGKTLLQLCNPSAKSIQLYAGQALATATIYEQVWDEGYTPPEADWTSKLPRLPTETPPGYQPSDEIDLSKSIFTEDQKRRLKEIINQHKEAFVAPDGVLGCYTGPIKHRIDFVKDAIIPPPKNYRIPLERRREVEKQIKEMEKQGIIRESSSPFAAPIVLVKKADKESWRFCIDYRALNNITEPVQSVLPNIQELLDVTAQNPIYTTLDFASGFHQIPVEEAHCERTAFASFMGVYEYIRMPMGLKGSPGTFQRIMRSLLRPLKARVFCYIDDIITTSPNAEKHLRDIEEVLGMIQRSGMKLKPSKAKFGQDRITFLGFVVSAEGIHPDPSKTSAITEYPTPKTVRDVRAFLGLASFYRRFIKDFSKITTSLTELTKKDSEFHWDEEQKDSFEKLKLALVSSPVLAAPKLGHPFIIETDASGKGVGAVLMQSQDREAIEPRVIAYASRVYNKHEKRYPAIELEALGLIFAVTKFRPYIDGAETTIITDHSPLKALLYRTDLQGRLAKYQIILQEYNVTIVYRPGKANVIADALSRHHPLVNAVIPTWIDMKVVKAEQQTAPDFAAIHEKLDHFALHDGIVYKVTTGTPVVYLPKDTKYGKELIEKIHGDLDEGAHMGRDKTYHKVKEIATWPGVELAVRNVVAACPTCQKTKDPAVARTRARLHEFESVSQPFERVHCDFVGPWPTTERSYKYVLVMCCAFSKFIVAEPLEDQTAMTTVQTLSDRLFARFGIPKIVVTDQGTNFMSKVVAHFLLSKNVTHQISTAYHHSSNGQVERANQVIEIALRPYKDEGCWDIYLQKVVHAYNTSVHATTGIAPFRVVHTFSPGTPMKNSLLKTGEVSVNEYMDNIRRTLGRQQAYCRARIEERTKERNDRYNNKDNIKDVQFEVGDKILLRKGPRDKLSSPFLGPFEVVKVEDPNIAIKGFGRATRSGERREAWVHKDRCKKFIEGK >CRE12077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:100239:100967:-1 gene:WBGene00065880 transcript:CRE12077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12077 MACNNVTIVKAMVISEEGGRVMLFTPKFEENLVIHNQSKKRQPGELFEITVYSEDYHPRKGELDETTCSGWKVKLDGEKLGIQSIYLPSQNQKGKELLLKVLFHNQFPWFGETGHYYNGSFPFAKVKHTNSAVEAWLKTFSKEKKLSMELQMGRDGAIAIGTARRFTTEEKEGNAAFVTRYQSAEEAGLQPLLRSPHPYVWLPEGQRPLRGFARITMQQGRNPQEEDSENEEWEIVARDDLE >CRE12021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:96284:97585:1 gene:WBGene00065881 transcript:CRE12021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12021 MDCKICFRTYDANEKKLTPRILTNCGHTMCEDCIGRQVEYEEIMCPFDRQVTFVGDDVEKLPKNFAVLEILEELNPQREAVVREPVVEEVARQEVRQYFVEDSDDDAGDVRHHSIVDSDEDAGDGQRRYHGSIVDSDLEDSEANGLTENGYTDNNDDDDWTAWYEGDEEEELDEVDPWENDYYYNSDGYPNEEEDEGDSEDDEQDQNWPDYNPEDYESEDRNSEEGYSDDVEEAVVEEEDDARQNSEGWPDDVNQYDNNQSDPEDSYNPHNHYYDSDNENYQDDQDWGGIVEQIALNLYNDNDDDGEDDEDYYNE >CRE12020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:93538:95024:1 gene:WBGene00065882 transcript:CRE12020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12020 MNRRPPLSYESLKSVLGQMNANTRFRLFSRIPSIRPTDKVVPLRIQQFSADNNKFKLNNTEYEVGIYKKYPPGMTPPKVQEVNNAGGLISDLDQHGFVDQSGRNVLTPGDVDLRDGRLPVLFGEPYQQQDERIPDLEKKLNESRRKIEFVESFGPIRLVLEMNPDHNGFQLRKLVERFLDGTLKPSTERVPEFERAQKMAHDQLSREIKNCFAKLQPFYSRRDGLPVPYESFIQLTVSSRTSSVSDTRSLFPLLDAPLEWLCTVVNNDEDCECSILQEARYLEVLENYPYQIRTPVVLNLQNLNFHKISRIENTWSVEDFLLVIKNWIESGKKVGSCYSFGTSEHVKNTILGKITEAFKNAETEDAFISIPTRFNNQVKVSIEERQRLNRWVVKFEVLPVKRATQ >CRE12075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:91494:92801:-1 gene:WBGene00065883 transcript:CRE12075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12075 MNRPPPLSYESLKTVLGQMDANTRFRLFSRIPSICSTDKVVPLRIQTFLAHNNSFMINNTGYEVKVYKKYPDANKSGLALTDLDQYGFKDDSGKNALTPGDVDFRDESLVTHGEPGYHQQDERIPDLEKTLEELRRKIEFVESFGPIPKVIERNSVYKPFEHQKLLKVFMKGTGNTTIERCQEFEIARKMAHLKLSGEIKNQLAKLQPFYSRRDGVPLPYEIFLQFSVTSPGQKHIERVRYSKKLHESAKYLSIRLFGNRRHPVHIKLLNLNGNGIMRLPVALRLKIEEIEALGKNIHLLQRSLVPLLDAPIKRLNTFFNEDEDFEYSILKEVRHLQVLENHPYIRPQVVLNLQNLIFHKISQFEYSWSVEDFLLVIKNWVESGKKVGSCYSFGTSEHVKNTILGKITEEYKDAETGDA >CRE12019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:87250:88751:1 gene:WBGene00065884 transcript:CRE12019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12019 MNRPPPLSYESLKSVLGQMDANIRFRLFSRIPSIRPTDKVVPLRIRTFSTNNNKFKINNTEYEVGIYKKYPPGMTPPKVQEVNNAGGLISDLDQHGFVDKSGRNVLTPGDVDLRNGRTVDTYDIEYYQQDERIPDLEKKLKKSRRKIEIVESFGPVPEVLEDDEDHDEFELQQLVQGFLDGTLNTTTKCPPEFERARKMAHDKLSGKIKNQMAKLQPFYSRRDGVPLPYETFIQLTVSSRRQEHIERVRYSKKLHESVKYLSTILFGNRRHPVHIKLFNSCWNEIMRLPVGLRLKIEENERGMNVHFLQRLLAPLLDAPLKELNIFVKNDEDFECSILKEARYLQIVENRPYRIRPQVVLNLQNMNFHKLSLSENYWSVEDFLLVIKNWVESGKKVGSCYSFGINRHVKNTILEQITEAFKNAETGDAFISIPTRFNNQVKVSIEEHQGLNQWVVKFEVLPVKRSTQ >CRE12074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:85552:86888:-1 gene:WBGene00065885 transcript:CRE12074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12074 MNRSPPLSYESLKSVLGQMDANTRFRLFSRIPSIRSTDKVVPLRIPTFSAHNNKFKINDTKYEVAIYKKYPHGMTPPRVQEVNNAGGLLTDLDQHGFKDDSGRNVLTPGDVDLRDGRLTVTAGEPGYHQQDERIPDLEKNLEESRRKIEFVKSFGPIRLVLEMNPDHNGFQLRKLVERFLDGTVKATTERSPYFEKARKMAYDELNREIKTYIAKLQPFYSRRDGLPVPYETFLQLTVSSWRQEHIERVRYSKKLHESVKYLTTRLFGSRRHPVHIKLLNPCWNEIMRLPMGLRLKIEEIAQGMNIHLLQKPLAPLLDAPLKRLNTIINNDADFECSILQEARYLEVLESYPYHIRPPVVLNLQNLNFHKISRIENNWSVEDFLLVIKNWVESGKTVGSCYSFGTSEHVKNTILGKITEEYKDAEAGDA >CRE12015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:74291:78334:1 gene:WBGene00065886 transcript:CRE12015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12015 MMNSRPLSYESSKSVLKHMDANKRFLLAAKYSSIRSANKATPLKIESFSCSASAFQVNDCEYRVGVYKKYLNSAETPPNVVYENGRGGFYTDFDQYGFKDYSGASILTPGDVDLREPENDELEPRQDDAQLEVYERELKEIQRKLDIIYRFGPIDKDIEEVCDPENDLYLEVTIQKFMDGEIPYQKYGKVLDEFWLARKLARDQLVIEMKMQVGILQPFYSRRDGLPVPFEKYLQFAITSESGEQYIERLQYNKKLHEALKYLMGKLFGNRRHSVNIEYFFDMYSVIIRFPVGLRLEIQRMNLSSIQEVQTSLATLLTDTVKHLSFRVMHEEDFECEILKNAETSNVYYCAVPNLVLRLTNSKAFIHTIDWTIEDFMIILFKWVELDKKMGTVYTFSVEENIRKPLFELIKWRYEGTRKSKNSFLLASRCPSIRTADRATPLNIDIFDYSENAFEVNHTEYKIGIYKKYLNGAETPRDARRDNARGGAYYDLDQYGFDDLSGENTLTPGDVDLRRPNNRGWSPINMQDDDQISEFEQQLAEFRSSLELFKCSEPTEAMKEDVDTIIKNQMAKMQPFYSRRDGLPVPFERFIQLTITSRIGESYIERLQYTKKLHEAVKYLTAKFLGNRLHPVAIGHFCGFPGIMRFPIGLRLNVKSLVVSKIQIEQEILRPLLTNTVQRVNVVVESAGDFECAMLKNAEILKVHDGGTGFIRPPMIINLINLKANIDVVNWTVEDFMKVVRNWVEVVKKKVGTSYKFTLILEENITQHLFESIKEQYDEAKEIGDLYVLCMFSAKVYKIADL >CRE12073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:68117:71146:-1 gene:WBGene00065887 transcript:CRE12073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12073 MNEVEEEYTTRRFKMTHVIESMKEMEVGDTHHGEMENHFGVDWTTYIHRDESELHFGLKCHAPPESKSPWSMITNISLKSNQVLGFSFFELDHCFHTENFQKSENFDDLKRYWEICKEKPLKFNFFVEVTDVSGVPGYDEPMVTLKVNKNKIEVARKTLVQESLHFRQLLVRKPPGSCEISVGRPVYNLQLLLPVLEETSVINDKTVLRILQTAYIFKFPVVVKRCEYFLMERSRKCAEILKGIAERYGLEKLKLHLLDWEDYDNMAYLTCDICKKIFAEIPDTLDCGHSFCRPCILNSKREREMKGHVSQRYKNLKNDSESVSESHLCTMWSSGCVWTSYAEFRGS >CRE12013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:63803:67287:1 gene:WBGene00065888 transcript:CRE12013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12013 MDSDDDIQLNDSDSDGESEEKGTQILSFDDLVTKMKDAISEIQDILEVKPGVCRILLQKHKWDKNSLLERFYEHPDTNEFLKAANVIPEESETFPELPVPTDCDICCMPGELTGLACGHLACIDCWRAYISDRINDGKCEVECMTGECMLLMEDEKVNFYITDPSILEKRRQLIVNSYVEINKCLRWCPGKNCGKIIKAAHSEPHLVQCSCGTQFCFFCGNDGHEPVSCRLLKLWEKKCLDDSETANWISVHTKDCPKCLAPIEKISGCNRMLCRNPSCKFQFCWMCMRDWDVHGYSPCNSYDPKKEKDRVKNRANLDRYLFYYNRYKGHGDSLKLEKKLVKAVETKMEVLQHHSQISWADVQYLPKAVETLSTCRRTMMNTYIFAFYLEHNNHAEMFEANQRDLEMATEQLSGFLEQDLLSQSGQEKMKTLIQNVQDKCRYVEHRRKILMDHVVEGTEQDVWVFREE >CRE12071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:60471:61737:-1 gene:WBGene00065889 transcript:CRE12071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12071 MTTRGPQPLFYETLKCVGLYLEPYLRFQLYQRCPEFRSVHKTQPVRIHELKVRSNNFEINGTVFSVGIIRKYPATTPTPKPVKVSNAGGGVQYDVDRYGIRSDGQEEIQPMEAAQRDATRITFLEEHLQQMVRDRERKRWAVVPELDLRIEEKQLEILSYRIRMSNQEPPFTQYLQLTITNGTVQNVERVDYEKNLKFTRDYILRKIFGVVKVHVGCLQIGEDKLNSRFRFAFHFGEEQFAEQRDGPGNAFDSITLNGQVEPLLPIQDGNLQVAEMRVTGNLKNGVASIKTNLSENNAPLKKLTCGYQPLPDDPIVLNAELLCIAGTGSLKVYNGNLNNRIHLAECYVMETDFMNLVNRWAMEKSEVGRFYSIGFKNVKNIEEFLTLFINIPGAERGDNEETR >CRE12070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:58520:59738:-1 gene:WBGene00065890 transcript:CRE12070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12070 MTARNPLFYQSSKCVALYLEANIRFQLFLRCPGFRSVYNTQPVRIHELKVRPKSFEINGTVFSIGIIRKYLTTTPTPKSVKISNACGGIQHDVDRYGIRSDGPEQNQDATQIAFLEELLEWMVRDRERWDADSAWDLRIEETQLKIFSHRMRMSNQEPPFTQYLQLTITTGTGQKVELVEYDKNLKFTRDYILGRIFGVVKVQVGRLQIGEDKFNSRLGLHHAEDEEIVLARPGNAFDFYTLSGQIEPLLPVPEGKLEIGEIRVTGNLKNALASIKTILSESNPPLRKLTCAYQPLPDDAVLQNAEILCIAGNASLKMFNGRLNNRIHLRSCNILQTDFMNLVNKWTTEKSEVGRFYSIGFKQEKGIKRFIKTFRNLPVAERGENDESR >CRE12011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:56344:57582:1 gene:WBGene00065891 transcript:CRE12011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12011 MATSRPQPLFYQSSKCVALYLDANIRFQLYLRCPGFQTIHNTQTLRIHDLKMRPNDFEINGTVYSVSIIQRYTTTLTPESVKLNNTRGGIKHEVDKYGLREEGIHRDAANVNELENQLQSMVSHRETLRWRWDVVRGLDLAIEEKKLKISAYRLRMCNQVPPFTHDLQLTLTNGAIQKMEHVAYDKNLNFTRDYILKRIFGVAQRNVLIKNLHVGHDDFDLHEMFYLRIAAEDPRQDTFQKSGPYNAFQLSRCEDQIEPLLPVPEGKLGIGEMRVTGNLINALASIKTSLSVSNPPLKKVTCPYQPFPDDPVLQNAEFLLVAGICSLKVFNGRLNNRIHLTECDVVQTDFKDFLNICTKVGQLYSIGFQDQTSIEEFFGMFRNLPEAERGGSDESR >CRE12069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:54573:55816:-1 gene:WBGene00065892 transcript:CRE12069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12069 MTTSRPQPLFYQSSKCVAMYLEANIRFQLFVRCPRFRTVHQTATFRIPDLKMRPHDFKINDTTFTLGVIQKYTTTPTPETVKLQNASGGIQYDVDKYGLKSEDGLEENRDANILAYLKRHLLWMVADRERRGLDVDPDLDLRIEERQLEVLAYQMRMTNQEPPFTQYLQLTITTGTVQKVERVEYNKNLKSTRDYILGKIFGVDKVQVGNLQIGEDKFDSHFMFFLQFAEEGGLIAKRQSGNAFYVYTLNGQVEPLLPVPEGKLEIGEMRVTGNLKNALASIKSCLSERNPPIKKLACVYQPFPNDPIVQAAKFLCIVGKASLKVFEGNFSNNRIHLERCDILETDFMNLVNKWETEKNEVSRFYSIGFNKVKEIERFFEILRNVPGAETGKSYVSR >CRE12010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:52025:53232:1 gene:WBGene00065893 transcript:CRE12010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12010 MTTSRPQPLFYQSSKCVALYLDANIRFQLSLQCPGFRVVPQTATLRIHDLKMRPNDFEINGTVYSIGVIQKYTYTPTPEFVKLRNASGGIPHDLDRYGIREEWMYRGAGKVKQLEEELKLMVGDRGLDLAIEEKQWEISAYRLRISNLEPPFTQYLQLTITTGIEQKVERFQYDKNQKIARDYILKKMFGVAERRVQIRNLQIGQDDFDPHLMVHLQRVANGLNRDIPQRDGPYNAFQMLRCDDQMEPLLPVPVGKLEIGEMIVTGNLKNALASIKTSLSETNAPLKKLTCVYQPLPDDPVVQNAEFLCVVGYGTLKVFSGRENNRIHLAECDVMPRDFTDLLNICTKVGQFYSIGFQHQTTVEEFFEMFKNLPGAETGGSNESR >CRE12068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:50360:51533:-1 gene:WBGene00065894 transcript:CRE12068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12068 MATSRPQPLFYQSSKCVALYLDANIRFQLSLRCPAFQTVHQAATLRIHDLKMRQDDFVVNDTTFTLGVIQKYTTTPTPESVKLRNDSGGIQYDVDRYGLSEEDGIRVKKCKLNNFTLQEELYIAHRVLERRIYDNRYKAIQLERLAHHMRLNNQEPPYTHYLQLTMTTGTVQKVERLEYDNNFKISSDYIIEKMFGVARRKVQIKNLQIDREALDLQLMRHFQNAEFLSFAGTGSLKVFNVNQNNRIHFAKCNDVETDFADFVNICSKVGQFYSIGFQHQTTVEEFFEMFRNLPGAETGKSDESRLSQFPECIIISMSDETELNVWFEKTNEEDKVYCNTEFIVKIKVQNRGHAHVI >CRE12067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:48532:49897:-1 gene:WBGene00065895 transcript:CRE12067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12067 MPTSPQPLFYETSKCVALYLDQSIRLQLYLRCPSFRSVHKNQVLRIRHLKLRPDNFEINGTTYKLGIVRRYTNGKAPEEITFERNRRSQSLDIDRYGIPIPSEGVTETDAEIVERLEQELQQILSEVTRILHERVMMKPGIFQYRMRMNNKVPPFTHYLQLTMTTGTAQKVECVERVEYSKTLNVTTDYILKKIFGLNSRKVQVQTLKIGPDGFEDVKEPISDLALQVFSHIGKEFLEMSRLAVSGNVVNVLANLKEVIDMAPPLLEFKLAYQPIPDDAIVESADLLNIAKRSSLRILSGRSNYHIHLHACFVDYGDLMFMMNEWNNNKSRAIRYYSIGFSESYKIKIFLNMFKNVPGAERGEIKETRMDEFPDSIVIPLKNDTEQNVFCQETKDEDEKYCSTEYIVKIKVQPREFADVLGPF >CRE12066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:46563:47950:-1 gene:WBGene00065896 transcript:CRE12066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12066 MPTSSQPLFYETSKCVALYLDQNIRLQLLLRCPSFRSIHKNQVLRIRQLKLRPDNFEINGTAYKLGILRRYTNGEAPEEIRHSNNAGGFQHDMDRYGIPISNEVVNETDAEIVERLEQRLQLPGVYHARRFIEIIEILEEIQPRILQYNMRMNNEVPPFTHYLQLTMTTGTEQKVERVEYSKTLNEVKDYILHRFFGLNSRKVQVQTLKIGPNGFEDMEEPISNLALQVFSHIGKEFLEMSRLAVSGNVVNVLANLKEVINMAPPLLEFKLAYQPIPDDAIVESAELLNIAKRSSLRILSGRLNYHIHLHACFIDNEDLMFMMNEWNNNKSRAIRYYSIGFSQKLELKRFLNMFKNVPGAERGEIEEIRPTEFPDCIVIPLKNDTELNVFCQETEDEVEKYCKKEYSLQQIYWKVVKIKVQPRGFADVLGPF >CRE12065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:43279:45973:-1 gene:WBGene00065897 transcript:CRE12065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12065 MATPSKPLFYETSKCVTLYLDPNKRLQLNLRCPTFRPIHKTQPLRIRDLKLQKRSIEINGTSYKVGIITKYPQEVTPPGLIVFENATGSLQFDVDRYGFPKESDEENSGKEEAEIELLEQNFRIMRFTKDWLEMTNLAEDMQKNNEEPPFTHYLQLTIRIGDDLEKVERMEYNQAIKVAKEYLLKKVFSVEDIQKNPIVQSIQIRPYLLSTNLMFDLQNGNFLCVTGRSSLRAFSGRHNNRIHLERCELEEEDFKIMMEQLIGMESRVGIYYSLGFRSGPDVAPLFQLFRNFPGAERGEIEDRTWSQISESIVIPLKNDTELVFSCDEPSGEELEYCSSKFVVKMKVEPRGKTDVMGLAMTTPSKPLFYETAKCVTLYLDPNKRLQLSLRCPSFRSIHKTEPLRIGDLKFQRGNFEINGTRYAVGIFTKYSGVRTPIMIDHENMTGGLQYDVDKYGFPVDKKERDEEEEAIKLLEQDFRLMAFPRKMLTLTSEAKCMRKYNVKPPFTHYVQLTIRTERDWKSKVERVTYNQSIKVAKEYVLKKVFSVNTQKNPIVQSIQIRPYLLSINLMFELQNSNFLCVIGRSSIREFCGRHNNRIHLERCHVEEEDFKVMMEELNETESRVGRYYSIGYQTGPSIAPLLQLFKNLPGAGKGEIEQKRWSQLSDSIVIPLKNETELIVTCDKPSGDELDYCSLMFVVKMKVEPRGRTNVMGLCLPK >CRE12064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:40400:41837:-1 gene:WBGene00065898 transcript:CRE12064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12064 MITRPKPLFYETSKCVALYMDPNIRLQLYLRCTSFASPHKNEVTRIRDLKVRPENFEINGTIYRLAVITQYTDTPNPRSVVLDNAEGGIQDDVDIYGLPPRLTQDDAENVEVDNAEMTSLREKIARMEQDRAKPGNRNNIERLNLKWEAYNMRINNTPPPYRHYLQLTISKGKLVKMERVVYDKKFGTAKEYIEKLVFGNKTIRVENLQIGGDEYLHDLDNRIGIQFGPPRQEPLFGYTPQTDSVKPLLSIRSLKVGVLKVTGILTNALASIRPVLSQVPLKELKAVCHQRTFPEDPIVNTAEFLHIADCTPLNVLSNRPNYRIHIRVAYEMYDNNVINLVNEWKSRKIRIGTYYSIGGHDRPVFNIIRMFKNTPGAKLGENKETRLTELSECIIIPMGNDTELNVYRTEPNEEEKDRDSSIVKIKWQPRGYARANEDM >CRE12063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:37216:39145:-1 gene:WBGene00065899 transcript:CRE12063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12063 MITPFKPLFYETSKCVALYMDPNIRLQLYLRCPSFASAHKNEVTRVRDLKVRPEHFEINGTIYRLAVNTQYTDTPNPRSVVLDNAEGGIQDDVDIYGLPPRLTQDDAENVEVDNAETTRLRETIARMEQDRAKPGNRNNIERLNLKWEAYNMRINNTPPPYRHYLQLTISKGKLVKMERVVYDKKFGTAKEYIEKLVFGNKTIRVENLQIGGDEYLHDLDNRIGIQFGPPRQEPLFGYTPQTDSVKPLLSIRNLEVGVLKVTGILTNALASIRPVLSQVPLKELKAVCHQRTFPEDPIVNTAEFLHIADCTPLNVLSNRPNYRIHIRVAYEMYDNNVINFVNEWKSRKIRIGTYYSIGGHDRPVFNIIRMFKNTPGAKLGENKETRLTGYPECIIIPMGNDTELNVYCSEPNEEEKEYCSDQFIVKIKWQPRGYAKVVEIDIVWDREKRSVLRRHVIKADVKTVAKHYTLRGQPLMRKKGAVRCHSNRVVGLSRRYTPPFPVKLTQSTLRTRMAVYCHYTVLSLVIQVHCENKMAAKRICES >CRE12062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:34778:36210:-1 gene:WBGene00065900 transcript:CRE12062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12062 MTTPFHPLFYETSKSVALYMDPNKRLQLYLRCPSFASAHKNEVIRIRDLKVRPENFEIDGTIYRLGVITQYTDPPNPRSVVLDNANGGIQEDVDIYGLPPRRTRDEVENVEADNAEMTRLRETIARMEQDRAKPGHRNNIERLNLEAEAYKMRINNTPPPYRHYLQLTISTGKLVKMERVVYDKQFGIAKEYIETMVFGNKKVQVQDLRIGGDKYLNDLDDNFGVQHDPPLHEPLSSPHHKQIIVSGILTNALASLRPILSQIPLRTLTAVFNRHTFPEDPIVNTARFLYIDRPTPISVLSNRPNYRIHLCLAFCQNDYDLNNLVDEWKKRKIRIGTFYSLGTTESSVDHIFGKFRNVPGAKLGENKVTRSTELSECIIIPMGKKTELNVYCSKPNEQEKKLCHWTVKFIVKIIWHLRGYARADEE >CRE12061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:32266:33711:-1 gene:WBGene00065901 transcript:CRE12061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12061 MTTRPKLLFYETSKCVALYMDPNIRLQLYLRCPSFGTAHNNEAMKIRDLKVRPDNFEINGTIYSLGVITQYMDTPNPRSLVLDNAKGGIQEHVDIYGLPPRRTQNEAENVELDNGEIVSLRETIERMEQDDARRGIPGKPGNRIRIQRLNLKAEAYNMRINNTPPPFRHYLQLSISAGEQVKTERVVFDKNFGIAREYIEKMVFGISKIRVENLQIGGDKYLTDSDNRLGIEYGPPRHEPLFAYTPQTDSVKPLLSIRNLEVGVLKVTGILTNALASLRPILSQVPLRTLIAAPYQKTFPEDPIVNRAQFLQIDGVSPINVLSNRPHDRIHLGLTFKQTDDDLINLVNEWKKRKIRIGTYYSIRDRFDGLILTIFQTFRNIPGAKFGENEETRLTAFPECIIVPMGNDTELNVYRTEPIEVERDSYSSIVKIKWHPRGYATANEDT >CRE12060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:30332:31749:-1 gene:WBGene00065902 transcript:CRE12060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12060 MTTPSKPLSYLTSKCAALYMDPNIRLQLYLRCPSFGTAHNNEAMRIRDLKVRPDNFEINGTIYSLGVITQYTDTPNPRSVVLDNAKGGIQEHVDIYGLPPRRTEDEEENVELDNGEIVSLRETIERMEQDDARRGIPGKPGNRIRIQRLNLKAEAYNMRINDTPPPYRHYLQLSISAGELVKTERVVFDKNFGIAREYIEKRVFGISKIRVENLQIGGDKYLTDWDNNIGFQHGPPRHEPLFQYTPQTDNVKPLLSIRSLEIGVLKVTGILTNALASLRPILSQVPLKTLKAVCHQRTFPEDPIVNTTEFCPINVLRNRPNDRIHIRFTFCQNEDFINLVNEWKQREIRIGTYYSIKDRYDDSITVIFRTLRNIPGAKFGENEETRLTAFPECIIVPMGNDTELNVYRTEPIEVERDSYSSIVKIKWQPRGYATANEDM >CRE12009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:27941:29360:1 gene:WBGene00065903 transcript:CRE12009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12009 MTTLSQPLSYLTSKCVALYIDPNIRLQLYLRCPCFASAHKSEPMRIRDLKLRPDNFEINGIIYSLGVITQYTDTPNPRSVVWDNAEGGIQEHVDIYGFPPRRRQDDAENVRTDNVQMAHLRDSITIMKQDLKPGNRIKIQRLNLKAEAYNMRINNIPPPYLHYLQLTISTGKLVKIESVVYDKQFKFAREYIEKMVFGNKKIQVEHLQIGGDTYLHDLDNRIGITFGPPRHEPLFDYTPQTDSVKPLLSIRSLEVGVLRVTGILINALASLRPILSQTPLKKLKAVCHQRTFTKDPIVNTTEFLQIAQGSPINVLSNRPNYRIHLGLAFDLKDDLINLVYEWKKREIRIGTHYSVGETEDSVSYTFTMFRNIPGAKLGENEETRLTEFPECIIIPMGNDTELNVYCNKPNEEEKEYCRSEFIVKMKWQPRGYARAVEWD >CRE12007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:23515:25008:1 gene:WBGene00065904 transcript:CRE12007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12007 MNTPSKPLSYLTSKCVALYMDPNIRLQLYLRCPSFASAHMNEAMRIRDLKVRPENFEMDGTVYSLGVITQYTNDPNPRFLVLDNAKGGIQEHVDIYGLPPRRTQNEVENVELDNAEMASLRESITRMKQDLKPGNCINIQRLNLKAETYNMRINNTPPPFRHYLQLTISTGKLVKTERVVYDKQFGTSKEYIENIVFGNKKIRVEYLQIGTNRSYDDYDNSNGIHHGPPRHEPLFAYTPQTDSVKPLLSIQSLEVGVLKVTGILTNALASLRPILSQTPLKELKAVCHQRTFPEDPIVNATGYLQIAKNSPINVLSNRPNYRIHFAFAFKQTDDDIINLVNEWKKREIRIGTYYSMGEPEHLVTQIFTVFRNMPGAKLGENEESILTRYPECIIIPMGNDTELNVYCSKPNEEEKEYCSSQFIVKMKWQPSGYAKVIEW >CRE12006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:21544:22747:1 gene:WBGene00065905 transcript:CRE12006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12006 MTTPSKPLSYSTSKCVALYIDPNIRLQLYLRCPSFASAHKNQAIRIKDLKVRPNNFEINRTIYSLGVITQYTDRPNPRSVVFDNAEGGIQDDVDIYGLPPRQTQDEKENVKADNTMITSSIESIIRMKQDLKPGNRMRIELLNLKAEAYNMRINNTPPPFRHYLQLTISTGKLVKTERVVYDKQFGSGKEYIENMVFGNKTIRVEHLQIGGDHYLSDSGNRNGIQHGPPRHEPLFAYTPQTDSVKPLLSIQSLEVGVLKVTGILTNALASLRPILSQAPLKKLKATCHQDTFPEDPIVNTTELLQIGYGTPINVLSNRPNYRIHFDHAFNLTEYYIINLVNEWKKREIRIGTYYSIGEPEHLVTRIFTLFRNIPGAKLGENEESM >CRE15673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:1054:6664:-1 gene:WBGene00065907 transcript:CRE15673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15673 MYAELQSRKGTIHNDSASGSSAPGTNEESITVEIIDTNPPPEFGVVDKQRHFGILRYTVTNRFRKLMLIALVNLVVFAAFFLFFFFLIIHLDKKHKNISISSTLPTPTAGPMNESANCSPKDATTLIFAYSNDLTPDQVLNTWYNLKDRLQSSNYDIYSYGRFDVNSDFKNHAYSSSIEAIENDIKSDLPNAAESFPSYYIGSNILSCIQVKSDIVSSKISMFQLVFNSDITRCGAKLLFLVKRLPNDTDISDLVPLLEKFHVSITFVVSEKPSGGMNQQVLYTLATKTNGFCIFAEDDMFQETPTWLPSVWPLYLVYSATAEVRSTGSVTLPVFNAPLVGEYHICMTLQDHGALDKFRMVHLEWKSREILNSGSGFLEETLESHAAKYGETTYIRKGPFTLYVLPYNMTLGFEYTDDEINILQIRIYSVREPYSSRITSIIMKNRFRKMIFLAVVSFIIFSVIITTVLLISFLPKSHSDYFETTTHSRSSATTANPFDRTDCSPNQNSTFYFAYSNDLTPDQVLNTSHTLITNFRNSYDIYSYARFDVNSNFIYSYYSSNFKAIEDGIKSDLPKATESYPSYYFGSNILSCIRFFFLFDIAHCGAKMFFLVKRLPNDTDVSDLVLLLEKFHISITFVVSEKPSGGMNQQVLYTLATKTNGFCVFAEDYMFQDTPTWLPSIWPLYLVYSVNAEVRSTGSVTLPVFNAPLVGDYHICMTLQDHGGLGNFRMIHLKWNNRDSSTSGSFEETLESHVAKFGETTYIKKGPYTLDAVPYNMTLEFEYSDDEINILQIRIYSVRKPYSSRITSIIMKNRFRKMIFLGVVSFIIFSVIITTVLLIVFLPKSHSDYVGTTTTTSKTISAPTTKTDTTTHSHSSSTTTNPFHNTDCTPNQKSTFFFAYSNDLTPDQVLNTWKSISENSKLYFETYALGRFDMMNNLNQDFETIVSSNSFSVIYGALLSGLPNSTESIKDPSEGSAVLDIIDFFFKLDVTHCGATLFILTKRLPAETYIDDLVSKLKKNHAHVTFVVSNNSFGGSSSEPMYRLASETNGLCIFTDDDWIQESPFWLPSIWPSYLVYSVNAEVIKSGTVDLPIFNSPLVGYYYICMTLQDHGSLDTFRMVHLRWSDDGSSISGSFEETVESHTDFGNTTYTKKGPFTLDAVPYEITLQFEFLGEKTETLQIRIYSVQ >CRE15637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:7400:9967:1 gene:WBGene00065908 transcript:CRE15637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15637 MTFILNVFIQFGIHKDCTLLVFTAPLVGDYHSCMTLQVHEQWISEFIMTINAIYFSDKMIVLVIVAEALQNDSQQISSLPSSSSSLNAHTVDGSRNNFHSVKKMNIISRVQKIYADRQARLNALQIQSRQPDTVTEPSPSIPNQELTTIEGSRTSTGSTIVSREISRSRTNSDYEPYSIIRNTEPTRVIVSETSPETEFEPANKHHHFGILHYIVNNRFRKVMLVLFLNLLLFAIFFASIFLFFYLQNRNAKQSGTTISPNVREFCLPNEKSTLLFAYSNDLTADQVLKTWRNLSSNLSEKSYSTFALTRFDTAMYKNITWRTDFNGFNSSLSSNLPNETEAITDPKMGSNLFQVLNDFFNSKLEHCGATIFVLMKRLPSDTDISVLVSTLQTFHAQITIVISETPLGGLYQDSIYRLASETNGICLFAEDDRFQDTPAWLPSTWPLYLVYSFNAVVTKSGNVTLKNFDSPLFGDYHICMTLQDYGPLDSFRMVNLAWYNAKSSTSDSFGENVTSHARYGDTTYIRKGPYPLDAVPYHMTLGFEYSEDKSNILQIRIYSVKSVDVWFPYDYSAIDYWVAPAN >CRE15674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:24230:25286:-1 gene:WBGene00065909 transcript:CRE15674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15674 MLRKPSRSRLAEHIMSHLRSWGILVKTFHIPNEILKFFDDFHPFLRYSLNKKRRWEVHFLKDLGEIQDFYGEDVEVLFLERSRTEAYDWPHYYETTLPGQFRIRALHQLSHKQTYKKQEVNSIFGQDFKNFDASEDVENKSVVAYNFIGSQENYLKIFKKKLIRKKDNEWPHTTGWQRVKRREAIDTEIRNQHNDLTENSETESSEYEDEPESISDRRFYNLEKHIKEKRVKRGRC >CRE15642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:26318:27309:1 gene:WBGene00065910 transcript:CRE15642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15642 MPEHRCKYRFNTLLNWKVGQMIRNGCLFKVLHADPSTCQHFSGSLDTDITPFMIYSLNKQKRWEVGRMIDQKFMELLQELNENLDLMFFQRVSVHPWIDNWKWFPPHYYTAMTSSKIREQFEISKDGEYNEKTFRGVGRYFGTAKKKVKWIPTTMEVVTYHVVQEPRNWRKVYTKDFKKYPWDRHNDTHLRKSKFDPKSVKYDLDEFYEDNDEFYLDIIDITSEIPLKTPQKFYNFMDHFVEKPMRNLRKFEYNYVIIY >CRE15675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:28416:30047:-1 gene:WBGene00065911 transcript:CRE15675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15675 MSTDLSYFAVKCKICLKTGHGLHFGIETCRACAAFFRVVVFRRTVVLNRKYKCRKRAGRCEVTADDEKSMCKFCRFKKCRELGMSTESELFVFVGSVNISSFPDVRTDHAIKDLTPVDSPCSSTTSEVQKLSEPDDRVAYNIMHPKTRGPALLLDINAIIKKSRVILETYFLPDEDSISHLNPLQRMVHSLRKIRANQSWNPEFVEKVEFIQMFYGWEVQMRGTASWLMHSDEFRKLPGHERIAIFKIVWAVWRRFERYTMTAEIFGQRCYDEKIYLHSHTLAIKMDNYSIDYSNVSDHGFDQFNNIFGGKMIQYYDIIVKPFLELKLTETEITFILCQIIWNYAGRRLQGQTQAAAERFLEQISNNLHQYYEKEYLDAPPEDPTTGKGGENRENRKNYASRLARMMQIVNQMLTVQLQLEDSLQVAFLFDMFNIVFTEPEFFRS >CRE15676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:30346:30771:-1 gene:WBGene00065912 transcript:CRE15676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15676 MKLAILAITLFAVGVLSRPVSVETEVEGEGRVKRDYDPRTDAPVRVPVDPEVEGEDRVKRDYDPRTDAPVRVPVDPEVDGEDRVKRDYDPRTDAPVRVPVDPEVDGEDRVKRDLSFSPNTEAPLPPPKHHPVKRESDPNGH >CRE15644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:32601:34884:1 gene:WBGene00065913 transcript:CRE15644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-170 description:CRE-NHR-170 protein [Source:UniProtKB/TrEMBL;Acc:E3N843] MNAPTSSAEMTYFNVKCKICLKTGHGLHFGIETCRACAAFFRRTVVLNRKYKCSKRSGKCEIGAADEKLMCKFCRFKKCIDLGMTTENVRTDQVIKDDEDSQDSSPIASVSSTVSLQMTSSQPECEIGNRVEYSVINPKTRAPAMLIDVNAIIKKSRAILETYFLPDEDSISHLNPLQRMAHSLRKIREKQNWNPEFFVNIKFYEMFSWWEVQMRDTASWLMHSDEFRKLPGHERIAIFKIVWAVWRRFERYTMTAEIFGQRCYDEKILLHSHDSAARLGSYYIDYSNVSDHGFERFNNVFGGKMIQYYDIIVKPFFELKLTETEITFILCQIIWNYAGRRLQGQTQAAAERFLEQISNNLHQYYEEEYEEWTSRSLEGVESSVEESSRSPDGSVVEKKKNYASRLAKMMQIVNQMLNIQLKREDTMDIAMLFDMFNIVFTEPEFFRV >CRE15649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:43797:45226:1 gene:WBGene00065914 transcript:CRE15649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15649 MSRLFTSSETTPTIENGLSSRTTHVPSQSFPGTGRTTNEGFEVVSLFEIFISFVDFLLEFRPDLGHYWNELKAFINSFLDSAPGAVVGTSSNTQTFDETLLELEEMRKKIELEDEKNRKELAKKTAEKRAKSEQLMRELQAMSTQNQEELERRRMESQRVLEELREKSKMDQFYKDETATLETARLIALGKLELDKLEKSRQESHALYQEKISNMDHAYQTKQLNFDTEEEKRRQELFEQEEKVEKQRKEVENKLEEDLKILREKGEFRKQEMEEQVYQIKKVLQMKFCNEIMEKNWTDRLNKLRNSFKEVETAYKIDPSSFLSAISNQKSVMIAEKMEMRRMYEETGKTFLLDIEESVKGIIEEAERLIYLLENEPSNTKRIEGCYRALSSATLGIPTMAELKQRYREDNDF >CRE15652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:57206:67760:1 gene:WBGene00065915 transcript:CRE15652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15652 MKYILIFLVIYLQHTNSTTICPDGFDSVGNNCWKYVHKFMDQSGADTECLQYNGASLIKIYDKYENDHLQSYLILKNANDRVWLGMTCNESTVAASCNWSYDRGTAAEYNNFGNNYPNVTKGPCVYIIKYNKQWFSSDCEQRRAVMCEVPQTSEDPCPYNYNHNCYFPSDVNASFADAVRSCSNMCADLVSIHSALENRYLITIPDIQARWWLGGVGPAPDIIVWSDFSPVTYSTFQFYHTQISGCLYTDINYNTKVDRLWFTDYCYKTARFFCKRPTETPPIASVEKSPDRVFKYCKIATFVLLGLLILGVVVFLILFFVVPKHGDQSASSTVVPSISTIGSTTVTKLATTTKPSSELYDSSTVPLVTNVTAEHSTTSKHLPTNGPITNTTASGLSTTSPSNRCSLITNTTFLFAYSNDLQSSLIQKALGDIKTFIVNPKITTFANNRFDTIKEDPIHFHGSKDNFTDSVNALLPDSKLKLPNTKDGSNVLNVIEKFLEQPTCGAIVYILMKRLPDTVDVTDLIQELRLNHISVFPVIDSAYIGSKDQSIMCKLAHTTNGFCDYQIASRLENEVYETMWIVSRVHVFVSQSYQVSGKGSIKVPSFVRPPQGNENGSMGLVVTYQNHARDTNFKALNLTITDDQNKVVLTGQTKSTNGNALLKHPVLKNNVTYQIGIDYEYARTDWFEKIDVRMYSISVPSLYKAKNEANQGLEVIELEEEVPENDADELEEEELVRYCFWIWILLLDIAFGKCVFYVLEVGEESGQWGNGLCKDDRYPFICEFPPTFDDTCGFNYNNHCYTKLNFQFTFTDAQDACQRYCSILLSIHSELKNRLVVSMFNTTGSILLAGVAPSENLVIWADRTAQDYNNLKSFDMELSCIHMDFQSGDWSSALCNKASWIVYAFGNRPSVSRNEVLPTSNEDPTTEMEPIPRQKHFALLNRVVDSQFRKIILIGLVNIIILGVFVAVLFFLIFLNHKDPHASSPTKSSTSSYPTTNTKPTVTTTTSTQNATDCTPTTPATLLFAYSNDIFSGHIQDACDWITEYFTSLKIIYFANIRFDTTTDDPIYFHANKSNFTVSVNTYLPDSSLSFPSQRTESNVLNVIRKFLSNQEYPLCGSILYILIKRLPNTKDVSDLIQQLQQHHIFVYTVTDTNSFGGTDQGLMCEITHATNGFCDFQSTDMRNEYYQTAYEISRTYQFVSQSYQVSGQGVINVTSFVRPKQGNQAGTMSLVITFQNHGTDDNLKSVSFSILDDEDKVVKSDHYKSTNGNSFLEHPVLKNDVTYKIVINYEYGEQRKEIIEVRFYSFSQQHHLALFHRVANSQLQKIVLIGLLNVIILSIFAGVLLVLVFTEDKPHINNKEGSSTTAAQSKSTDVAQLTSTTVKSFSPSYPDGSCTPRSNSTFLFAYSNDLPSDLIDKARDWIVNLLPNRRPVCYANRRFDSTDDIIHYHNSNQELGQSVKAHMPNSTLKFPSRANGSDVLNLIRSFLTNEECPLCGAVVYIIMKRWPNYEDPSELIQQLRQHHVFVYTNTDTTPSGGIDPNFMCKITHATNGFCGLQSSAKMDDDIFHTVRLISRPFQIVSESYQVSGKGSKRTPKFQIPLQEYADDFISMVIVFQNHSKKTFLIKHNKNSRLTFSVLDDNYKYINYTFLNDNQEVVIAHYLKPEQSNTDIEQRRLAVGVTYQVILDYDYGTAQEDLMEIRLSSSKTSPKSRSLLIKIGQPQFALHFCKTYKMINRISQIYAERQARKRQFQNDISRASVSKDSRYQSTTTNTRERTIVEVANQEPRPDFEPVERQRHFGILRYNMKNRFYKVMLIGLMNVILIVIFFLLMFFFAFKSKCSSDEGSTTVSPREHFITKAIGSGALLLTCPDGYDLVGKNCLQLYTTLLTWSDAKDRCHLSSSYLLTIKTKQEDKEFRAYMQEHHQYNRTWLGLTCTSSKLTSCEWEDQPFPYSAFANGSPNGGCMFYNVSDTLGTPWVSGDCDEKLVSVCKTRPTATCKNNFGSYCYYYYSQEASFSEAADFCKLQCGNLVSVLSEDENNFLLSMDEIKSDSI >CRE15679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:68124:69121:-1 gene:WBGene00065916 transcript:CRE15679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15679 MPEHRCKYRFNTLLNWKVGQMIRDGCLFKILHADPSTCQHFNGSLDTDISPFMIYSLNKQKRWEVGRMIDQKFMELLQELNENLDLMFFQRVSVHPWIDNWKWFPPHYYTAMNSSKIREQFEISKDGEYNENTFGAVGRYYGTAKKKVKWIPTTIEVVTYHVVQEPRNWRKVYTKDFKKYPWDRHNDTHLRKSQFDPKSLKYDLNEFYEENDKFHHDIMDIPSEILMETPPKFYNFMNYLVEKPRKNFKKVRDNYVIIY >CRE15653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:70467:71626:1 gene:WBGene00065917 transcript:CRE15653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15653 MLRKPSRSRLAEHIMSHLRSWGILVKTLHIPIENWKFFDNDDFYPFLRYSLNKKRRWEVDFLEDLGEIQDFYGEDFEVIFLERSRTEAYDWPHYYETTLPGQFRIRAVHQLSHKQIYKKQEINSIFGQDFKNFDASKNMENKGVVAYNFIGSQENYLKIFKKKLIRKRDNEWPHTTGWQRVKRREVIDMEIRSLHKNLTDDSETESSELEDEPESISDRRFYNLEEHIKEKLVKRRRC >CRE15680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:78321:80173:-1 gene:WBGene00065918 transcript:CRE15680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15680 MTKTGVDFFNDITHNHKDFSEVATVIKKSCTPSLKSVNGADSKLQEFNLKISQVSSTLNSKLAESENVITDSDYFSKVAIPTSTLMRYMKDCLNHPVPQAVKNFQEAYKDHLELVNNVLTQLEHKSTNPLIFAMNADPLKTRTTFNKWYDIINGVLGQVILLETFAAGLLDERDTNDVIERSKKLLCSIQSWENDYKKDSSYWRFLKHYVELFQRDNSDMEMEEQADKLKEVLDTILTDDSFYLIVHQGKEKSALFEFNVSVEDQLIESNNQKSSIMIYRSKHGNSVEVTELEQLQKDVTEKTEAEPSGDINYNDHLKNQFGKTVHNAGFISVLAGAHACVRSANIGREDGPGFVQLSTSDKTSTGVVVGYI >CRE15654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:80539:83391:1 gene:WBGene00065919 transcript:CRE15654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15654 MGQISENKSEVTVVNVNIQMLIASGEVYGWADDVDSVLDTADKLLEFGGFFFPEVAAVAGVVTKVGGVIRWITGNFKEDKPDPIKKVIEKLAVLEKKIDELGKKMAAQFADLKEFITEVNFLTNISVPTSNLMRFMQDLMNDPSPKALENFQSAYNDRKPLKIAYDLLSFLEHEKTNPLRMAMKADPLRTSTTFNKWADKFASILGQFLFLEAMASGLMKDNDDFDVNLIIQRSGELVKDMDKLKEVYKKDPIYFEAMENYIADFLDNNSNFERWEIANKIEEDLEKILLTNDALTVWAFNGPVAKSLFAADCTDKAKGQIAQVLNKNGRGVIICRSSQANTVEKGKIEELESQMRQFTQIMFMPRPDYKDVPKDVLKKYFPNGGIFCLMDYRNFPEMRSINCKHDVGPGVEGHITTFDMPFVNQRTFNLMVVYT >CRE15655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:84285:85885:1 gene:WBGene00065920 transcript:CRE15655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15655 MTQLSEKKTNVTFINMNVQMVITSGQVYSWADDVDKVLNFTDELLKYGGYVFPEVAAVAEIVSKVGGFIRWVTGNWKEEKPDAIKKVIAKLALLEKKIDEVCKRGVAELEMKIKAEFDDLKEFLTEINFLTNITVPTSSLMRFMQDIMNEPSPSALANFQRAYADRKPLMITYDLLGFLEHEKTNPLRMAMSADPLRTITTFNRWTENLTSILGQLLFLESMASGLMKDYDTFDADLIIQRAQELTKQIDEWREVYKKDGAYFGGMESYLSGFLTNNSNFQRWEIAQKMKEDLEKKLLTNDALSVWVFAGSVSKGMFAADCSDNAKGQVAYVMDKNGFGAVICRSSKANLVEKEKLSELERQMFQFSCSPFFPQVDYKDIPKLVLRDYFPDGGSFCLISSNNVPEMRSINCKHDVGPGVLGQITTIKIPYVNQRTFSLMAVYI >CRE15656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:87017:88497:1 gene:WBGene00065921 transcript:CRE15656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15656 MSDLVKNVVVVPQEVGALYISTDDVDKAISVTKALLDLGQFAFTKTPLSMGIFGAVGGLIQVILSFSQEEKDPVMEKLGDLEKQIDRLADQMNAKFDDLKAFLTEFNFFVEIINPTSVLMKFTKDVMRHPAKESIDNFRRAYEKHPPIELAYSVISLLEQKTTNPLKMALDADRLKNKVVFDKWFNIIDGVLGEFLFLEAFASGLINEKNPFNSERLTEQSQKLIDTLNKWKDEYLKNESYWPELEKWLREYLEKHTDLNNHKKADEIQKVLDSILTNDAFYLMVFNRFPHPDHYSTYEAASKQLMTLYDVGGCHTVVYRSKTANSVDISELVKMEKEVDSIKTLYAANSNVAINLANNPIFNAGFICMIGQQDEAIRSANCPRREWGPGWWKTANVKGRSDTRKVLAGYL >CRE15657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:88680:90714:1 gene:WBGene00065922 transcript:CRE15657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15657 MSDQSIDTTAENFVDVDQLVFDDNGTTDEISLSSGTERHIDTKKVEKVIDLSSRFLELGKLVFKDVAVAVGNIVGVGNVIKAILKFVPDKPDPVYGKLKNLESKMDELTELISTKFDDMKAFITEVNFYVEVMSPASNLMMLMRDCMKHPDDQAVENFLEAYARHPPLIMAYSVLSLLEYNSTNPLKMSMDADPVKRKSTFNKWYDIIDDVLGEFLILEAFGSGLLEKKNTYNCHRIIEKTEELFKKVEQWKEEYKLPGVMEKLLNENSKLNNDNKADKMKEILGDILTNDAFYLGVFNKWERDRYLHYSFDLFNQNQLIELYGPGDGNAFIYRSRKANTVEEKWLALIKEEVKNVKFDVEEYKKNPKDYPSKLTLQIHNSGFICVMGQLGEVIKPVNCDRNGGEPGYHRLMYSEKDKNGKRAHRELIMLSSYLEHDAINPMKASQSSKGEELAQCVMGILLFLDAFYIGLTGQYDDTAELRREVRVVLGKMDKLSHLGI >CRE15658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:92463:94067:1 gene:WBGene00065923 transcript:CRE15658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15658 MNDANVHPPRPGETYGTADDVGQVIEIANKVLEVGGLIIPEIAPFLPFISQVGDAVKKIIEKSENAPDPVIEKLNELEKKIDNLGNQLTDHFNEMNSFITKTAFSSSIIIPTKSLMKYLHDCLATREKEALKNFTAAYNNRKPINIAYDMIGFLEDDETNPLKMEMKADPLRTSTTFDKWDKKINAVISQFLLLEFFACGLIKDQNIFDSKMIVERSMKLIETIRMWKEEYKVTFLEKKTVLNNSFQKDPSYWSNMQRVLQTDIDKNGDVDFMLKAWEIKRKLDTIITDDAFFIICRQVGFMDGQFAMSNTAKDRNIMLLKKDKYTMAIYRHSKPFDKEKFAKFQKDADNYISKNKVKTVFPSTIAAYARQYVDNIENAGFVMCCLDDPQNICKLYANGDDDFVHHVHSETQWIGAPVFRTLPIYIMVGML >CRE15681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:104484:104946:-1 gene:WBGene00065924 transcript:CRE15681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15681 MPTMSIYESTFAKSDKTDATLVVDGKKLHVNKALLSSHSDYFNTLFNGEFKEKSMTEIQIEDVDFEDFALVLCIVTNTFIEIERRKIEPLLKLADRFLLPKAKRTLEMLKIVQFDG >CRE15659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:110555:111928:1 gene:WBGene00065925 transcript:CRE15659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15659 MSDSLCNNPIAIRACILNDVLRRKSIEKSFGDLCKVIGYQRMSFADFKYWFDRFSGGNYELEDEKSAPSKVLEFSNLPVDVIDIIVKNLKLKEQLILRKVCKQLRDIVDDQKTFPLKYIEIGCADNYIFCQFDNKNVFYVDGTWEKPDPYYYSHFRDFKVIRSNDYVKTACTDLDFVLKNSNLHLDRFDFVYTRFINTRKGDEKQERAKQNFESVKSILKSMDRKLVVKQFELRDSELEVMLDILPFIKPGTLEYIEIFRSRNQMDWNVNGESYEIIKLVNMEQWKQATEVYCRYAFEYFQQEHFAHFKRVGFYEWSIYPRLLVAFRNLFLIPLSNITRCHVDTKDGFMLDDIDIEYYGRLVGQPAPPLDQYGITRHYDIPGTTDYLEFNLRDRMEDMTIKKKSRLTD >CRE15660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:112624:113686:1 gene:WBGene00065926 transcript:CRE15660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15660 MLDSPPEAKEFSDLPIDVIGDIVEKMKLKEQLILRKVCKQLRDVVDEQKTSPFEAIEISCGDTEIFCRYNCEDVVYPLRIPRDHHNAKVVHGDDYIGVACNDLKMALGNPKFVLPRFRFFYRSYKESVPSLEFETLVSFLESLGHKASVRSVDIDAPEIDVTIRILSCLNPKTLKSIETHRFKMSSSWSTVSTKEKLDELAEIEQWKQAEELSCPHPTLLFKPEHFASFKRITFKEFGYDSGALEKYKKIFLTPSSKTILLSIERRDEFQLDESIELPGTPAAPIEPMSVIRHFDIPNSGDYLEYHFLIGQQGMTITRKSRE >CRE15682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:114104:115008:-1 gene:WBGene00065927 transcript:CRE15682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15682 MNRLLCAFILLPNLVSAALLTDKVTPNFLPDINKIRRQFAKEHSVPDMHEWVCHLIHSNQTQKSDFQTWSKDLETILHPITVEKVRETQTSGADWRYVVVNSYETVNTELETKLKEFAGKTEDEQKGQVDNRASYSQQELEFVQPLQKFVACKPTDISGKIYVLCLVGPKGEFEFVNKERPTGEAGTKCDSGYENDDGLCVVAKPKTTTENPEKKTENPKKTNENPRKPSEAGENPSEATTVENQGVKFFIPTVTYALFSTIWLIFY >CRE15683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:115943:117208:-1 gene:WBGene00065928 transcript:CRE15683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15683 MVVTRVMNWFFSRNHKPNEISDNLDANEQITAEEEEIQEPKPLTLYTLPVTAFEHILEQLTVHERRRLREVSKTFKDEIGYQPMKGDLSIRQWDEKENEGYRIRLNQQRLTIMKRENCCFKKTNLENGREEWVEMEGEDLVEILIVEMKLILVNPKLQLEELSIGIRNEVTEEKFLKQFHSLLSSLPHQLHVERLDMPFCEDIEIQILSKMKPGILRELNPAIFCERVTMDMMNRIMETQQSKMLRKLDIEMKNLPDISKFVNLRDIILRVTTITKGDMIVIKHALLTSTSRIKSLSILNKRTSLEYYDILEILGIPREEEKEEEEKIYQDRYIFEAEAYGPGSFCVLNSRPVLSFKRVKGSSDDSDDSDDENS >CRE15661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:120366:121605:1 gene:WBGene00065929 transcript:CRE15661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15661 MHKIPKDSLKNCANYLKSCILYEVLSEKPISDCYRHFCDRNGEDAMGYGDFECYYYRFYNGEVDFEHERRIDSKEKTLTGLPLEILGMITGYLEPEERVHLRLTSKKLKAIVDMEPVTFRLIVVVWTPNVFHLQINEKGFKYQMHNDRFNRNGYADEGLETALDRFAQVMTHPKLRVDRLDLMLPGDLFPEEREQLLKCLPHSLHVKTAVIHGNVDETLTIVSHLKPCVLKAIAVAGRPQELASVFESEHWKQAEQTHVNSAQMTSKSFPLFYGFRCFHILVTSMEMNDLHLLIANVAKNPSFQCCTIQAVTVTTHELEEPWPMEGRMENDGSITICYQIPDSSHYLEIHMRNDGVWTISNKI >CRE15686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:122370:123595:-1 gene:WBGene00065930 transcript:CRE15686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15686 MHKIPLELLKANTNYLKSCIIHEVLREEPIFDCYCHFCEKNGEDAMSYSDFEYYYYRFYSGDVDFEHERRIDAEEKTLTELPVEILRMVTAYLEPEERVDLSLTSKKFKAIVDTEPVTFKQIVVVWTPDVFRLLINENSFEYKIDNDRFSRIYWDENLETALDRFAQVMKHPKLRVDRINLVLPGDLFREERELLLKCLPHSLHVKTAVIEGNVDETLTIVSHLKPCVLKNIALGGCPQKLAPVFESAHWKQAEQTYVLSTQMTSKSFPLFYGFRYFHINVMSMEFNDLHLLIANIVKNPSFQCCTVKAATITAHELEEPLPMERRIENDGNVIFYYRIPNSKHYLEIYKENDGVFTIRRN >CRE15687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:124084:125358:-1 gene:WBGene00065931 transcript:CRE15687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15687 MHKIPLELLKTNINYHKSCILYDVALDVPIFDAFKNFCEANGDDAMRYNDFEYWYYRFYGGDVDFEHERRIDSREKTLTELPVEILGMMTGYLEPEERVPLRLTSKKFKAIVDMESVTFEHIVVDWTPFVFILHINKKCFVYKSENGRFIRNGYDDEGLETAFDRFAHVLKHPKLRVDRLDLILPGDVFPEERELLLKSLPHSLHVNTARVLGSVEETLTIVSHLKPGVLKELLVTGCPQQLAPIFESEHWKQADETNVVSFQTTSRSFPLFYNLRYFNIVVMSMEMNDLNLLIANVAKNPSFQFCIVKAIIVASLEVVEHFPMEGRMENDGSLALRYQIPDSSHHLHIHEKDNVFTIRRI >CRE15688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:125909:127086:-1 gene:WBGene00065932 transcript:CRE15688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15688 MHKISTDLLKNNANYLKSCILYEVLSEKPIFDCFRHFCKRNGEDAMSYNDFEYYYYRFYSGDVDFEHERRIDSTEKTLSELPLEMLGMITGYLEPVERAHLRSMSRKFRAADETEVLYHNQVRVKYTPSSLVLHVDEKKFSYDIDEENGEFVGKSPIDPFNCFAQTLRHPKLQMEALILHLSSQVPLEKREKLLECLPPSLHVKIVLIDASPNETFKIVSSLKQGALERIAVMGCPVKLADVFETEQFKQAKYTNVGCEKVRTKDIPNFYNLRNFHIQVTSLTLNQFKNLWDNLAKNPAFQLCRVKATVFRRSELAAGLGLHGHENLNEKGNIIYRHPIPDSLGFLEIEIKNDGLLIRRNN >CRE15690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:128982:130236:-1 gene:WBGene00065933 transcript:CRE15690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15690 MNEIPDLLKKNDTYLKTCILYEVLHKKPVFESYQNFCEKIGDDTMSYIDFEYWYYRFYNGELDFNCNRTHTQKTFLQLPPEMCDLIFKELSCRERMTMRCVSKVMKAIADGQVEMHESIYMQIRDNVLCVVSFTNIQLETWKSVSYYQDSNKTVIYRETTRKNNAEGNYFEQAKFVYFSDCDAFRFADLTHFYRFKGFSVVLDNLTVEEAIVLRDTLAGLPHFEGCNIYLDNVDLNFLKVGLALEEMPSEGEEVIYRYRIPNSNEFLKFTFHDNLFEVEKMCS >CRE15662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:132080:133328:1 gene:WBGene00065934 transcript:CRE15662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15662 MNHISSLLRSNPNYLKTCILYEVLDNKPVFYSYKSFCNKVGPEVMSYKDFDYWYYRFYGGKLDFEHDRSTDPKQRGFSDVPVEILKKIVKDLDPVDRAPLRCMSKLLKAVADSYLPIYNEISVELSKDFANFELNDKEFIAYKMADGCIMGKVGRPTGKLDMNYLEKSLKTLSYIWNQPDFEVTTFSLSLSSCQPYEGLFNCLPNAMHVKHLKLDTNDAQVTRLLPHFKAGVLESIHLEPFTTGRQREFVESEQWKQAKHVTINSLSLFNTSHLPHFYHFESFKIVMTMSRVEQIVALRDILLQNPNFQFCHLKSKTFQHHVMAIGEALGAEVQEGNNINHRHWIPESESESESKMLLVIKLDKDGMSFQRRAPFLHINGEMF >CRE15663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:134194:135475:1 gene:WBGene00065935 transcript:CRE15663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15663 MPTIPDVLKSNEAYLKSCILYEMLDSKSASDCYQNFCKKLGPDAMSYYDFDYWYYRFYGGEMDLNYDRSSDPTPISFSDMPVVVLKHIVKDLDPVERTPLRRISTVMNAVVDDVKSVYETFDLKLSESQMIMKVNKNTGMTYQNTENGCTVQKSNGSGIRIEKSFLEKGFSDMTQALTIPKLKIDSFTITFSNPETDHSLIYTLPIGLHVKSLKIHGNSVEVVHLLCHFKPGALETICINTQGMGDTGMYVMQLEQWKQAKQVDISYGKPFHADHLPLFYHFERFEINVYGVCPIPIVYLLNALSLNPNFKICHLRSRIQQDNIIAIMLKLGVEIEDEDIVSITHHQPIPNSNAFLKMEIRLDGIIIHRKTCCSKCYKVLVDGMTTS >CRE15664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:141972:142471:1 gene:WBGene00065936 transcript:CRE15664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15664 MVLTPAEICRNHAVRSCILAEVIARNPIEIAYRNLCTKLGESAIEYLEFDYWYYRFYEGNHSLECDFNMKYVSWGKYSILIEKFRHNPKYRLLTTLPTDIILEISQCLDSEERLAFRQVSLGMKHICNAVPVELALSSLLVYEDKLEF >CRE15665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:143495:154008:1 gene:WBGene00065937 transcript:CRE15665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15665 MAASWSDLSTELKQNVIERLDLMSRHSLRSTCRLNRFVVDFTDFFVPRVRVSVKDSGFLIMIHVGIDEFLRIEMWMGPQGKTIIRKLQKSHNVKDAIEKVSSSTPRYHAAQILCGLLSREKTLIGVMEWEFEGGIDVEKQADAQASIQTTGAKIIVLMNFLQKFSGKSQIFRANKLMTSWNVDIDMEKWLKKIFVEEDLKKIERVGVMTSTTSLTPAVSIDSYVPLSWAGIHCGANTVMHEYDPIHWYFFVQLALQTQGFAVLRFANFNDTAKELWNSVEEPIEKISDKKYRKVCVAGSSDGRVHMIHERSPCGYCVFGMMEKNVTVFNDYRRLAGFTSCDLGWLCKKCTAPFEYNYYQNLGRRVFLEPKWSGIWQGGVNHETKALSDKKNVAWKCYKEDEKMKKDSGENSGEGTMVQKIWGFGNRGEEDISEMMAESLKERKMTANWSDLSTELKQNVVGRLDLMSRHSLRSTSRLNRFVVDFTEFFVPRVRVSVKNSEFLIMIHMGIDEFLRIEIGIGSGGKTIIRKLQNSYHAKDAIEKVLPSTPRHHAAQILCGLLSREKTLIGVMEWEFEGGIDVEKQEEELSSIKRTFFKVIILRDFLQKYSQKLYIFRVNKLMTSWNLTFEMEAYLRDMLNPENLKKIERIDVRLSKSSVTPVCSIDTYVPLSVLGRPAGTNTVFHDYIPNFYKDFLCLSKNSPGFGVLRFAKFDETAKKLWNSVEAPVEKWKDGTDIYRRCYHVKTSDGKLFIVHERSACGYWVYAVMEANWEVFDEYDRLVSSRLNYSNPQSFFFQNPSNSPCRLNWLCKRCTDSFEYNHYQNLGRRIVREPKWTVTLTSGSELDSAKHEEVWEACRKRYEEDEESSEDVTIESDDFTNSMKLDRSFQKWAILITSLAFLTSILYSIFFIKMSWSDLSTKLKQNVIEGLDLMSRHALRCTCRLNRFIVNDMDFFVPRVRVSVKEDSAILIMIHTGIEKFLRIEMWIGPHRKTIIRKSQNSYDARESIEKVLPLIPRIYVARILCSLLSHKKLLIGTMEWEIDRGLDVENKEDNEVRAMTREKARDLVDFLQKFSRKSEVFRANKLATTDRSTSVGYVLKDMFVLSDLKKVEQISVSLSRNSLTPLFSADTFSNVGGVLFSTENHTHKYFHWILEKFACMSFISYAGIGVLRFAEFDVVARKIWDGVDEPVSMITDKLKMKMESANSVNGDLTLFHMRSSCGYWIYAVLDSRRKDFDAYNILNNSCGLGWLCKRCADPFEYDYHQNLGRRCVWEPEWNNIASSALQRTSPEWKRMIERVTKRYAIDESKKKWKSSGGGIPKMWGFKEGSKKMLDDNYKEPDNDKQLSESDESDPEKSYFLNDYRIDALITIKKRRQGFRTRKSNEGKKDSEDLTTPESDEFTTEYQFQKLVICITSFAFLSCALWTIIHALRCTSRANRYLVNDMDFFVPRVRISVKDSGILIMIHTGIDKFLRIEMWIGGEFDGKTIARKFQNSYDARHAIEKVLSASPSYHAARILVSLLSHKKLLIGTMEWEIERGFDVKKEEETESRFQATIEETRDLVGFFQKLSRKSKVFRANKLATSWKMTTEMEMILKRMFVASDLKQIERILGALSEQSLTPLFTFDTYLPSSDGKGIRWGNANIAHERVSEFLNTFVAMVVMSAGFGALRFAKFDEFAKRIWDDVSEPVTVLSDKLTTKLERSECSAGMITLFHQRSDCGYWIYAVLDSRSGGFNKSEFLNKSCGLGWMCKKCADPFEYDYYQNLGRRCVLEPEWNDMVLGEILISDPGSNIIELATKRYEKDEMMMKRKILGTQKMWGFGDETAFAEDSENTAIGYMKRRQDVLVGFVNKTKAKCGWETGFDEQVLTQNDLSNCAPSNSLVPVERGFKKHDASMTNSSIFRHALRCTCRLNRYLVNDTDFFVPRVRISIKEESRILIMIHTGIDKFLRIEMWIGPHRKTIIRKSQNVYDGKECVEKVVPSIPRIHAARILCSLLSHEKLLIGTMEWEFDGDVIDTLKVLRDWEYNLRIIHFQPESKTAVKNWIDKIHSFVDFLQKYSRKSHVFRANQLMTTNNMIFETAELLRKMYDPSDLKKIERINVLLSKESLTPLYSFDTYIPLRVGQIRRCAGNICHEYDERSPGFLEKFVNLSLLSVGFGVLRFAKFDDFARQIWDRVRVPVTIISDELHMKVELAGSFKGHLTLFHMRSPCGYWIYMVLDSLRKDFDAYNILNNSCGLGWLCKRCAEPFEYNYYQNLGRRCVLEPEWNDMVAWGRTDRMMGMIEEAKKRYEEDERKKKDSGDMTVIQKIWGFGDVVDRCGYEKKDSEGHEDSEHVAAPKSHERSDSTFQKLTICITTFAFLSCAFYAIFF >CRE15668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:158280:163444:1 gene:WBGene00065938 transcript:CRE15668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15668 MDFFVPRVRVSVKDSGILIMLHTGIDKFLRIEIGRGSDGKTVIRKFQSSYNAKDGIQKVLPSTPRYHAAQILCGLLSHEKSLIGTMEWEIEGGINVKHHEEETQSVKASLVKEVHFLSVLQKYSGKSHGIFRATKLATSWNMTPEMENFLQKILVPADLKSIERINVTPSEKSMTPLFCFDTYLPLNDRGVPCGTKTIGHQYIKEIYDGFVYMSILSPGFGHFRFATFDDYAKNIWDNVKFPVKKINQKLYMKQEPANSFMRELTLLHMRSPCGYWIYAVLNSKQYIFNEYQVYNKICGLGWLCKRCTDPFEYDYYQNLGRRVLCEPEYNEVISCGFEENRHEWSPMIEGARIKYEKNEKQRDSEVVKQGVWGFEKKSTDVEKSSDSEEEKNGSSPILEDESSTDSDDSEKTLDSENDGSEVSEADKKDAVIQETGQKELGIVKKAPEDSDFEADNNDAEKDSGESNHSSIDSEDQESSEDSENEESEVEENVQKEKEEILKDSEDVNKDSGGVKKDSEAGVDSEDAKMEDEASENEVVIKETSQKKLVIEKKVPEDSDSEADKKDAKKDSEASEDVEPDGFTKFMMMSRALSEESIKVSISILIVMIVYVNAFDLLRRYERNARESRRNTWGYEPGMKLNDFTHIVLPQKMVKDRDTLILDDDDMIRWQLMSCWGSLICHEWLMKKDWKYYVVNTFYKDNKHEEKIFDCKTLIHRYRPALLCDISIMILKTDDAKLTAQQKEWEKIIEMFGEKLIKLKNPFSIVYSPKTINPYYMMDYNYWYNRNEKISHSTWILKCEDSKTKQQWIDDCSELMLVDYHYLFECLARHYLLPFDNLVVEIAINENFAKEEAKEKAKAEGAENSSTSTTNSTQSTVSQVKSKMGCASKNRMTVANVPDTAKPKKTTKKKRPSKSVMK >CRE15693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:164487:170672:-1 gene:WBGene00065940 transcript:CRE15693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15693 MPYVFTAPDNVYPWHFSDDERCVKENWFAVESESLALQFLCIFSVNFDRISTLILHNYCVHLSVFCILINLFHIIVLFEQSMRTASIYIILLAIALMDIISMFHDINIEILKFYRVINVCFSKKADYNILVIKDALESIRNYTRRCSTWLSFSIALIRTLVIKYPMNPKFDILSKSKTAFIVIPFILILCAPLHIMDIYKYQIILLDENYKCTQFPVYTSYYYHKTTSLLFLQDDALLFSIYRTIDAIISKVNSFSNILIFLSNFQIIPCILFPTITFLLILEIRKQRIKRNKLKSSFAPKESKNTTKFVLFLTLPFFLAELPLGIIFMIRPTFLEGTWSSIFMEAFENLFLLILSGTTALHMVVCIFMSSQYREVAWSTIRFGYVLEILSKPKIAFYIIPTILILCAPIHLMDIYKYEIEIYDEHYKCTQYPEYTTYFYGNTVSAMFQKDDNRIQKINRMIDAIISKFIPCILFPIVTIILVIEIRKADIQKQRMAKSSNESKKASIESRNTSRLVLFLTLPFFMAELPLGIIFMISPMDIFRKAIGFTLFMEGLEKFFSFILSGTTATHMIVCLFMSAQYRKIVWSFVRCGYTVG >CRE15695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:174868:176368:-1 gene:WBGene00065941 transcript:CRE15695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15695 MVVIHDGYFTDVEGCIKENWFQIDSLLAKQILCRFSLPFNKLAIFTLYNNCVHLSAICILINLFHIYILTRKALRTTSPIYILLTAIAMIDIVSLSYDIHTEIVEVYKVFKVCYSKETDYTIILLNNIMEFIRNYSRRCSTWLSFSIALIRTLIVKYPLNPKLEILSKPKIAFYIIPTILILSAPIHFMDIYKYQIVFYDENFKCTQFPSYTTLYYGSILSDYYEQDDVWLWKLNRTIDAVISKFIPCILFPIVTYLLVIEIRLADERRKKLNQSSIAEKDSKNTTKLVLFLTLPFFLGELPIGLILLTKPIDKLREAIGFSLFTEGIEKFFSVVLSATTATHMIVCVFMSSQYRESALSFIRCGVKFKSQNS >CRE15697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:178350:179370:-1 gene:WBGene00065942 transcript:CRE15697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15697 MAFTPTLVALAEKYRSDKMVCRKCYARLPKGATNCRKSKCGHSNDLRKKKEIKCHPPKIAYK >CRE15671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:182146:185099:1 gene:WBGene00065943 transcript:CRE15671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15671 MPALVLNRILNDCDIRAIFSLRKVCRDLHDFIDEAIPCIHLTYIQVEVRIHEICLILSNDQEIITIRYQHNDGNGCTVKFNDTNHLLDEENFIEIFAKDLSLILKHQSPKTVLEVFSFQCWDHEDWYERQRGQRSWDCLSVIDNDGAECLMKPLIEQIAEEIPEQRIAARALETYGIFPRHTKAILKLFRAGTLKTIKIYDPHRIRIENNEGYTSDEDYLLGLDEIYDLEQWKQADELTTRGFHVARGVPIDGFGGFSRGNVFIRDFSAEGIARLCEIFLQSPNFENFTVTMPLGPHSNFFVSQPSETWKAKLIKQFGEPSNFLKFSKSDDFMTKSSIGRENDNQKNLRNFIDDVIPAIQLTRIKIEVDAKTVCLTIANRQENIKSTYEKSTAKTLANDLELFIKFQKSDPLNIVKLEWRDTDFKLDGPLDDSAKEKMLEMECNERQSMMDPLVEALNEISAAPMMNVKILETVGVFPWEVKPLLKLCRPGTLSCIRLSSPHRSKFVTFDCSDDPEDYSVDLDEIQELEQWKQAEVLNILGFCVPPQVSVASYAHFLKGVVFVRKLTVEDIFYLSQTFVESPNFDFFTITIPRCKNYSELREVDWITELNKKFGAAAEISQDPDHSNIYDWVVRSPERALQLRYYTPNTFNFTVKEE >CRE15672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:186822:194074:1 gene:WBGene00065944 transcript:CRE15672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15672 MPSLRDMPDLVMNHILDYCDVRSIFSLRKVSHKLLNHIDAVIPSLHLSGIKIEVREGSLLLVLSDDIDIQYGSFSLICHAEPVEKKGCIVECNNVTRFLKGENFMDIFRNDLELLLKFQKTDPETLEKVVLQWCDSGDWNEMGVGHSEEEIKCFEEMEKNSREAMMNPLTTIFEEIVAKQGVSLKARTFETRGVLPKEAKSLLKLLQPGTLKCIKIHDPHFSRVRGNDTRGYKDHIVNLSGIEELDQWKQAEEFYTTRFYISKYDVQMYFQAFLKSSNFQNFNMLIPKKITDLNNRIQRIQESNFWVTQLTEVFGEARITEQERDYDWTRKTKDSDRALRVVVTRLYGSMNEEISEVLFFMIFLTSGSFLSVLTAYSPVHIDFYVSTMTYVYQLLPPSKLNHRITAIHIHWATRAIHLQLSFSQAPNLYFLEYKEDENNPKCSQLVSEKYGETENEMTIEGKDFVTVFYEDIKYIVMNQKLILGTFDINVQKEEEYRKYWNTRNESKQLVPLCQWIQETVEIKGEKIQVALFFMHGNVDQSIVMESCLDRKTVNNITLNVEDDLRKVVELDHWRSEEKKRFEVYVNFYGTIGSEEDVNLVIEAFSRYPFLQDITVIADSLDPSKICEFLKIPFVWDDTRRIKHQIPGDEKIEIRLTEGVDDLEFYRKWESGHRPLFTTFVDGFYPNISRVQGDRSNWMRVFTNTTILEVILDYAQGFGINNLRRTCHPIQDTIDYLKPSPMIDHLYIGLPESNMLTCVIKLGNGIERSVHYDQQENTCVVFMRVNQMKFPGESYQNLFLKHLKENLKFQKAPMKEIHFDYFIMVEAAEFSEFLKLVEEYLKTRNQPIRVKKFIMTTSTQDDLVSVLPYLCSWSLKTIEVKSRYEEKLESGVDKLVVLDQWRQAEELLMREDTITTPLGEIGLEGFQKADVLLSTISSRDVFELKTKLLISSIFQKLKISFNNSTIDGLIYDLLGRPSPYGYYLEYHQGEKNTKIICQRNGRTESELEVKDKGFMEIFYEDLKVILTEHHLFLDFFTINVELEEEYRKYWSTCGVSQNLCDWIRRVTNFKKIQAENLKFVGNVDQAISILNCFQDTKTIKLDLKKKDVKLKILLDSDFWNRNFNKFKLDLVLEEVTEDNLSAVKEAFFRSPAFTNISITTTVLDKTPIYKIFGTSFVVDPIFLNLTTQIPIPPGGDEQLHISVLKMFQMIDFSRVKNGNLVYIPYMPGLPEEESDFLMVADSKKDWLKVFANPLILEGILKYANLFGIHLLRKLCHPIRLCVNLVKPDPQIKSIFISLEDSTTIDFKVTLKNLHVKLIRYEQEGDGCAISVYLVYTQRIAGVDFKKAFLNHLVDNLKNQKSSLEEFRLDFEDYDGSDFLEVFEDTVRNGSIKTEKLITTVQNQSDLLKLFPRLSSLKSISLESSTGKALMLDIDEVSKLEQWRQAEELKLDNIVVSTAVCNMEIVHFKKADIMKLLTIPTFKRLQISFKNSLIDGTFYQLMGAPFTMTIHKSIFYYKIPNDPDFALHILYLSTRKSITFSRVEISVVPANGFEENRVEN >CRE06022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:253073:256041:1 gene:WBGene00065945 transcript:CRE06022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06022 MYGTKVDLRIVESIVHILNLVSLTIAVTRWKLHNEKNTCILLNCILHVFAGIVLKVFCICRAVHYNLGYTIMVYEKTVLFAYYSSGLWVVSVYICYCAPFQKWITRSTRPDGIEMSNLTYMKQVIQIVKLLDPNAFQNIEFCFNHGSSSQLIHIEHVLSLVKWNRGDRLKLVFNINREEFEVGEKSELDTKGSELEIYYTNCVKENLEEYFGIPCQSEPGKFIRFEITEELSDELLLAEAMEKLKLINLLSTQALETPVIMRHISQNLEFFDIQRLRKTTRGIRNCIDYIQPDLQISEYTIAFLLEKKPHTVVKTRKGYLKTTRYGRDVNFDIKNSECRKAVSRMLEDLETNLKQKGVLKELQIVFSYIDFIEYDPVVSFTKYFLDRFKTILTRSEKPLKIEKLVMKCVTQREVMQVLPFLDSSHLKTIELHDPDSEFQKNYRRYEYPEGLRKPFEVNELCELEQWKNAVGELIIDSGPINMVVRKMNICNFSKVNITVEKISTQDILYLKEVSFHKGSARLSELNFYHKSDHR >CRE06049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:243641:245170:-1 gene:WBGene00065946 transcript:CRE06049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06049 MRNWNHLPAEIKRHVVKKLDFMSRYLKSKATNPNSISRHSLKSTSHVDRLIVNSTCFKVPRVRFGYKQGKCLVMIYTGIEKFLRLELSKCEEGILVERSENTWDHQNITTKILPPSDVLKTGLTILKNLLAHESIIIEAMEWDIPQKSEAVIHEDLILKPLAGSKFRIHDFVILWDSKSIESLIEKLCVVEEVKMIRFLALGLPLSSLDVENELVPQLIYELQKTPPSGLNIPCRHQLFHMDNSPPHLSHLELRANYFLSYVNIIACHIRSVEPSNNEPERIEIDGGEIDWVYTSECGKWVAKTIAKNEEVVKRELDAEKCGVDYLCKKCTDSFNYWYHQNLPRRIMQEPFWNDILYTYPEIRDFEFWSIFNLREKFLAEDESWEMEDKKTSASWGFRNTPTSEKQAKAVIQKSEIPNKFNWKSILIFIVFPVLFSFVFHVVMSFF >CRE06048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:241866:243473:-1 gene:WBGene00065947 transcript:CRE06048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06048 MRDWNHLPVEIKRHVVKNLDFMSRHSMKSTSHVERLIVNSTCFKVPRVRFGYKQGKCLVMIYTGIEKFLRLELSKCKEGILVERSENTWDPRDITTKILPPSDVLKTGLTILKNLLAHESIIIEAMEWDIPDESPKIKYQQEILAPLDYEKFRVREMVFLWNTVALEPLIPNLCVVDDFKLLRRLGLEISGESLVPVMIHDSQVEHPWTLGRPCYQSMFCLNGSLPITLVMHFQDRDVLLSDPKTIATFLRVASPLPQKPDRQAHSDTINWTYGTECGYWTAKMTPANEAKVKKMLDPEKCGVHYQCKNCTDPFTFWYHQNLARRFHQEPFWGDIVWQLPGFGDMEMGIRNLKIELAKDEKEKKKLKKWEKEKSWGFKEISVETLVEMIDKDVKDSGGPVKNVVKNSGGDFKELGQESEAFGDGYDDKNFDIDADAEEPASAIPKPIFSLKVFFILPILLSFMFYLIISHLYY >CRE06020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:235476:236933:1 gene:WBGene00065948 transcript:CRE06020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06020 MRDWNHLPVEIKQHVIKKLDFMSRHSMKSTSHVDRLIVNSTCFKVPRVRFGYKQEKCLVMIYTGIEKFLRLELSKCKEGILVERSENTWDPRDITTKILPPSDVLKTGLTILKNLLAHESIIMEAMEWDIPDESPKIKYQQEILATLDNEKFRVRELVFLWNTVELEPLIPNLCVVDDFKLLRRLGLEISGDSLVPVMIHDSQVEHPLTLGRPCYQSMFCLNASLPITLVLHLQDREVLLSRPNIIATFFRVASPKPQKPNRRAQSGTINWTYGNECGYWVAKMAPGNEAKVKKTLDQEKCGVHYQCKNCTDPFTFWYHQNLARRLHQEPFWSDIVSEIPGFGDVEMGTRNLKIELAKDEKEKLKKCEKKKMEEKSWGFKEDVKTENMTIRASDLLKPIFSLKVFFILPILISFMFYLIISHLYY >CRE06046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:231977:234721:-1 gene:WBGene00065949 transcript:CRE06046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06046 MFLELRTSGHRDGHIERVLRKKRQTQQTVVKDVKSEATIDFVQDIAPSEPTTAPEEHLEPPQTHFWWFAPSDYTTTIMNMPDVAVARILEYLDFKEIFTLRKVNHGFRGFIDHSYPSLNLTNLHISATPQRIIMVYNLTTHVEYQLDRYGCIVIQGAKKTFLEDSCFLETFLEDLEYVLSRQKGILEALQLEWRTQSLILTPWDEQVNSIFNAFQQFLGARKKPLRVQKLIINAYSQHHVIALLRHFDPKFIKEIQIFVTCLDAREEVFELSELVELESHWNNLERVVMRRKISQNDFHYFTKIREVSVIVQEVSLGSLVFLKETLTLSPTFRKFTLEIKCFRLPRRSLLDLYGPPNVEENERRSFYFRIPDDTMLLKMSIDPFDSVLSFFRIERAELPKFANVQN >CRE06045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:230135:231177:-1 gene:WBGene00065950 transcript:CRE06045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06045 MSTPTNYHMSLAYFILNKLELNSNFYSCPENWTLSEEDRVKRPYLGVYFMTSGAIYVTLYLLCFSAMLNRDLIRFPAFKIMISLALCDIPSVFVNSLATGYFGWNGISFCDYPRLIFFLGANGFGLWLGCSLSCITLAVCRISELNPDLNIRWVFRPPFIYFLMLLFFLAPFYGVFLTKPVIFRPEFMSWFFDPGTGTSLSDPSAYYNINQPVNNAILTIITLSLYTYLVSYLIRKGTHLESVQFLRTQRQVILQAAIICFFHSITSFVYVYMQFFYSPEWFIVAAQIGWQICTGSISVIYLTLNPTIRSSVRKMVCPARFQQDNRVSSFEL >CRE06019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:227704:230069:1 gene:WBGene00065951 transcript:CRE06019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06019 MSNREQIAMMLMNMYSGIRSPEEFFGMGARQPNQSMVSLLELLTARQAAQQAAQPGASPPDLAPVSPPVEPVEPPTPPPSLPTFEPPELPPIPTYQLPSFHIGDMHRVFLFEKYSQGTSAIGSYRELCGIPEPEAKEDSESEDESDIDVEEVPKRSLFSNTSYSDIDFWYNRFMNGNYSMDREDNVKEKPNPFEKPKVQELIAKFSDSWTLANLQQVSSSFREVARSTPINITKVKVQVDTENIRMQVFDGNYRQWTNIEYHNEEEGCRYQVGKRSRIMPKLNFHRVFMSDFKMIARHPMLKLEELDFDIVQDRFPMYLEWIPYKFFKNCAMSCQKQIPCKKFSITVDECYDDYKDPAAHLLKMCEPGVETVMVRVMKRAWPPSDYNAKFDGRNFFTHKMRDTTQWGFANNLIFESDFYDIEIRPGDGIKEFTLNNICHNTMREMVQNFTINPLEHDITINLKGELLMSCVDRMVVQNLEGPVPRDRVCEFPVLNHYETFSVQFTGSQILLKRHEVNLEEMLY >CRE06044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:201417:214858:-1 gene:WBGene00065952 transcript:CRE06044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrp-7 description:CRE-MRP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3N6I7] MLSSFCGDDSHPFKTGLPNLSICAQHTVLVWVPTAFFLLTLPFLSAQCHLNSQRFDRLPFSAHFIIKLILTAFLAVNSLFTWCYVLFSSESFPPASYVYPGLWVVVWTGTFLVHLIRLRCGLVSSGIQHVTAMIFALCGAPEMYQWCRMDNESSGVLGSALSIAYLSWYSALLIYTFMLCFADPRSGIVKGARSPELQSSFLNRLTLWWFNSVPWTGAKRDLEIDDIFELNERSGTRYLSELWESFWEPKRLKYIHENSIWAKKSPEERTTPVILPSVISSLFMMFRWEFLLASTLKFVSDTMQFASPFLLHELLNFISAKNAPFWKGMALSILMFSTSELRSLILNGYFYIMFRMGTKIQTSLTAAVYKKTLLLSNSARRDRTVGEIVNLMAIDVERFQMITPQIQQFWSCPYQITFALVYLFITLGYSAIPGVVIMVIFVPMNIISSMVVRKWQIEQMKLKDERTKMVNEVLNGIKVVKLYAWEVPMEEYIEEIRRKELALIKKSAMVRNILDSFNTASPFLVALFSFGTFVLSNPAHLLTPQIAFVSLALFNQLRSPMTMIALLINQAVQAVVSNKRLKEFLVAEELDEKSVDRSENIERSHNAVRVENLTATWENPEDSRQATLQDLDLTAPRNSLIAVVGKVGSGKSSLLQALLGEMGKLKGRIGVNGRVAYVPQQPWIQNMTLRDNITFGRPFDRKRYDQVLYACALKADIKILPAGDQTEIGEKGINLSGGQKARVSLARAVYQNLDVYLLDDPLSAVDAHVGRHIFEKVIGPNGLLREKTRILVTHGLTFTKLADEILVMFDGKIEESGTFDSLMKRRGVFWDFMEEYKSSSDTNSEEDFDEIGGEKEDYVNPEDVVLTVTNDLDETVRTPELTTQISTISSPEKPSIATGSPNKLIKKEDVAQGKVEVATYKLYVKAAGYTLSIAFIAFFIAYMTMQILRSFWLSAWSDEYDPDAPSAHPMAKGWRLGVYGALGFSETACFFVALLALVFVGQRASKNLHGPLIHNLMRSPMSFYDTTPLGRILNRCAKDIETIDMMLPMNFRYLVMCVLQVAFTLIVIIISTPLFAVVILPLALIYLVFLKYYVPTSRQLKRLESVHRSPIYSHFGETIQGAASIRAFNKVDEFREHSGKILDTFIRCRYSSLVSNRWLAVRLEFVGNCIIFFAALFAVLSKEFGWITSPGVIGVSVSYALNITEVLNFAVRQVSEIEANIVSVERVNEYTNTPNEAPWRIEGRAPAPGWPSKGIVRFDRYSTRYREGLDLVLHDISADVSAGEKIGIVGRTGAGKSSFALALFRMIEAADGRIIIDDVEVSQIGLHDLRSNITIIPQDPVLFSGTLRFNLDPFSTYTDDQIWRALELAHLKTFASALPDGLLYKISEAGENLSVGQRQLVALSRALLRHTRVLVLDEATAAVDVTTDALIQETIRTEFKECTVFTIAHRLNTIMDYDRIMVLDKGSILEFDSPDNLMADKNSAFAKMVADAEEQENQK >CRE06017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:199554:201222:1 gene:WBGene00065953 transcript:CRE06017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06017 MDFREVWMNTDVGGAGEETPHVLAAKTCVENLKTAEDNCVYLLAISLFLLILNVASIVFFNRLAIIKCYRARFQPLPSSETASSIFRGAFDHDAFDMKG >CRE06043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:183368:188241:-1 gene:WBGene00065955 transcript:CRE06043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmd-3 description:CRE-DMD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N6I5] MNIEEILPELFGEKRVYYCQRCLNHGLREKRKNHKLSCSFRFCQCSNCIMVERRRQLNSRLMQIEGSQEDKKPSPPTPLTTLTMALTASSEEDQMECTSQSETTNESSGEDKDDGKPKERRPNCQRCAQHSVVNRLKGHKRACPFRDCFCAKCQVVVERQKLMADQIKLRRRQKREKNNLNSEREAPMTHSLTPSPTGTVTTTPTSDSSNPMCLKCAQQVIGYQQLLSLLDPSATLQDPMITLSAVLSACPHKNE >CRE06016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:179559:181542:1 gene:WBGene00065956 transcript:CRE06016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-28 MLRSLLNSRGVALRSFRAAFSTETVTGAGDESIIDFVDTVTESSEAQNQSFASLLRNSKFMQLGDFNGRLVVGKIVHRIQEDVYIDFGLKFNAVCKVPAVNAEAYRSGARVLIRLLDPELSERFLGSKKDLTLLEADAVLVRLLSNVPRQQRQNQNQKTSEVAPKDSEATATSSSSSPPV >CRE06042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:176539:179286:-1 gene:WBGene00065957 transcript:CRE06042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06042 MQIKPSLLTALLILPIFCTSEWTIDLEYSLNGFTNSYPLGNIEMRRGFDGNFTGTFKPSQEQNFGQKLSSAQDETYSVRAKSSTQNGQTFLTTSDPCLFLHSNLFHVFWLSISQEKQQLHTITVFPDTVAWRNDEEGGKYESLGKTCKERSSLASESRGTPKGVVHVVGESTLPTPDTASFVQKMEREKRAKQHGADADNRSFIAKYWMYIVPVVLFAVISSAMNPEGAEGAPAQ >CRE06041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:173256:176194:-1 gene:WBGene00065958 transcript:CRE06041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06041 MNSRNYETHVPPLASNTVTIATSSTGQGRLQQQFTGIRPLNSVPMRQVPIRKVVHDGGGGASTSSAQFLQIKEEVDDGFGTPTCSSGPLIASTSNSFQQYQRGGPTGGGGATVTSGALVMNSNGDRSSMAMDQPAPVNLAAKVAEVFLTAGHAFQKLGDLTLQLHTTTDVDESKWSEKEVDNLKNALTRFAHDLDQISSAVQNRSTRLVKNEIKRRHMLAADDSQQAPKRMQMSGVGGVQGQPTGTYTTVNSVGMMSGHAVPLGQKRLTGGGQTMGKLMAARLKNT >CRE06039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:165897:166854:-1 gene:WBGene00065959 transcript:CRE06039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06039 MINLKPSKDPQKILDLVEKLRRDVETIVANNPGIEIWNCDQTGLVKECHGMRTHSRTGSKKVKVKVQSKNATSHSITFLPIIGSDGFQHDKVFIQLGEPNGRLPQKGCYSDNTIHVSVAKSHIMSRATAEHFFQNVLFGGKVPATLLLILDSWPIFRDHAFIKSFAPPSTDLHIINIPPGGTSICQPADLSYNHQLKGIQKRLTSLVMFRDIRYRVSERDNLLKFASQAHWVIGSPRFKSFIAYGFYKGGFIHSKPPPFDGPKQFIFGAGISKPCSCSQEGFTVCPRCEESFCFECFWDKCHRC >CRE06015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:162764:165310:1 gene:WBGene00065960 transcript:CRE06015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyf-19 MSDDEWGDLLEGLDNEPPPPPPETKPAAGNQKGTPARQRSGLDSFLSSGGGADKTPPTAKKEVNFSIAPKEEEMRSVARSTNALNDLFGPSTSLSTPATSTAPPRRAGGGTSTLDDIFGTAPRAPATAATPQVSQPTATTAPPPSDNFDAGRVLRLEAELERVNRELEDTKRKKREDEEDLENFWKTKLEVQARDHSKLLEDVRNSHKTQITKLQEEHHVELERIKTNFERQLDSVTSSTSQVGDLVSVVGKVDSISMNIDRIAADVVATTNKVSSEQAALLQLQEERLKIREEKLADDTAALRTEQLKVHELNLSLKDLVKNQQDENEREKWRTKEEWNRLKVEKQIFKENQDRIIGNIEKEKQQLAEELKAFRKNQNDLLFRVSTERELLEQERNEFLAKRDQDIKRIKSEAYELDIKSQQVTTADQHVAEMKLITETKYRQVGF >CRE06038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:153396:159152:-1 gene:WBGene00065961 transcript:CRE06038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06038 MEGMEEEEEIPVKYRLRGETDWQVKKEAVMKLGKTEWAIRLKLCKQGDDHQYYSLHVVLLTPGKVSRIQIKCTREGETKTDWQQEYTFGSKQSTIVNPCLMKVGEQRDKVTFVVEIKQLCEYDNPILELPDDICFPNSDYALTVGTKKLHVDSEYLKRRAPLLGYMFEDEEKKEYEVENVDFVDLVDAFGVFQHRWEFPAERLLTLIPIARKLGFDVWGPALNREFSQLVYRADPVKIVPKESEEIQEFQFNTTLKLPIEDVEAMGASTIPDNQNHLVTWEEQNRNCLIYTYTQEILGQKYFSLGFQMSRPSTSDSRDGDDEVNVTDDDDARSGYSESNSESKFFDIEIHLLNDKGERLISDRIRRIRDDEYTIVGSPVFALFEDVLGNCVDGRVELEFNFVENLDDEDEDNMTPDENLDVEGDFKLPPKIRMPGVTNGEIQCEGRVIQINREYLSHHSEYFRGLFSKRFKEGQMDCITLPAEHLNTLCSALDLLYNRRLVLSDVEISRVLDFSDRVCLKTLTDGLQSQLWNSKVMDVGDKKWLAEQFMLTDLEIKCTMEQNEEVLRAARATRKRTRPADFQDEPLEAPPPPNPERVLQELPDMVMNEQMSS >CRE06037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:150934:151650:-1 gene:WBGene00065963 transcript:CRE06037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grsp-1 description:CRE-GRSP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6H7] MQKLTLLLLLAIIGYTTCQWGPGGWGGGPGRWGGWGGNRWGGGGPGGWGGDRGGGWGGNGGGGRGGDWNGGGRGGDWNGGGGGRGGGWGDNGGGGGRGNGNGGWGGNNGGGNWGGNGGGNDGGWGGNNGGGGGGRGGGGRGPPGGNGGGDWGGNNGGGGRGGGGRGGGGGGRESTGERIAENVLGALLG >CRE06036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:149747:150124:-1 gene:WBGene00065964 transcript:CRE06036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-26 description:CRE-NLP-26 protein [Source:UniProtKB/TrEMBL;Acc:E3N6H6] MNSFILLALLLVGFVTCQFGGFGGFGGQQQFGRGGQFGGQQGGQFGNRGGFQGQQGGQWGQQQGRFGGQQQFGGRQGQFGGQQQFGGRGGQFGGQQQFGGRGGFGGWGKK >CRE06035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:148459:148808:-1 gene:WBGene00065965 transcript:CRE06035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-25 description:CRE-NLP-25 protein [Source:UniProtKB/TrEMBL;Acc:E3N6H5] MPDSQIQNIMMRGLILISVLIFCLLNSVQSQWGNGGGWNNGYGNYGPGGGYGGGYGGNGWRGGYGGGFGAQQAYNAQNAARIGTEVAEGVLVAEEVSEALG >CRE06034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:146570:147557:-1 gene:WBGene00065966 transcript:CRE06034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06034 MARTKIGYTSRTYLMNVGSELLESGSNNGIECIWTRILYDHRIIFNWKFNWTELKNQGVHKITGYITLFSANNSFRAMRRTVELTESKQEIEKEFECGHTETVYYTYSLSPCHTPIPENTVYDEMFAPSDQNDTILIVDGKKLHVSKAFLSYHSEYFRALFSSNYKEGQMDEIPIGEVSFKDFALLLSTFYPQPTFPSDETVEIILKMARRFLVTSAVSSAEHHLMNMSKIDNEKILWMADEYGMPNLLEKCIREMNTIAKAKKMKRSAEYDQLSDKTKLKMYERLVDSNSY >CRE06014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:118737:146038:1 gene:WBGene00065967 transcript:CRE06014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06014 MRVRASSYCQMDDRIPFLTPQLNSHSHSRLSAETEATSGDKADFSLSGVSVYTELNQISCSFVLFYFCLLLFVRQPNPIKNEQKLFLPFFTSIFLFFPTMYSPFSFYRNHRRYELLPSYSSPMAPPAGTHKIPRITKCSSDGASPRHHSHPRRFSTDRPCLTVSLSHETRRKRSRLIRTRNVQSDSRKSTSELCSDGNTLSSSLSTIPITGHHQKSGATPSRVLVKGHTVSTCTPRFGSTSIPAPLDRRQGRSCEFHTSDDDRHDRYDNPIAQVSSDILRVSGYTQQFRSLLTARFSPRRESTPNVNIEAAEDENKNDDRYVNGGVRFDNDVIDEGGTASGATTGGLQTPRKIAGFRRRQSGYGIAISSDSTAKMRCRLRKQLFIRRNKVCDISLFLAVVGLILVIIDSELTALSGSTGITKDSPISIILRSACVVSTFFLICCLVNYHAIEVKIALIDSGADDWRVAFSTDRFVKMLIEVLVCMICPFPEIFSDGLMRMPYINADTRQVKMINVPVDVLLSVPMFLRSYLVCRFMVLHSKQFQDAATRSIAALNRISMDFRFVIKTMMADHPLRVLIVFTLSYWMCMSWMFTQCERYDGQLDVEHYYLNSMWFIMVTFMSIGYGDIVPNTYCGRCLSITTGIVGAGVSSALIAIISRKLELSRAEKHVNNFMADSKLTNQRKNAAASVLQETWFIHKYKKALHKGDDLRLRHHQRRFLHSINEFRRIKWDQRKLQEKGNSLLDVGKLHSDMHETLWEMHRTQDHFISQIDVLTQKIMELQLTLNTRPPCCAAPVTSSQDAYFSTSTQLGTVLPTVIPTIPLPSQSPMLHASQSHREPLQVTTTDAALPNSASIPRISVGSTTSSASSGNGSLPQCTVDQLSNCPTGGIYATVTTPLISNFEEV >CRE06033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:104217:108091:-1 gene:WBGene00065969 transcript:CRE06033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06033 MPPLRWLFLVLCACVAVRAADDSLIDSFKTMFFGNEPDKTTNDSAAPPQNNPPISYHQYSASSSSSRSQPPPSGQSCTLPRQIGTGPYRIPRWYYNPVRGRCELFYWSGCCGNGNNFQTFQTCQSTCEASSVLPTPSTSSSSSTSQIVFSTPQLSVFQPSSTQIDVLFQPNLLNHYLSMPPVLCSCCLRPYSEGYGSSNLLRFYYDAPSKTCRQFIYKGMGGYGNQFENEQKCRNSCGVSGTPVVPQTLATPPPTLMTAPPITSLPPVVYVPTVDPCSQDKEPGVGAVQLPRFFFNKDTRLCEQFQYFGTGGNRNNFQTLEECQSQCPESPNPCAVSSGATLAPCAPGQGCGTGSYCHVGAQTQTTVCCPKPAAVDRCQQPLNIGIGNSNLQRWYFNPLTQQCQTCTYRGLQGNENNFLSQNECEQSCLVNPCKIGSPFRSQGITVQCSANSPTVCPAGYYCHLGADQTTTVCCQALGNSPCEEEMTQGEGSSALTRFYYDASQRKCLAFNYLGLKGNRNNFQSKEHCESTCPVWINPCAIGQPVMTVTQKPFQCHQGATCSTGYFCHLGFDDATTVCCQSEGDPCSLVVKEGNGNHHLSRWFYNQKTRQCQPFTYTGQGGNENNFLLREHCEATCPVWINACPSGEPYLLPNGKPQPCDASNTNSCPLTHWCHPGPDASTTMCCPGRVDPCTQALGQGEGPLSVTRYYFNAQSSTCDEFMFRGLKGNSNNFNTLAECEKACPVQQNPCPITMSSLKHSAKLMPCSPTKSCPSQQWCHYGETKETTVCCPNGIKNLAEIEPGVSKLSRMGCFFVLTHIVEIILRPS >CRE06012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:102286:102980:1 gene:WBGene00065970 transcript:CRE06012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phy-4 description:CRE-PHY-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N6H0] MTQNSTPKSNGALLKSPLFQRISGKTETPEKSEIRAANGTWLIHENRPNFAKMFRNLQTDIAALDLSTAEPWQILSYNSDGYYAHHYDFLNPDTNKQLVEARGNRIATVLVILQIAKKGGTTVFPKINLNIRPKAGDVVVWLNTLPSGESDSQTLHAACPIKEGTKIGATLWVHAKGQEFSMPCAESKKYYDRRLFFE >CRE06032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:96617:101589:-1 gene:WBGene00065971 transcript:CRE06032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06032 MAYLRFVAEFPPVDDPCTAPPRNPGTGEFHATRWAFDGSSRKCVPFEYRGMKGNSNNFLTRENCEKRCPVFQNPCKIGDPHAVNNQYLQCSPQQVCPGGHYCHIGSEANYCCKALGGDPCGQPLDRGVGGSQLSRWYWNQQSQCCLPFSYCGQKGTQNNFLTKQDCDRTCYELDNPCALGDPQMSQTNRPLQCTSSSNTCGSQFWCHFGANADTTVCCPGRVESPQICQQPMVAGSGGASLPRWYYNASTMQCVQFNYQGRMGNQNNFLSQQACEQTCPVYVNVCPTGSPTLDPSTNKPVPCTFGSNSCGADHWCHLGLVPDEYQCCPGSPTNPGACQGLPSSDGVVGAPAPPTSRWYYDQTDMQCKQFTYNGRRGNQNNFLTQEDCAATCDVFTNPCNQPIALPATMCSGTGTSDTCGANMWCHIGASQESTVCCPSEGDPCSLPLARGTGNQFMDRFFYNQQTGSCQQFTYSGLHGNQNNFLTQQSCEEQCGPNPCFEGRPFVGADGRTQTCSASANFNTCPLNHWCHIGTDLSTTVCCPGASTNVCNLPMSTGEGNANLDRFYYDQQSKTCRPFVYNGLKGNQNNFISLVTSASLVKNYFPIFQRACQLSCQPLDNPCIGQPATTAAGQVLFCSITNKDSCPVNFWCHIGATPETTVCCPGATNPCSVPLAPGTGNSGLSRYYYNPDDRQCLPFQYNGKRGNQNNFENQAECERTCPVFINPCLGEVIFENGAPKKCRAMLKNSCGSPNEFCHTGDPSDPDSSYCCPRINQDPCDAFVRNGEGNLNMTRYYYNPVEGDCFPFQYKGFKGNENNFLTLKTCQETCKPLATACFGGESPLMNNGRVVQCHNFVCPSTHYCHRGADVRSTVCCARRGHSCDQQLMLGVGNSAIDRYYYDTTDDVCIAFNYTGVGGNENNFLTKAECQIACPGYRGYCPHGKPDVTNHALTTCGIDTGCPRDHVCHVSKRGSKTVCCPDPASFCLIGANPGPCNREIPRWAYDKATGTCKKFMFGGCQGNLNNFDTVEKCTEICCDKGYN >CRE06011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:94565:95849:1 gene:WBGene00065972 transcript:CRE06011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06011 MSTVPQRNRSHSLFEGSQFAPSTSPPTTSSQLSKMLKSEPIPCLRCGNVGSPTGSVPMSLHKVSSINRSAGTNPASRGGESSLELEAIAAVHELSFAVQSISVSEMLPRTPDLIFVNVTTLEAQPYCLELTLKGWRITSLRSDCMVGDFTRLELFTKYYDSLYLLMDDISPGYRERFSEKLVQRLKLIEAGEEDQVAPCGSLQSPPLSTDSSSKSSESQYSSTDSLPIVTPVSTPAIDPEFKPSFN >CRE06009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:80184:86253:1 gene:WBGene00065973 transcript:CRE06009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06009 MPDTVIVVTLKKRPTSEESPKQSTSSGVNRHDGTSSEEESDGEIVVTTRRKSFGIRVAQTPAQAAQQVLSQIGNLASERQQNEVVVQQTQSQEKTEAEKKALEQHQKMLEAQQLAKAQQAAALQAAAARVAQAQSQSQANQQANQAQQNQAQQAQQEALRAAQEQAKKAQQEALARAQEAQKKAQEEALKKAQAAQAQAQSQAKAQQEALARAQEAQKKAQEEALKKAQAAQTQAQAEAQKKAQLAQQQAQQQAQAQAAAQKKAQEEAQKKAQAAQQAQAAAQKKAQEEAQKKAQAAAQAAPAAKSQAQTAKPQPQQAQVKPQAAKPQAQPQAQTSTTSQNAPKGALTQSQPAPQEIHAATGPKWSRTPGKVTTQGPQPEQPKQVTAVAPPTGKTALRQTVLVSSREGGGGGRDQQEQEYAIGGHLAMSPVMARKPQIQAEQLAQKFVEKPEEQKEKMAVQKGQQLEGAKRWVADSETREAPVNNMQQVERGPKAVILADDACWQTQRRVPVEIQQPQVGKISIPELSEKEIQRTIVAQPASYHKVQWNEFPEEPKYEIEAAPKTKTQDWVPVNNEQNELQRSIYKPARMSAVWPPPQDELVKEGHSPLVVKANEDTAWIQQDQNGVQKQAVWGKTSRINRVWPPPENESSLTDFGPQHMPVVQWPPPEAEAHEREQVEVLQKHIPTKKMERQWPPPPPQYQVVESTGEIETRYNPAEVDQQQAVNSLRMGHIQA >CRE06030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:73862:74158:-1 gene:WBGene00065974 transcript:CRE06030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-4 description:CRE-SRV-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N6G3] MKLDALQDIIISTACFLLSSVLYLLTFIHLIQQLPKDISVPYFTSRKTRNSKLNTPTDLIIFKCAFFSFVLFTPNFAKTIVLYFATSPQIIDIGTELW >CRE06029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:63969:72278:-1 gene:WBGene00065975 transcript:CRE06029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06029 MVHRNRVEQSGRIRVANQANQDYLQSHAIYFYLPTLLFYSSLLFYEMSVLRYVQGVVTKSCGTLKTYIEECEVNLVDWGHLDSNDEAIRTEIAKTIHILEAKISTVNVAMNNFLIKAAEIDEKNSAEQEKVEEKINQVIEITDIANSVMARAQAEMKRMSQKAEKKEEKKMGETIFAHRNNQMAATRPKVPKFNGNRSDYENFWLLFETSLKVNGVENDLLKFNFLLNSLEGEPKELMRQFRLSEENYQKAVNLLQKKYYDKKRIVTELTRQLRSIRAKSESTSDQRKLLDTITIIVSQLNENRGQIDAEMTKDIIVEKFDMRIREKIEDMQLDAQNDWTIEELLEKMDSVITREEKLNIKLDRSEKSEKSSKETSKVRTSNNYSTNNSNNGKSKSRENGRDTDRPKTCVYCKADGHWGFECVKVSTAKERKDILKSEERCIQCTMKGHSLDNCRRKRKCYHCKEIGHHSSICTNKPKDSSDDSSSKRKETADKNKQKTKTTAVAQIEEEETTSERKEEELNSHVSKVEKKTRAFIPTLRTRAYNPQNGQWDEVSMMLDCGADQTYVTASLAKKWNLPMYDKEQFWLRTFDSEEAALKTYGRTNIKILAGAKTMEMDVLVSANLAGKVKKARLTEEDWMYVNQKQLQINEDCKEDISFPDVILGCDYLGDIETGSRIRLPSGLDVIGTLMGYTTTGKMTHSPQEKEDKEEKFIMVAIEEEKTGQDIEESQKRDTAMKTQEEFTGSAQEEKARIDAEVWEFFKRTVVKKNGRYYVRIPYKNGHPVLPDNFSIALKRLISVHRTSSKEILKMIDDVFKDQLKKGIIREVDPKKYTQFLVHYNPHQPVITPQKTTTKCRVVIDGGSHYKGKPSLNDVIHQGPVILPDLVDMLIRFRAGKYAMISDVEKAFLQVFLNEQDREVTRVLWIRDLEKPLTEENIVTYHFTRVLFGLNVSPFLLGATILFHLASHPEKELAEEMKKNLYVDNLVLTSDGDANELERIYRTAKDAFSEMHMNLREFQSNSMEFNERVDEKDRAQEEHMKVLGIMWHSDTDQMTMEVDLEENVKNSRRTVSSTIAGVYDPLGILAPLMLQMKLFQRLLWSEEYEWDTELNSEHDQQCTKLMETQNDFKCEFPRHIIEKNSPNTIVTFTDASQDATACCLYVVNKNGAHLLLGKSKVKPLKESWTIPKLETQALKMGMDKTVEVIQALQDGQIQVERVMVFTDSMIALNWLKSAPGRREVGVFVTNRLHSINQATDKIREFGIPIHFGHVASEENPADLATRGVDSQSLMNTIWFKGPQFLLTDGAQWQTSRKMFEIPEEEISLGCASNTEEGSTAVFDCTVTNSYLKMKRIAAWTMKFISLTSRKLKQETKDRLKESIPALCEDVQPGMLTAPELKQAELVLLRDQQNLFQGKQLRQLGNLGLVKNSSGLIVCKGRMEMSELEDSTKFPILIMPDSSIGKLIIGEMHGKFHNGINHTIDAFRRRFWMVRLRQQVKKFIGKCVPCQRMRKAPILYPDFGRLPESRVRRSKPFGNTGLDYFGPITYKKEDSSDGKAYGAIFTCTTTRMTHIEIVTDCSTTQFMMALRRFIASRGRPTRIVSDNGSQFVLGQKILKEATEKCELTEEVKEFLGIEQITWTFITPYAPWKGGIYERMVQTSKHAFMKANRINRLTLEELKTSIKEVEASINDRPLVFPEDDIDAVRPIRPSDFVSQYMKITVPWTDSVEDNDEESNKISRHLSRNNIPCIFTIPCTPEGTNTNITFFSDKAKFKRICVLDCGSKKTEEFEITGVLKFSGSIWTAIYRILNGDSTVYSEVNFPDISHLIDSYLDYVKTVGIAIIIVGVGFLATYAIIMSAGSKILSSKNAVEEAIFQKSRIVDQLRNKKETKDKLQLFQSLEDTRTKMDSALMRAQAAIRELRRQQRTAQLLVDTGVGEQELVDNKMADFGIDVAEERKSLEYLEQDVERERVEFSNLLKVGWKAVQQVSDTMELKIEEIQETSEAVEKKIDVVQNDIKKGFKNEQFFERMARLLEYRLSRVEEIQTAGASASTDNQVRIVFKETGTSPCQLDRSPSPVRRRSPSPVRRRSPSPVRVRSPSPQPTHSRRQHSPHEERNRHLGSQQGSSFPVRCVFCLEEHLSDTCRRYWTTAARRERLDQRSRCYRCLRPHPNAPNHRCPPVKQCYYCNSSGRHHRSVCRQRPQ >CRE06007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:58586:61819:1 gene:WBGene00065976 transcript:CRE06007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06007 MTVDKVKLVIDSDGVSDDVRAISLALQHPKAEILAFTAVHGCVTVDQACANIKRTIRANEGTQNFSKIPVYKGAAKSILSLPKDDTVSTFFGDDGIGDRPDEFPKVEQSDFEGEGKHAALAMIDIFRENRDATLVTIGPLTNVAIALQLCEEFATYPSRMVIMGGNYYAVGNVDGGSSAEYNFHGDPEAASIVLRRMKCPITIVPWEAFYFESKVHNESVNFSAHLKYDTPLAGYLSLATSIGRIKCEQNGRQYSYCDEIAVATAIDEEKIATKSQYLYVDVELNGTKTRGQVVVDWTEQLWSNEEAPNTHTHRRVKFVTSYDVHTVDKWLHAATSGSGQF >CRE06028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:50610:58218:-1 gene:WBGene00065977 transcript:CRE06028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ani-3 description:CRE-ANI-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N6G0] MDDSEAPEIKSMPPTPLPIGSSRCYRWTSYTAARNSLYSDFSPISSRQETPVSVDVEATWRKAVPRGRIRDLVAAFDQCARPEDDHFKKPAPPPPKFHEIPPAENFKTPQISTPKRPSSAEKRAAPPKALDLNKLEPITMKTSPLAQRHQNSRRGTQAVVFKSSPLASSAPESLFPANIRKMALKKELEPFIKKDCSVQVMNTRGLEDFWEREVSEDSEASLEDSEASDEPMELDEESKTADRTFDEPDYLSDKENIAPVFPTSDSSASPKFTSSPSLFTPYAGQPVTEYRVTETEGPHKVVKQQMPPAALATSTPFGTMNRGGGGIRRGGADEAQFQNSFVSSISNFSAVDHANDSRRQISRLLESIDKTRHHIQLAEISLLEAKKSRVVVQELSSQRVLLCCRERLKLQLEEIRRLQALAVVRHPPPPINRQFKSTMVISNISLQINKNFHSRSSFAFIVVLKCRTEVEATGVVTLLAQFQTRLNTIQFGEHLHFSNLPVDFVIGVEIYMMKVPEYKPPERNCAAFLAEKVRNLFVPSHAAHRRPTLTTTTTIPNTQQLNKTSISLPSTNHTSPECEFKLCGKLTLDRDSAGGDRQFYLDDVIYPLEGTVKLNSHCSSLPEAIDVEYRGYLHLFHDPVIQGASRGLPTWHRYWAMLHRGIVFFWSTPTDEMTEKSPLSQIDLTKCTNQSIEETMREKTGGAEHEFHIELLIDHDPSLLEKRRVILAAESSDHLSSWLNAINDTLYVLRS >CRE06006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:46902:47955:1 gene:WBGene00065978 transcript:CRE06006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06006 MTRFHLVLGILIGIVGVVYSQDELISGGSNLVKESVGIPRLLAPVRYRSRSRMTVMNDIQCQNCFVFDNDIDEEEKMNMTIYVDNTKECLEQQVVCTVASSKCEGYVVYTSQANGPGYVRMEGISNLTLTCGDDGNPYYNGTKFDRVGCTKVCDSVLSPGRTTKTTQPTTTTVEPTTTAEPTTTVEPTTTVEPTTTVETTTTVEPTTTVEPTTTVEPTTTVEPTTTIEPTSTIEPTTTVEPTTTVEPTTTAEPTTTVEPTTTIKPTTTPEPSTSTEPCVTTEAPTTPKPTTRANRGCHVPGAKKK >CRE06005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:46246:46711:1 gene:WBGene00065979 transcript:CRE06005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06005 MTFLTLNRRKISLLAFSTPSLSTNLLLFFQTQTMTTVTCSPSLADIRALQADNLDRLRDSLQQINIRDVVPLLVARNVLRSYEMGALYAKGSDEQVDALIDLLKTKNHWVGPLTDALIRNGKAPIAKILLELQNGK >CRE06004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:37083:39205:1 gene:WBGene00065980 transcript:CRE06004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06004 MYIITAIFVTIISTTTSSGARDVNGKQTRSIVHIHNSKIENFFPDTWSITVDRMRMIARITNGIYLQRGLIDGTIPVDELIAELLGIQSVDQMLKVDTRKVIRVFKRLNGTNDTSLKDFHLMITLLTQTPTVPLAIKHSLGFPNGYRDLLTLQEDFKDPWILEVVRTRSLSYSMTKLEKLGIALKNISGMLEVTKGEREMLSALVKNPPEFKEPLSKLVVTGLQITSNFQEAQNALRALRNILFSMKHSRSLESDALTHLLDPFRHSEVLGLATKGVVSMKQVLDNKSRFQEVILYLEVVKEEIRNSSLSQKDLSNLEALAALQTPLEAMYQSLETWRDSLTDSNSSTLNNYTTLFENAKNVSGIPLNFTEISDSLDQLIQETSNETTREQLENLEAVVNEMNTIGLDFSKYAKNFEETNETMQALNLFFESYESKVYEPILASRNSDYTVMFSLIGVGVAMFIIVFILGLCYLWRADKEIKMDEEKQKKKNKKLINGASRSNSEVSPLTAGVSSGVSSGSKTTTSSSTTG >CRE06027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:33313:36253:-1 gene:WBGene00065981 transcript:CRE06027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06027 MAFSYTRLPRMSSSSSSFSQLSISPDSNPEEDVIYEYEKKKKKREVSNFVFGHKTRFYIMVLLLFCLTLPQMNSITFNFTVICMGDLVERHQTLNRSDPHWMSSSTHKSFIFSSTAIGAVIGLIPSVPLIDALGIRVVLTVSGVISAVGSIFFPLAADINYYAVILCRVLQGLGISILFTVVGVIPGIWAPRNETGTFLAILSCAFQLSMIICMPISGILCETPYLGWRSIYYIFGAATLLIYTIFFTFYTDSPRIHRNVSEKELKRIESGKLETPVQEGVPYWAICSDVTVLAAWLSVFGGNFGFTILTLYGPTYLKDVLHFDVKETGFATALPFILSAVVKFAAGRISDKMDHLSEKTRFTFCAVVSQASVVLGLVLMAMTSNRRVAQFAYTFAITSSGLNIVGNVKCIQLRCRQHVHFAITVISFCAYLIHFGAPIVVGWLTSSEGDTGGWSRLFIIVSVITVLTNLPFPFLTSEEPAPFVRVVKVEE >CRE06026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:31856:33150:-1 gene:WBGene00065982 transcript:CRE06026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06026 MNRCLKTIFFLFLIGNASGFYHNYTCNYCGQLVYGVVLPNDVETLISVTEMYFQYNYCPAYFSFLPLAQCVTGFTTAMSWVYRPLYAALYPVRDSICPGVCGGETGENYEIVFKKKKK >CRE06025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:26098:29057:-1 gene:WBGene00065983 transcript:CRE06025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-4 description:CRE-CUTL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N6F4] MLPFLLIFSVILCNHFTDSAQVPRIQNGIVGEPEVICDIRHIRITMKTLQPFLGNLYAKGFFHKSECRIRGNSTANSVEIVIPVDSDCGIRRKRMMNPRGILLDTIVILMFHPVFLTQTDRSYHVQCQYTESERTVTNALDVSMQPASELPQSIQQQDEASAPVCKYEVLMESSTGAPLSHATVGDQVYHKWSCDGSNKEMYCMTVHSCVVDDGQGFGQKLVDEAGCSLDPFILKELEYKEKELEAGQMSSVFKFADKPTVFFSCMIRVEMKESTETPCVTPTCKASSSGKPESLDVMTSRKVSDENIPPGFPRPPNDTRLQKSAKFSSSDYTNSDFLDEELEEEVGEEKDKMGKIPAATMNRLIRRDVASNKNKLLADFDVAAPSVNVQDLPESELGDKTSDKSRRLRTVTSSEVCFTRTNIILSLLILVALGIVTVSLMYLVISRVAERVDNFLIGHFPNKLWHTEIREPGRMCQQKVQKAAATGFFTLINQKGNH >CRE06024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:18995:23603:-1 gene:WBGene00065984 transcript:CRE06024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-42 description:CRE-TWK-42 protein [Source:UniProtKB/TrEMBL;Acc:E3N6F3] MFWNLVAVNYERYHLHHLAKIGVLIVYSFLGAGLFMLCEAEHEKSTKNEENMRVLRTSIAAKQVFVHRLQVMYHSAGGANSSEFSETKLRRVLSEYDAAMGITIDSKMTTRWDIWGGLYYAGTIYTTIGYGDLAAVTLWGRVCTMLYAMIGIPIVINILNDWGNMLFYFVDHFWQNIGRPWVQKLRTKLRWRRKVQSLEEGSIDKTPLMETSTPPPNADGTRPIPLLLVIIVLFFWMTQCVAYFAYFENWTLFESVYFFFISMTTIGFGDFTPNHSVAVGGIVFILGGLSVVSMCINVIQMQLEYLFNQIVQRIENDFKTTLSVAAEESRKKSIGVSELGSIDPSKKKTMRTEGDVATKYSENMEMGNKLLMRFMSNHQKKMLNEKFDERARMRNSATQTTSQIKVASVQTADRYEQTWEEDPEETAPKSRINTRRLYIYNTGE >CRE06003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:16686:18953:1 gene:WBGene00065985 transcript:CRE06003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fat-5 description:CRE-FAT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3N6F2] MGSYFRIIPSLDRLRTFGLRTLRLRTFGLPTLRLRTSGFRTLRLRIFGLWTLRLRTSGFRILRLGTLRLWTLRLRTVSDLATFHFLSLPLIHPSLTSLFFQIIPSQMTQIKVDALITKQFLAADLSEIRAMQEESKKHAPRTEIVWRNVFLFIALHIGALIGLYQLVFQAKWATLAWVFLLHTLGSMGVTGGAHRLWAHRSYKARFPMRVFLMLINSIAFQNDIIEWARDHRCHHKWTDTDADPHSTNRGMFFAHMGWLLVKKHEQLKVQGAKLDLSDLYADPVLMFQRKNYLPLVGIFCFALPTFIPVAFWGESAFISFYTAALFRYCFTLHATWCINSVSHWIGWQPYDHQASSVDNLWTSVAAVGEGGHNYHHTFPQDYRTSEHAGFLNWTRVLIDTGAALGLVYDRKTTAEEVIQRQCKNYGCEAERVKMLQKLG >CRE06001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:4635:9611:1 gene:WBGene00065987 transcript:CRE06001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-33 description:CRE-TWK-33 protein [Source:UniProtKB/TrEMBL;Acc:E3N6F0] MTSEVCVISFVSFDDFHDLKIRIFQQTHHFPRGSVPKHTTVLEEIHEERDSLPDEENNLENPNNSERKNAVTDGESPKVSPEHQKLEDPLAWVDEEDEDRPRTRSISVSNADLEERHRMDSVSEADKAQLSNHRYTRHSSIDRGAVNQQQKEDPIEKYYERNNYTVTGRDRDFYNKLPSHLQHRLSKDAVSRYSSPGALGQPVVPTLRRFDKSMYWFAFHRKQIGFRHICVVILVLLYTLLGAALFWSVESRHEKSKTLLHVHNLEELLTKLAENVTESVNNENTTTNVAEMKIYIREAYIELMKLEGQYKGSTYYKLEDQGNNWKWTFESAFFFSMNVYTTTGYGSIAPESTLGQFLVCLYGFIFVPVTLVVLRDLGQFFLVHLTKLYAVGSQKFRELNGDKHVDEDEVIQLPIKACLLLLAAYLGLCTVFIYFYDELSGSEPGSGMSMFLCFYFSFISLSTIGLGDVMPNNATFSPIISIMFFFGMAVTKVVNRNTFIAVENGIFGTLTLVENKLDGVVTRASAKPEDKPPSPKVQRAFSMDADSVAQVDETPNEILNNFTVRSIATFMKSNADIYGGGFGRVQLRRGDLMNTDSQNQNQNQATVNSVSQLRNRRPSQNINNNV >CRE13178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig820:12330:12488:-1 gene:WBGene00065988 transcript:CRE13178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13178 MMNTFTVRSIATFMRSNHDVYGGGFGRVQLRRGDLMNHNHTVTGGTHRVRPV >CRE06023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:95:1656:-1 gene:WBGene00065989 transcript:CRE06023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06023 MSTTNTKSIWNEDPWIYIIIRQVLFSIFSLAYPFVFYVILYKSPKSFGSLKWFLLFHAVAITCQWLCSFVLINFYTFVPSKITRVEGILVGIVEVEVLYISMYVVYSVASASCLLLFCNRILGILNMYKGDKSVIRRILEFLVYVTAFLFPATVLYGLKVPDQSAVKPMTRQIAPYYPDCLDDPNVIVFISPDPQKLKNSLIFYLCYLLALAIASILSAHLAYHLLSRRMTHQSEKTRRMHLKFNRRTLLQVLIDTSFTSIPFTVSNMATLFHWEIPELTYFVDVMSKNSPTACIVILFLYYDPYQKWLMDTFQIVFLRKNRVSATGTMVKSVET >CRE07861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig335:2454:5612:1 gene:WBGene00065991 transcript:CRE07861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gnrr-8 description:CRE-GNRR-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NJH2] MLLPPNLTTSTMMTSSSSESYDADNPILPPEPILGDYVEMFTLVLNFIVGAPLNLAAYTQLSERPTSTRLDLLKRSLNYSDLLVLFIYVPSRACWLLTYDWRGGDQSCAEFLLPSSFFLLPGIFSLPSSFFLLPFLKFYSFFLLPSSVEISSSNVIVCIAVDRLLSVLSPSHHSPNKALRRTKMMLIVAWIVALVISCPQLFVWRAYLALPQYNWSQCLQIWEIARMENFGKPQVVSSFDAEFWYSILHISLVFWIPCIIIMLSYIIVISWVWINSRPSIRHTSSFSFHTGCDTVDTVLTRASEWNPLKTFSRHVNIKEPEKPMTTPRIVVNDETEVPLTQRPSISPSEASAVMRTGVHTSTSYNANLNRSRAVRVSFLLVLAYIICWLPYNLISLIQFLDRDFFASYLKHVHFCQQLIIFNSVVNPYLYGFFGPHRPSHSGGVANRH >CRE07864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig335:17688:22860:1 gene:WBGene00065992 transcript:CRE07864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07864 MKILQLLMATYPRNSPRPAPPPQVRWAPMVQITAHVSLCPGNPLVRVTLDLTPFERETYGTRPLQTFEMMDYRLVPCRPRAPAIVHPANAGVRAETFTGSTQTWVRRRAAENHGLRCCCDAMYSHPERRHDSKGMCTAWAVGQMIAEKIWAGDDIKPPMVHEPHPTTPPHNRRLWAPIVEIRVTVYRHRCGISVEAAVNQSPFGPVLQLADRVRFYHILDNQLWSVFENPFGLLLADDPRKRFLFLFFTSFRTSFHSESHHSLFSLLVFLFSLLLPFIFLYIHRTHSRTVHSTLAHRAISRNNENKISENTHLHMQSNESPPPLERSLSEILLERTPSETEQEEPMDTEAVLEHLMDLEEDPVSPVAKKMRQANEEPEAGSTSEMTESGVQSDSRASSRAPSPAASEVQNPVHNPKEAKEEPTTGSTSEMTESRVQSDSGASSRAPGPAVLTISASEPDLVLPERKLPKNLVEKEHHPWENNYNKDLGHVPKNVAKAIAASTTIEDVQEFRNKSVQWARAVTPSGGPTLKGRFVEEMKTIKSRPQTPGVIYILKSSNGNLWLATPLNLEVSHPDRPDLHGAYLSTFSEDLLNVQHLVRDNANALDGWCPKNKELQSVFQTKEEPSSSCWSTFLRIYKPNVLFGTAASMIEFLYDGPLKNHRNTIITNQIDEASQFPMHQLITLGSLCPNARYALIGDVRQLAPYAHTGLSNEFKKIAVGALLESAAKSIPVFSIMTVRRCPQKLTQVCSDLFYGGKLKSARSSTEPNPYTECLGIESKFPIQIITTEGEDQLAGTSRLNVEEAGIAAAIVKKIQGAHPSKTVAVLTFYKAQCGHLSRMDALNNSFVGTIDASQGMEFDVTIVLTTKSSDFNSKDAKEDQATFVEDVRRINVALSRAKGQVFVLLNRKAAETSKIWNLFFRKVPKGATHRGSRFVQH >CRE25983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig886:15042:16694:1 gene:WBGene00065993 transcript:CRE25983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25983 MKLSNIIVLILVFPIVLAILTSDLGYGYVEDENESRDTCDVFDITMNFDGTHSLLNLLRSQCSANGRLSKMSGAIKRRNKKGIALAVVAIVAGATAVGVPLIAVLAGGENEFKKEEKILHNITELINSNSHNTQDVILAMEGQIQFANYGTIVNSIFSTGDIQRVAAFFKINLTEIVRNMGFDETVGLEAAHKLTHTFLCGKNPQEFQLQICGSENPTRRFGEVKEVAPVGNFIHGGSMFAFYELPKYVIYTNEGPISAAYCEPFGMNFGCHMAKGKCGFATYRKCPVSQRHTPDGIFVVELGDATVVSSTVDVSLRPYKLLNNSKFQHYSLYVNGSNTTYTDHRFPATGQLLIRAPHSTKVKIGSRVIQGRHDHFELREVHAAESIPHLTHEQLEIWVKNNEAIGKAFTELEKEELHNSIGFDWSWDSIKHWMQKWLATVMTVLLILAAGFLVGVVVYCYCVSRCQKKLFIPK >CRE30375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:263572:268936:1 gene:WBGene00065994 transcript:CRE30375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30375 MSSLSPTPAAAPAAPADNSLDLRVPAVAAPHSNDGPSTSDAAPRDADCLAFMSSDLDLLDVVEENGSVDYRSESEISVELGGIDGIEDTQLMTQESVRKRDKKKMKKAADANRVAVKRQAESQDDREHRLKLTADAAVVRRSQLSEVEKSYINRRHSSRKATNRNQESEKQKTSRRVSVASRAAARRSEESDDVVKERRSSTRIRNAVSRAKETVRQRVLRNAADRVRKSSRQGALLGIAATDAQPDPHYIGRMDQVCPHCEALYFKAEFHFQICNSSWKKETTKQGVFTACCSSGAIRLENQNGLPSFLTKLYVTPIEEEPNDDDEKKKLRADAKNFRENIRQYNNSTAMACMKAEVKLPRGGPYTYCVHKQVYHLLGDLHPATGEPRNFAQIFIIDTEQAAAELAGREMNSSCSKEIFEKLIDILKQHHPHAKSFKMMFEVEKEEKEKAALEKRPERSVKMTFQIRSQDDQRRYQNPTADEIAVVYVGDEEEIPGKRGLTIHQKSGQLQSLHVIDPNCDPMTYPLLFPKGQMGWHPKIPYARKKGNRVNVTMREFYAFNLHVRKTFRPLFRAGKLMQQYVVDVWSRVEQNRLNFYMQNQASLRSEQMSGLQDYVAGEEKGPVGVRIILPASHTGSPRDMVQKYQDAMSVVAKYGKPDFFITITCNPKWDEIQECLANGQTATDRPDVVARVFKMKIDEIKQDLFQRNGLGEVMAYIYVVEFQKRGLPHVHMLLIMKPGSKPRTAADVDRLISAEIPDKEKNPLLYELVTTMMMHRPCGVHNPSSPCMRGDVCTKKFPKEFRETTSTDNDGFSLYRRRDDGRFFEYKMGRNRVKLTNQHVVPYNSWFLMKYKCHINVEVCGAISSVKYLYKYVYKGTTRASIVLRFDEAGNPNQVIDEIKQYLDTRYVCAPEAAHHLFGFPMSERSVSVVQLPVHLPGDQTVLFQQGQEAEALARAESKNSKLTGWFEANKKSAGAVLPDGTFPLTLRDSRSFYYHEMPEHFIFNSKTGTWNSRKTKEFSIGRMYFISPRNREKFALRQLLLYTKGSTSFEDLRTVQGHKWDTFVEAARTAGFLSDDTIYEQTLQEAALFHSPCQLRGLFVTLLLFETIDNAEALWDKFLDDLSEDFEHQGYSKSESEAMAYFDMFDRMEAMNEDLKKWIKKSYIRVHRYGQVIDHEMCKKLGEQMRESLNKEQAEFIDAVLNSLDVGGLFFLDGPGGSGKTYVYNCLANIIMGMKKKILTMAWVGIAAALLPNGRTVASIMKLGINNGCKTCRINLRSDLAKWLLECEFILWDEAPMSPKASMETVDRFMREVTGIDLPFGGKVVVLGGDFRQVLPVIERGGADEQIANCISRSLLWKDFQIFHLTTNMRLTGDALDWKKRLLEIGDGKMGDPVTGEMQIPEGLESTGDLADEVFGDLLESGDVEKLAKVAILTPRNKEALEMNNSVLDKMPGTLRSYYSLDEISNKDGKPEIRDSMHFTTEFLNKMTPSGMPPHELRLKKGAIVMLLRNLDVKNSLCNGTRFVVVEMGDRVLQCKFVSGARQGQTVLIPRIKLNYEKNLPFTMSRLQFPLRLSFAMTINKSQGQTFDKIGLRLDEPIFSHGQLYVALSRTTTREGIHVQAPSGVVNNVVFKEVLL >CRE03573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1730:4979:6274:-1 gene:WBGene00065995 transcript:CRE03573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03573 GTDKLRALSRSYPTYSHRVCKYASQVLYVMWQHKELHDGFKRSGLKEADFYSGTARRGDSSTLARPISSQGRERPSMHNLDETLSSGGGYGTMDHRHPPNSNRPASATSQTIQRRYDQVPSGPVYASVNKQSPRGNVDDSWV >CRE30374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:236886:261368:1 gene:WBGene00065996 transcript:CRE30374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30374 MQRWYLAMDSRIAAGAPLAPGRPSVIAVDGQGVLLEWTAPVADVHSSPPQGYQVEYRVYGSRDWMIANEQLVQDTMFTVESLRPNGVYEFRVRGKNQDGLGHPSLSSGGVAIRPAAPQRNVPTRKVSESVNPPGQPSMVEAGDDWVKLEWAPSAENAGYIVEYREVGDPTWHTANYDPIVQNGIQVEGLRRNSTYEFCVISVIDAVSSHPSETSDVINLRPQGRASSLRVVPEMTEAPEFLDIDGDKITICWLPAHSQLPVMGYDVEFRDLQQDDRWYKVNDQPVFACKMTVGDLILDHDYQFRVLAHNASGCSQPSPPSQFVHIEPSTNRLSSHTLDSPNLGHNDIVKYVEANRFGAVPLLQEEMVRESPPLPERDDSPPPLRRANNNVQWRDPSLKEVIEYLSSQDKDKQLNASGYLQHLTYSDNLIKEETRELGGIPKLIALLRSDTPRIQKNACACLKNLSYGKENDANKLAVMEGDGVRLLAEVLRTTHDASVKEEATAALWNLSSADMLKPVILESATEILSQQVLAPIFTQNGSGTAVDPSRHFGSTLFKNSTGILRNVSAASQTARRRLRDVPNLIEALVHFLTHSIQRTQVDTPTVENAVCLLRNLSYRIQEVVDPNYDPAAAHINSKNMKHAASPKPKKKEKEKKKDKDSKKNPKNIATGPSVLWQPHVVKLYLKLLQDSSNIETLEASAGAIQNLAACQFPPSAEVRAAVRVEKGLPVLVELIRLPEDFVVCAVATALRNLAIDPRNRELIGKYALREFLDKLPEAGSPRRSTMSDQTIGAVLGILFEIVRSSAAYTKDVHELKGTDKLRALSRSYPTYSHRVCKYASQVLYVMWQHKELHDGFKRSGLKEADFYSGTARRGDSSTLARPISSQGRERPSMHNLDETLSSGGGYGTMDHRHPPNSNRPASATSQTIQRRYDQVPSGPVYASVNKQSPRGNVDDSWNDTNDNEKVQWRDPSLKEVIEYLSSQDKDKQLNASGYLQHLTYSDNLIKEETRELGGIPKLIALLRSDTPRIQKNACACLKNLSYGKENDANKLAVMEGDGVRLLAEVLRTTHDASVKEEATAALWNLSSADMLKPVILESATEILSQQVLAPIFTQNGSGTAVDPSRHFGSTLFKNSTGILRNVSAASQTARRRLRDVPNLIEALVHFLTYSIQRNQVDTPTVENAVCLLRNLSYRIQEVVDPNYDPAAAHINSKNMKHAASPKPKKKEKEKKKDKDSKKNPKNIATGPSVLWQPHVVKLYLKLLQDSSNIETLEASAGAIQNLAACQFPPSAEVRAAVRVEKGLPVLVELIRLPEDFVVCAVATALRNLAIDPRNRELIGKYALREFLDKLPEAGSPRCSTVSDQTIGAVLGILFEIVRSTDKLRALSRSYPTYSHRVCKYASQVLYVMWQHKELHDGFKRSGLKEADFYSGTARRGDSSTLARPISSQGRERPSMHNLDETLSSGGGYGTMDHRHPPNSNRPASATSQTIQRRYDQVPSGPIYASVNKQSPRGNVDDSWV >CRE30373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:221474:228299:1 gene:WBGene00065997 transcript:CRE30373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30373 MNKTNGMEGLRRNSTYEFCVISVIDAVSSHPSETSDVINLRPQGRASSLRVVPEMTEAPEFLDIDGDKITICWLPAHSQLPVMGYDVEFRDLQQDDRWYKVNDQPVFACKMTVGDLILDHDYQFRCCVGSQCVRMLPTESTVTVYRLSSHTLDSPNLGHNDIVKYVEANRFGAVPLLQEEMVRESPPLPERDDSPPPLRRANNNVQWRDPSLKEVIEYLSSQDKDKQLNASGYLQHLTYSDNLIKEETRELGGIPKLIALLRSDTPRIQKNACACLKNLSYGKENDANKLAVMEGDGVRLLAEVLRTTHDASVKEEATAALWNLSSADMLKPVILESATEILSQQVIAPIFTQNGSGTAVDPSRHFGSTLFKNSTGILRNVSAASQTARRRLRDVPNLIEALVHFLTHSIQRNQVDTPTVENAVCLLRNLSYRIQEVVDPNYDPAAAHINSKNMKHAASPKPKKKEKEKKKDKDSKKNPKNIATGPSVLWQPHVVKLYLKLLQDSSNIETLEASAGAIQNLAACQFPPSAEVRAAVRVEKGLPVLVELIRLPEDFVVCAVATALRNLAIDPRNRELIGKYALREFLDKLPEAGSPRRSTVSDQTIGAVLGILFEIVRSSAAYTKDVHELKGTDKLRALSRSYPTYSHRVCKYASQVLYVMWQHKELHDGFKRSGLKEADFYSGTARRGDSSTLARPISSQGRERPSMHNLDETLSSGGGYGTMDHRHPPNSNRPASATSQTIQRRYDQVPSGPIYASVNKQSPRGNVDDSWV >CRE30310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1289:1564:8225:1 gene:WBGene00065998 transcript:CRE30310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30310 MIVERHLELTEHHEHHQSFAEVDEEVTNLTSHEVQFRVSAYGRTGFGPTSNPSLPVKIPISENDLATSAGAPLAPGRPSVIAVDGQGVLLEWTAPVADVHSSPPQGYQVEYRVYGSRDWMIANEQLVQDTMFTVESLRPNGVYEFRVRGKNQDGLGHPSLSSGGVAIRPAAPQRNVPTRKVSESVNPPGQPSMVEAGDDWVKLEWAPSAENAGYIVEYREVGDPTWHTANYDPIVQNGIQVEGLRRNSTYEFCVISVIDAVSSHPSETSDVINLRPQGRASSLRVVPEMTEAPEFLDIDGDKITICWLPAHSQLPVMGYDVEFRDLQQDDRWYKVNDQPVFACKMTVGDLILDHDYQFRVLAHNASGCSQPSPPSQFVHIEPSTNRLSSHTLDSPNLGHNDIVKYVEANRFGAVPLLQEEMVRESPPLPERDDSPPPLRRANNNVQWRDPSLKEVIEYLSSQDKDKQLNASGYLQHLTYSDNLIKEETRELGGIPKLIALLRSDTPRIQKNACACLKNLSYGKENDANKLAVMEGDGVRLLAEVLRTTHDASVKEEATAALWNLSSADMLKPVILESATEILSQQVIAPIFTQNGSGTAVDPSRHFGSTLFKNSTGILRNVSAASQTARRRLRDVPNLIEALVHFLTHSIQRTQVDTPTVENAVCLLRNLSYRIQEVVDPNYDPAAAHINSKNMKHAASPKPKKKEKEKKKDKDSKKNPKNIATGPSVLWQPHVVKLYLKLLQDSSNIETLEASAGAIQNLAACQFPPSAEVRAAVRVEKGLPVLVELIRLPEDFVVCAVATALRNLAIDPRNRELIGKYALREFLDKLPEAGSPRRSNVSDQTIGAVLGILFEIVRSSAAYTKDVHELKGMYIKIFSKM >CRE23263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1757:3227:7238:1 gene:WBGene00065999 transcript:CRE23263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23263 MATSYLTESLAGPTSARGSSYSYSYESHYDNPPEEEYEHFTNDDGVHQMQKVTRVTKVTTTRSVRQVPVQSPYSNIDFDSSGLPTPSPVIDRDPSLEMMARMGNGTSGGHDSEDRAAPPPAPHGRFLHEDSGIPSAPGVPDVVDAGIGEVTVVWSAPLQKNGGEIRGYQLQMRELPDGEWEDMGVDQLIKDTSCRGEEGS >CRE12383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig496:478:732:-1 gene:WBGene00066000 transcript:CRE12383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12383 MATSYLTESLAGPTSARGSSYSYSYESHYDNPPEEEYEHFTNDDGVHQMQKVSYFEFECWKKYADEKSETDQLNAVYGKCIVTW >CRE26885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1205:5498:9380:-1 gene:WBGene00066001 transcript:CRE26885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26885 MISSGWMQEMEPIPEEGTEADGSEWGATSDGGTGKRTMRKKEVYTSEQINTLVREAEDLTLECNEELMCNYKETCVCTPGGDEANCQCEEVDLYKILEHVDHKLPIITGKYQLAVTKDKTPVLRMRHNQVHLKNMNHHHCSQCHYCGCHQHQNTQYPIVYPPQYSHQYYPIAYPPQHSHQYRTPPPRHRTPTPPPAPRPRTPTPPPPARNPTPSPPSPRYRDRGCNICRGNHIARDCYEYEAQDRLRIIKSQNRCVICLNRKNHSIQACKFWNEGNRYLCKRCPENAGPHSRNLCVSPHPAQNRVVTEEHRRIIEDVQRHAEEEEQEDESENEEEAENEEESEDAEYEDKEDKDKPRIIRHQSLPNLNF >CRE30369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:136600:137358:1 gene:WBGene00066002 transcript:CRE30369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30369 MFRAMFETEIPLEREENECIFIDRDVKHFRLILNFLRDGHIILPDSETEIEEIYKESSYYLLDGLMQLCQERCNDDRQLKEMRHIENKTELLKTVLHSRKAFLIFFYEPENVVRVENFFNEGVFPATIVHLKKFIAEFESKFDFYYTAGGSEEGWSCVHYKDYNSTFIAAHSWSRDFLDDIRMSLKDKEL >CRE06158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:87144:94613:-1 gene:WBGene00066003 transcript:CRE06158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06158 MLPNQQNRSRSSSPEDHTSRKSSRGNSLTRMRHASPPLPATSLLQRIVGPLKSSITKKCKTAGELIESSDHAIKLFEDPHAEHITTHQQELEETEEILRDLHKTSDALQNLGEYITTKFSDPEMQASPEKEDYMLDVKNHLVQMHVDDIIMLINHNADKLEIILATNKTSIDNISPNPEDSTMSDDRNHGEEHHSPDENTAHNEDTVPLNHRDSDSSQSSTYHETSSDLPNQPQEPISNNESMLKQAETGNRRLQEEVQRLKLNNEKKLLAQMATERQRLELEKDRLLRQETQMVLAEARSKRSSNMLANNEHTAKRNDPIANSVQTQSNQNIAKDANVQTVTAPIAPTPTSQPNQSIKDNKVTQANQSSQEMLFINAMNKLSSIESTQNKTNNAIFANWQRVMLRWKTLKEIFQRIENQETRQMSQRQVKSNRTKTRNRSRSHSHLVLTLVIEVTPISYRHQSDTLVKHIKAFDGTGKLDIFEKTFANTVMKHPRLNDDMRYSILTTLVKGEAAPCIDQSTDSKLAIDTTLSNLRNVYGKCNDKYNFLDRLKKLPFHQSSTKQMRLDIAAHTVVLGLLREKDMPENDEPTIHIIVGKLPPAMRQKIASYLTKMGSTVTQNQVLQRIRQCIDYIEMENTIISQTTPVAANEVPTDYAAINYTKASPSTTRNSNGQPLPNANKPRPPPQLAYNPNAYSNQFYDTATKVKLDGIFAPGEKGVNLTLLAYSFPFENEEVNRCAACDGLHNPIRCPLSSSAFRQAAKERGLCPICNSKHDITKCKSHYRCGYCSGLHHMGGCPKKEYYRDLRNYPKEARPIVKFFRTGSKVPNSVPTADLPTAISNTYSLSSTPIPLETAPTAPEAAPIPLVPATVVDHSSLKLSHTVVTSVIEEYDINRFVQFVSRTSPPHHITTAKAADINNRLTFMCLETPDNQHILALVDSGASLSLILESKAKQLRLAVLKETRLSIQGFDSQTTNDSHIFAIKLKVSDTRVPLAFMIAGSPILPNTAYNTSNCSTADKKFMLDRGIEDPSEHAHPEHNGKRIDMILGNDMLTWMAAQPSYRKHILPSKRSLGAAIINKDIDHFVYSNTLTQFLATTSITCRYITPLAPWQGGIYERIVQLVKRQVLKECGSRVYEYHDLSYVISGAQGMINNRPLIPHARSPGDLIALRPIDFINPGVMTEIPSDHDEPPNPTGVTEASVRAHLNNLEATLERVWKLWSIGYLTFMREAMHQNRRCSTLVPEVGQLVIISVNLLKRHKWPLGVITKVNKSARDGQVRSATVMCRGTLVERPVCQLIPLELTSLNHQCNKDMSEDIKSNDAGISEKVEPGLIEDTNPKTALPTPATLESLDITYAPELFPTNVFPNIAAKSANHPAEKGTAEDEPEDQKQSNKTQIGTSTNPENLILEDAYSPEDGVYQDPQNTLPDIARDYGAEILPEGRSRDYHPRRAKATHINYVHTADMKILSRPSPPECCQLYHALHTFDNLKAL >CRE30368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:101295:102041:1 gene:WBGene00066004 transcript:CRE30368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30368 MFETEIPLEKDENGCIFIDRDAKHFRLILNFLRDGHIILPDSEIEVEEIYKESSYYLLDGLIHLCQERCNDDRQLKEMRHIDNKTELLKTVLHSRKAFLIFFYEPENVVRVENFFNEGVFPATIAHLKKFIAEFESKFDFYYTAGGSEEGWSCVHYKDYNSTFIAAYSWSRDFLDDIRMSLKDKEL >CRE30387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:92204:92965:-1 gene:WBGene00066005 transcript:CRE30387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30387 MTSRWLVFIILLMACSIQFGCSAPILSKRETSEEVKKKFVIFINEQRSSVAETLKIANMNELVWDKELERKASKMTCDRMVSGPDYSVVVLPSEQASSGLSSESWANLNGPTQTKIGCFDFHPPCVETNGADNGGVCLIGPKNKISQADTKEGKPESACPGETRHDGLCVTLVEQVEEVKKTPKSVEAKMEGANDTPSESNSYFGSLYMVFFYASIMAYASQ >CRE30366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:89045:91178:1 gene:WBGene00066006 transcript:CRE30366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30366 MDKNRNLQWIFLIFVISQHVVICQKLTENENLMRLRDCGKQFLPQPSRNDTGVEFNYFNGTTEDAGFLLWITQINKNTKLRFNQSTAFPISDRHVLTSSQVVMTGTQHWSLDGLHFKDPEHYANDNKEKRDCYATVPPHIANNLIIHGKGKTFKVLRGYVFNICNTDKFEENYSPLLLEIDPPIRLDIPCLFEAKPLDEEKNRKVVDSSDFDAYGLDGTSMKHHRVKYDGIQSEYTRYRYMKIKPFYQSSNDRGGPLVLNLDGKSTVAGLKASSTDIYNGLIYFNFIPMLEDRICEHSGVCSVENLAEALKKLPSTEAPPPTKSTEDGRHSQVTPSGAGNSGTPRQPSPDADDEVEPKRPTYSEGVEPEERESEEDKEDTDILLDKDFNRGTSLVNLNFLIFVCVFLVFLFEMR >CRE30365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:83349:83675:1 gene:WBGene00066007 transcript:CRE30365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30365 MRHLLPEEELPFHEIFCKTQQSDILAQLAVPPIYLDVEEYLAAQKLMKQYEKEDVGRDSDDSGEDSDEEKCVSVTSEVESSVIDEVDDVEVMMNRLEYLEMKNHELID >CRE30386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:69659:75248:-1 gene:WBGene00066008 transcript:CRE30386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mel-46 description:CRE-MEL-46 protein [Source:UniProtKB/TrEMBL;Acc:E3N601] MEFTEVIEVLDRGSSIDVQSKHTFESLMIGQKTLERLKAAQFDRPSPVQAKAIPVGLLGRDMLVQAKSGTGKTLVFSVLAVENLDLRASYVQKIVITPTREISTQIKETMRKVAPPGARTSVYVGGCGHKLNMIDLKKTRPQIVIGTPGRIAQLLKLGAMDLSHVDFFVLDEADKLMDDVFRDDINIIINSLPPIRQVAVFSATYPRNLDNLLSTFLRDAALVRFNADDVQLIGIKQYVVTKCSPMLEKLTHVLKSIRYVQALVFCDQIAKCEPIASHLKSEGLDVTFVSSAMSQKDRQLAVDQLRAKRVKILVSSDLTARGIDADNVNLVVNVDAAANEETYFHRIGRAARFGAHGAAVTLLEDEKALKCFTALAYRGKVTVKRVARVENLPSDLAKNVEFWMDLPFFIDFEEKSKVVKGPNDLEKVPDRKEAVEALQRERGDSGASEYKYDRNGMLAMRLESQLTSSESQTSQAEKSSVKEQDSPEEITKKIEEMSIEEKKQDEEPVVAPKKTFKERLAELKQAKKDEKKEMNEPPKVVEKSKFKLFWMIFGLIRPIFVLFDQFWIDLSCVRFVPTREKAKKKYYMRGELQHIREAFTDEQWRAYAESKFDFSQEPFLDAFGFKARRGSSPRIENGAGDAAGPSTSSGGVKPVKKTGRKSPENTSKSSEKSESKASNIIKYNRYDMKKIQKEVPKNQWLPYVKSKWDTSDEPWELDPTMRCPFEERLRRIRQKEKNERKKVIEERKRQRDEDRSELVSFGTMTPRHEIKDTSWEGYKARLRKDFAEKTAKRKQEELEVKPRSLTRIGPVVPARDPAHIYRYRLDMYTRRLAEQDAWLMQEARLQDGKIADAGIQTAIRELAEFSCQTDPIKFEQDSEDVENEEDADDEEYEYYDEEEEEEGEEYYEEEIEKDEFDPFGIPSYEQELRRHFGDSPQQVPYCEVAQEYLDYMNSFPRPPPRVDPNDPRYFLK >CRE30384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:62993:64604:-1 gene:WBGene00066009 transcript:CRE30384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30384 MLASPSSLALIEEKDNSEDISDDVVENDVASEVIETPEVIPIDVTTPESLEVARRLAADAHLITCPYNLEHKVSIDDFNVHITKCRSEKMKFYPHSLKLQRCAYNMRHLLPEEELPFHEIFCKTQQSDILAQLAVPPIYLDVEEYLAAQKLMKQYEKEDVGRDSDDSGEDSDEEKCVSVTSEVESSIVDEVDDVEETMNRLEYLEMKNHELSD >CRE30382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:47012:48449:-1 gene:WBGene00066010 transcript:CRE30382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30382 MDKNRKFQWIFLIFVISQHAIICQKLNETENLIRLSTCGNDFLPHPSENENGVEFNYLDLTSGWLLYTSQILPKENLSYTYIQSAAFPISNRHVFTSSQLVLNKDKKWVVDGSEFKECNNGLVVETVIFTNFLISSQADLPDHVAKNLTVSFARIGLGKVLRGRMFFACGREDFDIMYTPLLLETTPLNLLKIPCLAADESEVKIGADVDAYGLDGGKMKHHKIKVDGYTFTKEATDTWIYTKPPYQAASDRGGPLVMNINGKATVIGLKASLATDKSEGNYFFSMPKLRDKICEYSGVCFVKNFTEALATISTTVAPKAPKDNGTPRRPSPDAHEPKERKRPTYSEGVEPEESGSDEDEEDTDILLSKDFFTGETRREDLNIFILLSLYLYFC >CRE30363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:39409:40902:1 gene:WBGene00066011 transcript:CRE30363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30363 MDKNRNLQWIFLIFVISQHAVICQKLTENENLIRLRDCGHQFLPQPSQNGNNVSIDYTNKTVRNSVWLSWVTQLPNTKELDFKKSAAFPISSRHIFTSSQVVLTANKTWAYDGVSYKGCKKEIGYVDVPENTLKNLNVSSGGRRVEVLKGRIFACDRTDLNRTYHPLLLETEPLNLRNIPCLADDETIKYNQDAEVHAYGLEGDIMAHRKLRIELKDRPDNTWVCTQPRYFADNSRGGPLVMNVSGKATVIGLKGAAVDDPDGTNYFYNMAVLQDKICEYSGVCFVKNVTEALAKLTTTEAPVTKAPEDGGLSQNTPNRAGNNETPQRSASEDSEIPRRPSPDADESEEQKKPTYSEVDEEEDTDILLDKDFNKGTRFGEHELLFVFLFLVLMI >CRE30381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:37622:39080:-1 gene:WBGene00066012 transcript:CRE30381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30381 MNNNRKFQWIFLIFVISQCVVICQKLNETENLMRLKDCGNEFLPQPSQNENGVNIDYFNVTVRKSFWLSWATQPNGSTVLHFKQSAAFPISNRHMFTSSQVVLTANKTWALDGLPFENCNKTIDYADVPDHILKNLVVSFGGRRVEVLRGRILVCRKDNFDTMYTPLLLETKPLNLPNIPCLADDDSIEFKQDAEVHAYGLEGLIMTHRRVKIDTSTDDKTWVHTFPRYKYADSRGGPLVLDVSGKATVIGLNAAGSDEYTENYYYNMVVLQDKICEYSGVCFVKNVTEALAKLSATVAPVTKPPEDVGHLQNTPNRAGNNETPERQSPDAHEPKEWKRPTYSEGVEPEESESDDDEEDTDILLSKDFFSLGTRLGQLELSLVFLVVVILL >CRE30362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:32407:33532:1 gene:WBGene00066013 transcript:CRE30362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30362 MVNPITIDPFSVRGVVTVIFLLLFVLAITFTIILLIVVFPLFLLVFKINKKRDESTSVFPIISHFHKTIILFYLLLLLSICCLVYYIVSPSKTSFMKHPVGPEGILQLDLLMFAVSGLVLYTNGYHIMLSLLAIQRFLFYFYPASAKILNWKQSTTSTVWDCIFLFCCFIPIGFWLICTLIIPASSLDSIGVIDHLTIRETSYSFYNIFYIVINIILFASAVLYIPIVISIRKLGALPSILEFKPHKYVFYQTVSLVSSKIVRFPFIPYMTVTDFSCTLPALLYIVIASKSIRRSCSPSSVDLILYQYH >CRE30379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:27877:28814:-1 gene:WBGene00066014 transcript:CRE30379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30379 MEDTTIDPSSARAWITVLFTLLFVLAITFTIILLIVVFPLFLLVFKINKKRDESTSVFPIISHFHKTIILFDLFLLLSICCLVYYIVSPSKTSFMYKNRSEAFHEHLNRKRPVGPEATLQADILMIAVIGLVIYTNAHHIMLSLLAIQRFILYFYPASAKILNWKQSTTSTVWDCIFLVCCFIPVGFFLIYTILIGPTDVSKIAKTSYSFYIVLRTEHMSISRNVSFFQTFYIVINLIFFASAVLYIPIVMSIRKLEGLTTIREFKPHKYVLYQTMAVVSSKVVRFHGYSL >CRE30360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:13052:13474:1 gene:WBGene00066015 transcript:CRE30360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30360 MMSFFSVNRGIGKKPVDVRNGYFIIPLPDRSVSGRIKFKLGDHLRISAKRGTFDKGYEQEWTTEVYVVRKVNHGKPVELTKCTYDPSAVYSIEKVLDTRTHKGKKQSLVNGRGIRFLLQAG >CRE30378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:7062:8228:-1 gene:WBGene00066016 transcript:CRE30378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30378 MGDFSNDSQNVPPQNEVDNGFPIIEASTHNEELLPKTNLSTTSTLPEDVEYQGKPPEGFVLGKYYRLPKALFLGKLWSDKHTCENAEEKHLLMKKYRNNCNRSNSTSSCKSRHQLEGALNLLTEAGMDPNVIAHDVEDFKTLAAHLKDYRICVWTIQKDTLLPEIIAQQNEQAHGMIPLLCIDGSYDFFIPTISNVKLSYCFKCHDFGGKDHARSCTAICKKCGSEKCSADPNVNIFCEKCRISFHSKKCFDAHSKPKSLKALPFCEKYEWCQKCRRAVKRQSYSKVVHSCRVYFCRFCDEKVSHRYEWAHVKANPNCKKLFYESEYPSLLAPQ >CRE29048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:201219:208556:-1 gene:WBGene00066017 transcript:CRE29048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29048 MAWSNQGMQSLIPVINRVQDAFSQLGTDFSFDLPQIAVVGGQSAGKSSVLENFVGKDFLPRGCGIVTRRPLILQLIQDPNEYAEFLHKKAHRFVDFDEVRKEIEVETDRVTGQNKGISAIPINLRVYSPNVLNLTLIDLPGLTKVAVGDQPADIEHQIREMILTFIGQETCLILAVTPANSDLATSDALKMAKEVDPQGLRTIGVLTKLDLMDEGTDAREILDNKLLPLRRGYVGVVNRGQKDIVGRKDIRAALEAERKFFISHPSYRAMADRMGTAYLQYTLNQQLTNHIRDTLPTLRDSLQKRLLMLEREVAEYKDYQPNDPGRKTKALMQMVTQFSADVERSIQGSSAKLVSTNELSGGARINRLFHERFPFEIVKMEIDETEMRKEIQFAIRNIHGIRVGLFTPDMAFEAIAKKQIRRLIEPSMKCVDLVVNELARVIRQCADTVARYPRLREELERLVVTFMREKEQKAKQEISLLVDYQLAYMNTNHEDFIGFSNAEAKATQGQSLAKASIGNHVIRKGWLMFNNSSLVRGPKDLWFVLSSDSLCWYKDESEKEKKFMLTLDGIRLRDLESTFMTRAFRFGLYHQDGRNMYKDYKQLDLGCSCLEEVDAWKSSFLRAGVHPEKQMRSTSPDEDPQESASMDPQLERQVETIRNLVDSYMRIVTKTIKDMVPKAVMHLVVNQVGEFMKNELISEIYRAGDTDALMEEAKLEALKREEMLRLYAACKEALRIISEVNMGTIGAERAPAIQSTDYNPVAASAPRPAPAPPGGRGGILPPQIPKRAVTPSSTPPDIPARPQIPKRPPH >CRE29031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:191779:194012:1 gene:WBGene00066018 transcript:CRE29031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29031 MSPFLFFLLLIDMTSNSDAITQKMVVVWGKPESLSRCVAHADLTWNLCVEICFKTESCVMTFLDNTETCYTCAFNKSMSSVQQTTKEDKTKMAFKVKVDKSLVDKCPAGENPPTFDGVMAEGDASTTTDDGKIQNYTISYTGTGWEFSVFCKREKSVCTEKWCFYTAMNRCTGGFAFYRRPNIDWCIAVGYTSYANTSYSRTSNVCQQYGAELSGVASADEVEGLVYQLNALRERLNVASFNVFADAQRTTECQATPTTEKCMSIQGFKTVDKSVQNLDAYQFMTDASAGATTGKQCMVMLGDVRNDGKVDFVECESDFPFPIWGAICGHEAFS >CRE29030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:178826:181146:1 gene:WBGene00066019 transcript:CRE29030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29030 MTTAPIDQMGYSTPSETDYRYAKMREATELRKLAVQQKKLEKKGKGCAVSVKTNENAMEVKAAIARCLESDEKKENLPCPRAEKKPMDSPTPNLMNLKDWSPFELNREQRDNIAIGVSTIEEDEETNHFIVSQWNIRGGHGIMPSGTGEDTMVYIKRVSDRPFPTVEDFQNRKSQLAEEMLGAYIRTCIGMVSRLSRGEERGIRAVRVSVFERICEMSFDEMWRNSGQPRLDKILNEEFDVIRRQLLQECQALVFAGGKDGVITMRKLEEMVNSHKTSDTEKITSTSSTPSKESNACKKCFRSSERCNEAKLEAKTAQKKAEKYEKKAKRADELERKVKEMEKEMEQMRQQLSAYNRKDEEIEKLRARIFKKTEAEKVLRAEKNELSSIRQCLEQQVSTLKQENEQQRLSAPPVTVVTDWRQKLLEFQQIKDDFSKDRRLKEARSMVDRLISTSDCAETEDLARYELNQLEVSTRILMEALELNIQKIERTHDCSDLLPLWDFPTLSQGFLEKYRLEMEKKPLEISEDTHCFICFERKREGHRILRCKGCKKEVHEECGIKWLMANPTCAFCRRKMVVQKRSSS >CRE29029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:172771:175023:1 gene:WBGene00066020 transcript:CRE29029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29029 MQHHSTMTTASIGQMGYSSPSETDYRYAKMREATERRKFAVQQKKLKKKGKGCAVSVKTNENAMKVKAAIARCLESDEKKENLTGLRAEKKPMDSPTPNLMNLKDSTPFEFNQEQRDNIAIGVSSIEEDEETKHFIVSQWNIRGGHGIMPSGTGEDTMVYIKRVSDRPFPTVEDFQNRKSQLAKEMLGVYIKTCIGMVSRLSRGEERGIRAVRVSVFERICEISFDEMWGNSGQPRIDKILNEEFDVIRRQLLQECQALVFASGKDGVITMGRLEEMVNSHKTSDTKKITSTSSTSSKESNACKKCFRSSERCNEAKLEAKLAQNKAEKYEKKAKRTDELERKVKEMEKEMKQMRQQLSAYSKKDKEIEKLRARIFKKTEIEKVLRAEKNEFASIRQCLEQQISTLKQENEQHRLSAPPVATDWRQKLLEFQQIQDDFSKDRRLEEARSMVERLISTSDCAETEDLARYELNQLEESTRILMEALGLNIQKIYITGRRTHDCSDFILLWDFPTLSQGFLEKYRLEMEKKPLEISEDTHCFICFERKREGHRILRCKGCKKEVHEECGIKWLMANPTCAFCRRKMVIQKRSWA >CRE29028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:171146:171486:1 gene:WBGene00066021 transcript:CRE29028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29028 MVFKLQDELLKYCESDVRILTQTLILFIKMSEATFNGWSERINACTLASYVMFVMKHEYIKDGDVGHVPENGYGGGNNSMLALKYIQWLENKNPSLKL >CRE29045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:155349:155915:-1 gene:WBGene00066022 transcript:CRE29045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29045 MSTMTREEEDELLMEEDDDPLGTIMPVEEEEPASKVIMPRIPKKKPRSDLEKKLDEAQKAIDRRKEEQKKKDEVLRAGQAKLAAEAARRAERRKMHNQNYQRGRRVASRNQNRFDYTPPVQRIQMPRNLRDELLDRLHDTFVGRWMEPEIQRNRDDSPPNRGGMSWEDRRDRRERGVEEEDERRRVRR >CRE29044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:149961:152022:-1 gene:WBGene00066023 transcript:CRE29044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29044 MYPTKMICSQTTLVVFMLVVTLTINIFSYFTIFKIDRKFQATEQIDINNPKALDDLRAKYVFNSEKYSQDLYRASKGDDHSAFYEKVKLEAFCPLKERLGEIDDGGKYVCNPRMVRKDDCTIISLGLNNQIYFDQHIQNVTGGHCRILGADKDPQNMETQAKYGGMNGKLFSGMIPTDISISNIMQSAGRKEVEILKMDIEGGEMKALEPFLKEYKVCQILIEVHKSPAEHFKMLQIMAKHNFRIYNVETTPYCVMCCEYSLIHDSCMEQFAVVPLAPIVLRNEL >CRE29042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:134877:138072:-1 gene:WBGene00066025 transcript:CRE29042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29042 MNQNYRFLAVLLSCFVILYFMFNHLGKKKEISETSIFNDPQFNAMPTIQNEFESPQSFLQTQFYSLEQKNLRKEALKRVEEDRKGLLKAANGTDHKAFYKAVKPEVFCEKNERIGYKGDGGKQVCNAGAVKKEDCTLLSLGLHNQIDYDLAIFKATGRHCKLLGADMNSQNAKTQKSYEEMGGEIFVGKIPANLTIPEMLEKTGRSEVELLKIDIEGGEVDGLEPLIRDYYVCQIFIELHGKKPIEHLTMLRMMSRYGFRLFNIEPNPYCKKCCEYSLINELCMVQFGAVPLGITIPH >CRE29025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:131520:132777:1 gene:WBGene00066026 transcript:CRE29025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29025 MVNRISHKTGLPFDLNSSCGVSLQSVRAESSQLFNPKQPKREPLCEITVSLREPELWKKIHSLGNEIPVKPTGKLMFPLLNYNVTGLDPNGVYTMGIKLRRVNTKALEFKKNKIPNKWRETGQSVEHLPLESNEIFETPKRGEYWMNWGIKFEKIRIHTNGRDNQSAKYVFGKEEMLLVNTRCKCVPILTIYKIENEKKQLLKHFVFEETQFVTVGKIMNEDVIRFKAINKKNLKRQLSDTETISSDSSSSSMNSVLTSKQKTVDSISMTTSCHNKTLMKINLESREVLLDAPIPSSHLNSPTLSFLKQNEDYLPNGLLLSSSQLPQYSPPTTIRYADELEQYLPKDPIARMEFERSDLDNIIMCPLLDSTL >CRE29023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:127843:128988:1 gene:WBGene00066027 transcript:CRE29023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29023 MHLGFLNLLFFICLVNGQQKMMKIFGKVLDVDLNDGVQKLNAACVEDCFQKSDCILVFMNSDGQCLSFDLNSTEQLTVVETAKTDNLFVAFKTQFLLSQCPAYESMDLSITVGGESIPWIKNGKEYTFKKCVGDWKMFKRVDDVTVCMQTFEISALSLEGAIEVCDEIGGYKLTGIQTEKELDWVYGRINQTKWGNFDGFWIDAKRQAANSKQNTTDFEFTDGYTDLDEDLYKRRGYITGKNEINHKAEDCLVICKVFQYLGKRYINDVSCISYPQGLGVVCGYQLV >CRE29021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:124854:125848:1 gene:WBGene00066028 transcript:CRE29021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29021 MMKIFGKVLDADLNDGISKLNAECVEECFQKSKCILVFMNSDEQCLSFNINISQKLTVVETIKEEKMFVAFKMQFSLSQCPAYEAMDLTATVGAETVPWIKNGTEYTFKRCLGDWKMFERKNNVVVCMQTFEIGATSLEAAKQECEGKGPYKLTGLQTVEELNWVYDRKNEKVGNNTFFGFWIGAKRQEAYSGLDKPFFDFFDGYTDLDSDFYKNNCLCGGKKDTTKMTEDCMVVCDAWYGLKMNDDSCESTIAGLGVVCGYRLL >CRE29020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:122473:123941:1 gene:WBGene00066029 transcript:CRE29020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29020 MVLSVNMKFVKFIFLATIGCVIGQQKMMKIFGKVLDVDLDEVTIGLEPVSNFKCVDDCFQTDGCILVFMNSGGKCFSFDFNSTKKLTVVETKREEGLMVAFKTRFLLDQCPAYDDMDLVVTVGVDPIPWIKNGNEYTFKKCDLHHKMFKRKNGVVVCMQLYTVGNDTESVVNSMENAKKKCMKERKYQLTGVQSKEELQWIFGEYKIRSDENVGIWINARRQNEYSGMNDTHFNITDGYTTLDQEFYRNFADLSGISDAGIPEDCLMVSKASPGFLMNDVPCDNNDYAKVYACGYLLV >CRE29040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:120012:121118:-1 gene:WBGene00066030 transcript:CRE29040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29040 MKFVVLILLASIGCVNGEMNFYFYFHCLVLYPGQQKMMKIFGKVLDVDLDEVTIGLEPVSNFKCVDDCFQTDGCILVFMNSGGKCFSFDFNSTKKLTVVETKREEGLMVAFKTRFLLDQCPAYDDMDLLVTVGEDPIPWIKNGNMYTFEKCVRDWKMYTRENGVTVCMQTFKEEIYSLVNAIKRCDELGGYKLTGVQSKEELQWIFDRRTSTWHKNSGFWINAKRLEEYSRMNDTHFNITDGYTTLDQEFYRKFADLSGSNIGIIEDCLLVCNAAPGFLINDIQCSDYTYAKGFVCGYQLV >CRE29019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:115441:119365:1 gene:WBGene00066031 transcript:CRE29019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29019 MVVVIDSRADMAQERILMKKLKKYHIGILVLSVLGVILLLWAIIATVYNFTGNRHTDHVVLEPKSKSAKYKNAAAVADSRFCAEIARNVIIQGGNAVDAAIAATFCNGVVLPFATGIGGGDFIVIYLKEEKKCVFLNSRETAPSLATEKMYTHDKESAQFGYQSVGIPGELHGLWTAYKKYGSKVIPWSDLVMPATQLAKGFPMHKAMVNYFDRISKYKGRPEIEGLRSLYTSKFTGEFYKVGEIVSNYPLAKLLRVIANSQDPVQLFYNGKVFEEGVAREIFKNSASENCETVPKISIPGSIAEGIIKEMLANGGIITIDDLRNYETHVTVQYRDKKMFNDAEFYHTLIEAQKLAYGQRGHLGDYLFSEVSMQLAKNLTDRKFIKFLSKRVMSQSQDLEYYLAAAPAVLDSGTSQISVVDDDGNAVSLTSSINTAFGSKMLSKYGFIYNNQMDDFSTPGFRNHWGFEPTEANFIQPGRRPMSSMSPTIVFDPNSGEVKMVTGGTGGSKIISAVAQTLVRGLLLGQSAAEIVEMPRVHNQLTPFETEVEEDFSEKILEQLEKEHNQKMEKTDEALAIVYPITRDDDEYTVAADYRRESGNSPAGY >CRE29039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:112891:114021:-1 gene:WBGene00066032 transcript:CRE29039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29039 MCLLESIKQLRKNALLTAENDRKLLFNASRLTNNQDFYRKVVEEAYCGQKKRIGEKGDGGKYVCNPKKVMKDCTLVSLGVNNQIGFDKHIYEATGRKCKILGADIYQQEQPTRDAYEKMNGELFAAKIPNDLTIPQMLEKSGRIDVEFLKIDIEKGEFTALEPLIKDYFVCQIFIEIHGLPSDHLRMLQIIAKYGFRIFNVDENLLCPLCCEYSMISELCMAQFEVVPLAITIPQLNT >CRE29017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:105908:106479:1 gene:WBGene00066033 transcript:CRE29017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29017 MVLSVNMKFVTLNFLATIGCVIGQQKMIKIFGKVLDVDLDEVTIGLEPVSNFKCVDDCFKEDECILVFMNSGGKCFSFDFNSTKKLTVVETKREEGLMVAFKTRFLLDQCPAYDDMDLVVTVGVDPIPWIKNGNEYTFKKCDLHHKMFKRKNEKKMNSS >CRE29038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:102427:103428:-1 gene:WBGene00066034 transcript:CRE29038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29038 MMKIFGKVLGMDLDGTVDYGRGERPTPDYLCIDGCFQHHSCILAYMYTETQCVSYYLNSTEKLTVVETKREEKLIVAFKTRFLLDQCPAYDDMDLVVTIGVDPIPWIKNGSVYTFKKCVGDWKMFNREDDVTVCMQTFKEEIYSLVGAIKRCDELGGYKLTGVQSKEELQWIFDQRTSTWHKNSGYWINAKRQDKCNGMNDTHFNITDGYTTLDQEFYRNSADLSGSNIGIIEDCLLVCNAAPGFLINDIQCSDYTYAKGFVCGYQLV >CRE29018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:108695:111156:1 gene:WBGene00066036 transcript:CRE29018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29018 MVVVIDSRADMAQERILMKKLKKYHIGILVLSVLGVILLLWAIIATVYNFTGNRHTEHVVIEPKSKSAKYKNAAAVADSRFCAEIARNVIIQGGNAVDAAIAATFCNGVVLPYATGIGGGDFIVIYLKEEKKCVFLNSRETAPALATESMYTHDKESAQFGYQSIGIPGELHGLWTAYKKYGSKVIPWSDLVMPAAQLAKGFPMHKAMVNYFDRISKYKGRPEIEGLRSLYTSKFTGEFYKVGEIVSNYPLAKLLRVIANSQDPVQLFYNGSIAEGIIKEMLANGGIITIDDLRNYETHVTETLYADLGKYRMCGPPPPSSWTITQAIPRIVEMQYRDKKMFNDAEFYHTLIEAQKLAYGQRGHLGDYLFSEVSMQLAQNLTDRKFIKFLSPAVLDSGTSQISVVDDDGNAVSLTSSINTAFGSKMLSKYGFIYNNQMDDFSTPGFRNHWGFDSTEANKL >CRE29037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:85700:92884:-1 gene:WBGene00066037 transcript:CRE29037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29037 MSRDSNNCSLTILLLFFLLIISITNYFRFRNIGKMQNPGTREVEVNQRILLEDWGLPAEDLNEEEKSNSVPLQIEAESTPTILPTKTPDTLLRKRGRPPGSKNKPKDSTNQELYLTSSTNSDPSDVISLYQQESIKQLRKNALLTAENDRKLLFNASRLTNNQDFYRKVVEEAYCAQKERIGEKGDGGKYVCNPKKVKKDCTLVSLGLNNQIGFDKHIYEATGRQCKIIGADIYQQEQPTRDAYEKMNGELFAAKIPNDLTIPQMLEKTGRIDVEFLKIDIEKGEFTALEPLIKDYFVCQIFIEIHGLPSDHLRMLQIIAKYDFRIFNVDENLLCPLCCEYSMINELCMAQFEVVPLAITIPQLNS >CRE29036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:80238:81656:-1 gene:WBGene00066038 transcript:CRE29036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29036 MSRDSNPCSLSILLLIAILVISISNYFTFSKIEYHLISSTDTDSSNIISLYQSESIKELRKNALLTAENDRKMLFNASRLTNNQDFYKMVKVEAHCAQKERIGEKGDGGKYVCNPKKVKKDCTLLSLGLNNQIGYDQHIYEATGRQCKILGADLDPQNQQTKDSYAKMNGELFAGRIPNEITIPQMLEKAGRKEVELLKIDIEGGEVIALEPLIKDYFVCQIFIEIHGMPSDHLRMLQIIAKYGFRIFNVDENLLCPLCCEYSMINELCMAQFGVVPLGITIPQQIDVRRMDHLDGN >CRE29035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:73864:75137:-1 gene:WBGene00066039 transcript:CRE29035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29035 MPKEWNVCSLTILLLVTILVTTISSYFKISNIGEFIFSVSTSLPKLMLEFSELLLLNLPNFPENYLTTPTATDTSNVIDLYQSETVKELRKKALISAENNRRVLFNASLRTNGQDFYRKVKMEAYCGQKERIGEKGDGGKYVCNPKKVKKDCTLMSLGLNNQIGYDKHIFEVTGGQCRILGADVDPQNQKTQDSYAKINGELFAGKIPTDLPIPRMLEKSGRKEVELLKIDIEKGEFTALEPLIRDYFVCQIFIEIHGTPSEHLKMLQTMAKYGFRIFNVDENPYCTFCCEYSLINELCMAQFEVVPLAITIPQTTD >CRE29013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:69737:71175:1 gene:WBGene00066040 transcript:CRE29013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29013 MASYSAQDAQAALKPQFEAYCKAIDNLEWDIVPTYYHPNGVIVQKGKEATFGKEAMKAEFIKFAEFTGKSTSTFSNAKYEGTGDYLIITADFTSETEKAGTIKGKFVQIWKKEGDRHLIYHDEYEVLA >CRE16365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:99792:104111:1 gene:WBGene00066043 transcript:CRE16365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16365 MTYISIPVASEKHTTTQKRSDLQGIRGIAILSVLGFHFLPNQFPNGYLGVDLFFVLSGFLMCILLTRTPVCSDNFSLFRYIFEFYYRRLKRILPLYLLVIAGSLVALFQLFPDTAYETNLKSGEKALVFMSNRWKTEAEDYFSMLSTAIDIFTHTWSLSIEVQFYFIIPILYLLIEKLFHLKIYSFVFVAIVSYSYSTLFCTENEAFISLFARIWQFMIGIIVGLISINWHKLAGKSNSDNFFESIVKYTSLVLMIVIILCPIELPSWILRPLFTISTGILILLSSDDHILSFPILTYIGDISYSLYLIHWPLYAHWKLVLSHGEAVNQELLTVFLISVMLAVFSYEWFERWYLKLTNKPLLALCFVLFTVNICFLEFDKFRDYLTAPVIGSRLDGLNENQTISFGKSLPFTLKTMKIPEEVARMHREWEMHDFRNLNAPSCDYGENTGPLGWCRHKGLDEKNGKFKLVIIGNSWAANHARIIYDECGKKARSIVQFSLTGCEPLVSFRYNTELCIPTLKTFVDIVEKEKPDYLFLLSRMIDTGDSLSSNTTELEDDPVFQAMRLNMNRLVKHVKRKMFMLNALPMIWEKVVPEILKKVKNQENLLEFDKSLISIDPSLARSRYSKLVSECPKCSLIDYKPLFYNNSTGTWRFYDVENRGLTYFTPQNHLSFHGLERVRMVYTGICDNFGE >CRE16874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:503566:504350:1 gene:WBGene00066044 transcript:CRE16874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16874 MEVLAKKKKRKKAETSEPSDEVTINRNVIIAWIVATIGLFLFSIIILIICCCDRKRYKDKIIHLESSISTIEASPMVIHTVAANEVVVLKDKENKVASKESQMKPIVESKMESVVDDETRKKKKKTKGKQKKAKTITVESTQDDEKSRTLATATGRARTRTAHTATGGTTVGGNTVGKEEESDGDRSVYPIPQITTGTEGTAGTAEIQLAPKRY >CRE24331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1395:2946:5776:1 gene:WBGene00066045 transcript:CRE24331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24331 MHSSITHLSAADPSLKNRPQAELWASGQSRVIVIRSAIRNCKHVFLPEDDWKKEETKNQGTSNNDSCTPETPSTFLFAYSNDLDSKSVKNSLDDIVMKLDYQQSKYKYLANIRFDTKKEEEITFSTDTKSFTASVTNNLPDPSLGFADDSTGSDVLNVIQNFLTNSQSPICGSIIFILLQRNPDDINISEIVSQLQFQHVFVRTVFMENLLGSSDSLVMYNISSKSNGLGVFTDEDSFDQVGSIRLVKYLFFGSGKNYFQSVDFSTNIILNEYLLYASNPIVSGQGQIELPLLTTPDYFSRTISVFVDITVQNHALSDDFHFLNLTLIDTDASSQSLVIDMNRIENFNGYYNTDIFLKRNLDFEVYLTYNYNSIEYQAVEIRMLIDHHVSSTPYWISGCLLAVFIIISIVLCIKTCEMNQDHSGELDLSGLSKREQQRQKLLVALDPDAASEKFKKQGGVVIATNLDRAFKKRCNDEEKVELGNVEYDEKDNEQYDFGEEHDKFEVV >CRE16930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:495737:498526:-1 gene:WBGene00066046 transcript:CRE16930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glf-1 description:CRE-GLF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSG1] MKIVCIGAAPTALGAAYRLNELKNEGNEAAKDAEIVLLEQEEIAGGLSCTVTDAKGFLWDMGGHITFNHNFPYYEKATQWAVDDWNKLARNCMVDMNYLYDTKGIHLVPYPAQFAVPLFPDEVKNRCLADLKERYENPQDGTTPDNFEEWVLQHFGPTILNTFFKPYTKKVWTVEPLKMSPNWVGTRVAKLPQEKLEELCSMDQSELANADFGWGPNSYFTFPKYGGTGNVWNSMAKKLPNEWFKFNNKVTGVNHKEKTVEVLEKGQTEPTKMSYDVLLNTSPIDQLVNNTQITAPLDIVHNKVFIVGVGLRKPMTSFLEKFTWLYFPDREVPFFRVTILSRYGEVTPDSDKYWSVMCECARPIDDPITEEEMVKKTLDGLVIKDMITREAIESVYSITLPYGYPIPTPNRDRELARAHGELERHSIYSRGRFGGWKYESSNQDHCFIQGKEFIDRVILGEPEKLYKTGVPTIPRG >CRE16929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:492793:494059:-1 gene:WBGene00066047 transcript:CRE16929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16929 MTSFVSPSRLFPLLQSSQWAVLRTWAEPVKGFKTAKPSSFAASNITFHRSAHQMPRVLITGGTDGIGREAALKLSADQHEITISGRDPNKAKDVIEQCQKKFNNTPRFLQADLSLENEVIKFANQVAKEKYDICILNAGVMNPKPGRTCEDREATMMTNLISSYMIAHKILDSRKDDKQPLHFVFSTSILVKFHSATPLGLRFFNPQKLSDWQKSLVPSDVSGAGKYAISKIGLATLSSTISLSNLPNVTATSVHPGTVYTNIMSNLPARQQFYIKLARPFTTSLSDAGANLVRAAKNPLPAGMFYQANKATKLPEFVYSESSIAAFEEVFNQFKIRD >CRE16871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:489911:491765:1 gene:WBGene00066048 transcript:CRE16871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16871 MDELFYLTIKQQHQRYTFGLVSQRFTTLRNTCQQNGDALNSIKNGFEVLGDKEIKEKLKPDLQKFDILMKSEQEKLHEFDKMIEMYQAITNALENDGLHLWRMDIQRNVDVLSRQLAKSKCDYYQLMDSLYDGISYNSNLTQKLYTFKRSFILSTGSSISFPFLKLPLVVRDHVFRMMSFIDLIQVSRCSKKTRFQIHATSFPNILGLDLIFRKRQNIFTPEWFEYKLRLKLKNDSNFDIIVSDRHQQDGSSRSFDDSRLGGRRVEIQELKKSIDIVCESGPFSFAMYLVDFLLDVLRMKINSVYFDLMTMNPSELHSFFGWKSSNQIKHLMFDYGTIRSETLSQIITELPHLKSLSFSCGLEFADLVNPLNIDGFVRMFDGRWITSDALINIHCQEMLIYFNKLTCTDINLFIKNWMNSNDTKLRVFQIAASFGNYQEKLFRGLEDSLRPWDKSKRGPLFETQAYALDCQNGLDIERSDGLIATLCVDESVFSFVVWHDRFPQVTKQLPSVEESLRVQFLTAFLRSPEWYQAMKN >CRE04399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig531:7883:8116:-1 gene:WBGene00066049 transcript:CRE04399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04399 MCKECLCRHKKLCLLLVWLAFVLFLCLVVVLGSLSPDDRAHPFAHNQSKTIPPQSLLLPQQSPPQLSAVLLNTSLVG >CRE16928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:485296:487147:-1 gene:WBGene00066050 transcript:CRE16928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16928 MNDFDYLVVKYLHQKHTCDLLSERFTTLRTICHQNESLMDYTNKGREVIGYQKELTLDFQNWSAFLKSEKDNLPNLEEKLKIYKENTNVIEKQYFNLMNGDIKNNIEVMKKCLAKGKYDYYNLMDSLYDRISYNSNLSQMILSFKSCLTFPNTGYTNGKHSYGFSFLKLPLVARDHVIRMMSFVELIQLSRCSEKTKSIIYSLSFPNILSLDLVFRKRQNIITPDWNEYKLCLKLKNSSTFNIIVSNRHQEDGSSRSFDDSRLGYRRVEILELKKSINIVCENGTFSFFIYLVDFLTDVLRMTINNVYIDLRTLCSTQLHSFFCWKSAKLIKNLLIENGRVRSETLTYIFKSLSSLKSLHFSCGLEAAKLSSPLIVAGCVKMFDGRWMTGDALLKIYCEELLIYFNKLTCTDINLFIKNWINSNDTTLRVFQLAGSHGNDTETLFRGIEDLLKPWNKSERGPIFETQAYALDCQNGLDIQRSDGLIATLCVDESVFSFVVWHDRFPQVTKQLPSPEKSILVQFLAAFLRSTEWYQVVEN >CRE16927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:476119:481582:-1 gene:WBGene00066051 transcript:CRE16927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16927 MSYITHENIQEWGETISDIQKTYEEELTTSINTTLRLNPAAKLAETTPINVYIAEYGVFLTSELEKFQKLHKKYTEQYNAVLSKLHETPVGNLLAETAKTTIEQAHSKNADIRKKVTELENKMKVRHIAANVVAGEENVTPIGKINFEIAVKKQPNLIDLNTDFNETSSNNHQKVRSFNTETSSSALSFRHVKLPNFDGNISQWSAFYMIFKPTVIDNDEYDDVMKHNILRNHLSGDPADLIRPYDTDGTQFKDAMDRLLAMYGSQEKQYDHLWNRLSNIPMAREHPKSLRILHNELFAIINSLKKHGDIDTLNYQSVVKSKIPTPILIEILKSKPKKTTEILDALDVIITIEEAAQRSKTVPEKEDRTIFAVKKSHSHQKCKFCQRENHSTIECRTVSTLEDRREFIKTNNLCFNCINGGHRIQECKSSACRKCNTKHNSAICPKNLQIARKNVPTFKKENFSTNNFRTPNHPQNLNKGNPQGQGYRAPSNYQRNQNFHGNGYQPRYQNNQNGQRNQANGPQNTQGQFRNQGQSTPPKTFNQAKSYKVNSNNTSLMVANAPVLINDEVEIIPVLLDSGADQSFVLSSFAEKANMKILERNVEIDLSVFGRDPTTIISNKVELAIIAPQKENSIITVEALTVPDITDLFDPVDLSFEDKTYLETTNQETVNITKQEKAVALLGADIFWDIIQDGKKKLPSGKFIIPTQLGTVSTTTQTTPSTTTTQSTMRTTSSTTTQVIKSSTAIPSTTTFPTTKISVPTIRSSTTPSTTTTTTKILPSSSTSIPTSVSINPTFRTTVPNVRSATEGFMPKEDYRRSIQATKPSTEQMPLIETTTSNNMHILNRHEIHDSKSRLECTANGVNLIDEENMTSQPNSVCTENWCDHQVVTTKKVTEVIIPPEYTVHKHRITWKKSIGQSFVILSKVCPPTDYCWKANKHFDCIFCTRFLFNSQCHPKATIAIVISIIAILMKLITLCWQRTKLWKLFKLMFCWCNFCEKLHQFVCCKKPQEETDELEEIEMVPLRKSQVPKRIATVRNWRDRIRHKKYLPSRSTPSTKRTVNFSNSTTPANPRTLLFEVSTVEEEGRDVLRIRKTSSRSPSPPITFLAITTLSLLISSVATDVCDSTYPISHEESTCNEQGVCRVERTEDIFFTPETKTICLQVVSTNNVLLKFKLTVDHNFRKCQKGPIMFTKNVTVHADSSKRCHGMGECVDRKCLDVGPNSKLSEFTEGNKYPGHTYCSSSCGGLWCRCLLPTEACLFYRTYAVPTTDDKFQIYSCDTWSNAIHFTASLTFDNQVIEQIFQIREGGDYQINFRYGKQKDHEIDLKFRLLEVTGETGLSILGKKFIQNEEKIALASITNEIFPLECTESGDCNYRETCNCNLGDSEAICLCKVPDLFKILDDRNHNLPIITERYHLGISPDNIPTIRMRHNNFHLQLIMEQSYHTNIIESKIDCSIEKTTAFIGCYNCLKGASQNVTCKSKEPTHAKLSCDNEEFVDILTCDKKGIVNEIHRKFYQAYPKGVCTVSCGSKNNSYKIEGTLTYVSHTSLSEYFNQVLHSEKSISEIHPWNIPDYWAILNTIIKGAVPITLAIIGMLFTSAILYLCCIPACTNFLTRRRRFRR >CRE16869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:469984:471465:1 gene:WBGene00066052 transcript:CRE16869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16869 MPEIMERRATSASIPTSKSSVKPLPVPKLSEGATPVESALKSKRFSLVHMPTKQERRVTIRPYESFRERKEEKPDTITFGYAALSTNEDESRKDMEMEEGRPVSPSPSIFSIYGDEPGPSTICEQPVGAQADVFISSLGEKKLTQIKKYLDSTPREEHMYFLWVKDSEVNKITVISIKTLENHLKNDSRVRRVKWRHLSSERVSIYRYSLPAITYEVRLLQSYFRDLLFNVFQKSKMCKECLCRHKKLCLLLVWLAFVLFLCLVVVLGSLSPDDRAHPFAHNQSKTIPPQSLLLPQQSPPQLSAVLLNTSLVG >CRE16926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:460024:462565:-1 gene:WBGene00066053 transcript:CRE16926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16926 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MSF4] MKRGALSTIEERTETTSGATSATSNTSNSGTKMETKMQIACRAAQQGEIQTVRFLLNGHVSADELDQEGCGLLHWAAINDKVDIIELLLSHHANVNLIGGNLKSTPLHWACYNSHTKAVISLIKNGANPTIRNINGETPLHIAANTGNFTNVAYLLVKCEHIKDWRDNLGRSALMNSAAHSFGLFPIRIFTKVDAYLDFASDDTGDTALHTSMARQNMSGAVELICAGADETKKNKDGKTPYDVVNEKFGKHVREHVKTRNIRENGKTFEKMTSRWFLMQLFTASITGILIVGGLGLFYLTNFWVVLSALIISVPLTFFFLRKKEMDHFGYLPVTYICWMGMAELALLIFDSDGFIHWSLLMVMCTIWVISASFYWLLILTDPGVMPRSTNPFKDFIEQLETKHLERYCFTCWIPKTSFSHHCSQCDKCVDGFDHHCPWIHKCVYRKNLRFFVLFCLTNFIFDVIYVPVLVYMIFISWSSVGFEQTLNDHGVMVLSLLFSVPHIIGAGAITYTQFSQISRHITTIEIIRNTRAKSSSDSDKTTSATTSSHYNPWENRPSMKERIRNIWNLLAFDQFGAEEVCETNSEIVSFETSESHV >CRE30280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig849:7073:8802:1 gene:WBGene00066054 transcript:CRE30280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-33 description:CRE-LIN-33 protein [Source:UniProtKB/TrEMBL;Acc:E3NNT0] MSRGYYRPEEEIVQAKVLHRDPGIIEENYASKPPSRRSSSHTNPDSLINQKHAQPLPSPLHSPVRSVTGSIKFESPKMAPVRSNSRGSSTSSEDLSTRSVSVSVLKDSGTKVEIMLKAHGLKKKKSLLNSFVTVFKKPSQPSRLLANGKFTEFVLTEHSLRFLLEVKTDKKKKKGNGNRTDTFLCEVKRFPSDVNPDSAQFEVLEPASGEAFILLTLIKTGNLSTNWKEFLDSNGTIDVTMI >CRE30281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig849:9523:11257:1 gene:WBGene00066055 transcript:CRE30281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30281 MNNIHRFIQKRFYLRTRHAHPFGIVLDIDGVLFRGRNLLPRVKEAFSLITDKKGNFVVPTVFLTNGTNSTEKIKAAQLSEQLGFRIPADHVLMSHSPLRMFTDLHDKQVLVVGQKNATSIAKGIGFKKVTTIDHLRKWFPHLDCTDFSRKHVDPKETEAARKRFRPIEAIVMLGEPLKWETSLQLMLDCVLTYGRMDTMVFPLIAGGLRPDHIPIVACNVDLVWMADVASQLPRIGHGVFIHVLDTLYEKLTGQHLKFTATLGKPTEVSYLHAAHRIQRLAKAMKLGDVKYLYVIGDNPMSDVLGARLFDRYLRHGGVGRFDHLDLEAFEGNDGEKPRVRTRNVVERCISILVETGVHQEHVHMNGVVKPISALIDNLSKGEQLLLNQPNFVEYDLHAAIRTILRRECYR >CRE16923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:443985:445918:-1 gene:WBGene00066057 transcript:CRE16923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfkb-1.2 MEIPPGLETTKRKVAHSDEHGFSDQVRVPNVIVMVGLPARGKTYISKKLCRYLKWTGFKTKVFNVGEYRRTDANAADAIHGANASFFSPDNADALKVRAESARRAMEDMADYLNSGSGSVAIFDATNTTKDRRSIIIDFCKKKRLRCFFIESVCDDPAIIDSNVTDVKVNSPDYKGLMTAEQAKEDFMNRIENYKRQYEPLEESEHESLSFIKVINAGRSFKVHQVRGHVQSRVVYFLMNIHLLPRSIYLTRHGQSEYNAMGRLGGDSPLTDDGRKYASALADFFEEEEVPGLRVWCSQKVRAAQTAQQLKPDFHTEYWKALDELDAGICEGLTYEDITQRYPKQADDRATDKYHYRYPSGESYEDVVSRLEPVIMELERQANVLVVSHQAVLRCILAYFYDRPLSELPYIDIPLHSLVKLTPRAYHCDSTIYALDLETGEWTETSAQLPLCDSPRD >CRE16867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:441577:443644:1 gene:WBGene00066058 transcript:CRE16867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-7 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3MSE9] MFVFRVLNTVPYTNRTGAKDLIASVHSFLTSNLLVGLAVLISWKQFGGTPIECMVPLDFTSAWVQYSNNYCWAQPTYFIPFTSELVEQVIDPSDVVADGITVSGRGPVPRYVKKGGEKISYYQWMSFFLLFEAACFRLPCFIWKYFASQSGMQVGEILRVASDENNAVPLVKKANIDTLCIHLRGVLRFQKRLKLKKIAPHKILRFLNIKYSAYYVTFIYFVAKVAFLLNVVLQSNLLNRYMLPHDRQKNFGFDMWKTIFYGNSNGNESWRESGVFPRVTLCDFETRDMGNVQMHTVQCVLLLNLFTEKIFVFLWAWYIFLGAFTVANLCSWLFAVFNETYNEHFILNHLEMCETPFDKDNLKNREHVQRFITLYLGTDGLFLLQLIAQHADVVFTTELIAVLFKTYIEIEAQRATLKQMNAVLPLLRPNEDAQVESAMSTAPSTSHNQRRRGTEQMEKAPKGRQGSISTQLRPFNSFEEPDAPTKKFDDSSSEDEKSSKKSSKKHSPTKKKNSPGTSANPHRPSLAHTGSPSFTQLEENESKVPKTSERKNW >CRE16866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:440978:441484:1 gene:WBGene00066059 transcript:CRE16866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-25 description:CRE-RPS-25 protein [Source:UniProtKB/TrEMBL;Acc:E3MSE8] MPPKKDPKGGKAPPAKKKEGSGGGKAKKKKWSKGKVRDKLNNMVLFDQATYDKLYKEVITYKLITPSVVSERLKVRASLAKAGLKELQAKGLVKCVVHHHGQVVYTRATKEADVIVE >CRE16921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:377786:398869:-1 gene:WBGene00066061 transcript:CRE16921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16921 MSTTANFIVSGVLSDGTPIVFGAVPSDGTSHTAIHHVSSSNQFQVESWDGEHAEHEHHDHEEPRRFSIYLEEGGAEKSGLAQSGDQEDDEDEPIASSGQFERTRSASLAAALLELDPRGVPLVQFFKLATNEPLLEVDEGEDEVAPSEAELVLSQLLFTLRSLKMSGGDAKHSEAVQRLRELEEELRAAGAVTPADPAVSEAVARALAVAGAGRDVQIRVNQSKHTTTTKTVYETETSAMAHMNEEQIRKLQQDIIADISSGAEQHSGQDSGTTQKKETAEEGFTNEDGSVVVSKKMTRVVTTTRTTLPGEGEEPSAPDSPVESLGSVKDRIAKFEQFKTLPIDPSVSGPPPSIHALTQEDEEPEEHVEPTQQYAHEEPTQSSEGRDSGRSGHTEQSIPEVHFTESDTEIEHHDDHHEESHLEPTVGIVITPHTPIPPEHPDDREEIEDEQPQEPLEPSEPEHVARAEIKDTREYSDEELAHELSPSEEHVSTAEIKDIKSYSPTSSDDERSSQKGKVSPPIPQVRHVISPSVASEVLSSHDDELAAHYVAESFEKHDEGRVETMEHQPVYRGHHSPGQTSPIVSEHPIAQEYAESVTSHDEGDNLSLKSGHEPEIARHSVASVQEEEEEKSGLGDFAKKAGMIVGGVIAAPVALAAVGAKAAYDALKKDDDDEEKDHEAQEVLTTQTTIMESPIQHEDIPPPHMEDVTPKVSHHEQPHEIVTETSTTVTREYYDEPEDAEVSSVKHSPVPSEKEQEPHLVSETTTTTTVTREFYDEPEPVHEEVQQESHSPAPSSHVESERHQAGSPALSEQEHPHVVEITTTTTVTREYEEEEPEVEDRESVKELPDVTETTTTTTVTREYDQEEGGFDSERLSEPAHSPEPATVGTPAESEKSIPHVVETTTTTTVTREFYDEEDPEHREASPVAHKSIHVVEEQREVSPSESRIPSERSVRSIQQHKESPAGERHSPVPSEPMSEKDAHHVTETTTTTTVTRDYFEEPQDVSHSPAPSSHVDSEHPISESPAFSEREVPHLVETTTTTTVTRDYEVAPEHDHPESSDQDIASHVEPTPSSIHEFQHEEYPRPESPAEVYPIPSNEEQELHLVSETTTRTTVTREFYDEPEHGQEHPDDSYSPAPSSHAESAHHVPESPVESEKEHPHVVETTTTTTVTREFHDEEFDRPESPSDVVHDARSSAPASEVAEHRDMESPAVSEKEVPHVVETTTTTTVTREVYDDDFEKPESRLSEQEHKGLDSPVASERSYPEASAAYESYGDQPQQHISESPHAQYFHEEQTVSEQHQPESGDESDGEGFGSKVLGFAKKAGMVAGGVVAAPVALAAVGAKAAYDALKKDDDEEEGDRSHEEPQNVSHSLAPSSHAGAEHPVLESPALSEKEFPHVVETTTTTTVTREFYDEEEEHAELSQESHSPAPSSHADPDRHLGGSSALSEQEHPHVIETSTTTTVTREFEQESDTEQIEKSYEEKERLPSPTELQEHLVKESTTTTTVTREFYDEPEHGQEHPEESYSSAPSSHAESAHHVPESPVESEKEHPHVVETTTTTTVTREFHDEEFDRPESSSDVAHDARSSAPASEVAEHRDMESPAVSEKEVPHVVETTTTTTTTREFYNEEAEPDFPQTASHGESLIAYHQEQDYDRAASPEKEGSEKHFPHVTETTTTTTVTREFDQEEGGFDSERLSEPAHSPEPATVGTPAESEKSIPHVVETTTTTTVTREFYDEEDPEHREASPVHSEKQFGGEHPVESTTSVRGSPVPSENLEESHLVKETTTTTTVTREFYDEPEYEQDHPEESYSPAPTSHVESERHIPESPVASEKESHFVEENVEPRFEQTQQRTSVTQEDSPAAQYFHEQPEHQTESPVPTEKAPLLTEQHQPESGDESDGEGFGSKVLGFAKKAGMVAGGVVAAPVALAAVGAKAAYDALKKDDDEEETSYSQEYAQKSPTPSEKHHVTETTTTTTVTREYFDELESHEEHGQESVAGSEHSIPHVVESTTTTTVKRDYDEEPISESPVPPEKESTTVSREVFETSKGNEPEHHYIETTTTTVQKEVYDEPEEAEDDGEPKQETPHVVETTTTTKTTREYEDDEEISESSAPHVTETTTTTTVVCEFYGDQPEESEEPIDEPVSAQIDEEDAVSPDSDSTTSVSREIHTEEPHVVETTTTTVVTREYHDEPEETEESDGKETTTTATLTREYYEDEPESPIKEHLEEDRASPVDSEKFVPQVMEATTATTVTRQFYDEEEPEFKQTELHGESPIAHHQEQDYDRAASPEKSEEGSEKHFSDVTETTTTTTVTREFDQEEGGFDSERLSEPAHSPEPETVGTPTESEKSIPHVVETTTTTTVTREFYDEEDPEHREASPVHSEKQFGGEPPLESTTSLRGSPVPSEIVEESHLVKETTTTTTITREFYDEPEHGQEHPEESYSPAPSSHVESERHIPESPVASEKESHFVEEHIEPRFEQTQQRTSVTQEDSPAAQYFHEEPEHQTESPVPTEKAPLLTEQHQPESGDESDGEGFGSKVLGFAKKAGMVAGGVVAAPVALAAVGAKAAYDALKKDDEDDEEEQHALLGDVHEQHRSDSPVRSESYHQFEQLDVPSQQAYDQESLKSPVDSEKSFRVETTTTETREQFGYESPEQQRSGSASPSHKEEIMQFQGEHSPESFEKEREKESEDKKDTRPELERQGSYSPGYSPKSPRGSLAESDEESRQALETVPSAIAEQHVKPEEQPAGSPVSSEEGSTKFVETTTTTTVTREHFESEDEPTGEHVVESEQYPSGSPVPSEEDVRRVIETTTTTTVKREDYDDEQEPRHVVESREYSASGSPVPSEKSVGYTIETTTTTTGTREHVEHEDDFGSSPTEVERSSEQSESKSPVPSEEDVHGYVKTTTTTTTVTRELFEPENEPIGGHVVESEEYASGSPIPSEKSVGKTSETHEPEEHQYSTSPVQSEKQSEEPSIAPYEQPTEYGRVDSHDAPASPAPSAESPIRREFDTTSPSATQEYSQPEGGFESERLSEPTQSPEPHAIHEKDREYDEPHFEQTQQRTSLTYEDYPAAQYFHEQPEHQTESPVPTEKAPLLTEQHQPESGDESDGEGFGSKVLGFAKKAGMVAGGVVAAPVALAAVGAKAAYDALKKDDDEDDEEEQHALLGDTQEQSSSQGHPKREDVLVSPKRRALVNPVSDDDSQSEIEAEYTEPTGSDSEQSHRYTETIRKTTVTREYLDDDESQHSRADSEHLPVESPSEQVVETTTTVIRHYHDEPQPEVEGEEKTLPEEVTVLREVYEAPEGDEPEHHYIETKTTTIITKEVYEPEKEDAPASPIGSEKDASEKDFPIRDTRFDDHEPHAGDTTITTVTRELYEEPERVRPASGSEADDESHAPRYLETTTTTTVTREYEVSDEETEGEESHPVVQQREGSPAPSEESVTHVVEKTTTTTVTEERFEQEEPSSPVPSEEDAPEYVKTTTTTTTVTHESLEPEDEPTGEHVVESEQYPSGSPVPSEEDAHRVIETTTTTTVTHEHYEPEEEHGPHHVVESQEYSAAGSPVLSEKSAPSVTVTEQSSVHAERSSPVPSEEDVHGYMKTTTTTTTVTREHFEPEDEPPGEHVVESEVYASGSPIPSEQESSRVIETTTTTTVTHERYEPEEERHLVESRDYDAESGRNVLESPVASEKESHFVEEDIEPHFMQTQQRTSLPHEDSPAAQYFHEQQEHQTESPIPTEKAPLLTEQHQPESGDESDGEGFGSKVLGFAKKAGMVAGGVVAAPVALAAVGAKAAYDALKKDDDEDDEEEEKRALLGDSRERRAIDSETQEPGATFYEPEEEDKVISETAEASSPKEEEPKIVFPVDSPAEQYVRDKTVFESMVQAEGPYIIESEDYEPSTQQEQRVSSPVPSDSDDIQTTPQHVEDEETPIHEETIETDAPYIIDSEEYEFSNREEQRISSPVHSDEEERDDVQNDDVIETEAYGNDQQDDEVDPSIVESEEYLGSGQGSPRPFEDSTTTTVLSVHHEPPAIPEPEVDEEELGQDRSVIESGEYSSGSPLPPTTITTVEHVDPAEEHEYTPESPTTVTTVRSEHFEQHQDPSVVESEEYVKSSPIGHERPESLSGSPTHPDDYDNSHVIESQEYRGSPVPSEDSVKHVVEKTTTTTTTEEHFEPEDSSGDIQDYVRTTTTTTTVTREPEGEEHLVESHEYSASGSPVPSEKSVGHFIETTTTTTVTREHVEHEDHPSSHTGTEHFEQSSEQSESKSPVPSEEDVHGYMKTTTTTTTVTREHFDSEDEPTGDHVVESEEYASGSPIPSEQESSRVIETITTTTTTREHFEPEEEQDPHHVVESQEYSSSGSPVPSERSVGHVIGTTSTTTVTREYVEPEGHEHSISGKQSPVHSEHVSETRSTTDQSHSRFEPEEEKRSSPVPSEGEVHESMKTTSAITSESRYEPEHFQKEEEGDESDGEGGFGSKVLGFAKKAGMVAGGVVAAPVALAAVGAKAAYDALKKDGDDDEHDQFHDQEHVQYDSDELHKQTPSIETHQEKDDSESEGSFVDHGEESQHLPLQSTEAAFKLSSEDVAEQTAEKLVSEVFSPLDRTLIESEQYRASIESLNRRSPVEPKRSVEDLASEALQNVTKISFGHADDSDDKHDDHDEEWKVYDRHGEILEEFSTQLTDDVIQEAEGDATTQIMMTQAEYSPRRSKFLKQESCQEISNEPEVDYYSDLQEKLNILAGEKNNLHALVEEEPSSSASDQLDVIHESDQEAHLEQEHEEEAAAQKEQEEKEEAAEYTATHLVDEVLQQVVHEIREEEDDQKTMTSDAYLTATEKDDQEYDTCVTSQDDTYESAQGWTSQDSEYTTATSQAPSRLSDSDGEHTARDRDQERQETSTPQAILSPVDSDRQFTVQQDFDMPVIRAFDPDDFMQTTARSTPDVALQVTIEEEDESDDKLPISPSGILLPPQHDPGRPISPVPPRKSDGTMKKEGDHFVFVREEDVTEPTPPPQLSEQTAADEARKQSEDTQITTETVPQEGEETEMRRQETERIHSLAMEASSDLGNSESSRYSRQLSDLSSSAESHADTVIRVESERSGSSDSLEVVSVISAGKDARMSEKSLTPEDPEKPLDVEQKPEGEEKEDQEAEDLGFEVYDADTEEQQQQALEELETVEEEPEDSDSLNEGGNHSSGHSSVGVPADTLAMIGKYRHQSSDNLSLTSLQEFERLEREVGARGDGSLTRSEIELLVAGRLNKSGEGSVSSLAEFERLEKEMTENQSPPEDVMMLSDIREESEAEDMSIRDDDEEDVVGSDTEMKSRPVQEEDLRGVTPVAPSPTDSLEHAIDPVQMQYLETSTDSLEPTFQEIEVEQRPDSVEDTSLTEYEMVPRIMEASTTDSLDGTATIEKVISLISDQSESKNIKFQDSLLEGASQGIESTQSTHGLLSGDTMGTLVTDDDRDSLDGEVSNMLQSYPTTLTTFQTTVVGPDGSLQTISRRVETRVSDEIEGFRFYSFIFSVAQTSYSEERTAKPMGFPLKNGGKGSSEIFSQSAEAVRYTGRDLESFSVSEAVRYTVLKGRDLESFLSQPKQSGTQYSKGRDFRVFSQSAEAVRYTVL >CRE16920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:358187:359923:-1 gene:WBGene00066062 transcript:CRE16920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16920 MSRQLGKKLVQWIATRTMCTTKELVRSLTVPLKEHEEPLKVLTPDEKRNAVLLRMKEVGIPEDANYVVFLQIEKTNGQPPFFDMFMREHTYLRISLTEKCNFRCLYCMPAEGVPLKPKDKMLTNEEVLKLVKLFASHGIDKIRLTGGEPTIRKDIVQIVEGIASTPGIKDVGITTNGLVLPRFLPDLKNAGLTKINISIDSLERQKFAKMTRRDGFDKVWKSIELARRYFPKVKLNVVVIRRQNENEIVDFVNLTRDRNLDVRFIEFMPFGGNEFTNDQFFGYREMLNLIVEKFRGDVIRLSDSPNDTTKAYKIEGYEGQFGFITSMTDHFCNTCNRLRITADGNLKVCLHGNSEVSLRDKIRGGDTDEQLSEIIQKAVNNKKARHAGMDALKNLPNRPMILIGG >CRE16919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:351717:353212:-1 gene:WBGene00066063 transcript:CRE16919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16919 MSTIAAGRFAKDDVDAETKWWKEYPSKYYVERARPQNFYDEYITNTWSKPKRLAHSASFTNLTYIKDKDMDFPIRKSDSVSTLAPSLALPQYCREAQRIVHTVPVYKPHVYDWYNKSYSDARWRDTHREVNKPYKPLDTYISPASSHVPYYTFQTKRIFFDEKARQLAPYLKESQRYMDRYVSSRLKADDFANRFAYTAYEWRKPQDHAFNREFMTTQRVFVATPTGLPHEHYDKLAMRRLYKRTGRFFF >CRE16918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:350096:351414:-1 gene:WBGene00066064 transcript:CRE16918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16918 MFGPFCTGIFLFLALWGTVFMAVLGGLFYNQSVGLFEDLPKEGKSMESKPWKDRVNNFDNLYQQNAYNCWIACGVYIGIAVLLSLRACCLAKR >CRE16865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:341739:349807:1 gene:WBGene00066065 transcript:CRE16865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-22 description:CRE-PQN-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MSE2] MTEVSGVCVPQAIAPLFSMPQSTIDDIQNPTSLTSIRSQPFSYSKPTPGGPKLHQRIKCPRVVPLTFWSSFEDEEDLDVPLPEPEMPQTVHLQYNSPMGLYSKEAAVEQFQQQIGETPQDLPAQDKHFDPSKSATLKYLKEGERENFGENFFEKVAQAEAPRVPYQSEPTWARTAREKSERARSKTPADPHQAYRPNSSPAPSNHPVHAENAREHAEKSRVFTHNINEPFGRTYWEASNTLPRYRRSHSAGHELSNRGYELGGIDYTGGVHVDHGTDYQYYEEPPRKPQPPKLPPGYELGGTDFYRGHVSGDANYRGHGPDPPRLRPKYTADAHDPCNVAIAPNLEGVDANLLVGDTLSNQKIRHEVRHIDQTKFGTSFGPTQGFTHDHHTAQRYVEPPKPVVYSLSANKSLARSSSVPRGSAPPEQRDVWNVQQAEVDKEVNIRTLLNDDALRARKREQSPYWAGRSEQKHEAWQGRIDPRLQRYETYITEPNWRRNVDQRRLAWERRAFENEQRLSRPYSEKVAPGVPPSWHAEAQHKHQQWQQQADNMNTQNYTYSTTGYQPSQPAGGQQSYNETRQYSSNTTSNYPSQTQQYQQQQHQCQSDHSNAQRLLNSGYNTNQVDYVLSHKDKYNEGPHYQPPIINSSATAANNSSSSSNQYHTSSQAIPLGGPNQGHSSSYTTETRVVGGGAGGAPVSNNYSNQNYSSSAYNSSSSQQQTKTIPVQNYQNTQKSFNETTEKFHRDLASPLSRTVPVQTAGHFSEVSKVFNSEEEENYQVSQPIPLPSADQVLESSNYNKSYSSQTTTQHQPQPVAANNNYSTSYHTERSSTTTNQQPQAIALPPPQNLSTSYHTETHSSTGPVMMHSPGGHSIKSTEFSSSRHHKQETTTTTTTAPAPQPVFHTTTLPLTKTTSYNYSSSTVPSQPAKPSFNQESYTSHTERNNSSQGFPQQRLQPSGGYSYENYSSSRKEESRREETSRPVSQLSQYSESRNYKRNYEEKTETTTVPSVAPATNVTYKDLSNAQSVDDVFNKKTEMNESLPVGSVFNTHNNTEGGYRDANGHDVSYKRETQTSADPGRETALLKEEEKRVVETPLEPGVISRHVTTKYYKKKTVTDTTTTTA >CRE30570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig968:3622:8193:1 gene:WBGene00066066 transcript:CRE30570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30570 MKNVYLKHLFFFQAAAKMGTIMGVFLPCLQNIFGVLFFIRLAWIIGTAGIFQAFFVVLTCVSVTFLTSISLSAIATNGVVPSGGPYYMISRNLGPELGGAVGILFYLGTTIAASMYITGAIEILLLYIYPQAKLFDDIYHNYRVLGTVLLLVLGLIVMAGVKFVNRCALPLVIVVIFCILSAILGVFVRYDGSDALKFCIVGDRPVDLTSYYEKTKITPNCTSEGLRDLFCSANGTCDHYYDRMKDIKVWKASGMPAIREEKAIKGIASGVFFDNLWPKYLRGGEVLSKDRKDKGDINRGGHPSYIYAESVTNFMTLVGVFFPSATGIMAGSNRSGNLRDAAKSIPLGTLAAQNFSSFIYLIGVVLFGASVSEMFIRDKYGRSAMGKLIISEISWPFPQVILFGCFMSTAGAGMQSLTGAPRLLQAIAADDVLPFLKPFRKMDSRGEPIRAILLTLAICECGILIAVIENITALITQFFLMCYLGVNAACALQSLLKSPGWRPGFRYFHWALSMIGAILCVAVMFISAWHFALFAIIIGAGVYKYIEYAGAEKEWGDGLRGLGLSAARFALLNLDDKPQHSRNWRPQLLVLAPDVESANTNGILSFVSQLKAGKGLTLVAHCMEGEYADNYLKAQAVQEKLKAMVKKNKIKGFCDVLVTSNVTEGISCLVQTSGLGGMRHNTVVLSWPDDWRSEQDWIVANKFVSAIRAISAAKCAIMVPKYAEKFPANGTKVSGFIDVWWVVHDGGLLMLLPFLLRQHKTWKNTTVRLFAIAQMEDNNVQMKTDLEKFLYHLRIDAAVNVIEMTDSDISDYTYERTMKMEERNQYLKNLNKSDREKDIQNHLEIVTRERKLSRINEEAPAIIPEQRNLEVVNEEQEETKTENSLEKVEHKGVRFSDDEDSKEVKVGNGTLERDREERQRKRRYNVHKMHTAVKLNELMRQKSSDAQLVFVNLPGPPDADSDSYYMDFIDALTEGLDRVLLVRGTGAEVVTIYS >CRE16864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:310262:312641:1 gene:WBGene00066067 transcript:CRE16864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16864 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MSD9] MIGLKDILFYCFLLFLYPTGSESYKILVYTNLFGHSHVKVMATVADALTDAGHNVTVLMPIIEQQFINKTCLKSTKNVIFVEQDDKLKALMAIRSKRFETLWTSGFNNPFVVAKKSKLMSELFSEHCRKVMSEKKLIEQIKSENFDLAITEPFDTCAYAFFEAISIRAHVAVYSCSRLDHVSEVIGQPAAPSYVPGMTSLFGEQMNIWDRFLNFYLYKANSYSFSRIGDENYRVAKEFYPNIRSWREVVPEATFLFTNYIPYLDFPAPIFDKMIPIGGLTVKTDQKEVQLEEKWSKILDERKKNVLISFGSNARSEEMPIEYKNALLKVFQSMSDTTFIWKYENPEDPFTKHLENVYLSAWLPQNELLADPRLTLFITHGGLASVLELAMTAKPAIMIPVAADQTRNAQMMKRHGVVAVLKKFDLANPVKIQEVIEEVITDSSYRNNAKRLAEILHNQPTDPKEKLVKYVEFAARFGKLPSLDNYGRHQSFVEYFFLDIISIISFTVLVSSYIFYRLFGYLFRKCTGSSFKNKKE >CRE16863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:308818:309572:1 gene:WBGene00066068 transcript:CRE16863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16863 MFPSVSTLLLFFTVTQKASAFVPFEFQTLEYTPVELSFSQDLLDDEILTKCCTETASFNFRAYGRDFPLRLQRNCQIKEEFAEETAQFSDEGSTWQGKLEDQPNSTVDGDIVNGLFEGIILTGDGEKFMVERQFQSDGKGHSLIYKVTSRKQVVKTLFPEEVMNDEGSGIQGSGLEIS >CRE16862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:306454:308457:1 gene:WBGene00066069 transcript:CRE16862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-19 description:CRE-UGT-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MSD7] MRLLSVVFLLATICSVNSYKILFYTNLFGHSHVKFLAAAADTLTDAGHNVTVLVSVFEASLSTSLKSTKNVITVNAHGKVEDFIKARSKMLANMWLENMSPVNMIKKIGTMATIFSSQCREVLSNTALIEKLKAENYDLAITEPFDTCAYPFFEAINIRAHVAVLSSSRLDHVSDVIGQPAAPSYNPGLLSANGDKMTIVQRFVNMIQYMCGSYFFSYVGDADAAVAKEINATWRTWRETVPEASFVLTNQIPLLDFPAPTFDKIVPVGGLSVKTDKKAMKLDEKWSKILDKRKKNVFISFGSNARSMDMPAEYKNSLVQVIKNMADTTFIWKYEDLTDKFTEGIPNVYLGDWLPQNELLADPRLNVFLTHGGLGSVTELSMMGTPAVMVPLFADQSRNAQMLKRHGGAAVLTKTDLSNTKLVQETIEDVLNNPKYRENAERLAEMLNNQPTNPKETLVKYVEFAARFGKLPSLDNYGRHQTFAQYFFLDIIAIISLITLVASYISYRVLRCVVRRFLGSATKSKKE >CRE16860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:303519:304078:1 gene:WBGene00066070 transcript:CRE16860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpi-1 description:CRE-CPI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSD4] MHSAFIIAAVLVVANGVNGQLAGGLSDVNATEYTNTAWKSVPEINEKNNGQTYLVPIKVVKAQVQVVAGTNTVLEVLVGESTCTKGGSVTTEKVTSANCQLKSGGQRSLYKVSIWEKPWENFEQITAEKIRDVPADEKI >CRE16913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:287628:291353:-1 gene:WBGene00066071 transcript:CRE16913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lag-1 description:CRE-LAG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSD2] MLNPYYYPYYYGLGTPVPPIPPATTGAPAFPMSSVSTVITSTGNATYTSPSGSTLTNLESIGSGSSDFINGPPAPTFPPPNFSTPTPFNFNFNSFTPVPSGALTNPVVNTPKRYEPYDKKSSNPKNTPARNRFGQFSSAMGNMGMFGLADPFYTLGQATPNTSSFLDTSSSSFGLPSSAVANPMSSYQAAFQGKLANLHSMISGSAQTLTSDRMIDFIKNKETLECIISIFHAKVAQKSYGNEKRFFCPPPCIYLIGQGWKVKKQRVFELVKSFKATSQKDLLNTMETEPLHEQQASELVAYIGIGSDNSERQQLDFSATKVRHPGDQRQDPNIHDYCAAKTLFISDSDKRKYFDLNAQFFYGCGMEIGQFVSQRIKVISKPSKKKQSMKNTDCKYLCIASGTKVALFNRLRSQTVSTRYLHVEGGAFHASSTKWGAFTIHLFDDERGMQEADNFAVRDGFVYYGSVVKLVDSVTGIALPRLRIRKVDKQQVILDASCSEEPVSQLHKCAFQMVDNEMVYLCLSHDKIIQHQAQAVNEHRHQINDGAAWTIISTDKAEYRFYEAMGAVASPISPCPVVASLEVTGNGENSRVELHGRDFKPNLKVWFGATSMETTFESEEKVTCSIPPASQVRNEQTHWMFTNKQTNDVEVPIALVRDDGVIYSTGLIFSYKSLERHGNMRILSTF >CRE16859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:287274:287557:1 gene:WBGene00066072 transcript:CRE16859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16859 MRFFQVLLLVVLISATLALPFFNSPTSQTYGGQWRQMYTDENLEVPQNPRYIMSNRMRG >CRE16912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:279442:283129:-1 gene:WBGene00066073 transcript:CRE16912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zen-4 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3MSD0] MASRKRGITPARDQVRRKKLSLDETDNIEVFCRLCPYSGSTPSLKAIDDLSIQTLLPPPQFRRENAPQVEKIFGFGRVFSEADGQEVVFEQTSVDLIVNLLKGQNSLLFTYGVTGSGKTYTMTGKPTESDTGLLPRTLDVIFNSVNNRVDKCIFYPAALNTFEIRSTLDAHMKRHQMATDRLSTSREITDRYYEAIKLSGYDDNMVCSVFVSYVEIYNNYCYDLLEDAKNGVLTKRELRQDRQQQVYVDGAKDVEVSSSEEALEVFCLGEERRRVSSTILNKDSSRSHSVFTIKLVMAPRAYETKTVYPVMDSSQIVVSQLCLVDLAGSERAKRTQNMGERLAEANSINQSLMNLRQCIDVLRRNQKSTSNVEQVPYRQSKLTHLFKTYLEGNGKIRMVICVNPKPDDYDENLSALAFAEESQTIEVKKQVERMPTDRIPHSFFSQWNSELDGAVRIDVDERSETPCPPTFCLRDYNDNDTVESMRNYARKLAELQNSSEDGASTSLLSVIRQYMMEADYQRIEIARLKNSLDERDKEVERLTGFVKKYKRECHGLRERVAKYEQGEQETQMAMEKLVEQNMEDRKTIQSQKKAIRNVRGIIDNPSPSVASLRSRFDQENMGLQPTAPMQTPPPPYQTPGRQPVFRKRLEMTTSTTAVNSASSGANGQQGYVNPKYQRRSKSASRLLDHQPLHRVPTGTVLQSRTPGNAIRTTKPEVSSILHQLNKSGEYRLTHQEVDQEGNISTNIVKGDVIPTVSGGTAVFFHDIEKLTHESPATRK >CRE16858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:275286:278910:1 gene:WBGene00066074 transcript:CRE16858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16858 MSSLYLCRDCSGAFHSLDELQRHERDEHEMVMEADPDMDDDKIGDENDEMTMIKVKIEDSDSLSDTDSSHISMNPTTPSEKSSGDKSRYECEDCHEMFAVKRELATHMRIHSGEQPHSCTQCGKEFGTRQLLKKHWMWHTGERSHVCPHCNKAFFQKGHLTQHLMIHSGGRPHECPQCHKTFIFKFDLNRHMKIHQERGFSCLQCGRSFLKQVMLDEHHLKCKGKPSSPIRSLLTPTMKAGLENAFAVKQEQIVLSPETIAKMAQKLLIQQQENQRSAFKTLLVKQQENILNNNNNNETNILKNEDIKVGGFEIPAPTLPINLTCMLCKSQFNNQSSFTLHMYMQHFTNQNPHLSIDSTLLNLPHHHHSHPTISLGNEPTPLGSDSDPATDTSCASSPQKTSPLQLMESSCLEQSSVSPSSSSGASPQPTISESSTTSSCKDCTNSWQRVHDLEQQVLKKDEEFENYRQMTRQVSDFFYFFCILTFTCNLF >CRE16857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:262915:266040:1 gene:WBGene00066075 transcript:CRE16857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16857 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3MSC8] MPPTGDEHDDSGPVSERSPRHHIGFQPLAKKESPHSGRGGARMINVGTNCRRIGLFSLIEFYIKLLRILVLSPKFTVYQYAVTINYVFSRPGGGEVTIPISKSNRMDKEHEKDKNRCRSVYTKAMSSYVNLRKGGTLFYDGQGILWSLSELENENISLIITEGISKRPNFIRAEFKLNKILGSGELSSDDVWKSVHQCPGEADKSIHQAISTMIAEGPNSRSSVLEINNTTHYLMKGNNLPIHLEKFPEGEISSAVGVTKSIKTLEGFDGNPSLFMTNDIKVSLFHPNLCWPLIKVFSTFNGFHCRLSAENSVARRIFEHHKNCYVVLDYGEFKHLGVDGSVMKIKGFAASARNQEFQQDSGLMISVYDYFRSRHNIEIQYPDLFTVAAVSLNADRRVSYFPPEVLRLAPDQKVSKERMTKEEESRLIRMNALKPEQRMDIVDRIVEQVGLTNEVNPDVFRIEQPMIVPAIVLPAPHLNYSSNNSRHFVEPKKLTNWEIVFLNDETCWDVGDILMNEMFECGMQVEPPSFSHIRNKDVHSIFTNAMRTGKQLLFFVLSKQTAYHEFIKACEQRYDILTQEINLEKARTLARQARTRRNIVNKTNMKLGGLNYNIGSNFLNEENILVLGFSLSHTAYGESEVVSVGYAGNILDRAHKFCGGFYYTKRTKDIFGDVIYDVLKDSLKTARKNRAMKAEKVVIYFNGIAESQLATVNEVYTKKCLECFASLKASYNPELIVMAATKMHSTRLFDSFQGRVCNLMPGTIVDTAIVSPVYNEFYHVGANAIQGTTKPTKYTVIHSSKRVDMEYLEELTNSLCFDHQIIESSISLPVPLFIASDCSERGTADLKYCDESLFENGEFQKDKINDMFTYKYKKLKETRFNA >CRE16856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:259477:260716:1 gene:WBGene00066076 transcript:CRE16856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16856 MTFLETEMSRCIPKSFGKTPTPSFGLSNNSNNSNNSNNSNNSNNSNNSNNTNFESSTKSFKTKSFGPPAPFSGSPAPKRSIFKYSVTIKYTFTKRNGTQESIDFSKSIKNGSLLEHDKNRCKRVYKVAVKIYEERLQGGPFYYDCQSTLYSSTELSNENIHCVIFDGVSKRPNFVKAEFIFEKQNEPTEIPLNQFPQEIANSTPSEHFKTNTGTHYLLNRKPARNL >CRE21608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig593:8132:9029:-1 gene:WBGene00066077 transcript:CRE21608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21608 MAEVNEKDFICETSVDSVERKTSKCDTFLKVPSVPSEEEPIPSDPTPSTSLPPIPTITPSLCIDNQYFANYINEKPKMDDVDYLSRAFSSNIEQQNSKISEALGCLAVCNQITEKYQCTLDNSFQILVDDFSEKAFRLSSLTSQLHALRQTHHANLQVRKNEESQLEEIAWRIEREEKRIKKLDGKLWKFYENREGNRKGAKEKTQEDEEENR >CRE16854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:252344:253560:1 gene:WBGene00066078 transcript:CRE16854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16854 MLIDGVLGPAESGKFIVEHGSLVKINQKGVVKVAEQILEAAKDGSIKEALFLSPELHPKSGDKEAVQWVFLVDTINFSFWPDEGAHYDVSWNGKTYTGYFSACAAVNKAIAAKIPVLSAEWMKNVTEEEIDRIFKSDSGYSIPLLGERVKAINESGRVLLEKFDGEFYNCVMKSERSAQTLLKLIVENFTSFRDFAEFHNQKVSLLKRAQILVADVYGALQGHDDIADFKDISTITMFADYRVPQALAYLGALDYSQELLDQIGEGKRLDNGSAAEVELRGASIAVCDEIVDHMNKLRATDPRYTDVRVVTAMEVDVFVWGYRRIHAADVEKKIPFHRTRCIYY >CRE16853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:251190:251986:1 gene:WBGene00066080 transcript:CRE16853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16853 MNRLLPLLLIFSISGYLSAREIKVYNKCPFTTWAGILGKPEIPAGGGFRLNAGETKSVFMNDGWEGRFWPRTECDGNMNCATGSCGPREQCNGAGGEPPASLAEFKLRGDAGLDFYDVSLVDGYNIPPAKELAVASKTSMIFAQEILLSEEVSLRFRDILVFQLSDGRTVACKSGCLAYNTDQECCRGAFDTRKKCRPSETAKLFKKACPSAYSYAFDDPTSLFTCKDATYVVQFC >CRE16852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:239648:249524:1 gene:WBGene00066082 transcript:CRE16852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nca-1 description:CRE-NCA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSC2] MSERKKSLITTINNQRKYSQVAKAAVLSSAMLARKNSSSRGAPGSAAPFGARESIAAISDMLSTQHKKPVRSSYVESDRVEWALKIACTISMITVCLHTPRTIELFPPLTYIILAADFISVSVFMLDSVLRIHYEGIFRCDSSYLSNRWSQFSVFISIIHLLSFLLHCYQLIGKLHFSEISRILLFSDKFFPFLHLNYRVWYGVIRSIRPFIIIRLIPLIVKFKLPKNRIEQLLKRSSQQVKNVTLFFVFFMTLYAIFGIQLFGRMDYHCVQPKTDPNNVTIMDLAIPDTMCAPEGIGGYECPNPMVCMQLNLNAKGEGFYGMFNDFGASVFTVYLAASEEGWVYVLYDCMDSLPSYLAFLYFCTLIFFLAWLVKNVFIAVITETFAEIRVQFSEMWQKKEVTLDEGFRKKLEKTDDGWRLIRLDGEVEAEGPKQKLQWMLRSMYFQCFVIIFVVLNAIGNAMFVYRHDETDIPRKHNFYLFEVGFTILFNVECIIKILCYGFRNFIRRGIFKFELILCIGSSLNCVKFFYERNYFTYFQTFRLLRLIKASPILEDFVWKIFSPGKKLGGLVIFTIAFICCCSAISLQLFYSVPNLHHFRTFPQAFMSMFQIITQEGWTDFVVEVLRATDDNLVPFVALYFVAYHLFVTLIVLSLFVAVILDNLDMDEQLKKVKQLKAREATTSMRSTLPWRLRVFEKFPTRPQMAVMRKFVRCGLNFIKLQVFRADSDFPMPKVRGSFTHQFAVDHSLETTDVMETDFEFPKRLMRSAGKRKISKHGLTFRQIGSTSLRCSLNNLLEKSRSMTGKFLQTAVRNKQFNMYSENGDLSRPSDSAPKKNAKQGEIDIRALQQKRQLAEITRNRIEEDMRENHPFFDRPLFLVGRASQLREFCKKLVHSKYDSQDDGINGGGKTKKRFKEIRALIGIMPYIDWAMATVTILSCISMLFESPWPTTGENLVMNNAYLQIMDYFFVLAMTFELCVKIIANGLFFTPKAVVRDVGGVMNLFIYFTSVIFLAWMPKHVEINSLAQFLMICRAMRPLRIYTLVPHIRRVVLEFFRGFKEIVLVTILMIVVMFIFASFGVQIVGGKLAACNDPTISSRDNCTGVFWQKLFVTRLEVYGKDTEAMHPKIMVPRVWTNPRNFNFDHVGNAMLALFETLSFKGWNVIRDILWNRHGPWAVVFIHIYVFIGCMIGLTLFVGVVIANYTQNRGTALLTVDQRRWHDLKARLKMAQPLHVPPKPSESARLRTKLYDLTMSRWFNQAFALLVVLNSFTLVIPWNVEEEEQRATYVFTVTALAACMNMLFVVEIILKVIAYTFSGFWQSRRNRVDLLITVFGVIWIFLHFFVALPSSKIDVDVQVELKKFTYTFGYLVVILRFFTIASRNSTLKMLMLTVVMSMFRSFFIITALFLLVLFYAYTGVILFPMVKYGMAVSKHVNFRTASEALVVLFRCLTGEDWNDIMHDCMRSAPFCYWIEGMNYWETDCGNFYGAIIYFCSFYLIITYIVRNLLVAIIMENFSLFYSSEEDALLSYADIRNFQYVWNMVDQEQKRTIPVERVKFLLRLLKGRLEVNPETDRILFKHMCYEMERLHNGEEVSFHDVLYMLSYRSVDIRKSLQLEELLQREELEFIIEEEVAKQTIRTWLEGCLRKMRNPSQKDSEGVIPSGGHPVVHSSGHSSISHEETIAQRLRFESNRGDSVDTEETESSEEDTPPPIRKKAAVKNRRGSIPDVLSRTGLFQEAARKFMVGSSSEKKQVKSRSPETVQLLPKRACSEIRKGSGQPKNFHLQLNVYDLPDVEERGEDSPFSPKNLSDEFIGEHSPLVITPSLPVPSTQGSPRPLMPSETTKDIDKWWNSLVD >CRE16851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:225418:227511:1 gene:WBGene00066084 transcript:CRE16851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16851 MSDFFEEKCVPMRRGGAPSKPTPQAAAAPGLPPELRPKFPRFDEFAPVEQPQTPQTPQTPPPQTPVEVDAPMKTLEGDAADNVPVDADGQNQEVPSKDVETPDAQVKTHISIPIYLTFNLQKPKKKKKEKPKKVDDGTAVAVFVDDDEAKKDEGNNAMGLFVEDDEDEKKPKKKERKDRPRAGPTEKEKDSNRDKGKEGKDKDNAKAIKRQKQPKTESLVNIEKLSVPYHLLKLQRQKGKPTNQSNRQKKNQASMRKVNAAKAPNPAQQPPPAAKVAPKQAAPAAPLPAAGVAVAPAPPVKSAGQPGAVDPRAPKIVAPVAKDPNEGFLKAMYAKAKQKIAAMSKNPNYVPTSDTAMAEDTLVATDEAIIKPEITAVYGTPLDYPKGVLPAKTDKYHPDKLFPGGRPFWMNRSEKPPQAKVIMIGEVEARLKAKTIKFEPPIPRTEPFTPYCQDFQLLKRTDEQFAQIRKTIQEEADPYEETACLWNKRQENKSEEERFEFV >CRE16910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:223347:224916:-1 gene:WBGene00066085 transcript:CRE16910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16910 MKHASLLLLLGITLFSFSLCDAKYQVRVQRGKLIKHSTQKYGLRRFDRLVGAQHQHVADFRDFAYFGNITIGTPIASKSEQTFLVVLDTGSSNLWVPDNTCGVNDVNSACKHKNKYFGTDSSSYEKDGRPFSISYGTGSASGYFGKDTLCFADTTLCIKSQIFGQANSIAPFFANQEIDGILGLGFTDLAVNKAPPPFVNAVDQGLVEEPIFTVYLEHHGINKAASGGYFTYGGEDPDHCGEIITWIPLTKAAYWQFRMQGVGIDSSSENTAGWEVISDTGTSFIGGPGKVIQELARKYGATYDEFNDSYVLPCSKVKTLPSLKLKINDMDFEIDPINLVAHPDATECDLTLFDMYGGGFGPSWILGDPFIRQFCNIHDIKNKRLGLAHSKQTEDVTF >CRE16909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:211056:215875:-1 gene:WBGene00066086 transcript:CRE16909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tkr-2 MISCPIPTSLNEMDLRGAADKVLNGSLTNCTFQSFYEQMYSIHGVYFIFESTPFVHPIFSQIFYGILFTLTIFLALMGNFTVMWIILYHRQMRSVTNYYLFNLAVADASISVFNTGFSWSYNYYYVWKFGSFYCRINNLMGITPICASVFTMIVMSIERYYAIMHPLKRRPGRRSTVSIIIMIWFLAFLFGVPAFLASKVDIYYFYDGTTLYENPLCLADNYPGGNESLLGQIYNNGLITVQYILPLCILSAAYYRVGVELRKDKTVGDVRHAKSVAAKKKASIMLAVVVFIFMIVWFPYNAYYLTLHLVEPIGNKMLSLYIYINIYWLGMSSTVFNPIIYYFMNKRFRVGFHHAFRWLPFVRSDKDEYQSILSQTRPSLMPPTTMAHTDF >CRE16849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:204956:206573:1 gene:WBGene00066087 transcript:CRE16849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-24 description:CRE-UGT-24 protein [Source:UniProtKB/TrEMBL;Acc:E3MSB5] MSCIFFSEVLQQRDVLEQLKNEDFDLAITEVVDGCAYAIFEHIQVRAHITVLSCSRFDHVSDVIGQPIAPSYVPSTQSFFNDKMNIKERFLNAVTFYFGRFTFANILDKEFEMAKGILGIKRSWRETMPESSFIFSNHIPVLDFPAPTFDKIIPIGGFTVKMNEKVLKLDDKWDEILNRRKKNVLISFGSNSKSKDMPEEYKQSFLRVFKSMPDTTFIWKYEDPSENIAQGIENVYISSWLPQNELLADSRVTLFLTHGGLASVMELALMGKPSVMVPIFADQGRNAQMLKRHGGAAVLQKTDLADSDLVRRTIEEVLTDPRFLKNAETLSEMLKNQPTNAKEVLVKHVEFAARFGKLPSLDNHGRHQSFVVYYFLDIISLLISAIVLIVYVVVKLFKRMFIRDKSQKRKSE >CRE16908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:208175:209319:-1 gene:WBGene00066088 transcript:CRE16908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16908 MKPMAADKKFFFLAARREITNNGTDLVLVDPWTGERYLRSENELRNNYRIELGDFVHACVDPQKILYNISKTKHTGNIWTKVDGNEAFVENVLSKLNNVQGRLVFQNKLFGDSLCSGELPLGEYKIKISLLPEPVKLLTGRLVHFQATDPVAKQTNPIVGAGFAVAPKKDDKIVGAGFQVAQKKDDAVKMRAVVLSSVEKPIGTHFYLWNLDSKTEGLFVSKNHSLAQGHHFEGIFKKNPDGRWTCQKYENPIEGLLTGGINPNNKIYFTVKIDKYQPAKGNTKYGHATAKYIGDVLEGDSENTKLSAECNGKIVNIQRRGIGDKDFVWMVTQIL >CRE16907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:206616:207921:-1 gene:WBGene00066090 transcript:CRE16907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16907 MSTDSDKYFFAGYRGFYTDGATFFQIIGLGAINNQSFHVRESRLNERGIKLGDFLSATVPLGEPVKNFTRQNYKFKVNVDGNFASIEDQEAELEKNKEGILVFRTKTFGTVRSVSQTLEIAKYRITIRATKRSEEDLFNGMKYCADVKEKIGSSSAGSSSNSNVIVGSGYSMSNLSISGKRSMKAFVYNSIKKEDQNTYFLWICDNQEQSIFSSKTHKLGIGHFFEGIFEEKEKGKSKWQCTKYVKEIQMLMEGDVIGNKIELKVSIDRYEPGDGIGGRKPQVFVQYLGKIIDNQDKLPMNCVGRQIKTRMYKVGDDFKWVVTELL >CRE16904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:200113:201376:-1 gene:WBGene00066091 transcript:CRE16904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16904 MKFQSAELTRDQNGLLVFQTKAFGPVPSFNQYLSPGSYEIIIKASDSYPIRLIAEAKPILPPNLFNPVNTSSLNFYEISQSQLMKNQNFTQSGFKNLPVPSLDSNIPRPTSTMDSYYDYISLPPNTIPSFLPSDKNATNKGYEELQNCTSSKPETQEETLSELIAKLPTLDLNESKQPIPRPRKVFSSSNQTDAVQQQKPVMSVKPTKKVAFQLADSENEEIPETGKKMKAFVHSYQDKFEKRLHFIWVCDVMETSIFVSPNHRLEIGHFFEGIFQKQPSGKLECIEYKNPIPAMLRGSIVYGKIELKAKITNYQSSETLKYPQVYVEHLGSVVSSLDNKFNKLR >CRE16903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:196973:198528:-1 gene:WBGene00066092 transcript:CRE16903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16903 MAADQQRILFCSRRWSPIEGKVNINLINTKTGERYDVEEHNLQKDHFQLGDFLNWTDKLDKINYFPVEIDGNIAYVKNVRAKLLLNNGSLVFRTEEFGNVFCPTYLPTGDYRIKIHSLITPKTLSSGEEVHFEATDKVLISNTPSTQTTFAPIAPALAQVSAQRVGPKSPVTAASPTPSGGSSVGSRASTPAGNNDIVKGAGFPKQNPKWKQNKKTVVGNGFPVKKEDNKVVVGEGFAVPKQEEKVVVGAGFEVKPQKTMKLRAVVLSINENKNGSNTHYLWILDRHAEGRFVSKDYKLLQGHFFEGIFKENTANRWNCEKYERQIEKLSGIDGGIDYEQKIWFTVTINNFQPAGGNRRFGNASAKYFGEIASYYYQKIQYNNSFAFRLRRKGVGEKDYVWMVVEKL >CRE16902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:195027:196267:-1 gene:WBGene00066093 transcript:CRE16902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16902 MKIKGPSFDVMLEECFDASTLEDYEILREEYHILANSYWIMLGSLTCKSKCCVISNHPFIFQACANPGCPTGGIWSEWTTTDRCPTTCGSCSKAFHTRRCLTAEIECPCIGNDTRYYPCNTLSCVYPAQRTCCIPYVPMIINGSMTCGPLPKDPVVTSCCPAGGLWSNWGGYVRNSENTAFERTRRCLSEEAGCNCTTGNSVNTNKLCPCASFVDTYDTYFKNGTDILYTTSYNRELNLTTCIFWSLLYKTSDACSNWEPYTSTNVIRYWKKDAINYTEYRMADCNDPANQYFRAYCDFKTGYYRFYNTDDEVLGWKQVRILPPG >CRE16847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:188803:191231:1 gene:WBGene00066095 transcript:CRE16847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16847 description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:E3MSA7] MSAPLIDKYRKMATFDWRKLKAAVEGEEHVRLKAEVVAKMKSEPVFQRDFRVLSREEHREVVHQRWKKIVEWGLFKDPYSDLENFHALTETLEAYDQGTSARLFLHGNVFGAAVKSMGTERHKELVQQIENNEIVGAFCLTEIGHGSNTAEIQTTATFDNGQLVFNTPDVGAIKCWAGNVCKSFLRRFRYTFCLISAHSATHVVVYAQLHVGGKNEGFHGFVIQVRCPKTFQTLPGITIGDMGSKPGCWQGVENGWIEFKNHRAPLSALLNKGCDITPDGKYVTSFKSASEKQSVSLGTLSVGRKQIIIFRLGIIAKGMMACTFASTIAIRYSVARRQFGPEKGAENEIPVLEYPLQQYRLFPYLSAAICIRIFQKTFVEKFTEYMMRVMMGEKSEELSEFSKEVHALSSGAKPVATWLGVESLGEARKACGGHGFLHMSRLNTLRDDNDPSQTFEGENFMILQQTSNILLGKVSSIGTINTPMSTMSFLNSNPSKFSSWSSNPVDDVLSAYRYLTYHLLQTTSSEAKKLKGAGKNSFEVRNEIQVHRAVNLSVAYTEHTMIDWVQQFVEEVEDVTVKRVLQKVLNLFSLFLLERHLATLYITGYASGGKFGEDLREKLRHAVAELKPESMALVDSIAPDDFILHSALGASDGRAYDHIMEEFRKYTNEQPKWVCDLAQFLQKRSQTSKL >CRE16846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:186574:187982:1 gene:WBGene00066096 transcript:CRE16846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-16 description:CRE-NLP-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MSA6] MNFRNLILLFCVSILFVNSFPIGKDNESEETETETESSLETTTEAENEGTDSQEVSCNLLKCHPSVRMLNFQGTLEDQLNEVEEDPSTRKKRGLYSSERTEEEIEISHGVHHREKRHAEHLPHPDHPTPVKRSSDHHRIKRSEGHPHVKRSSPHTPEGHVAVMAKDDHHGHEKRNSEDHHGHQKRSADDHHGHEKRSADDHHGHQKRSEHVEHHLEMHDHQKRNTPEGHGEHHLVKRSGSEGGHRHHRSTDQGHDEDEPEDEIQTDETEETTEESETRKRRNTDTPLPTFPSDHDASEHSNSVAIRVKRVSRAGSSHKVRTLNKNRGNSKAGETTQNDSLSPNSGGVFNS >CRE16845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:184401:185637:1 gene:WBGene00066097 transcript:CRE16845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16845 MDNPPAWPLYFYYVMSVPSLPLYIIVLICLLRLRCYSKTYKTTFYTILLQHCIADIIAMIVFITIWGIRMVPGLKEFYFRYQEYYIAAWTYNSIYFFLYIRCAGIVFLSIHRYLVISVPHHSITIKVQEASTWQIVIVYWVVPTLISIVVLKDTDFHYDALETMEVVAPRPVITRNTLMALIIVAMTCLVCVVSYLALWIFLRKHTAGISKSLQREKHLAFQVLALLCAFFVMFAYYILQNYFSQTQNTGPIYTMRALYPIANGILSYINPFCILLLNRDFSRQFLRTLKCESVRISEIQVSTMNSHSVQRKLF >CRE16842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:178724:180311:1 gene:WBGene00066098 transcript:CRE16842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-32 description:CRE-SRV-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MSA2] MSDSLVPPTWPLMVFYGMSIVSLPLYFLVFACILRLRCVSTTYNTTFYSILQQHCIADLLAMIVFFVAVDAREYSFLKQYYFQYQQYYVAAGNPSYNNIYYFLYIRCTGIIFLSLQRYLIITASTSYITHKVQNASNFQIITVYWTVPTLISIVVLKDYNISYANLETMAMMADQEIIKRNTLMALIVVSLTCVTCSLLYGSLFYYIRKHTVGLSRSLRREVHLAFQVFVLLLAFFAILVYYGFQNYFSQTQNMWYFENFVYFQTGPIFYMRALYPMANGLLSYINPFCILFLNKDLAFQVARSITCNKLKVSEVHISVVGSNSTKHNDGHRRGVHFGPTKTDESTIVNHNHLLL >CRE16841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:176879:178253:1 gene:WBGene00066099 transcript:CRE16841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16841 MSDQPALVPPKWPMLVYYGMSIVSLPLYFLVFVSFLRLRCVSKTYNSTFYSILLQHCIADLLAMIIFFLTNPMRVLPYIREFFFNYQQYYITAASYNSVYYFLYIRCTGIVFLSLQRYYVICCPTYKLTYKVQSASNLRIILIYWLTPTIISIVVLKDTDFSYDSFERMAIIADQDVIQRNTLMALIVVSLTCVICSFAYGALFYYIRKHTAGLSKFVYLFLLLVTKHLFRSLRREIHLAVQVFVLLIAFFAVLAYYGFQNYFSQTHNTGPIFYMRALYPVANGLLSYINPFCILFLNKDLARQVYQSATCRKYKVSDVPISGVITTSTKHPLRTDGIHEHNSPQVVF >CRE21679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1632:2663:6751:1 gene:WBGene00066100 transcript:CRE21679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21679 MNDTSELVPPKWPLKAFYGMTIISFPLYFLVFICLLRLRCVSKAYNTTFYSILLQHCIADLLTMFLFFFTNSVRTVPGIREFYFYYQSYYLAASSYNHIYYFLYIRCTGIVFLSLQRYLVITCPNSYVTQKVQQASKLQIILVYWIVPTLISIVVLTDTNFQYDKLETMAIIAEQSVIKRNTLMALIVVGLTCVCCSLAYGALFYYIRKHTAGLSKSLRREVHLAFQVFVLLLAFFAILAYYAFQNYFSQFSNTGQIFYMRALYPVANGLLSYINPYCILILNRELARQVFRCVTCHKYKVSEQSPVQVSGIASHSTKQQNSVSSRKDGSRKKENSTCLVFQMSNSSSPPSDLVPQKWPMRVFYVMSIVSLPLYFMVFGCLLRLRCVSRSYNTTFYSILLQHCIVDLIAMSFSILNATSKNIPVIREFIFEYQDYYLAAGSYNSVYYFLYIRCTGIVFLSLQRYLIITSPTSLLTQKIQFASKLQIISVYWSVPTLLSLVVLKDTNFEYDSLERMSIITDQEIIQRNTLMALIVVSLTCVLCSSAYGALFYYIRKHTAGLSRSLRREVHLAFQVFVLLLAFFVILVYYAFQNYFSQTHNTGPIFYMRALYPIANGLLSYINPFCILFLNKDLARQVIRTVTCHKYKVSEAQISAIASTSTKHHSFHRKVVHMGTNRTIELDRKESNQVMF >CRE16836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:164578:166111:1 gene:WBGene00066101 transcript:CRE16836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16836 MGESWSRNDFIFLNHHLVTFSIFLSSKITLSPVSISTFLSFRFLHFSNILPFQMSTSSTSFIPPTWPATVFYITSIVTLPLYFFVFICLLRLRQISKTYNTTFYTILLQHCIADLFAMTFYFLIIVARSISFVRQFYYEYQDYYIAAAAYNHIYYTLYIRCTGIILLSFQRYLVITHPNSHFTDRIQAAPKMYILGLYWGLPTIISLVVLKDTNFKYDSLETMAVVAEQEVIQRNTLMALVVVSTTCVLSSVAYGALFVFIRKHSFRISKSLRREVSLALQVFILLLAFFGILVYYSFQNYFSQTHNTGPIYYMRGIYPMANGFLSYINPFCILFLNKDLTKQVIRSVSCKKLKMSDAQVSGIALNSTKEQRKDLNQVTF >CRE16900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:161835:163291:-1 gene:WBGene00066102 transcript:CRE16900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16900 description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:E3MS95] MREIRRYLFWIGYDGSKFPEMAKGGTGFGVMDLLNQTVSTSLFGFRNERKPEQHECLKFSPSSRTDAKVHAIRNSVICQIPLEYSELDKTPEIKADYMKKWKSTIDAANPGSLVIRDVHSVSAGFCIRRSVSYRKYTYRLAVCRSWELWESIRQEPSIACFSERDYAWRLPPGFSPERLLTAGRRFEGEQVMGSFFKHTNREKRFEPITPSALKYILHVGLSNGEAYSINNDIYDYYNVTIVAKSFVREQIRRMMSCLVNYSYDRIPLATVDWLLNNPISSNFFDMGIPIAPPQGLFLTDVVYDPNMFTKPVPYYLHSWDYE >CRE16899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:159911:161007:-1 gene:WBGene00066103 transcript:CRE16899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqd-1 description:CRE-SQD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MS94] MEATDNKINGNASETIKENGHSTKGNEDKKIFVGGISPEVNNEDLSSHFTQYGEVSQAQVKYDRTNGRSRGFAFVEFTTGEGCKLALAAREQTIKGKSVSINFFLISKLKKNRLFKCFKFVFKVEVKPAKSRENKKVFVGGLPSDYSEQELRSHFEQFGKVDDIEWPFDKQTKTRRNFAFIVFEEEESADKASSQTKQTFGTRECDVKKAVPQGKRFPGAQGRIPGGRGMYGGRGGNNNAGWYAGWGQIGAMPYGAAATAGWGDWYGNNFYQQQAGGHHNNSGSSQGYGSGYQSFSGNNSGFDYQQGQGARQNSNGQPRFQQQPQQQAAQAQQF >CRE16898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:155816:158845:-1 gene:WBGene00066104 transcript:CRE16898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsm-8 description:CRE-LSM-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MS93] MTSTLESYMNRMVNVVTGDGRIIVGLLKGFDQLINLVIEDAHERSYSETEGVLTTPLGLYIIRGENVAIIGEIDEELDKRVDLENVKAAPLAPIWIPQ >CRE16896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:150005:150856:-1 gene:WBGene00066105 transcript:CRE16896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16896 MLNFCHNPLILFSYFQKMAEINERASTSSPPVPLTPAPVPHIRQLADNMTDKVGQFFQHQIEGSIEEYKLLETMNNTTAQRYVDMKVVAEKLAGKLDNLNQKYDTLRPYLTQIDAMDESTRRLEEATAVLENYVSQLESKLTTIQQQNQ >CRE16835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:149275:149740:1 gene:WBGene00066106 transcript:CRE16835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16835 MQIVRIQTNIRSADIPEKLEQDVSYGLSIAMDMPSDKFVVILEPAVRIRVGFENKEVAVAVVNFQTTRPSSRTENDAYAKKLTSILSDQLKLDSTRIFISFDFKDAKSFAVQGKTIASLYE >CRE16895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:145511:147700:-1 gene:WBGene00066107 transcript:CRE16895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16895 MTTTSTLIHRSTLPILRRRHRSVDVLTIDRPFFSSSNTQGGASTPPGASVTPSAGAAAVTTSYGYISDMFKSFVSKVENPLNYLSVGTSKAIGKEAIKVESKTQIIQKSNENRVSRSEVTAKTRALIKRILVSETSTSRLTRVRDLSEHIMSFPPTRIIAAQDQTLIAELLEMVIYGTSDQLKEEARQCLTLIGVQPAPKGRGVNVLSIDGGGTRGMMGLEVLEKIEKLSGKRICELFDMIVGVSTGSIIAALLTCKGYTVAECREAYMDVSKKLFTQGKFQGGIGLILQHSYYNTNLWVSILKKMIGEEVTMINTSKKLHTPRLAIVSSIVNLPTIQPYIFRNYDHPAGRDSHYRGGSEHCLWKAIQASAAAPLYFSEVKLDNLLLQDGGVYANNPTAIAYHETKLLWPNEKINCVVSVGNGRTVTSVEPTPTITSTSFQDKLLRIIDSATDTEGVHMNVHDMLPDSVYYRFNPYMTYAYGLDEIDQERLEQMASDAEFYVRRNSSKLESAAQRLCLRPNIQQCVHRNIKEWLDLKGFYKPA >CRE16834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:144117:145083:1 gene:WBGene00066108 transcript:CRE16834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16834 MDEKQRLHAYRFVAYSAVTFSVVAVFSLCITLPLVYNYVDGIKTQINHEIKFCKHSARDIFAEVNHIRSSPKNSSRFARQAGYGADEGVDQGNQGAQGGSCSGCCLPGSAGPAGTPGKPGRPGRPGAAGLPGNPGRPPAQPCEPITPPPCKPCPQGPAGAPGAPGPQGDAGAPGAPGQGSGAGAPGPAGPKGAPGAPGNPGQAGAPGQPGADAQSESTPGQPGQAGPQGPPGPAGSPGAPGGPGQPGAPGPKGPSGAPGQPGADGNPGAPGQPGQSGGAGEKGICPKYCAIDGGVFFEDGTRRK >CRE16894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:141480:142388:-1 gene:WBGene00066109 transcript:CRE16894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16894 MRSGGRRSRGRRRNEIQRILQVPRRCQLFTILTFLFVMIQPTSSLTCLTCMYTSSTTQLDNFRVSTRLSRPQCSMEPIKCDRDQDVCVTITMHVGGGDYWMGAGCDRRVNFQHMSCQNVRTMSRNVQLGYVQERRAMQRVCVCARDLCNHQSKPFHFSVILIFILLFSLLFQS >CRE16833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:133451:139658:1 gene:WBGene00066110 transcript:CRE16833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smg-3 description:CRE-SMG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MS86] MNHTVEKSWLEELKKRVEFQEKSRLKMIEIRENVLDNEKELRSLDSTLKKTTSFMKKVKLLSAATVPQLIEELSKLNLSKFVEEMASGIIETKLKLSDIPKVTELCLAVSAKYPNFSEQMAGEFKKVLPVKKSDKITNVAKLRVDIIFLAELCLCGVFNEREGLQVLGAVLSYLIQTDKVEFVNYGLLATVSRAVGYQVANIVPIPMGDDAETISVKEDELPTSSALSNDQKKTIRELFKSYYESLYSKTEKVCSARNKAMKRVKRQERSRGDAADEEKTKFNELQAELDTLRKMVNLSKAVELGRAVGIEMKPLKEEASDDEEDEAANLEMGRRLAEGAIKLWNDEETKAFYEDLIDLRQMVPKDLYKDSEQRTLSKAKMAERIEDIDVENINEAGAVDAKRTSMPRMDSEKETTPEDTHLQMLLKEAADSSEERGVNKWQKFVLDLDHLVSKYSTDKAAEYFVSNLNNKGCRKRLVKLMIDPPPTRIDVVPFYARLIATLENVMPDLTTEIVTHLLEKFRGFLQQKQSSAAVAIKVESKMVCVMMIAELMKFGVVSRAEGLSCLRQLVYDLRGHSVEMTATFMESGGLYLYRHTESHAKMKRLLEVVKAKRDRMKDQRQAMLIDNAYFTCLPPEDSKEERLRLKLDEEDTPMKRFIRHIVLDINESNVDIFLKCIRRLDWSNPEISDYAIRYLSSTWLLPIENLQHVASAIAGLCNLPHLQWIGMAVIDSTIETIRISLENPGIFNQWAHSAAVYLAELYSFELCDEDLILKILYQLISYPEPENSWKDLHRIRMVCAVLEIVREFFSKGQARLKMRFFLSYFHRYYYTKKDAWDQEALEQLSNPEGTENGAMPDVQTSFPYEVEIAYTEMCRQFRKKKANSLRWPKNLKEAQDAVAKIEKKFKGELKDIVGEHSDLEDGDLMGQKDLNVIEEDDEDEDDENRDSELEDEDSEEDRKRTFSMNNAVTKEEDEDFQRELDRMMGEGFRQSVAPVVSSQYDVTLPAAAKNRFSRNIKFAEDTKVVAARSPEYNDDDDDDDQPSSSNMAASGRQKQSRVTLMVRGKANKPALKTVNIDDDELQRRWKEEKAREEEERADMKRLTLGQHMRIEMEEEKALLAQLHSSRKGNARKNPSRPSFPKPHLEGEW >CRE14401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1062:8087:9044:1 gene:WBGene00066112 transcript:CRE14401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14401 MSEETTQPSAEAPQAATISLNVEVVKVKVEAEKKEEEAVPVKEEAQEETSATVETNNSSPVPNKISSGPPLKGQYVRLRGLPFNATEKDIQEFFSGLGVKRVKFVCTTGRPNGEAYVEFKTQDDAGKAMENDRKEMSNRYIESEFLIGKQENNSNILVFSVTDVEGEFEFRPDPDGNGEENHVVRLRGIPWSCKEEDINQFFDGLEPLPAEIVIGGTGGPRSRPSGEAFVRFATQAAAEAAMEYNNRHMGTRWVSLVMASHHCC >CRE14402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1062:6579:7518:-1 gene:WBGene00066113 transcript:CRE14402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14402 MEVETRVKAYRFVAYAAVTFSVVAVLSVCITLPMVYNYVSHVKKSIHHEIRYCKGSAKDILTEVSSIRSNRTARQASYGDSGVAGSAAGASGGSCSGCCLPGPAGPVGNPGKPGRPGKPGVAGLPGNPGRPPQAPCEPITPPPCKPCPQGPPGTQGPPGPPGDAGLDGQPGGPGQDGQPGQPGPKGPPGVNGQPGAPGQDGNPGQDAPSEPLIPGEPGAPGEPGPQGPPGQAGTPGQNGQPGQPGPKGPPGQPGQPGSDGNPGPAGQAGEAGSAGEKGICPKYCAIDGGIFFEDGTRR >CRE16830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:121938:124846:1 gene:WBGene00066114 transcript:CRE16830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16830 MASVAAWLPFARAAAIGWVPISRQPMPQAPVAIQAKDLAVDHVSDEKLAINISGRRFETWKNTLEKFPETLLGSNEKEFFYDEDTGEYFFDRDPDIFRHILTFYRTGKLHYPRHECLVAYDEELSFFGIMPDLISDCCYEDYKDKKRENQERLMEERVETAEANTAKQSLQQKMWAAFENPHTSSIALVFYYVTGFFIAVSVLCNIVETIPCWYQDNMAVTCGEAYEEQFFVMDTACVIIFTIEYFLRLFAAPDRCKFMRSIMSVIDVIAIMPYYVSLGLTDNKDVSGLFVTLRVFRVFRIFKFSRHSQGLRILGYTLKSCASELGFLVFSLAMAIIIFATIMYYAEKKVESTRFTSIPSAFWYTIVTLTTLGYGDMVPSTIMGKIVGGICSLSGVLVIALPVPVIVSNFSRIYHQNQRADKRRAQKKARLARIRIVKNASGQALFNKKKAHEARMQAFEQGQLSFDALRDEDIFEIQHHHLLQCLEKATEREFVESEVLFEGGRNTPPPSETASLRGKTKRKRRLCCVSKENEEMDELDRETRVTFNQNLNQICELPKNEDVEHNQNDHRSSDKICVSQL >CRE16829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:109919:113081:1 gene:WBGene00066115 transcript:CRE16829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16829 MMLFSVLYLLLAFSVTNGYLEDSWAMFSSERPAGPKCVDIPSNLTICNGIEYTQMRLPNILEHETVSEAIHASKDWESLLRLNCHPDTQRFLCSLFAPVCLMQMDRLILPCKSLCMAVKQGCENRMANYGFPWPEMLSCDKFEDDDMCIKPMQEAKPPAGSTTTCTACSQVATYENLVDQFCRSNLVLKGKVIRDSPNQIRVRNGRSLKKGERRRGISDVEIRLSAESDGGCPCNLPAKGQNEKLLVMASRQSDGKYLANLVLPWQKEKNFKRAIHQFQRLNCQSLGREIRESASRRPHYYEMRRHNTGRYQMF >CRE16828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:108114:109236:1 gene:WBGene00066116 transcript:CRE16828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16828 MPAPQPIWQHLPVHFKEDVVSKLDYNSRCKLRICSKKDKNLVDSRPVILDYLKYRITEVTFHALEIPQKPAEVTMIDGDEETNRYLYENDAIDHLLLVFSNQKLIVNSFVFNVWGHDRTGHQFKLFKKLLTKIKERNLKLKVRNAIISTTFRHKDQYIEFVKNLDVGSLISMKLRLSTRCQLTQLSRTEQWKKVKELEFETRDQMDPKWVSHVEKLDAWVKSLNAVAISAMIQVSFYSVFFLKTCLIQNFISKQFPRGSYFSITTMSPINNSRGSTLTNILKKFPIKAKNDAIKFRTITRSPIKSHFHSPLHTQKIEMTDQNNVFLIILCETNFSGIVCGVNSFKDDLKKFILEIE >CRE16891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:104711:105893:-1 gene:WBGene00066117 transcript:CRE16891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-13 description:CRE-SRV-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MS78] MALLPTIITNSIEIFQFILVTITVPLYLFLLFFMVKAQILKMDELVTPFFKLCISTAIIDLSILFANYFGAMFPKMGYFTSFYLNLDYIYAHIYLYIAWSTGICQAMSVSVLATNRLSAMILPQSYKKMWQSQRLWIAIAIQFIPGMLVGILTFFNKTQLVVNNENGLIPQFMEFVLYSLNYQLKINHFSKAMTTIFFSIGGFFLLSNCIYLIVAYCYLFIVLHKRNTKLNGFSGNLSLSKEKIRKRERRLFIMCSIIVSVQMTILVFFMVKVGKLFELSVDEFYLLYNLLSDLFASINPYLLFIFSDSLRKYILFQVGIRNNMSINNTAVITVQSIISIWSI >CRE16827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:100973:103116:1 gene:WBGene00066118 transcript:CRE16827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16827 MKSSSVLSAALIVLVIVQLISASVASVPSSAVVDGQIDYDALAAKIEMLRPNRYWKRAHNIDTRALNQFKNCYFSPIQCVLMERRRK >CRE16890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:96914:99007:-1 gene:WBGene00066119 transcript:CRE16890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exos-2 description:CRE-EXOS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MS76] MSIEISGPPLVSPWMMLTMSDARNDIDKDKIVIPGQAVCDAPQQFMRGHGTYIRDGEIVSSLSGVVQQLNRLLMVKTIKQRYAGEVGDVVVARVVEVQAKRWKCDVSSRLHANLPLGSVLLPGGDFRRKDVEDEEKMSEFLKNGELICAEVQQVQHDGTLMLHTRNNKYGKLQQGILIKVPPHLIKKSKKHFHTLPYGMAVIIGCNGNVWVTPALPETTVEEDGSHVHEFQIVPQDIRLVMIRVAACVRLLRDYSISIFLNSLTTCYEMSQPYDIKELSEQETSSRLAYLITARLLQELQQQK >CRE16826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:95270:96649:1 gene:WBGene00066121 transcript:CRE16826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16826 MNLLVFFALVITASAEHLLPKKSVAIDKNSVLVLFGTRHGNRHPEVFLQENPRSWGHEGNTELTSFGKRQGLGLGKELRSFVGNLISRNYNSSQVKYYSSSANRCQMTLQTVTAALHDPEQWGDWDKKWYDHWSPVPYAIDDPLLRMYAVKECKGNDKVWGPIDKDSLPTLKKLKTSNVAELKYFAENTKWNMENLGKAADLADNLIEIDFYKAEYPSWIKSPKLKGYTFEKLKAKILEFAEVHQNACAEYGPCGNLMAGYWLQNVLEKLADANSGKGPQVIGYASHTEITLSVMKLMGYEKDELTTSAGFVVEFKRLPKPAVRLLNHDPNPVDDHVIYPAELTPKLKKLADKDGFIPLDQFNSYAKQFAFSDWKAQCDKA >CRE16825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:91870:93689:1 gene:WBGene00066122 transcript:CRE16825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16825 MKIWNLISFLFWSSIATANELQLPLVSTTISPNTEFVIFGTRHGNRNPDEFLSGIDRSWGQEGSLELTSIGKRQSYGLGTELRKFIGNLTTNNFNVSEVKYYSSSANRCQMTLQVAIAGLHPPQAWNDWNTQKFDDWSPIPYTISDPILRMYSVKSCKKSVEVWAPIDNDDLPELENLKNDNAQVLQYLSQETGWNMTGNLGKAADLADNLIQMDFYNTTYPVWLTQPTLDGYDGNELKKTIMEFAEIHPRSCAYYYPCRYLMGGLWLDDIINKLNDANSTKNALKVIGYASHTEITLAVMKLMGIEKEEVTTSAGFVIELRRRPNAAIRILNHDPNPIDAHVIYPANLTKELSDVQESDGFIRLSDFIRIVRPESYSDWPKQCDAPSCALDNPNQDFSSSASSPSGLTVVVLTLLAFFTR >CRE16889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:86047:87346:-1 gene:WBGene00066123 transcript:CRE16889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dlc-5 description:CRE-DLC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MS73] MDRESVLRLEAPRRELISRARSFSLATSKNRCKKMDNEKALRFELECRRTGKCREIVADVQHSRMPRHMEQEACSLAAKSIMTYHLEHDIARHLKLAFDKEYGPDWHCICGKHFGSFVTFEPDSFIYFRIGTIAFMLFKTSLQRLPIMEKHLENVKLTPKTILGRSRLMTPKSEDDEESTSASDVS >CRE16824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:83711:84882:1 gene:WBGene00066124 transcript:CRE16824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16824 MWNQRIYDYKFKPQKSYKKPVLTFPTPSLYAFASRKKVVPVDENVKWKFINDWVMSTEYSTGCPSTWICRPDEIQLLYNDPADYQDDQRNREIEQIFTDSFEYFEEELPDYDENMEEDDSWITQEIPRWATNSEPCVAQEIPSLLTEPEPMPREFMSTDRKLAIMHLQAKNDKPILPPNIEPVQHIRSEAGLRANGDSFFISQTDVQNKAVDVEYDINNSNDIEEFISESEVLHYSKYVSYFLFHVFPIIESHRMVISFYPVIYEGPDNNDPYCLDKRNDDDDW >CRE16888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:82113:83298:-1 gene:WBGene00066125 transcript:CRE16888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16888 MAPLEAAYNESINKSLDVVTDSKWVKMFPQCVADADKSSNFMTRALYVGFSAILSKRGVLGPEFFSKNHITEKLKCMSLCFKNSKALQISQLLKNAGDAIKKGYLKEISLVITENEGDVDAIEVYSFKFHYFKNGGVAAQLSTKVKTDEPSPFEKLTELDYQGTETVRNQLVMMTRSISHICEKVLEPLPNEFDANFRIDYTDEAPLNYRIEGFFDSSTFYTLPNDIQSATLGHLRPGYHASLLDVSSICIPDTYAAELSLKRHAEKTAEKLGYTADGILYKSFSFDGNASNTTSDAVRGNDSTMENLANSLASSTTLTPTVVKKNGKKSDHSSSARVAPYSKGRSRK >CRE16887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:79364:80850:-1 gene:WBGene00066126 transcript:CRE16887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16887 MFYFRMTGGSTYSSTSVSPTDLEHNESRKASSNGQLDDVAFRRKTLDSENIAQKKSTPVVIFINAIIIGLVAVTWCLSTQFSKTALNFDKKNFNAPYFMMWFNTNLMLLCFPVYVFVDKLRSRREIGEIVGETFRTFGKKKGFSVRNLFVYVTPFVVFWVGANYPYVRALLLITPSVATSISACNAAFVYILAIILLGDKVNIFKVFSVVLAIGGVVVISLDNEMRIEWLGILFAFISAFMAAVYKVSFKRIIGNASLGDVSLFMTCLGFLNLTINWVPALILALTGVETLHFAYAPWGPMIGAALLSMAFNFTINFGIALLNPLVVSVGMLCGIPLNTVIDILFRGLEATPLFIIGTCLIILSFLLIIIPYDKLNLNGNCTSCSKNDKTSATVCEKL >CRE16886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:67036:68002:-1 gene:WBGene00066128 transcript:CRE16886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16886 MDKPFKLFSLPKLALDYVLKFLNPIELFELSQCSKKATDYVKQANTKNFRIQVNLSPDYVDVNGYVFYVGSNAHELRTFRQEDRIFSGKKAKTSKTVPQQWFENRGFMTKDINAWMELYNCRKDSLISVFDDQSVGIRRVVSHLKELFKRNIYSLTIPSDPNSKKDFDMIIDGQSEIEELVISRRSMTVENLTALFDKLKVTESLELCEDFSAVRNFPFTVKSIRIFVSSWITSNHLNLMKDCVVIQLKGSTLTNQDVKSFLDKWKSGDYPNLQYLYIRSDNLSEDFTVFGLPTLHNFSGSPFEKQ >CRE16822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:63593:65427:1 gene:WBGene00066129 transcript:CRE16822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16822 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MS67] MAAPLSDLLYFHGTVDTRECRELLPDLGDFLIRNFVRELDPTTSPTSIHCLLTVAVTPDQASTKSKVIPEDYSFKENCTTRLRTYAILTSDNNVFNVQGGSEKFETVQSLCESLINSKQALPNGGILVKGVTRKPWQLLSNSIEYPNPEVLLGKGAYGKVIKAKLVREGKETISVAVKCSTEEGAHVFSDMYAEARAMRHLNHPNIIRIEGVVIEKLPILLAIEFMEGSSLLSALQKNKVSNTMRFPVVVGILYGLLYMHTHNYIHRDIAARNVMVSNDCRIVKIIDFGLAKHGLKFTLGATQKIPAKWLAPEVCKTWTFSTKSDTWAFGVCIWEIYHNGAEPVYTVRKLPPKAAAATKTCKRKKAAVPASVKREKSKENAAQHLKITENVDYLPKLFEPMFDRMFSMKTRDRIELAAMADEVEKKILPALPKMVADEVRVHVEKRPPFDPKFRVQVMSSSDESRVSTPKSATARTSNTATAKRNSASDNSSRNGASPNEKPEEKGAEKKVDKKVEKQKTARRKPKKEKE >CRE16819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:53952:55473:1 gene:WBGene00066131 transcript:CRE16819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16819 MPRYFVLLLTLATSNVFCIFSDSYVDMRFLLETEQECVFFEVHQPHSQMDIAVSALKSEYPLSVELINPSGSSAFKTPNSGRHYFKYPKVDGSFHEIGDFQLCISSRQIRQPVQVSLIIVIHEKNANNIDVASNLKRIKHNSDFDNARMTLKNFEQITLNIDVQLKIMKTEQAKRAFVEKIDRQHIETAFEMINFWHIMRVFLVLFIAGFQVHAIRTLLSPK >CRE16818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:52323:52890:1 gene:WBGene00066132 transcript:CRE16818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16818 MASSSASWKDQDPYSAPGPLGARYDDHPLHLDIQLVVPGIRPKSFYRAANTQVNIKSDPFSMKCVVEIVKVDKKKTPPEKSIIDRRFYEIQRFPAEVEDISWKLKKDCCHLTIKKKVAQSWENQMSQFGMT >CRE09949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2978:39:3336:-1 gene:WBGene00066133 transcript:CRE09949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09949 MILRLLVTFLWLILISQSKLDSCEQWIKQLGDLDFFTEAQIRMVCMHQKEWVKDRDEEAGRKFLEVTTDNQLKYLRHVEQCTRENCVRDARRKKRAPTKSIRKEIRMMSPSELRDLGIAMNGLKNRQIDNITAWDLHTLVHYPDSAPGAHWGPAFLPWHREFLRQFEIALQTEVPSVTLPYWDSTLDQVRVFSLEFLRMRRVLGCLRGR >CRE20895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:463496:466650:-1 gene:WBGene00066135 transcript:CRE20895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20895 MNYLCLKTVLHHMDAGKRIVLQKQSPRIQRIDKEQSAKIGTLQLPPGVLQIDSLTLRLGIVRYYPGGQTPDWVREENENGGVEFDVGDFRGLAQAQIIPRRELDRAPNEEEIEEKEAAEQRLQEMADGSEETDEEEKLELQKIIEAFNYRVWISQLKYEEYVRLTVTSRDGLFTIEHVKYVMSLEDTWKYLIKKLIKPTVLVENYLMTSGTEPEIWPFGPTMRVANLRAEKRFWNSRDMLLLRDALSLESNFPLKSLETRPRRSAGELNDHLALKFIINEAPNKNLIIKLKCPNVHFKDYTFDSIPFKIIFGILRKDPSKQYTFETSSPRCLEDLIQYCQTDRRLPEEVIVSGYKSQRYPIHFGFPLQGVIDLIGSVHRRKVDGVFHFIINIECQ >CRE20859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:461216:462244:1 gene:WBGene00066136 transcript:CRE20859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20859 MDVPGYHPTTTYLFYKQPPSVNKKETDLLICSKCLETFASEYKYFKHILECTEYYRDKMSDYAYYSTNAYLLAQYKLSQLCGAFTNKKFTRCTLCKKSFKNEEELQVHVKTCKKLTDEWKKFELEIDDWAKIFTIYQERVYNASTACAECGPWSMYRILVKRDFNTARGQVLDALPAFFDALFIRFLEKNKAEPNFMSSKEPAEKTVHLAFLKKGKRKEKIGKVGKCENGPQLAEDAGNLILNFLDCTDIDDWDGYWNNSEDEECDDDFCDSEDDGG >CRE20858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:455940:456920:1 gene:WBGene00066137 transcript:CRE20858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20858 MAGYPKDSELFNDIIDGNIKMNEFKNLGKGGFGDVLLYRSKNTTAAIKRVKLGARSKNLMEYNIHNQLHKVGNKHVIGLYDVLKTKDFLYFFMEFAASGDLEQKLVAKGSLSLTVAKEYFTQLIDGVDFIHTQGIVHRDLKPGNLFFSTDGTLKIGDFGAATKFRDAKGRNIKVSLFGTKSHWAPEVEAAKKFVDGPPMDIWSCGIILIEMIAGCRPWEVATLPNLKNWISNDLDKTALPWNAIDADVMAFLRNILDVDPETRATIEEIREDKWFSGKDGIENNNGEAEQPKKERKRKIQNEIKTSEENVRKRQRVPKKKFGCLDY >CRE20857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:453241:454854:1 gene:WBGene00066138 transcript:CRE20857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20857 MLEEQLSHFEDDSKDLSWTPEDEEYHNMKVYPDVIRCPGSPNMIQFERGDLVELEKVEEAVAYFGSKAGFHNNGFRIRPSLKSMNAKFRFIKNVHRLQKLREYEVFGSAKADRRSNLEFIAIELEKEVKKQIEQGKILHDAVLRFLIAGIIKEHKISIENFIGSDSWLLGWKRRFGVSSRKITKFVSHVRHRTRQQIEKDSQDFVNMTNQILPQYLPSSVFNADQSGFQLEMTTGRTLTLTGSKHVHCVVQSVSSTTHSYTVLPLIASDGTLHPKLFVTLKEKNGRFPKKGHKKCSNLVVTCHSSHIMTKELTKEFFRKVVFDPSMPKDALLIVDGWSSWNDRTAIDSVTPPSNKLKVLQIPAGCTGHIQPCDVGIFGGIKKVVKTLTNYGQISNPEYRMQARDETLKMLSLVWRQLCSPKLKDWVKYAWHAAGYDIARPPNFKTPAEHLFPRDVASTECSATGCSKVSCAQCLYCEQRFCFKDFLIKDHKC >CRE20893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:424345:425733:-1 gene:WBGene00066139 transcript:CRE20893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20893 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MV56] MDLADALKFYIPLFYSALLILLYFLTFYVIVRHWKTEKSSFFMMYIFEGVMNICTYFMVFYSKKLNTVTSETSPLAFLYRDMDIDFLKNFLTCMSYHMAFVQYINTSLISTNRYTVLRFLQRAEPFWKKFALPIMILAFLVPFVDTHRYFSLQTEIIFNEESGSYEFVEPMVGVIFFSSAKLYFQQDLSFHKNSFQPLEDCFKYLNPTMIFCSVYSVGMNFASRMNLRTLNSHVRSKASTNFIVITLFTSITQVLGCFLSIIRVKWPTGQIAKLLAEYLPFVSDGLTLMQPLLLFVLTSSIRGKVIAMFKRKDTSVVHVIRSASRNSN >CRE20852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:410652:411993:1 gene:WBGene00066140 transcript:CRE20852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20852 MRTLLSFFFSQLVVIILINFYYYGKFNFTIKSMWRKNEITFSGDEYWDEWERDMCDNPDKDETWRYWDSLCFRKFQNFEEVRIEVLSWSPPLVIYRNFFTKKQVESYLQLLKIQSLEEQEVVDEKGKPFISKVRVANGTVTPFDQYPEAESLLNTASRLIPAIDFSISEDISALSYNPGGHYAVHYDYLEYEEGSDDEFMNEFGNRMATFIMVFKKATSGGGTLFPSFGTVVRADAGDAFLWFDSKENGEMDMNVEHGGCPVYDGQKVISTIWVRSYGQRLFQEHNRNRSFDADVLLRSY >CRE20850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:395866:397586:1 gene:WBGene00066141 transcript:CRE20850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20850 MILDGFLLSFNEKYVEFFNVRAQRVVKMADTATDRSVLQRGYWYRFLHNIRVKYSPDGNTNFEVVKFYGKGSVPINNEVQRNRNPHLYGVICFHGLCIEMDKRNPAYNRNSRGSEERCNNLFSLNGGVYRSAGSARVQLGQWYQHMLYDSAKKNKDMKSSYHTITATNFIKVRPPVPTQVIDGRVTVRVQFKYDEVNFESEENSKLSHWSDRYQGMNEISEFWNEFVGKVEIYGKEALEIVQRVERYRAGLSAENLLDHITIAVTVVPRTDVSWQHHKYPMDGAFRVKSVDKLKNAKGELIAENRKRY >CRE23033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:286049:287204:-1 gene:WBGene00066142 transcript:CRE23033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23033 MSVHNKHSSFFKSRRTPEVLRTRDDEDISGSEALCSLLFQAVRSASYTHRRYSNYVREIGDPLTRGLRTRDDEDISGSEALCSLLFQAVRSASYTHRRYANYVREDGDPLTRGLRTRDDQDTSGSEALCSLLFHAFRSKRKRVSKHERSHNGGVRGSLNGSIGEFMLGWASPLGRTSMTQEFDGQLAALELACAKATFPWATSSITQNLHLHLNIS >CRE20886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:353805:354896:-1 gene:WBGene00066143 transcript:CRE20886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20886 MSNLSCAPPTDVDRLRRPDFLLSLVAGFIITGVTFYYAYLALCLIWKTPTYERTAKTLLSQLLFFSVMYEIFYCIEEFISFYKSIFDFFGIYQECIPLQSALDCALRFKCLMGFTSGMIYNQTGLIIERLFATIFTEKYTNKIKLNIRVIVFWVVPLSSILTAYLIVLDDPLEGYVFACYVIPRQSILRTKIFLVTCFVLTLLVSIMALLIRKHNKKYEFSTRFKVSSRFQNRQAIETTNTICILSLFSSIFLFIYTVGIFVLLAVRPSISPFVFNIFVTYVYVSFQSLTFKQKFDFQTIPFVAFMIPHLIIYRIRVTRRKRVHALNGFAQVKQTQDEHINELRVMWS >CRE20885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:346836:347494:-1 gene:WBGene00066144 transcript:CRE20885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20885 MMWWKLAVFAAAVFLTETEASTCTCYESGNYTGRSAPISNPYNPDGFSPCYAAPCSYVAYSGDENHIWTRLTFHWGTTTNSGGLIKIFDGTDITGTPIILLNEGENVLSGSTKSQIKSTSSRVTITYSQTGTDSNVFYGVMKAIPPQ >CRE20846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:342984:344829:1 gene:WBGene00066145 transcript:CRE20846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20846 MSNAVPSLGSIAQINMEKAFRACFDKLTGETNDSGSLLKLRLVNKLFNTESLRKLRILHKEIIIEVNHHAFADDDMNLRYNMSNHILVNDADLIISEDEEKDEFRKYLKFLNETVQVKVYKLMLKNVYKLGATLKQVAHDAIVNVLVGPNNRGHLVEVTGMEDVCMSGCEDCTSLIKKCNVCGPIQWHALARLKQNEKFDTIIISDNLLTLIAEDALMRTPQNEDMLPHIDKIIPPLQCTNLVLVVTDDSPDLNVRNQFGNAVTTIAHGISLVILNEVLKKWKPNKITLEAHVSRPTRWFPSYKYQERFKHTLFTSSSDSIQENQHQMFEHLTVDLTFAGKFIEDFDRHNHGRNLIPNVLKMFPTKEMAIIFPRGFGYLQNMTSPNFYLCKAILSHKPTDMKVWVQMYPDCPEPKKQVMFSAAIKIDDERFGEVQQPENNPAPVFVRKCFGLNKKNRKIFKLIPCRSSTYVIEDKTCNNTIMVQLTVVEHFFNLFVHAIPRGTRPFTIDIIQSFITPDHSENCDFCNQFMAIFKMHLETRYLPQRQ >CRE20845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:339643:341507:1 gene:WBGene00066146 transcript:CRE20845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20845 MSNVVPSLCSVALINMEKAFQACFEKLTEETNEIGSLLKLRLLIFQLVNQLFNAESLRKLRILHKEIIIEVNHHAFAVENMNRRYNIFLNETVQVKVHKLKLKNVYRFGGALQQVIHHAIVNVLVGRNNRDRLVEVTGMEDVCMSGCEDCTSLIKKCNVCGPIQWHALTRLKQNEKYDTLIVSDNLLTLIAEHAMMETFLNGDVQTNVDKIVPPLQCTNLVIVVTDGFSDSDVTHLVSIDRTTTPQGIPLVILNEVLKKWKPKKITLEAHASRPTGYSSSYNYQEGFDHTLFTSSFDSIQENQHQMFEHLTVDLTFAGKFIENFIRHNRERNLIPNVLKMFPTKKMTIIFPRGFGNVQNMVYPNFFLCKAILSHKLTDMKIWVQMYPDCPEPNANVVFSAAMRIDEGRFGVVQQPENNPAPTLVRKCFGLNHKNRKIFKTIPCRSSNYVIEDKTCNNTIMVQLTVVEHFFNLFVHAIPRGTRPFTINFIQSFITPDHADNCEFCHQFMAIYKAPPFSPIFLPEPHHNM >CRE20884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:329156:334465:-1 gene:WBGene00066147 transcript:CRE20884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20884 MSAQPAFEQFTAQPPQPAGEVVSQQAGDGAQGQELTISKLENAITSMDEQGLQNDHRHAKALLLKQKLQDGVPQEEAPAGNESNQEITTAQLNQLKAQVSAYRLLARNEQVPEALISEAVMLRPKAITLLPDPYEYAGEGENGEKLPYDLMKIFNLHQTRCNRQSTVPPPNGIDPVGMLKKRENAIQNRIGLRMKLLINLPADLPEHLKLKAEIELRALRVVNLQTQVRSEVMSCIRRDTTLETKLKNRMGTKILTIFRNNLIKSSKSRKAVVNYHLNNENKRKKDEMRNERLRMQKLMQEDEEGYRALLDEKKDQRLVHLLQQTDEYVDSLCSLLRQHQTTEKKKKREDKKFEKGTLDGEARIHVREISTGIILTGDMAPKAEEIKLWLETHPEYQLVPRDQLSDNEDDEDEVVESPPEEKEDEYAGMDEEMKAKMIIEKARNEEDEYDQKSKKQEADYYAIAHKVKERVVKQHETMGGGDPNLKLKPYQLKGLEWMVSLYNNNLNGILADEMGLGKTIQTISLLTYLMEVKQNNGPYLVIVPLSTLSNWQSEFAKWAPNVKSVIYKGTKDARRRVEAQIKRVDFNVLMTTYEYVIKEKGLLGKIRWKYMIIDEGHRLKNSESKLTSNLNTYFKAQHRLLLTGTPLQNKLPELWALLNFLLPSIFTSCETFEEWFNAPFITAGEKVELNQEETMLIIRRLHKVLRPFLLRRLKKEVESELPDKTEYVIKCDMSALQKVIYRHMKKGLLLDAKMSSGARSLSNTIVHLRKLCNHPFLFETIEDSCRTHWKVNEVSGKDLMRVAGKLELLDRILPKLKATGHRVLMFFQMTKMMDIFEDYLHFRNHTYLRLDGSTKPDERGELLSLYNAPDSEYFLFMLSTRAGGLGLNLQTADTVIIFDSDWNPHQDMQAQDRAHRIGQKKEVRVLRLITANSVEEKMLAVARYKLNVDEKVIQAGKFDQRSTGAERKLMLEKIIQADEEEDEEEVVPDDETVNQMVARSEDEFNQFQSMDIDRRREEANQLHRKPRLLEEQEIPADIVKLSFDFEEVEKAKEEGREIVEQTPNQRRRRTEVDYSSDLLSDEQFMKQVEEVEDENERLVAEKKKQRKRKMAGLDENDDTMDDVVLQHKKKKTDPELLEKIKEIISLILDIKDEEGDPICEPFQTLPTRKELPEYYQVISKPMDFDRINKKIETGKYAEMSELNDDMVLLVNNAQIFNEEDSIIVQNSKIIEKMWKEQYEMFNAPPRPDTPPPAPIKKETPSTSSSRPSTSGTPTVTDRQRFQQQSQQAQQQAFLAQIAKLPMAQQQQFIAMQMLAAQQQQTAAVVNTAPTQQQIMLQMQTMMQMQQLVAMAATQGTTKKEAKKEEGKKEEISVKKDEEPSTSAAEPMEEDQEEEEIIGKKKEPTSGRRKCRPTRRYSNEDYDDEDDDDE >CRE09881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig522:43:411:1 gene:WBGene00066148 transcript:CRE09881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09881 ITPKRIFTQLVKELYRLDGKLTVLGPDFTVKFNLNRTLLNVSDRSNCLKYWEDLVRIVKEEKIIWPHLKLATLESVDTSQSAATEATGASGSGFGPIRAHNSRGSRSGSCGLGSYSKFRHNR >CRE20881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:302741:306546:-1 gene:WBGene00066149 transcript:CRE20881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20881 MFAPENRYNALERNCIEPASYEGKSRLGKSSTRRNVSSPSYCHPSCSDSDVSPEPTRRRNQGAHQDRELTRDELIRLMEECEADPSSPTPSDSEGENFESDDEESSGSEFEDPTPKKNKASPKKKSSAKRQRQRSPIIKRTKHQIAVGKKTLLGIFKETENPSLEMRAKIAEVLKMNKSLVTRFFRRERKKSEIPERVKRISPEMRMKLEAEFETNPNPTTERKTELATEFNVLHERVTAWFIRRRQKQYKPEEFAAAQEKRRIYKNERDHQMRIQQNRQPAFRFTEEQRNFMNEKMKEVEGKEAAVEQCRKWGDEINLTSYQVSHYIRKHLRRQREPSYETRIRHDKKRRVKEGLEEHKKIPRPAGPPLSADEALLIIKNFLVANPNYRQEEDGSLAQILNWSKSKLKHFLRDKGLVGRRKVQERRKAQVGNAGFESKFEQKPFVSKADAEEWAKQLIMTPNTILSLAHKLRERLLKKYLSGEKTLDSLPPQMKMLEEEYSKNVFIESVAAAIEIKEYVGIELVSVNGYFSMRRRLDRERGLNLIKEEDVPKILSKDVLKFKKRQKNASAAVESEESDHEDPDDLENGDFDEDCEMEETSRPQSPENVHQDFEVSRNGNFDEEDDMEETSRPQSSEEGQHQGNDDVFYYDDFDTPQNLETPERGITEEDGSEHDDDVSKVDSEAGMYDSDWEYEDLLAGENEDPDNNLDVDVIAGANILMEPKEEDQSGAEMLQEPEDERM >CRE20880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:294022:298916:-1 gene:WBGene00066150 transcript:CRE20880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20880 MSAQQNICESSEYPESPMPRTSSLRDAQSITRRRSPSFDNDLRPLSSKTGMHDSRSSTRGNVPSTSNRLLSSSSESSGGSPQPKRRNSHTRRGVQSSSRRRRAPTPDYVLPAHYSAKGMHTPRSSTRRRNVPSYRVLSPLSDSSDESPQPMRRKNPKAPKSSTRTPSYSSPSCSESDGSPEPRRKNNRRAHKDRELSRDELIRLMEECEADPSFPTPSDSEEDDAESSGSEFEEPTPKKMSAPKRPRERSPVIERTEHQKSVGKKTLIAIFKETQNPSREMRAKIAKVLKMNKSLRKKNEIPDRVKRITPEMRMKLEAEFETNPNPTTERKTELATEFNVLHERVTAWFIRRRQKQDKPEEFAAAQEKRRKYKYERDCQAKVRENRQPVFRFTEYQRNFMNEKMKELDGKKVVAEQCRVWGDEINLTSYQVGHFIRNHLAKQKETEYERKMRNEKRRRLKLFLRDKSLVPGRKSRLVRQTPKRSELHSKFVEKPFVSKADAEEWAKKFNMAPSTILTLAHKNRERLLKKYLSGEKTVDSLPPAMRSLEEEYSKNVFIKTVAEAIGMKETVGVDLISVNGYFSMRRRLDRERGVDLLKEEDVPKILAKDVLKYKKSQKKVNAAVNLDEPNAEDPSGLDNDDFDEDGVMEETSRPQSPRQRDEYQDFEVSGSHDVFDDDDFDVPQNLETSEREIPDEDSSEDDYDTTVDSEKGMYDSDWEYEDLLAGENEDPDNNVDVDGPAGANMLIEPKQEDLSGADVLEPNEERM >CRE20842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:275631:278482:1 gene:WBGene00066151 transcript:CRE20842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20842 MTSPRKTPKTSETSTKRTTSRRRSPSSSSELSDSAPTPKRSKTQDGLRTEKRSTSDYRRPSSSTESSDDEEQKNNTSNISRKRVRKPAPKIELTEEQREFAQKTLREFYEKKGLPSPAEKKGLAKQLHMTKTNVSLKCSKKSRHPFLFQISNFFYRKKISEEVRKCSNVSEEAKKHFRKILAANQHPTTEEKRKLAAKYNLNLPKVRVKTMKKFTQITLLQVSLWFKINRNKLKPKPERTPEEIVRLRQRKTELERERLRRKKLESNKPPPFAFTEDQKKIMKTKYEEFKYPTRNQCETWGKEIELTGVQVYRFIKKERTLNRKESDNKTREIEKKRLKKKYCLKPKGHPPLSEEQAAPIIKQFLEENPNHRDTEYGGLMNQIYWPKYRILNFIKPLRRQSHKMGRLSAENKEELKREFEKNQYITLVRAEEIARPMNVTDQTIVLWMYKERISVLKKYLDEKNGVDSLPSIMKLLELQYLENSVIETMEAANELKEKTGLRKCVVLSYFRIRRRLDRERGKSVIDEEDVPMLRSGKTRGEGKKSSAEKRAQEKKSSLQDVDQPHQDNEVAIKQEIESDDDSSVSDEISDSDDWSDSDDWSDSDDFTDSGIRPVKKEEVEDDGFAGAETMREPEEASRVE >CRE20879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:267960:271732:-1 gene:WBGene00066152 transcript:CRE20879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20879 MTSPRKTPKTSETSTKRAASCHRSLSSASEDSAPKPKRSNTRNGQKTQKRSSRRDLPSNSDYLPLSSSESSDDEEQKNNTSNILRKRGRKPAPKVEFTEEQKEFAQKTLREFYEEKGFPSAAEKEELAKQLHMTRKNVSLKSSIKSKHLFLFQIGKFFYRKKISEEAGKCSDISEKAREHFRKILAVKQHPTTEEKRELAAKYNLNLPKVSLWFKTNRDKLKPKPERTPEEAERLRKRKAALERERQRKKKLESNKPPPFAFSEEQKNFMKAKYEEVKYPTRDQCDTWAREIKLTGLQVYNFIQKVRTLNRVPGAREESVKKTRERKKQGLKKQYSLKPKGHSPLSEEAAAPIIKQFLEENPNHREIGYDGLMNQIYWPKYKILNFIKPPRRLSHKTSRLSAANIEELKAEFEMNQFIPFVRAEEIARPMNVTDRSVVRWVYKRRIRVLKKYLDEKKGVDSLPSTMKLLELQYLKKSVIETMETANELKEKTGLRTCVALAYFRMRRKLDRMRGKSVIDENDVPMLTSGKKRGEAKSSSTRKRAQENEQSPQDVDLPNQDNEMSIKPEIDSDDDSFDSDDWSDSDDWSDSDDSWNNIPVVKEEVEDERTGLPVQEEEPSPQDFDFDLPNQGNDVEGEEHVELDDGLPNENDSFYESGWSDSELSSDSDDEHFLLLGQPNVKQEVEDAENRPVVKEEVDDGSYAAATLREP >CRE20840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:264148:266641:1 gene:WBGene00066153 transcript:CRE20840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wdr-5.2 description:CRE-SWD-3.2 protein [Source:UniProtKB/TrEMBL;Acc:E3MV29] MHPRQNYSGRTNFGHLLNQMNQQNQQQNLTRPTYPNLSNSFVNVTVDEFTPPGYPTSSNATPYSSSTPGPGYSSDSMPPPFIGAPVGAAPHYRLMAELKKAHTKSVSALKFSPDGKYLGSSSADGSVKLYNMATVTLEKILLGHKLGINDFAWSSDSKQIVSGADDKILKIYDVLTAQCVKNLKGHTSYVFCCNFNPQNTLVVSGSFDESIRIWCARNGTCIKTIPAHQDPVVAVSFNRDGNIIASGSYDGFIRIWDVARGTCSKSLVDEIKPPVSHVKFSPNGKYILSASLDGVIKLWDYYKGKSLKEYNGHLNEKYCIVSNFSITGGKWIVSGSEDHKVYIWNIQSREVVQVLEGHSTPVMSTDCHPLQNVIASAALEPDLTIKIWRSDN >CRE20839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:258244:261698:1 gene:WBGene00066154 transcript:CRE20839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20839 MDKFSKWRDGSSGKVSKTDSKATDGKDLIVVFESIRAPSNAEPKRRDHSELFKTRSLLVPQVGGSSAPLIITKPPSDEGARMRERAERRRKDANEQMMMARPPPSLPEEPPVQIPIEAPVPPVRPNMVPDRRTAADVNLHERERREPKRNHRPEPEIFRRNRRKTFDEMEGRSHVDGDYRGSKSCSPSRSPSLDAPDGSPRWREERRRSPQYGDAVRRHPQLEDEEPPTRQYENGDRGSPDPTSPTYSDPIEEEDSPSPSPYDADPTSPTYSDPTDEEDGEEVQEEVQQEEVREEVNRRGAYHYEGPQYEKPRAEEEYDSEDSGKSDTSDKYDRERVPRPAGEFQGMQARFVRRTTDAPKRKVVWRESSFKRAKK >CRE20836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:246606:250151:1 gene:WBGene00066155 transcript:CRE20836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20836 MSNRMLMSSESGGVPASFFGRRRLQRSLYDSRPTEESPILRNDNPPSGEISMSFFGRLNFQRSVYENQPTEESPILRGSNLHSSRDGSNQYRTYDEYGRDNLRGEAPFIDFEMERNRDGRQGTSQLPEASNGSRADSCQYHKGNGAVTRRDGPDNTSVRSDNNYFRGNNLPEAANGISVHHPFQEYSPAYYGEALQQNRPQENEACHQNPTAQLRIARREHHPSHSADLNLPYRDENSHEGTSSTSQHPHIPGPSNSVVVTDESAQTSQNNDTINSNALNLTTPKNSRPVIVYPSYRNWEDEKRYSLYEVHNSNHVETMENWDSLHPQIRKNLSEFKILPNVPFQSKMIPQILSGNDVMGQANVSVGKSCATAISIVHKILTTDPTERQAAKNERSPLALILTPSATVVDELFNHHKFKIAKGTDVTVCKAYGGILRNDLELSLKEGCEILIGCNGRILDLLRNGRIQLSHLQFIVFEKTNCLIRQFLASLNSNLSGILRYLGFAAQRIYLDEEFSPEVEELIEAWSSSFYGRRGIVKVIPKPPQQRANVDLQFHEVHYGNKTVVLRELLKKEESGKQKRTVIFVNEDFECKELAEELSEDENLVLPVHYRQPDKTKQAVYEMFKMGNINTIVLTCAPFREYETVDIDQIIQYDAPSHHSTFVHRCGEFGNMNSGTVYVFVETCRPNFYCGEKKTFREHLDEMKKLALVPKWLKTLAAGNSISHDEPRSGSPEECMNECRDH >CRE20835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:240278:244130:1 gene:WBGene00066156 transcript:CRE20835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20835 MHSRSGYSPRPDSHRRFRDNDDYHDYDSEYQTSRFDGYGYHSNANKNRNQNSNRHPRNECNRVESRQNHSRPPYQNLSERKWESREQHYYGNRRQDKETMQRNWGNRSREDSYRRAELDQHNYRNSKFSLERENFELRDSDSNYSKKYQNLRNGRNTDNGHNNVRDRQNYQRRNSSRRRDAALDFYPSEGNYRHTRSDSEESLESEDSFHESQDTGSSDYTETSPVRNSYGRTRESENSNNGNNRGRYSKRYPDLLGFPKSGESKNWSSDYQHSNCSVRGESGYRDADTNSSYDNYNGKNRNGRNINYGDHKEHRRQSEHFSMSRDVRSSNPRNTRDYAHNSLDNQDDVQETQENFIPGERRYFHKQIRDFRSVPNQNQSGFQNPGESFEPPRRPKGSFVFSNCPNKRELAVVSRNLPYYEDSDLDGSSVNSDSDDYNEHNYRHNENIKKRDHQSEHYGHSSGSHITTTDFNQNQNNTKPTILSTGFNLGGRFGELPGDMEENQNVSGNQRDRILGEYDSCSQKIYIQFSVIPGKVNKDNDHSGNITSDFPIFHEGLIICKYSLTNQDINQKNVDSIKAFKTWRSEGLFLHGVTTDDVKIDKLYGLLSGNHSVKKTVIFVSSPTRGEELSEILSHLNIVKSNIMITSESACPPIGIDQFIQFDFPKEEERSKDMFHRCRQVGKVKDTAIHVLIHQKELLNYSEAKELKRIYEEEYRALFPGFLTKAYQRAYKYRRFDKYKNAKWLGKRTNVPVQRKYMDGFPAELSEDDW >CRE20877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:230031:232112:-1 gene:WBGene00066157 transcript:CRE20877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20877 MKVLFHVLFFLLVLSLCHTKIVSADKTEPFIAIDIGTTFSSVGIFKNGKLEILPNEQGSKLTPSLVGFKENGEVVIGEPAKSQQAIKPENTVYDLKTIIGGLYDDRRIQQRMKSWPFKIVNNSNQPVIEIELGSGTKKYTPEEITSLLVANLKSTVKFNLDERVNERISLVSEYWPLQPCFDSNNKVIPLKNAVVTIPVYFDNNQRVAIKDAVKLAGFKYVRLIKEPIAAATAYKMDEKGDDRKILVFHLGGATFDVTLLNFESGVFEVLANQWNRDSAGRVFDERIVEHFSKLYKAKTGKDLDHDKIPKLYQEAEKAKITLSDNFETVIQLKDPEDFNFLLTRATFEELNIDIFQDTLKSVEQVLEDSFCNKTDVHDIILIGGSSKIPKIRKLIKDFFNGKESAERVEPDLAVVTGAAMIAGKLGAVEKTTFESTVDWIVSKLFWTGNMKHDELK >CRE20876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:227070:228818:-1 gene:WBGene00066158 transcript:CRE20876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20876 MEFFGFACEQNEDKIKIFTLEQGMVELEYEGCDPLGKWFDVSDDEIELHPTYSNKEIEVWEEDGEVFAKVLAIGPNMFCLPKDIKEKYSKVAAWSPLLKYLDDETGIFAGIRGNDVVYVVVKYAPWFNGPSVREQGLFKIQEVFEIEEDRYTAYCRQTPWTLEYMGRTLTQSLKPKPNTIAFNQYQKVDDDGFRIGLCIKSSYPNSGFNQELNPSDGSYKFCSLLFTPDYGIVRYTFPVNKPRMVTRTAEAVYDVDSDFTSIDKRIGQWYTFQVTEARSRTKSKKKTDSPAILHSTARKVASANHPRETVVVDEEVELESSFLFDYNMFETESNRLIKNWYARYKGLSRKSHFWDADLGRVEVYPFISMEIIKSIEKHRETLEPSEAELLQKEAIVVVVRTVVHKNFMMNFKNYPMQGVFTAKKLEKICYLDGGRLIPLEKE >CRE20875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:225276:226296:-1 gene:WBGene00066159 transcript:CRE20875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20875 MEHTFPLLKLPKNVIVQVLLNTDPTELLIFSLLSSKIKSLVKSLGLRDLNINIRITHELSLTLAIGRFWSYLNLHNDSTDGNGEFDISRPLAASYQIQNSTFQLSARFNFSDWLNHIRTVFWYRTTLDVDFVPGSERFDMESLMNAIRNVNWLYIDRDIADIRTKEILQSFKVFEKLILCKNPFEDFCQIQEVFIRNFKMITFYDTFSLDNLLLVNSEIVQFDRPTTYKQFNQFLKHWIRGSNPRLQYMCLKINDSKSREVLLKGIHCVDVAEEEKQEISDKGSFPFRTFGSNMVRIRQKDGTPALIATRNSVNLLWVCLIAFH >CRE20833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:219835:221719:1 gene:WBGene00066160 transcript:CRE20833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20833 MNQPKKSPLNLNKENADLRNQLMQISKHRDSLNKEKALLQTKNEALQKENDALGSSLQKLKQSSTALKENNIQLKKELQISQNKENQLDAENSSLKSELDKTKSNLDTMKQKYGEKCDEVYSFHKKLTLVTSELDGFKISEVNYSKNKQTVNTDDKKWEVRYGQLSEKVTDFTQEVFKREKDLPVLGRNNAEEIEMQAFVNFVILNSLKLEKFVSDIPSSPGSTVSSAINSVELSPSPAETKNEETVCKMCHRKQLFVTCKNVRCKSIFHEERWMTRNSFQSTQLGK >CRE20872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:210991:212505:-1 gene:WBGene00066161 transcript:CRE20872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20872 MSRQTNQKRTIPLLKAPFLVLRKVVGQMEIDEIIELSLTSKRMARVLGQTQTMVGVPPPQMTVEIKDSDSHVLITDGKGPNELLVFTWYIGKNYFGKLLTTNIEGIKNTTRNQRTKAMNLEYCTRAVGKAVNSPMKTILERLLIVFPKMITHSVKFDTSFTFFDAKKALSAIKTVEHLEINGEMMTGNVRDMLENITVTKRLEMYCKVSIVRGPFDVASLPSEHIVCNYTTWMSTETFQQLKCQHTRIGKTKLTVKDVEEFLLKWKNSTEENHIKSLMMSTVDSETKLDYNLLGAEESEPSKKIHNEGGSASRIPFDNSIKRADGLVGLVRQNGETIHFRVD >CRE20829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:190800:193739:1 gene:WBGene00066162 transcript:CRE20829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20829 MFGAKSKAINEPAKNLPVTFTRQFLWTPVEEQEGVCEYIKEKLHKIKEKPFYIYLFGSEDTNQPELCKKLNGIIVEYFKSKEACSVSAPNDSYAADVNGSHLCSTFLLKLNGDLSNENMHLMDNDTEKQLGKLLLGVKTIIFYKFDLINPIFLKRIDQRLRIIFKKEQPFGGLSVIAFGDITGHSILTSEILKDFEVISLSNLTPDSDEDEETKLEKYYMRLYKKKSFSTKKPEVCAFDELKRRKMKNPELQLVVLSYEKDLIELVNKMELNELKDEKKTFCSKQVTNPGENKVQNVKKVVDSLEIGVGSTVISTCDLKEVKLGELGKVTSFNNHDGSITVDFHSEKSVKVRTALWTADNLQTIWEQFPLQPAAALTMEDVEGLMFDGVIVMPDGINDSKTMNMALGKAKDLGTPDYASTLFANMKPTILFRIKHINFGDAVYKQGKEFIRFCDPNFIVSVEMNADNKSPDALESILETTTLKSTTQWTIHYLNHPDAATKVATHWNKNDVGTGRRFYFSTTVPGTLSCFESAFKSSLIHKEQNRIRVAMDNVDRHIVLIMYKRSSIYEYFLLMTTDNTFDIVDYQFE >CRE20871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:186354:189924:-1 gene:WBGene00066163 transcript:CRE20871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20871 MDMEIEPMEIIDCKVEVGGIEIELNCEQKQVLDCTMEKVKYMENNSFFLLIGGAAGTGKSLLLKILRDQIRQLHGENSCLVIAPTAASAEKLNVRTIDSTFLFMNYGPFEPLPKEQKKALHIMWKECKVLMIDVINYVNSTVFAQIDHRLQDVLNTKQTFGGLSVILFGDLLQSGPEEREPVIYRDIERCYREARDLTTPDSPKILWSLFELFELQDNMRMRFKPEEAQILEKIRSSDDVAYVQKKLLEVCKLGEDSEIGIGDVLKELKTLREAHPEKKFVILVPDEDMVEQVNQILSQLGPVGEFSPQVVTVKENENAVVDDAEEEDDLEVAKGSQVILTCNMEEYNVNAGTLGTVMDFEKDTITVEFPSGTVDLERVPFDRSNWKQFPIRLAGALTIRESQGMEFDGVIIVAKESWFSCDMMMLEPGHMYTALSRAKSLELCRITPLDCFGWKTSKSALMEWERLQNREEMDSNDEMNIVHTYLSEKVDREITVAKMSTNMEPAFKKMKISCDAPNKPRVANSSPVVQHTLVSKRREDSSNESNSKKRVKSQIDEIITALLSKEMLPEAPLHPPTSNEFQSMFKYYFTTDLSKNMFPPLPNIFGLGKSSESPLNPKDNKTE >CRE20870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:182241:185420:-1 gene:WBGene00066164 transcript:CRE20870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20870 MPLSTPSVPVIVDGHKLSPEQCKIYYWVMKKIDNNNTTPIYTLVHGAAGSGKSLLLKAIRSGLQGKLGLDSCISTAPTAIASQLIDSRTIHSTFVLKWGIVDVEDFLDEIPNYQMNKVSWMQNAKVLLIDIINFVSNVDFARIDHCLQEVTGVQRPFGGLSVIVFGDFYQLPPKGDWIFEGNIIRTLYNISVFELPDLPESLIEKQLKVIPNSPKLWNLFKIVELPEMNYRVTDETQRDVSIAMRHGNDQEKLYNYLKEICFICWDRDQTEEKITEEYQLLTQITGRENIAVLAPSNDIVDDINFSLVASEFGARRFPPIHLAGLEHCKNWNSAQQDVCVASGSPVVCTVNYDERIKNGAIFSTGTIDIRRLPFKEGNRTFMRFPLRLGYAHTIERAQGATFDGIILVRNKTWDREETRLWKNHQDGVGEIYTAMSRARDLQNCRITPMRYLGLDISSQVEKEITRMRRDCRELMD >CRE20828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:180594:182084:1 gene:WBGene00066165 transcript:CRE20828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20828 MSSHFTNIQDDNDSLKLMVQNMELELNHYKKYICNMAVEKQDDGLLKSQLSKCQKDYSQLLDEKNHCEINLDRQQSEIQKLKYSFERSEQKQIELEKNIETMRSNYAALGIRLRETEKHLKEKQREFDNLTKDLNSSRSQLQITNNELSNIKQRANNVVYYENEIQRLNSDFEKKIEEEYSVKFEETFKSLRTERNQLWDDMIFYKNIVDFPASSPNWESHITKLETQLVESHEQNQKLRQENSHLQGINDPDDCSICYEQLPLSRCLTENCKKNYHNKCIIRHFQGKEEDKQKCPYCNKRSVKIGIDCLGREKD >CRE20869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:169517:177284:-1 gene:WBGene00066166 transcript:CRE20869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-del-1 description:CRE-DEL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MV07] MPFGVMGNRKNLVHRILTPRLLRRNEEKYRETEALVKKYKQQKECDVGKPFADDSPRKEDEEGTGEQIQHSVRVFCEQTTFHGVNMIFTTALYWVRLLWIIVSFACIGLCLYSFSHIKAKYDRREKIVNVELQFESAPFPAITVCNLNPFKNHLARSVPEISETLDAFHQAVVYSNDATMDELNGRGKRSLNDGPSFKYLQYEPVYSDCLCVAEKQECIAQTTIPKSLENACICNYDRQDGSAWPCYSAQTWEKSICPECNDIGFCNVPNTTGNGNIPCYCQLAMGYCVFMPESRVRRVWEFQGNKIPEKGSPLRREYLEQLTQLGYGNMTDQVAITTQAKEKMILKMSGLHPQRRAALGYGKSELIKMCSFDGQQCNIETEFKLFIDSSFGNCYTFNFDPKKNLTSSRAGPSYGLRLMLFVNTSDYLPTTEATGVRLAIHDKTECPFPDTFGYSAPVGAISSFGISLRKVNRLPDGNCYNEDRPPHNYIYKEYKYEPEGCLRTCYQRRIILRCGCADPRFPNPWRRSPWCDSKNSTILTCLTVEGAKLSSSFKHQCKCSHPCQQDQYTTTYSAAKWPSGSTQAHCDNQSKDCNRYLREHAAMIEIYYEQMSFEVLRESESYSWFNLMADMGGQAGLFLGASIMSVIEFLFFAVRTLGIACKSRRWKQKNELLRAEELNDAEKGTSTNNNA >CRE20865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:147259:158599:-1 gene:WBGene00066167 transcript:CRE20865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20865 MSNSRGNSVPWHSSNNRVPSDDTDLQQLSSYWGRTLDPPQTQQPSTSSFQAPTKGQLVRRRESSCSGANRPAHQNAIEEVPVVKKEIHDDECGNAQVVSQSDARSTSSSLDNAAQQQPSTSNPRQALAPLDLLILRKMLEKPSTSNALFQQIVVDEEATNQPSTSSAGSSQPPRAAVNRRAQMTHYTELAAVIQRENWDTETPICEILHSLTPASSLKYGSSSSNGSRASTPQARSRVETDARRAVADVLKALEEGRRRSETPPTAVEVTAESTPQPAQPSSSNTIPVVVLDKDATDQPSISNAGAAQPPPRTQPAQKFKTEIEKIDKEFDDRRKLILRKYRDAASKTWELEYGFPEFPADEIAVIYQMLDSSFMTYKTLVGEVPKMQGVSAKIKKDKMTEFCDEERRKENEYLWRKGGFYHTEFLRLDQGQRDQLLHKYNLTTQEGAQSLVEQTAIPTYFVRFYTILKLYKLETLQMTEVVEKYRIFEQQYIPSARNHTGMVVQTKFGDLVTLHLSRKEEENKNEVIDQNADLRMRESEEKIEISTESVRKRVRSLEAEQCFGESIDKSGQDVSVTEAAPVDVQPYTTLPSLQRLRQPPAVGPSQSLPSSFTQPPQPIRKAGRSPKNAAPTSSVAPQNPAGPSTSNSARVPTFRVPSSEDMMSDDDDAVSSYSDDEANFKKFYRRRKTIAYKINATNKRRAVKRGAGRPKKSVRVSIQPPQQPRRAAPSTGRRSMPSTSSANAAGSLNADNIRVSARIQADQWRQILTERSAPANNQQSLPATSPTVAVNRGVGRPKKNNGGRSNAAVPVPPPQPSQRRYPSRNAVSPPPFVPANAAGSSVPAAKPILRAPVTYQQRKLEEDLKVERYADPVGRAAWLAARAAAANAEPVHEGQRDEEMPRDDGMRVAERDSRKRRIEEAENEDIDEDFQPSSPKRPTKRMDDDPSTSSMAPTDDAVVRGGPRSYSRANTPAHQDPEEVPAPEEKEAPVVEETPTDKNLERSVSPASTSFQPPTDGEAARGGPRSCSRTNTPAHQNATEEVPVVKTEIHDDEYGTAQVVSQSDASPSTSGPSSSTEVRRGTGRYWADREGNTAVDFPIIMVPQRSTSARQAIMSPAQYATERAVKVEEPDELENQNEEAVVPPNEEMERVVSPNEEPTNSSNETTAPPPNEEMERAISPEPERESSTDAEAIHAVDAEEVDAPEEEPVLKEEEAAEEEKALEEEPGPQSLKLLKLFI >CRE20864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:136700:144281:-1 gene:WBGene00066168 transcript:CRE20864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20864 MARHTALRESSQRVSNLKRRNNEKQRDMRSRHRALNVSGAENTSAVKRHAALRAHRNQEEENRPMPMGGDNDDARPVRRQNHMEQAAIPNHSAIDPPGIPSPASFFFRSDNFFSISLFSPSCGGGAALLVDGTFGLPIAHIVVPDFEPYALRRAFGPPEDVVGSCPSSDSFANNSMPSILCTPSDGKVFGGAPTDRFGSGGFCTEFCFTGGGLSGAALGAGGWTPSRNGAIAGANGESLLVEVGTPSSSSSSSNLQKRGVGRPKNNMSVNGDGAENMGAVDSETPTSSDAQRRGRGRPKKPVTSSEISPTPDEPSRSGENNMVMGENYGKQAAPLQTRERRRPAWHSEMTSTSSSSSSILLKRSVGPPKQMRMLPQPVATPEERIETDGGTMNSATVCCDDRDLQHHAASSTCSGNASHRIAPGQPCWKARNGDMYWYCLKCFKSSGENKEEYFKTENINQAVEEILMCSRCSDRYHKCCAFFYGSDSSRFVCHNCDKTAMVKRTVNAKQKCKLANFISKKLNDLLEQLVGKETASRHPFRVVGFSVKKTTPIEDFTPNLFKEEFETLFTKVLNRVSRALYVYQRIDEVDVISFTLFSSECDQNKDRKLCQIDYIDSVPYFKVFENLKRGAIHEVIILAYYEYMTTIGYKHAPLWAEPATPHDDYALHIHPLVMKYLNGNELIGYYRRNHENGVRKGILQEFNNFEEENKDGKFDSPEKIPILPGSLWSIVMKEIEEEVMRNKKTDDRIKLKEQFWRKLKQRFPQLAENNFFITLPVKAAIEERVEETNSHEFLDDRIKFLNKCAKENWEFVNLRRAKYASVGVSQMLRDQEEDEEQEEENEKFP >CRE20827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:116810:121876:1 gene:WBGene00066169 transcript:CRE20827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20827 MLRRSTRVPKPVSLTTVHLEDAIKEPKTPPTVNQDSPSSSNAPLSIPKEENQSSNEESKPHKSLPQASTSRKVTECFSEKDSLAIFRFVLSRIRSQNPAREGRVAKRRVELNSMVFWEKCREFVGADRDVHGYRTHFFYLLRKLHEYNGVSMDDKVDIYYALDIRVDPSIREKLVRKFEVEFDEEGIITGSLLLHHWDVVHPDSEPEDEEDGQPTRWVTIGQSTNDTRFTEADDGLMMQYVVDKINSGCKDLTMKKVWEQFKKLYKKRLSADRTPITYRLRYLRVLLPNIHKMPLSLETKAAIYYHTKQPVSEQFIRELTREIDVVLRQDGRIMVYPTCPARIFLHICQQNCVVGLKSKNRLSLLKAPQNSLAAQAFSEQEDAQIWEHILNKCKLNQGQKFQVKMNGWAFWRQFIEEVGSQRPWQVLSDHFTKDLMPNMRYLSCDVKSKLELYYSLSRIVEEDVLFEFEQIATVTLTPVGAIQSAVGQGIKVGRKERPYEEFDVNSLKLVTDKKPEGSSSYFLLEDHKRFPQLPHVPLVEEEEFPTEAKEEELPTLPTEGFLKTSQLSVDVKSEQLDESSGPILPVEKKPEDLLLNSGIPSKKESVLLSMLKSREETPPPKKPAPKRLAPSHCNQQSPAESSTAPSNSTPSPPASCSVAQSSSTPSGSTTSQIPPPWPGVKRSTPAIYSPRQPQPATTSSGQSSSTSSQPTPPIVQKRLSANELAHKLFPSSAASISLANLAPRTPVPYHPTRFMAQSAFNQASSTETRLVPKITKIIRLVPKKSRGPPKRPTPLPTKVPPQYQGPLFAPPRNKVAEIVKPATQEAHCSSDFGRMTQNFSTMRKNDTLPALCSPHFMLTAVSLYGKELIEWKAPKEPKRKRNMPQSAPESESSSAEEKKPEDIHLEGSVVGEVKPELLDQGFVPQEEVKPNVDEMLSSSSSELPIKSETTSEAIRFDQYPDTMAPGKRVMIHPKPINIRSVRPLNSPSSLVTPKKESETSGTNGSQNNGSTTSLPPIPARHPPPPYIKPGKREPKVSIPTLSHDPKPPNYERDIPETPPPFVYRKRVPLLLTRKERELEEQLEREKREVLAKMKSEAEDVTVKEFLVKQREESKRNNRPVIYVPPKTQARIMKRTSDVVEPLSLQKIPRREFSEK >CRE20826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:109598:115640:1 gene:WBGene00066170 transcript:CRE20826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20826 MDSVSSGNYEKNAAWAGKKSFAEVVKQGMKMETSELCCHVDSMQTDNAIGNAENIDSDKTPNLDSASAASDVSAEDLITAVTAGESSQSTPPVEDEKTCNVQELSEGTDQDSTGTQQPQTSSTASASPDVGPISMPLNYDQSSNTSALKLDDSVTPKMENGVSVLESPETSSTTQEEAESATSDDETFGSVSPEIDNRRIKDAVPESEPSEISESCSALPVNVASLASDKNSVPSAAIINDCVVQEIEDAVPASEFSAAPVISTQSRNGCNFGTISVYLNAEAALPVVDTAIPDSTKSDERMEQMEKSPILESKSEQGSHSPAAQETEDAVPASESSAAPVVSAQSQNEDVSGSISVDINAEAALPVVEDSIPDSPQLNGKMQQMGEFPSLESKRELCSDPPAAIPKVSAAQGIDSVPTSESSTAPSLVSVPAVSTQSLNGGVSGCISVGQNTETALPELDTSFTDFPKSNEETHPTDLQNVLSGPNTAPTSESSESSVQRPSEISLTNQIMMSNQTGAIQFAGSSSQNYQPAFHVQRPVRPDLERYNPQPTTYYPLLEPYVPLQPPPAYAPPAYSPPAYGAPAYGPPAIGPPPIPYGPPPNGPPPIHHQFCQPPPISYGQPPYNSLFFEGEPVYTDVLTSPLSSSTYSVANTPQMRASWYPDNPYDMNSWRGYQFNSFPSSSSNWEDPYGMNQWNSTSFEQYLESRYPNTPSAHFYEGRYSYMYLYEKYGVPVDQSTSTHTNSRNPRNGGQQSRYNSYNSRNGGSNQKTRNPVRRNNSKSSTNASTVSQPVGQQALLAVEVKENTPTDNIENPEMPAVSENVENRQMKVGGGRRRWFNRKKIKGSGQSSSTENVAASATEEVESDDDIYEDAQEEFYCENRCNEGLESSPSAVYDEINSTSHPKDEVVREMMVVESSEESAQKEELVREVQHSVGLLKSEPEPEPKTELESRPEPTLDSGSNSEEEPEAETGKEQESELESEPKSDSKESNSELELVKNAEDAQEISANVQSIFNTSSGSSPEAFKQETAVEKQRVPREVAPKKTWQKLEPYQPPERMVVQRQSMEAPRPKSKTLPTISVQPGKTSYRQKMDKKQLLELTGFKLTD >CRE20825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:93488:102864:1 gene:WBGene00066171 transcript:CRE20825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madd-3 description:CRE-TAG-172 protein [Source:UniProtKB/TrEMBL;Acc:E3MUZ8] MVSTRSARGQQRETKTNTSNARTKRRVTSSSAAEKVKEKAPEKNKESAPVKAPEKNGKTTPADPPSQKPAENASEKNGKPVSVNSKEDLPATFSHAELVLEKETEEALANAPFIGPLNRPPRYARMRQKFREEEQREKERLARVRRKPPPPKIPPRPLSYYIEMEKRNPRPRSPRDSSSSPEIPRKKHTKEEIEAAKARLAANYPPRPKTPPEITRVYDGMKHTINTYLTEPGPRALPLPILLNEPINPIFPKTPTPPPQPKKKSKTPLWVVTLSSDSEHTPPPPPPPPPSRKKVAPRPAPPPPPPPPPPPPPPPASPKRQKLKTPELRRDSKSSRWRKPGPARSPRNNKLNSPRSHVKPRREVVKEQNAPASSKRTEQQEKRKRQRSSSSIEVIKIVKHQATQSSYTRTPIPLLYAANNSTKDSGYTRRGVSVPLHSSRATSKVAVPTTTVDPSTSSSFAFPSPSVSPYCLTACSSPNPPSSREPSTTTSSSAYQHLLSPLASRRHYISHRLPQRAVLSNAPPIQSAAIVFKTTESTSHPLPPILVVDPPQEMPVPYHQKVASSGGGGAIPATNSLALRRLPLVVIPRKRKYKNYVSRRRNTQLLASLRRCVSDPNIYKSYNHWKGLSRPMSPIKSGAPTPKTVTPLPVPPAVPAHKKLTPNPAPTQNPVKLPSPHAVSEKPEKSEKLGKSGILEKPEKPKAAVLPTTLPAKAPLSKPTASKAPVATVAPLAEPEAQSSSSNNQVPAPSPISKQVSGKLTELKSKNGPPTEKTVLRIPSAASTRAKLAATENQAPTSTSKPSPFAPAIAPLRDGVQPPTPSAAPPRPPPVKQNSVQKPPEPKRSVGAPPKTLPSEGVHKIDGIEFLAKDSDDGQLPTTSSGGPKALRRAYGSKSGTTICAIGSPNVPSTSNAAPPPQEDEKRLIEKKLSLRKKKLAGEGIPGGSMLTGSKSGVEIGLSINNNNNKEQTDEQRAKKTVNAVAAAFSTQGGSSQGQGPGAGTVTDENTTTATITPKESPSSAAKPKSAAVQSLISQLQLPASVSAKVDKIIACGDKARKPSRAGLQVHHRCETTNFSLIYLFILNSLIFQAPHPHRNPVAETNPSKERLADDKDGHLIYAKGDFILNRFTIYETLGEGTFGKVVRVVDSLTDTFMALKIIKNVSKYREAAKLEVKVLQKLAERDPEKKHWVIHMGAYFDYHGHICLLFDLMGPSIFDFLPTNFPYFQKANHYRPYPMEQTMHIGWQLINAVKFLHDNKLTHTDLKPENILFVDSRYTTKIVDKKPMRVLQHTHVRLIDFGSATFDHEHHSTIVSTRHYRAPEVILELGWAQPCDVWSIGCILYELYTGGTLFQTHENREHLAMMERVLGDVPARMAKKTKTKFFVNGRLDWVSSSPDAAYVRDNCKPLRRALTCTEPEHVELFEIIEAMLNYEPTGRMRLSEALEHRYFTRLPDRLKVATPVEPAASASEQLAMIATRALPT >CRE20822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:78415:84511:1 gene:WBGene00066172 transcript:CRE20822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20822 MDNKDVPSGSGSGREFLTFQNGGKKKPKASSKNPERTESSQETSKKSKTAQNRKEVEKREKSSPKTPEKTDRSQGIPKKSEVNQKPKGSARTANESDLKEGPNNSEEMKPRPGRPKKSMVPQKQKISPKKANQISSRLDSEKPEKRRPGRAKNSIIVCGKPLSAEEKEKVDELRAAGSHYRQIARELNRSHSTIRKYDKNRETYGESNHVPESVVAEICAAARKDKNLSCEEIRLKLKLKFHKRTILKVLERNGVPRNRKGPRKLSKTTSTIPKTRRHVQTKKSTPTNNGSTRILRNRKRTAQSLLPNPTVDNDTMDSPQTAPSSNSNNDVSSPPTKKKATQSLPMQTNEDLGLDPITPNLDSGTQEGPAAPAAQNDSRPPSRNSNADNTRQTEHDDIYFDILPNPETRSEEGAPGAPAAQNGSRPPSRNSNSENTRQMEHDDVDLDIPPNPETRSEEGAPGAPAAPAAQNAPIEDGSSVVHPETTATARDVEFDNAGIDLAPRSTEDAQSVIPWAQAVGVVERTIGIPSTFVGEYTEPAVRGGETLFVRRRSREEIRPNTPRSEARIRSVSPPRAIQDEEEYRNALSMPSIQNPLTSGTVWNLDSRSEEGTAPPGTQNVGVAEGSIGISSTFAVTYTQPARGGRSIFLSSKEIGSVSPQP >CRE20821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:70242:74188:1 gene:WBGene00066173 transcript:CRE20821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20821 MQTLEQRHSEKVKKTEGDLAMKLFALVSFLLIRNSLQSECIVSEWGTWSKCHGGCKRGLIVRNRDVLQPPLQELTEEGRMMQRLCPHLYETKYCDKTECGEEEKKVNYEQLSSPPPLPVENTTGQIGRNAVAPTTESARNLVERQMKKIGFARGTDDRTQAAIKQKFISETEQLFKLDDVINRKYGPQPSDNLVNTHNPIIDISTQQMTNKQWRDDMANKWTSVSSTPLPSYREHLQSFGRAGGIPTFTTTTSEYIPYRNSRRGRTSSDHSVSTLLRKIEQALDTNQPLDEKYVKSALRRNRKLSKILVDAYRQRQSLMNSGVLSSSSATLPTVISPYPPRVPTTEEVPAFVTAKQTTTRRYPTTTTATSPPPTTSRPSTTTTSTTVKSEAEDFPESVDSFATTPDFFEAHPTTTSPLLYWPKTGGYVPNTRKHASEITAQLYMTNEIVQTLNDDPIPLDVNPECEHNKRCCKIIKNTCSDGIDPKFVKRWYRPKGSSVCVPYHYPRCSSMEEMEELPILFEQNCQDLCFSQQEKRITPLFTLESVDE >CRE20820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:66736:69699:1 gene:WBGene00066174 transcript:CRE20820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20820 MEGSYDAWVVIASLIVFFIAGWIFYTRQLFKNYEVHNRLVQFIFSFTFSLSCSLFELIIFEIADVLDPTSRQKCWTNCLAIMLFTLVVFIPLYMAFLLIQSITFIRSKFHIPLTFFSWFVFLYFFWKIGDPFPILSAKHGIFTIEQVISRVGVIGVTVMAVLSGFGAVNAPYTYMTIFMRPVESIQAQQLEKRLTHAMDMIVSKKRKMARNQLELKRLNSDKASQEPSFLSRLWSNFSESNSESNLQSQISRMESEIKPLETLSRYLFLELVELKNMLDRVAFSKTFLGMYFNVLGHFFSLYCIWKIFISLVNIVFDRVGKVDPVTRMIEISVNYVGIEMDVRYWSQYISFFLVGVIAITSVRGLLITMAKFFVSISNIRSSNIIVLGFAQIMGMYFVSSVLLMRMNVPPEYRIILTRILGDLQFNFYHRWFDVIFLISAVTSIAVLSLIRKSGDTRFRH >CRE20819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:60111:66528:1 gene:WBGene00066175 transcript:CRE20819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20819 MGQIASVFRGRNTHVRGSSHDEEDPEAQMTFSNAAGSYFGTHFLMCGCKFEMARPEAYLFGENSDLDQLGSKALSFPYPPGPLGNDEIRPLNLLVNIRKESVKFQRVKRDNGEFDTNLYQLTFVFDCDVACAIQVHFHAKEVYHDGEIQFSYRNRRTQNSETFPFEMGADQVFGGYVFDASRWDTNDLSYTSGLYYPFVISITTSGVESTQMQTTMCTVETGNDSSKALVLKPLRQKIACDGVTYLLQEIFGIENKANESMDDDNGLECIICLSDIRDTVILPCRHLCVCSNCADSLRYKHNNCPICRSPFRALIRLRAHRQTRNQIYETVSLVEGLNGSFTPIPTVIDPVSTINSSTRRKRHSSSRSGKAMHQVLTMEQLGDDTRVQECIEMSYMANDNDEETVEEEEVQKPAEKERTRSLEESEEGSSGTSSQPFKKHRDSLDISESEEESEPPLPDKKVPEDIDSDKDEGVDKDSVDPSDDDENGVEESMRRNSEKRRSFQSGSRNSSSQLLEEQHRKN >CRE20862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:54260:57797:-1 gene:WBGene00066176 transcript:CRE20862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20862 MNQSYPAFVSFHSLSNCFGYAKLLTAINATNDLSSVNEKFNGPPLGSFRMRSCENPNIFCDLQLFGQLPFTNVFVNSVFAEVSGFQERQEVILEKTESKTYCSYIEVAPRTDNDYSVIAQSQASIENEFLNQIRLVSQNMIIPHFLSPGVYVQFRILNIVPATSNPVILTNETELHVQTVTEGSQSDEKAVMAKKVSNIVQDLSTHGFLSNYVINLEKIPIIGRVLPRKIVESWIKTDIDRIDKNTIYIAGKDSCPYPEHGIIEIKSFGRSELQEHTQFFYLHRTTFSNLKKNDDVLNNSLAHMFDSLQLANRQHCVDGSGSLEPYVNVKILGVPENRICTLRYCEVMMEKDTLLWIEEYAMKDIMTKALQAECHGNPILLSVEGKELDVALDDKIIRVKILPNIKGLVKRVTESTCFLLEVTTELIYKKITDSKQKFSELGSRTRRRRLDESESSESSPPTQKPEISNVENFGLVQNEDFVQIGRHSKMLSELDKVCRDGRQHVLVLGGNGSGKTTFIKKLARRLSYNSSVTFCKLIPCALLKGRSAEVIEKLLNDTIQELDVKKPSCLFLDDFDVILPRIDQEQRHLAMEKVVSVFSQKLRTTGVSVVLVAQRLSTLNDGFVEQVMRARPIVSRKIELGPLTKE >CRE20818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:52162:53932:1 gene:WBGene00066177 transcript:CRE20818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20818 MARRSPFGLPLNDEAKQLVNDVRLRIGQPIHPNFNTDFNVYRFIMAAERTHRKERDVIKYAALALNNHLRYRKALNLDVEHIPSFDDNPIFQKKLMPRGEILTKTDAQNRLLWYIEYATITVESIAHSIRSSEACKFQFLQFEHMLRKVMEQEERTGRLSSLRHIVNMDGYEINPFTMVFVTSGTLAYYSQLFHFENYPELVTPTDIVNIAKWIHVPYKIAKAMMPTGFSEKFRLHDRHFLETLLEDIDVNDIPVSLGGNDTEIKFTDAIKIDPNNYWRVDNADLIDALEGFHVGARKSRIVSINVTTARELKWYFSTDGDIYFGVFFEGDATNNNVEQVEPNLDNMDMVYPWLKITAKLVHEIDHVNLNRVGRYHVVFCNKHSWIHRRCVQFYGQILDDTDNSYKRLYTDGTMSSADRMI >CRE20860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:39463:42845:-1 gene:WBGene00066178 transcript:CRE20860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20860 MRLFFLIIVLTLLIAGNCETDNTFMPTKCEICALVSIEFGSQAARVHKRVSSEFADITEKICLGFNEFKIHKEKTGLERFSRAPSKTIETLKQMRDKGVKVELGMPYEMWDQPSAEIFALRQGCESLLEDYEDVIEEWFLKKLRVDDLFKQLCAQNVLKHGGASCFLNDSNDKEL >CRE20817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:31411:37124:1 gene:WBGene00066179 transcript:CRE20817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sel-7 description:CRE-SEL-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MUY7] MANPHNTSTQPTPQQQFQMAQIPLAAFFENNENKTMINSMNHRLNDMDLKLSLILELLATRLPDQRIPSIFTSPPQTVISEAPPQSFTPSATNSTSDKTSSLKSLFQTELKTEDSDGDLDMEGEEDTEELFENESQPSQRNQSPKETEVEDEKVLVDGPFPEGAVKRAAEKAARSFQSTQPKVFAWQILRESVTDDELRNVQISLRTFHGETADHLLGRQLPKIRLVVEATMKYFKWDLLSTESQLSKAKLILSHLKNNAKVRNWTLREGRPNRVAPATPPVNVDMVWKRYLALLGPAGFAAGILPNLPQNLCNGGTQSPSMATIDPSLFKVES >CRE19384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:58710:59213:-1 gene:WBGene00066180 transcript:CRE19384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19384 MSISPFFRPRPFSLIGEMMRDMARMERQFIPISHFEAPDSAAAPEITNTDEKFAINLNVAQFKPEDLKINLDGRTLSIQGEQEVKDEHGYSKKSFSRMILLPEDVDIGVVASNLSDDGRLSVEAPKKVAVQGRAIPITQSAVEQKPAE >CRE20816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:20176:22320:1 gene:WBGene00066181 transcript:CRE20816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20816 MDTSLDYVMENLVISNRTSSTPENSDVLEVDGKFIREDIPSISNLSPQKMTTEIQCYYCKELTFFPSLDELEVHIAFDHLGLAPYECESCKYARFPTEYALAYHSKDIHNTETFMMKIRYNKEVFKNVSILKSIMLRYYSTHTPTPVSVPSTSEKLETMSGINVKVDGEKQETPSSSCSQSSTSPMCSPSEFKEPAFSIKNILT >CRE20812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:3285:7723:1 gene:WBGene00066182 transcript:CRE20812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20812 MLRLLRVLRLPSRILLLFLLLSLSDASTVSLNKETMENQCVTHVKSHTQKIRFPSQLTEVDEMESKKPEFEGKICPVCGDRVSGYHYGILTCESCKGFFKRTVQDIVRADKKRGGRNKFNSYYVMDRKWRVALSMESQPEPRFTTAGNQASIDSTGQNAQTQYHDPTKIRTEYDSHLQSLSSYSLFSPAFYQPLPQMMPITTNTQFLVPSSPSTSTPSPILTLCSAPTERTEVFSSSICKAMPDDSVIPLILSRTVNNDAHAFAIQVADENLREIVNWAKQDETFSKLELNDQTKLLQTSWMTIHIIDITNAMVLGTVHPRYNIGNGEEVSVGFIALLGNQNLVSSWENIVIRLRNFGFNKYDYCAFRCLALLDEGHNATVNAGRLQILHAWSEVRSNTAFLEIFNQIRHLASISIQYVWGLQYTRPSVWALLNPNTSVALELIKANSTRSSGGTEVTSRQLQTP >CRE20811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:1434:2630:1 gene:WBGene00066183 transcript:CRE20811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20811 MIYRKHLAYRVSALQCLDDRVITRIKYLKLTPWSVTIDKTSYTYKYGQEEMEAKLIEGDINIEDRRSEFRHRIFEDVIADNNGAEHVVERLVEEAGVFPNGIDLAAFFDRTHVRGVVQYDKHLERRILHCSAAGEETRYVHIHRDGKIQDMLRRQIQKLFGQARIHHVEHLDIGIDYGILRLPKGLVFKVKQLTCLENANSTINSILPIIHNSSLPFHNLSVKLRSKRDSVLNNQALTQTENLTIQMPSALYDSWAQTIIELPNTIIHLENTDIPLNDLAAIAENWIENFKDVGNKLSMVSLNSAEFALEVFEARVQAIRKLLLSRQCQCASRQLTAHSELVVYGYENPNFVEELQDEEGGNPWIIVMEILPIGSSVDLIN >CRE18049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:97:4653:-1 gene:WBGene00066184 transcript:CRE18049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-math-33 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3MTP9] MCSPDPEDMVVMPTHDIPAYDECNDPYGPEGHLSLDIDCFSAFMNRPDNRMMSKPVIVRGIPWRILAICRYRRLGKLRNRSQVFSYSRSQQGGRHTISSRVSRNNYNFGFFLQCNNDELLQKRGIWRCYGQATLEVLNTKGASIQKKIHHSFHNGEVDWGFSNYDQYDTLMNPKDGYVVNDTIKLRCRFTADVPSGAGYLWDSKRHTGCIGLRNQGATCYMNSILQSFYFTTGFRRAVYKMEVGTEPSESNIVLAMQRVFYELQMSSEAVETNSLTRAFGWDKLDAFNQHDVQEFCRVLLDNLETKMKGSSEEKSIPNLFRGNMKSYIKCLDVDYESSRTESFYDVQLNVLGMDSLERAFQAYTTSETLDDENKYDAGDHGLQRAEKGVKFVELPPVLHVQLMRFQYCGVEQKINERFSFPEKMNLAECCELGPLLTEEDCVYSLHAVLVHSGEFHGGHYVTFINVNLHESAVDPTATAKWCKFDDDVVSRTTTDDAIVSNFGGEKAMNTSAYMLVYVRDNAIEQVLAPIPDTQIPQSVSRTFELERMTRNREKKKMEEEQLCMTVALVTPDIVATNHTYDLVEQTIINEVIPHETVWKHMMTAELYLFVNDKLFQKSDLPKVDMFDNDDHAMELRHRVIRQIKSKKFNFRLWRMSDAYPMEKSQKQPSRLRPTEVL >CRE17996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:5652:9370:1 gene:WBGene00066185 transcript:CRE17996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-erfa-3 MSNWNVNANSFVPNVNAPVFVPGQPYTPPAPQPAPEPAEDWETQADTSPQEEQPAPPAPIEEAAPAPEAPALEAAAAPQKKEPTPEEDVIAPLAKKFQRTVYVEDGTHKEHINIVFVGHVDAGKSTIGGQLMFLTGMVDKRTLEKYEREAKEKGRESWYLSWCMDTNDEEREKGKTVEVGRAYFETEKRHFTILDAPGHKSFVPNMIAGATQADLAVLVISARRGEFETGFDRGGQTREHSMLVKTAGVKHLVILVNKMDDPTVKWEEERFKEIEGKLTPYLRKLGFNPKTDLTYIPCSGLTGSFIKDRPSASDGSWYTGPCFIEFIDVLLPSYKRDFNGPVRCIVCEKYSEMGTVIIGKMESGCVQKGDTLVVMPNKHPVQVLQIWADDVETERVVAGDNIKFKLKGIEESELQAGFIICSPDSLAKTGRVFDAEVLVLEHKSIIASGYSCVLHIQSAVEEVTVRGVIATIDKKTGEKKRAKFVKQDEKCIMRLESVEAFVLEPFKEYPYLGRFTLRDEGKTIAIGKVLKVIE >CRE17997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:9778:11108:1 gene:WBGene00066186 transcript:CRE17997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17997 MSLRKRVVDLYKNLYHMGKEYPGGSKWFHDRLKLAFSKNKNVEDPTQIEQLIGRGEFVVKEIEALYSLRKYRAMKQRYYDKEDEITAATQKFEESVKKL >CRE18050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:11223:12541:-1 gene:WBGene00066187 transcript:CRE18050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18050 MTPRPLDDVEFYIFPIIFFCPTSRIFYFPKMSTEVRGKLVAVIGDQDSVVGFLMGGIGEVNAARQSNFYIVEKHTIDKEIESAFRAFCTRDDIAIILINQHVAERIRQVVDDHAQKPQTSVAVLEIPSKEAPYDPSKDSILNRARGLYNTEDFR >CRE17998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:14061:14303:1 gene:WBGene00066188 transcript:CRE17998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17998 MALLISLLCGIWCLLAAKNVRQDGTLIILDDDEHDRSKGSRSTAGSEFSAIDMEEDEKGGNGGVKEYLKGIGRSLIFMKK >CRE17999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:22338:25656:1 gene:WBGene00066189 transcript:CRE17999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17999 MRQKFDGRPLDIKNAVPTYFEYCIHSMETPDSACPLLHEFVIAPFALEECRRGGASSSEPARMRPFSDAVTAASTSRTTTTTAVATTVVSSSEEHSPCCSARPLAIGVVAVSMSFALIYFLMIVPLTTTILPVTSSPPPFDLSTVSHTTVTLPSTASTTVIPEITTTEDPLYKYIDDKHHRLQIPLIHIPQLYEVKLKLFVPWNPSVNYGTDNFIVEGHVRVHFTSGGGSRVLLHSDSEQHVGECLVKDEFGREIFVKHVGRGFPQVLDLHLAADMIHGMNYTLDVAFRRHIRRESAAGLFAVPYTHGNETRYVVATHLQISEARTVFPCIDVPEVKAQFDTIIIHPTGTTAIANMMDNSTVVEGGWTTTTFRRTPPMSTYLFALSVSDYPYLERYSSRGVRSRVYCDPSKLDSAQLLTDTISPVLDFYEDYFGIPYPLEKLGEWYTNFYYLHMIHNITKRKNIYLKTWPARNTLEMAYCELKNIPK >CRE18052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:26003:31407:-1 gene:WBGene00066190 transcript:CRE18052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lact-8 description:CRE-LACT-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MTQ6] MVKQIFLFFLLLFFHHNLNLTNAIPSNVTLLTLGDLWETRGMIRTHLFPTKTSTVYPEFAAIPSHQGDGRMHLTRTALDKAIENVAEMNGVLIHSVCSYRHLNVTFYTLNTMFGIGRSEVLIDANMTEMERKMSEMTDRRIKVNFICVGANNDTYSAVWHHSPDFVWHFIVDSGPIREIIEKDRLQMTRGYYADCFQTYRNDNISRAIIIWRKGFGKKYRIQYGTEVNEMLTDMQRTDLEPVQFASLPRLGQKRPFPRWILWHGDEFTWGNRTIPRDLSRMEHNWEALNSVIEHRMRSYDIPSISIHILRDNKMEYSASYGFADILQAIPVKTLHRYRIASVSKLVTAMTIGELLADYNHIDLLTPVFGPAGILHDVCSPCHPFLLHVRIIHLLEHSSGAWPHTSKFEFDRMEQNQTEFLMRVVQKEFPILFPGGRHMYSNIGYILLGRIIEKVSGRSYEEYALEKVLEPLGVNATIGKEGDPSEEVTYYSHDNANAYTSWSTRRLNSAAGWAMTAEDVTKVFHHLEEHKLRRFRWIITPSAVRWNYGRGVQLGNDGSLYHIGSLAGTEAIGYTWQNVQVAILTNIRGKEQNEQTGWMEKLCRSIADGRFTR >CRE18000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:31126:35371:1 gene:WBGene00066191 transcript:CRE18000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18000 MNQHSVHFRHILNRFIQRRSCQMHPSIALMTRNRRKFRVHRGRLRRKQMSPNHATCLPQVAKCEQRDIRWDGIYVVIVPALSVTAMENWGLITIRQTDGLYKEGQFSITQKHNLQEIISHEVAHQWFGNLVTMKWWNDLWLNEGFATLISVRAVDFLENTTWRYEDKSAELQCIALRTDQMDGMIPVSANSNANIGRYMEKSPKVNAIVYKKSSIIIRMIERLIEDETFKQGLSRFLNSFLYKNADHEDLFNVLVYVHDSSAGGHLSGQNFSLSDVMDTWIRQAHFPIVHVNRLEGSIVTLRQEKYEHVPYDPPRENSQVWKIPIFYDDPVSGKHKVFWLTDKQPAVFDMGGQFVVDPHQLTYMRLRYDMDVYSDITMALLRDHNLVPANSRSRLIDDTMAMAENGQMSYKVPFNMTMYMTSEVREIFRKR >CRE18053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:35431:36475:-1 gene:WBGene00066192 transcript:CRE18053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18053 MIQKSSILFLLVAMSSATSVLNRYFSEADGIPLARNPSEDARVSDADVGMKSDLFIGTFGGRPIVTRQENTFIDQDGVPVPMLPYHKTYKNSDNLTAYKRFMERSRGAPTPV >CRE18002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:40973:49146:1 gene:WBGene00066193 transcript:CRE18002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18002 MTPEEAAKRGPSRTALSFLTAVKLDANSGNKKSKQFQNIPISEPISKLELLRLDTSDLSSSKENTLLLLTPSDICRDPSPLNSLNRKKLSAPRLEKTKSDDMVFAEIEALTVMENNLFCSLRNSSKRRCDAMDKYDRISKLGEGSYGVVYKCKNKDTGQIVAIKKFVETEDDPHIKKIALREIRMLKQLKHQNLVGLIEVFKRNRKLHLVFELCDRTVLHELEKSPTGVNDDLTKKIIYQLLDALRFCHSNKCIHRDVKPENIFLTRNDQVKLGDFGFARIINTTEMYTDYVATRWYRSPELLVGDVQYGPPVDIWAVGCVYAELMTGEALWPGRSDIDQLFLIRKTLGEFLPRHVSIFRTNQFFFGLSIPEPEHLEPLPSKLPNASSGQLDFLYKCFEMSPDRRWSCSELMQHAIFQNWSLRIRMDDSTQNGGHTSKRSPNYLPLLNGNSNNLVTKNFSLHTSHGSNLNNNGNGMNRNYLPTIS >CRE18054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:50061:51267:-1 gene:WBGene00066194 transcript:CRE18054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18054 MKLLKFPSLVQQNVFEFLGMHVLLILSFCSKRIRYSIQSLQKPRWKDIKSVQYFFKEKDKINVSVKSENSSVGFSLSPTTLEETMITPMDVFGMGPTIPIRLHPNLSKRKYLFNREQKQLVVQGIHDNLHQFLGSSTINYVVDSTGHELPQNLKNIKRTCIKVSENTTAEELEACFAASPNQEYIQIDGHFNGNLCPNSAILGAEHLRIISNKGHGDEILLGFRGKRFDCDCSFHDATIVQFLNEWKSNKGFHKLESLIINSIRSKNYDATDLLKDIDVKQLDRPQDTLHITWQTRYAYPIVNAMGSTKPLKVGGFSSRDYSIRDGDGEKASVFIENHDVCFAVWKGNSCEMENING >CRE18056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:53850:55051:-1 gene:WBGene00066195 transcript:CRE18056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18056 MKLLKLPSLVQQNVFEFLEFKQLLFLSSCSKRTRYLIQSLQKRRWKDIKFVKYSFDENDKICVSVRSEFLIGFFSLSPTTLEQSVITPMEVFGMGPEIPIRLHPKYFGIYLYNRKQKHLVVQGIHDYLYEFFGSSSIDYEVESTENKLPPSLKNISRTCIKVPGNTTAEELEACFTASPNQDYIEINGHFNGILSTNSVILGAEHLTVISNEGHGDEILLGFRGKRLNCDCPFHDATIVQFLNEWKSNKGFHNLESLEINSYTSKKYYDVMVLKDMDVKQLDRPQDTLRITWQMSRSYTFPITSFVPVKSFKSGFSSRDYLIKDGDGEKASVLIEDHYVHFALWNGNSCEMENIND >CRE18003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:61844:63061:1 gene:WBGene00066196 transcript:CRE18003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18003 MKGDPPPPFLILKLPGVPLNQVMRNMDGSEIAESPFRVCNQDVSVMWQIAEYKTVYIRGFSRETMFDLYNLVVSLFAPATIRWVLDVFELSRETLLKYLDKGLSENCSEINIKSEDLSIKLLTELMDRIPLTKILKVTSWIPSDFKHPNAFKYRDIWYWQAQWVTLNDLKSVRNADSVVLEWTRFDSKDMNEFLRYWVECDEEMMKRLELNMYRGEDIDKNILFDQLLVLKVEEGNSQHYYLKKRNQNTGKIVLGHLEVKEFNWIVFSERNINTMTTLSDSMRKFLLEQ >CRE18060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:66719:68206:-1 gene:WBGene00066197 transcript:CRE18060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18060 MCDDEVAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGVVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITVGNERFRCPEAMFQPSFLGMESAGIHETSYNSIMKCDIDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF >CRE19932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:147:811:1 gene:WBGene00066198 transcript:CRE19932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19932 MKILTERGYSFTTAAEREIVRDIKEKLCYVALDFEQEIATAASSSSLEKSYELPDGQVITVGNERFRCPEALFQPSFLGMKSAGVHETVYNSIMKSDFDTRKDLYANTLLSGGTTMYLGIADRMQKEITALAPSTMKIGINAPPERKYSVWIGGSMLASLSYFQQMCISKQEYDESGPSIVHRMCF >CRE18005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:76962:78553:1 gene:WBGene00066199 transcript:CRE18005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18005 description:Actin [Source:UniProtKB/TrEMBL;Acc:Q4TTR3] MCDDEVAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGVVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITVGNERFRCPEALFQPSFLGMESAGIHETSYNSIMKCDIDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF >CRE18007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:86204:87502:1 gene:WBGene00066200 transcript:CRE18007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18007 MCDDEVAALVVDNGSGMCKAGFAGDDAPRAVIPSIVGRPDHQGVMVNMGQKDSYVGDEAQSKRGILTLEYPIERGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPFNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGVVLDSGDGVTHTVPIYEGYVFPPAILRLDLAGRDLTDYLMKMFGDSFTTTSQRETVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITVGNERFRCPEALFQPSFLGMKSAGIHETVYNCIMESDIDIRKDLYANTVLSGGTTMYPGIADRMQKEMTALAPSTMKIGINAPPERKYSVWIGGSILASLSTFQQMCISKKEYDESGPSIVHRICV >CRE18008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:88417:89586:1 gene:WBGene00066201 transcript:CRE18008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18008 MQLLRFPNLVQEKIFGSLELDELLVLSFCSNRTKYLIECLQKNRFRKIATISYTFDVNYFSVCTQPYYSKQGCRLMFDMRKKVKPMQLFGIGSMISLCRWKNSQYDSSKYEYESSQEQTTVAKAVHSYLYQFFGSHAVYQVFSCIRPPSLEKIDRTHIRVPENTTAEELEACFTASPNQEFIQLNGYFNGNLCPNSAILGAEHLTVNSGGYGDEILLGFRGKRLECKGPFHDATIVQFLNEWKSNRGFHNLESLIINSSDYKSYDRGDIIKNMDVKQLDRPEDILQITWEMSHSCSYSMFSIVPPKSEPSDFRSRDYLIRDGDGEKVSISIENRNVRFALWKGNSCEIINR >CRE18009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:92105:94440:1 gene:WBGene00066202 transcript:CRE18009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18009 MDNPEASTPLPFQLLKLPAVALNQLVRMMHGSEIIKLAMCSHRLELSMRSWKYKLKKLEVSLRHESLLFVLTEANNSSRIAFRDRDLKPHLEPVTKMSQLCDRFEEEVNKNVRVQGFSREIMFDLYNLVMSLYEPATIRWVLDVNVLSRETLLRYLDKGLSENCSEISIERGDLSIERLTELMDRIPVTKKLKVTSRIPADFKHPNTFKYRAIWYGMAQWVTLDHLKSIRNADSVVLESTNFDSKDMNEFLRYWVDCDEEMMKRLKLRIREGALIDKNILTDQLLVLEATEEGSQHYYL >CRE18010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:98741:100029:1 gene:WBGene00066203 transcript:CRE18010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18010 MVQIISKKTVSFIGQKMATQIDEQLFSKYGFKVEQLMELAGLAAAQAIVAHYPKNTLSEIPMPKGASCPQSILRPSLLFYLTPVFIAFLIFNKNITSDIRHMYGN >CRE18011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:104439:104833:1 gene:WBGene00066204 transcript:CRE18011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18011 MSVAICRALLVFLVLFVACQAYSRMELEDRMQMSRFHELGKGAAGSMGDDAYLRYLSEYFGRPMQRRSAGSTYPELL >CRE18012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:107760:109731:1 gene:WBGene00066205 transcript:CRE18012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18012 MFSTFQATNCSNGRVFVDNCGIGKFCCIDGCCIDFTYIIILLIVISMIATVAIFIHVEIVKERRFNEKLKKHIESLKEEGVHPEFEETRIFLGASPIDSCPFNLPPSCSPPMTVPKLKFNRPIRQFLHKHM >CRE18013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:114215:115539:1 gene:WBGene00066206 transcript:CRE18013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dod-3 description:CRE-DOD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MTS9] MHFSSISNSSPNMPDHHSIDIENVPIVTPPPYMDPANSQAKKKMSRIRKESVSHMKPCEHCGAPPQAQAANLPHEQVLVWPAVRPVNHIHQDSRQFIGYVIVIAIVIFLLFAACKYLP >CRE18018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:133220:133917:1 gene:WBGene00066208 transcript:CRE18018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18018 MGTSLVALLENRSNCIPSNRFRITKQRTRFLYYLFNCTVIIGYIIPPFCYIPEQESAKLFLLQTIPCPTEEFFYTEVFVWTIDKLWSNYIWVASGSIVLIILSQLIFYSICCVYYLYFSTAVIISPKTRKYQRSFFLGTVAQVVVPFIFLVIPVGGLISSIYFDYNNQALNNSIVLLYSFHGFASTIIITLVHHPYRTFLIEVVTFYRNSGKQHF >CRE18063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:142165:142395:-1 gene:WBGene00066209 transcript:CRE18063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18063 MRREATTIGGQGYYKNGFPEETSRTTTNAMGRLPEKRNFSATRKSTDRTLEHNFHRSEKMDSCDPRPPQISEERID >CRE18020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:143127:144876:1 gene:WBGene00066210 transcript:CRE18020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18020 MVTSIDLYYKTEWKSKCSSNEKSFLASWQGLSLFSHSMLVVFLPFYAFTTYCILKKTPRTMDSVKFVLLNAHCWSCYCDILVCSLITPYFFFPTMSGFPVGLLRVLGVPTSVQTLIGIVSLLFMATSVVALFENRSNCIPNNRFRITKQRTRFFYYLLICTAIIGYIIPPFCYIPEQESAKWFLLQTIPCPTEEFFYTDVFVWTIDKFWHNYIWMTTGSMILIIFSQIIFYAICCIYYLYFSTAVIISPKTRKYQRSFFLGTVAQAVVPLLLLLIPAISVILLIYFEYYNQALNNSCALSVSFHGFASTIIITLVHHPYRTFLIKVVTFYRSSGKSKFLSSSWYMKKLI >CRE18021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:145564:149601:1 gene:WBGene00066211 transcript:CRE18021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18021 MTTSIDLYYKTVWKSKCSSNEKSFLASWQGLSLFSHSMLVVFLPFYAFTTYCILKKTPRTMDSVKFVLLNAHCWSCYSDVLICSLITPYLFFPTISGFPVGLFRVLGVPTSVQTFIGFVSLLFMGVSLVALFENRSSCIPSNRFRITKQKTRFLYYLFISTVIIGYLIPTFCNIPEQETAKLFLLQTIPCPTEEFFYADVFVWTIDKFWYDYLWMTTGSMILIIFSQIIFYAICCIYYLYISTAVIISPKTRKYQRSFFLGTIAQVVVPLIFLVIPLGTGISFMYFNYYNQALNNSIVLFFSFHGFASTIIITLVHHPYRTFLIKMVTSYRSSDAKIISKEKLHTSLKPEIEQNLLTVFFSQSFSSTITTNTMTTSIDLYYETVWKSKCSSNEKSFLASWQGLSLFSHLMLAMFLPFYAFTTYCILKKTPKTMDSVKFVLLNAHCWCCSIDILACSLITPYFFFPAVSGFPVGLLRVLGVPTSAQTFIGIVSCFFMGTSLVALFENRSSCIPSNRFRITTKRSRFLYCLLRSFFLGTTAQAVVPLIFLLVPVISVMLCVYFDYYNQTLNNSCALFVSFHGFASTIIITLVHHPYRTFLIKVVTSYRGSGRSTFSNYS >CRE18023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:155165:157008:1 gene:WBGene00066212 transcript:CRE18023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18023 MTTTSIDLYYETEWKSKCSSNEKSFLASWQGLSLFSHSMLVVFLPFYAFTTYCILMKTPRTMGSVKFVLLNAHCWSCYIDILVSSLVTPYFFIPTMSGFTVGLLRVLRVPTSAQVFIGCASSMLMTTSLLALFENRSSCIPSNRFRITKHRTRLLYYLFNCTVIIGYLIPPFCNIPVQDSAKLFVLQTIPCPTEEFFYTDVFVWAIDKFWINYLWIATGSLILILFSQLIFYAICCIYYLYFSTAVIISPKTRKYQRSFFLGTTAQVVVPLIFLIIPVATGISFFYFDYYNQELNNSVVLLLSLHGFASTIIITLVHHPYRTFLIKMVTFYRSSVHRRNLRYSHSESLDECDSDIITTLFLKLCSIGMSFMSKLQTVCVS >CRE18025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:161422:162628:1 gene:WBGene00066213 transcript:CRE18025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18025 MATSTDLYYETVWKSKCSSNEKSFLASWQGLSLFSHSMLVVFLPFYAFTTYCILMKTPKTMDSVKFVLLNAHCWACYIDILVCSLITPYFFFPTISGFPVGLLRVFGVPTSVQVLIGLTSSMFMGTSLVALFENRSSCIPSNRFRITKKKSRFLYFLFNCTVIIGYLIPPFCNIPEQESAKLFLLQTIPCPTEEFFYTEVFVLTIDKFWYNYLWMATGSMVLILLFQIIFYSVCCIYYLYISTTAMISPKTRKYQRSFFLGTVAQVVVPFLFLVIPVGGLISSIYFDYYNQALNNSCVLFFSFHGFASTIIITLVHHPYRTFLFKVVTFDRSSGRSTFLSN >CRE18064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:164387:166028:-1 gene:WBGene00066214 transcript:CRE18064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18064 MPEQQRMRDVRNEYDAAVRKVQRTDKLPPKVLFVLRAVSSCFGFCMSIFLITVGWINKHNCEEDKHIPYWLIVTGIVIFISALNFPFYLIGWRRARQALAPQQPTETDIKNATPFKMHRISRFTFCCLCMCIPIGIIWTLNIYHDHDNCDSLTFWTAFCVSILYLCWMALMVSVCLLGCCMVGATRVAKQVTTAKQKKQQSANVETA >CRE18065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:167445:169134:-1 gene:WBGene00066215 transcript:CRE18065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18065 MSVRDKYRSTVNELQRAESIPEKISVFRKAWAWIIIASQNVVAKFGFVFIPLYLIIVSIWSFGTCKDIPAILFMLGIGIFFCTLYPPFYAYGKKKALEDRQERINLHLEPIHDGEVEPEEVNKFIPCRMHRICNFAFTFVLTMAPILTYWTFKMFSKHDECYGLTYWTAFVISIIYSIWVIFIFSACIFCCCFLILLQCIGKYRGGNRDVEMA >CRE18027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:173115:174648:1 gene:WBGene00066216 transcript:CRE18027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-18 description:CRE-DHS-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MTU7] MSDAIPNTGFFAGKTVFITGASRGIGKEIALKLAKDGANIVVAAKTATAHPKLPGTIYTAAEEIEKAGGHALPCVVDVRDESAVKAAVDAAVKRFGGIDILINNASAISLTNTEDTDMKRYDLMHSINTRGTYLLTKTCLPYLKKGKNPHILNISPPLDMDPKWFGPHVGYTMAKFGMSMCVLGHHEEFRPFGIAVNALWPLTAIWTSAMEFLSHGGDSAGNRKASIMSDSAYVILTQDSTKFTGNFLIDEEILRKHGVQDFEQYECVKGASLIPDFFVPAKSYEARFGSKL >CRE18028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:180300:181966:1 gene:WBGene00066217 transcript:CRE18028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18028 MSPIHIFLPLLLVLPVLSHELHHKAFRAWKTPKVNKHRLSLIIQRLKLNETKRLDGADLSDIHQSTDPVSKLTASEQCPMFQSFSSCACEPTCNNPNPYCPNCEPGCTCRNGFVRSSLHLCILPEECPRTKLRRFELQAPRRLYEAVPVVLSSTTTTEEPTTTEPSLPSTTTESFIIEDEMVTVPLLVLRNGPTPPSAPPMLQEPSDMIGAPSATPPPDFSYGPPGMNAAKVALYENFLKQKRIMKALRHRRNQFAKRML >CRE18066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:182088:182360:-1 gene:WBGene00066218 transcript:CRE18066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18066 MKFFTVFLLILAMIICSTQARRNYQNIDYKLYDEADEGKNIEKVYEVIEIKPAVKRPHHHHNHHRNMKKVNSLNE >CRE18067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:184460:189689:-1 gene:WBGene00066219 transcript:CRE18067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18067 MKRVFSTQAIQTAIEKSLPEFQKIVGNAAVRTQETIRRQFARDESHFIGPPPAVVLEPTNVEQVSQLLKLCNDRGIPVVPFGTGTGLEGGSMSILGGVCLSTQQITGEPVLREQDFVCSVKPSTTRIALNDAIKHSGLFFPVDPGADASVCGMVATSASGTNAIRYGTMKENVLNLEVVLADGTVLDTKGKGRCPRKSSAGFNFTELFVGSEGTLGVITEATLRVHPRPQFLSAAVCSFSSVHQAASTVVEVLQWSIPVARIEFLDSTQIKASNAYSSLTLREAPTLFIEFHGSSEKEVADQTEAVKDICQSHEALDFDSGASPEKMALLWKARHNAYYAALAMRTGARGFTTDVCVPISKLADVISETRADLDEHQILGTVVGHVGDGNFHVILPTIEEDKSEHRKIQAFSDRLVRRALAADGTCTGEHGVGLGKRKYLREELGENTVRLMHTVKKALDPMNIMNPGKLLPEL >CRE18069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:193137:195334:-1 gene:WBGene00066220 transcript:CRE18069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18069 MSNGFWMDDGQQQQGGWNTQTNQQDMGWGQFDYSQPQQTQQQAGNDYYQQQSQQYQQPQNNYYGGQMFLPNTGTGALPNAAPDGEDYENEPPLLEELGINFSHIKEKTIAVLNPTGSATVEVIADQDLAGPLVFCLIFGAALLLHGKLSFGFIYGVGGLGCVGIYALMNLMATDEKNISFTCTASVLGYCLLPMALLSIVTAVLSFKVSFQGIVGYFVSALAVLWCSSASSKLFVIALSMDHQRLLVAYPCVLLYSVFALLAIF >CRE18029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:195522:196332:1 gene:WBGene00066222 transcript:CRE18029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18029 MSSILPQLLLRPIRISASNFGQITRSIATSQKCCIETIALSKLNKDDLGHSILIHKGTLPPGSPKTPHDVVAMGVKAAKILSLSSSIAGVVMVPVLSSYLWEAAAEKPKMMLFAIVANTFLVLLSFTPLLLHFLAKRFPIDIFYNNEKKIYTTIHYNFLMQKQALRFSASDVVDAAVAPEMKKVWIPLATAFVGKRPLLISLDRNAYLDKLAFDELTKNVDIPPNAD >CRE18070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:196930:197586:-1 gene:WBGene00066223 transcript:CRE18070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18070 MVKFVIAISGKRKSGKDYCAKLIKESIASKGVSVSAVGISHSLKEEYAKIHDLNFAELLTDGPYKEKYRKDMILWGEEARNKDFAVFCRAAIKSVIDSDVVIVSDCRRLTDYAYFNSNFNSLTIRVESSEENRKERGFRFVEGVDDAESECGLDNYKFDVVLKNLTGEELAPQIEAITGIVINKL >CRE18071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:197908:198309:-1 gene:WBGene00066224 transcript:CRE18071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emo-1 description:CRE-EMO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MTV5] MCQILFFAKKSTKPLLGSFSVIISLFLVSFSKCSYFPFPEATMDQFQAMIEPARQFSKDSYRLVKRCTKPDRKEYQKIATATAIGFAIMGFIGFFVKLIHIPINNIIVGA >CRE18030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:200302:203163:1 gene:WBGene00066225 transcript:CRE18030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18030 MVLRLCRFLTPSGGRCVNFFLLLLSANSILIGLVGGQLPDQLDPFLEKLFEFEECEDFLGSTDYTNIATSKCDPHTCDFPRQICARPASKFQDTSANTCRTIPIECITAANGGTAPGPSIRPTPSPFMPPQPSLPNVISPMIPSPTASATPSPTDPLAICKMGVPNGRFCGFRPMFTYNKETLQCDEFWFPGCRTAETNANLFEDYQQCQKVADMCKPTPPPTLAPFRPRPHTTRRPHPPPTPPPPPPTPPAPSPFVDAFKSFGGNSQAGQGALGALGMFTGSGLGETGQGPLGNFVPNPNAGAAPMGAGGNGGNGGGPDGQDLGLFGLIQQGIMGAQAAGQGGKPGKEATAKAAGQILQQFTGFDLGGIGNNFGGLFGR >CRE18072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:203155:203963:-1 gene:WBGene00066226 transcript:CRE18072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-16 description:CRE-SPP-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MTV7] MNFLLSVVLGTLLISTFTSAQTPISCAFCISGLAQINQEVVTTPDMQAQMGVQASQGCDQIPVKQTRETCRGTMNTNFNIFYANFTGQANNSPTQMCINMGMC >CRE18073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:204278:205887:-1 gene:WBGene00066227 transcript:CRE18073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18073 MPRLYSARERNLLIIVSLLYLVALWYDFPPTSGISKLKERLRNSKIRRRNIYQEDVTLEELENNLYSKNGYEVVQVTAPVPTLRFVQEPTCEKVFMDWIEVSNRSGNEVPPRRLTAEQQFEVTMNNYSSLIYNYRNSAAKKQKPAKWDIISELMTWKSEILGALSYSFDGVSLHHAMKEHELNEKNGLIVGSLIPWVEVLSLKHGAANILTIEYNQLNIEQKFRDRMSSISPADFASNYEKYLNTFDFAAAFSSIEQLGLGQFGEPIDAIGDLREILKIRCTLKPGGLLFLGLPFGLDAVVFNLHRVYGPIRLAMLMTGFEWVATYSSETNSAIELDKVLREDGTETKNQYTLVLRKV >CRE18031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:209994:210598:1 gene:WBGene00066228 transcript:CRE18031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18031 MSQANHLSYSARMRGRSKQPIYSSDKRVKFTQKSGVKWVRIITVLGYILSVSLPAISLSVYYIYIWDPGYITKYPAEPVNKTVLIHKSPILSQRVERDMIVTTIEEKVEESKTIDLASILQDGLKSMESKERTASDNG >CRE18074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:210842:213761:-1 gene:WBGene00066229 transcript:CRE18074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18074 MDVGNQTTDESLFANISSYESTTPEAPYTIPCWAPDDYLITERFWLCVVAGVTVSIISIVFNTFIFFVFVTNKQHRRSPNLYLLLLSLFDVFIAFAYIAVMSVRILVNFTSSVFLKSIWVRYMIPMLTVSHIGITSSTFLICFASIERYCITVNNFLVPYLQKHRPILAFIAIMCGVVSKGSIVKEVDIQLNPECYGELNYWKVVPSSLLYEFPLFNQYWRFYFRNIFTILAPFFILLLVNCLLLFQLREHNRKSKFADHDKQNVKEKKARIRATTKSVVIIVCTYLMSNLLSVIITIWEYIDSASIFSEEWLAFYVLSVDVISLLTIVASSARLPIYAYFQPLLRKEMGQCLGDWCCCIPESDKKLSLLDELQIPKTQLISTPDGESPSISSKIEFV >CRE18075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:225698:227039:-1 gene:WBGene00066230 transcript:CRE18075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18075 MASKDDENPLKEYTRAQVEQHCTHDDLWIIFRGKVYNMTPYFNQHPGGLAILRYAGKDASTVLPYVASHGIAWKIIEKKLEEHLIGKLK >CRE18076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:228098:232627:-1 gene:WBGene00066231 transcript:CRE18076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-31 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MTW2] MLWQLFFLFISIAVSQIDVNQALNQNKLDIGVISPSGISDVELEQTFPRTNLARMRNALKSLRQNWSAKLQAMPARNYGGVNQETGAVEQQKPLREKPRDRIKVEGDTLHQVNKAAGLNDILYQGDMVLTDDQIATILEAQQDSTETTPSRARRQAYRDRYYPSTTWGTSVYYYYDRTATPKIVKAFEQAVAFWQNVTCINIIQSSSALNRIRVFKGQGCYSYVGRISGVQDLSLGTGCEEFGTAAHELGHALGFFHTQSRYDRDNYISINYANIDPSYVEQFDKETSSTNFNYGMPYDYGSIMQYGATSASSNDKATMIARDAEYQDTMGSDFVGFYDISMMNEHYKCKELCPAGTSARCVNGGFPSPRNCAICICPSGYGGVLCDQRVSLEVFENKLSRLLQPPGCGDSLNATTAWQTITNTIGDGLPTLRDNHTMCNYWVRAPDNQAVEIRISGLTTVTIDGCIFGGIEIKTNKDQKLTGYRYCSSADQNTVHRSTGSLVPIILFNRYASTKAILEYRAVTPSADATATSATTFAPIVNSCQDLHPNCAAYAWNGFCVNQFYSLQARHYYCAYTCGLCWMNYSNNQY >CRE18032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:237172:237600:1 gene:WBGene00066233 transcript:CRE18032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18032 MSSQTTILIVLAIVGATYGLRCHLISYGNLNDPNAITTATSLQDCPIGANSCVKTIDYSRNVFSKQCQIGNCTTAAGAVQAPANCFNTTSGTTVMATCCCYGDG >CRE18033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:242314:242937:1 gene:WBGene00066234 transcript:CRE18033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18033 MIRPIFLTLALAAYTAFALQCHVVPTGNLSIPDTSPNQECAIGSLSCLKVIDYTRGTYTKQCQTMNCTMNGLQNAVANCQNTSAFGVSGATCCCYGDGCNSAPKTGLVTAFGLTAALVSLMKIVA >CRE18077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:243153:245111:-1 gene:WBGene00066235 transcript:CRE18077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scvp-1 MGSCTSDDQLLTEEGSGFVPPPFVNISVELVSNRVFDPKKNASNLKIVKDTVDDYSKTEGIKYPSDNIKQSIVDHGGKFAVLFEIEDDARCDEVRRFIRGAKQHSKELSYAVLKCDGEKTQIL >CRE18034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:245179:246489:1 gene:WBGene00066236 transcript:CRE18034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18034 MSSEFRILFLPLVALHHVLKLMSPFELISLSSCSQNIHGVCKSIRSKIQCENSCKMFQVCFESSSKILLDVNYFPNVTWTIDFGKISTEISSEVPKKLSSLISTWVANYSHWVSLDQLLEADTSCICLSRSNFTTSDFKTLVDKWRAGWTPKWTSLMIEFKENLDIDMCVGGEPFHTVPRNIKPLMKLVVKKESPIQLYKFDMFRRTPEGLTHKTGYYIYRSDDVVATITVEKNRMGWIEIQPFDCDVEFRFHLHTRTLQLD >CRE18078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:248050:250132:-1 gene:WBGene00066237 transcript:CRE18078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18078 MAASKENADDLKFNIGESVNGFKLVKKIDEGGFGQVFKVTKDNETFYAMKLESSFQEGGSAIKLEINVLNGLPRDSVFPGFVAAGRKPRYHYLVLELLGDNLKTLKSKSPNPDVWTDGTWSRVGIQCFYAIKKMHESGFVHRDIKPNNFAIGINTSSELRSRRIFLFDFGLARRFIHKIPGGSKKNSKSAPKKTSGPAKLKSSPSRSKVKKAPSRMAQVNTNTKASPQVQAPAAPASGPKKLVPPKARLAKESRECASISREELPEEEEYAFRLPRPHTDFRGTPQYASPNAHLLKELGRHDDIWSLMYMIAEFFNELPWSNNEEIPPEEMKDQSTILRLFHDDKNPDRLTSAMRHQLEEIDKNLKEMNYYSFPNYELVYKFLKDSMDKAKVVWDTPFDWELGPQSPIDATTKSKNLKKKNFEWENPA >CRE18035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:251164:253123:1 gene:WBGene00066238 transcript:CRE18035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18035 MSLYTAADGLLGTHVTWGEVEEEMQKALGTTATFGDDKTVTNISDMKGFMSRIALVEPKWVGAADEEKLPEKFIVKISSQLPFIEMTKLMDFSAEDFWDDAKLKGMGEVTRLLHNREVATYKILMREKHPLIPFTKNFQTEIQQILNLMKNFFLIQIYALKPFDDENTLKAYIISEYFPNTYHIGMHESIPAEDLLPIVHGAAAFSAIGMKLSEEETKYARGMHFLDMVFEQFMDEKSNVKMEEMMRKAFPEEYSEKVEKMLKINKDYYLNKNMLPNFKNTCEFFGYQPVLTHSDLWSSNFLCGKEGEKVTLKAIIDYQTVSITTPAQDVGRLFASCLSTKDRREKVDYLLEEYYKTFVKELDGMDVPYTLQNLKDSYQVFFPLMSCMVLPGIAPMLEHAPHLSEEDKEAMKEVAMAKMVGLLEDVISTHESSIQKFPKYFEF >CRE18036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:254456:256578:1 gene:WBGene00066239 transcript:CRE18036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18036 MSVLIVASLFFVTVYIVHFYWKVRKYPKGPFPLPLIGNLLQFPGENIHIYFDDLAKEYGPCFTLWTPLPSVVITDYEYLKEAFITQGDAFIHRADIPSETLLQPHKNTGVVMSSGENWVLQRRTSLKILRNFGMGKNLMEDQVMRSVHELLAQLESIKDKKNVDMFWPIQLCVGNVINESLFGYHYKYEDYERFKTFVTIVDTHLRSLQSRASLLIAAFPWFRHVPIIGESGYYGIKRNVKKYQTFIDEEVASQVKDYDGGSDPDNFVHAYLQQMEQTGNPGLDMKNLCASVLDFWVAGMETTSTTLRWSLAYMMKYPEIQDKVRKEIFDVVGTSRLPSMSDKPNMPYTQAVIHEIQRHSSMIPLLASHTNIEDIVLKGQTIPSGTRVFAQLWSILKRDSIFEETDKFNPDRYLLQDGKTMNKSIIERLIQAVLERTIPFSIGKRSCVGEGLARLELFLIFSAMVQQYEFVPNGQIDLTPVYGGALAAKPYTCQLIPQIA >CRE18079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:257994:274297:-1 gene:WBGene00066240 transcript:CRE18079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18079 MASGVSTQYGGCFRYRRTEKGKTSSSVLIIGIERLSPPKDLLHYSTKLNKLKKKSIFLHESPRWLMQKGKVLHAKKVFLAIEKFDGIPESKSLNEIELESFIDNEHDTQISESNTKVGKQHSFWHLFKTREIMTGTFVIAFTFFATTLINYSIMFNLGAVAGSIYMNSILIGLLRYSFSLFSGLLDYKFERFNRKMCHGICSIVTISIIVAIIVFCLTDTASTFSTLIRIGVLLSCAMTSQAIIVASIASNELMPTAIRSISYSTAQLCSRFGIVFAPHVFHLNLYTGIDFPELPYAILLAIGLADFFFFRFLIPETKNKPLEDFIRKKTVVNEEEEEDRV >CRE18080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:276583:280020:-1 gene:WBGene00066241 transcript:CRE18080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18080 MTSKRTTCDDDEESLQILEKPPGGLKPNALHSDDLLRMGRYSIFLCIFVEVAMLSQLSNTMLMVYAGAAPTIKSCGNLTFASNEEACANYNYCKEQNDMTFERQFYGITEQFKLLCEDAKLEKLGTSIQMIGVMLGCLVFGQIGDLYGRKKPMMFCLCMCFIFGIWSALSSDFKKFTIFRTILCFFNGGQSTISVVYMIENIPKRSRGYISTLISYSPNVILLGILAFFFQEWNRLVLVISFLTVPAIVMLAFLHESPRWLMQKGKVLHAKKVFLAIEKFDGIPESKSLNEIELESFLDNEHDTQISESNTKVGKQHSFWHLFKTREIMTGTFVIAFTFFATTLINYSIMFNLGAVAGSIYMNSILIGLLRYSFSLFSGLLDYKFERFNRKMCHGICSIVTISIIVAIIVFCLTDTASTFSTLIRIGVLLSCAMTSQAIIVASIASNELMPTAIRSISYSTAQLCSRFGIVFAPHVFHLNLYTGIDFPELPYAILLAIGLADFFFFRFLIPETKNKPLEDFIRKKTVVNDEEEEDRV >CRE18081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:281742:283815:-1 gene:WBGene00066242 transcript:CRE18081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18081 MDDTYFWSKHGRPAAEKDRVRNSVAELCLFHLKKEGTVMKTTHFKSDKDLKFKAEEDIDMAPYIMYSLNKKRRWEAEDAIGIELLDRTQKLNDGWKVLLIERVNLRSYVWPNWSYGYSPERFLDYFMIKDVHELKKENAYDHKTLDSVYGQRYSHFLEKNRKPKKDDDGEEGGDEEPLAAYNFLRPPKNYVTILQKQFTSDNYGWEWPHVGYWRRIDRLKDDHLELRYGELEDLDGNDVNENDEEGPLDEGFEQKTPSEFYNLEEHLVDKFVIVKRRRRKNTC >CRE18037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:287863:289469:1 gene:WBGene00066243 transcript:CRE18037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18037 MFYYSHVFHVNRCFLIKVISPFLQKMSLFTAQPTVDMPSAENAPPPPPFIPPFEECNATVNEWGVWPAEFFVADPAHDQTATCAASATEIESRVRCGNTPVNRNCTALVPDSKCVFKWAQATTATATLCSCQCCLLGADQCEMRSSSSMSIGMSISIGSSSIDGSGSYLLGDQLHQQHDHGHCETSDVAAVQYFVELSDVVV >CRE18039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:298467:299677:1 gene:WBGene00066245 transcript:CRE18039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18039 MQKTMIAALLLVIVAVSDIVNAAPQPPTSCALDERAQIPCVCCKKDCWYSIAAAATHELGHMPGEAGEREAMATLRLIRACMIAECAGVCSASPF >CRE18040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:301294:304289:1 gene:WBGene00066246 transcript:CRE18040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-153 description:CRE-COL-153 protein [Source:UniProtKB/TrEMBL;Acc:E3MTX6] MSGMSSGQILIGSLTASCITFLISISAIAFILRDVTSLFGELEVEMTRLKYDTSLAWSDMQIFTKEIGQIDRIRRNIMQQETDYSGYQAQVTVSDFANDGSGEFPDEIIPEPMMPSFHPKCACFTSQTCPPGPPGPLGDQGIDGDDGIDGVDGYDGFDAYPSPPAKPSKAPFTCPRGPPGDSGPEGPRGKRGLRGPRGRPGRPGINGNPGFPGDIGSPGQPGPDGTVGERGVNGVDGVTYIPRQGVKGAMGQPGNEGQPGKKGKPGLPGKEGIRGYEGMSGLRGIEGVGGPIGPPGAQGQHGEDAAYCQCPNRGDSVAGSGEAPPLFH >CRE18041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:304572:306600:1 gene:WBGene00066247 transcript:CRE18041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18041 MPRQRRTGIVYDVREQGTARLIFDERRTKFDGVLDTISGETMLVRGRVTSPDASTSAPATDGSILMDVDVVDGEEGSAFHVTGVKVFVDEQYAAIDSTAIKFEALDRLIGMKAAVEIQQHISPEIAEESKEVFVGKMQIHLLTSHRPSPSIRRESVCAENNDIKILECSDDFLS >CRE18082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:306625:307765:-1 gene:WBGene00066248 transcript:CRE18082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-221 description:CRE-CLEC-221 protein [Source:UniProtKB/TrEMBL;Acc:E3MTX8] MVRPLLLISVLLVVILLNVVPDVTATEIGKCNLWQGKCPPGWKTFARAPGKRICLRIFHQNATYLEAQNICRKQYNSRVHGIENVEEQLWLKMKSKKLITENPGYMWLGARRKAHCFKTPAQMANEEGCREKNLRFQWDDQITTNNFMFTQWDQSIDAPDSLESNGAPEDCVVMNVHENGGFIDDKDCTLPQAGFLCGMTA >CRE18042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:309200:310078:1 gene:WBGene00066249 transcript:CRE18042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18042 MPSIWSKVKEYCQWFLWGKTPYSQLSDHGKKEARRDLYCRLFIIANAPYFATVYGTFVLSMGVSSKLADLMIKVAPERDIWKKCVGGFCFGTYIVLHVITMGAGMMYITFPFYIYVFNNSYSFVTRRFGVSWNKFVNWCFFLLNRKY >CRE18083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:313468:315750:-1 gene:WBGene00066250 transcript:CRE18083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18083 MKLLRFPSLVQQKIFELMGFHSLLILSFCSKRIKHLIQSLQRYRWKDIKFVNYSFVELNEIHITVGFDIKSERIYLFPYKGLVTNPMRVFGMDPEVSCSFDTRLCGSKYTYNTEEKQRVVQGIHDYLYQFFGSSIDYEVESMETHLPPSLKNINSSRIKVPQNTTAEQLEACFTASPNQEYIEIGGHFTGNLCPNSVILGTEYLRIYCSAMHGDDILLRFRGKRLDVGQASFHDSTIVRFLNDWRTNKTFENLKSLLINSYDYKNYDAGKLLQDVGIKKMSQSEGILRLTWQMRLLYSTFLNFPRPPHRKWIPSAFESRDYLIRDGDGEKASVFIEDHYVCFAVWNGSSCVTNHTSDKPNY >CRE18084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:320181:323009:-1 gene:WBGene00066251 transcript:CRE18084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18084 MRELIVLVLVALAHGLTEHFDEKITLAPLSTNELRVDFRFNSDRNFNIETESRDFLTFPRIIQELLTRYSIRKLTVTMAHGRWNLDEWGVPPQPSAPAGAQVLAEFDAENGEKADERMKFLVEALNGVLCTSISHINVITSPELVVLANHGEKSKKLFKRYGVLSGETTCTENLTRLRKLLACKENGLSTLLHPSKLYNSLYHSSHLVIEQKCLKSQCEARMEVGVSVVMRNPSQKSQRHWSLADVFDRKLGSQCKIARSSQIDVIGRQGEITSTDIKNLTAISGEEPFEVYIPVTEISKKESVVKAWSSQGGFEQKHGVLTVHVTNDNSDSEIHVSQIIPYYVHLRYSRIKWECDMDGVPTIVKYLRNSEGGEAPTLLQYRLNLAAGQTCELVIPFDKQLLRLEQYPPDANHGMHIPSASVFVRKSDSSHAYSIHSSAILVLLPVPDFSMPFNVICFVATAFALVFGPIQLYSTMWLAPVVKKSQWGRKIHRIILLAIIAFCLYAHMMDINLNEIRRGIENQLEKLNELK >CRE18085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:323295:325494:-1 gene:WBGene00066252 transcript:CRE18085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-318 description:CRE-TAG-318 protein [Source:UniProtKB/TrEMBL;Acc:E3MTY5] MNRLSWYTPGESTEELLCQAGHVGIYEGDLKQTQFEQGTASLTMQRIIWADSSDPDRRLVLHHSLVISMEKHHKSMFSKGGKVVVRLEKPKTGAVGPVNSSQYDTIRLVFRHGGEDDFFKKYEDAVRRKTWQRSSSGSSSSGSRASSNLRSVGISGIERRLAENHQKTHETITQAFDDMSKLMETAREMVAISKSISEKVRSRKGEISEDETIAFKSYLLSLGVSDPVTKSTFVGSDSEYFQSLAKEITDVLHEHIKENGGMCALPEVYCRINRARGMELLSPEDVMNACGALSRINSPLELHRFPSGVLVVQLKSASMESTVAQTLEFVAKLQRASANELAESLGITVILARERLLAAEESGLICRDDSIEGLLFYPNRFMD >CRE18086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:326718:330504:-1 gene:WBGene00066253 transcript:CRE18086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18086 MSFTNIKNLENNGIQKICIDSSGNDKEFVYVCDNERNVLKFNKQSLLSDEKPEVIEIPDATTSSIAWFGENICFGYRKVDFIKGSQQQTVGLAKNGKFDEEKISNPLEFEMDVTSVDVNEENVVGGSSDYAVKLTSLKRPDAEWKRRTLEAEILCVKLDPKNEFVAVSTVDGNVTIISIDSFSIAHTLTNVFSKFETIDVSNARIQISWSKDGSSLFVPSKDCVKSFRRDEWEASGTYRLKDTANFSVTTVSPCGNYLAASTMDNQVVIWNCVDRSVLSSEKFERNARGVTIITSIEFSPFAAYQLIVADSNRGICIFNAFEDTNSAEALAESKNEKNAVNSNDSDDDDDDSIILNRTAVRKDDLFNDEAMEDDDEATRMSSDIGAIKRQYNFDNQNLDGLEEFGFQLGEPEAMPGSSNAPQIHQIPMYSEPPAPKKVLIPERFVCNSSAPVDPEATQRFLKYNRFGIVRSYVNDANKVSTLDIEFHDKSIHGDIHIDNFEIGYELADISLKVVALASLENRKKEKELDKAEDELEDLDTNDTPKEKKGTSILHVIPIQAFDGHRWTMTLPRGDGCLDVLCSSTQIVVLTKKRNIRVFTIGGIQRQIFTHPSPILTATCFENRIAIASVAGSEFYEQKKTPQWRFEVTEYSLDQKSWYRESRSRGATGAITRIDVPVETGEQMDWLAYSSQGKLAVMDSAYNVHVLSAPGLWVPVFQGSSILRAKSDGIFPLALTAKEFRYIYCRGSRSPIVSGINAPTTIEWKVPFCQPESARTEMEQNLFLNELSLADAILERNGDRSENETKKLTATIVRLFAFLTKSNADGQAAEIASLVSASSAKTIQSLCNYASKCKKIALADKVAEIGRRMTEDGEDDRGFDDDTEIRPAKRIALAARGKKVRKVVSPVEEEQKEEQKEDEDNEEEMSSRYNTNLDISMSSQPVQPLERLAKNPFAKGSSGENSTSSTQASLSIFDQLETVATDQRKRTLEPAATSNPTARKQAKLKFGVSEEKKKTSAKPKEKSQINDENGEENKKVEEEKEAPVKKSVSPYDMWLSESKSDLRFDFDGDDADFAKFCIQKFRALSKDQKEEWKARAVAAALN >CRE18046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:330733:332853:1 gene:WBGene00066254 transcript:CRE18046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eef-1G MTGKLYGNKDNFRTQKVLIAAKLANKTVTLAGDSAPADKFPLGVTPAFEGDALLFGAESIGLHLTGASANAETVQWLQFAEGYLLPAVLGYVLPSVSAANFDKKTVEHYKNELNGQLQVLDRVLVKKTYLVGERLSLADVSVALDLLPAFQHVLDASARKSFVNVTRWFRTVVNQPAVKEVLGEVALATSVAQFNQAKFTELSAKVAKSAPKAEKPKKEAKPAPAAAAAQPEDDEPKEEKAKDPFAEMPKGTFVLDNFKRSYSNEDTATKAIPHFWENFDAETWSIWKCEYKYPEDLTLAFMSCNLINGMYQRLEKLKKNAFASMILFGTDNNSTISGIWVWKGDKLAFELSPDWQVDYESYTWTKLDPKSEATKKEVNEYLLWEGDFGGKKFNQGKIFK >CRE18087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:332917:350338:-1 gene:WBGene00066255 transcript:CRE18087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18087 METPSCSSWKRKNRCSSLVYQSTSVVLFLLLFSSFFSPTEQFGLFGPCTAATYGDWSAWSTCPTVTVIDPTVVARRTRQCTNTPMLCSNPLSCEGEPAETIVCGTTTTKERYSTIVFGTTAFDETTTVGDVTTTEAATTTEVAATTTEVAATTADITTTKPSETETTTEASLTTAASTTKESELTTTEASAETTAEDSAVTTTKAAGSATTEETTTPADATDATDATVSDSNNNQQTTVDFTFPSNAPGGEDTTLLVEESTAPYDECAPPVTTVSTMAPGTMGTLFPGGGGGGGGLDPMTFPAATPTAMPGVTRSPSCKTTPVITTTIQFKTCTMIAVSTTTPMISTSTESMESTTTSSGVSTTLDSVTTSTPSDTSTAAMSTTESGSSPDVTTPADSSTSPAESVTSSEASATTSESISGSSTPSDTSPGGSSSESPSATTEPSVSSSESGSSESPEATTEPSASSSPSESSESPASTSESSVSSESPNASSDSVSDSVSTKEPSVSSEEPNGSTDGAGSTGLTTPSLEDTTSTAYTWSTCNDCSQINVQLFNGNPYEDASSVLNRYSASGCYYVQFFCRPIEIGDTTAVSTILSGDTSYLSAPLSANLACRDKKWVLLGSTSPLASSVSSLGCVYAIATTTKPKTTTIPGIDPNNPCMNCSNLVVSQSIYPEGVTYLDHTLNKCLSVEAHCLPINGGTEVELMYNNKGILASGSKVNRTFTCTKNSKWLDVSTNSIISNISCIMPKTATTTPIVASTLHEIPSTDGGSPTKDSSIKPVTESKTTPDAEPPEEETTPGAMISTTQIDMTTVESMPSTPGGAPPTPAVTEEEFDSTTTTLPAVCNNCVPLEPLSRLGPGKYNGMLVLWHYRQNGCNRVSITCQATMSESDTAYLYYNPNGEVMTGTGELAMDLVCTNGQYVYDGKTIETVSCLASTTAVVPITTIPPMTTPAPGDDCSGCNRLPVAILSSTSGYTNGLLKATTSYSNCMSVQLTCYGVSSTDQASLIYADSPLATSVPNANLTLTCNTAGMWVTDSLQTVESISCGYTEASLTTTALPSSCGICANMESAEALLQTDSYDGMIVLYNYVDLVTKCKKVDISCQGTTPTENADLIFDPIGTVSSGQGIHEISLNCSSDGKWKYMGTVIDSVSCIISTDSTATPPTTVVVPTISTTLNPSIPMCAQCSRLTAIVVSSIDNSLQNGVTTMTFSTVNSCSTVQVTCSGLTTSERVTLLAATTSLVSGVGSVSFNFTCNSGADWMTAAGTIVDSVACGRYNLTTSSTQSTSTSTTTTRTTTTVTAPSQCSKCANMLAKPLNPTDGSYDGMIILMHYTKNSCRAVDVSCGPTINTENSTIYFGTSVVATGASTHSFTLSCDANANWIYESTSVSTVSCLISTASNGVTVGPTTSTTTVKDDSASACSQCGKAPIVSVGTGSTYLNGFTTVVQSTDAFGCSLLSIKCQGMTTADAVALLSDGMPYSSGFGSTSANLTCNSQSQWIGRTSTAITSLACGHKNAATTRSLSTTLAPTGQCTSCGNMVAVSMAGAISNSYDGMVIMDHFISPVTGCRTVTVTCAPTVSTENATLYFNSDPIETGMASHDFELTCSSTGTWIYESTTVNNVGCLISTGTGVATTVTTQAPVTNPSNNLCSQCSRLPVVSTTNTAYKDGFTTLVTTLNAFGCSVVTMMCETTVPTNMAAIVLNQVNYMETAIGMANYSDFSCNSQGQWTSPPGIVTAVSCVQYSTADPTTAAASLCSQCQQLPLVSTGLAFPDGIATMTYSQNSAGCRVATMTCQGVMSNNVVSIVANDTTFLDYAPGSVNYSFTCNSQGKWTASYSLLVSSVSCIIQTDGGITGTTPRVTTTTTKSYEMCNSCSDFRPKVMGTGTAYDGMIVINQYRDRATNCRKATIDCATTMDYETAELYLDDQRISASGRVEVELECSDDAVWVYNGKEFATTSCLIYNTTTTTGKKRRKRQAGTGLTACQSCGNLPMTSLYSFYPYMNGFSSISIDDTSSCMTAVGTCEGVSETNTVAWIASGTILSSGTDIVNMTFTCNSLSTWQTSTGSVFSSLSCGRQYATTTVATTTVASQALNCPNLIAAPLSSTELGANESNGQLILDHYIDTTVMAREVTVTCYGSSATDNTTISFNGGSRTMSGTGQIELTMTCSASGQWMRNGIGVETVACIVITPPGATIPITTTIPTTPTTSAGSLPSTNCARNVMLTIPTGYNAGYLSMNTISTETSLQVVMSCAAPTGITESMLLSSSGAISTSGAPTTNMTLSCNSASQWVVSSTSGTGIDVGTVITSIACVVAISPTTTLVPATVPAGCQLCSNLPAIGLESNQLDANERNGQFILDHVTTVTACRVVYLSCAGSSASENSTILFNGGSQTMSNQGITSTVLTCTAASTWDWYGSSIGYASCKVADRALTTTTTAPISLTTTAYVVPGDTGLACSRSSLVNPLIEGDAGAYLVLDTKIVNGGLSTTLSCAAPDDSSTAVLTNGDGSVLGQSSNGLINMTLTCNSNSQWVISAISASTTGSSAVLGTVVDDLKCGEIREFFFLFLEIHFIFSASPTTTTTVATTTTPSGSCQNCPNMQAIALTSSQLVVNGATNGQLLLSHSVDQSSKCRIVVIKCRGENTNQNATIFFNANGQSLSAQYGQVATSMACSPSNIWQRNGVQIDGVACMITSVVGATSTSPAPITTLAPSSTTQSSNGPSANCNTGFTTAISAPGYTAGFMTVDTKTSGSTMTADVTCASPVTSQTVALLDSSNSQVSSGVGTTFMTLTCNSNSQWVTPSGTVISSLSCGTVAPATTTPTPTTVATVPTTVTVRSIRALVYSTTPSTAAAGDCSTATWNAWQEWSTCTDTCGSCGSQQRFRSCNKPLDTCTCTGTAYEKEYCNLAVCKFPRASCCDGFTPHSSGGTFCFSLGCFGGFGGGGCGSSCLLGLCFQTPMIQPIGCGCGAGFMCIRGGCVARAAAAGTKTFRDESSRPPDLSTTPDEHFATCCSLLEVPDSCNDLCSYSTYSSEEVSAVLLQQSTCPVTAIRKIHFCAARGTNHTECCMKSFVPMHCHSFCDQSQDKDVSDLSIAHLQCVQHFNDIKSCFVEHANSEYFEGFSEDSQSVQQNQETSLRSSF >CRE18047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:363390:367349:1 gene:WBGene00066256 transcript:CRE18047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-9 MTSAVFYASDVKQLPECEPSVFNDLAGMPIAIFGFITNVINVIVFCDPEMRCSLVNHFLLVLSISDLLLLVCNFFMLIFPVIASMSNNYLLHNYYPLFLWFAYPVGLSTQTCGVYLTVLVSVHRYLGVCHPFRAKRWVSGKPVKWAIIGSIIFSIVLNLHTWLELDIRTCYDINFNSPLRAIFLTPLRMNSMYTLITKCIMYTLTMFIIPFITLIIVNCRIVVALKESTRMRNGQSMKKSTQSRIMNNFRMLKGAKYSELFGRFGRLNFNPLKTPSLLKTNGNSLRDRSVTLMLLAIVAIFLCCNCLAFCNNIYDNVQVAKKHAQESGSSNSTLLPPEIEELSQDYDEEWSIFDEWTFDFSVEISNLLISLNSSSSMFVYLIFSSKYRSIIKHWLGLEKRRRTNGVALTTVMAAQKALELSILPDEVEARRNRKEKNRFVKNSKQLNKSAQLFLTTSETDLRKATMVRKEANDDEIQEIQSDEPSAASNISRDDQNRSSSKRKLLRFATLA >CRE18048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:373758:375749:1 gene:WBGene00066257 transcript:CRE18048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18048 MSIVSILFVIIILCRDTHQMWCLDGSDCEASDTCALCEGAACLRVQRNQPGKGVTVALTCLPHDSLIHTYHPEGCRTELSSGDKLCLCSGREFCNTSSRTSSVLLVSLLFLLF >CRE18088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:375764:377747:-1 gene:WBGene00066258 transcript:CRE18088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18088 MARNAEKAMTALARWRRMKEEEERGPIARRPHDVKDCRNLSDAERFRREIVRDASKKITAIQNPGLGEFKLRDLNDEINRLIKLKHAWEQRIRELGGTDYRKYAQKELDAIGRETGNSRGYKYFGAAKDLPGVRELFEKGTEGEEQRRHRADLMRNIDAHYFGYLDDEDGRLIPLEKLVEEKNVERVAKEFAEKQAEKLKYAKADAEAPENIYKVEEEDEDDLATQESTVIGEDGRPMTIRHVLLPTQQDIEEMLLEQKKQELMSKYLD >CRE18090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:382492:384422:-1 gene:WBGene00066259 transcript:CRE18090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18090 MLVIPTDDYLSVEKEEASEVCEIEIHSSTGDLLLVSITNFLMFSHRRPRNPNDIIVRNSDMQFIVDSKPKVLHLRSTELFNYFQGYQLMKTPIWLIIPMNLNFRSILLFSNPKDCFSYDTLRCPDYSLCYNCSKYCFSTELECDGYDTCGEDESSCTFINTKTLPIPVFFLILSLCSAGLFYRCPFYAKNSKASRHTFIRYLREAIPPALAPPSQPDQGDQGKTENEITLSVPSTPPGHTRHRHSILSRINFQPAPALSEIEPEVEDREQSPQESICEPPAHQPEVFLRRQSLPFGLNAVPVSTDSMTKTDDKKTRFASRAMSFQH >CRE29267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig959:6542:7426:-1 gene:WBGene00066260 transcript:CRE29267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29267 MSTGEMSSEYKDKQCGGAGQPLTKAENPDGRKILPNGMNRVHKVLTDEELAKGMSTRWTELEIHGKVKNLSPSLWQLTHLSALFLNNNQLQRLPPEISQLSNLTMLDISNNKLRSLPTELGDMITLCHLYLNNNQLRVLPYELGKLFRIQTLGLNGNPLSPEISKIYHETNGAQKILQFLLDHLTSKFSFLNGALKYPIGSPLESYRKTDQTSRYFNLVLMTDQVVLFYPYRMT >CRE29264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig959:9181:12833:1 gene:WBGene00066261 transcript:CRE29264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29264 MSSIPTAPGNVGFPQAYGQNQQYQHVQQFNQFAQPPAAAPYNAGPNYPANPFPFPSATTSHEPVKPSVPSQISNGASKYPVNQVPNYAAAPPAFPAAPTGFPQQQPVFSPATHLGLNGHSAPTPPIPPPVLVNSFSSQFLLKIMFQPPSNPVNSPAASSQPPIPSAFASARPAPFPAARASPAPATASYQQQMAPRPNAPSGYQPPQQPGYPPAPQQPGYTPQPQSSGYPQQHQQQYGQSQVPQPQMQNMNQQFDQMKLGGAIGPQTQMSGYRNDIIDLIAERNIQQMGFEDIVVQIPQSVAEPNAHVDPNIFRSTLVQVPQTEELLKKSRLPFAITLHPFRDVKNLNIIQCANIVRCRYCRTYINPYVYLPDHRHWKCNLCNRNNDLPDDFCWDPTTKAFGDPRNRPEIQNATVEFIAPSEYMLRPPQPAVYVFVIDISAAAIQSGYLHTLSEQLLINLDQMPGDERAQICFIAVDQCLHFFSFSSNKRYPNEMIVDDIDDAFVPSVTSLLVPMKKYKDTIRSFIKQLPEFYSQVSPTSNGNCLGSALKLAQTMIQEIGGRISIFQVSLPNLGLGALKSREESTEGGQNLGPATDFYKALSLECTSFQICLDLFMFNTQYADLATLCKFSKVAKFSTGCVYHFPNYSYRNDIHQVKRFERTLTRYLTRKLGFEAVLRIRTSRGLALTGFYGNFFVRSPDLLALANVNPDSALAAQVTIEEKLAQYVCFQSALLYTSSKGDRRIRVHTMCLPTTGDLLQLYNNFDLKATVSYLAKIGVERSMTGSALADSREALVNAVVDSIGAYQKAVSRGSGMLVPRCGHLRLFPSYVLAMLKHPAFSSVRGIRLDERAGAMLMMRSSPLEQILSDIYPRLYRLNELATMPEDQLPSPLPLSFEHISRGGVYLMITGTIAFVYVAASADPGFLVNVFGTSIYNDIDEVSGFIKCKHDFSFFQYSLLERDNDLSRRVHSFFKQVTQFRFYLGPMITIKEHSPLRDVFVRRLVDDRSESTHSYVEFLQHLKREISGN >CRE15512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:417352:420961:1 gene:WBGene00066262 transcript:CRE15512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-22 MTISYTLDVSQTNLQSFFSLLLRWRGSVWKAVFGQLAVWTAVFLMISCIYRYMLSPSQQDVFEQLVRYFDNKLDANIPLTFLLGFFVSFVVARWGSILNGIGWIDDASLLFATYIRGADEETRVIRRNLVRYLVLSQALVLRDISMQVRKRFPTMDTLAASGLMTHEEMDILDHIKDPYSRYWTSIQWSLNLVYECQKKGKVESYYLMNKIVDEIGKFRHGLASLLKYDWVPVPLVYPQVIFLAVRIYFMICLIGRQFIVTGSNPSGIDLWLPITTMVQFLVYMGWMKVAEALLNPLGEDDDDLECNYIIDKNLITGLSIVDTMWKHDDTGYSMVEEHMAKTPSQKKDEFWGIDKIAPLYSMESAERSVHPLVGSASKINLVKNKKEIVMTPHKNKLSELDPSEQKTYLRRVNVSDHNAKHAKQRGLERANSPDKCLSKMRSRSNGKFRTSANGSQNGVDLWTRAGDIEMNVAASNPNQVHPHSIAVFPPDEQQTTSRH >CRE15579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:406572:409187:-1 gene:WBGene00066264 transcript:CRE15579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15579 description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:E3MT17] MVAEKKDAVTASKGDSNRKSVKIEECPTYSHSSGSSVNEMVNQNGGDSEDSVSPRAAGCRTRRRTMSGGRAEHHLLTTKTGKKIYTKGRPPWYDKKGKSLKHPFVIGVCGGSASGKTTVAEKIVERLGIPWVTILSMDSFYKVLTPEEIKEAHESKYNFDEPKAFDFDLLYEILKRLREGKSVDVPVYDFNTHSRDPNSKMMYGADVLIFEGILAFHDERIKNLMDMKVFVDTDGDLRLARRIVRDVTDRGRDIDGIMEQYFTFVKLQPAFDKYIAPCMDYADLIVPRGGENDVAIDMIVQNVMAQLVERGYDRNQNNRDRHDLVRDDLPDCLPENLFILKETPQVKGLVTFVRDRDTSRDNHIFYSDRLMRILIEECMNHMPYKDVEIEMAGGRKTLGKRKDAMICGLPIMRAGECMETALRSIVKDCVIGKILIQTNETTFDPELHYIRLPPHITRYKVIIMDATVTTGSAAMMAIRVLLDHDVKEEDIFVASLLMGQQGAHALAYAFPKVKLITTAMDHQMTENCYLIPGMGNFGDRYYGTGIDQDLDEPFDV >CRE15578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:404716:406172:-1 gene:WBGene00066265 transcript:CRE15578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ufd-1 description:CRE-UFD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MT16] MLQTRKHEQKMQAWLQGLNMGGGHMRGNYDQTFVVYGAVFLPNATQTKITEINYGGKILLPTSALDLLLRLNIEYPMLFKLTNMPAQRVTHCGVLEFSAPEGQAILPQWMMQQLGLTDGDTVRVESATVPKATFAKLKPMSLEFLNITNPRAFLEVELRKYACLTKNDLIPTSYAGQTLEFLVVDVKPANSVCIIECDVNLDFDAPEGYVEQPRSVAPSVNVKPPAPPASAFLGAGQATAGTGSTATTTSVFGGAGRRLDGKKKPTSSVSLCSEGTTGASTSDAAAVANDLPAIPPVVVNEDYKAGRVSFIRYDYKRVDVLEKELREREANQKSQPSKLFQGSNRTLRGAR >CRE15577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:395554:402222:-1 gene:WBGene00066266 transcript:CRE15577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15577 MIQPTPPPSKRQDLQGIRGLAILSVLGFHFYPFYFPNGYLGVDQFFVLSGFLMCMLLTKTEKMPVFSGFIHFYSRRFKRILPLYLLFILLTVISLYTVFPDASLLQNQSSATKALLFVSNRPHTGEEDYFEKLLIGIDLFTHTWSLSVEIQFYFIVPAIFFIGNKCNGHFKYGYYSIIGLISFLFYSILPSQVAFNSLFARIWQFMIGMMIYLHSIKPADTKDSSVSYRLLNSAENGENEKVILVDDFSDEEGEEDVELVSVPPKPSTYIGPFSKYCFLIPMAFVVTYPIEMFPFLVRPVFTCFTGLLMLVSVDDDFLSNRVLTYIGDISYSLYLIHWPIYAYTKLTFENNPYALGGGLLISMILAVIVYETYEKWYLKLSNTTCALLIVFLFLINVVLINKDAIQDMNFMNEMGGNSLNSTKETFPRLDGVTQNMTFDDATRLNKMWNKFDIEMMIEPGCIQRTPQHSRWCDYELKGDEYKIAIFGNSYTKNHHKMFVQECKNRAYNITMDSERGCEPLAASPNDHSCVKKLSEFVEFMDSSKPDYAFIFTRLERTSNSEKEGGVAAGVATSEVGTWDDHRVIPPSNACGTGEASTVIHTQPKDASGVNPSISQNPTKTGASKDRIATIDEETSEDDKRRAFPNARKNLETTWDAVETIQAEHPSNLLSRTGQMNRASLEASRASQLVDSLSTKTKCLERKVSETCLCSGVVDMVGKRDDHRVISPTTPTSPRARSWSLNRGLRPNILHTPVVVRQQGVLRDASAADMNLSQRLIDASEGIMENSHPPMANNLTPIRNGSPQSEEEKDESIPHANLDNTVRYEVYVSTANERNEESPNPEDVQAAVTASGGTTSPGSTPSLRLSNGNISNIQEAVASEANDEDEDEANQVNRGRSRIKKGSREMSSRMNFLRNSFTATIGSTLDILVIFFAVGDPFKDNNSSDLEHDRTFNEMKSQLNKFLPNIKKKLYILDSFPRANAAYISRVAADLKNGKKIEDISKTLLRPDGYERGRIRHAALVKECGDKCELIDYLPLFWKNSTNMYQYFDERGFSYFTSPNHLSAHGIELVRPIYNKICASL >CRE24311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig996:447:4523:-1 gene:WBGene00066267 transcript:CRE24311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24311 MSPSKKRLDLQGIRGFAIISVLLFHFFPNQFPNGYLGVDQFFVLSGFLMCMLLTKTENLSIFSILTIFYSRRFKRILPLYLLVIFITVIALYSIYPSTSILLNQSSANKALLFLSNRAQTGDEDYYKKLSVAMDLFTHTWSLSVEIQFYFIVPFIFLIGNSLDTSTKSVYCVISFSYSTISSQHVAFNSVFARIWQFLIGMIAFMISTPFGYSSELMNSKEDGEDERDSMNVSDTLSKFLLMISMLIILVYPDVLPSSITRPLFTLFTGILMLFSIDDLFLCNRVLTYIGDISYSLYLIHWPVYAFVKLNYGEEYYALSTGLLLSILLAILFFETYEKWYLSISNINVSALIIVLFILNVALVNKDAAQDQFFMRQISSNSTFKMDGVYENMTIEDAERMNNIWNKYDLLMMIEPGCIKRTPNHNRWCDFRSHVNDIKQVVEGENGEFKIALFGNSYTMNHHKMVIQECRKQAFNISMYSEKGCEPLAADPEDPNCVEKLNEFVEYIKDSNPDYAFMFTRFFIINEPFSNNKTNLEQDRTYLEMRKQLKQFLPFIKKKLYILDSIPRVIADNVDTIVKNLKSGKTIEEISKTLIGSDGYERGRIRHSALVKECGNKCELIDYLPLFWNKTINMVQYFDERGFSYFNSLYHLSPHGIEHVRPIYNKICSIVLSVFNLFYEYLFRFDQRFHSGFDDLTWLLKHSTSERLIPMLLSESKSFRWFFKLLNISITILVITLFLINFILTRGDVIQETVYTSNTPNLTRLDGVLPNMTYDDADRLNTYWTQTDLNGLIEPGCINRTNGKVWCDFEEKGKDFKIAIFGNSLTFNHHKMFLQECRHRAYNVTMYSECGCEPLAALPDEDHCKRHLLDFVDFLESAKPDYAFIFTRFFATGSPFENNNTDLEIDSTYKEMINQIDQFTPHIKKKLYILDAFPRTNSQYTLEVAKDLKNGRKLEEIYREIVQVDTYKLARFRTESMVKKCGSKCELIDYEPLLFNQTANRFEFFDSKGFLYFTGANHLSAHGMELVRPIFSELCNKLS >CRE15511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:388496:390165:1 gene:WBGene00066268 transcript:CRE15511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15511 MVHLKREEEPGRNDSIMFAHKEARLSLIGDVNKSEVAELDSDQPNRNNRNWERWILLLLVASLNFSNTISWISYAPVGNYVNSFYGPETATRLSAVYLLVSVPFGLCGIWLGSVSGVKRSLVMAALPNAFGAMIRAYSHMSHDEYRYYLVICGQTLAAFSYPFIMFLPSKVSDSYFPPSERTLATTIGIMSNPFGILTANFFAPLLVQSSQDIAVLNNSLCVLCVGVLALVFVSSNFQWSIFCNTFIFQFFSCRGLSNENKSESKEKQYLISFKTCFTNINYIILFVILGGGIGMFNSLYTMMFEMMCPSGYSNQMAGWCAVIMITSGIVGSFVAGVVVDKKKCYKEAMMLLMIVAVTAGTVFIWTTKLQGVEGTIGLLMFAFILGFCGLATYPVGLELALECTYPASPEVSSGLIVLFGQVFSLFFITILKLYSYKIEENDYRLTIEVCRATSEDNKNYPKDYTVAFIVSYFLSSEFRAESGNIFQIISCVASMFAVLVVFLRPEYKRSDSEKKQTYLASQSIEFERKCFPPIDNQANH >CRE15509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:385836:387137:1 gene:WBGene00066270 transcript:CRE15509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-erl-1 MLTELGFGLLAAWIIILSQALHKIEEGHVGVYYRGGALLKSVAGPGYHFHVPLLTTVKSVQVTLHTDEATNVPCGTSGGVMIYFDRIEVVNILSQDSVYAIVKNYTVDYDRPLIFNKVHHEVNQFCSSHTLQEVYIDLFDKIDEEIKHALQDDLVKMAPGLFVQAVRVTKPKIPEAIRYNYEMMEAEKTKLLVAHQTQKVVEKLAETERKKAVIEAEKLAQVALIHQKQLITEKETQKLLNQLEAESNLESKFTATEKSRANAEFYKAEKQAASNKLLLTKEYLELQKIQAIAANNKIFYGDSIPQAFVLGSSPASD >CRE15507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:382622:383762:1 gene:WBGene00066271 transcript:CRE15507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15507 MVGYHPEAINEPLTSAEYSEAGLASGIVTRMIIQPLDVLKIRFQLQEEPIRGRKSGKYKGVMQSVFLITREEGAQAFWKGHIPAQGLSATYGLVQFSSFEWLSRHAARFIPSDDQSVRSTSDFMCGALSGCLAMTAAMPLDVIRTRLVAQKSGHAVYTGTMHAVRHIWEKEGIAGYFRGWVPSVVQIAPFTGMQFALYNCFMDLWPFTGYESTGALFSGAMAGTVAKTVLYPLDMVRHRLQMNGFDRAGFGKTSNYSQGLFKTIMMVVRNESWYGLFKGLWPSQIKAAANSGCAFLFYEMFCDLIRKNKNTDD >CRE15574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:380993:381440:-1 gene:WBGene00066272 transcript:CRE15574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15574 MSTTIEDDENPPLQNSRLFGLFKNPDPGFTQTGISMFTNFILTNMFVYGVTGRAKLAYVLSMISIPCSVVLSVRDSQKDYEKWKEMRLLRLKGVPERFMPYKCKYDWSDYDLRKIREDK >CRE15506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:379651:380362:1 gene:WBGene00066273 transcript:CRE15506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15506 MFENEEYSRVIPFNNGKEKTELTIYQETITDVGGVIWDSALMTIHYFFKNPKQFHGKKILELGSGTGVCGIALAALGAEVIITDLPERIPLIQKNVAANSRLTSNRIQVQVLDWTKDKIPDGLDLVLAVDCVYYNSTITPLINLLKTCDAKETIIVSEERDIGEASVAQKTFFKNINEFFELTPISHEYLDPDYCAEDIIIGRLIKKP >CRE15573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:377656:378893:-1 gene:WBGene00066274 transcript:CRE15573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-335 description:CRE-TAG-335 protein [Source:UniProtKB/TrEMBL;Acc:E3MT05] MKALILVGGYGTRLRPLTLTQPKPLVEFANKPMMLHQMEALAAVGVDTVVLAVSYRAEQLEQEMTVHADRLGVKLIFSLEEEPLGTAGPLALARKHLEGDDPFFVLNSDVICDFPFKQMVEFHKKHGKEGTIAVTKVEEPSKYGVVVFDEVHGKIDDFVEKPQEYVGNKINAGLYIFSSAILDRIPLKPTSIEKEIFPEMATSGNLYAFVLPGFWMDVGQPRDFLKGMSLFLNHVSTTNPEKLATRSNLHETATIRGSVLVDPSASVGENCVIGPDVVIGPRVKIERGVRIQHSTILSDSTVGNYSWVSGSIVGRECHIGSWVRMENICVLGDDVVVKDEVYLNEASVLPHKVIAVNVPSKDIIM >CRE15572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:372791:377279:-1 gene:WBGene00066275 transcript:CRE15572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15572 MSTSGSLEKEDWLDLGDLNNAANFTLDDALNDTESLNDPLLDEQFLFDGDFGSVSNLEDSASTSDNQPLVYKLDASFVIQPHQLISNQILKNEKKSGRVIAVAERNGWIAVVTSKGQLHLFDLNGNLNQFHRGDETMGGASCVTFSLDGKYLAIGLQKGAIKIMTIDNKLYHIINEGGQPGQGIVQVLYTKDNHTVLTIDNGGSFYECKINRQWLQKRKDSLRCHVSGCNGEIVAMKLLPGDVIALLSVHKLIFYVIKPRGGQILGVFPTKYEFQFPPACSYWLGCPKSDNNNSSSPLNSLYTSELRDFRICISRGHKISVLRLHANNFFSKKRRATVINQFDVPSPLVNLHWMSTHVVAGIDAMGAVWLIDPEKKTAKKQELEDLQLIFSTPILKGSATGGKVSEAVKAVAEYACYQSVTSATSTSERFIVLAHDGLKYLEKVHEWQQLESYKERNDDISAALYLLDVCRDKVRASEEFKRESRNLLAEKTSKLLTETVSGIVGGPLSDLQSHYRKYIRVILKVCVTGGLLEFLYTTCWDRLSMDSISKTVFLEHLDEYVLDGALIDPPTPLVNEYLQHLASEGHFSQFQSAVVRFPIHTLDLHTVMSICKQNSIYDGIIYVNNKALNDYITPLEDMLAEMSEFAHRGVFSDSEQILGNKVLVYLNCCLAGMAYPFGQLDGDNQKRVPLETFRCISSIRGKEEKESEEQYPYLKLLLQFDPQQFLNVVSTCADVELFQLDNRLQRFVDTIGQVCVNMKCELSLIHYLALLVQLSERALIALPTEPIQDAVITLLKMSPWDQIGTEDAILGGLYHATPDDKRRIIRAAQNPMRPVILSFLYLSDRKFEELIKCYLDSENKEVYSVIGGILKGGELTVQESAELRSYIMSIMETLYRIDGWLCANLINDHFREVIVTMAENEEEKRKQIFPVLAGIAQLRKYANQPSFCNDNELDEKLFGIVFEGICKRWPSWMPTSENPESVDHQLISMFPFWLPLAANTDFCLNIAVGNEYCVRTVVRLLEARHHLERAFDLLFEQLEKNKENDERMGELNFISSKVKSYPSTAEWLDETMKFCSRQSTKDDNSDRMMRVFQFISERAAQVGEDSEKQEKIDESLRVMCRQILATGTRYAKQLVDQLLDSPSFSGSSFIDNGGLIMDILSSCDYEAEIYQEMIYLIREENLTFAQKLELEVSRRAPLMYNSQCITCEQPMNKSGYVFRCGHFQHIECSTSIERICTCDGIADRLVVPREKPDKPNKRDIFKNWESKLNCRVLPK >CRE07162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1654:6871:7287:-1 gene:WBGene00066276 transcript:CRE07162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07162 MRLASKFKLRSDWGAAVEMPFCLHVTKNGSCPRTYDYDDLYQQVQWLMILEEVILPLDNMEDNELSTIAPHQHSTIVKNAIMKSKREQRTKQYSKSISATTSSSSRDGSL >CRE15505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:371134:371529:1 gene:WBGene00066277 transcript:CRE15505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15505 MSLRVTYRRRLSYNTTSNKKRLVKTPGGRLVVQYIKKRGQIPKCRDTGVKLHGITPARPIGLRLLKKNERTVTRAYGGCLSPNAVKERITRAFLVEEQKIVNKVIKHQKD >CRE15571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:368393:370506:-1 gene:WBGene00066278 transcript:CRE15571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15571 MDIAARVMSVVSRTSTSEPTTSNTPNSQKIGKVMDVSDGAFIGGFIPSLIEKQRYPYSRPEFLYFSEEEIILSSDHSIRPVLCPRLPHRMPLYAGYAEVINAGKTIQNEDQSSAKMLVLSQHQGTEMNGLSDEKKVESRNGSVGEIDDDQMLTPGGDESEKSNIFAPRADAALFSLFDGHAGSAVAVVASKCLHEHVKSRLCEVLDTLLHMDRYENLNFGKRRSESSYSMSKMNEEDECRIHSEHLVKGALETSFLDMDEQISQDKQVWRLPGGCAVISVLVFLGKLYVGMELSGMCEHGSSISANAGDCRAILVTSDGTKALSRDLTPASERKRLQELAYRNPELIGNSFSRLEYSKKLTRSDLKSRVLYRDWFMDGWAVKTVKESDLRPPLISESSRKKRLLNTIGVSRGFGDHHLLTVDERLNIKPFLSAVPEITVTNLRDMNTLTDKDVVIVASDGLWDVLSNEDAGLIVRSTLGSTDSADSSRYTQAAQDLVAAARGQQASGNLKRWIMNSGGHASYDDITVFVIPLKYCAAPPTNFEEEEDDEMLSLE >CRE15570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:363836:365282:-1 gene:WBGene00066279 transcript:CRE15570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-14 description:CRE-SRE-14 protein [Source:UniProtKB/TrEMBL;Acc:E3MT01] MILSFNYTAAQQDSTLQYFNLGFVVVIFVNILSVLYYILNVWIDIRIGKFDTNVIKIHQFIYVTCPIGSIVLIAQKIMIILGLPYGYDYDDRTFQFLHHLRTSTVFPGLICLTAFVIERFCATVYLKDYEKRNRWWIGIIIGIGLYTVGGLLAYSLALFATSLYHTIFLLALSITSCMGNVLNYKINRRYYNACQRSFDGKYSLAERYQISDNIHFSFFFNRFASSIFFFTILCPLLMLAASFDIQWFYRNIFISLFELSYSVYTILTPYIIYKFNIRWHQEFKKVVATVRILQNPLDSSNSYSFAVHVTFSDKTNRCDRGMC >CRE15569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:361165:363031:-1 gene:WBGene00066280 transcript:CRE15569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-15 description:CRE-SRE-15 protein [Source:UniProtKB/TrEMBL;Acc:E3MT00] MLDVLRFDRQSAVENGSMELYSLVFKLEITVNFIGILYYLMNVSIDYRTRSSMNNIRRIHQFVYFTCPFNHIAFIVEKIMIIWDFDGGFNAKTTTFQVIQFIRLVTTFIGFMCLPAYAIERSFATYFHHDYEKENRSYIGYTMSFLIYLTSLIFVLICIHFSATFYPFLGLFLSNIISYIVNIINYKLNRYYYNCSLRKIYTYSLTERYQISENIQFAKFFHIFALTVALFATFCSFLLLMASLPFSSTEKNIFIVSFDLLYTIFILYCPYIHYKYNATWQHEFKKCVKLYNSEVKCSSITIGKPSLQLKTTFGERMNLGKNVQMDIYFSQLTNSWNTMRPNEP >CRE15568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:358552:359917:-1 gene:WBGene00066281 transcript:CRE15568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-19 description:CRE-SRE-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MSZ9] MLSIEQFNERAFRNNEFYIYFLAFFLIIIILNCASMLYYFFNLFITFRVKYYKTNLQILHQAIYATCPFSSIILITEKTFDILGKNQNFLSDSSFFMYKQYIRTAIMCPPLFALTAIMLERVFATCFIKDYEKKRRHYVAFIIILLMIFISMLTAFIFNSTNLIFVYVATHLLLNIICYIISIVTYRINRKYYHNNKQDYSLGTRFQIAENIKVYKFFSHYLFVLAFFPITCTICALIIHYDSSPLHREILFVVFDLSFTLLCILAPYLILKTSEPWQNDLNQIFVKVGIRKSSKIQIVQERSKTLKNTFGEQMEFETSQHSDMYFNQLQKSWNHELTKVVRV >CRE15565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:351086:352763:-1 gene:WBGene00066282 transcript:CRE15565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlt-3 MSVRVRFQERPGITYVPSSRSGNAPLLADIISKPMPQLYPENKYDMDACTAASIGDLEVLKKLLRINPNTMVSKNQTGWTPLLYAAYLGHNSVAAFLLDNGAQVSENVDDSTNGRWQTPLMMASACGNLNVVRLLLERGANPKMCDKEKRQAIHYAASCCQNVVVDTLLAAGCDPNAADSHGTTPVHEAAIAGHEVTFLSLLEKGGNVEQKNSKGENAAVLGCEHNRILQIISDHQAETAKQAPQAISGNRGPRSLSELLEEMELGKYSEPFKNENVDLEVFFELKEQDFKDMNIPYGPKKRMLDVIKRYKTTGVIRSDTFDSNQSSSTSPRGYSSHPEDDNGKMTDTLRSIRDLNQETKTFVMNALENLGSGDINKFVVIT >CRE15504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:348760:350910:1 gene:WBGene00066284 transcript:CRE15504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15504 MMIHVVSSSQFEKRITISVFLFLTPPIHTAFFSFSSPVIPIFHASKMMIDRRNKRSLQIFLLLITFLICSGAFFYFYSNPEDEVPDYFPPEVKQSHKTEREIALEKVRQLQQNGINVPSKIKFDRKIISGFANVASETFYDDQSKNVVPGDVDNSGFENAVALFQKLNSSIVKESPVNEHGEKMTADSFYDEKLKQKQEEDGVGDHNPANQKISQNVDLVSTNNQQSEQKPETGPVENNKQEEIGTSEKEIPATIAKNETISSDDIIGKSEAVQSWIETKQNPRKTLSEVESPLRVDEAAEMSQRRNPKFEAVDPEIERLQNRVHQFKFVQ >CRE15564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:342941:346020:-1 gene:WBGene00066285 transcript:CRE15564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15564 MRAVVLVCLLAGLARADLFTAIADLQHMLGAEKDVTSVIDQYIAAERDRLDDLRSVENYNFLIIHGFTSFRYAHEYVHRNAHAEAVGPEFVTNPINAYLLIKRLTSEWKKVENIMLNNKATTFLKNITDNRVKSEVKFPGEEDLSGAAIALLRLQDTYKLDTLDLSNGIIGGEKVSNKLSGHDTFEVGRAAYNQKDYYHCLMWMQVALNKIENESPATVEESEILEYLAYSLYQQGNVRRALSLTKRLAKIAPNHPRAKGNVKWYEDMLQGKDMVGDLPPIVNKRVEFDGIVERDAYEALCRGEIPPVEKKWKNKLRCYLKRDKPFLKIAPIKVEILRFDPLAVLFKNVISDSEIKVIKELASPKLKRATVQNSKTGELEHATYRISKSAWLKGDLHPVIERVNRRIEDFTGLYQGTSEELQVANYGLGGHYDPHFDFARIANYGLGGHYEPHYDMSLKEEKNAFKTLNTGNRIATVLFYMSQPERGGATVFNHLGTAVFPSKNDALFWYNLRRDGEGDLRTRHAACPVLLGVKWVSNKWIHERGQEFTRPCGLEEGVQENFIGDLSPYVNDP >CRE15563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:340689:341408:-1 gene:WBGene00066286 transcript:CRE15563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-marb-1 MAARKLIARINPSNSALFVCDLQEKFRTLKSNCRSQDFRSNIKYFPEIITTSRRLIDAARILSIPTVVTEQYPKGLGHTVPLLKEGLAENTPIFDKTKFSMCIPPTEDTLKNIQNVILIGIEAHVCVLQTTYDLLERGHNVHVVVDAVSSRSHTDRHFAFKQMEQAGAILTTSEATILGLVGGSDHPKFKEVQKLIMTSAPDTGLVPLSKL >CRE15502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:336561:339694:1 gene:WBGene00066288 transcript:CRE15502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15502 description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:E3MSZ1] MRSPQGTMSITTISCLLLVTVLPATVEAVQSTFNYVPIGQNPTLYTPGFEPIMHLDQMTFNDTVFSDRAFLVEFYADWCGHCRAFAPYFRQFANMVRDWYPVVTVAVINCADSFNQAACRENGVTYFPMMKYFPRTATTATQGKLFETPHSAEQIRDTLLRTVSNEYMFNRYPDWPNLGHIAVDSRTTYGQLWDGVPPKANYMAILFEEYDGVGAQFVMDLISRNHILGARRALSNSPLVQMLNIRNFPTVALFRRDHQQALYMQRYTNQTVKEIDDAITGDMKQGGRQAPILTTTYAPTTTTTQTPLIDCHSYPDRCRDMYYVSETDMLKAMRMALLDEVTRVPGSIRGDNFTNLHEFMTLLSNHFPVLSFQNDIRRMRAKRTTSVILRNSERARLVFTHMREFLEGRKAVGSVSADEYRRQFESVERVYASPFPVNSTWQHCKGSSPQYRGYTCGLWTTFHALTVHTYIDTIKDDFVNPMKPLSTIQGWVKSFFGCEHCRNHFMHMTTTLFPLNERRVRHPHDMMTYLWRAHNIVNNRLHGDTTEDPQFTKMQFPAPFLCPTCHSGGQFSRRQIRNFLLRYYGSIKPHNRLADQRLAL >CRE15562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:315073:320884:-1 gene:WBGene00066289 transcript:CRE15562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlr-1 description:CRE-NLR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSZ0] MRRRGLCLLFLIFTNYAVSQSSAECSDVSFSSVASKLDGLKRVTRLSVSGHISAYHVKVSISDDDFQLVRVSNGNPLVLYSTLSNTPSWTHVDFLASEVKILPAFEQATEDVRGPLLILTVCDYDTPITAFDDSSYTVEAHHAGLVSMYENDLCVVFRTYRSGVFFFSMADQGDVLIAQIVHGTIHVIFDFGSLTPSRISAGKALDDGRWHEMRWLHQFDSVQLSIDGVLLNQTAPTGLYRKLDLHSVVHIGGRPADDFSQGIETTFTGCIARLQLNNADLLQLSPSEVHSQCQMPKPPSFTLHNSSQAVLPFTFLPFSFEFRIVPVNGPLVTLLDAENGTLVDVVVDDERKLHLVSNITKFKQAANPAIDVANGGWHSFSLRIRGVRMEIDIDGYTVLWLEGHEVRRISQRLSNFILSASGCYRSATIDLTSVRVDGNVTRGECSFQEKCLPNPCENGGECVQSALDDYVCNCKDGYKGKNCHTSELIYDLFLILIPLFSADLPHSCEEWVFTKGNKQKAVQGRKVLIDVDGGGEMQPINVTCKTERDELGIDGVSTILEHDLVRPMIVTGDNKPGAVRYSLTYGISTEQMDRLVEGFEACSQFMRYTCRGGVRLMTQGDERSPSSWYSTRSDKHGLQWGEAPPYSRMCSCAINGSCLHNRMCNCDSGEDATDEGVNPYSQLLPVTGLFLGGTTKSSSIEVEIGPLKCRNRATFDPVTFSDRNAKLSGTQTFNQRTFDVSLHVKFSHSQMSILSWHSTDDLHWFHLYVNDGKIVGEVVNGGESQQIVSEHRYDDGKFHAIYWEADSTGMFLKVDGQRKSLKTSFVLPTVYTWIVGSRTEKGSTGFAGVIRNVYLCGVELALGQYARKETERGIAVGDDGYCRPDLCQNGGQCIDKYDGYVCDCSMTPFGGSDCTKEYGMMVPAGSSIQIPWQNPAHQSMCHRIAIQTTSKNTTILRSKALFADSTFNMTVDDNGNLQMNAYDGFFFHFKRHSKHHNLSDDVMHDISFCASKHHFNVSVDGMQVITIEGNWTFFESFNVWHFLDESMLQCLFSDFEGCVSRIQTGSAFPLKSPKTARLNYSGKIRFGTCPIEAVSRHQMYDFNPQPEAASSTVATSTEDIKIFSVSQSKQDLMSKAIVFGGIFAIFMCIVCMSSLICYMRSRPEGVYKTNETGENCSPSRSEEPLVHNVNNNTPMYASNKEYFC >CRE15501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:313931:314796:1 gene:WBGene00066290 transcript:CRE15501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15501 MSRRFALIALLFSITYAQSTTQQSQQISLDPNAGPLKLRIVFQSDQQQQAQQSPCSTGNCGSVQPVQASPCSTGNCQATPTLSPCSTGNCGSIQPVQASPCSTGNCNGPVAGSANIVPAYDASTYTTTTPMPVPIRTVPIVTSNTAPVKVIRIPSYSNSYSSGCFSPPCGAPRFVFAQPPCFGNSCGPRFMFPRRRHHFFGQRPIIIGNRNNGNSFNAGGNVAIPDTIYRNGQAIRAPIRVPSSYQDGNPVFNSGK >CRE15561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:297770:301024:-1 gene:WBGene00066291 transcript:CRE15561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15561 MSEETCPSVPTGPSKPTIIKFNTQNDRMNEPPPQPRVRKVTTIINTLGVIVKDSATDFIVWVFTACEEKCFPKTNKHMFMSFQVGTWIIVGIYPDGTVASFSPWSLLLNAYETRVYQGQLQMKRLVSELHHEKSESQLTAEFYYISGFGRVLVASTYRQQLEELNDNLSIWVQYCGGVVKDCCWIMYCFDGKNRANSGFTDKELRDIAEFIQDRRLNTDAMTCTNKFVARNMGLLTEINSNKNIMHCWSPHVSMEADHCVKIPQPPRNREIDSPLLQPVIGQWIQFDVQSKDIDEYIEMRPGFRLNISEYLPINSPCGIGVVHVNRIVRVAISCILVKGTDHPKLYHLPLFGIVIDQRMALVSGTHMQLIIEKTTPKIRKSSGICWKVIDSVIVNVTDATVEKIKDMLIEIIPIRDSMESCHLVPIEKSHSSMQSKPFLVQQVIPVGTSSVNSGITSLKYQQPQQHIPYHDSRRDYYIGNSIQLPSNYNPSRNGRYSTKARQWSSMSYTGETMEGNALVEKVNQGLKSGILWFFDHSMSVHFLYDDFKLHVGDYAHVKVEQIADPLSAMGFRWKWKSGSRKTPPFACFVDKNQIYVEDQLVYCGLNRQNWPMYKSSNFPCILDVDGFIVNPTRYHWTIFSLRNTHQLSTDLFIPQSNYQRSFGSRQAKNLPVTEFVKSSTSSDHSTSPPLKSPSLASDE >CRE15559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:278422:286008:-1 gene:WBGene00066292 transcript:CRE15559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15559 MGSENGSWQPAFVMSFVPPDKYFFVIINKGISAILKGDHKVQLGEFYDVQYAFNSQAKEGNRAKHLIYGLRPINPLAQVRTDVDNLGRTHIILTTTAKFEGLVESKNKRVVAKLFNDTFGHFIDLGNMVQQRDKLVEFEFKCKASERDESLSIFVPTRILGYYDLPIEEEPRPSRANESERESFNRNVVGFVCSKTQKDGSRYVWSKDLDFDVRLDWTVCPDEDVTGKWVKVLVDFVKRKVVNDVRVVSDLFESRLYCGVPEVRTEFHYFEFDRDEQIDVYMSSYFGRIRDPHRMIQYNEGYGSYFGYIVRHKTEHSRIGWIVSMKQNILSPRFERAPSRESLNYKDRPDSRQSYHSFVPSEHPPTDNRAFYNDSDDSRSQSFQRQSSYSDNEQNAVQVEPSRASSRGQTTSEEDSGDELKNFTNENPRNVVTSDDVVPGSRRQINNESNINGVEDSEDDEKNYQSQRSRYDKTASDKYIATLKYLTNHESVTKAMKMYDLQQYEELKKFENAQKSSGGLLSLQQHSETETSVEVLAQKQKKLYDTLVLFISSSEVSIVMKNYDAVEYEELLKTVNSVPYESSTYSSEEQEEKMLKLKCEILILQTQYFAEDPQVFEAWESTDSTNFNEHLAFFMRKMNNLRYIEALVVQYSEHTEAFYAQSRLYREDIIIDSCYGPEDLVGKWIVVGINERNRAREEVKIIEDKFLTRIHDGHVEVKVDVQYDRCVDNNMEIFQNDFFGNICDPKNLLHNIRSRGSCYVWIVRLRVDRLNSRWRVSTEQDNIEPHFLENPNSRGHLTKVIGVITGISKMDRGAYLVWSASRPRSTIWLYRDLCSEVHALGEWAEMEVDEKHRVQKPVNIIRGLYDTRVIAELAEFRIDFQHKYRYKQEFEIFHHDYFGAISDSVHIMDMESVERGAWYTGWIVYCQNYEANSCWRLAGRQKIEGPFRYRPDHGDKGTYSENFNKLGRESRSTERWNDWERTGSQSNIQHSDPIIINEYDDEPSMNRHDRFFNDSYQEANYIPRSNHGFENHDSNYPSSTNNHGKRYSSTDRYDISFARRADYRMNHEEFPDRFQETGFSNNTRHNSFESKARDNTNRRSSILKPDTTGFDSVVNSERGSRPTVKNEYKKSSDAASSNPKAQKFGEIRENDFPGKFDEGGSRIVDCIYRLKLMGRNQFFVGFVIHSGGNGAFYAWCKKLKDDIVIDHRFCPPNANILSRWVEIELDDRFRVCGPVRIVNDLFESIHDGKFAEVKVQIKPEYCYHGIEMFTNDEMGLIGDPRHVIGRVEIDGVYDVWIRSSEKAKIYHDTGARWVVSTRNMNVAPVCDLFFFIESLIIQMNRRNELPSSSYNIESQADRSHYSRRRSPENYGYNRPPSRSPQRAPQRFDNGYGNDNYRRRDDWNRNSYDYQSASNSVHGSDGRRSPGPRDYYQNDNNFPRNHLPDNEINRPYRASPSVRNEPAFDRNPPSTNSYPSNERRTRTPERNMQSQSSYRHSPAPPPVNHLTSNAPQESAQLYKPRGSSMSSPQYNRPRTRCDSSRSRGETTSDSDDDEPPKITGPIQRARERGENIKRESDGVPSKSPIQNNEPTSKHVTGNQAVVETRQGQRSSDVSVLNSQATLDKSRDQVKQPVYKVQKTAEEKEMTKLKTKVTLMKNLVRSLTSSKEVRDKMMLASLEEYEELMSIVKQ >CRE15498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:268175:271353:1 gene:WBGene00066293 transcript:CRE15498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-24 description:CRE-LIN-24 protein [Source:UniProtKB/TrEMBL;Acc:E3MSY0] MNHVDVDQILEDYAWHQFQDVQSLRKTRIDDFRGIDRDDCEFVINRKHLIVVSEDPDYSHFQPAGSKPYTLFKSIYTNSTNRPQEYSFKTERTTESLCSVAREQGYMIGTEAELTLKTPCEIAELKAGFKHEMHFNNLNENCQTEILSWGVDSNVSVPPHYMTEASIIIEEMNYRGTYSVVSRLSGTVVVSIRRRRDNALIMPIRVAIAEVFRAQLDSPHCKKEVKQVVSIDHNRVVRLVSKGSCQFQFAMKQRIDLKEQPMRASDEIMID >CRE15497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:264308:266155:1 gene:WBGene00066294 transcript:CRE15497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15497 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MSX9] MGHTFRILRRRKSIVDERISIRQKKRVGLIKAYFQVSMSCDVVEAPDWPAPEAEVGEKTVFRRMFYFGTIFAFSTITMIGAVTTFFALQWMPVTSYMGLFNTLTFLLWNYLTIGNLFNASFFGPGYVPRGWKPANKEHEKKLQFCVPCDGFKVPRSHHCSKCNRCCMKMDHHCPWINNCVGHRNHQYFLRFLFFSVVGCIHATIIDGFALYHAFFAGWYQRYGDGTEPIILLTMYSFIALIFAIAMATAVALALTFLFVTQLRYVVRNRNGIEDYIHGKSLNMRKVHENDDEEEIEWIKSLGEWTYPYDLGWKRNCREVFIGLFDGRTRGNGTWWPVVEGCTQFTFTIDQLLQKQSKRGRARIITIGEDFDGTCCGSRRFGWKIWAKQPIIDGKCLKVSAGETIVATRGVSGWVYGFRESESRNKGWFPIAITNLKRNGDPKTEESASSEEDKTDDTVSSEEKKDQ >CRE15496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:262568:264161:1 gene:WBGene00066295 transcript:CRE15496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15496 MCKVRNAGFHVDRCVACASRFVFERGPRLCLSIFSFFFSAVVLLFVLSFFLLSNCLVRCLFFLIYNFSDSTLTLSIRMVKANNVDMSLDDIISKTRKTNNSIHKKPLGGARRGTGRPTGLPRRSGGSSGGWRDLDAVSNHGITSRGNDSKVIRVNISNLAPTVISSDLEELFGDYKLHSVSVNFNEHGESLGTGDISLTKRDADRLVQKFSGVALDGKMMKFAVIDSSNIAGRVDFGNKSRSAPASSGRGFQSGPRRFNRKPEDFLRDGVHEGDTKRGGSSRGGFRKGGRGGDRDSKPKKTEAELDAELEAYMAKRNA >CRE15495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:260980:262295:1 gene:WBGene00066296 transcript:CRE15495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15495 MSQGINRRFDYALQENENRENYENVAKLTEYGTNWFPICGVSAVCFPEQQVPMKFNEDEREVYDRIIESARANGFVVLFPSDIRECRSLPYVATLSKVVQANSQILSMELMGVHRCKVLEQNLQNGEALVQLLPEVEIPSLLPNHVPRYAKNYPITEQRSLASRLTGYPFDSLRDVTNNLIEQCCYELREMVGESAVNQAKAKGLASFSYYVSQKIFSNRKTEYSLLKEDSANTRIAAALKYCKISIGKCARCNTRIFRNQHIMRLSEQTMTHVNAHGFVHRITLLSEIKNYGRASLPSYEYTWFPDYAWMIIQCIRCHQHIGWEYISMTRAPHRFYGIQREGIRFQNDEEDEEEIDEEDHRSNQEQIEESDDHVDEEENVDSDSAQSLDE >CRE15555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:256567:260688:-1 gene:WBGene00066297 transcript:CRE15555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddb-1 description:CRE-DDB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSX6] MPISYCVSSKKASVVSESVVGNFTGHEHVNLIVARGNRIDVQLVSPEGLKNVCEIPIYGQVLTMALVRCKREKRQSLVVVTEKWQMAVLTYRDGKVITRTAGALADQSGRASDNLFSLTIHRSGLVAIRAYEGSVKMIQWEPGTDVRSFNVRFDYPNVSDFKFIDTGVDDTYRIAFIYDDDHGKHLQFSDLNMHDKELHTFSRQASIAADASVLIPVPAPISGVLVLAANSILYKSSDVNGDVVPYASPLLDNTVFTCHGLVDPSGERFILSDTEGRLLMLILNIGEGRSGITVKDMRIEYLGETSIADSINYIDAGVVFVGSRLGDSQLIRLMPTPSGGSYSVVLETYSNIGPIRDMIMVESDGQAQLVTCSGAEKDGSLRVIRNGIGIEELASVELAGVIGIFPIRLNSTTDNYVIVSLAEETHVLQINGEELEDVQLLQICTEMPTIFASTIFGPDNSEVLLQVTEKHVRFMAFSGLSKIWEPPNGELISKVSVNAIHGQIVVAARDTVYFLLCVIEEMGGLDINLVAERKFEDEIACLDISNEGDDHTKPGTFMVLALWSTFCMEVVQLPDLKTVSCERRSLLETVLSYLSQVCQTNLPSKIVPRSIVATCIEEVHYLLIAFGDGALVYYVFDIKTGTHGEAKKSSVGTRPPTLYRVRNKNRQHLFVCSDRPVIIFSSSKKLVFSNVNVKVVNTVCSLSSSSYCDCLVISDGISMVFGTVDDIQKIHVRSIPMGESVLRIAYQRSSGTYGVCSSRTESKRERIYASKNAIYTSNSRPKITSTRNEANDNPPKSTSSFMVLDQNTFQRSGSFSNNIRKTYMIFSCISGQFTNDSKVYYIVGTGLIYPEETDTKFGRIVVFEVDEVERSKLRRVHDLVCRGSPLALRILNGKLVAAINSSVRLFEWTMDKELRLECSNFNHIMALDLKVMNEEVAVADVMRSVSLLSYRMLEGNFEEVAKDWNSEWMVTCEFITAEQILGGEAHLNLFTVEVDKSRPITDDGRYVLEPTGYYYLGELPRVMVRSSLVAQPDDCSIQYSQPIMFGTNQGSIGMVVQIDDKWKKFLIAVEKAIADSVKNCMHIEHTTYRSFIFQKRLESPTGFIDGDLVESILDMDRSAAIAILYKVSDKGWDASLPRDPIEILKVIEDLARMH >CRE15554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:253715:254029:-1 gene:WBGene00066298 transcript:CRE15554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15554 MSEEKIEVKETDMEDSQRDMVISVVREAQRLYNIDKDVAAFVKEELDKKFGATWHVICGKCFGSRVSYEMGHFILLKCNKVFIEIYRKEKKKFQVNVMIYKCGY >CRE15494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:251402:253586:1 gene:WBGene00066299 transcript:CRE15494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15494 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3MSX4] MADSTWNFTVFLILFHLVSSQFNGVPLSGNSGLPPKPPAETSNTTVDVQINTGNVTATVRGLQFSYGKSYRGIPYAQPPIGRLRFDYAQRKDPSGIVKALEYGAACSQKPMKMDVEGKKSAEDCLFINVFTPLNVTKDSQLPVYMFYHYGGHVGGNGNLDEGIFPNLVNRGPIIMVSMNYRVGPFGFFTTRDSTASGNWATSDWIESLNWVNRYISFFGGDPKRITIGGQSAGAESVSAITMTPLSKLLYNQVIQESGSVFDATIMSYSEKTRNTSEYLTIALNCSSKKQWEDRNMFTTILACLRNRTSSEIMAADDSLPDHRSKWSLVEDDKYFRESLESLAMKRDKSIKVLIGNVNSEWIFFEDRSYMTTNVNNSRNTASRIEKDLAASYEISYYSNPKQVLSAAENALINRNGISENDHVGWEAKKLQLWSEMVFIGPVLRDASFYRTTGNTVYLYSLDWLSSNALIDVTERRLRGVSHGTELTYLFETSCQFYNCTSGDNLLRQYFSTTWVNFIKLGNPTPSGSSLPFRWLPMDRSNRFLSFSPTPKMESNYHSNSSFWACIAPQIDGYNGPFCQDF >CRE15553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:249529:250583:-1 gene:WBGene00066300 transcript:CRE15553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-129 description:CRE-COL-129 protein [Source:UniProtKB/TrEMBL;Acc:E3MSX3] MSTARYLVSIAAAASTLAVFASIVSLVYLVNDVNNYYDDVTRNIENFKDDANTVWHQMMAVAPQGDKQRQVYESLFGRTKRQAAQCNCGANSAANNCPPGPPGPPGTPGEDGDHGLVGEDGTPGNDGNLGVPLSANGCIVCPAGPPGPPGQPGNNGQRGSDGQPGQDAVGGGQGAPGPQGPAGDNGQPGRPGNDGRPGAPGAPGTRSVGRPGAPGGPGPQGPPGSNGNDGQPGNDGAPGQPGQPGPDGHPGQPGNAGPPGTPGAPGQPGGDAAYCPCPARSSVVRAQAAVARNRVAAKHRSVSRRKAVAARRSKARRVVAAKHRAVAKRHRAVQKA >CRE15493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:247820:249441:1 gene:WBGene00066302 transcript:CRE15493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15493 MSIDYNRWWTLKNGEFHEENNEESKSITEDYLIYPGTTTELDIRSLQNLSVEKIADEILAQQGSWSIVYYNHKLGKVFIGRDVFGRQSLVFNFELMMFGCRTKPGTPGNWIEIPFGQVTVLDANMNENNHPIIFSYMECYPEDIMDQYFSNFLPTRVIVHKKDSLLNVSRETGGEINTSNSKMLLEKVTEATKVLLRNYTRSHVAVCLSGGVDSTFIAHVVHASVAPEICIDLVNIAFGNSEKVRAHLFVGYSECIFFQECEQAPDRNRARKALESFRVAYPERQFRLILVNVDKQQLEQDRIESVASAAKPASSVLDDSLSCVLWYAVRAEGFDSENMNQVKSPATTCLLGSGADELLAGYARHRTRFEKEGIAENIAEECENELRRLGTRNGGRDARVAAQLGKTILSPLLEDTVVSWLNSLPVDSKWDLSLPRGVGEKQLLRETVKMLGSPYDAPKQAMQFGSRMAKMSNAGNNSIKGSDKSPYLFKTVD >CRE15492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:241704:247399:1 gene:WBGene00066303 transcript:CRE15492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15492 MSINAVDSKKLVEALLGDLRLLSQEAKKKQNHVKEAAETGVVRIRNISTASVGDTVLITNLRAACTELLHPLVLACETRHTRLVQIALQGIQRLVQHRILSGNGATNVTNELWALVEAECEELRVLQTVPPLVSSELVVTGNTLAKCIVMCFRLHFAKDPIVINAASAAVRQLVSTVFERVIQEDGIFSSELTVVNPSGGRPSPRAAPPTLRPCAADAYMLFKDLCLLINGEAPIWLVGIQEMTRTLGLELLESLLKGYPSVFIRHTEFGDLLKDDVCPLIIRLFSPNVKAMHISSQHPSSRMSNSSISSYPPTVSHERQSFPISMRLVRIVTLIVQFYQNILHTECEIFISTLLKFVDGDRKGWQRPLALESLHRIVSSTDLVKWMTESFDCRPNSTHVLEQVAIGLSTVVQQSLVCTTFSSDQENELERSQEDGGPGFLTKGLWVPYVEHLTSKKTILLDSLDRMDAVAIPEGYVLSRCCVALCDMTQAVYAAIDKLCIPDENSESGSSEANLEIAKVAYANTQPSILAAIGSLLATSTDEIVSDQLLCCLSTLISAGCRVEADADLHRSVYVLAIMSLPSPSYLNQFAGIPPPSPVSKREVPISEQVFDLESWPSTAQVTASGPPCPCPVVSTDLWNKQVLLTSKNLQAARTFIASITTHIKELNDLWYLCMATCEHLSWLLAMRPTQVGQFERETRDDHSNGPTVVTNAALGDIGMLSSLMDKVAPAIAALPNDQFLLVVDALIRLSDESLAVAATGRESSLFPLAVLYRVCSLSLSRINVFWGKVSNHFIKVCNHTSVSMRDWAAVALTSLAKHAVKSKTSMDPKSQQEMVIASLLALCSIPHIQVRRRQLDCVMSLMQTDGSFLLSTSWPNVIQIISAIIDNDTGCELSLVRQGYLGLRLVSSDFLQSIPFDCISGLVEAISRYSKQNTDQNISLSALTLLWTISDFVYRKMEAVGSDASEVVWMVLYTCLSESCVDSRFAVRKSACQTLLQTVTAHGHALRAPAWHNVIWQIMIPLLDKVRSQTRCASTEKSNGELIMHHSRDTEQKQWTETCIHTLSAISKIFNSQRKSLLALNDFGAVWEAFLGYLDWAACYENAELSLSAIRSYQEVLLGKISSQTLNVNSHEKSNGSESTIDAVTPELPQPQWVESWKVWLRISRGLARQGCAAVANSVNAETKSTSSTPRMNSSTSSLTSLAPGVYVPGPSHLTAILHIFPPLFDKVAKSITIDDLKYESLPAVLESMMNVPIPSEQAPFVLPSSSTHLTPTQEALLEAVKIVFVECTISGTILRAAIPDQIRLLLKFASMATQRISPNKVAPGGQKSYKEYALTTIVPFSEYSLRIAIEFFTSTSQYPDVANSLIAIDIIKFLGEPLYMKYTCISPSTWKLAASSLMSVLRTSIPYARQNPEVFRGLWSTICDTMERWLFTPNKSNRLAADERKRDELMECQAIEIIRSEMLAYASRLPHEDVQRLISLLHRGSISQTDSTDVLDSHTQRNELAKACFDALLMSTDGAQADTEEEDTRGILGNVAVTSLLQRCTQIGQLLETSAYQDLEFLEIISALQAIDSLIARLARDPRMTELYSQLVSLFPSVVDVMPCCHADAQLEQQLIKTIKSYQTLFLLQNIPQSTV >CRE15491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:239160:241345:1 gene:WBGene00066304 transcript:CRE15491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15491 MISSNVASLNSHKVFLLRFIDFHFSLSDLKNEVDLYIKNNYRVMACSWTFLWLLWIGLVAVLLFALRGPLKITESLESGTNYILKEISTLSVVSATSYFNNLTPKFYVALTGTSSLVSGIILIFEWWYFKNNAGVEPGEEEGSDNEESIENTKTVPECKVWRNPMALFRAAEYNRFRKETKSEPLTYYDMNLSAQDHQSLFMCDEDQGRAEYEIMQVAWRERESEERIQTARAALGINSECASALVLLAEEDTETVAQAENVLRRALRAIETTLSSYSNNQIASYGQNGDAVRKRDLTIQTYIKRRLAMCARKQGRLREAIKGFRDLSRDQSLSTLLSVQDNLIEACLEVQAYADVQNLLVRYDGYGAPCSYELREPRSAAMSYTSALLKVRAVAENFRCATDPGVRRGLSSAEQTAIEALTRAMEFNPHVPPYLLEIRSMILPPEHFLKRGDSEALAYAFFHIQHWKRIDGALQLLAIVWKDFTPKVCKDKVTFSSQLESADRELLPAWHEQSVFPKSEGTVLMLVQTFMCLATCLFFVFNHQLSASSNDYVRTISTIGMQVYESSMHTFSQWAPGNIIPYLASKQVPVPDL >CRE15552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:237391:238414:-1 gene:WBGene00066305 transcript:CRE15552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpsf-4 description:CRE-CPSF-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MSW9] MIVVAQHLHDIQLNAMQKAFAPQTMTDLISNITAPMTDVEEALFNQRGMKEAPFRDLDRSGRAVCRKNKLGICPFGPTCPLRHIDGEKAVVCKHWLRGLCKKGDQCEFLHEYDLTKMPECFFFSKYSACSNRECPFRHIDPETKMKDCPWYDRGFCRHGPYCKHRHRRRAVCPNYLAGFCPQGPDCQYAHPSFGLPSFENITVSHAKPTYSQAITCHNCHERGHKATTCPHLPGQNRQSQDHHHRVDLSLIPDKKNLSDVTCYKCGEKGHYANRCHKGALAFLSNTAHLAHEQREKDEKEQGRINNMLRP >CRE15550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:233405:235005:-1 gene:WBGene00066306 transcript:CRE15550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15550 MGVKSVLGDLQIVVERHSDVLRNNALPFRFLVVLRIIGFIISYLHFARLLLWLHPYQLASIELWRIATSAFCGHNLIDVLWTVWCLHFGTNLVRLNNTNESLLKLYAITQGITTLAIVVFAYLTYIFFDSIKFFYIEPLVGMTPVCSAVMVLMKQFLPDTIVLATPFGRIKYAHLPFFSVCVSFILALTKFIYFVSFLQIAIGVQVAWTYLRFYKSHETDEIYGDGSEHFTWASLFPSRTQLFFTLIGKVCFRTLARMGVCKRQVRHVDLNSLQSVAVGINLPALENSAKDSERRRLVQNDKMNLIKYNFRQKALKELNERLNKTRTAEVANYGNWDEDENDEPSSVTVVSPQSSNVHSSRVATEPEKESMA >CRE15490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:231501:233092:1 gene:WBGene00066307 transcript:CRE15490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15490 MAKKLVKRKAEAPPTDSEASQKNEDAPQKIKKQKKETAPAKLETYQAGGLSSLFGKSSDGGTVVEDVVKGDTVIEKPKRRKYDPKQKAKEENSDSAEAAPEIVEDGGEENGEKKEKRDRTKQRNNRVLSKANARSSAADSAKTVFIGNMPLTMNEKSVRKIFSDFGAISSVRMRNLIPVNEKLTKRVTHLSGKLNDKQNSLIFYVKYNDEESVEKSLKYNGTKLEDHIVRVDKVGSKKKEFGKDLAIFVGNLPFDITEDALITFFTEQIGQVEAVRIVRDKATGVGKGFAFVNFKQDSSVSLALSMETIKMEKRDLRITKVMKKGHLTKIQTAKKRAPIAKRKQNEISGKMHKFKFSTKKERTTEQNDRRALKKSAKKAIKKKKASKQGRLMA >CRE15489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:230504:231397:1 gene:WBGene00066308 transcript:CRE15489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-repo-1 description:CRE-REPO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSW5] MDFQNRAGGKTGSGGVASAADAGVDRRERLRQLALETIDLQKDPYFMRNHIGTYECKLCLTLHNNEGSYLAHTQGKKHQANLARRAAKEQSEQPFLPAPQKAAVETKKFVKIGRPGYKVTRERDPGSGQQALLFQIDYPEITDGIAPRHRFMSAYEQKIQPPDKRWQYLLFAAEPYETIGFKIPSREVDKSEKFWTMWNKDTKQFFLQVAFKMERLDEQPYY >CRE15488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:225773:230083:1 gene:WBGene00066309 transcript:CRE15488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lex-1 description:CRE-LEX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSW4] MARSDGFSPRKSLRRSAREHSRSYVQQLGNDDFDDIYAPPSRRRGSGGGDGNGYTRSGRKINHSHYYEDDYQEPDSSEDERIYRPRRTSNSLTYRQQYKQAIDESKRSQKVPPAKRKRIYMSEDDDEQYVETRQVENTAPERPIRRSTRRRSSTHEEAEVSEPEGSPVRRTRRATRGFGSEQPEENLGLDDIPQTGENASNEGPVADVEMDNENGDEEDVIEKIGREEEEEEEDGGEDEDGSVEKEQEEESSEESSEDTTAPRQYSLRRRQPVVQFNQSEARENRRARIEHHRTANANRHRRNRNASRRRRSDSDSDSDDMVLPRPDKRQSRPHMHNRGERERGRFMPINMTEKELQSAQHILMDRMRKTDAGQGASDIDPMSVDSSVGFDQVGGLGHHIQSLKEVVLFPMLYPEVFAKFNINPPKGVVFYGPPGTGKTLVARALANECRRGANKVAFFMRKGADCLSKWVGESERQLRLLFDQAYAMRPSIIFFDEIDGLAPVRSSKQDQIHASIVSTLLALMDGLDGRGEVVVIGATNRLDSLDPALRRPGRFDRELRFSLPDLNARRQILEIHTSKWEENKPTPETLDSIAEKTSGYCGADLKFLCTESVLIGLRSRYPHIYMCSERLKLDIATIKITQEHFGHAMRRITPASRRDLTIPSRPLDERTSILLGDTVKNLIALRIPQGYQCVENAMATAKCELEQVVRALEPNLTVPAIRLLLCGSPSLSDGGQTSYVLPAILAKLDHLPVFSLSVSSLLTDGRPEEAFSHVVQSAMRASATGPCIMLLPSIDEWIKVIPVSVQHMLITCLESMTGFTPILFLSTLDSSFEVAPEYVTDVFRHANCITLNPSRRSVRKKYFEHVINSVYTKPKVFDPTIYVMPQPDDDSPEAKPSRNLNDEESRELLKMYTALQRQMRMFFKERLSRLMRDRRFVEFVEPVDAEEAEDYYEIIEQPICMQDIMEKLNKCEYNHADKFISDLILIQSNALEYNPSNTKDGKLIRQMANTLRDAIDDVIDCELDESFVERIETVSRMLQDAGVTPTSDQLLTEIPKGFVRRKPWTMSNTLAKEIESWKEEREAENQKIKEKLGLTALPDCAQTVIEDNKSEEGTSTSTEGGTSGFNSKKKLMKKKKNQRKSKNDESQDPEEESAGEDTGEETAIEDIANSANQRTGNVEVDMKEVNEDPVPTSQKISSPDKELIISKEAISNLIQLCLEKSEGWSVSELERLSSVLSHTIERFRDEWNRDCLPEQLTHIVRDWQAVDVTNETISNGTGSKLNGRLLNGC >CRE15549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:223884:224787:-1 gene:WBGene00066310 transcript:CRE15549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15549 MGRLTALISSLLLVVPLVLGGEYDFTVEVPAGKFQCFFQPVDLTKHKTLEVDYQVIDGGDLNINFMILHGANILKQDQLKVDGSHRIELNQPGDYQVCFDNSFSYQSRKVVFFEIFLFDAHGNLDEADLSAMARTDADLSSKMNELGVTIDEFHRRANGIKNNLNKVEYHQALLRAHEARDRAVMSANFDRVTFWSVVHTLVMVGVAGVQVFMIRSLFEENSKIGKVLRKGKFD >CRE15487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:220960:223582:1 gene:WBGene00066311 transcript:CRE15487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bath-37 description:CRE-BATH-37 protein [Source:UniProtKB/TrEMBL;Acc:E3MSW2] MTYEEDDDLNSTLIPYPYIAIPPPTQQPTNMELFLSLLHLVCLTSILCGNVSQLVLQLYTRRLRDVTHVQQFLAFFFVINAFVSLGTPWMIVETLVRHWTFGPSACRAYQATAQVGRTILPYIIVALYVVTSMSISPSRKCRIRQSFTSMMFVIIFALLVLFIIIPVIGSSTLIPQIHGNHLPGELYSVMFESFFCVIPFSEEVYTDGVALFVEVIVPLILCTICAVRLKMTTKEMTSMMSIDSVNYYLLWISVIHFSTSVWYYFSVETKRWIFDFLPSPMDYRDILCLLPYISSSLTWYPASDMSTLWSLYEVFSAFFHCFSISEFQSQNESPESCQSRNSSRHVRLVVPHSTSALEDCGYNHSYHLPLSSQNTCDV >CRE24344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1835:6058:6565:-1 gene:WBGene00066312 transcript:CRE24344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24344 MTAKTVAELTSFNPRLSEGGCEWFGTAPLCNFPCPSDYDYIRANNGRFSSWWLSGFCSPDPSFGKPCSTLLGDYFSKRFCCKSDPMECTWSGRWMGANTAHNIYCRYDNVGKCGTIDCSINHFTLKAQNSSEITGDRCDRLELFGLCGKASK >CRE15547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:202206:202989:-1 gene:WBGene00066313 transcript:CRE15547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15547 MSQSEDVEPETYQIMRTIENSLVDSDNVDLEQYLLFWEHVCKVMGSWGTIFSFVVKDVSNKLEKLAQMRNTDPESYKSILTMATRESENGSIRNLKPNRSGTGHLMVLNRALEFVIDLLDGVFTAEDDEAKVSIIARSSYDKHLSQFHSWPVKTAVSAALFTLPRKTEFLIRLRGKMPESDDEQFHDVFNRDGRDIVRRVNQLVENFELTDHNPSA >CRE15485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:209862:212102:1 gene:WBGene00066314 transcript:CRE15485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15485 MEELQVRVRDLQLCADVTTGVDSLGQFQFHDLVQLDHTGNVGVIIRLEKENLEVLNMHGVVSRIKPQAIIAKKDVRFAKVLDSQNNSIEAKDLVKVISGLNIKERESDEDPVGEVLYAFRGTVFIYARKIAKNGGVMVCRPKQLLLQGAKKANNAPMISRMASPNPMASPRHSSSGMTSSSHDGMSSRGSTGGQTPRQNQPRHFGTANQQKVRRDLTIIGKNVRITKGPMKGHFGIVRDATEDTVRVELHAQCRTVSVDRARMMVVGDTGITSSGSGTSFYNTAKTPMRDSSKTPMYGSKTPMYGAQTPMYGAMTPHAGDRTPHYGAMTPAYGGRTPAYGDGSRTPAYGSKTPAYGDLDEHSSARTPAYGNDNGRTPAYGHGSGRTPAYGNSENGRTPAYGGSTDSGRTPAYDHDSGRTPGYESLPSRTPNYDSSKTPSYTNDFDTPTSPTYDPEPNEPYNNAPARTPAFDSGRTPGYETYDSGSPTYDPEPTGETEDIGDTSSPTYDSPPHSYSVPTPGAMINPATPGAYHVDTPGPFVAPMTPGSGGAYDQYVAPSPYMGGGFEANNFNNAGGAIESIPEHFLVQGHWIMSNLYVVIKEHDPRYNDREAIVQNVNDGKVDIYIPDLKCNLEVDFDQLSPTRPQPGDFARVIFGHDGGHSGQVVSMDGVDALIRSQSDISDMRSCNIGLCCKMHGGV >CRE15482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:197966:201945:1 gene:WBGene00066315 transcript:CRE15482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spt-5 description:CRE-SPT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MSV5] MSSDESDVASNKEEDTTLSDDDGSDVEIRSSKKDRKRKIASDSEKSDSENDDDDDEDDEDEDENMTNKKSKNKKRKKKAPSGRDFLAWDVEVDDEDEDDDNDYDDEDDPRMNAMNEREEAERAMKEMEMSQRNRDRYKFQNMSEDEVQKYFENKYKADKNDGDYDDEDSAMDDISKNSHLPSTKDPNLWIVRCRMGEEKLVAMHLMRKCLAVEHTNEPFQIKSVVVKEGLKGMIYIEAFKQSHVMSAIDGFSALNQFQITMVPIKDMVDVLRVVKDIPQLKLGSYVRLKRTMYKDDLAVVDLVDIAQNRVNLKLIPRVDYQRRRGAMRTDADKTYKLKRRPMPKLFDQDAIKEVGGEIVTDGDFIMFEGNHYRRGFLYKYFPINAVQADGVKATLGELEKFQESSDDLKRELETTSMKDTENPFVPGDIVEVKAGELVNLRGKVMAIDGTKVVMMPDQEDLKEAITLNASELRKYFKEGDHAKVISGRYEGQTGLIVRVKDSTAIVLADLGMEELQVRVRDLQLCADVTTGVDSLGQFQFHDLVQLDHTGNVGVIIRLEKENLEVLNMHGIVNRIKPQAIIAKKDVRFAKVLDSQNNSIEAKDLVKVISGLNIKERESDEDPVGEVLYAFRGTVFIYARKIAKNGGVMVCRPKQLLLQGAKKTNNTPMVSRMASPNPMASPRHSSGGMTPSSHDGMSSRGSTGGQTPRQNQPRHFGTANQQKVRRDLTIIGKNVRITKGPMKGYFGIVRDATEDTVRVELHAQCRTVSVDRARMMVVGDTGITSSGSGTSFYNTAKTPMRDSSKTPMYGSKTPMYGAQTPMYGAMTPHAGDRTPHYGAMTPAYGGGRTPAYGDGSRTPAYGSKTPAYGDLDEHSSARTPAYGNDNGRTPAYGHDSGRTPAYGNSENGRTPAYGASTDSGRTPAYDHDSGRTPGYESLPSRTPNYDSGKTPSYTNDFDTPTSPTYDPEPHEPYNNAPARTPAFDSGRTPGYETYDSGSPTYDPEPTGETEDIGDTSSPTYDSPPHSYSVPTPGAMINPATPGAYHVDTPGPFVAPMTPGTGGAYDQYVAPSPYMGGGFEANNFNNAGGAIESIPEHFLVQGHWIMSNLYVVIKEHDPRYNDREAIVQNANDGKVDIYIPDLKCNLEVDFDQLSPTRPQPGDFARVIFGHDGGHSGQVVSMDGVDALIRSQSDISDMRSCNIGLCCKMHGGA >CRE15546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:196272:197049:-1 gene:WBGene00066316 transcript:CRE15546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bre-5 description:CRE-BRE-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MSV4] MRESVRRTWGKYRKENGVEVMPVFVIGRVKNLEVMRKIDKEIEKNKDILAISAIDSYRNNTYKLFAAIDYAAKPNECLSPDYTFLVDDDYMVHIPNLVKFLQTKKNRDLVYEGFVFDTSPFRMKIHKHSISLEEYPYSRYPPYVSAGAVFLTSETIERFQNRMHHLKMFPFDDVFTGILAKTVGVPTTHNENFIFWNRRVTQDEWDQGVIAVHGYAGKDLEYEYSKLFR >CRE15481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:194554:196166:1 gene:WBGene00066317 transcript:CRE15481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15481 MANSSGSTRRRRGSDDGGRAVPLPAKIAKQKKEQKHQTSSITSSILSYLWNIFQITLVLVVSIIVSALLTNRIVNSPIRAVEFDLGESLPLEGVLAVNSRLTDAELLLEDQVYGPESLVLDEKNKKLYAGFKTGIIAEISMTEGKEKILHAVQLAQGNHDCDGSYKTMNLCGRPLGLRLSDANELIIADAYLGLFAINWQEEKVVKILGAGELPTNDENGAPIKYLNDLDILPDGRIIFSESSTKFDDRDFILDLFEHRPNGRLLIYDPRKKNLRVLKDGLYFPNGVQLSIEKGVSKTAPWRVFYSEMGMARVMQIWVPQDHYSTASVKTALLIENLPGYPDNIRLTKTGHLLVPIATHRSENDRLLEQQPRVREFLTKILSNKALALVANYFADAEGLVLKVNTETGQIIESYHDQTGKVEAISIAIDDGQGRMLLGSDVNYYIARAKL >CRE15545.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:187369:191326:-1 gene:WBGene00066318 transcript:CRE15545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15545 MVPNEVFQKLLYDLFCMWHGVQRHYDPPIPDSEEQRMQKVKNLICKLLTEIDGRVNRIKQNNSHQRGQDFYEEWTMLTWNVLCITNRLQNSLSQVVVSNEDKMIYSRLNQALVELVNYSRDFLPFPSSNEMDPEYVMLRDKMNQTMMSLHGLYRQMNSAMYQTPDDVENFRIHLQTFGDDILVPCLEQYKTFCNKNCMALWSTVRSVQVERMRDQVKDHDSSIENLVSFYSNMTYVLAVLGARLQGFRYDLTVNKKFFNFDPVAHMSEAVFAALELLMSNCVLATEPSTSAILVTNNLFAMNCGALARGVVFKDFEIQVVSEETAEHIQSEMSRQRLLQHPAPIGSVPSAALLAMKPTTGTKRSNAASNADSANNTAHKKSDVNSKESVIIYPVYNSKNRYWAATYPHLLCTTRQKGRQSVHNSYQDLSPSGTNTSSSNNDKQGSGKRPIFYFHIKATMFSPSGRFATAHTLSLPFTIATRRNQDCQVQRMMSSYTATIFWLYGCNSQDGLLLQWIDGGMHWDHFKHLFKQHFKVNADVQRGLIDNDFELLKYKLQCPDCCSGQDGARINGVQQIVTFKNVLCPHLRYECNSTNVRFSVWRGMLELLQIFHDTRNNVRKLWEMGILMGFLEFEEVDSLLEKHKSALIMRLSFVTGGTICFTVKSLAHTLDARATRPIHLEPLDLKRLQQKCLRDYLRDIADAEKVKYLVTADHEVMRIDDMLEELKDLGGKPDSPSESREISSNITHMGDIDTMQHIKFTAMRIAVVTCKVKPPSADQEENDALRNRLSATFGTRDDEFLREFVTLSNLYGKSKQDLIDALDSIHDKFQPGPVRKTSSVYDATRQIPLVPAPISHNMGPPMISPPPAKVRPLSDSNKSQQFPLQLVRAELPQPSGAMMRVSCSPPKPSSQLPSPPLPQTVLPHMFNNGVSMIYDHHQPHFQY >CRE15545.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:187369:191334:-1 gene:WBGene00066318 transcript:CRE15545.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15545 MVPNEVFQKLLYDLFCMWHGVQRHYDPPIPDSEEQRMQKVKNLICKLLTEIDGRVNRIKQNNSHQRGQDFYEEWTMLTWNVLCITNRLQNSLSQVVVSNEDKMIYSRLNQALVELVNYSRDFLPFPSSNEMDPEYVMLRDKMNQTMMSLHGLYRQMNSAMYQTPDDVENFRIHLQTFGDDILVPCLEQYKTFCNKNCMALWSTVRSVQVERMRDQVKDHDSSIENLVSFYSNMTYVLAVLGARLQGFRYDLTVNKKFFNFDPVAHMSEAVFAALELLMSNCVLATEPSTSAILVTNNLFAMNCGALARGVVFKDFEIQVVSEETAEHIQSEMSRQRLLQHPAPIGSVPSAALLAMKPTTGTKRSNAASNADSANNTAHKKSDVNSKESVIIYPVYNSKNRYWAATYPHLLCTTRQKGRQSVHNSYQDLSPSGTNTSSSNNDKQGSGKRPIFYFHIKATMFSPSGRFATAHTLSLPFTIATRRNQDCQVQRMMSSYTATIFWLYGCNSQDGLLLQWIDGGMHWDHFKHLFKQHFKVNADVQRGLIDNDFELLKYKLQCPDCCSGQDGARINGVQQIVTFKNVLCPHLRYECNSTNVRFSVWRGMLELLQIFHDTRNNVRKLWEMGILMGFLEFEEVDSLLEKHKSALIMRLSFVTGGTICFTVKSLAHTLDARATRPIHLEPLDLKRLQQKCLRDYLRDIADAEKVKYLVTADHEVMRIDDMLEELKDLGGKPDSPSESREISSNITHMGDIDTMQHIKFTAMRIAVVTCKVKPPSADQEENDALRNRLSATFGTRDDEFLREFVTLSNLYGKSKQDLIDALDSIHDKFQPGPVRKTSSVYDATRQIPLVPAPISHNMGPPMISPPPAKVRPLSDSNKSQQFPLQLVRAELPQPSGAMMRVSCSPPKPSSQLPSPPLPQTVLPHMFNNGVSMIYDHHQPHFQY >CRE15480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:185008:187176:1 gene:WBGene00066320 transcript:CRE15480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15480 MAATSSAPHQMQVRVTFYTSHNPQSVLMILKNDQALEQITEKARELFNSADYRLFYGDVNGPIYEFTDSEQVMSKIRITTFSRTPQLFVRLESGSTCSSSNKKSSKGDRESKSSAALKRIEQNQELTLKNIEKLQNEIERVSVLQTLADLNSMEPKRAPVAAEKVNRPFPPVKHSASCDACLGDIVGHRYKCLECADYDLCEKCEKNSVHFEHAMVRIVHPNKTKIPAYVTNNSPNNVFPSYMQRPCLPFLSGAELRAVDSNVWKGASRTTRSTETSVSPPVVPTPVQAPTFTPAAAPVTGPEARFFNDETCAALINSASILKDTFSTLSKSFMDLADGAQANMEKKIIERSTMEAGVKKVEEKVDNLKRHCEEKCFGSNSQDAEKSEDSSKKSSESSSGPKIKKAKKKTVYKRTSEKLVASTLAAAAEEQLKSREEAKKRAEASTAELQRKLAELNLKPIPKDDLVTTPIPFPPSPATSNSLEALMRAILSTSQTRVAEPVVTPTAPNQPIPSAPAPISFTPVVPAPIVIEPVVPTAPIVETLIVPPLPTAVPMPGEAVHQPTSPISIHSSFENISSDFESLSPSWQYQEMELPQHQENVEDDLLEFSDVVETPEENIPEPSQPSSVSVYDSETEKTFDRLLEMGFDYNVVVNAVKTNGSDLQKCLIDLLQ >CRE15479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:182672:183414:1 gene:WBGene00066321 transcript:CRE15479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-51 MASACASRLTSVIRTTSVRGFHDRSSVPRVVDDREKSTAKYTDAGYTFRYHQQGVDPLPRIPDCKVPVARPNYKVRDQWSDEAARFGQNDYIDLLGDGSVHPAQLQYHTPTWLRGFPGQHKANELIKLIHYRNLYDSKLKQNSPKRWHELRKRIKYLMMQHNYNKQDEIGRERNLGLWEEEPDYTYKDKSRRSFRDEIH >CRE15544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:181761:182390:-1 gene:WBGene00066322 transcript:CRE15544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15544 MDSASVSSKENDNSVPNSMVLEVRTKDRQMTEDELMHFIQISEPSNKKQKRGTLTAEEEFDQWIEDNKDRFRHNSSTRNEWKNCIFMYNFFRRRGSQHRASSYLQKIENAKTEKIRARVSKIRKLDLEYVSLPVERVNPEDAKRRKNLESKAKAESAADKMNAQRILRSILFNGQY >CRE15543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:179214:180674:-1 gene:WBGene00066323 transcript:CRE15543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15543 MKLRLFVVLLVFSATSFADNKQAVEVNPEDVDDVKPPIEEDEERKDEPLEKVTTPPTLTFLSSTANVTAPTEVVAIPAATNATNVEVKSDHDKKEELLTGKDETPPAKTEAIPEATTIAVETLAPIVPKDPEEADDVKEEEKENAAPEFEAKQEVEGGKGEEDPDANVDKPAAVDPPKDEADGAAKEDRETPAVGAEGLHEAVEEARGNPAPGAAVADKKKDSADEEQTLEAGPVIRPNRLDSSSEYQSSFQRIRGSEEEGTGFMSFFFIASFLIIAIYLLQHNKKKLLGLMFEGRSGRGSRSRAGNVRYRRLSQNESGN >CRE15542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:173488:174761:-1 gene:WBGene00066324 transcript:CRE15542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lips-6 description:CRE-LIPS-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MSU7] MNWITVALALAVVDLSFCGNNIHPHFMAWMKQNYKPEEVAAFVRDELTTGSFGGGVLTQETTDRPVILVHGLNNEAGSFWKIARDFAAAGHPIQYLFATTWGRGVEQTNLNVAMSCGHVRHIRRFIEAVLKYTGAPQVDIIGYSMGSPIARKAIMGGKCVDENVELGQSIQSRVHTYISVAGANQGSQLCMFPFLEICNMNTGLACNSKFLNDINWFKNYESTYKSFNLASTGDFVVGYMACGKKASEFAGGHEYKVEGMNHEQTEFDTAAIQLKMLRESTSGQSKSRPSVKEDKKKNAFADVKKYLNSKRRDVRHTEPKKPKSPKRSPQKKSAPKKVIQKRAPAKKASPPKRAAPSKKNQQRDTKKDQKKPAKH >CRE15541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:166940:168281:-1 gene:WBGene00066325 transcript:CRE15541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15541 MNWITVVVALGVVDLAACGNALSPHFLAWMKENYKPEEVAAFVRDDLITGSFGGGVLTQEPKDRPTILVHGLNNEAGSLWKIVRDFGTLGFTRTYLFATTWGRGVEKMNLNVAMSCGHVRHIRRFIEAVLKYTGAPQVNIIGYSMGSPIARKAILGGKCVDDPNVELGPSIQSKVHTYISVAGANQGSHLCMLPFFDICNMNTGLMCGSKFLNDINWFKNYESTYKSFNIASTADFVVGYLACGKKASEFAGGHEYKVDGMNHEQTEFDTAAIQFNMLRESTAGQSKSRPIAKTAQEKTKKGEVSDSKKRDLRHSPPKKPIAPKQSPQKKSAPKKSFQKRALAKKASPPKKTSPVKKTVLAKKDSAKRDALKKKNQKKNAKKDKKKPARS >CRE15478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:164904:166722:1 gene:WBGene00066326 transcript:CRE15478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-17 description:CRE-SPE-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MSU5] MKQVFESGSVVSCNKKEQPPLAPLSSCSSSGSDFLTSSTTSGTTESSGTSVSSIKSSDTNYGVASLKSDCSDDAMGTHLSPEEANWLKLAHEYTASEKPFKEQKGFHVARKLRTWHPKRSVSWSFLRRLYNGRQDMKEEQLANIAEMSIEEKK >CRE15540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:131138:163763:-1 gene:WBGene00066328 transcript:CRE15540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-22 description:CRE-UNC-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MSU4] MVGAPRFTQKPSIQQTPTGDLLMECHLEADPQPTIAWQHSGNLLEPSGRVVQTLTPLGGSLYKATLVIKEPNAGDGGAYKCTAKNQLGESNANINLNFAGAGGDEAKSRGPSFVGKPRIIPKDGGALIVMECKVKSASTPVAKWMKDGVPLSMGGLYHAIFSDLGDQTFLCQLEIRGPSSSDAGQYRCNIRNDQGETNANLALNFEEPDPAERQERKRSTASPRPSSRGPGSRPSSPKKSMKSREGTPKRTLKPREGSPSKKLRSRTSTPVNDEVSQSESRRSSRTEKMEVDQVSGASKRKPDGLPPPGGDEKKLRAGSPSARKSPSRKSPSPTPSRKTSKTGGAAGTAAAAGTGGAASASGSATSTTTTTNTATSEGSASSQQVHDTSREKYTRPPIVLEASRSQTGRVGGSVVLEVQWQCHSSTIIEWYRDGTLVRNSSEYSQSFNGSIAKLQVNNLTEEKSGLYKCHAKCDYGDGQSSAMVKVEQSDVEEELMKHRKDLEDEYQKEEDRRVSQTLQAETKKRVARRSKSKSKSPAPQAKKSALSESVRSDASEVETKRSSSVRPDPDEMNYTPGSYLQNLLKRSASNDGSDHTNGASSSSFSRRLPPRPPFESSHSTASSPSSSNAPRRMINLRSVSDRQGAARSSGDQSPNPLNMRSANSSGPDLHKISQIHAFILSKMPEGEAKERFLRSILDLEGPDSRRGSRDDVGSPKLKKTNSKSSVNSSSNESQLDEIPSSGLTIPEERRRELLGQVGESDDEVSESISELPSFAGGKPRRKTDSPPKQDEMFSRDTILRKTTTSTRNESNTVEEKTKLRKTVKKADGELDFKAMVKLKKVKKEEGGATEKPGFPLDHADSTSSVLSQESRSRRGSTALFSKDNLPEQPANPFAQLKKVKSGAGGLEKSDSMASLKKLELKKGKVEENSDGAFKVQLKKVVKKEVKESTVSVKEKNGTESGIKSEFKLEKRERTTLQKYEKTDSNGSKKEHHEKVEEKSTKSEELKTKVGSRQVGQKRNGAPKPEETKNLLSQIQLKKVTKKAQEETNELEGIKLKKVTTVPKHVADDDSQSESESRRGSVFGEFRRGSRAPRDSADNSRRDSIVNIFEILFEFLRDLYNIDIRRSSIDMRRESVQEILEKTSTPLVPSGASGSAPKIVEVPENVTVVENETAILTCKVSGSPAPTFKWFKGSREVISGGRFKHITDGKEHTVALALLKCRSQDEGPYTLTIENAHGTDSADVKLLVTSDNGLDFRSMLKHRESQVGFQKDGEGGAGGGGEKKPMTEAERRQSLFPGKRVEKWDEPLPEKTVQQQVDKICEWKCTYSRPNAKIRWYKDRKEIFSGGLKYKIVIEKNVCTLIINNPEVDDTGKYTCEANGVPTHAQLTVLEPPMKYSFLNPLPNTQEIYRTKQAVLTCKVNTPRAPVVWYRGSKAIQEGDPRFIIEKDAVGRCTLTIKEVEEDDQAEWTARITQDVFSKVQVYVEEPRHTFVVPMKSQKVNESELATLETDVNDKDAEVVWWHDGKRIDIDGVKFKVESNNRKRRLIINGARIEDHGEYKCTTKDDRTMAQLIVDAKNKFIVALKDTEVIEKDDVTLMCQTKDTKTPGIWFRNGKQISSMPGGKFETQSRNGTHTLKIGKIEMNEADIYEIDQAGLRGSCNVTVLEAEKRPIINWKPKKIEAKAGEPCVVKVPFQIKGTRRGDPKAQILKDGKPIDEAMRKLVEVVIKDDVAEIVFKNPQLADTGKWALELGNSAGTALAPFELFVKDKPKPPKGPLETKNVTAEGLDLVWGTPEPSEGAPVKAYIIEMQEGRSGNWVKVGETKGTDFKVKDLKEHGEYKFRVKALNECGLSDPLTGESVLAKNPYGTPGKPKNMDAIDVDKDHVTLAWEPPEEDGGAPITGYIVERREKSEKDWHQVGQTKPDCCELTDKKVVEDKEYLYRVKAVNKAGPGDPCDHGKPIKVKAKKASPEFTGGGIKDLRLKVGETIKYEVPISGEPLPDCTWIVNGKPLKAMGRVKMASERGKHVMKIENAVRGDSGKYTITLKNSSGTCDSTANVTVVGRPTPPKGPLDITDVCADGASLSWNPPEDDGGDPLTGYIVEAQDMDNKGKYIEVGRVDPNTTNLKVAGLRNKGNYKFRVKAVNNEGESEPLSADQYTLIKDPWDEPGKPGRPEITDFDADRIDIAWEPPHKDGGAPIEEYIVEVRDPDTKEWKEVKRVPDTNASISGLKEGKEYQFRVKAVNKAGPGQPSDPSEKQLAKPKFIPAWLKHDNLKSITVKAGATVRWEVKIGGEPIPEVKWFKGSQQLENGIQLTIDTRKNEHTILCIPSAMRSDVGEYRLTVKNSHGADEEKANLTVLDKPSKPNGPLEVSDVFEDNLNLSWKPPDDDGGEPIEYYEVEKLDTSTGRWVPCAKVKDTKAHIDGLKKGQMYQFRVKAVNKEGASDALSTEKDIKAKNPYDEPGKTGTPDVVDWDADRVSLEWEPPKTDGGAPITQYIIEKKGKHGRDWQECGKVSGDQTNAEILGLKEGEEYQFRVKAVNKAGPGEPSDPSRKVVAKPRNLKPWIDREAMKTITIKVGNDVEFDVPVRGEPPPKKEWLFLEKPIDDQKIRIENEDYKTRFLLRGATRKHAGLYTLTATNPSGSDKHSVEVIVLGKPSTPLGPLDVTNVYEDRCDLEWKVPEDDGGAPIDHYEIEKMDMATGRWVPCGRSETTKTTVPNLQPGHEYKFRVRAVNKEGESDPLTTNTAILAKNPYEVPGKVDKPELVDWDKDHVDLAWNKPDDGGAPIEAYVIEKKDKNGRWEEALVVPGDQNTATVPNLKEGEEYQFRISARNKAGTGDPSDPSDRVIAKPRNLAPRIHREDLSDTTVKVGACLKFIVHIDGEPAPDVTWSFNGKGIGESKAQIENEPYISRFTLPKAVRKQSGKYTITATNVNGTDSVTIDIKVKSKPTKPKGPIEVTDVFEDRATLDWKPPEDDGGEPIEFYEIEKMNTKDGIWVPCGRSGDTHFTVDSLNKGDHYKFRVKAVNSEGASDPLETDTDILAKNPFDRPDKPGRPEPTDWDSDHVDLKWDPPLSDGGAPIEEYQIEKRTKYGRWEPAISVPGGQTKATVPDLTPNEEYEFRVIAVNKGGPSDPSDASKAVIAKPRNCEFLFSINSHISGFTVKPHIDRDALKNLTIKAGQSISFDVPVSGEPAPTVTWHWPDNREIRNGGRVKLDNPEYQSKLVVRQMERGDSGTFTIKAVNVNGEDEATVKINVIDKPTPPNGPLDVLDVHGDHCTLDWRAPDDDGGIPIENYVIEKYDTATGRWVPAAKVAGDQTTAVVDGLIPGHEYKFRVAAVNAEGESEPLETFGTTLAKDPFDKPGKTSAPDVTDWDKDHVDLEWKPPVNDGGAPIEEYVVEMKDEFSPFWNEVAHVPASQTNATVGNLKEGSKYEFRIRAKNKAGLGDPSDSASAVAKARNVPPVIDRNSIQEIKVKAGQDFSLNIPVSGEPTPTITWTFEGTPVESDDRMKLANEDGRTKFHVKRALRSDTGTYIIKAENENGTDTAEVKVTVLDHPSSPRGPLDITNIVKDGCDLAWKEPEDDGGAEISHYVIEKQDAATGRWTACGESKDTNFHVDDLVQGHEYKFRVKAVNRHGDSDPLEAREAIIAKDPFDRADKPGTPEIVDWDKDHADLKWTPPADDGGAPIEGYLVEMRTPSGDWVPAVKVGAGELTATVDGLKPGQTYQFRVKALNKAGESTPSDPSRTMIAKPRHLAPKINRDMFIAQRIKAGQTLNFDVNVEGEPAPKIEWFLNGSPLPSGGKTHIDNNTDNNTKLTTKGTARADSGKYKIVATNESGKDEHEVDVNILDIPGAPEGPLRHKDITKESVVLKWDEPLDDGGSPITNYLVEKQEDGGRWVPCGETSDTSLKVNKLSEGHEYKFRVKAVNRQGTSAPLTSDHAIVAKNPFDEPDAPTDVTPVDWDKDHVDLEWKPPANDGGAPIDAYIVEKKDKFGDWVECARVDGKTTKTTADNLTPGETYQFRVKAVNKAGPGKPSDPTGNVVAKPRRMAPKLNLAGLLDLRIKAGTPIKLDITFEGEPAPVATWKANDKTVEAGARADVTNTPTSSAIHVFSAVRGDTGVYKIIVENEHGKDTAQCNVTVLDVPGTPEGPLKIDEIHKEGCTLNWKPPTDNGGTDILHYVVEKMDTSRGTWQEVGTFPDCTAKVNKLTPGKEYAFRVKAVNLQGESKPLEAEEPIIAKNQFDVPAPVDKPEVTDWDKDRIDIKWNPTSNNGGAPVTGYIVEKKEKGSALWTEAGKTAGTTFSADNLKPGVEYEFRVIAVNAAGPSDPSEPTDPQITKARYLKPKILTAIRKIKIKAGFTHNLEVDFVGAPDPTATWTVGDSGAALAPELIVDAKTNVTSIFFPSAKRSDSGNYKLKVKNELGEDEGIFEVIVQDKPSPPTGPLEVSDVTKDSCVLNWKPPKDDGGAEISNYVVEKRDTRTNTWVPVSAFVTGTSITVPKLTEGHEYEFRVMAENAFGRSDSLNTDEPVLAKDPFGTPGKPGRPDIVDTDNDHIDIKWDPPRDNGGSPIDHYDVERKDTKTGRWIKVNTSPVQGNAFSDTRVQKGHTYEYRVVAVNKAGPGQPSDPSAAATAKPMHEAPKFDLDLDGKEFRVKAGEPLVITIPYTASPQPDISWTKDGKPLAGVETTDSQTKLVIPATRKSDSGPVKIKAVNPYGEAEANIKITVIDKPGPPENITYPAISRHTCTLNWDAPKDDGGSEIAGYKIEYQEVGSQIWDKVPGLISGTSYTVRGLEHGQQYRFRIRAENAVGLSDYCQGVPVVIKDPFDPPGAPSTPDITGYDTNQVSLAWNPPRDDGGSPIIGYVVERFEKRGGGDWAPVKMPMVKGTECIVPGLHENETYQFRVRAVNAAGQGEPSNGSEPVTCRPYVEKPGPPDAPRVGKITKNSAELTWNRPLRDGGAPIDGYIIEKKKLGDNDWTRCNDKPVRETAFEVKNLGEKEEYEFRVIAVNSAGEGEPSKPSDLVLIEEQPGRPIFDITNLKDITVRAGETIQIRIPYAGGNPKPIIDLFNGNSPIFENERTVVDVNPGEIVITTTGSKRSDAGPYKISATNKYGKDTCKLNVFVLDAPGKPTGPIRATDIQSDAMTLSWRPPKDNGGDEITNYVVEKRTPGGDWVTVGHPVGTTLRVRNLDANTPYEFRVRAENQYGVGEPLETDDAIVAKNPFDTPGAPGQPEAVETSEEAITLQWTRPTSDGGAPIQGYVIEKREAGTTEWTKAAFGNILDTKHRVTGLTPKKTYEFRVAAYNAAGQGEYSANSVPITADSAPTRPKINMGMLTRDVLAYAGDRAKILVPFAASPAPKVTFSKGENKISPTDPRIKVEYSDFLATLTIEKSELTDGGLYFVELENSQGSDSASIRLKVVDKPAPPQHIRVEDIAPDCCTLYWMPPSSDGGSPITNYIVEKLDLRHSDGKWEKVSSFVRNLNYTVGGLLKDNRYRFRVRAETQYGVSEPCELSEVVVAKYQFDVPNQPEAPTVRDKDSTWAELEWDPPRDGGSKIIGYQVQYRDTSSGRWINAKMDLAEHCHARVTGLRQNGEFEFRIIAKNAAGFSKPSPPSERCQLKSRYGPPGPPIQVGAKSIGRNHCTITWMPPLEDGGSKITGYNVEMREYGSTLWAVVSDYNVREPEFTVDKLKEFNDYEFRVVAINAAGKGIPSLPSGPIKIQESGGSRPQIVVKPEDTAQPYNRRAVFVCEAVGRPEPTARWLRNGRELPESSRYRFEASDGVYKFTIKEVWDIDAGEYTVEVFNSYGSDTATAKLTVQAPPVIEKDVPNTILPNGDLVRLKIYFSGTAPFRHSLVLNKEEIDMDHPTIRTVEFDDHILITIPALSVREAGRYEYTVSNDSGEATTGFWLNVTGLPEAPQGPLHISNVGPSTATLSWRPPVTDGGSKITSYVIEKRDLSKDEWVTVSSNVKDMNYIVTGLFENHEYEFRVSAQNENGIGAPLVSEHPIVARLPFDPPTSPLNLEIIQVGGDYVTLSWQRPSSDGGGRLRGYIIEKQEEGHDEWFRCNQNPSPQNTYNVPNLIDGRKYRYRVFAANDAGLSDPTELDQTLFQASGAGEGPKIVSPLSDLNEEVGRCVTFECTISGSPRPEYRWFKGCKELVDTSKYTLINKGDKQVLIINDLTSDDADEYTCRATNSSGTRSTRANLRIKTKPRVFIPPKYHGGYEAQKGETIELKIPYKAYPQGEAKWSKDGEKIENNSKYSITTDDKFATLRISNASREDYGEYRVVVENSVGSDSGIVNVTVADVPEPPRFPIIENILDEAIILSWKPPALDGGSLVTNYTIEKREAMGGSWAPCAKSRYTYTTVEGLRGGKQYEFRITAENKHGASKPCEPTAPVTIPGDERKRRRAYDVDEQGKIVRGKGAVSSNYDNYVFDIWKQYYPQPVEIKHDHVLDHYDIHEELGTGAFGVVHRVTERATGNNFAAKFVMTPHEADKETVRKEIQTMSVLRHPKLVNLHDAFEDDNEMVMIYEFMSGGELFEKVADEHNKMSEDEAVEYMRQVCKALCHMHENNYVHLDLKPENIMFTTKRSNELKLIDFGLTAHLDPKQSVKVTTGTAEFAAPEVAEGKPVGYYTDMWSVGVLSYILLSGLSPFGGENDDDTLRNVKVSYFLSSFCTFPKISKNFQSCDWNMDDSAFSNISDDGKDFIRKLLLADPNTRMTIHQALEHPWLSPGNVPGRDSQIPSSRYTKIRDSIKSKYDAWPEPLPPLGRISNYSSLRKHRPQEYSIRDAFWDRSEAQPRFIVKPYGTEVAEGQSANFYCRVIASSPPVVTWHKDDRELKQSVKYMKRYNGNDYGLTINRVKGDDKGEYTVRAKNSYGTKEEIVFLNVTRHSEPLKFEPLEPMKRAPTPPKVEEFKERRSAPKFTFHLRNRLIQKNHQCKLTCSLQGNPNPTVEWMKDGHPVDEDRVQVSFRSGVCSLEIFNARVDDAGTYTVTATNDLGMDVTECVLTVQTKGGEPIPRVSSFRPRRAYDTLSAGTDVERSHSYADMRRRSLIRDVSPDVRSAADDLKTKITNELPSFTLQLSDAETEVGGSAEFTSEVTGQPEPLVEWLHNGERISDSDSRYRSSFVGGKATLRISDVKKSDEGQYLCRASNSAGQEQTRATLNVKGEQPLVNGHVETAESHLRVLKHLGGEIVPTGGSVTFEARVEGSPEEVLWMRNGQELTDGDKTSISQNGDTLSLTVNSAEASDAGHYQLEVRSKGTNLVSVASLVVVGEKADPPVTRLPSSVSAPLGGSTAFSIEFENVEGLTVQWFRGSEKIEKNERVKSVKSGNTFKLDIKEVEQDDDGIYVAKVVKEKKAIAKYAAALLLI >CRE15477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:128099:130141:1 gene:WBGene00066329 transcript:CRE15477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15477 MTSDPSLLSWNGVRLFLICSLLTAMTNFPSGFSHTSVNTAVHKLNEYLNNSFTERYRPLDHEEVSLLKSGINSAWYLFQVIGAMCSPFLCDNYGRKVAFAISIAFMTFAGAMQMLASFTPYSEVLIAGRLIAAVFSPLSDAALILYLQEISPASLRGTMSSLYSTGYATMCLLGMLLGHEGLLGHSLSVLLFVPVIPGILSTAFILWMPDTPKFLMLVKKDKVAALKSLRFFQGYLPDQTLLIDSMEQHQKEESAGNNNEKKEESSTSVLHILRTSHLRKAMMLSVAAAILTLPFYPILQNSTFFFTDMGVDTKTSQMASSLMMVVLTVSSICSTMIIDKVPRRVLLLTCGSCTVIFLTIFAVAEQMHQQSMAMGACFGFVMAYGVGVGPVIWSIPPELSPLADRSMMFCFVYSIHSCLVVVTNFSTIPLFMSIGAFSFVVLFAIPSALALVYLLICLPETSGREIHVIINELKGFVENKEPKANIISSIA >CRE15539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:123697:125100:-1 gene:WBGene00066330 transcript:CRE15539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15539 MPATNRRISLGSPKDLREVKFFDEEPIPDVPPKGARVKVCYAGVCLTDREVSNTKQARITNGIKDTSLFPGYEVSGIVESFGSECTPRDYDLNIGDKVIVWPTDEMCSHGYADYVAVPTLHFLVKIPETLSMHVASILPAGATWALSAVLQARPIVEAFSQSKGFCNILIVGAGGLGLWLLKLAKHFLAINNDKKIRLMVADAKEERLSLAERNGADFVVHWDDSEFEEYLIMRTKDVARTGVNVVFDFVTSPRTVTRSLKCLAEGGVLFVGGLSGLDVQLPIKHVAKNRLAIMGVTRGSIEQLKNLVNLIAGGQIDAPDYRVYPVDQASAVLKQLSMSEVEGRAILEVCDPISALNIDETGGVPIPAATSE >CRE15538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:121971:123471:-1 gene:WBGene00066331 transcript:CRE15538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tgt-1 description:Queuine tRNA-ribosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MSU1] MKYDILARAGFARRGNLHLPHSIVETPVFMPVGTQGTMKGVVPEQLVAMDCRILLCNTYHLGHRPGHERVKAAGGLHKMMNWDRSILTDSGGFQMVSLSKLMTVDENGVNFESPHTGEMMALPPEKSIEIQQALGADIMMQLDHVIHVLTTGDIVKEAMHRSIRWLDRCKVAHTRDDQAMFPILQGGLNLELRKECAEEMAKRAKVGIAIGGLSGGEEKDHFWRVVAACCAALPPHLPRYVMGVGFPVDLVICSLLGADMFDCVYPTRTARFGTAMVRRGGLMQLNQKRYKEDFLPIDDKCECNTCKNYSRAYLHSIVGKETVGCHLVSVHNIKHQLDLMRDVRQAIQSNSVELFLKQFIHDYYGPIQSEEPSKQDSLKIREVPQWVRDAVNHMGYKLDF >CRE15476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:117656:119993:1 gene:WBGene00066332 transcript:CRE15476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gdh-1 MEVLISFSETEFRSILFITLRDFLQNIIFIKFQVMLSTLARGARSVAVRNYSAATLDAHSQVLDDQKPMEEQVNPSFYKMVDFYFDKGAEVIAPKLVEELKSNSLSQKDKKNLVTGILGAIKPVNKVLYITFPIRRDNGEFEVVEAWRSQHSEHRTPTKGGIRYSLDVCEDEVKALSALMTYKCAVVDVPFGGAKGGVKIDPKQYTDYEIEKITRRIAIEFAKKGFLGPGVDVPAPDMGTGEREMGWIADTYAQTIGHLDRDASACITGKPIVSGGIHGRVSATGRGVWKGLEVFTNDADYMKMVGLNTGLAGKTAIIQGFGNVGLHTHRYLHRAGSKVIGIQEYDCAVYNPDGIHPKELEDWRDANGTIKNFPGAKNFDPFTDLMYEKCDIFVPAACEKSIHKENAHRIQAKIIAEAANGPTTPAADKILLARGDCLIIPDMYVNSGGVTVSYFEWLKNLNHVSYGRLTFKYDEEANKMLLASVQESLSKAIGKEAPVEPNAAFAAKIAGASEKDIVHSGLEYTMQRSGEAIIRTAHKYNLGLDIRTAAYANSIEKVYNTYRTAGFTFT >CRE15537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:112706:116109:-1 gene:WBGene00066334 transcript:CRE15537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hdl-1 description:CRE-HDL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MST9] MSEGGGTEVSTVMRDTITEIDQTLIDTGRQWGRLRNAAAFSLFRDLHINESMHRKHARSDYKVYDLNNRVIFYVVNTTAMPITKDGPFCLKVMNKDKKAVAKFLRNEPKRSYKQTGLASLFGCCSDIEDKMEVLDDNGKVIATSFLHHDQFRGIEIAMKDPTGQVVIKIRGSREQKDAFAVISPENRFLGEIRQKIISSGNSTDNYKGVACWFSPDVSLDVKVLFMSAAFLIEVDYFSESKNRQAPFRTPEADYLNPIIKTPPYNDRVPKLRKVTVKPKKKKGPSSKSSKESSRQSETKKEPLMMPEIHHTKHFDPIGGEDPTFAKKDKVEEYKPTETVKEEIEMKGMTREQFKKAAVKVVEYLMKQDENIRTARCSPALKPGYLKALLPTKAPTKAEDIDDILEDYHKLIVPGLSHSSHPNFQSFYPAGNAFHCLLADLLGGHIGDAGFYWTSNPALTELEVIMMDWLGEMMALPKEFLLFPEGSRGGGCMQRSDTESNFLVLIAARTDMIQKMKQRDRRLRSSDILARLVAYTSSDARRSIKKAAEVAMVKMRVLPTDENFVLRGDTLHAAMTADIERGLIPFFVGANFGTSGPCSFDHLHELGPVCRDHGTWLHVDAAYAGTALICPETRGLMRGIDWADSFCTTPSKLILAVCDVCCLWVRDRHKLQLASLENHPDLPFKGLPTSQRVGALKIWFMIRSFGVENLQNQIREHIKLGQAMGKMLQRDSKFEVCNKVLMGLICFRAKANDMFNKALLYRCNETGKISLVRNTGFVLNLYVFMQASCILQNKFVIRMCINSPKCSEEDLEAIYKLICHEHDILHPFQSRIEVMNQEELEQFIRAPAKVHSSAEVSRRFPVINPLESSRSFAQITSRVQSLECLDPPQKSSKSPQPTTDQPSTSSSNVPPIRTPALENSEKSDQ >CRE15536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:110719:112406:-1 gene:WBGene00066335 transcript:CRE15536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15536 MPRPSRTRNRFIIAFIIMMCLASLYILLLKIRKMNHLSELEENSRHFAIINDIVYYNSTYSASAKPTFMFLITSQIANFYLPMTCSSIDGYTETTSQIAIQQVGESLLVGTCTVVEDPLYVTLKLDDFSMQIDAPIPLEPLSIRKSIVKEDHVICMSHLVLYEDGTTILSLLKHFKNSAAKIMIYAASVSDSLYKALEEYADNVEVVPWMLPEAKKRGDFDKLKLDPNYSKAGTEGSLTHCFLRYAPVVRKVTLIDLATLKFNSIPFNPDYTLSKEVTSMVTEGWRLKKLTNYRVTMNSRKMFKNVHGNEKKLAENDVVLNEKCFVRQDAQDLDKECGDLQSPEMETTPSRSIYIPKVVQFDNLSIYEDALGRCTSYKSTIEDRQKLMKFEFELENRVSSEVCEIELMRDDFKCRVAMDYSKKMFRRRTRLLITHKRAFLRFWDGCHL >CRE15535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:109414:110450:-1 gene:WBGene00066336 transcript:CRE15535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15535 MSGQRFAGKVVLITGSSSGIGQSAAVLFAGEGAKVVITGRCAENVEKTRKMCMAVGAKSSDLHPTVGDVMDDGFLSILVETVIDTFGKLDILVSFLFLCLHTYFYTFQFQINNAGSLEVDMSGKEGWEMGYDVMQRSWDSNFKQFVFLPFKIIFLCFRVMILTQKAIPHLVKTKGDIVNVSTFLSSGPLGVMSMPYYAVPKAALDQMSRSMAHEFILKGVRLNTVNPGLVRTSFFSRLVGEDNARKMENYVQSKPEYIPLGRAATADDVAHAIIFLADRKVSECIVGQSIIIDGGSRLCCNIDMSDFKEKMAEA >CRE15475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:107271:109299:1 gene:WBGene00066337 transcript:CRE15475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15475 MSRDVSLHWLDYAIFGLSIGLSLSTGVYHAIKSRIILRRGDRTAKEEYLMGGRQLPPLPVALSLLTTFLSGILMLGVPAEMFQRGAQIWLNFVIGVASSIVTCFVFLPVFHKMKSTCLHEYFIHRYNSILIRRLFSLLFLLFTIVYMSVVLYAPSVALSPVLQINKWYLVLIFGCTTTLYTCLGGLKAVVWTDSLQAVIMYTGVFTLIYKGLSHPRVGGLERVMSIAWDSGRISELGRMDWRIDQYNSLWINLFSGTIVWLASFGVNQLAIQRYASLPNLKVAQSIIVYTLAPFTVLCSIVAFVGFIALAYFYNCNPLETGEIKQSDHITIIFARDILQPTPGLFGLYVSCIMSATLSTLSSGMNSMAAAIYEDFLKNKLDGKITDTGATRLNKLIVLICGLTSTGLAFLAEVLGGSLRICISVMGAMSGPMVAIFVLALFFPKSGSKSCLISFFLSNLFCLIICIANYIQDPYQDLFLPTNTTMEGCNQSSNFTIRMMPTYDAHYGNPDTLFISRISTYSYAGVGFVLMMVIGYITSLFEESNHKEEKIRHLTFAGRKYVSFFRCSFPISYFFSEPWPDTHHEYDHFLKEIK >CRE15474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:102935:106221:1 gene:WBGene00066338 transcript:CRE15474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhx-9 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:E3MST5] MSSRLFVFFLICYATADKIEESNSGGYQLFTWKWDDVHHVYVITVWLLIASLAKILFNLMKPISKWLPDSSLLIIVGLGLGWVLHQTTLSGATLDSHTFFLYLLPPIIFDAGYFMPNRALFENFDSVLVFSVFGTIWNTFAIGGSLLLMAQYDLFTMSFSTFEILVFSALISAVDPVAVIAVFEEIHVNEFLFINVFGEALFNDGVTVVRFTVKAEIILKTSYLFQVLYQMFKSFALIGSDNLSVLDYATGGLSFFVVALGGAAIGVIFAIAASLTTKYTYDVRILAPVFIFVLPYMAYLTAEMVSLSSIIAIAICGMLMKQYIKGNITQAAANSVKYFTKMLAQSSETVIFMFLGLSTISSQHHFDLIFICATLFFCLIYRAIGIVVQCYILNRFRNKKFEVVDQFIMSYGGLRGAIAYGLVVSIPASITAKPMFITATIAVIYFTVFLQGITIRPLVNCLKVKRKEERDRTMVESVYNKYLDYMMSGVEDIAGQKGHYTFIENFERFNAKVIKPVLMRHQKRESFDASSIVRAYEKITLEDAIKLAKVKNTIQNKRLERIKSENKVAQSLPDKISSNQKTVTPRDLQLKKFMESGENIDSLYMLFSDLLDRKLHEMNTSPIQKKETEGSDDIQDDYMAEVGSRSNLSAMFRSAEQLPSEEAFHRGRRQSTGDLNATKRADFNV >CRE15533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:96575:97131:-1 gene:WBGene00066341 transcript:CRE15533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15533 MYIRLSIFVVLLLALGTVDSKHKHKKENEPEFIKNLTNNQRSSFFAISKNPGFSFQQKDDKLEKWAEDNKLSEPYAEFRRNLATHKEQVSKNVSAAIDRLAEAKAEVDKVDADFSLTKIQRDDKIEELKQKYPQEIPTLFYMRSLFEHPKKNETRDGPSEGRGGKRQRQ >CRE14405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1102:6744:10022:1 gene:WBGene00066342 transcript:CRE14405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14405 MSNQHPNGYSVRDIILRYESEEEEFVRELEQMMEQTLENENEIVQETDEGPPILSVLCSLVDEIGSLRTENRRLKTRLVPAPRNSKNVVQRMSAMFEQRGSSIFPKLRRSNRSSESMEIRNGARERLSTPPRKENNSMTTSTDVSYGRNGAPPIVRPELSDSEIDEQIFDEDDHRSRDTTRRCDSINVPRHQNDHSTSACTSPSSASSSRDPSETMTTSRSSFLDLLGLRRRSTHIPQPTSYSKTTSTKQVVKKRRRKISGNGNDSESSGMYANLEDHCSRVPNKPPRPASYYRRDDSEDSDCLRQMRQRKTASSLNVNNYRHEDMYRVSKETHWQHEKDNLMAEIEEMKMRNLRLVEQLREKSQQQSKLQCQLHKVEMQVNTLSRRCALSEALDRLTLDERMEKSATIWIKKIEERLRIFENQMQNAKLEAATAHQMALNSSCHEKEAHQNCLEKLENLQREHMRVIHSSLMEIGIDEMNMKRRLENLPTYEALYAFTHSVVRRLNEARWAMIEKANEASRAQIDLIVSQSSHLVSLAQLERLKIMQTLRGKRQKRPSSFHGHSVSDRNVRQDLNFYLPLKLHGSRVENSRRTIKKNAIVASERNIEEEFLKLFSYSKDFSMELAANSVVPERRATNRIETMIREMNGRPTVRRGPSGISSSNLMRDRVTREVPSNRRPISLVETEERRIRRNEETRRSIKFIKTNSIDHGYNAYEPPAPSSRMNSTTVTSSQDFSNNAHPSPLNTPVFTRRIIVPVKGNAYDIVPEGTTANITPANIPQMGRVRKLERAFSAESRNTVDSLHEREERRERRDHAEASDRRQSKIQRSQPVSRLKQPSAQLSRFRTIGESVIANREPPISSNLRPRTLDPSTSIPRMTTSPTDSRIPTPSSRHVGEKRGWLERLKGIGKL >CRE15531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:89687:91291:-1 gene:WBGene00066344 transcript:CRE15531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fat-6 description:CRE-FAT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MST0] MTVKTRSNIAKKIEKDAPEAQYLAVNPDEITKLQEESKKIPYKREIVWRNVALFVALHFGAAIGLYQLIFEAKWQTVIFTFLLYVFGGFGITAGAHRLWSHKSYKATTPMRIFLMLLNNIALQNDVIEWARDHRCHHKWTDTDADPHNTTRGFFFAHMGWLLVRKHPQVKEQGAKLDMSDLLSDPVLVFQRKHYFPLVILSCFVLPTIIPVYFWGETAFIAFYTAATFRYCFTLHATWCINSAAHYFGYKPYDHSVSPVENVFTTIAAVGEGGHNFHHTFPQDYRTSEYSLKYNWTRVLIDVAATLGLVYDRKTVADEIIDRQVNNHGCEIQRGKSMM >CRE15472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:87337:87928:1 gene:WBGene00066345 transcript:CRE15472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15472 MEVFVEWAPNVVLLVGGMSIVCIVIHIIQSTIRPPKCCNNSSGTLIIGLPQVHPHNSFRYVRLESQNIETDSWHKTAFPVYDV >CRE15471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:79470:82416:1 gene:WBGene00066346 transcript:CRE15471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-43 description:CRE-NHR-43 protein [Source:UniProtKB/TrEMBL;Acc:E3MSS8] MISGPFLHFDPTVTLQTVMMGHPNSPLSIPCTSTSPNVLSASDIRCRVCDRRYDGSQHFGIDICRACAAFFRRSVAVKKTFVCRRGYNTCALNTVSRKTTCQKCRWMRCLQVGLQFSVVAGHRSPDHVKPQSRDEEDLKNIALVDSVKREGEESDTGSEGKSPQPVHTPPLVENLTINPSIHVVRPIPTIGNPNLVTTRATLINKVLINYNEFTKNRLEVELSLPHVQQDSKMFGPTGIPIVKASRETVFEIYQKQVELLHIFLKNTFDEYALCDEQEQKRICALFYPVLWEIESCYWTYRNMPVNADCEHLLMCTQTTYIDVRDVEYWLGNVEGQNKEEFETIKLRLKHLLQTARTSILERMHKIIIKEFEVITLLALNIWAPRNHSSSESKDCAEQVRTSLFNDLHYLYHDGLKIDNYAGRIGEVMCLYTDVQNADLSSTIKNIFFADLTEYIYSK >CRE15530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:71527:73227:-1 gene:WBGene00066347 transcript:CRE15530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15530 MRPEGYLFPPPKKLKEEDEFLALVVEKTGDETRTSMRQSEIYAYSQHIRIRDGYMVMPKYHWNAFSPGEWFFAKVVYAKSRDEKSSYERELRDIRKIKPTEYVKYRIPKTTFEHGYVRVECLFILCPDFIRNRHTNNSYGSYKKLILMNMGHGFVYNHSRDTKLFTSVHPERKYWGVFEFCAKENGACNFGHRSELRDQTNHVLERDSMWQLDHISRTPTKEESVMMDNWLKKLRKDAEDFDKKLEQEGAYSQHDGRHHSTSEKISQNDHDVTLTQSTLSITDQVETLSISGRHTTHQMPSSSEVSIEDSYSQHTLTSQSDISYNPHEFSSFREMEEHRSRVIIEKDVEDQNGKLVRKWFDKETHESSPDPDILVCFNLLSISFMNAAILQHFKFDSRYKVVEPPQQPVALPLTNRDRTLSESSVRRSSLGHPLASSTPRPSPETTRRSFNSVEFIRSEESENEHELDEDETNNLESNQNFMNQTSNTTMMCRDVGFIHFAFTFLFEISQNNANPFRPGHP >CRE15470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:69987:71147:1 gene:WBGene00066348 transcript:CRE15470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15470 MKISKFPWVVQKEILRRMNLIELVFMSFCSRKLREFVVNMIKWKSSQLETIFYHWHSPDYTRVASTYKGEEFSFLKIKVKPEIGDRIPIKMNFIEMDLQCCMPTESEDFLICCGEGEIETILQTIHNFFLSWIGSNIKYELTSYKYIPRVPNITSSQLWLLDKKTAASQLTSFLSYSPVPEFLCLIVISGYTNYEEIPGLAETLVLYCWTGGKADILLSNFKGRELYVYKAELNDSTVIKFLNDWKSSNGYRNLKYVNVIVDESFELHPDMIMSQCSLKTFDSMEKFPVYHYKQRYQIHPIMFHSFKFSSQYYIVRESDGYVASFKVQSNSMFFTAWNMNEKDFLEKHANNMFQ >CRE15469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:64783:69528:1 gene:WBGene00066349 transcript:CRE15469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-653 description:CRE-LET-653 protein [Source:UniProtKB/TrEMBL;Acc:E3MSS5] MRHPLVPLLLLIAFITVLSQAFVPKCNSFYVRWPRVRLNFKAVAEARLSLKGCQSACSLGEDPVSPGKQLECAAVNHQASPDGFSHHCDVFQPHQLQNVDGYVEADDRFTFYWKYCLSSTRKCTGDYAFTYLSDRYMDQKSVVKTTTKANLEDCLSDCLDEISFECRSISFNRTDGGCHMSKDSQISRPEAIRLNNNPNYRIDYYENNCYNLSESFTFKHECRENGISVSVKSRLPYTGALYGLYDFFTCRIEPKESTEFDHFFPYQTVSKNCSDSIKYKGNEMVLEVVLSTDGIEPLYFITPDDLTYQAKCPINGVKAKDSSNTKSSTHLDNRNKAMEASAHALFELLSKTGDDDGASLQNTFPLPLTTTQVSRQVTTTKKIPTTTKSTTTTTTTTTRKPTTSSKPTTSSKPPTTTTTTKKPSTPIVTTSPKTSPKTTTTTLPPSTTTTVTTTSIPPTPTTTKSTRRSTIMSATPKVAIIVAKDSSFARARLFTTKHPSTLKITTQQQLQNYNNIHTSSPVTTTTSASTSRQTTTSVGPPASSSLTSSPIDSDGSTIAGKPKVPVIFDIFHNGQAVEAVVVGTKITLSFRPHYPIPPEYVSVRGCQVEPIDPKYEWEHEPLFIIRDGCPADGVGLVCPPTHSEFGAKVSVEAFRYQTTGLVQYSCLVRICPFAPCPKSNCDDVEGCDSSYMHRYRRELSLEDIRKALEANPELASQFGISPSAFARNPSKTKNFTSVVEEQQRIALGGDHVVRRRLIVVNSEDQLRYYVRTGNI >CRE15468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:59044:62416:1 gene:WBGene00066351 transcript:CRE15468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-27 description:CRE-CUTL-27 protein [Source:UniProtKB/TrEMBL;Acc:E3MSS4] MLEISHHMANVLRDSCPRLSDILERDILMVQLLLPRFDLFHFFFFTSSEFQIDMVTCSAHCRANFDPSSEESFPCEGFNFRAGRNPVCEFFPATGTNENHTKSLKIEEVPTFYYEKVCLQIAKRCEESAYMFDVKNGYRIDETPIRIINASAEGQCMEECVKIQCMSFGFHHDAKRCSFYNSTRRDAVIIKDVKMDYYENNCVHPTARCPNGRIEFFVTRKADVPSFGISLGVKSIRSCMQACVNAGQFYCRSVQFDSTSNECFVSDETSDVAVPSTTLDIFEPFCVPRKDENTCNRPYSFEKMITTKLMNSSIIKEIQNQSTEKCLQKCINLENCKSVNYNVLTRSCLLLSTSKSDSSTISDENFDYYDRSCPHVAQSATSSASSFIPHPPTLYPEVATGYKMVERGRQLSDKFENRTGVENVQDCWSICVKSKVPCKLISFSSFSNQCLLSTLNSTEVLSDTRKFTKPSESFDTYATSASKPTTPFPSTMKSTSSTRPVTSTVSTTTRGTTKSMSMTTTKSFKSTESLEDLDNIFDINENFMDTTTANSPTRSRAHALPNAETKSKNKSSESTSLLPIDPELVGLEDSTPIQLPLSSGIAHVDLTRLSVAANCLPQGINITFDLSEKTKYTGVVYASERFDQCRVFVKNSSAFSIFIPRPKHNSWCNAVELNNEMSTIIIMSNDRILPHDVTTKDDLFYQVSCQYNPNDDARVSKGIVVGGPSPVLITKKSQIHEKISLEITKDGHLVESVFVGESLVATVKSNVSADLLRIVDCTAHRVGGNGPPASVNLIADGCALLPAIMSPMRLTPSGWQSSLSAFRIDGSEQIDVVCIISICEEEKKCPPMACTSPKEREIRSTSEENSIRVDRRLLVKGDKNYAGSQKFFAPICIESSFYLPAVIVFLCSLASILVSIFLAFRKRRLRDAQVEELLYV >CRE07951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1815:188:1625:-1 gene:WBGene00066352 transcript:CRE07951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07951 MFGFDSIRSLIHQCCRDGDVSLLRSYLNKYPDYVNSPDKDDMTALHYGTRYGNYEVVEELLRRKAIPTTKNLDGDTPLHIASKYSHGFTDICQITNEKGEVVMDQVDSERIHNTSTEKIIAIFREIDSPNAYGLTPLHYAAMKSNIAAVKKLIQLKANVAAEDVNAMTPLLLGCVHGSQEVIRELINANSDVTKRDLRLNTVFHIVALRGEPEYLKMMMDHDPSAAILALNKENNEGKTPLRMAVEGNHPQTLKKILELEKKNKEKWMIREKDLIHFAAEKGYLEIIEALVEAGGNKNEQNSEKTLPLHVAAKTNQLEVVKYLMDDNSREATDDYGMTPLMLAVSHDSLDCVEYLIEKGADLTVVDKDERTCVYIGAKYNALASVEYILKYLNPPKSSKSDMSETDCLEMSIASRKSLRNINENEKRTMVNMADRDQNSPMHIVASNGYLEMMEVIFQ >CRE15464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:47119:48238:1 gene:WBGene00066353 transcript:CRE15464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15464 MGEPSSSWYNSMAVSTLGSGNLREALKLPPGEDKNEWLAVNIIDLVNQVRMVFGVLCESECTDSKCPSMTAHGRQYTWTSDETVLNTSAPQYIDLSLTSCQLNIDDETVFPSEIGKQFPANFEERCQTIMRRLFRIYAHVYFAHVSHFKEIKALPHLNTSFKQFVLFANQFHLLNKMETEPLREIIENLVSFS >CRE15463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:44964:46747:1 gene:WBGene00066354 transcript:CRE15463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-92 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MSR9] MAAAPPSADPLDKALIVDVDQWIEQLYECKPLSENQVKTLCEKAKEILEKEPNVQEVRCPVTVCGDVHGQFHDLMELFKMGGKSPDTNYLFMGDYVDRGYYSVETVSLLVCLKVIFFPYIHLNSAIFQIRYKDRVTLLRGNHESRQITQVYGFYDECLRKYGNSNVWKYFTDLFDCFPLTALVDGQIFCLHGGLSPSIDTLDHIRALDRIQEVPHEGPMCDLLWSDPDDRGGWGISPRGAGYTFGQDISETFNHSNGLTLISRAHQLVMEGYNWSHDRNVVTVFSAPNYCYRCGNQAAMVELDDDLKYSFLQFDPAPRRGEPHVTRRTPDYFL >CRE15529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:41821:42909:-1 gene:WBGene00066355 transcript:CRE15529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15529 MFKIMYRTFSTTRSAKTLLERELYQGNSVVRFVLNDKKVNTLSLAMINELFAELKAIDKIEKVRSVVIAHNGKSFSAGHELKELTTESGSEKHNEIFNTCGDMMNFIRNMKVPVIAEVNGTAAAAGLQLVASCDIVVAGKSSKFLVPGQKLGLFCSTPGIALVRAVPRKVAMDMLLTAQPIDSEAALRAGLVSRVVEDSEVKFEALKVAEQIGHFSRSVTALGKAFFYTQAELSTVDAYRYGSRVMVGNLKLKDCQEGISAFIGKREPEFEHSNDLIEDAKKN >CRE15528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:37915:41509:-1 gene:WBGene00066356 transcript:CRE15528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-30 description:CRE-TAG-30 protein [Source:UniProtKB/TrEMBL;Acc:E3MSR7] MSSENVVEVVDSQEEVPCNIRGSRSSCEASNSDSIFQGENQLYPSRRTAANHEHQNQNQKSNSTLDLPANVLQEYASSSSPQSSSRHLHSSSTSSLGEVAALFGTRLPRNDTKNSTNNEKAVTILPVSRNLAEHVSNLIAIGRKPVTVVQKKKPTNLPEENQGTRKVLGWQADKKTLRERINHMYCNETLADVFFVVGSDESRQRIPAHKFVLSIGSVVFDAMFNGGLTPNNPGEALEIELPDVEPFAFLALLKFLYSDEVKIEAESVMTTLYTAKKYAVPAMEKECVRFLKQCLVPDNAFMMLSQAKLFDEPELVQKCHEVIDKNTLEALNGEGFTDIDLDTLCEILTRDGLRIREIFLFQAVLQWSEFETKRRGLPANVDSRRNVLSRAISLIRFPLMKIEEFALHVDPQLLSEQEMNKIYKYLAVSPQDRPVLIYSDRPRCQISSTEYVVSRFQRIENRWGFCGTSDRIKFTVDRRIFVVGFGLYGAISGPHEYKTQIKIIHCGTNKTLAEHDTSFVCDGNSRPCRVCFKDPVEILPGITYIAAALIRGPDSYYGTKGLRRVNTNDSDVTFQFTYAAMNNNGTSVEDGQIPEIIYYTAAE >CRE15462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:36051:37675:1 gene:WBGene00066358 transcript:CRE15462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15462 MTITDESTSRVADSTAPPATSSPSLFQRICCCCNSDLKEPPVQLIRSGSLTYQQGAAVTSAPESSTSPPANNVISAAKRSVDDDVERPQTSQKPVQRPKEPDAESINMNDILMENVLRTTEEDRTEAVEEDIVSISTNVVQEIDENELRKALKKAGNDTNEASQPLKGRSGISKDMPSGSEDEGGEEGDNRKMSVIEFIRSEAQAETSATVLSATVPQERPTDDIELVYKNEKMSEATQSEMSDSEISDEEDSDVGKQINIVGMSSKTTARAEKNSDTDDRRIVEFKELSSDESLKGSTEDEDEEVIIRHEELEEENDEEDVPPPLPLSPPPNHFGQLEGSPIPPPRSPHRSIMYAHADDSDSDDEESEDAHPIASNGLVRMHPVNFAPHKEEDIQSISSDSSLSTASDLSEKENEDDNAIGVTRISVKSDGRAEIHSPKSPVRVTLDTDRNEVTDDDFSEKLI >CRE15527.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:29709:30898:-1 gene:WBGene00066359 transcript:CRE15527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-par-5 description:CRE-PAR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MSR5] MSETVEELVQRAKLAEQAERYDDMAAAMKKVTEQGQELSNEERNLLSVAYKNVVGARRSSWRVISSIEQKTEGSEKKQQLAKEYRLKVEQELNDICQDVLKLLDDFLIVKAGAAESKVFYLKMKGDYYRYLAEVASDERGAVVEKSQKAYQEALDIAKEKMQPTHPIRLGLALNFSVFYYEILNTPEHACQLAKQAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDVGGDDQEQEGNQESGN >CRE15527.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:29800:30897:-1 gene:WBGene00066359 transcript:CRE15527.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-par-5 description:CRE-PAR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MSR5] MSETVEELVQRAKLAEQAERYDDMAAAMKKVTEQGQELSNEERNLLSVAYKNVVGARRSSWRVISSIEQKTEGSEKKQQLAKEYRLKVEQELNDICQDVLKLLDDFLIVKAGAAESKVFYLKMKGDYYRYLAEVASDERGAVVEKSQKAYQEALDIAKEKMQPTHPIRLGLALNFSVFYYEILNTPEHACQLAKQAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDVGGDDQEQEGNQESGN >CRE15527.3 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:29616:30897:-1 gene:WBGene00066359 transcript:CRE15527.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-par-5 description:CRE-PAR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MSR5] MSETVEELVQRAKLAEQAERYDDMAAAMKKVTEQGQELSNEERNLLSVAYKNVVGARRSSWRVISSIEQKTEGSEKKQQLAKEYRLKVEQELNDICQDVLKLLDDFLIVKAGAAESKVFYLKMKGDYYRYLAEVASDERGAVVEKSQKAYQEALDIAKEKMQPTHPIRLGLALNFSVFYYEILNTPEHACQLAKQAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDVGGDDQEQEGNQESGN >CRE15461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:25123:28905:1 gene:WBGene00066360 transcript:CRE15461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15461 MSGRISNRSVVVGVRDAAGGGSSDDKDNPNEFDALYADSQNSSAPNTSTILLGNLEMRCKRFRLNISMKHRIRWVIFVLTWLQLGSVMNCVDVFSFSMVYMEKNSTIAAEAGRDDIYIYTLEEKSSLISAMAIGSLIGMYPQNSLMQKYGPRIVLTVASFLCAIVTAFMPWALDTNYHVALVFRILQGILYSADFGVVGYVVAKWSPIKEVGMSLAALSGFTAARAVIQLPLAGWTTSNIGWRPIYYLLSVILLISSVIWFCFYRDEPDEHCMMTQSELTHINTGKVKDKKDKKTPYREVLTEKSVWAVWFAGFADIFASFVFLVYGAQYYQYLGMDIQANAWLNSMKGYLFIGVRVIAGIASDRIQFLPEKSKLRLFNTISLQVPAFFLILVVLMPREHPYLHVICITFYQASFGFNCGGFYKGAALISRQFSYFVIGYIQLFKSLATLLEPVLFSLLVLPGSEDSELSWTSYFLIHALTLTVANTVYVIFARSEPADFVSNADSELSKPQLPCETSIN >CRE15526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:16680:17328:-1 gene:WBGene00066361 transcript:CRE15526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15526 MPSTTSALLLFSLLFLDLAGACHSFLPHAQYVGARAYLLQQKMECKGGKVYDIDNVQDIEQCKEACRQFDCDGVNLFQVGEFAFKCEILSYVTNLQPASGAACYYAQDALNGGFNGGFNGGFGYPGFGKKRK >CRE15525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:14705:16298:-1 gene:WBGene00066362 transcript:CRE15525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15525 MTLSYNRYETIQVAGRGAFGIVVIARDNVSKKRVAIKRILVPNVSKLQLVREISSLRCLHHRNILKYLDCFGTADMISIVTEEVPYTFGDVIKDKSRPKTEELNRWYYSQILSGIAYIHSKDIMHRDIKPENILVTLRNIIKIADFGQACFYMKDHPDEEYDENVATRWYRAPELLFGSRKYGPSVDIWAIGCILAELIRGKPIFPGRSELEQISVIFGVLGTPNETNWPKWRTMPDANKLLFEPKEPRNNWSEILRSKEVSQEFDNFLRLHLQLCCDFRPAASVLLMHSWIKKGDSLAEPVYRISKRNAEKNRQDALPPLHVFL >CRE15524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:6367:10410:-1 gene:WBGene00066363 transcript:CRE15524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15524 MFNFRSRPARFSPSLSCHRMRPGRQRWRYQIQFLLLIFFLPDAVNPVKCYCTDDHCVPYGSCDGTVCLVGILRDSNQVIRTCGTNTLGCHKNEDDKWTDLCACDQPFCNTFAFLRTHTRRTSSASSSSSSSSSSGYAPSSSSSHFDSSRQEDSMSPAEPPGHHDAPLVFHRMDRPDDGIPPPPPGLMEREGTPKTSLLTVLLVLVPLTVGAATVMVVAFNYYCHLC >CRE15460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:1116:6299:1 gene:WBGene00066365 transcript:CRE15460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpr-2 description:CRE-LPR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MSR0] MVDEDQKLRHAKIDEDNEFVDVECRVENIRPANNNISIFFKEPRVLTVVAYIDASLSGEQIAHISCRYNSETTAECEWIRQDTCFKTNLTQTLSTNQIQISSSILKINGSTVPIDWSGFVLWENGDEYISMHCGLVDDDGACDSVRVYVWSDEDHMDQPTINEIYRELQKVCIDPTDLIFLNTFHECIIPSPTPSLLHCQSPNGWSPITLSMLQGVWYIAADLNADPKMFLQSTVINMKRIQNSSDLELIYYAQKESDGRCIGPGNGTVRLTDNGELHVHIEYSYSFVPGYKSSIKCMSSLSLNNLIIIFSVKFQILYLDNQRAVLYWCFRRAENGTCTQHDVTFMIRSRHFSHNDLSMILPYLDKVCIDRKDLRWFDLHSQCGTEISSSTILRRDLITLTHYHVLDILTNVQEPKCLYNEIRGYFYLKILQLERAGTWFLMSRMDEMSMDTYAMVGRIFAVTNSTAVLRLFQSAALPGEPRECFTRVFTIRERENKEKLDYWYELHFESTTKNSTFMIFRFLFYNRHVGVIYSCLRNKADGRCDEKAIYVISRHESIDHAELTVIEKVAKSVCVEPLLLYHVAAHDECIFEHSRLKPPACSSIDLVSSNAEWIEMNLQQIEASYGRLLYQVVASSNSRAPTFALRFDGQHFQKITEQPSGCLSSPIAQIRFSQRNRNLIYLPSDDGLIAVIHPAGVRSDLLLREAQMSGVLPCLAPTPIPENQNCTQLVAPVCKYPKETLTDTEQMNGDWFLFSSDPMFVLNWRCTFKDGDDDYTHSFECFSESWVGECERPTRGTLHINASNSTFYTVFDEEEAPIRTPEAEIFTSGRISVTDERLILLKEDHLKK >CRE21020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig514:8202:13229:-1 gene:WBGene00066366 transcript:CRE21020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21020 MALKPPKPSSVTDFVVIAFAGITTFLIIGAIFFLIFAPVVAANWILAAAILGSVLLIFGVLRLVKYVMDLCHEYFDTGRIERTRNEMMVGLSGVWICSTIPRIAVYLIGTNYHLFIYILTVSLLTCFIFHFLFNYGFNQWCKLNYANSSVSELGLIPFHIVLFGFLVRINAGLEATEGQITALFFGQLAMTFLSCVSSYELSVVFQKGGLILQGHKGKINNSVDQMTYMLVPSFATLLAILSMVFIGFSTVSNYFPTVDWIVGGITVGACLLVVIVLGLFKILIVFIHEKLKKRTYDLVWRETMIGFSGIAIFAFLPRIVVFVVGCDVPIYLSSVIISIFTVLTFYRLFISPNQHLWRLDFSKNCNLILIIVGIHIIMLVAAIRLAITYENARDVEVIIWTQVVFSFLCVACVIDLAVVLKGGLVMVDGGNGDIEMGPMKKVKKEKKEKATGPECKICILPFNPSTVIPKILKECGHTVCGGCADVLIGKQQLDQIVCPFCQKATVVGGGTKNLPKNYELLELI >CRE21019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig514:2787:5923:-1 gene:WBGene00066367 transcript:CRE21019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21019 MSEGVDYGGGYESFDIPIGGDFIGNVNEEGSNNFDGGQTQQTPYKYPGELHQNQHAPLMDNGQYQQVQNMGGPVHQSPANQPQNYGCQHQNHQCVIPAQVQHPPLQMNQIQFFQSQQGHHGNQTLQSFPSPMASQIGQSDPLPPRHYPFSENSKPSPRYQPYPPVGFTGQAGTQHHKNHPMGPMTSTPIQFFHLQERQNPVDWPDVRNPGVNYYPQTPDSQKCYEQFDPPATPMIQDGMTSQQKQMNYPIENGSTQQDPSLWQTTEFNYGNNVINPAIPAGNYNQGPLAPVNATYHADAQDDPILNNDEMEVEEPAKRKKRGNRRNNVPTELQSKNRVYQNRCYAKRKIELYANIAKLEELKPVYQQNEASINEKKQFLLGTLGRDPLSIQVNHVLGQTRNDENYKIQLAAKEQAETNFHLAGLNYSNNPINKFAKAKSKKKYEMETVQTRVEVMEKEFVSLFYFCRKDRN >CRE29067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:5191:5535:-1 gene:WBGene00066368 transcript:CRE29067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29067 MCLYTSTFLLIPLLLTIYSYFWLIAASESLSLDALRSLKMRSMIAVILPVCLLIVAVVWIFKSRLSAEQKKNRVGILDRCKKNITVGSQGIVVTTIVGRLYAFCVVDNAFVSFV >CRE29049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:6473:7980:1 gene:WBGene00066369 transcript:CRE29049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29049 MAFFIYYFEPRGYPDSQILLVFHILTVMIFISCFWINSNFFNGWQKKKDILKFHRDRNSMMIGFVSIFLMSVIGKVYSFYIGIRFSDSISPLFLLSLIIAFYIGFAAKFDKKYRLGMVKHKTDFITTILFILFFNALFLYHTIEKAQKIEGDIREVVLVWQVVFSIFGAASSVDMYFYAKGKVYDEDEEVSLESVKVVAKEPEPTAEDTVRKPVEPKETTEPTIVILITEGLDSEDSDSEEEDSAEEDTIPYCDACYDDYSNSRIPRILTKCGHTICEECAKGLLRGNGMRCPYCKKITLVNGPANSLRKNFALMDIVEREMKKYYVE >CRE29069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:12333:13888:-1 gene:WBGene00066370 transcript:CRE29069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29069 MIWPEILFLAHLPLTGAFIGAGLKHLYSNPNENSNWITGGAIVATSVLLALIIGLVKWLVVRSNKETGLLKRCKIDRMIGYGGIALCGVVPRILVYFMEVKGDYVFHIHYYLTVVSLITSFIFYFLFSLTSQRYCNRINSVLHKIAHFINFFGLIVILVVTSQFIVGLHLWVIAGYHLGYFVMCWVGIREFLGALGGGTLVLKEQEESDEEKTPKVLEKEPLRLDCKLCFITYSTTTHIPRLLKECGHTVCEKCVDILLKSHNGQHVFCPFCQKVTVVNGAANSLPKNYETLEAMEWRDAAPSAPEEYIETVVCEED >CRE29070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:17734:29934:-1 gene:WBGene00066371 transcript:CRE29070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29070 MLRRYNSRNLETFLLKTRKTTIGSDDGCDIVLKGKGVDGVHVSIESNSNGYFLKEHSLAGITRVNDRPFVGQIQIHSGDKIQIGACPLVFDEEWLIGTRPNSAKVMAKAIGGIPKKEEPMSSMLPMITGKKIHPLTPQQSLSDSSVKKRTVYSRFGGPPPPQSGNQSLRSSASSTESQHRSTAFYTNNRSTGVALLQKVVKLQEELISRDAMVSNLIRQLHGEVTGLKSAAPYSYHPTTLPPSFLPPFHHTPYQAPPFQAPPLQAPPPSNRSNSSQQLQKTAKSFELAAYRAFVGAITSQLRQFNDRVLRHPQRDSAELFNSMCRAVDLPLESRIKIQLIKTVRKSINMPVFGATLGPTTKTTVTLITVVFVDIIKFCEADLIDKDFEDGDELMIKLEHFIRESRRDKILELIHELEVLMPLIRDAASNARENIKVCNVFTQWSREFGDIIRKKHITATILFQAIDDLMTQFSEAHMSRHWLPPSITPILRLAALELDKRDGNVTPSMSPRPPPVLDRKKSIDMTLDTCISQVETIIHEMDYHVVRLYNKAKDYSTFQPLDLDTVTRVSKIVESMKSSVELLGEHSFKEDRPNSVLFFKITNEDIKNSIRKSDGEGSGSLSSSKKVSVAPKIPENFSEDEEILEERKEVETEIQKIIEKIGEKIGEGEEAEPTGSHRMISVDASVLKKLIQESQRLTVSYDFEKSESRRKRSVPPTITVEDILNSEDVKIQEVVPVEAEVTPSEEASEEISEDVATPESQSFEIPAESLICSKPAQLPLAYELPDFEVTAPSAPPPEDVPHLNLETSEEDATTPEVVTPRDSEESGPESRSRPATPEYPTPKNIASGADLPFTLIMPEQPGNPEQSAASSPDLIPEVDDVESLNSNSDDQDSEESEDVEPEESEGIDDVSESEDDKTTVLYIPSAATPQELTPQDSLHSESLDDVKDSEDVLQYSEDIVGDSEAPEDVLQYSEAPEKVVENVLEPIGNGDVIHEIHRAEDPISSHLKSHMNTANGKPHITYQYPIRRYYSPPMKRRSKSEDQKIRETLKSRPPFVLY >CRE29071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:32680:45365:-1 gene:WBGene00066373 transcript:CRE29071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29071 MLSVLGRSTLGSSSRQAVLVVSSQNLSTAVTTPENAHPEPRVSSQGAQFRKGAGGRASFSGNVVTVFGASGFLGLPVVNKFAKNGSQIIIPYRQDPYYMREHKVLGELGQVLYFPFELKDEESIRKVVKYSNVVVNLIGTRVPTGNYNYYDVHDTGARRLAKICKEMGVEKFVHLSALGATVDPPKGHFVAKSEFLRSKALGEIAVREEFPDATIIRPSVIYGELDGFIQYYVSRWRKTPLDHVYLYKKGEQTYKMPIWVGDVAQGIDRVVNDPTAKGHTYEFVGPHCYQLSELIDFMYKKAHCLPDFGFRYKRHGLPDPYFMALTLATELYGKVFKCKVPLNREWMEYIEVQNDVLTGERTLADLGVRRLTEFELAGGQQAFYRSFNRYFEEQYGELPAPSLPLRSPPLTKKNVGSGIKSETSKTVAFN >CRE29075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:76953:79254:-1 gene:WBGene00066374 transcript:CRE29075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29075 MTSQDPYQLVAKWNVPVGTEVHNVILEHNISTGNKLLRVDGTVIVKQNSILTRVGDHEFPISNLKALLSIKCTDRGDWVHTLSINGMTFKDYKNGYYQNFTTWKPEIAGREFFITFDKHRSEVFVDGGNIRTTRRFVECGTSTIFHLHRAFCEIIEQGVGGRTAMTQKLSVDNTPVPVFKDKEDGVFIVKPTGAR >CRE29076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:80225:82556:-1 gene:WBGene00066375 transcript:CRE29076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29076 MPVNPETFIISWILPINNKQYKIQLEQAFVTNEIHIRVDEKEVYEHLCDVTNYFRPPDRNYFKIDGIQCCVIIEEPSFGVYKHSLVVGGKAFREIKEEHYTKYDTWKPVISGKEYLVVMEKHAMNTWVDGNQIDLSRQFTDHGTVAIFHLNGTPCKILTECIIGGASGMKHSFFVNNNTEVSLFEDSGEVEFTMDTNTVIEGRKKETKNNWKKIWKRNR >CRE29077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:83576:90799:-1 gene:WBGene00066377 transcript:CRE29077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29077 MFLVKWFESPPPPQTLFITDMPDLVMAKILETADFLSILRLRKTCHSFRNFIDELKPDYGLRHVRLNWSSDCIGVILGFTNYPMRNLVLDYEKNENGGGFTLRIMGDCMKQNKFREDEDFLDAFFKDLGVILRNQKAPLEVLNIVNTSDSIFYREKPVIKNAEPNPIARIFNCWKSKEDEKCPKEPEKVVDKFFDSLQNILTSRAQKIQTRNMKIEVKSPSCFIKMAPFINMKKLERLGIKKMENEEKTENFNEIAELEDWESIPELKIEGFQVTLPLQTFLHKSTLKLSVPTLTMEDVLLIKQTFIASPLLDYHKVHYNTLKDMDQLHSALGEGDGNNVKTWYHDIPETRQEITIVHDANNRFFCFSQYVEQLFKFSNCVLPRKLQYLPFYGCRSQLHYKNPRNLGTYFQANLEVDGRSFKDYQEQFSQKFITWKPITVAGKDVSVVLDKETLEVWRDGNAIDTTRDFTESGIATFFQLDKTPCKILSNGTSGKRTMTHILYANDVEVPIVVEVDERPIILDLTGTLKSKKKNYK >CRE29078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:95143:100922:-1 gene:WBGene00066378 transcript:CRE29078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29078 MVLGSIVYSIVFITVITSGAKQCDSPVHQIKSMEPSFGGCDGFYGIGKGLADGFQPEPEAKTYDDLAGMIFGKLNPGDVIKSMFLGQIMTILFWSIGIICVLVALVLGIVVCIWSCCSTCVPKDTKVRSEVTGYLFAFSLASIFIFALTGLIFFNFAETDLIDSVDNTLTYANQISGDLNTVIGGGTQQITCEVTSTTTKTFADMKKLIQNYATIVVDGTKNRVGLTEVNEFNDQEFAEANTATTTAAQNLQNFWNTPQIPPLVASICAINSQTLSSQFGSVIATVQGLTTAAKSMRNSKELNDINAQIKTIKEKIQDQSAKATSSMKTTQAKIDQSMSSITDMLTKLQKDIDAIMTSLKTMHKNFVDVSWSGSWTSMTLGLRIGVIIPAITGLVFCIIAFVAVALSLKKQDGFAQKLSGTVLSGVSSLVTASITLMVVAIVTFVLGWGVSAVCVPVFETPGYQLFHRIKQEVAPVGNGTPVVVNVGKILEQCSIDTMTLYKAIDGKTIISKESIIDQLKLDSYRQQANGEIMKQPNLTFPVNKNYETYITDLDGFTTTAKGANLEDCKNPSLTNLYTAYITALEKSNELAKKFQKNLNALSENSPKTTAVATELNDNYFKKGDASINEAITKLMTDLEQKVFICRPLVEIFKNGGLLMCERFGRPIQGLWAGVGLTALFCFFLCILLLFTFRWLKTNSKETAGSVKGSFKNGLEANDADFKKDDKKKNGKKPSNKSGSSEE >CRE29080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:122723:129653:-1 gene:WBGene00066379 transcript:CRE29080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29080 MTDRFVKKSEIVTEERKVNEKLENPNQGQSQIAKLFPAPRNDSAVRNSLIWMQEQHTQRILEEQNRAIEYASVLEPDAKPPIKFKKDDEQEDFRLDEEAAMTVARIREAAVKKIIETRTNGKMPTGYQAAAQDAEIVNYITATADVSILNKMPEPKTLKRHVDFLSPPNTVVRRNVACFQNGQTLRLPILQYPRRVPTMLPVKTIMRPSNPSSSTVAVKTLSGLKQPKEELLPTAPTYIPSGTTMEKIFITRGPDGKIVTTRRVVIKRVPPPPQIQKVILAPPPGLTQRLQPGNGNRELTNQPNCCEMTQKLVKEEPIDVKEEPMEDTGSPYSTIQNGYTSSMQPRIPGLDQEPAKTKSIYEPAALQRSTSPSRIFLGAQEPMKDFPEEFLTKKFSRFTQKIVRNFNLASFGKRPAVNSTEDVSNIINKSAVLIEDVEEKEEKEKEEDEIDREEIQRMVDLKKARIQESIRQKQALAQKLILNEFKICEYMQSDEFKNFIKPPEDSSAFQKLVQAPAPLAAFPEYCESLSRSSPSLFKNLARIHHMQNNDVSKFLSKELDERIERKKRLVFGGPVQLTHGILAMKKKDRLKNLSSGIIAMLEVTWNGVTIKVPEFIQCSLCGDIMRLCMRKCNSRGVLREHPAYRCLRKHCQTFHSVKKQFNLNMKNKISHWYAIPVPPKVSSKKKKKGSYMGPTKFIIKAVEWTPKVENVEIFKSENPEKDIEISKEDSPENAEIARDEDEDAGETIGFSFFTENSNLESGEFSDEEEEDTDGDSSDKEDFSRPVTNYRYNTRSSTRVIDDN >CRE29053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:135422:158015:1 gene:WBGene00066382 transcript:CRE29053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frm-8 description:CRE-FRM-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MWA5] MGDFETTSSSDGSTGKMMMRGGSNDTEERVTFSTTLDDEDGVSDTLHYHSMNPTLVEECDLCVLENGRIFYIHHTTRSSHWEPPWASWRCRLGLPYGWEQAKDNDGNTYYIDHLNRTTTYTDPRKTRPPDGKRSVSIRRGKDIGFGFVAAGQLPTIIQFVSPEGPSDGLLFANDQILEVNGADMQNESKDNVVNAVRATKEELQITVQQLPQRPKSSRKNCKVRFTDRVLVSSNNDNNSDFPPQLPNVLRVYLENGQTRSFKYDTSTTVKNILESILEKLQITAKSHFSLAVEYSLGARTSRISLLRPDCKIKSCQNDGNIKLYHLKIFTTSLPLNEIQKISKFSQIVDLPNSEHLRCVFRLSFAPSDAFLLLLNDPKALEYYYQQCVNDVVRGRFAMEMRYEACIRLAALHVQQVAYDCNILKENNKVSVHRVEREYGLGTFLPAIVLENVKRRDVRKHIRFYLKRDSSRLVDCLGKPSISNGYPPELEACTSQFANEPSMMVRLKYIHIVSHLPSFGGRSFCVTFKESQIDMLMQVEPRTGLLVRHPGKSGQPSISIGFDLIGKLVVCKETEVASMISIRLASNPHQGLEFLVDKDDLDDLVMYIIGYHKVRKNEKTLYLKNEKSYQKVVTYEKDLECEFDDSPPKARELPDKAPPYSAIHTVIKSDWNYSESSTQIENAFDPTDGPPSYEIANSFVQIAFENGNENEEDNYVGSNPDGLGHMDKKFEPKKLLRATDSLLIKNSRELHAKEIQKSENDESSDTEDSSFSSPLRSPNIDRLCDGIDLLVTGPPNRRASIETLLQNNQNPNTNLESLILQFPAIPDDEEGAEDTVGGVGGMQTSTTTVVANGKTRCYRNGSSVTKCPKNNEQLISTPVSGDSLLGDSSSIAAIDDDVPLL >CRE29054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:160776:162457:1 gene:WBGene00066383 transcript:CRE29054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-heh-1 description:CRE-HEH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWA6] MKAATLLILASLATIAAADFIEIGWKTCKADGTVSMVKADGCNLQIKDGKKVCFFKKGSRPEIQIAFKPSKDAEKLKTSVRAKVGGSAMVDFPQTNTDACTYGVSCPVIAAKDQLFQQSIAITDNHPAGDVIQVNWQLTRPDSGKEVCIIFLAQIED >CRE29081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:163642:167104:-1 gene:WBGene00066384 transcript:CRE29081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29081 MKTYFQYSSVFTKIGFGAGFITNIFLIYLTVFHVKKIVGTYKTIVISFAIMGIVFAGWELVSRPFMHNYDKALVYFSLADGLPQFFQFSIAFYAMIYMSILSFIAVQFVYRYLALFHLKIAQKFDGNGVFGWLGYPIIPGMIYGSSFYLYCQPDADSDDFVRQEMLSNYELAIGEVPRFVIVSYNADGTLRWKNMCFLVQGVAVIGLHYLVIIYFGLQMHFNMKSKLKDYSSTYRRLQNQFFRALVVQTMAPTIMFVIPAGCILLGPLFSPIFGIHLSLQTGWLCSVFSLYPPIDSIAFMMIVTEYKKVIKDSKLAKNRDFQTQNFSKIVIFRLKICQES >CRE29082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:168361:171653:-1 gene:WBGene00066385 transcript:CRE29082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29082 MSSKISFVSALSLLIIVGFVSSGADAIPRPGPVIGVNTACTSESIQAFCKPGNLFCVRSACSQCILRDPFNPFASFCVRLTECVCSISNDPLCEQKVEETCY >CRE29083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:181913:184802:-1 gene:WBGene00066387 transcript:CRE29083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29083 MIVLDVALGLLDADCRTALSFSEELREHTEQAIIGEEETSGHRHKHRQISFSEEMGRRAKARRRAARVAAENQVAGLETADEPSLVLSDETDCEIPSLPNKPSPSRIPILQKGYQVEKPAMSPSPDLISTSPSKIPRPMTNNLIYLKPIDNSDNSENENDFSASTAMSELPSYTDLGGSTFSNFSSDMITGSHEATICGKISPLPACDILSEFSTVTDPTVDKNQVKVIAEANGVELQLDVSALMTGMGLEFSGIRLT >CRE29055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:192454:205081:1 gene:WBGene00066389 transcript:CRE29055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-12 description:CRE-MEC-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MWB0] MKFHVNHYYILTAAGVYLTFEGAHQSCAEFRLPTSYFRDVFHFSLIFEFLNLCEKFYFRLPTSDFQLPTSGHFSLPTSDFRLPTSDFRLPTSDFRLPTSDFRLPFSKFYFGLPTSVFKILLRTSDFRFQNFTSDFRIKKNHFRREVISIHIGQAGVQIGNACWELYCLEHGIQPDGQMPSDKSLGGSDDSFSTFFSETGSGRHVPRAVMVDLEPTVIDEIRTGTYRSLFHPEQLITGKEDAANNYARGHYTIGKEIIDLTLDRIRRLADNCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKAKLEFSIYPAPQVSTAVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLDIERPSYTNLNRLIGQIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLATFSPVISAEKAYHEQLSVAEITNMCFEPHNQMVKCDPRHGKYMAVCLLFRGDVVPKDVNAAIATIKTKRSIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVPRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGVDSLEDNGEEGDEY >CRE29056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:206222:208833:1 gene:WBGene00066390 transcript:CRE29056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29056 MSLPILYSSWSSSCSSRVRIALALKKIDYEYHAVNIRSDEEQKDFFLNNPAKKVPILKINGLTLTESMAIIEYLDEVYPNPPLLPKDAGEKAHARAIAFHISSNIQPLQNLAICRMVDKIKPDYGITWCNHHITYGFDALEELLQKYSGKFCVGDQITVADINLPSIVYNAKFKYNVDMTPYPTISRIAGVLAEIPEFQAAEANRQPDAPKNN >CRE29057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:209712:211320:1 gene:WBGene00066391 transcript:CRE29057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29057 MSGSEENESADNSTNDIINTFNEFFKNVPIEKSFDMSYKVYLRELADMDDHSTLSTRSSSTNIQEPKVLASFGTVPNMNSTSATSSSTEEFARKDRSMVSLTEIHRKINNQSIDSKKKNPDWSLSPTFYNLSILKPSTPSELPPKRSTSSTAPPSTFTKKEKLEFEKIIETTVEENGENGGIELYVNINDCFN >CRE29058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:227712:236119:1 gene:WBGene00066393 transcript:CRE29058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nipa-1 description:CRE-NIPA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWB3] MASTASSSNGFPTPADPSSIGSVNTLDEDIFRQIFTIFDDAILSSRMLNERLNLLGSIGCALCLLGSTVIVIHSPKEEEVGSMAELALKMKDAGFLIYVILIILATGFIVVYVAPRYGHSNILVYISVCSLIGSLSVLSVKGLGLAIKMQLFRDVNISLYQVQRLVSRPSASLANADFSSSSTNLVDDYCMRQSNSNRRMVYT >CRE29084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:249595:252562:-1 gene:WBGene00066394 transcript:CRE29084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29084 MGLRVNYEICAFCIREVYSNVSCMLEINTFIPNNQRRQLWSTCSSVCPSKQSSRERFVENGTDSQLFQSNGVFRCKTYQLKYWKWSPIGLKKAWPTLDGISTTHDVKYAVPRYLISFGSCLMSEKYEIPNEEELCNIFDAFVPDCVVPKGASKNEPTPGNNVATSKNFHHLIYNFL >CRE29085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:255247:256928:-1 gene:WBGene00066396 transcript:CRE29085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29085 MILTDFKKEERAPRTLLELVNHPQIDEHPCGRDRKGHEKTRVENIIKERMKGINSHYMRRAINLETQFPAQDDYRRCTEYLKKLCTEVVSFEKEKEKDHEEHEEHEEEKHCNTEDEPLPRPPRISLTGPMYHSHNISSIGVLKYAAEWARTRINTVFKKLEELKRPNPDKKLFEEETVFYSDGSPNTEEKDKCRRACPVLIVKDKEQALSPEFEKTVTKAPVTVISGAFKVFDIDTNLYSREEIAKVKKDEKIKVLKQVPQAADQNKSYEGVKKMKAD >CRE29061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:272566:273798:1 gene:WBGene00066397 transcript:CRE29061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29061 MENREVPKSEPLSYEASKAVLKSLSLKTRESINRRIPELRTINSRLPYVLNVVAIEDDTFETNGTRWRPTSIYMKPSKSVVAILQVNPFKGPRYRVNKSREEVLEQLFDEYIRDGTVDRALYICGIPEFMKRRIKNKIDSKMKVTNLQWRTSNTEDYENFIQFIDLDVLESVSFYVFGDSPLEFLDKPEIQTCKKLTVSVISCYGSQPTNSPVDQLLRLRNQRLQLEYYLFTLHELQLFVQDWITTGREIGTSFSWAQKRSEDVADILEHLKTHFGAIEAKSNLKCYFSNKTIDVNCITVKMGQDRELRMFCEEIYFEMKVVAITTAASTVPAADI >CRE29062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:309106:319736:1 gene:WBGene00066398 transcript:CRE29062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29062 MSQLFSSSHLSVPGQNDFDGIEETRIMPQASPTTVPQNTIDGIEDTQLMSQDSPTPDNMTGPSRSGVIDGIEDTQLMSQDSLTLDDMTGPSRSGVIDGIEDTQLMSQDSPALDDMASSSRSGVIDDIEDTQIMSQGSVRKRDKKKQRRLTEATRIADKRKSETQETRDLRLQKEARHSAFRRSQECRQMRANRVESMAQRMLSKRSQETSDEKEIRNQSEKDRATKRRSGESLEVKDKRRKTEADRQIAARSKASTAQMLAIKAADRARKRKRSNVFLGIAATDDRPDTHYCGRMDNECTFCGAFYFKCETTTKGEFTACCMSGAVKIEQKDIPHDLKKLFLANKDFKDQNLWKESKNFIENIRQYNNSLAMACMKADVQLPTGGPYCYRIHKQVYHLIGDLHPGVGQPRNFAQVFIMDTEQAAAELAGRDMNSSCSKELFEKLISVLKENHPHAKSFQMMYEVENEEKEKASLEKRPERNITMTFETRSQDDHRRYQESTANEVAVVYVGDSDEIPGKRGTTVYQRSGNINSIQLTDPNCDPMTYPLLFPTGQFGWHPNIAYTKSRGKRQRVTMREFYAYGLHVRKKFSPLFRSRKLFQQYVVDIWTRVEQNSLNFIRNNQSLLHVESLSGLQDYVVGEEKGPVGIRITLPASFTGSPRDMISKYQDSMAMVARLGKPDYFMTVTSNPKWSEIQECLFPGQTALDRPDLVTRVFDIKITEIQNDLFKRRVLGEVSAYIYVIEFQKRGLPHMHMLIIMKPGSKPRTAADVDRIISAEIPDKDANPVLHELVTTLMMHRPCGVHNPKSPCMQKNGSCDKKFPKEFRDTTSTDNDGFSLYRRRDDGRCVEYQIDGQVVPLTNQSVVPYPPWFLTKYKCHINLEVCGAVSAVKYIFKYVYKGTTRAAVLIRVVDGKETEVVDEIKQYLDTRFVCAPEAVHHLFKFPMSYRSCKVLQLAVHLPEDQNIIFQRGDEAQAVNRAQSRNTKLTAWFVINKKCQEAVLPDGSFPQTLKDSRQFFYHEMPEHFTFNTSTTTWQPRKTMETSLGRMYFISPKNRERYALRQLLLYTKGATSFDDLRTVQGKKLDTFVEAARASGYLSDDTMYEQTLSEAAGFHSAAQLRGLFVMLLLFENINNPEELWNKFLKDLSEDFEHQGYSPKEAESLAYHDMKDRMEAMNGDIKQWINKDYQPVASATHFVDLKECEKKGEEMKSLLNAEQSEAVKAILDALDFGGLFFIDGPGGSGKTFVYNCLANIIMGKGKTILPMAWVGIAAALLPNGRTVASICKLNINDFCKSSTMKPNSALAKHLGSVSMILWDEAPMSPKAALETVDKLFREITGNDYPFGGKVVVLGGDFRQVLPVVDNGRSDDQIANCIKKSFLWNHFQVFHLKTNMRLTGDALDWKNELLDIGDGKIGAPVTGEMPIPDGLESHGDLAEEVFGDLLASGDVEKLAKVAILTPRNKEALEVNNSVLDKMPGELRSYTSLDEITHKDGGEINDSLNFTTEFLNQMTPSGMPPHLLRLKKGAIVMLLRNLDVKNSLCNGTRLVVDDMGARVLQCKFINGPRQGQMVFIPKIKLNYEKGLPFIMSRLQFPIRLSFAMTINKSQGQTFEKIGLKVDEPIFSHGQLYVALSRTTSMDGIRIESASGKVNNIVYEETPDARSARQDQMRAELLDSRMFESDGISDFGIRKKCGLITSMLECGNTNDEKHEMVAALRRYQLIVGAATRNSFQSFRGGPDYDGNVISLVMNEYHRYLDYVKQQLDVAQQIMTIFLKDTKKLVNSCATLQLYSTHEKIFNVNLSLFEHILYLISQHTFNPLAIYYADKNQDVSHIQKSLEMLKKKVPLVMNALFNAEPLKRQLREMSQLEVHHDTQTMLNLARELSRIAIERIRIPQTVRENKLNNFSWINAQCRYHDTVDLMRIYPTPASRVKELLGNLFKTQFFADVVIAMPRKSIDVKMKAFYQNQVVTGVTEAIKTHAVISNPRYQNSILDSAVHLNVLYSTLGKNEDLQLLIQPALIGITFACLEEKICVSLVKEDEFITLNTHPQPSPILKENEIRKEANAENRKFSMIVDNDTNGLSIAFHSQLNPNCYDRAPFGFLPARPQGSSTLWKFVLDTVINPNFNVLRRLLRQQTSMPSKTHLGRVEQPGLNAVEQEEVNTETLVVPSRKPWEKRPRPVWTCSIKKSDLILYLVN >CRE29091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:327585:329846:-1 gene:WBGene00066399 transcript:CRE29091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29091 MEKIDEKTLKRLVARQDDKVKMGDELSRPDYRRVLLFNETTKEFEDTEKGACTKCWTILSIQAGNHVTRHFEKTCRKRTKEESEKSASEPEVKSRKMTGISFGFVYLTVSSTSSGYGDAATSQLPCRNTIQKSSELLAKEIVKKVTDNVKKFVGNRMNLLVDHGKLVVNYLSIFGSFLNDDMKLEIVPLAFMPCLDGKSGRETANCIIEKLQSFGWLEEDIVKCSITADGALSTLSAFFESYIRCVNHSLNLVAQHMVVPLDAHSLELLPVSKQLEKVARLFKLAQIISGGLRGNVYACQNLSRLPALPIETRWMNGLKCLKDIIILSDEISLVIGMLPTKVRSAHHELFNESLKVAKSTLLVMEPLLDYNQLYQTQSEVTLHLVLPTYKLLETRFNSLLSGKFDDVSLHDLDSICSETVDALSRSGLAVLPHYYREFDSVHFAAVYLSPKTKKMLSFSCSERQKAKSYILSLLPQEIHLPVTPKPIKSSSSVSKLLSLVSDMSESNGETTSELERYESEHVDALSNESVEDYWKSRKNVYPHLFDVALKVLSVVPSESVCESAFSQASFLLDKRRTRLSYLKAEYVVLGTQLANKYPELLP >CRE29094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:344178:346032:-1 gene:WBGene00066400 transcript:CRE29094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29094 MIVGAAAGYLTYQAGKAIIRAAAGPMMWNNRPYYWGSNYYRSSPGHTNMCRMPIEGGDPQFGNIYFQDNSRPREITWGCGYYEHCCGYECCRGGGSIGSDRIGGRGTSIGYALVIAFLARRLMF >CRE29064.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:358949:360009:1 gene:WBGene00066401 transcript:CRE29064.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubl-1 description:CRE-UBL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWD1] MVFVKTLTRTLYLECADVLSIKQQIEAAEGIPAQEQRLVFAGRQLEDSDCGLDAESTIYMNLELLGGAKKRKKKVYTTPKKNKRKPKKVKLAVLKYYKIDENGKITRLRKECQQPSCGGGVFMAQHANRHYCGRCHDTLVVDTATAAATSGEKGGKKGKK >CRE29064.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:358949:359831:1 gene:WBGene00066401 transcript:CRE29064.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubl-1 description:CRE-UBL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWD1] MVFVKTLTRTLYLECADVLSIKQQIEAAEGIPAQEQRLVFAGRQLEDSDCGLDAESTIYMNLELLGGAKKRKKKVYTTPKKNKRKPKKVKLAVLKYYKIDENGKITRLRKECQQPSCGGGVFMAQHANRHYCGRCHDTLVVDTATAAATSGEKGGKKGKK >CRE29065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:360172:367155:1 gene:WBGene00066402 transcript:CRE29065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29065 description:Putative rRNA methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MWD2] MGKKVKIGKQRRDKYYKLAKEAGYRSRAAFKLVQLNKRFEFLEKSRATVDLCAAPGGWMQVASQFMPVSSLIVGVDLAPIKPIKNCIALQGDITTNETRAAIKKELKTWSADCVLHDGAPNVGLNWVHDAFQQNCLTLSALKLATQILRKGGTFVTKVFRSNDYSCLIRVFEKLFKRVHVWKPAASRLESAEIFVVCEVYLKPDKVGADFLNPKKVFANPDGSEGTKPNPQNLLIGKQKKAKAEGYDTDSLAVHSTIKATDFIKSPGYLDILGVANVILLDDEKWKNHEKTTEEVEEYMKDVKVLGPRELRVLLRWRKSMLETIEAERKALEGEAQDVVIEETLTEEQEEDKAMAEIDEMIAKASEDEKAALKKKKKKMLKAKARVLKRRELKMIIDGDEGPQAEDQEVFQLKKIRRAKELAEITKETAAPDFDTADGDSDDEEDEGLGDGEWETIEQEGEDSDDDENELIHTANSGLSKKEKKNVRTESWFEKEEIAGLISDEDDDDEMNAIEKHIGKKGKKYDNTVSFEDEKKKKKKGKGGDGEDEDDGFNTVDGADELASDSESDAEMTDDVAKEKMSRFDAQIDLDDDEEERYEDEGTRATKRKADSKIIGEDLKPVAKKRRLTPEQLAIGEQMIYSAKAARELEENAWNRYANNDEGLPDWFVDDEKKHYFKQTPVTKEQVALYRERMREFNARPSKKVAEAKARKQRKMQRKLESAKKKAEGILENDQMEHSEKVREMKKVYANAHRKEKKKVELVRMTKGKKGKTARPNGQYKLVDR >CRE17656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig664:3092:10715:1 gene:WBGene00066403 transcript:CRE17656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sft-1 description:CRE-SFT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NML5] MEYIAIIKRTGGPSDQMSFFSTAIRRICAIRKRKTTISSCYSIQTNGYKCFSAKNVEKITFFQTTPLLRHRNSQILDLDAPKLVKNAENSKNQQKSKRIEWSGGAILMLGLPAFAFSLGIWQCYRLKWKLDLIDHLHSRLSQTAVDLPLDLSCDSLEPLEYCRVTVTGEFLHNREFIISPRGRFDPAKKQSAAAGSMLSENEMSSHGGHLITPFRLKDSGKVILINRGWLPSFYFDPSTHQKTNPIGVVTFDGIVRKTEKRPQFVGQNIPEQGVWYYRDLEQIAKHHDTEPVWLDAAYETTVPGGPIGGQTNINVRNEHLNYMTTWFTLTLVTLLMWIHKFRK >CRE01495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1600:3150:4248:1 gene:WBGene00066404 transcript:CRE01495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01495 MSNFINQITDAHSASPKAASGTEEQETSGRNGERILCEVMNRIRSGISGVSGEGLNRYEKSPDTVNVDAWENPDFEVYTNLDRFGFVLKKGDKTDERTDAQKRRIIRELSREKKWLKMTEVRKSGGPLKNMEDRIWKGVPEKLRIVFWPRLLGVERMKLDNFCVIFILY >CRE13329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:849996:851658:1 gene:WBGene00066405 transcript:CRE13329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-17 description:CRE-DPY-17 protein [Source:UniProtKB/TrEMBL;Acc:E3M883] MSTFAGIAACTLGATTMLLCICFVPQIYQQVSLLRDELTTEMEAWRLETDQLYMDMQKFGRVRRQAGGYGGYGGYGSGPSGPSGPSGPHGGFPGGPNSGFPGGSTGSSNPPTLPGVVGVPPSVTGHPGASPINPDGSPSEPLCRFTSFYVDLRITISFSAGPGDKCNCNTENSCPAGPAGPKGTPGHDGPDGIPGIPGVDGEDADDAKAQTQQYEGCFTCPAGPQGPPGSQGKPGARGMRGARGQAAMPGRDGSPGMPGSLGPVGPPGAAGEEGPTGEPGADVEHQIGLPGAKGTPGAPGESGDQGEQGDRGATGIAGPPGERGPQGEKGDDGPNGAAGSPGEEGEPGQDAQYCPCPQRNANAAVGGNQGYRN >CRE13417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:855795:862113:-1 gene:WBGene00066406 transcript:CRE13417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13417 MEDEENSSFQPENQSVHGENSLQIGLEEESSQQDMEIDSEEISEERTEESSEEPSMEPSEAPPVESSDSAEAIEKSKIQKESFMEMFKIAPTIKDVLPDLRVFMEHPDVIDWDRREVQQIVELKIQEEKARKKAEKASRREQAEKQQPVVLADLIRSATGIDVAGILQKIPGVQQPPVVTAPPVMPVVQPVQPAFVAPPPTLSLSTSTITSVGHGKCGFGTPHPNEIPAELRKAKPDMIAPIPDELRVVQGGRAERKVPAIPNELLPPEQRVFESLPLVFVRPPMRKTLFLAGARCGKRSERLEAAEAEEEEKTGKKRKKNKGAEEQSFRGANRPFAPPESHRGRGGFSKPSRIPVVSGMNALPAGLNKNSPLAVKRYHARHLAKETGMTLEEAMAEIEEQCNEFGGEDTPEAREHDEKAMELIKKRGDEKWNQRYNYVPVDHSKGIPGVDRPPGVTKPPIGAKRDPVPGVDRPPIGARVDREPIPGVDRPPIGGGGREPIPGVDRPPGGHRYQGEPYDGEGDRGGRRERRRGGVKEREKKRRREEAFRGGPRGRGGGGGERGRGGRGGYDKWDERGYGGGAPKQARYDDYSSDRGYPRPYQAVGYGGYDDRRGYDDYYGRQDGYENYDGQHQYGEGGYEHQGGEGEGYAYDQHAAEAYYQGHEGQDATYYQEDGSQYYNEYYGTAEGGGGATGEGGAEYHATFDTPSTSHPPPPPPN >CRE13418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:862636:863942:-1 gene:WBGene00066407 transcript:CRE13418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13418 MSSTNSKKRGRRGKREEMPMFVPGCTRCHKLHMSMWCPEPLMAIDVPKPVQMKAGNDKKEEMSSTTISNNRSTTWKEAKTFGSDNNNSAHMKNRNGSVMEEDPRFDRRRRGRGPAFRSAPQRFAPPQSSQTIQKPLMRNATSSHQSRSSPSKNVEPSERRSQAPNSTRRDERPVRSRPAQSYHLPKQPITRLPKAEMMISLNNELRPFSYGDENMYQEVPIAKQIPKTIPRVPENQMYVFRKRTYYDEHGNQVDERETATIVHLDGDELIFVDLNDDFEF >CRE13419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:864456:874223:-1 gene:WBGene00066408 transcript:CRE13419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13419 MGRFVVLIEFKEFSMDEWSEKGCAYGGALGAERIQKHKRIERSFDDCSRCIDSSRLAKHNIIAVGINTYLAVVEWDGLDDEHLIIVPTQHCSSSIQLDENVWDEMRLWRKGLVALWKEQNRDCIFFEMSRHVDSNPHVYIECVPLEQEIGDMAPIYFKKAINECEGEYMDNKKLIETKDLRRQIPKGFSYFAVDFGLSNGYAHVIESHDHFPATFATEIIAGMLDLPPKKWRKRETDEMSKQKSRAEKFKQLWEPYDWTKRLKNSEATSSAGSSSSSSSKR >CRE13420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:879323:887510:-1 gene:WBGene00066410 transcript:CRE13420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13420 MFRRPDDDDDDYSGRKVVKPKPIAEKYAKKLGSEFATGKTFVTGSDKSQKDFYGSQQVRNDVMGSSGSGSTPLTEDEKNKLSAKILKAEMKGDTDLVKKLKRKLESGVSGEDEPPKSRSKDVTMMRRDREGNILPASSSSRRSDSDRHAEGSSRMRREYEKSQDLDSMVREEKTGTAGDQLRLFEKQLIKSSKIRRHDDESVDDIAEMQKGRKKTDEKDKKRKEKEAIKVVRQSLCYYTLPKIIN >CRE13332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:894237:900695:1 gene:WBGene00066411 transcript:CRE13332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-algn-11 MSETEPSVLCNLITTILYLIPLTVALLVIPFKLISDTRRKSNTIGFFHPYCNAGGGGERVLWAAIRTMQKKYPNHKYYVYSGDTDATKEQILLKARQRFGIELDPTNIEFIYLNWRSLVEAHHYKHFTMLFQALAGLALALEAWCRLVPEIFIDSMGYPLSLPAFRMAGSKVVAYVHYPTISCDMLDVVESRQETFNNSSTIAQSNLLSWAKLAYYRLFALLYWLAGKAAHVVMVNGSWTQRHITSIWSRRDVSIVYPPCDVEAFLNIESVAETLLEETKTVRLLSVGQIRPEKNHKLQLEVLADVKEPLKKKGYKVELCIAGGCRNEEDQERVKSLKKEAKEMGIDEQLVWQLNVPYEDLVAELSKALISIHTMHNEHFGISVVEAMAASTVILSNDSGGPKMDIVKDFEGHCVGYLSITREEYAETILKIVEEGKRKRDDIRKWARKSLTRFGENAFETHWNNQIEKVL >CRE13333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:902257:904510:1 gene:WBGene00066412 transcript:CRE13333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ykt-6 description:CRE-YKT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M891] MKLYSVHVLHKDVGTEAVRIFKSESDLSSFSFFQRGSVQEFMTFTSKLLVERSGPGTRSSVKENEYLVHCYVRNDGLSAVCVADSEYQQRVAMSFLGRVLDDFTSKVPAVQWPGIKTEKDCSYSGLKELLDRWQNPREADPMTRVQEEVEETKMVMHNTIQSVLDRGEKLDDLVKKSENLSDQSKMFYTSARKMNKCCNYV >CRE13334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:905354:911380:1 gene:WBGene00066413 transcript:CRE13334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13334 MALPPPLATFLLILLFFIGEIRCVAVSKEVGILADTDTLEYVHTVWRHGDRTPAEYLFPEDSRKWPEGIGELTEEGAAQQYRLGQWLRKRYGSWLGEKFNRNTIYIRSSDYNRTLMSAQANMAGLFPPKHAISEGLMWQPIPVHTRPKPMDKELYEEVSCPTAEIEMNKQWKSEKADGIRRKFAAELKFFSEKLNLPDMELKATWKIYDNLFCESEHNISWPSWMNSSIFSRVNDLYNEVSQLEFHTDTLRRLRGGTLLEEIFHRFSDKSNGKLGNEAKFYAYSAVSENASKARQTFYFQHDSTIAALLATLGIFYEIYPKYATCLLIEMHKMPNETRVIRVFHKNDTDIDRLIEYSIPGCDAPCTLESLGKDLSKYFPDDWEKECGLVQGGELVYLVALVLFFSTTCSCAMLFMEKCKKRILRFSSARSGHDAESLLEHYHEHDHDHDHDHDHDHDHGHDIDYD >CRE22143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:43017:44052:1 gene:WBGene00066414 transcript:CRE22143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22143 MRSPNYQAPEGRELPSFFQSARKPLNRDDLDIVKEMFKKFSEEEKKTMKKTCKAYLAYYEDDRNIKSTGGYEVCCRIVEICSLKDIPSSGSQLVEPFYMQTWFFVTCGGVLLILIVGVVVGVFIYCRRKRKRSGDNGGGGQSAKGKEAGGKKNKK >CRE22144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:44452:45274:1 gene:WBGene00066415 transcript:CRE22144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22144 MRSRLQWAESQFSYIKLKSQSFSYIIISIMAELPPQIPVVTRQSDGSKLHEISGHKYKAVLLTQPSFCSYCNKFIYGLGKQGYQCQLCDGVVHKRCHSSVVARCTCAPQVMDAPEQENTTTHNFSAHFYTLPTFCGHCGSLLYGCVRQGVRCTDCSVNVHHRCQEKAMHNCSSVTV >CRE13335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:924831:930601:1 gene:WBGene00066416 transcript:CRE13335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13335 MNFDCWPLLSTLITLTLSVMFPRIFLPVNYLDKREKRSQVSGRHPDKTTTFQIFRAIYNNSLPCSDLSIFSRPPPPHDWRGNPIRNSWGDSYSRCPNIGTLSASWTFMTFSHYTMTYRPAYTFDEPFAGNGPQIAVVFAIVIGGVILLALVILAIYAVVVKGMRGDDKQRLHSGRNSAQWAQPQQQYREQPASDFAYNPAPTQNFDYNAQIRTPVNATSYTPVPSVTPYNVAPQQYSNVPLATPTTQQYVQSQAIPQYAPDVIYTQGPTGYVQQQPVEYSVQRQSPGANFVQSSV >CRE13336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:933088:935796:1 gene:WBGene00066417 transcript:CRE13336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13336 MSYHSLPHLFSPFPRHPLPLFQTKFVKVFVSLKNKVNSSKTMLLKTVIGLSSVALVAGLFNPLLPSLGDYLLNEKQVKTYFSTGSKDLALGTCNSIPFASAQAGFASAVGLPVTTTWRDANILTNATITMIDQGIDQLAAVCGARQLFVQTLGFTYDTCIDRFYLISLGNTDWWNVMQYTHLMKHLEFICSTGFDVYQNNIDCIRKGETTDGNQYRACFYKFNATVNANPNNFCGATESFIGCIKDFFTTECNGYVGWMQCELERIGFAYDCYGLSC >CRE13337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:937836:939606:1 gene:WBGene00066418 transcript:CRE13337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13337 MSAEVYRILWETKQYDIVHSLPPSLHRRLFSLTLCPLATTRIDNSFRMEPIFPLLRLPENVILEVIKNLCASRWLEFSLISTKTKNLVASLGIKADDVRINISHDVGITMFCRYFNTRLTFYNDSVDQAALIHLDSNQPISAYSFSGRRTVQSSIPFSFNNWLDHIKTVFCYNKPPNVVFWTGNERFEMDSLKNTIKSVNQLHVSGGNTEFRSREILEHFKNANELSLTTNPFEEACEVQKYFIRNCNSLIFRDFVSLDDMLLVNSKKVEFSRFMSQKQFNQYLKHWIRGSNPRLQCMTLPINKIDSVNGEVYLKGINCIEMSEKSKKEIRQKHGISDIKMVKIRRKEGTTAVIATKNCANFFYVRFYVLY >CRE13338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:940048:941075:1 gene:WBGene00066419 transcript:CRE13338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13338 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSTMIRFLVSKSLAHRIKAVKERIRRNPHRSIRKMAKGMKISSRSMGRIVKDKLKLTCYRVRKAASLSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKKTLVKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE13339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:944246:946036:1 gene:WBGene00066420 transcript:CRE13339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13339 MSAEYSGKPNNTTFSIHCLLAYTVDYSLLTSAQSASRIDNSFRMEPTFPLLRLPENVILEVIKNLCASRLLKFSLISTKTKNIVASLGIEADYVCINIYLDVDITMFCRYFNTRLTFYNDSVDQAALIHLDSNQPISAYSFSRRRTVQSSIPFSFKNWLDHINTVFCYNKPPNVVFFPGNERFEMGALKNAIKSLNQLVLAGERTEFRSREILKHFKNANELILRRNPFEEACEVQKYFIQNCNNLVFRDFVSLDDMLLVNSKKVEFSRLMSQKQFNQFLKHWIRGSNPRLQYMNLSIDRTNLDDGKVYLKGIRCIEMSEELKKEIRQKHGISDIEMVKIRRKEGTTAVIATKDYGTFLYVRFYVLY >CRE13424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:950193:954178:-1 gene:WBGene00066421 transcript:CRE13424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13424 MSDSGRLEDPNPDASASSSNTTTTTTSEEERLVKNAQCAKKELEAYYKKQNEILDHYKQDSEQIEATRRTRIRLRSSTAVSGDSSAESDVENQQEALRRASTISMKSSKDSLLLRHEDAQNEELKLAKAAARLANITLLVNLVLMIAKIVASYLSGSMSIISSMVDSVVDLTSGAVLSISSRMIRKRDPYQYPRGRTRVEPLSLILISVIMGMASVQLIISSVTRIHDAAADGQKDEINVSWPTIGIMGSTIAVKLTLFFVCQKYKSNSSIKVLSLDHRNDCISITMALACAWLAYYYGAKPGESNTGVSLLGLCPSTGCDLYYLDPTGAIIVSFYILYTWIRTGYAHFVMLSGKSARPELINRIIHQCIEHDPRITHIDTVYVYHYGTKFLVE >CRE13340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:948843:949914:1 gene:WBGene00066422 transcript:CRE13340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13340 MEPTFPLFRLPENVIIEVIKNWWINELFDFSLISTKTKNIVASLGIEADYVRIHISLDVDITVYYGLCITSLVFYNDLVDQDALIHLDSNQPISAYYHYKNRTIRSSAPFSFNNWVDHIRTVFCYSKPPNVVFWTGNRKFEMESLKNTIKSVNQLYVSGDNTQSRSREILEHFKNANELSLPTNPFEEACEVQKYFIQNCNSLIFRDFVSLDNMLLVNSEKVEFSRLMSQKQFNQFLKHWIRGSNPRLQCMTLPINKIDSVNGEVYLKGINCIEMSEQSKKEIRQKHGISDIEMVQIRRNDGTTAVITTKYWVASNHIRFNVL >CRE13341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:954936:956985:1 gene:WBGene00066423 transcript:CRE13341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13341 MKGSMTDEDYLQFNSEAFLRELAADLADSGNQSDRFSAPRRRHEDRIPVFTRGACGNPNSDQCYNRPRLSNTLSLKGLVERSQHYNQSIDRRVMTDARIAFEEEQLKCGRTEESDDLATSCQNIPKRGRGDYFGKMRSAGVSEADSGENTSSATLTPSSTDFSNNNTANFYNNNNNTFKKTPNCLKFRQWRQPNHWNDDSRNETIDDERSFSYQPPRRFTSPFAETDDQSSQPRHHFNPDTAYKGKITPDFRFNYNPQATATIPFPHVVAPVNVVYPGVVPLVKPLVVTSAASVTPIRLGQRYPKRPDNNPPQPSVPRHLNHNYYQIELYGATQEERIAQRIEKTVRQTEAPIRRF >CRE13342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:957116:957655:1 gene:WBGene00066424 transcript:CRE13342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acbp-4 description:CRE-ACBP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M8A4] MGVSLDEKFKSAAWIIQALPKDGPIKTTVTDQLLMYSLYKQATIGPCNTEQPYFFRIEERLKWNAWNELGNMEKDEAKAKYVEKMLELCDEAEKEHDLLNFLNDPTVAELLPKQNELREHFGNLGKTTVKGFEGETVTVNGVSISF >CRE13344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:963604:966285:1 gene:WBGene00066425 transcript:CRE13344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gos-28 description:Golgi SNAP receptor complex member 1 [Source:UniProtKB/TrEMBL;Acc:E3M8A6] MSETWEALRKKARSTENSIDVKLVSLNKLTASSHGGFDIDEKTVSSRQTSFRTVTTEIEGLIEQLTNINDDMNDVAGAQSSASWANNPAIQHTLRRHREILRDYGSEYRRARDNVDQVLQRELLLSSSNESSRNPAVNNRARGYDMYLKENDHINACDRLLDEQIEMAMSTKENVARQGINLRGISNRLHYIAKKYPAINNLMQKIKTKKQKNTMILAGVISACLIFTIFWIIN >CRE13345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:966745:968544:1 gene:WBGene00066426 transcript:CRE13345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13345 description:Ribulose-phosphate 3-epimerase [Source:UniProtKB/TrEMBL;Acc:E3M8A7] MPLRAYVCPSILNADLASLASECKKLLTAGADWLHLDVMDGHFVPNLTFGHPVVESLRKSLGSEPFFDVHLMVSNPGQWVEPMAKAGATQFTFHYEAIDGGDVAVGELIEKIRKSGMKVGLSVKPGTSVEHILKHAEHLDNALIMTVEPGFGGQKFMENMMEKVRTIRSAHPELTIQVDGGVTPENIDISAKAGANAIVSGTGIIKAPDQSVAISTIKNAVEAVIGKH >CRE12320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:62291:66400:1 gene:WBGene00066427 transcript:CRE12320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kap-1 description:CRE-KAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NH28] MHHVSIDAHPTEQAIIVRFDQSGSPSNTLKKRASSANVESLGHQKIIHLKEMSPEVDIHALSNVVLQKCLFIPATSRSQLEQVLYFLQKRGTPRNSARSRSSSAVSFDRRPLPTPLNVDLEKVDEYIECFYGETSAEKNKGALALHELSKNPQNLTPLVNNETLMMALARVFREDWKKHFEVGTNIMNLFVNMSKFSMFHGILLHHKIGSLCVSAIEHETKRYDLWIADMKKADSETQKKLKIAIRKQSMLLAACITLLTNLATDISVELKMVRRNLVSYLVKCLQMSSESPNALTIATVRFLLKLSIFDENRQVMEQNVTVEKLLKLFPIQDPELRKAVIMLLFNFSFDPKNMPKMVNGGLVPHMASLIESDNKALNLMYLLSCNDDAKAMLAYTDAIQLVSAWISR >CRE12319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:59591:61307:1 gene:WBGene00066428 transcript:CRE12319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gsp-2 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NH27] MEGEKLNLDNIISRLLEVRGSKPGKNVQLTESEIKGLCQKSREIFLSQPILLELEAPLKICGDVHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPVAAIIDEKIFCCHGGLSPDLQSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVTGWGENDRGVSFTFGPEVVAKFLHKHDLDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGSMMTVDETLMCSFQILKPADKKKYPYGAGGVGSNRPVTPPRNAPAAQPKKGAKK >CRE12325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:49728:55327:-1 gene:WBGene00066429 transcript:CRE12325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12325 MYFVFLLTFETLILTEEPKEEIREEIVVEEEEPTEQNVENTVAPTIEKDGKISGDLLDFSSSDKSSLSSRPDSPEDDEEDVRVVTRTAAAPPPTTSTQPAPLDEMLVDMSLDDPSPPPRKTQQRSAPPPIPSMNTIISKPRTNSVSYIKGQVVRQSAVEQQTLANQVVDLVAMGMSKGQQQGTQITAEKAEWVQLTSVEKAGEPNERLEVLIVGLCRGYQIWTMNHTGEFEEVLSERQGPVRALKVLPNNLKLRNRTDPFADSRPLIAVVDASSHHPDRQYCSVTIVSLLTGKEVHKIKFEEPVCAVNVSDQFMVVSLSNMAYAYNILTFDEVRLIRTAPSCENNPPALSLSCQLLAFADTHLDANLQSSGGLAAEVEATNNDKYTDHIFSAMSYFSRGVKSISESVGGGSGNSTKNNQPQGVITVLNLAATKEDESNGVMCHYVAHVDPISYITFSPDQRLVLSADANANVFNIFLLMPHATMSSLASVQHLYKLNRGSTPAKVVSTAFSEDCRWLAITTNHATTHVFAVCPFGGKPNQRTHGDTFVNKESRFHRSAGLTDAADVTALIGPSRHKAMSDSCSYTKEHPIAANAPTLAKTNGNSRVGPFPPPLLLVATEKIKDSRYTKEDLTAWAADMTNFSYSGAPASSSPAVTARRRLEVSRMSVMFRTRSCNSTTSTKNAKTTKMLMSMSLVIAKVDPAQGVIVMQHDIKSVRKEGEPNMEAPPLISITPVGGWILQRTKNNADMHAPMPQASPLMAFATVETDIPKRTGDEDVWTPHVETRTYLHPHRWIWQGPQFELFEYREDDQPSLMSPGNKGSNASFKSIPVLVGSEAMNITKKAIPADATRIECGSYTSANSYNTETGSDATIIGGYSSTSNGDFVATIADAIRDIASDDEDRKSRQKSDDLLEEFFDTESSTPFARNGSGSSATNGSANGKSLKKKKTPPASAPMPATSSMMTPVKPEKEKKKASNGRPRLNDSDDFGTFDMDDI >CRE12317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:34120:36702:1 gene:WBGene00066430 transcript:CRE12317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12317 MADDEKKKKEPERRSIRIDEYFIKAAKSDSVEREIAEKKKKEEEEGENNQQKEVALQDLKCPKRKLVFETDDTDDIAKKKQAVRNRKSEGAMKKLEKGQRILDCGQKLIGSTTCKDCEMVYCVDDAADVKAHEKFHREWKFRFEIPKTFVMQMLKFYNRDMNDYKVYYLHTSGEEAFKKLMSKHIGVINTYLGYTGTDDVWSTDKRIFMILLLREERMMIGGILIIEKVTKAYTNVTRKSFLLIGTL >CRE12323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:28823:33623:-1 gene:WBGene00066431 transcript:CRE12323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmgr-1 MVANRRKLIDFLQTCNLSDENSRKIENFISENYTEIVEKPKRKPLFSVGEDDESEEYTSPIVETCETGIQCKRDTELLEIDAGTRSLDEINRDWKECKTVSPGEAVRLLRRGTAKSRELESRFPADQAIPIRRTFINKKFDNLPYLGYDYTLASECCCENVIGYTPVPVGVAGPLTLNGTSDIYVPMATTEGALIASTNRGMNVIRSAGGVQTSIFNSGMTRAPVVKFSTAREAVSMKRWLEDPVNQKLARQEFQSCSRFAKLKSIDVTIDGNLAYLRFDAHTGDAMGMNMISKSCDITMRFLLEKFPDMRVLALSGNLCVDKKAAAKNFTEGRGRSVVAECVIKRDIVLKTLRTTPEALAYLTTTKLHIGSARAGTIGGSNAHAANIVAAIFIATGQDAAQVVSSSMCSTRMEVTEEQDLYVSCTLPCVEVGTVGGGTILAPQRACLESLECAGPNQENPGQNAERLAEIIAATVLAGELSLMAALTTNDLVSSHMKLNRSKLHLYTNESGKPTNFQKEVEKAGSLLSGKGGGVAGAIKLKKLPQDIVQCSNIL >CRE12316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:28155:28586:1 gene:WBGene00066432 transcript:CRE12316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12316 MRYPSESQHLQNPKSYFQNFHESTDALETLRNIQMLFPINELTKEQWSILECVADGRRRECLSVCLSSRCRRFSALPIHRVTTFQFNQRVEYCDDNGVHLLVTRYLEVNPHLRQIHPKKTVQVLPSMYPVEMYLKNYSSVLKL >CRE12322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:24806:26973:-1 gene:WBGene00066433 transcript:CRE12322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12322 MAMKTVLYPLIILLIIDCLKCENSSTVNEVHTILYTSQDVSTSNYYLILFSSLRFAIWILIALSVHAITVICFSSKWERVLQAKECELMNLKCEIEVLRGKLNLFEMNTEKTDETQKTVEELKVLGGRKQKEEEKDDSSQLKIPTFEESSKKKKEAPKDMEKKKIIKSLDEEKEEKKISIKKVKWDENPIGYHETGVGVDQVEIPTPSTRGGSSSIMTASIRQVKKL >CRE12321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:20626:23348:-1 gene:WBGene00066434 transcript:CRE12321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12321 MSSSTQKSDPNANIGISLKFNYNMKTVLYQFFILLIIDCLKCDDSATNNATEPPQKPWVLEYSEKEEKKFVISYSISLTSCVGIFIGIIFLACCIRDSLTKLQCYEEYKKDVQRLREEMENHYNMEMKNQIWDYEREKEKKKDMDEMRRREADEEEKKRNPPLTKKKSVAVKESSKKKKEVPNVTQKKKEAPKVAEKKKKVPKKEEEFKFTNRGPIIFTTQTVASWDIINTLEEKEEKKISIKKVKWDQNPIGYHETGVGVDQVEIPTPSTRGGSSSIMTASIRQVKKL >CRE12315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:16237:19850:1 gene:WBGene00066435 transcript:CRE12315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12315 MRMKEVILVIVGVATASIEPGPPAVSTAVIDQYKEFKRNSSSTHDQVPSHPPLSISDNPKSRFKRSSGNTNSFDPADFGNISSRRKRSSNDAAFSTLQSHISALARVVTGISLYNGLVDNSISSDQAITELMNLGSTSLKDLETFDKKKVDDFAKKLKETSSKMASESAVTEKNLVDFHTMKNMWNDAGDLTKIPDVLKFKSLNSLTSLDLSVLEDFKEGNDASSSLESLKKASQTAVEEMNKENVLNILKEMSPFHKFAQLMEYYDNAKVHSIRIVDNSIISLLKQDLQELQSLVGGAGSVISALSEIVFSRSGSNDINRQHTPGFVNGYLDLKQIMKDSGDAWLQKSLKLFNGKEGLSVFNGLAETMSSLDEKWKIASNESTRTSLQHAKKLETNLQTLNYKKDSIDALITTFIGSPFVTAIDGYSTDVAKLSKSMKKLEKKLETIHSISRLTQTSSDDAQKVLGHLKTHLQILKDGEKVGKIVGELMAQSAFYEKINTNMQTQFYLTFFNYLRDIKDDSSGFSAAAQLAIDFRNLQTVTSFEADIATASSAIAGSSESIATIRSKIDEVKSSETGKKLIELKEFAKYSKALGHSSEALSRIQKVLEQKKELLGFVENGHSVEEAVEQLPVPSQQFEVRKNWAGFDELGSQILKQLDKIQKWIDGLVKSEELESYGSALEKLVGLGDVELAMDRKFIALDSLIAFLKLTSFTGQTDKVVKFKTMITPLESLNLRFSKFDSSLSTMSSTVAGLQTSGGTSAVKNTTIATGKTGGDTETDYTMLFVIVGVALAAVIIGGVCEAYFKCIRSRCSKGKQKTPQNNNKKPPKPDPIPPKPDVKKPVNQGQGANNQRPVAQNQVAAGQVVAGEPAAGQPRDPADNGQRVRRARGQQQGADQAAANVQPAGQQAPVVNQQPVVNQQQQQQQQGNQQPGGNQPQGGNARPPLVKEYMEDQDEDDTMVGVAEIVN >CRE12313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:8893:10755:1 gene:WBGene00066436 transcript:CRE12313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12313 MANKNKKKTEQKKEKSEQWSPVTMKTIKWSITRELTRTRTLVSLANRALALERNTNNITVLDGYLAQLRNQLVLIEDLPENAIDLLKTNNKLCTQNVFEANRAQISDHLADRGHEGLVNQMITLIGDVTTTVNNFRSGRVSAIPGTPPPPPSDVLAPGNAHTTDGIAPRRAHPEQHIPERSVQKTINQSDQLDPGFESNSGRHLPSSTKACESIHSSDFSAHPRSNILNRGSSEASQDIAEFADDLAVRIGTIEHTQTLLLDSSATANRAVKNLQGNMQAIQESMQKMQEMMYQVVNRQPTWQTAPDKKQESEPATTSKDKQVPEPSTSLSETPILRQSSSMKQENSSPPPPVVTPRNDAVDSPSFRQNPPGSQESSSPLITNNTVYTAMNTIPVFDGKPAEYSMFMQLFNALVHENDEIPVTLKHALLMKLLSGEAKSMLQSVTLSEEDYYVLRDSLERQYNREKDTKQNLIHQLNKLSFSEDCFEDMEKDLNKYCILAYSLRSKGCRLDDSFFINSFIGKLPQQVMGTVFKKHHQKDRTFQELVGIAYKTISEKRALDQALKMKRGRITTNEVHDDRYPSTSQSRNSSHLPPDSNKGKMTVGHNKALVSSSDSNSSSD >CRE12311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:5355:6179:1 gene:WBGene00066437 transcript:CRE12311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12311 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NH14] MRITGMWKKLEFEINNKTKFQIPYQAQELKDLALDMIERLKSEPTLAVVKATVVVVADTHGQFIDLVRILHVDPENFPASFDCTPFATNKYLFLGDYVGRGVNSIQIMVLLFSLKLYYNNVTMIRGNHETPSVNVNYGFRDELINKFEKGPELWNLYNEVFSYMPIAAVISERILCMHGGISPHLRTLKDIENVERPITDISNIV >CRE24784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:10375:11243:-1 gene:WBGene00066438 transcript:CRE24784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24784 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NCS6] MDKVSKVILKTAPKETFGKFHFHFKCYFQLTCDFTKLKIERIPLCEKLGFRCNDDTCENFAAKIECRASCSEFYKNQGIRQNVCANIEVKPSGDTGYGLFAKENINEVDQVCIYAGSIIPKKEHEKRVLKYKKKNFTHFYAYKVGDLFVDPTESGNLARFANHSCSPNMVAERWQIDRRFEGYRTIVFIAKRPICEGDELTVHYGEKLNVSQECRCGEDNCSGWIGQKKKEPATNTYCGTRSI >CRE24765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:12920:14015:1 gene:WBGene00066439 transcript:CRE24765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24765 MSPSFPLLHLPPEAILRVIQSMDYGEFITFSFLSKRAKCHVESMNLKHRAQTVTIGNPVNISMIIGNKSLVWKFSLNNIPVEQTNISLSLLDKVDLVTVNGTSRITEWSMEGLNIRNWYTHFKTIFGLSTYRILRFQDNPSMFEIAEVRATFEPFDKLVIERYPGLDECLESTLKNFPSRNVFFDNGSIDHLKQPQQILIQNYDDLEIYNLMNIPIALTLDDLLVINAKRIDVGDINLTEKDINRFLKHWIRGSNPRLEMIYIYLLLRKAPNQADILKGIKYLEVPHNHVRFFKTCPEHIKGGFDFHRKDGTRATIKINFDEISGRRYYWLAMYVWHPHCVGDGK >CRE24785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:15568:19345:-1 gene:WBGene00066440 transcript:CRE24785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24785 MTTSSFPLLNLPLEVITHVLKSMDYGEFITLSFLSKRAKKSVESMNLRQHKYYATISDYVTICMSVGTEDLEWNFKLGHDSTNQTDFSLSSLDNVELENGERKLWSMERLSITEWMSHFKKIFNCSILNYLYFDENSSIFDIDDIRRTFENVFYKLVIYTEAGSVAFRNDILKRLPTKSLELENIVFDTLYDPHRILIQNYDQLQIQSSMNFPSNVTLDDLLIVNSKMITHWIKGSNPRMEMFNFSKSLRRPLNKSIILRGIRHMELPVDQINIFKQINGFSDTMTGGTEFYRMDGTKATINLYYGEQYEITSLYMYCTLHFLDSSTKFDINELRNTFDSSWTLWIEDNRDDSTNNFDSLINSLPTRSLILDDGVLPLNRPHQVLIHNYDKLEIDPLFPTPFTLELDDLLIVNSKYTKVTDLNWTVKDFNRFIKHWIKGSNPRMEMLTIDFHTLHEPEIPSFLKGIRHTEVAEDETRWFKHHHNGKIIGVDGGFDFYRQDGTKATIAYILDKYDEDDDDEYGINWIAMFVWHPHCDFDY >CRE24786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:20249:24097:-1 gene:WBGene00066441 transcript:CRE24786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24786 MLSSTAQFKPSESPLQLQPFSSANCLEITDGVSRPIFGITEGVAGLLVDIAYIPVFFVMIEKENFKMSCYKIMTVLAVVDFFSIIVDCIITGWLTYEGAVFCTHPEVIHFSGMTGEALWCTSCVLAVMLIINRLLDLTSPRARIFFFEGNRTYLFILVAIIYGLYFFFCNTPTAFTSKFHTWFFDPMIFEGKAMEYENFPILINNFSVVFLTCSLYVLFCIALRSKLKNTTGSESKKAVSWGYCSRLQSVLKIILVNRVETGRLKTKKELKSIPKCRSSMSSMQVFFQSVMICAVNLNVSVIYVIMNYIEVPPWLIIIGQLSWQLGNAAPVFIYLKFNKTIRNGVLKKLGMRVSFVESKSRAKNVSVFRKSTVTK >CRE24767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:27659:28853:1 gene:WBGene00066442 transcript:CRE24767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24767 MPLLFNYTLHLSISETSRILPHTVYHHSFRSFIVITTALMTTSFPLLNLPPEAISHVLKSMGYGEITSLSFLSKRAKQSVEAMELKSRGVLVQIGDLVNIVVPVGESYWRWSFHGDETENGTINRALPDKLTELSIHHGPIEWSMEDLSVKKWISHFKTIFHFSKFYSLQFSTDSLPYDIEEIKTTFGNFDKLLISIDNITNEAYDFLLKYFPSRSLVLDDNVFECLEHPEEVLIQNYDELEITLDEDLDESVLILDDLLMMNSKTVVLGDVNWTGKEVNQFIKHWMKGSNPRLETLDIFSIGKAFNRTVALKGIRYMEMPADHVRRFKTLDHEKFEVEGGYDIIRHDGTTATVTFDYDEEFDTDSFYMYVWHPHCVGDT >CRE24772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:34489:35560:1 gene:WBGene00066443 transcript:CRE24772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbxb-115 MTTSFPLLNLPPSAISHVLKSMNINEFITLSFLSKRAKHSVESMNLKIQFFSVSISDSVHITTSIGDNHMRWNFSIDNLTEEQPHAPLPLPDKVDLELFEYGPYRKIWSMRGLSVKEWINHFTNIFHLPTVCSITFEENANTFDIEEIRDTFKSVDTLSFGNSDGTDVESIIKHFPTRELYFGQGASNTLKHPQKVLIQNFDQLCLTYKHAGIALTLDDLLMTNSKRVSLIGLEWTGKQVNRFIKHWMRGSNPRMEDIFIGFMPFFTVNQLDILKGIKYMELPKTQLRWFKKEGRRTQQVEGGIDIYRRDGTRATIKIRQNEPLTSFHLFVWHPHCIGDPEY >CRE24773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:37162:41182:1 gene:WBGene00066444 transcript:CRE24773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24773 MTSSFPLLNLPLDGILHVVKSMNYGEFISLSLLSERAKQVVKLMNLNHKTSSITITNHICIYMFVCKSLMEWKFTMNNDPEDPANGPWTDNVELRIYAPVRVELRMKGLSIKKWINHFKEIFHFSSFSNLRFMENANLFDIEELRIMFNSYEHLSISSNSESNVKSILKNFPTRCLAIMNDIFDLLEDPYPVLIQNYDQLVIRPESELASALKLDDLLITNSKTIDFNNLDWKEKDLNRFLKHWMKGSNPRMEMLQIHFVSPEALNKSDIFQGIKCMEMPFEHTRRFQTSVGKADLIGGGIDIYRKDGIKATINFSDDEFHGYMLEIDCTHSASDRPSPIFSFIRPHLFCPDDDSFPSLQPPSRSGSPCAQINGFWRIVSFLSTLAYKTHHFSITLSLLSERAKRSVGSMNWKYVCSIVHISSEIRLHIVLDTIRLDWTFKLRNGRASGHSLLPKKVKLRIFRNPPVNVKRSMKGGLSIKEWITHLKEVFHFSKFYCLKFDENTSRFDMKALRTLFCTYDKVDILSDNRSNVKSILKHFPTRRLLFADDVFKNLENPHQVLIQNYDDLEIRQVTRPSTLTLDDLLVINSKTIDISNIGWREKELNRFLKHWIKGSNPRMERLQIHFVSPEALNKSDIFKGINCTEFPAEHTRWFKSDIELTCTVKGGYDFNRCDGTKATIEFKSLDNLQYLNMNVHYLGEVDEIERRICLMLL >CRE24788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:55286:56407:-1 gene:WBGene00066445 transcript:CRE24788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24788 MVRKNEWKWSERPVVFMIKLIDKISLISALFLTQIDHRLQEIFGSDKPFGGVSVVVFGDFLQLPPVTSSRVPEHVFRCVPGEYRAVRATVTPKSPSRLWDLFNMLELEENIRAKDREEAPVLAAIRLGECTESIRGFLHHRCRMDGGAPEDVFREIRLLENEDPDKFFMVLAKTCATVKAMNKWVFDNSTRRIVLEPVAESSLTTSGFSLRGERCPLRLVVGKKVMVTLPLEGLANGVMARLIRYSREYLVLERLDNRKTVYLDRKLFTDGQRYWHQFPVVMAEAITVHKSQGMTFDGAAVVTEGMNRWSAFPGDITDR >CRE24790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:61608:68930:-1 gene:WBGene00066446 transcript:CRE24790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24790 MKRRKKLVSSGKNGSKYTGIQTEDVIDSERHLKKLDPDKFVQSYGNYGKYQILTYVLVQTLNFFYSSSIYVMSYVQLGLDKKCVLNNETLPMSQTCEIETEPKGKFGELKGGYCGIINETKMQITNHNAHTNLLVEFDLSCSHWFFQEFGLTIFTIAAIIAVPFMSMLADRYGRKPVIVSTAILAFLGNVAASFSPNFGVFLVLRALVGACSDTYLSVGSVATCEYISEKARAWITVVYNVAWTLGMIWTLMVTLMTDDWRWRYFIAGFPGVYAFFLWCFLPESPHWLIVKNRTERLKKYIKTANRINKKTPDFSECQQSSHHEEKHESFKAMLGSKKLIWILFANGFIEMVISLVYFAISFLSVELGDDHIQAFLYSSLVEIPAGLAVLPLMIVMGRKTIVIWCLVFQTLALIGVTVFLDVYSLKLGMMLIAKVMATIIYSVHPIWANEQFPTSVRSLCFSLMNIPQSMGIIMSPYLKHIALSPNWLPFVVIALFSFISATLAFMLNETKNTKLPTDVESLSNPGEAADLSAYRNSSSSTSSPRSPKNKSKKKKTSSNENITTKSLGTVTFSGEQ >CRE24777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:69282:74346:1 gene:WBGene00066447 transcript:CRE24777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24777 MHPDQKKTFKEKNEIRNKLFKSTNAERQDWRKMKDEKKRKNEEKVIREAEEAKKAKIEKVDHTPPFTISIAVPGQFLNNAQSSELRTYMAGQIARAATLYRVDEIIIYDESCRMTDEAVNAYYNGTWQGNLLPAENNYEGCFYLAKILEYLECPQYLRKDLFPIQKPLKYAGLLNPLDAQHHLKYDEKTFRFREGVVLKKRSKEGRGPICSIGLDKEFEIDSDAVSLPPYTRVTVEIKNLTEQCKLYRGSITNGAAVTRETGQYWGYSVRLLTGLQKVLEGKKFDMVAGVSPRGKLATEMNVCILNKPKILLVFGGVAGVDAAVESEELAEWRRAEDAFDMLIRTTSLPNGSRSERVEENVLSVLAQVQCRLETLNQL >CRE24778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:74932:77558:1 gene:WBGene00066448 transcript:CRE24778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24778 MNRLFGVIRNVNMLSSVSKKIPSMPSSMMLRSVRELTNQSKNVYATREVVIGAPELKKGRKIFSVVYLSSYKTVSNKRAQRYASGVST >CRE24779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:86942:90165:1 gene:WBGene00066449 transcript:CRE24779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-psd-1 description:CRE-PSD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NCU8] MDTYSGGFLHPDSVMAINPFLIIVAVWSWVKWLSVSTLIIGGASYIGYLFTPDWREIVDSKHYYSNWKIRVYLSLPFNTASRVIGGLANQEIPIWLREHLLGGFARIYDCRMEECVDPDFKNYRSFAAFFNRKLRESTRPISASPLVSPADGTVLHFGKVEENKIEYVKGHDYDVDKFLGDVELPAKDELDLYQVVIYLAPGDYHAFHSPARWVANQCRHVPGLLLSVRPTLLSHVPHLFCLNERVVLNGSWRHGFFSMSAVAATNVGDIVVDAEPSLRTNIVRRKTQKIMNTETEIHAPFLPGERVGEFRLGSTIVLVFQAPPTIKFAIKAGDPLRYGQSLVADGV >CRE24791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:91384:97828:-1 gene:WBGene00066450 transcript:CRE24791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24791 MFCRDHHTPVYRKKSRRSVSQSRPTSIIESKRSTIVPPIPALIKGQLSGDDSISIGSRKSSVSNFRLSSDGSGDSLLSPLTASSNDRRRSRSLCSAQMKDDAQAVAAQQNKDEKNGSGGRKASSGLVPSLNRLRIQQCFKVAKPSIGDAIMKRAAASRAEMRILLSKMNEKQIECLGKQMWELITDAVENADKSEKVLTHARQFGGTYASLCPLGFRPDLFAPLADAAIAECVKLDGVHKRCETLSAWSQLFSALFTGVRDGYYQRVRHQRRTSLPQNTITKQLSVDFSKTSDSSFVR >CRE24792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:118906:120090:-1 gene:WBGene00066452 transcript:CRE24792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24792 MQSFSSVCLLALLAVSASATTNFDFSGLMKCQSRGIWCFTVRGLEIDTFSDDIIAEYTKCSSAPTSLEHPVEYAMTGVQEGDGILDSTFEVAIQVTHNCTANEQTITTDYIEVPIKEMAFSLGKNFDLNANAVMP >CRE24780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:122032:122895:1 gene:WBGene00066453 transcript:CRE24780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24780 MSGDAVFRKAKTVHRSPSSMVVFFKNTKLTLTQATKVMVMWSCQYTSSQISKEVGISERTTCDWRNFLREICQKTESTYGKIGGNDHIVEIDETNLHSRKYGVGKGTNEDWIFGGKEWIAKLCFQKIYSGIDRDSGKVFMKRVVNRSASVLVPLLQANVEKRSVVYSDEWRSYSQLKRYFTDHYTVCHKTQFINVVGTRRVCTNGVESMWSRLKKTFKAANGTSSALLDSYLSEFVCRENEKDDFFQSVINGRKIS >CRE24781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:129737:133360:1 gene:WBGene00066454 transcript:CRE24781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24781 MRPVLFIPLLSFVICDFIEENSEHSDDFIKPKGWRGKRYVSTVIRAKRWRKHTLTWQLQTQNLMDADVYIVRNTMHRAFNEWSTVSSLEFREIPPELVTKQPPDIYIAFEKGEHSDGFPFDGQDGVVAHAFYPRDGRLHFDAEEQWSLNSQEGVNLFQTAVHEIGHLLGLEHSMDIRAAMFAAKRPYDPAFTLGDDDVRAIRSLFPKKDSGEEGDDVSRNSVEEVTKMTKKMVKIEETLDEEHNDPFDTTTASPSSSPDSFFPFPLPSIEHFQRRNDWFVL >CRE24793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:133637:135969:-1 gene:WBGene00066455 transcript:CRE24793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osm-10 description:CRE-OSM-10 protein [Source:UniProtKB/TrEMBL;Acc:E3NCV3] MCTFDAKXXXXTTTTIKKSSLFTCEHKLAPSELPSTVGNGLLSAVKNGTTPLVTTTTVTKQTTLPPRKLTAQQSRDLSPPKSKNQKSPSPSRAGSGDSGSRKDSGKSEKLAKELERVKLEKTDSRESATLPTPKEYPDSDDERDTNKRALRFGTTTEWQSDNLEKFYKEHGKFPSAEAVKKFGQMFSMTELIAETWLEARRQQTYQKYVEKGLQTEPSVIQFYCESLKIAEGLRLENPSVQTKSFFTLDGVNKLEQKKEEEEKRMPLISVSSNKLYISSKKEEKCQLTIKNDGVVNIVYQISIMHAANYVMSPICAILHPKEDLTLNITRKVTELKLGHQSFSSRRENCSTRSSSVSTTVLLRKALSMRGTLRIVPNSPIARSLRLSRLTRGT >CRE24795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:142350:144029:-1 gene:WBGene00066456 transcript:CRE24795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24795 MSSSSDSDSDSRRLPSAYRLPTETRRRRHRSTSKSSKTSSDEGNSRRCEREGSRRREVEPKRRREENPKGKKKKRKEKKDRPMWKEEGEISGGSDSDSSSASSQSSSSSDSSRSRERKRRRRQWNSDGRRVVERRRRTTLDDTFKCDECGYKPTCLKCPKTITKIAQGNKKCKTKKNFNVAPVTPKPLQIQVTIVGPQIGITLNELVITTAAQRLICALTST >CRE24796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:144206:146809:-1 gene:WBGene00066457 transcript:CRE24796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pars-1 description:CRE-PARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NCV6] MSDAIVKQIEEQGAEVRRLKGDKASTEDAKTEAIQKLLALKLAYKEATGEDYVAPNARQAKPPKQQAQKPEVKKQTLLGVGTRKDDNYSEWYSEVITKAEMIEYYDVSGCYVLRPWSFAVWESIQEWFDSRIKKLGVKNCYFPMFVSNAALEREKTHIADFAPEVAWVTRAGNSEMAEPVAIRPTSETVMYPSYKKWVQSHRDLPIKLNQWCNVVRWEFKHPTPFLRTREFLWQEGHTAYANAADAEKEVFQILDLYAGVYNDLLAIPVVKGRKSEKEKFAGGDFTTTVEAYVACNGRGIQGATSHHLGQNFSKMFEISYEDPSREGERAYAWQNSWGLSTRSIGAMIMVHGDDKGLVLPPRVAAVQVIVVPVGFKTSNKDSLFDAVDKVTKDLVAGGVKAEHDLRENYNAGWKFNHWELKGVPIRFEVGPNDLAKKQVTAVIRHNGEKQQVSMDGIVASVKTLLDDIHTQMYNKALAQRDAHLKTTTDIEEFKKHLDEKCIILSPFCGLPECEEKIKNASTREDGEGAQMGAKTLCIPLEQPKQALPSKCLFPSCTEKAKAFALFGRSY >CRE24782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:148432:150163:1 gene:WBGene00066458 transcript:CRE24782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24782 MALKSVAVLTKGMFGRMPAQLAISSVPSNTIQKRSNYKFVGMPNETDGTLAGDLNYGLHTVFFTELFRGFGVMLGHVFMEPATINYPFEKGPLSSRFRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAETRPDGSRRTTRYDIDMTKCIYCGLCQEACPVDAIVEGPNFEYSTETHEELLYNKEKLLLNGDRWEPELASNLQAEYLYR >CRE22989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:364451:372295:-1 gene:WBGene00066459 transcript:CRE22989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22989 MDPRDYGEWLRRHDGGNRAPTPPEVPLEHHLEHLEELEFGRETRMIEDPAVAAGWQQNWEGRDQVDPADHVEPNSEGEEEEIVEEEAEIDNGDDEENEANQEIDIEFENIDEEEDGLADEEEDEEETEDEEESYEDEDEEEEDEKKDDDDDDQSGQVLVEKPETVKENDRQFIESAMQAGIHNYWDLSEFLSGQDAEHAEHNIVKIHREETAKSDKVLLIGGGQHAGLIVNRFDEKIGYESNADVSLSFSVHLTDKEQKQVQEKRSLYFKLRKNVDLDVGRSVVHKFFRNLLNNLPADRASFMKRAMTLLHKEYTEIAEVIIDYKIVDEDEQVAIPDATQYDSGSELEEVTIGHVQEILEHAYPYGLPTSTISECLRCSNEGTLKFLEELKSNGIVRIVGDEWIRVDTKKGDESIEAHRNANAASATPTSGQGVQSTKAIISCLFVEKQAVDALIEESSTIHKYKSGGESWGNIYTLGKVCKGKIEIGSHRVVATKLALIGDSREAITSAGSITTRLLGNFQNIEHVFIVGVGGAVPHFTDASLHARLGDVIVSASRPHQYVYAHDLLFDRITEQITGFAIRNWDSEDKAIERIVETGGQELVDSWNSATEEAIRLLTSSAGDVEWKAPPESTDVLAMAVSKGNVVVMPHPSENRQGGAEIHLGTVGAMSAMKKYENAIGGEEDTLGQLYRSTVEGLVQLSLNEAQAVLTGDVE >CRE22987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:353466:355597:-1 gene:WBGene00066461 transcript:CRE22987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22987 MSNSNSSEVFDESLSSKVFDNPHLLEIIVSNLTWNCESNLSTRLINKSFNYQFLRIIRRNHRKMKIEFIGEPERSEETAKDWIYINYRKIKKSIINGYFNFLNKVVGVKVEEIITKFLWLPEEMFARNLHDIIYSDLIGGNRGSVRKLIGLEEVCEGCVDCMDMAKRCVEYGPLRFQVLKGIKKPIHYRKLHISDKLLEIVANHCTLNSTTREDCFKKLNNIIRRSISCDTLVLWICEIREHYINGVRENAHFAMPREVLDFMIKKWNVKTIRMNMIACTREKKCYENWIDRGYFTKIKLDDPYWKTGQSGDLKLQHLSVKVSDSYDCAGGLMYSNPKTVYEKNFENYIANLRRLFQMDKISIDCGHWRQKHSASLEEFMKNILRVIQLEKQRKLEVNIQFFTEICSFKVGNSEELAEIPSEYSLLSDRVECIRMSVSLDVVESGPERLNMIKWVGRRFQVKDMDNHFTLNLNIYVKETELRELDNGLMETHPNSLIGVFLQLVT >CRE22986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:350882:352723:-1 gene:WBGene00066462 transcript:CRE22986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22986 MSDSNSSEVFDESLSSKVFDNPHLLEIIVSNLTWNCESNLNTRLINKSFNYLFLRVIKRNHRKMKIEFIGLAERRVGTAKDWIYINYRKIKKSIIPGYFNFLNKVVGVKVEEIITKYMWRATVAFYKYLHNIIYSHLIGDNRESVRRLIGLEDLCKGCVKCADMAKRCVEYGPLRFRMLRRVKNPIHYRKLHISDALIECIADYCTLKSTTKKKCLKKLDSIIRRSISCDTLVLWISETREFVINGVLIRAHFPMPREVLDVMIRKWNVKSVKLNMICRMPEFQCSEKWVDAGYFTNIKVNGPYWKTDQSNLKIHHVSVRVLESYDCARGLMQTNPKTEEEKIYENYIANLRRLFQMDKISIDFGHWRHKYSVSLEEFMKNILRVIQLEKQRKLEVNIQFFTEICSFKVGNSEELAEIPSEYSLLSDRVECIRMFVPFEIVESGPERLNMIKWVGRRFQVKDMDNHFTLNLNIYVKETELRELDNGLMEKHPNSLIGVFLQLAT >CRE22985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:348696:350167:-1 gene:WBGene00066463 transcript:CRE22985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22985 MLKQIFDLPIAIAKYLYSMLCCTTANDDKSHCIVYTDGSCINQGKDSAKAGFGVCWDENYHPNNYSGKVHGLQDSGHAELCAAEHAIKQAVDGEYRSITLRADSELVGHVVRNPEAFSKSSHPDYYNVISSISLVKSQINIKVEYVKAHNGIPGNEKADELAKLGARTASLETVSLLIIFSRSVCLSVCRRL >CRE22945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:340804:344593:1 gene:WBGene00066464 transcript:CRE22945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22945 MGNTNTIPVVSQVKSAVQLVPGDVEGAARTQERFFHEGIGVSQVTAAVYAVTGNAKKAEETFDRGMSAFSSTVDGIPVVGHMKGAVHYAMGDDKKGDRSMLSASRTTGVMAGGAAGFLVGGPVGAVTAGMASGTAFDVGHTVVTDKPQGYIGAVGEFVENPSAGTLFDAVAVPVGDAFTGYQGGKLAESAKSAVQSAKASSTMAQAETALAKAEAMSEGGGYNSGQVKAQYDVAQNLYNKAENIRTGGKPTTVFDPKTGTGGATRSGGPAVIATNSKEQQKEQETCQATTIVSSSSSITYTSPLVSTTSKTCSQRQLSKTEVTYYQVFQSVLEAYAKIDPLIDLSKYIIECKQILKGVGVAVIRTVVEFNKQIFDEKNQQNYDYKTMSVVCALYDSVVAEEYEEDCPLKGYQIVLRVQYETMGSLHILLSHPQDCQTLLKDLDTLSFPAEYQEAFNKHKPLTEGEKDSLYKKAIEKYAKDPSKRAQMLPNELQLIAYLQTQIKPQNYAFHQFYLKDGENGRQQLRNFRVIFNVLTLSGKRVQLCLCLSCTKKPLNSAIVYESPNKEGVERDCYEIITAFIYK >CRE22983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:332841:338591:-1 gene:WBGene00066465 transcript:CRE22983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22983 MSFSVIFHPSPDDKFGEIDNEFQEHAHRLRKSRKLFVDPEFPHNKDSIGTNMEESEDLTPVAWWAPHQLINNYATSLLKTTAAMHSYIENNKAMRAKDPAWKPDSNLFEKALHNCNKIRSTKWSVYDNPWPFHVGEGYLDDAWLLAPLMCIARRKEILEHVLPDRDYIKDCGMVQVRLFINGKWEVLKLDYFIPKSYGVRTIYANIIQCQLWVALIEKAFAKVKGNYGNLDEGSCEEAFTYLTGCPCRSQKIDKEMDEVKLWKELMGYHSNGHLLAAKTSRCDVNSELSILDVKEHDGNRLILIGNSCVDKYKENVELPAYEDEVSSKFDSLDKSLASTKLFWMEITHFIQHFDLIYVCEYRKKWYEKRLTQTVETNIGNVEEWIVLQLNIQERQEISVEVADSLLDKNVYFMSIYKATIDNQYGDLVETVHDWNYSISCGPIFLEPGSYFVVLTEPYDRTNHKFEWIIRSSIPLNTHSISFDRIDKTCLELARQNMHSIIEKYDERETIENIV >CRE22944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:325661:332178:1 gene:WBGene00066466 transcript:CRE22944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-121 description:CRE-NHR-121 protein [Source:UniProtKB/TrEMBL;Acc:E3MW77] MFHETPDWSTSSSSSQQKECTICGAPSNGYHFNAASCSACAAFFRRTVTLNRNFVCSHHHNCRVNYGSDASDLPCLSISKVFEYGNGEVTTVIQTYRTPKNFRAAVQPRRDCNAGRRKIMYNNNNSIKAFPEAPPPPTVIQPTVAMKDEQNYEDSSPEMHYAQSYSSSISEEIAMSPKVSICSNDMSLHFEAEQVLEDLLREERLFNERRKLLYCSNSCISILLTNENANEIPYSLSDLQPLTFAGIQKLIRPQILLIYEWLRGWRHFELLNTRDRMIFLRRCVLYHTILDPSYLSFRLGLPEKFVMFNGMYVGAQPGDKTGWEDEKDCISSDLKTKLYRPLMERLVNEVCVSMNAINLSFVEFVLLKALVSFKSSTCCDVSLPLKKFMNQYMDSILRALNVHYQRLEMTKDEIAQRIGNVILMMSSIFAVGMECLESHQKIQFFDLWQLDDLLIKLIQRGGGAANY >CRE22943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:321834:324215:1 gene:WBGene00066467 transcript:CRE22943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22943 MKIQIEKRQEFVIDVKLRKEQCGLYLQFLNIHKATAENECGELVYNFNTYACQITSDSFFLDPGTYFINFLDVVSLHHPLVTVDWVIRSPSPLTTVSMDFVIIPFKTAIQSVQEVVLKYGKSEKYENGNLIVYTYTNANCFLIMADNLYKWTHIRYNATLLSDKEGVYSGSMLKQTSWVPISPRTRSFVAFLNHIELGVSTSQIKLEYCLSSWFMKWLNWIDASIFSENFELAISI >CRE22942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:318931:320143:1 gene:WBGene00066468 transcript:CRE22942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22942 MSFSTEFHDSKESIFLKGDKECEEHAALLRDIGDVFVDPDFPPTANSLGKIIDDQGNEDFENLNDRFAWFAPHQFQGYAGFPDYGRWSLYEDPWPFHVDQGRLGDCWLIAAIQAIARRKEIVEQILPEREYTRDCGIVPVRLFVNGKWEVIKVDYHIPQDDGMTRGAKNLKN >CRE22982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:308804:311004:-1 gene:WBGene00066469 transcript:CRE22982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22982 MNNLLLIGLLIAPSIYCGQNEKSPRENCSDAIAVARALYAVGWKVVNVNNLRYEESLEPAIYKELSKTGGCEIPADVTIGNYQIAGALHKGNFLNPVLLQPGSTVMACVQSICMKDGKTVTTYIMDTSVDAPIHGEIGSQSDPVKVDPVGNGRRGYVRKDIDVNIPTNILDIPPKIQFEVFIAFDMNNLLLIGLLIAPSIYCGQNEKGPRERCSVDLSVNRAFYAIGSAIANMNNLRYVESLEPAIYKELSKTGGCEIPEDVTIGNYQIAGASFEPGQIENPLLLQAGSTVMACVQSICMKDARTVTTYIVDIPVDAPIHGKPGSGNGRRGYVRKDIDEDMLSKRIDDEILMKDVDAKWQFPQELKMPNPFG >CRE22934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:283407:285978:1 gene:WBGene00066470 transcript:CRE22934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22934 MPLVFNQEAINGILFTLHFQKDTPSIAHQKLMEMAGEEVMNLDQVIEFFKKIDNGEFCLEEEVESLTLLGQVLNIPNFVGKYLDIDLRLRLRKTCKTIRKIINEMPLHIECLQYKCNGNTIEISTNEDMKIIYVIMEGNGLVVTNGNRWKFIHAENNEKQIKIIQRDLMTILCSQKLKIDTLRIENDQISVFDIRGYDDSTQIGKRALWRTLNQVLGKLQVRKLEYLVEEVDDLLIETLEKIDPEHLKFLQLRINRYVRIRAEDWEYLYNLEQWKRLATLTIRFPQLSASDILSFYTHFENALLEISDFFKREDAISKPDVVLQIKQKLLENPNLEQFIICASEDMSDSDFDDINASLQQYNINNAPYPCWISIPDPDSDKKLELLVKKKIIWFKGPCYVKGEWEEDSSDEDDEEDEDEEDEGESMENMVRLFQLLNF >CRE22931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:277724:279167:1 gene:WBGene00066471 transcript:CRE22931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22931 MSLVFNQEAINGILFTLHFYNELPTVAHQKLMQMVGEEVMDMDQVTEFFKKIDDGEFRLKKDIKTLAQVVNVPNFVEKYVDLDTRLRLRKTSPTIREIINGKMLEIDWLHFKCNGLFFEISTSEDFKVTYEIVEGGLQVTNGDREKFFSARREEEQIKIIQRDLMSILCSEKLRINTLRIRNDDSSTSDDDVKPTTAMTILRNTLNQIPKKLKISKLEFWVDELDDVFIETLKKIDSEHLKSLDLLFRYFHSNLTPVWNDLHNLEEWKRLKSIVAGHTRFVVSETIRLYSHVQNARFAIEHFDYTSDNMSIHNSIMELKDKLLQNPNLKQFKMKSLYAMSDSDFENLKASLQQFNTSNTPYPCWITIPYPDSDKKLELLVEKKMIWFKGPCYVEGEGEEVIDDKDEEKRTVERMIMKMRRRLTTKTKRMIRCKRLRCSCCSAETNQLIF >CRE22929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:274041:275274:1 gene:WBGene00066472 transcript:CRE22929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22929 MPLVFNQEAINGILFTLHFQKDSPIVAHQKLIEMTGKKVMNLDQINEFFKKIDNGEFQLKKEVKEVTLAHVLNIPEFDGKYLDIDARLRLRKTCTTIREIINEKMLDIDCFYYRSNRMGIDISTNDNFYVIYKIIEGGLSVVNEDSEKFFNTNNEEEQMEMIQKDLMSILCSEKLRIDSFIIEHSQRNNPKFGMKALRNTFNQLPHKLKVRYLEYVVEENCEVLIETLKTMDPKHFKSLKLWIKLGRHDWIPSWEELNDLEQWKRLKSLHLIFGGLNSLHIIRCFTHLENAHLKIDSFDDYLELTPVHIFVMQFKDKLLQNPNLKQFKVRADRRIRNSHFEEINASLQQYNTNNDPYPCWISIPYPDSVMWRKRRKK >CRE22932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:280049:281617:1 gene:WBGene00066473 transcript:CRE22932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22932 MALVSNQDVVIKEENPEVTLVQVLNVPDFVEQYLDIDARLCLRKTCTTIRNIINEKRLHIGCLNFNCYGMTTYEDDSIEISNENCVEISTDEGFKVTYKIIEEHGLEVTNGDRRKFIHEEKKNEQIKIIQHDLMTILCGEKLRIDTLRIESDHKTNDEDDCYGISHHFYEEDEDEEDKDDKNKDKEDKEKEAKEEVDYEEEGWDDNTQIGMRALQKTLKQLPNKLKVNNLEYFVQELDNVFIETLEKIDPEHLKSLQLRIYRYYICAVDWEYLYNLEQWKRLKSIDVCYPLPAIPDIVNSYTHFENAYLEIADFFLLDGGISKHDVVMQIKEKLLENHNLKQFKLCAYSNMHDWDFENIKASLQEYNTNNAPYPCWVSIPYPDSDKKLQLLVKRKMIWFKGPCYVEGEEEEEREDDDEEEEDSDDDDDDEEEPNFNWDNY >CRE22980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:260243:262071:-1 gene:WBGene00066474 transcript:CRE22980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22980 MAKLMDSRSGPSAPANICLFDTPPSQVAFNKGSWMTYTPSNAVDSKGPYTFNVYDSANFFQLNKTYISFKLKLKNETADEKTAPPSVKYANFIGATFFDQVKVSFNSVPLYDSDHYAYKSYIQTLLGENDETKEGFLTTAGWNDPTSTDGRSLSSKSFLDLYAPLLLEPFQTERLLIPHVNIQIDLYRNKDAFCLETTTGKTAELEVSDMKLHMRAIDVVSSATIALENRLRTTPAQYPFTQTVVKVIGVSEGRFELPFNTIFHDHVPRRIIVGLLSPEISISKDSLKFDHFDVSEIQLNAAGTMYPPQPIQCDFDNKDYAQTLARLYEELGCVSNKTCPKITYKMFRNGFTFFVFNIAPIDTSNSWEMIQTGSTQLLMRFKKKVPTGGVNVMILAQSDAMFTLDRFRNVTVFVDVCLSSHLMEKFGRLDNLSAKRIQDLNQYLLSINILKMWNSCNGLPVDTNSELSLDATPRNHSFLNMVIQTGQGKKSQYSTIQKYFNEKYKIRINYPNSPLLRDRGGRMYPIETVWFRLFLY >CRE22926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:253567:254910:1 gene:WBGene00066475 transcript:CRE22926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22926 MPLIFNQEAINGTLFALHFQKDTPIVAHQKLIEMTGKKVMNLDQVTEFFEKIDNGEFRLAEEIEEVIVEEEKPEVTLAHILNVPDFVEKYLDMDARFCLRKTCFTIREIINKKLLDIDCLYYKCNGNFIEISSNDYFYVLFKITEGGLNVTNGDIEKVIDANNTEEQIELIQRELTSIFGNGKLRIETLKIENCQEYYFDGEPHIGMTALRNTLKQVSNKLKISNLEYCIEEEDEVFIETLKKIGPKRLKSLKLSIIQSLHNWIPNWIPNWEDFNNLEQWRHLKLLDVRCPQLTVPDIIRFFTHVENVYLKIDSFYNISNNTSLHNSIMELKNVSYLSDMKLLKNPLLKQFKIRADKKIRDSDLADIKASLQQYNTNNATYPCWVNIPYPDSDKKLQILVNKNVIWFKGQCYVEEEYKFEQ >CRE22921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:232144:241456:1 gene:WBGene00066476 transcript:CRE22921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22921 MPPTSSCLNEDYLEGYLYCLHSQGVVVTDAHAQLLAFTRTEIFDLLKVLTLFRSVQRGRYKMRHVEKLVLAEGSQVSENALMEMIALQTVDDFTSYEKIYEDVATCYNWQHIFDRQDVDGHLSRAENREYQLEEVERDYNPQALRGFLICLKSQDVSAKEAFDQLNKAAPEQQIFELKDVKKLFERVDRGEFFERKWPRETWLDKLPDVVNSRIVQKLDLMSRQALKQTCKRFNEFVDREKCVIDSLVITQTQDSVEISTYPRDIFYKKFVQTEKGYAYEDTERMVYNDGRIVLKEKVKGNLKCIFGIPNLRINNLYILDPRYCNFDVYRNLAVENLYLRKVNLVRIHSILEALTPGVLKKVVYLPADYLLTETVYDMDQWRLADSFVTYRTRKEGVVERLGHFCFGKIEITNAIDLHTVLQLRQRFLQNPHFREFKITIPPLGGQKFAVFNMNLGVYDTRRSDPWAHFHYPAPNQHKRVSIRIFDELIWFKGPEYIEGESDRVEKVPKVQRVYSMNGLEYRDRAQYLANKLCHYTAHVEYEGYIGFRDVHRLVEILLENPKFKEMRINCRLTQYNLDRIYKLGIAMPFTVGCEIMPFKQYLYPPPIEKKSLLLFVKKDFIWFVGPTGCLEAVGGAHDMGGYVLAKVRNFKQIENRDLFV >CRE22920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:223267:224151:1 gene:WBGene00066477 transcript:CRE22920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22920 MSWPHLKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINASKTKVLRNKFASSHQIHIRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTLTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKMSQVKDPLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE22978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:216969:219454:-1 gene:WBGene00066478 transcript:CRE22978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22978 MSSPEPNAALQENSVQEFGTPPPEEQSPLVRIPITCKDKLMKYLNFREKMKLRKVCKDLRTHVDTTEFAFHDFHIHIRFHEVHLDSNNGRFEAFYKQIEEMKFAVSNNIKDGKNDRIFDFDELSNILENDLNIIFANSKRTVHSLTVTVDVNEEPKEELALLDHTAVFQCLNEFLSENGRRLKVRTLNIQFYEYEQVWSILLHLEPGTLKSMILEYSYSGCIASLDYFDEVFQTEQWKAMKEFESYSILFRWEYVKDFAHFDRVDMFQVEQFCVDHVERLTEVLLRNPSLDQFSLNTGSWRSDPRRPVDVINHRHNVYNYTKPSEPGWIYFPYPESDKKLALKIDYEWMIFRGPCYVARAGDDKIIEEDEEDEEEEAGENNGDNNAEENPPV >CRE22975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:205954:211297:-1 gene:WBGene00066479 transcript:CRE22975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22975 MSTTGPRRSSRASIPAKFYDNTPVVKKKKMTPSPSPPTPVKATVPPKMPPPPPPKTRGYSIPKSVQLPIQKMNYDAHRVRPKTVTARTDTGIPLTMYSNQSLDSLLKTDTTNVVGEILQKDIEDLRARGLDTMPIKQLLSKNFRNYKGRSSLTSERATEIVHRTLSENDVPPGAVISGPPKIPSSVKLGGHRNQATGSELVWRMVQEDRPNVHQTLQSVLEGITVVKEEPAASSASPRIAGAPSNPVKSEAELGLDEFAYHLLQSTAHSASPDVDHNAYPGNHLPFHVIWKHVPPYTQGDIYCQVDDVTYTQMSAIIKSGVFLVPLDKPVGFVYNEKVIEITLEELLTANGVNLGDSQHYRMVQGLFQKAGVHIREDYADRLIQMQRGIRTDAPPIRLSADKLPLMCIHPDIYMNSLGRRIHGAIIVDTSGH >CRE22973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:185163:196186:-1 gene:WBGene00066480 transcript:CRE22973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22973 MSEENKIELEEEKRGDDPTTRSESSIESKSDQDDDERETSWQSIYLLTAIGMFCGIQFSIFFPTLWPFLNTVDPTASASFFGFITAAFSVGQGLASPVFGYWMNKAKSVRQPLVFGISIMILSNIIFCFVEGFPEKQRRWVMMVARFFIGVGAGTIGVMRAYAATASSLKDRARAITFIQASYVIGMTFGPDSYTAMPTFDTISVAVCVLTQFTLMFIITNLETIGSLYAKMMWGWTNAQAVEYTGILQAVNGLVGVLVYALFAVKLGDYISQARERIFTIFGLALGVLYHVVTFPYPWGKDLHFELRNVTVHGKNETEELGCNPDKYRWCHYTKDVNFWIYASMYGVVLAACFPIVNISMNTLFSKILGARRQGTMQGIMLMAGSFARTVGPILVSWIFQEWGPEPIWGIEIFTLSVTAIFWIIFYRRMTPLNSNPKLNPYEYFHYAKGVLYRI >CRE22919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:180630:185011:1 gene:WBGene00066481 transcript:CRE22919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22919 MNGVQITIRSLKTSAKSNGWVHKWSRFKRWQEMHAAHAENVQEKQRNQNFIKLPTGLYITPERPDDLTPKKAPADMTRKDTGQLPMELLTWHTQMRYVDHSIDNIRRYRRYKNFQHMQYDQRVIPERLLFLGADLAAAHFLVHRGAAVKFVGDDNWYKKDKWNNYSLPGRKVDNLFIEAIDASGTQIMFEGLENLENLQHLRLLRLANCEWIDDWSCGRIGGLLPNLEMLDLSGCPRISSKGLMGLKNSKKLKFLRLEGLEDVKNLGKSALILEDLIPSLTVIGMDYEHAFRQIEAENRLLEQERVVIDGRGNAFVEDDNARLFMVMSSSDSGEKAVTDDNDNPIMTSTVRREIPKMSDQEFEEINALSGGKLRHLLVGSPSGYEWNETTEKILEHEASLNLADDVITDPKMLPRGQRPEFVAPEYRSRLDEAKMKFFEEFGDFEEKKTEKIEENRRKSLL >CRE22972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:177018:180086:-1 gene:WBGene00066482 transcript:CRE22972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22972 MVLTVADAKKLTVPRLKEELAKRGLDSAGNKPDLLARLTESIEQAAEDLILSDVDAHHLLSDDILNDDILDAPSIDGDLLGETTTTNSVGEVGEEAESEVGGEKKEVVVLVDKPVDVETVEKAKRERAIRFGLPVTAELVGSDSAKAARAKRFDLPEDAKRLGSDEAKAKRAERFGLQNDTKSAEKDDKLAARAARFGLPVGGASGGGAKTKDAKLAERAKRFGGGVDDEEVEAKKKARLERFGGGK >CRE22971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:168677:175143:-1 gene:WBGene00066483 transcript:CRE22971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22971 MESADAEIEALCSIWDGVKVEKSLEDENKKRCVKHKIKSLEDEMTSASVIIEMTVPEGYPSVSPLVKLSNPRGIGEPEFQKLQREIDRIVEENSDEMPIICEIFQHCSDYLTENQHVNMDCSICLLCLSTSPIHVTQCDHFMHSTCFCRYLDTCSADFQKEIREAQPHMKERVQTNILCPVCREQLTDDNTIHNYKSNIQEMAKLTKQNQKTLRNKKRRASTTTEDVQRTMKRWHEEQRRLQKIFEKQKKKGGIIDVEEDKKRREFYIETNREGDEAD >CRE22970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:165920:167393:-1 gene:WBGene00066484 transcript:CRE22970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22970 MSKKSFDDTIDALRRLSQPRPREQVERLKEIQMQTRMIGEIYGIYGGGGGGQSLKLTPKPAPQELTFEEKRRLLQAEYPNKVSMERRRKSREALNQMAKNRYLFTVESSSNSEEEESEKPKTGSNFSKMSYDAAYSSKTQSPSDSDGTVYNEPSTPVYHNEEDDSDVKTPVYSD >CRE22969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:162365:163445:-1 gene:WBGene00066485 transcript:CRE22969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22969 MTEQPFKLFRLPAVALRNVLQLMNPIELFDLSQCSRRALSIIPLSGSRKFKLLLNQRSCSIHILAPSNIKSFRMYENSYQSEHILHGTRTFMDSTVKISHYSEDELYSFWDDQFVGFKTVFFHLSKIFNCAIESARFDSTPAVINMPIIDLIISRQSEIKELYVDSKNLSDKYVIEIFNKLRVTDHLVICHQFSMPPPLIPFNSKSIDIWKSYWVTTEHLNSMRNSTVIQLNWSTLTDRDMIPFLNNWKSGQFPNLQYLFIKSNFLSKSFTAFGLPSLQDTVNPQDHRRIIFNYQRSIHGGVDVQRDDGVVAKVRFDNGEGVLQILVL >CRE22917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:154162:156971:1 gene:WBGene00066486 transcript:CRE22917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22917 MTEAITNDQALYLNIERLKIIDTPPQTNKGNGNNAPETVEEVSTEQESGKGSEENPGAENNNDPAPEMEEDNSEIDFGPDIIGVLMEEYRPMTENEIREMEREGAERKTYLDANNLGDRPDIAKGPESAVYRTIATEFPGMKCCQVRWLYFDCKKLLYIPLKGKDSQLIEIKHRLLHGIELDREAQRIYDAYLVKYNFETPLDCNNGNVKFSERRSTDTEDESDSPKPLVLNGLYKVNKKNSRIYSVYWRNESMKLVRGTYFKFNGQPIEEQLTKDIETHLERFYDEMFRMEAPVKDAESETLPIPSPLYTHDNLIEWTSLFDLTIQGPDQNRTSLVRYHERASWTDDYPKIEHLVFVVHGVGHNGKEQSIVECAKLLTDGVDNAVRKSSGIIFLPIHWRSLIENEQSCENDLEQDFHPFINFVLDDVKLYNSRNHGPKIRQIVIERIRDVFQKFKDNNPEFNGTVSLFGHSLGSVICYDILTMESLKSEKNSFGFKIDKLFTVGSPLKKFLQKRGGASREEFLRAIDSIRIYNVYHPRDVVARRLEPFASEMYRVMAPLEIPTFNGLVVSNRSFTLLKLVASLVWNPVRWMWMNWKRALKRRERKLKKELPYRIDYELQKNDLWEEVYSHSIYSSHVGLAFFMLNTLRNRSSGESEKVEEEKNNSSNESNKEIIYVYILKASPRRRKRSITI >CRE22916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:148111:149191:1 gene:WBGene00066487 transcript:CRE22916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22916 MTEQPFKLFRLPTVALRNVLQLMNPIELFELSQCSKRVLSLIPLSGSRKFKLLMNQNSCSIHILAPSNVNSFRMYENSYQSKYILHGTRTFMDSTVKISYYSEDELYSFWNNWYVGLKTVFFHLSKIFNCAIESAKFYSIPAVIYMPIIDLIISRQSEIKELYVDGENLSDKYVIEIFDKLRVTDRLVICHQFSMPPSLIPFNSKFIVIWKSYWITTEHLNSMRNSTVIKLNWSTLTDRDMTSFLNNWKSGQFPNLQYLFIKSNFLSKNFTAFGLPSLQDTVNPRDHKRIIFGYQISIYGGVDVQRDDGVVAKVRFDKENGLLQILVL >CRE22965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:144828:145927:-1 gene:WBGene00066488 transcript:CRE22965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22965 MNQHRPFTIFFLPAVALRHVFQFLNPIELFEISQCSRKATNIISRTIRFEMSIESSSGSMVFNNYSFMAIGRKNRQAEYPPTGIRVFDGNTESADVCYLSENELLIYWDCPYIGFKTVLSHLTHLFNSTTSHLGLTNMSMPPAICLSIVESIRSRQTVIDSLVICSTTMSVEDISRIVNRLKVIEYLEIYQDPPRDLNISFTSKSVYITFSSWITLKHLNSMKHCTVIRLRESTLTDEDMTSFLESWNSGECPNLQYLSIKSNTLSKNFTVFGLPSLQDEVNKQWFEKRICGISPIIYCPVEYKRDDGVVAKIHFDKKDRDIQLMVM >CRE22914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:143272:144353:1 gene:WBGene00066489 transcript:CRE22914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22914 MTEQPFKLFRLPTVALRNVLQLMNPIELFELSQCSRRALSIIPLSGSRKFRLIVNKSSHSIHILAPSDVNSFRMYSNSRQSKYILHGTRTFMESTVKICHESEGELLSFWDDYFVGFKTVFLHLSKIFNCVIESARFHPAFPAVINMAIIDFIISRQSEIKELIVDGENLPDEYVIEIFNKLRVTDRLLIRHEFSMPPPLIPFNSKSIDIWKSYWMTTEHLNSMRNSTVIQLNWSTLTDRDMIPFLNNWKSGQFLNLQYLSIKSNFLSKNFTVFGLPSLQDTLDPQEQKRYILGLPRTIHGGVNVQRDDGVVATVHFDNGEGVLQMMVL >CRE22913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:141746:142814:1 gene:WBGene00066490 transcript:CRE22913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22913 MAQPFEILRLPTLALQNVLQFLNPIELFELSQCSQKTTSIIPLAGTKKFKCRINVSSEYIAINNYVFRVERNSCKTGSELRGDRKFIGTIVDVAHISEHEIMSFWDNIYIGLKHVLFQVTKVFGCPIDSFESSRTMSGEIYNLIIDFISTRQSEIKKLEIAADSLTDEDVMRIFGDLKFTEDLEMWYRFSRSQTIPFNTQSVLIWHSYWITPTHLSAMKNCIIIDLKQSTLTDDDMKWFLESWKSGEYPNLEYLSIKSFKLSRSFTVFGLPSLEDNVNPHFFKKFVLGEHRIVYGAIDIQRDDGVAAKIHFDIKDSIVDLLVL >CRE22964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:139922:141011:-1 gene:WBGene00066491 transcript:CRE22964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22964 MTEQPFKLFRLPTVALRNVLQLMNPIELAELSQCSKRALSLIPLSGSRKFRLLINQFSSSIHILAPSNINSFRMYSNSTQSKYILHGTRTFMDSTVKISHCSEDQLYSFWDDQYVGFKTVFLHLSKIFNCAIESARFRPTTPAVINMPIIDLIISRQSEIKELYVGGENLPDEYVIEIFNKLRVTDHLLICHEFSMPPPSSIPFYSKSIDIWSSYWITTEHLNSMRNSTVIKLNWTTLTDRDMMPFLNNWKSGEFPNLQYLSIKSNFLSKNFTAFGLPLLRDTLNPQEHNRHILGLPRTIHGGVDVQRDDGVVATVHFDNGEGVLQMMLLE >CRE22912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:138271:139359:1 gene:WBGene00066492 transcript:CRE22912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22912 MNQPFTIFFLSSVALRHVFQFLNPIELFEISQCSRKATNIISGTKRFEMSVESSSGSIVFNNYSFMALGRNRQAEYPPTGIRVFDGNTESADVCYLSENELLIYWDCPYIGFKTVLSHLVHLFNSTTSHLGLKNVSMPPHICLSIVELIRNRQTEIDSLAISSTTMSVEDISRIVNQFKVSESLEIYQYFPSDPNIPFTSKSVYISSSSWITLKHLNSMKHCTVIRLRESTLTDEDMTSFLESWNSGECPNLQYLLIRSNVLSKNFKVFGLPSLQDEVNKQWFEKRICGISPIIYCPVEYKRDDGVVAKIHFDKNDGDIQLMVM >CRE22963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:133733:134809:-1 gene:WBGene00066493 transcript:CRE22963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22963 MDQPFTVFLLPSVALRHVFQFLNPIELFEISQCSQKATNIISRTIRFEMSIESSSGSIVFNNYSFMVLGRNRQAEYPPTGIRVFDGNTADICYLSENEVLIYWDCPYIGFKTVLSHLTHLFNCTTSHLGLTNMSMPPAICLSIVELIRSRQTVIDSLVICSSTMSDENVSRIVNRLKVIEYLEIYQDPPRDLNISFTSKSVSISSSSWITLKHLNSMKHCTVIRLRESTLTDENMTSFLESWNSGECPNLQYLSIKSNVLSKNFTVFGLPSLQDEVNKQWFEKRICGISPIIYCPVEYKRDDGVVAKIHFDKNDGDIQLMVM >CRE22911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:132179:133263:1 gene:WBGene00066494 transcript:CRE22911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22911 MTEQPFKLFRLPTVALRNVLQLLNPIELFELSQCSRRALSIIPLSGSRKFRLIVNKSSHSIHILAPSDINSFRMYSNSRQSKYILHGTRTFMESTVKICHESEGELLSFWDDYFVGFKTVFLHLSKIFNCVIESARFHPAFPAVINMATIDFIISRQSEIKELIVDGENLPDEYVIEIFNKLRVTDRLLIRHEFSMPPPLIPFNSKSIDIWKSYWITTEHLNSMRNSTVIKLNWTTLTDRDMIPFLNNWKSGQFPNLQYLSIKSNFLSKNFTVFGLPSLQDTLDPQEQKRYILGLPRTIHGGVNVQRDDGVVATVRFDNGEGVLQMMLLE >CRE22962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:126031:127114:-1 gene:WBGene00066495 transcript:CRE22962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22962 MTEQPFKLFRLPTVALRNVLQLMNPIELAELSQCSKRALSLIPLSGSRKFRLLINQFSSSIHVLTLSNINSFRMYSNSTQSKYILHGTRTFMDSTVKISHYSEDQLYSFWDDQYVGFKTVFLHLSKIFNCAIESARFRPTTPAVINMATIDFIISRQSEIKELYVGGENLPDEYVIEIFNKLRVTDHLLICHEFSMPPPSIPFNSKSIDIWSSYWITTEHLNSMRNSTVIKLNWTTLTDRDMIPFLNNWKSGEFPNLQYLFIKSNFLSKNFTAFGLPLLRDALNPQEHNRHILGLPRTIHGGVNVQRDDGVVATVRFDNGEGVLQMMLL >CRE22960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:118114:119061:-1 gene:WBGene00066497 transcript:CRE22960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22960 MFSLLILSYPFLFKTSQPFKLLRLPTVALRNVLQFLNPIELFELSQCSRRVLSIIPLSGSRKFKLRMNQFSSSIHVNGYSFRIYKNSSQSEYTLHGTRIFMESTVKICRHTERELCSFWEDRHVGLKAVLFHLSKVFNCHIECGRFTNTIPAAFYMSIIDFISSRQSDIKKLHVCGRNLTDKNVTAIFNKLRVTDRLVIGHQFSVPPPIPLNHSKSIDIWNSCWITTEHLNSMKHCIVIQLDCSCLTDQDMTLFLNDWKSGQFPNLQYLSIKSSFLSKTFTAFGLPSLQDTVNPQFHLKT >CRE22906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:116231:117312:1 gene:WBGene00066498 transcript:CRE22906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22906 MAQKPLKLLHLPTLVLRSVLQFLNPIELFELSQCSQRALSIISLSGSRKFKLHMNEFTNSIHVNGHSFLIHSNDSPSKYPLHGTRTFNESTVKICHQSDQKSKQKLISFWENKLVGLKTVFFHVSAVFNCPTENARFSYTIPAAFFMPIIDFIISRQSEINELCVGGENLPDEYVIEIFNKLRVTEHLVLCHQFSRPPSISFNSKSISIWNSSCITTEHLNLMKHCTTIHLGRSSLTDRDMTSLLNDWKSGQFPNLQYLSIKSNFLSKNFTAFGLPSLQDTVNPQFYARTGFSILGSPRVTYGAIDFQRDDGVVAKVCFDNQHGDLQILLLE >CRE22959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:112187:113250:-1 gene:WBGene00066499 transcript:CRE22959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22959 MSLQPFKLLHLPTLVLRNVLQLLNPIELFELSQCSRRALSIIPLSGSRKFKLQINQFSSSIHVNGHIFRIYCTSAQSIYIPHGTRTFMESTVKIYHRIEHELASFWDNKLVGLRTVFFHVSKVFNCPTECARFFYAIPAVIYMSFIDFISSRQSEIKELTIWGDGLTDKHVTEIFDKLRVTDHLEMSHRFSRAPSIPLNLESIVIWNSAWITTQHLNSMNNCIVIHLDQSTLTDSDMTSFLNDWKSGKFPNLQYLSIDSDFLSERFTAFGLPSLRVTVNSQMYERTILGYSRFIYGGVDVQRDDGVAAKVYYDNNGELKILLL >CRE22907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:120702:121768:1 gene:WBGene00066500 transcript:CRE22907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22907 MTPQPFKLLHLPIVALRKVLQLLNPIELFELSQCSRRAFSVIPLSGSKKFKLRINQFSNSIHANSYTFHMYHNDLQPAYILHGNRTFMQSTVKMRHHSEREIISFWDDRLVGLKAVLFHLLTVFNCTIECAMFSQRVPAVIYMSMIDFISSRQSEIKELTIWGDGLTDKHVTEIFDKLRVTDHLEMSHRFSRAPSIPLNSKSISIWNSAWITTQHLNLMKHCIVIELHRSTLTDHDITLFLNDWKSGQFPNLQYSSIKSSFLGKNFTAFGLPSLQNTVNPQYYARTIFGSPRVIFGAIDFQRDNGVVAKFRFDDQDGDLQILLF >CRE22958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:96502:97566:-1 gene:WBGene00066502 transcript:CRE22958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22958 MSLQPLKLFHLPTLVLRNVLQLLNPIELFELSQCSRRALSIIPLSGSRNFELQIDQFSSSIHVNGHIFRIYSTRAQSIYIPHGTRTFMESTLVGLRTVFFHVSKVFNCPTECARFFYAIPAVIYMSFIDFISSRQSEIKELTIWGDGLTDKHVTEIFDKLRVTDHLEMSHRFSRAPSIPLNLESIIIWNSAWITIQHLNSMNNCRVIHLDQSTLTDSDMTLFLNDWKSGKFPNLQYLSIDSDFLSERFTAFGLPALRDTVNPQMYERTILGYSRFIYGGVDVQRDDGVAAKVYYDNNGELKILLL >CRE22902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:94495:95561:1 gene:WBGene00066503 transcript:CRE22902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22902 MTPQPFKLLHLPIVALRKVLQLLNPIELFELSQCSRRAFSVIPLSGSKKFKLRINQFSNSIHANSYTFHMYHNDLQPAYILHGNRTFMQSTVKMRHHSEREIISFWDDRLVGLKAVLFHLLTVFNCTIECAMFSQRVPAVVYMSMIDFISSRQSEIKELTIWGNGLTDKHVTEIFDKLRVTDHLEMSHQFSITPSIPFNSKSISIWNSAWITTEHLNLMKHCIVIELHRSTLTDHDITLFLNDWKSGQFPNLQYSSIKSSFLGKNFTAFGLPSLQNTVNPQYYARTILGSPRVIFGAIDFQRDDGVVAKVRFDDQDGDLQILLF >CRE22961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:119388:120382:-1 gene:WBGene00066504 transcript:CRE22961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22961 MSQPLKLFHLPTLVLRNVLQLLNPIELFELSQCSRRALSIIPLSNSKYFKLRMNNNYSSILVNDHAFRVRRNYQWAYILHGTRTFKGSAVKVSYHSEQELGSFWDDRIAGLKAVLFHLSNIFHCPIDCTRLPPGLRESIIDFISGRQTEIKELDVGGRNLIEEHRTNIFDKIRANSKSMIIFFSYWVTLEHLNLMKSCIVITLFQTTLTENDMTEFLKSWQRGEFPNLEYFYIRSKQLNKNFTAFGLPSLQDSVNPQKYAKTILGSEREIYGGVDVQRDDGVVAKVCFNNENGDLQILVL >CRE22901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:89842:90920:1 gene:WBGene00066505 transcript:CRE22901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22901 MAQQQPFKLLHLPNLTLRNVLQFLNPIELFELSQCSRRALSIIPLSGSKHFKLHMNQSFHCISVNDHVFRIYNNRSQLEYPLHGTRTFMESTVKICHESEHELVSFWDNGYVGWKTVFFHVSNVFKCTIEYARFSNTIPAEIFISIIDFISSRRQSEIKELTIWGDDLTDENVTEIFNKLRVTDRLDMCYHFSMPPSIPFNFLTKSIYILNSSWITSEHLKSMKNCIVIHLDRSTLTDRDMTSLLNDWRSGKFPKLQYLSIKTNFLSSNFTAFGLPSLQDTVNPEFYARTILGSPRVTYGAIDFQRDDGVVAKVCFDNQDGDLQILLL >CRE22955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:71854:77569:-1 gene:WBGene00066506 transcript:CRE22955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ral-1 description:CRE-RAL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MW06] MASKKASGTLPPQQQVVHKVIMVGTGGVGKSALTLQFMYDEFVEEYEPTKADSYRKKVVLDGEECSIDILDTAGQEDYSAIRQFQTFFLQVFYDLMREMKRRKGGAATQIGIDATANSGRKKRSGIKKHCTIL >CRE22900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:69116:71532:1 gene:WBGene00066507 transcript:CRE22900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22900 MADHENGDQKPLDPAPQNQEMHSQNNNTPVPQPPQPPQSHHQQNHQNSQNQDSHSHKPQSQQQPQRDDVCRDFLKNICNRGSRCKFYHPSEAPPASDHDYNFCIDYQNRGCQRDNCRFVHAPRDEVERYKNTRELTLILARAIAAVGHGDTIGGIPICKEFQTGRCARGVNRCRYWHVNVDLERDRRGRGLPPSNEFGGPAGPIGGGSGGGGGVGRFPPMGYGGGGGAMGAGAGGRRRPYDDYDSDPIKRGRYAPDDRLMELEKRNAELSKEVDSLRRELQREHDRYEDLYALFRQQSGGAPAPPKASATTAGVGGDYYGAWGQKAPGW >CRE22953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:50891:55403:-1 gene:WBGene00066508 transcript:CRE22953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22953 MSDELAGNSEGCSTSHKLENEKEESRESSNQREQDETDGSMDDGEEFQKQAEILLANFLKEAGLDDSVLEMASFKAWVHHLNSAVRLPNPSAKKPKLDVTNTEKVSEEQPNSVSPGAHNEIIMEDTDNESKIIADSTDGMVPDESEKVIDRTIQKEPPAPGLTPCIICGNNVTTHQSVNMTANEAIKALMAAVYCEKIRLEAAEAAVRTTRLKMCTTHHDHLYKWMCEAIGVKTVNDVDSIPGQDLAGVLSVYRRLKGIRDAFEKRIPSNTPVGAFKMAIKSYYRNYVPRKQGIVRSIKAIERIVKEDPFNQSFRKDLHNNNVPEASSFASNDPDFEQQQQERRTSRREDWANDEIMRPMAAVYKEGDAKRRKRILHLCQQMNPNLFPVRVVQPQPILPRPDFSPRMAKTVPVGYDQQTPIPNYVKVEGKVYKTVMAVRRPILKRSFSSTQSLPATSKSCDEQKPAYQEMVENEPDFYPPGEFPFSTAPIKTEEPEDNVITPSVNYENREVKQEIEEIEELPSNCVKSELDLEPMNDECVELRHVTKYFTNSGVIFDEKTTVGSILGV >CRE22899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:49765:50597:1 gene:WBGene00066509 transcript:CRE22899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22899 MSPYDTSPWATRALFIVVTLLAQLTYSQVLTPSQITISHRKPIHATSTCGEIQGQPVTEIYCSLTGSSQYTPLNSYSYQEEDQQRSWSPDNAIVRGGHGCGLCNAGNENSHPASNMVDGNNSWWMSPPLSRGLQHNEINITIDLEQEFHVAYVWIQMANSPRPGSWVLERSTDHGKTYQPWFNFAENAAECMRRFGMESLSPISEDDSVTCRTDQANLQPLENAEMVIKILEHRPSSWKXXXXKLLGRYVTFVTFRFQCKIV >CRE22898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:42873:43868:1 gene:WBGene00066511 transcript:CRE22898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22898 MTNDVIDYKSAVCNFNSNKTFIQLDKSTANDITCIWSGKVDDNNRRVFEWKFDWSIIRNRRVDELTGYIIVQSVHNQFPPITLEVKVTEKIRAVREKMRTEYFNTNITYKYSLVPHYVTEPEKSSYEEMFLPSERNDTVLVVEGKKLHVSKAFLSYHSDYFRALFSSNYKESQMDEIPIKDVSFEDFGLLLSSFYPNPVFPNDDTVHKLLEMSRRFLVLSVINIIEYHLLNNSKIDNKKMLWLADEYGMPTILEKCIGGMTVEKVKNLANYPEYGKLSDSTKAILLDRLVKMIIIEK >CRE22951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:40240:41238:-1 gene:WBGene00066512 transcript:CRE22951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22951 MSNDVIEYESAVCSIYRSKYYIQLETNVANDITCTWSGKDHSSYSKKIVFAWKFDWSIIRNRRVDELTGYIIVKSVENHFSQISFHVKITEENRTFMKEVATNYINTNITYKYSLVPHYVTEPKTMRCFFYDEMFLPSEQNDTVLVVDGKKLHVCKAVGLYEKFLSYHSHYFRALFSSNYKESQMDEIPIEDVSFEDFGLLLSSFYPNPVFPNDDTVHKLLEMSRRFMVLSVINIIEYHLLNNSKIDDTKMLWLADEYGMPKFLEKWFGGMTVEKVTKLAKSHEYGKLSDSTKAKILGRLIKMI >CRE22950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:24978:27998:-1 gene:WBGene00066513 transcript:CRE22950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22950 MLSKLVKLFLFLVYVYWDHRNQQEFNLLYDFSMFIGISFLIWNLPEDEIPEGFHVHIAICCLITLLLQYLTDYYFPTNKKTLWELGNRNNKKFLCVAHSLAVYRDGCLIEYMPLFFSHIFDFCTVTLQYSYSMIIDWGTVILFSCFLFQLIAILIGFFWSKQPVQPDSEDEAVVDDVGVDSGENQENQELENSENLEDVGTQEPPQEKGIRIPECRICLIPYSSSAYSTPRALQCGHSICTNCAVTLLEESNYLNIECPFCRKFTKEKKIESIKRNYAVMEIVEKLSRGIRFNPKCFRCHSGYNNLLTECTPRVQMECGHTLCEICIRTRSTRLLRCPECFLTGLGGQVTVWQSAKRLVCRMFGKNRNEQICEKEFPKNFVILEMLESFPCDSTCDSTHDSTDYCTD >CRE22896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:20715:22170:1 gene:WBGene00066514 transcript:CRE22896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22896 MFTKALIVLTQVISIVTTVILAYNYAKDYEAANPPPPTLSPENVETFLKIRPPFFDITTPKPSPGSPFIPFSTDFRRIFPIVIMFIKLSILCAERHANTPQLQKTHQNLIFGYGGIVTCAVISQFLVMVFRNDLKVVYSILLMFNLSPIPFFLFFICDQLPKYEIKNSDEANLFKLKIAGIQLSISLLLSLLFLVISGFETSKLIFMVTMWYTVFFSAAISEFCAIYLQMATLSKQNKLKILNRKNPEGMSVGVSINPDVHFFSDHQDPVNVPRWQENSVKTENEVDPPTVHLNCKVCTQPYSTITTVTTPRILVRCGHTVCQGCIQNLMDPQQHQVICPFCRKDTSVPDGLVEELPRNFAIWSWWRRHVIVDRKLEKN >CRE22949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:16048:18274:-1 gene:WBGene00066515 transcript:CRE22949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22949 MFTKALFVFTQVISIVTTVILAYNYAKDYADANPPPPPTLSPLSLELLKIRPPFFDITTPKPSPGPPFTYFSGLFIRFFPTVIMFLWLTTVCADRQTNTPQIQKTHRNVIFGYGGIMVCSVISQLVVMVWRNDLRVSWVTLFKQNLQKIYFETFYLVVYSTLLMFNLSPIPFFLFFICDQLPKYEIKKCDKANLFKLKIAGIQLFISLFLSLLFLVISGFETSKLIFMVTIWYTVFFSAAISEFCAICEYGIQLRDEGERIGVYEQQERGGAIIYRYVGVSINPDIHIFFRPAYGHPHNSNIVETKQIEDPAPEKSRRYVYRCVHKSRCPFFRPPGSGRRTTMASMIAASGNSVKTENEVDPPTVHLNCKVCTQPYSTITTVTTPRILVRCGHTVCQGCIQNLMDPQQHQVICPFCRKDISVPDGLVEELPKNFAILELVEKEN >CRE22948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:8542:10083:-1 gene:WBGene00066516 transcript:CRE22948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22948 MVSDGDPDFVKEKVSFLNIRKETREMFLILARDDTRKMLKTYAKANASTHESIMKPFIDLIVSTFQTLEAKEKDYEELTKEHDSEMDENIELIRENMQLQDEINFLHELLAEKRKEENMKSVGVIKGVQTEEQFCDKVHISNSNVEKVTVSTETKCSNDSESENKLIDYKTERKCLSEGQGIAKIVFENELDYSEDRVSMKAGNAKEIFVMKSNKGLCCEDIKADEIQSSCTKSTHEKDENIGVTDRCTEANTSDDKLCSEDQTPGKAQRSVTFTQYETKKQSVQRKIVSEVINSNVNLVKDQEECDVQDTVLEKESMQLLKNMLVVENTKHDYKARSVNSTGGDSKEDEAKRKKVDQKAEDTVTCPGPPLNSKSSFLTSYHTIQQTFSGNNTENVVKKNNSSDFKIPNPGKPGLKKKGDGACGVVFQMKTKRRKREEPRPRTDPPNLSMTHVNDEIISDEFSVTVACDQNVIRSHQSTSDQLTCGETKSKRVEVEPRPRKDPPQAILLNWRP >CRE22947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:2971:6219:-1 gene:WBGene00066517 transcript:CRE22947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22947 MEVVCTEDWRTYTPFAVKGWSVSRNSKFSPYAGWGRYQKPPTGAARSLETGKRKKPVSLAPTQAGDGIRSLQQEQQEVWRQVKGRNLLERTSNSEKEGGVAAGVATSEVGTWDDHRVIPPTNACGTGEASTVIHTQPKDASGVSPSISQNPTETGGMSGVGSDANLIVNQLKEIGEIRDLKSLSDIDGTRRHQLLGYTEELVKATEEDNEKDSVPRVLTETAREDCNVSASANKDRIATIDEKTSEDDKKRAFPTARKNLATTWDAVETIQAEQPNNLVSRTGQMNRTIFEASRTSQLVDSPSTKIQCLERKVSETCLCSGVVDMVGKWDDYRVISPTTPTSPRARSLSSNRGLRPQILHTPVVVRQQGVSRDESAADMDLSQRLIDASEGILENSHPPMANILTPIRNGGPQSEEEKDENILHLDNTVRYEVYVSTPNQRNEESPNPEDVQTAVTASGGTTSPGSTPPLRLSNGNFPKIQEAVASEANDEDEDEANQVNQRRAEINKEEGFLPHVMAPPFKSATSLPAHEDINNSVRSFKDQLVTINSDASQEDSRRAFLTVLKYRARRRAENMLIEKPESTLNELVQGLKEMFECTSHVQRNKAHPRSSKQLPGESSADSLFHRTIKLAMQLYHEYQKNPEYQKEDVTLEKFLEGLNQAIKSQVIREALPTTDRTRSTTLEGEARLVPNEQPLEPTQLPAQSEASLANTATDHEDRDDCRDHRSERQGRDDNYRGRSSEIDSQVSRREIFLTFTGKCHYCGKVGHMARSHNLKQRTVANQQKSKDPASNHQTIQGDAEEEASCLREMILWQDLRIHELKEWNDRLRRDNSSSTSRDQTSQADPPSAMALWTIFGQKNKFPRSKFNLV >CRE21543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:46017:49395:-1 gene:WBGene00066518 transcript:CRE21543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zip-12 MSEEWKELILNHVNNTNNSNQIIPEINNEDLFKNFMKEIKLEPVDDDSSSKLSEYSEVMKIPKDIKTELETKEYPVFDMLNEGILNENTITQEKLNNLLNFMNSFTAMSPSDNNSNETVNNEMDMTDADDEWQAEFKKLDRETHCKLDKKQEERHRQMAKNREYARKCVQKKKDLRKQAEMQSMHILRRIQLQQKFNSIKERNGNFAVFLRIMEGDTEAVEQKKVYEGKKLEMNKKYDEMFSADEAVNLNFHRLASSREEFEKAATDLQMKNGVIGTLGSRKIRAKQQMEWRQHLYNISVHEHKLRREIELSKLADEYVRVTVPAAAPLIQSMPKHLLDEIIKNNRTSELEEFYRFVGDNSNIIDDSCNSDVKEEKEETVVK >CRE21541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:35456:38767:-1 gene:WBGene00066519 transcript:CRE21541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21541 MSTWSARHDPPNNNSNSPLGIDTEFKGKGGVIEKVIVKNLKLLKPDINNQVENGEEMEKDPTLNQLNGTDDVEEDLWFPENFYDSPPQSPKSPLINAQDWSGAEEEELEILRRQFSPDRPSDMNCPPKAERTIGLEILSQLSPDRPLDIRNAPRPLENPIGSSQGCRFSATSGPLTPSDVFWPEEPPPALTPHSSPPSIRSTRSTSCRLSISSSASSISPVKIPKVGRKTRQTRRTKEAMNAMSSLDKEDMKRERNKFHARDSAARKKDEMADWERRVRLLELKLSESTKNNRQLEIGDFNEAMEATRVFNRFKLNDEIAKIKSDLAEIETKKAKKELKATTRASQKCRANAKLKLAELKLRALDLLAKIDMEHLGYTEENLENTSTESEVSEPPSVNDFSPGAESTMDRYEYGIQAEHQQFGGSASADSLPTCQPDGTSCNDKSFGGSISQHRYYGTPPITTDQIYHSSIAAPSYNDVFYNVQATDQQFDGSVFQRGRHGVPPQIYSRTACDGYNGPLFEKQMKYSSVDAPRFNGAPVAQLPEQIGGAYTGQTACQRFAGGLARHQDLPPPYNSTVYNSQATGQPFGGTTHNGQMAYQHSDGAVFQFGHHGAPLVFPEQRGAAANQPFGGPVFQHGAPPEHAGLSNYMSNEMNYTNLNAAQYAVDVVSRYEETFGESPDDDNHERMDVDDAVGQSEGVNAFIDGVDNMPNQMNYRSLGAPMSQEQNQNSASWNQAPAA >CRE21527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:27026:28284:1 gene:WBGene00066520 transcript:CRE21527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glrx-5 description:CRE-GLRX-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NCN7] MLRLVSRQASAMLRSSTQSFSTGAAAGGLSDDVRQRIDGIVKKDDVVVFMKGTQQEPACGFSRNVKLVLDFHNVKFRDYNVLTDAELREGVKIYSEWPTIPQVYVKGEFVGGCDILVSMHKDGEISDFLDEKGIPNKYGNAKK >CRE21526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:22650:25982:1 gene:WBGene00066521 transcript:CRE21526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21526 MSEGDEEEANRLAEEERIRRENELLADEPMEEGDEEKRFQEVRLAEIEKVINETCIDIKNQTKFSTKQCRVLLSPLIGKILEVEEQFRGKKKESEFWENTNVKLNKTVLSLQNELERKSPPQPPTPLEEPPTTSVLSGQSIQGNEERWKLVSLLEANEIHTEEELNKLFEKYEQLEYELSVKVEYLQRSQRQTDLFRSELCRVKVKCEQQQQKLLAEEEKVKKMSEELKVKHSTHNANSATRFGECNQQTGERKESTRYYNAETSEIIDTIPLQESLDSGRNWNQRIVEQNAQRNIIVHSEKGMSNMNAQWRMAQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDIEAQKNFLETRFLAGNALTVYKGLPESDKNSVSRILDAIKRRLSQSEPEESRRAKSKFQALKLHKEQTVQDFCLIMDEVVRIGYKGVPEYQISSMKTTKLLDEMREHTVFEVLLQILGSQLRNCPVEEQYELCRVEATMFDEEWRSGKRKAAQNEMRTNRGQSDQYSNNVPRTGFTQNNASVSQQSSRVNRYTPNRQSNSYQTNSGTDSNSNQCTSTTSQQQNFSTSTDLTNQYAQKTDQQNQEPKGYVYNEKSPECWKNLVHSSDFANNASSSALGFHKCSECSLTGCHAPTCSRAPGSNTSKVKINTTIVCFRCDQQGHIASKCPTRNAVIQEVRVAPEMQSTVEDQKFKMKSDTKCSSDKESERELIDHKMETKDLCEGQSVAASVFKKDMVIDVDRVPIKSINSIEFSVMSSDEMLRSGDTKDDGLQTSCTKHAHTKDSSGGVNREFTEANSQKNGNCDEEQKSERDQRLCSISLTKTKKRSVQQKKVSDVISSFGDLKRDQKEHNVQKNIGNYESTQSYMSSEDGDGKKKRNTVDQKAVDNVMCQGPPLNFIENSSSLNQYDNVDANEATDIVKINTSKSKFLNQKCPKKPGLQKTGDDTCGVNFPKKSKRRNKEETSPRTDPPVILRFDSDLWLHDTSPGSLDSDNDDQLDSYQKWKKSVPKMFKSQVVPRPLKDPPTSTNQSTWSDTKFEPVKESPRPLKDPPDSWMEDVNWRRMQEEYRPRKDPPSPYCQHGHRNLLGCLQSTQFLPTPMSTVSS >CRE21525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:16992:20114:1 gene:WBGene00066522 transcript:CRE21525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pph-4.2 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NCN5] MLAVRDDGPNPLTNRNRDVIGQVGSNDIDNQIERLMRCEVLLEHEVKTLCVKAREILAEEGNVQIIDTPVTICGDIHGQFHDLRELFKVGGPPPDTNYLFLGDYVDRGYNSVETFILLIALKCRYPDRIMLIRGNHESRQITQVYGFYDECLRKYGNASVWKHCVDLFDYLALAAVIDGKVFCVHGGLSPTCPTIDEIRSINRQQEVPHEGSMCDLMWSDPEDSMKGWTFSPRGAGYLFGPEPAELFCDTNEIDQICRAHQLVMEGFKFHFDKRVVTVWSAPNYCYRCGNVAAIMQFTEDNTTEPNFITFDAAPQEDRGYAQRHPLPDYFL >CRE21524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:13217:13855:1 gene:WBGene00066523 transcript:CRE21524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21524 MVRCKLGLTTKSSEKQTTSTQYDIKASWQALSNRTMKAFLPISPALLYCSFYAVCLFLLSCYHTWMRSPNEISENMMMVTNFVVGLESTILRGKRDVNSYVFVLWGILCQVLFFLTCYRMSELVMRIYCALVGKEDSVRPAFLNSIEEDVQIGGNTKKND >CRE21523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:10682:11958:1 gene:WBGene00066524 transcript:CRE21523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-72 description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:E3NCN3] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >CRE21539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:3202:7813:-1 gene:WBGene00066525 transcript:CRE21539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpt-6 description:CRE-RPT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NCN2] MAPPASTDASSLKTTQKLTEESNEETLRKYFRTKVDAAQQKVADKSQNVRRLQAQRNELNTKVRMLKEELQQLHEQGSYVGEVSKAMDKKKVLVKVHPEGKYVVDVDKSIDINSLNTGARVALRADSYALHKVLPNKVDPLVSLMMVEKVPDSTYEMVGGLDKQIKEIKEVIELPVKHPELFDALGIAQPKGVLLYGPPGTGKTLLARAVAHHTECTFIRVSGSELVQKFIGEGARMVRELFVMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEATKNIKVIMATNRIDILDPALLRPGRIDRKIEFPAPDEKARADILKIHSRKMNLMRGINMSKIAEQIPGASGAEVKAVCTEAGMFALREKTYPCDTGRLRDGCRKSDAEGFGEEYVD >CRE25977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig726:1010:4740:-1 gene:WBGene00066526 transcript:CRE25977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pph-4.1 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NMZ8] MALAVAETTQNETFARSDSPTSGPSDQLSTHDLDRHIEKLMRCELIAEQDVKTLCAKAREILAEEGNVQVIDSPVTICGDIHGQFYDLMELFKVGGPVPNTNYLFLGDFVDRGFYSVETFLLLLALKARYPDRMMLIRGNHESRQITQVYGFYDECLRKYGNASVWKHCTEVFDYLALAAVIDGKVFCVHGGLSPSISTMDQIRVIDRKQEVPHDGPMCDLLWSDPEEGNVGWGLSPRGAGYLFGADASKTFCETNGVDLICRAHQLVMEGYKWHFNEKVLTVWSAPNYCYRCGNVAAILELDENLNKEFTIFEAAPQESRGAPAKKPHADYFL >CRE25975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig726:5087:8395:1 gene:WBGene00066527 transcript:CRE25975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25975 MSNLADLGYFFDDQGVLRTKEDNEKFKFTTQEAYETLGEAVDEEIFNLLETRCGLERKVLKPAGIEGDDEDFSFYFASKNLKTAETMLILMHGSGVVRAGQWARRLIINENLECGTQIPYVERALKLGWAVVVMNTNANGTDQQEFKFSRTPEEHAEMVFKMCVGGAKLKSVYVVAHSRGGYDLSAALKRNGSDDRIQKICLTDSPWFRFPKSCEERKTPLYVFNFLARGKYSSSEYEVHEYQAGRVTDLYAGTKIHEWSSHMAIDAVFKIFETELNESNFVETVNEAKYLVLHGKEKEDVESDEPSVKKMKQ >CRE25976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig726:9507:11017:1 gene:WBGene00066528 transcript:CRE25976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25976 MERKHKSQPTVNKEIKPEVDREDFDMKISRPAPSPPAISMNLTRVVGNLLEKPQHADELKPIEELMSRLMRRERILEQDGESLCKTAMATFMNEENEQCAMESTVSLTCICGIEHPELKEAHEIMKSADSPPDTKYEFLGDNMNGNIETFLLLIGLKCLFPERIMLIRNQDSRQTTRLYGYYDECIRKYGNAALWESMEHLSGVAMDKRVFMFKEWFECEAIGAIRKDSH >CRE30157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:162205:166489:-1 gene:WBGene00066529 transcript:CRE30157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zip-1 description:CRE-ZIP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NAK7] MNNQNDNIEDIDLGVPPELMEMEIPADIMDTCNKTVFNEVPGIIECEGSVAEVDILDLDAPVLHNNQQDEFLMEFNPEKPIIAYPNDMTLTELNGGRVTDENFGFECEEEKTLIDLDNVHSNPPPYAAGYGQNQEMGMNQQFEELGLEQKPDYYAYQQAEQTPTLIYRAEFEQEQQYVQPVYLEDQKAFYLENEQNLARRDASNEYNHAQIRSKLGLTTKTAWGTVVFVERLSKAEKEARKKAQNKENAKNCVARKNNGKKELQANLPHLQNNANIMENQNEIQENGLLAVYNDVIYPSYQNNSQFGSTEQFAEQLRDQKEYVCEEILDNNGFELHALEKDHKETKAKFDEISSKNGEEGVPQNTFASRKSRAKTASELAELRYQTKLVEIEILKKEAVGQVLEGFTSEINQILERLGEKKLPEKIFYEGLMEQFEPS >CRE30155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:154435:158106:-1 gene:WBGene00066530 transcript:CRE30155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30155 MRWHWIFSPFLIISVSAFYTPSDGIVELTDANFDSKVMKSDGIWVVEFYAPYCGHCKSLVPEYKKAAKLLKGIASVGSIDGTTQQTIPSKYAIKGYPTIKIFGATDKNKAIDYNGPRTAKGIADAVQKSIKETLDARLSGKTGGKSEKSSKKSKNSGENKGKEGGVVVLTDSNFEKLVLNSKEAWMVEFYAPWCGHCQKLEPEWKKAAKEMAGRVKFGALDATAHETIARKFQIQGFPTIKFFPPGSTSSDFEDYQGGRTSSDLIRYSESKYEDVASPPEVIEGISKKSIDETCRNRQLCIFTFLPSIFDCQSECRRAKIQILSDLAAIFKKRAFGWVWIEAGAQMEVEKAFEIGDSGYPVLVAMSPSKMKYATQIGQFSTSGIKEFLNSVNYGKLRVQSVQPAHLSNNFLKIVDTEPWDGKDKELPKMDEIDLSDVDLDEKDEL >CRE30154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:146941:154304:-1 gene:WBGene00066531 transcript:CRE30154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wht-5 description:CRE-WHT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NAK4] MGSNQEENDCVVAENLLKYVDNEWGTEASTQVSTRPAEGVVGDVPVPAEVEQVEKELKQSSERFRRSKVHSVTTTTTDTESGPPILHTATTTNAKKLTFQNIEAIAVKKKGIRQEILKKVSGIARPGELTFIMGSSGAGKTTLLNILTGRNLKNIETEGEVFVNNRNMTPAEMKKLSAYVQQDDVFIGMLTVRETLRFAAKLRSPHKLDKVELESIVDELLVMMSLKKCENTKVGSMTEKSLSRGERKRLAFACEILTDPPILFCDEPTSGLDSFMSHQVIKALRQLTFEGKTVVCTIHQPSTSVYHMADQLILLSQGYVAYSGPAKQVDAFFGRCGYPIPKFVSSPDHFMRVISHKSFESEDEYNRRIERIVQEHDTIQKEKSAHSSTHSSRRDLPVDLKDVFPRTWWCQFYYIFHRSATQLYRERAVLVVKLIQFWLESKYILEKYQFHIYFPIQTLIMSTMIGATYFQMGIEKKYLMSFKGFAFVSVQMMHMLFMMPAMTVFWKDYPVVVREFQANMYSPSAYYLAKTTADSVQYLVFPVIFSAILLGMTALPFSGYVVTHYLIINILLSLNACSIAQSFAAMCGHLATGMTVLPIVVVPLMVFGGFMINYDSIPTYFLPLAWVSWYKYGFEAITIVYFESIDKIPGCDVGGANTTVVSMSMEDNCSTGAEFIKVQAFEVSNLWLDYTVILVALLFWKILGVVAFSWRIRRA >CRE30153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:141427:145892:-1 gene:WBGene00066532 transcript:CRE30153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30153 MQCIHYVLVVAILLSVNVGYSNNTDESVNRSINRPYSAEHSSISNTPSVYNKSINSTLNPIHTPSSASISTSPNESISSTPGSRYPFDSVNLLNHAKRVKRQFNNPVFDEFLHKMSVIARLANTILLQSDLMNKTEMHYKIGELLNVGKLDIAHLKNINTTHMLEFMEIVNKTIPEIGTSVSDGEKTVIYLYEMQNSMKYLPTIDKFPSRTDFIDKTRELRGKFNDSLFSESWPPLKEVSTALKTLNGFTNGNSTTMQMNEDVKQFKNVLDSIEYAKPLIIALNQHITTLSSFKDWNLEVQKTVLDQPERLLFSLNIRGKLQKLLNESVESDYSIRNKYKLIDDLKKNMQNVGDDFRIFKTYFVKYSNQPSAVLRGFHALNQLSSEINEVWMENALGMNKGRRMQLIHSLSPIFALNNNSKLSNLSLSTDEKTGMVIEDMNLITDLLRERKGNSEDYDYSYTLNRCIKDAGEIDDDQVNYALSSPQNSHKAAEKFSILLDTKLLHEGFQPLIDDLNSVTNIINKYKDPHSSNLPFKELARIFAELKTSGSMDKLKKSVDRMMEFFTKFDAKEFGENLGDILYWNKNLDKVMKSEYANKEFEFHKCFLQHKDTISKGYNDVRLIQNLRQLSIKGINYVNDYIKIVADMRKLLDKQEITLTLKKTNITVSWEKLKTIDRAMVLEIHNAVGSVKNLIELRNMKFVSEAEKMQKDVLYLFKWMLGSCTKLELEQKWKLGTKSLSFLNGFKYFKEALEKFDFRKMKNISDLAVFFKELEGIPNMNFDFNVLIFVLNYLIPMESQSPDTELFYQMRSDLIKISSMELDFAKHFRGAADVFKKFGDFLNYCFTDDKPEDQDKTVSAKAAQSDEDYTYIIIGCLLFGAFVSAIVSFIIWKKKWLCFRKEVIECEIIDNEPAEYPPPLTQDIIVIVIVNQIIIRTLVKFEQWMQLLNYVNSSLNSEERGFPYIPLDPRKYFDPNIRLMPYTALQSIRLHGNRFRTRIGTIFYAMQSPMEATSEHDDTREEFLELIVKDSSEYIIMAQDSNTSGIYYHQGVGSAKFGRFTVMTESETQFGNSQNIVVRQLKITDGKKKLTRSVKQFQIKNWAQGQLLPPISHAELEMILTECSSSTTPVIVHSPSGTGPTMLIIGMEYISRNMECNEQMTFDDAFKRLVDKRYSSFNTWQEIGWLQCGVVYCLSVRHGVELSIYHQMNNTYLDMAVKGNGLPKGVKN >CRE30141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:111996:125963:1 gene:WBGene00066533 transcript:CRE30141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifta-1 description:CRE-IFTA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NAK2] MAPPVLNSMANYNEKTISKIHMCVFRKFNMSLPDNAQLHFSEWNYNNNYITCGGALGTLKVVKIGLDPVDTKQNPATASLIVNQTLEGHQSATVLIATWNENHQKLTTSDTSGLIIVWGLFNEQWVEEMINNRNKSVVVGIVWNQDGTKIAIAYADGNVIVGTLEGNRIWNKELDMQLAACEWAPDGEMLIFGTADGKVVVFDETGSHFLDIPMHCLETEDLEQALAKKENQREEIVVIKYWSPTLKSKAVMEEMEKEWEKEMAKEREPQGTAVFNNYPTQKPHREYVEPDKSSEPHQPIPPDRPRFMVAYQRGILQLMRSIQDPEPVVVRLPNVKITGAKWSPNGAFIAISGTDLDKEEPRHSHIHFLSAYGHKIGFFQHFETRITGICWEGTGLRMSIAADGNLFIGHVRPEFKWGALVDAIVYVFQREELSQYTVMFYDHKTDEKHPKTVTYFENMAFFHDHCVIVSRQDDQSPNPNQYYCQLCNSIGTSIDHNFTTIPPKFLCVNGMCAVVANKDRYCIWHFVLPKYNSVQAGIHIPGKAAEYNLEEQQRPGEYGGKRSFGSKDEICAICIGDTFFLMALLSGGIFYANLSDGSIIKSFPISATIDSMRLNCKYNRLAIVKLVEQVPFSILLYEFDGEQLTKIYACEKKDIWDYKWDTNEENMLAFKDKHKLFICDGTSLLEQSNVYGNIVSFKNLTVTAVSLEKILMNPEIPTKNALQEVLIKAKQEVTNLLNSMKLDEAIDYAERTPHSELWTMIAQYALFKQEFDSAEHAFVKLGDYAGVQLLKRLRLMTSRELRNAEVMAYQDKYEEAKQLFLQCDRKDLAVEMFKKVGDSKAVYDLIKNDPDEHAKKEAFRDLAETAAELMDYDDAAKWYGQSGDTGEQIDCLIRGNMFGELEVLARALPDDSDFMEVMGDAFTSRGMCDQAVECYLRKSLPQKALKACMELNQWQKAQFIADANHMENVDGLLGKYAIEMKGESDEKSLNALALYMRAGRHLDAAKIAFDIAKDRRDKYVPYEELKQCYVLGAILVENHRQTIKELRKIDKHNVLEDALDDESGLTVEQSRILENTWRGAEAYHFMMLAQQHFFEHRIEDALQTSLTLSDYEEFLDPAEIYSMIALAAANVKQFGICSKAMMRLEALDDFEEAEKDEMRNLSFRLFSKHPPVNPNSAKVPCTACDAMIDPFDMQCKECQTKFPVCIASGRLILDNIFWLCPRCKHRAHQHEIMKYSYCPLCHDMESFRPT >CRE30139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:76461:80235:1 gene:WBGene00066534 transcript:CRE30139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30139 MPGRLNKFWKGVGNHQFLPDKTGSDDGDGWEIVETEELEEKRNLEVKLKPEVLSDETLPPVAKHSEVSISKFDECDNDITVVLLRRQDAAKQVQHLKRALEAAQSVVAKMKTAREVVGSLDFRLWELEERLKIIVSEEFNWVDNKWKLITKELYYDLLNLEKKKEKCFEFLDLENVDLEEILEQIDVSLLSLGLLNSRILNLHGNQFFTFQNVLTRFCGGIVKVNKTRFRSFKESPGYQNTYFLTQVLKIEADILEFQKRTALSVLESVILDQKEMHKSNLKCKNKLAIGFHKENMKSCLIEVNESWSKLGEYLNDYPSGEFQEILILLAFLTCSLKLSFKELLSFPSNCTGGTKEHYFSLEKKFEKLIDFQLMTWIFHFFLTIENELAEDIEAAKLIVLEKEKVMERFDEFFRDRILNEQEFEMKTMYVEVQKKWTVFISKQNLIMLEVGVLQRFRAPVPVGFEDRVKELSLQSQEICESVGKLTIQSDLLDLSHREPLVQFYASMMGYIGKLRETIGNLMEMTATNDVNYNGTRKEKIFEWATTSGEMNTEFARYLLYIPTDHREDNELQQNREMKQYRNRIEAYETELEVLTTTIWSFLDYE >CRE30150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:62708:64508:-1 gene:WBGene00066535 transcript:CRE30150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30150 MESESKRAILKAIPTGFQPEDPSNDIFETIVARNSMGEVFNCYHITTAEVDRRSNGVERLNSSSLATTLRRTKQKNGGETMRRQMKEKGIPVNLYHRQEVFPNKFIALSEAETVHMAKDLEKIVKDDYPVKELAREVANEVMEDGSVDIEQFFDADGFKECMEALGEVLSAVVPPITGICAKASKNEELNLGMENFSQATHGLGIVIQPTWMRALTEIGEGISKIVKDEMNVPEEVVSTETPTIIAETPKVAEEAEVTVESEEIVVVVD >CRE30135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:9644:12621:1 gene:WBGene00066536 transcript:CRE30135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30135 MSQNYPRMASSTITSMGIFYTEQTLKCEFYFNDPYGKQSFEYKETRKRNDFLKNFVEYLEEIIYNRAGLVNSLKIKYSGLEENYTKEKLDPVITQIFKCLESRKDLLQVKRLLIDAVDMSQAMTVVKLLDPSVLKKVEFCFENRDEEIDIEDALALVKWNEGQRIKLVFKLHTMRPEYLESVEKFLLHLPTFTEIFIYYKHCVHDNVSLRTIMDVPLQHESPDSKEKFLKFQLSHSLLLSAGLVKLTLSNDVSAMVLGNPLIMKRVIRSFGYWNVQRLRKTSRGIRSCVDFLKPVTHIDEYMVFFLSDIHPRAYIETGRYWSRSWIYGKHETSKNRNVLCQKAQAQVLHDFEVNLGRQNTCLEKLKFIFSYIDTLQKEENPNPSIEEFQRLNQLTIQFLGKLKEILSRRSQLLKVKVLELLCCTDDNLMQILPYLDPNCLKNIEVNDPRSEYERLGDRVKYPESMLKPFVLDEICQLEQWKNATELMIRSQPIPTSIQKMNVIHFSRIWIEVDTISSEDVLYLKEHLLLSTSFQRFIIDFKNTTIDYETLHGLIGPPHRIFSDDDRIWFFQMEVNHQFLEVTLDRRHPLSYSRFPTFKSLSTGTLEEIWIEVDTISSEDVLYLKNHLLLSTTFRRFIIDFKNTTIDYETLHGLIGPPHRIFSDNDRIWFFQMEVNHQFLEVSSNRRCLDFDLSSYIRQYR >CRE30144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:7262:9105:-1 gene:WBGene00066537 transcript:CRE30144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30144 MSQNYPRMASSPITSVGIFYTEKTLKCELYFNDPYGKQSFEYKETRKRNDFLKNFVENLEEIIYNQAGLVDSLKIKYSGLEENYTKEKLDSVIHQIFKCLESRKELLQVKRLLIDAVDMSQAMTVVKLLDPSVLKKVEFCFENRNEDIAIEDALALVKWNEGQRMKLVFKLHTMRPEYLESVEKFFLHRSTFTEIFIYYKHCVHDNESLKTIFGFPMQHETPGSTEKFIKFRLSHRLLLSAGFVKLTLSNDVSAMVLGNPLIMKRVIRSLGYWNVQRLRKTSRGIRDCVDFLKPVTHIHEYYVYFSSDIHPSACIRSGGCYSTRSWLYGKYETSEERNVLCQKAQDQVLNDFEVNLGRQNTCLEKLKFIFSYIDTLQKEENPNPSIEEFQRLNQLTIQFLGKLKEILSRRSQLLKVKVLELLCCTDDNLMQILPYLDPNCLKNIEVNDPRSEYERLRVKYPESMLKPFVLDEICQLEQWKSATELEIRSQPISTSIQKMNITHFSKVWIDVETISSEDVLYLKEVSDDYENFYSFYNFSIFYYRPLSKDSLFTSKTRQLTTKLFTD >CRE30143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:4295:5019:-1 gene:WBGene00066538 transcript:CRE30143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30143 MSSSCFTSIAQILRAHHPKTPPKCLRCKNHGVTTILKAAEALKILNGGVPAEQNVQRNNAKTTTQEIRCSPVSSPSALLPVDLKSFIELALPNLMSYNHQFPQFSQFYPLANQIGKTVLAGLL >CRE31493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:95258:95607:1 gene:WBGene00066539 transcript:CRE31493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31493 MNITYFSKITMEVETISSKDVLYLKDHLLLLTTFQSFIIDFKNTTIDYETLHGLIGPPHRIFSDDDRIWFSQMEVNHQFLEVSLNRRCLDFDLSSYIRQYR >CRE23183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig358:24830:25345:-1 gene:WBGene00066540 transcript:CRE23183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23183 MVNGSIDSIISPIPSGVPQDSHLKFDSHIAETSSLAILRCSQLLKSFRSRSLPLYKHLFNTYVLPVLVFQF >CRE30134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:2544:3113:1 gene:WBGene00066541 transcript:CRE30134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30134 MQILPYLDPNCLKKIELNDQRAEYRRLGGRVKYPESMLKPFVLDEICQLEQWKKATELKIRSQPISTSIQKMNLTEFSKVWIDVETISSEDVLYLKNHLLLSTTFRRFIIDFKNTTIDYETLNGLIGPPHRIFSDNDRIWFFQMEVNHQFLEVSLNRRCLDFDLSSYIRQYR >CRE31492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:91874:93630:1 gene:WBGene00066543 transcript:CRE31492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31492 MSQNYPRMTSSPITSMGIFYTEQSLKCELYFNNPYGKQSFEYKETKIDHLKNFVEYLEEIIYNQAGLVNSLKIKYSGLEENYSKNKLDPVINQIFKCLESRKNLLQVKRLSIDAVDMSQAMAVVKLLDPSVLKKVEFCFENRDEEIDMEDALALVKWNEGHRIKLVFKLHTMRPKYLESVEKFLLHRSTFTEIFVYYKHCVHDHGSLRKMIDVPMQHETPGSKEKFIKFQLSHSLLLSAGLVKLTLSNNVSAKVLGNPLIMKRVIQSFGFWNIQRLRKTSRGIRSCVDFLKPVTHIDEYMVSFSSDIHPRAYIETGRYWSKNWIYGKHEISEDRNVLCQKAQDQVLHDFEVNLGRQNTCLEELKFIFSYIHTLQKEENPNPSIEEFQRLNQLTIQFLGKLREILSTRSQLLKVKVLELLCCTDDNLMQILPYLDPKCLKKIELIDPRSEYGRLGDRVKYPESMLKPFVLDEICQLEQWKSATELKIQSQPISTSIQKMNITYFSKITMEVETISSEDVLYLKDVSDDYENFSNF >CRE31509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:89458:91433:-1 gene:WBGene00066544 transcript:CRE31509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31509 MPQNYSRMTSSPITSIGIFYTERTLKCELNDPYGKQSFEYKETRKIKDFLKNFVEDLEEIINNQKSLVDSLKIKYSGLEENYKKEKLDPVIHQIFKCLESRKELLQVKRLLIDAVDMSQAMRVVKLLDPSILKKVEFGFENRDEKIDMEDALALVKWNEGQRMKLVFKLHTIRPEYLELMKKFFLHRTTFTEIFIYYKHCVHDYVSLRSIFGFPMQYETPGSTEKFIKFRLSHRRLLSARLVKLTLSNDLSAKVLGNPLIMKRVIRSLGFWNVQHLRKTSRGIRDCVDFLKPVTHIDEYMVSFSSDIHPSARIETGGCYSARTWLYGKHETSEDRNVLCQKAQEQVLNDFEVNLGRQNTCLEKLKFIFSYIDTLQKEEPSIEKFQRLNQLIIQFLEKLKDILSRRCQLLKVKMLELLCCTDDNLMQILPYLDPNCLKKIKLNDPRAEDGRLGYSRIKYPESMMKPFVLDEICQLEQWKNATELKICLQPISTSIQKMNITHFSRIWIEVDTISSEDVLYLKEHLLLSTTFRRFIIHFKNTTIDYETLDGLIGPPHRIFSDNDRIWFFQMEVNHQFLEVRLDRRCLQFYLADYIRQYR >CRE31507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:82253:85007:-1 gene:WBGene00066545 transcript:CRE31507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31507 MPQNYPRMSSSPITSIGVLYTEKSLKCELYFNDPYGKQSFEYKETRKRNDFLKNFVEDLEEIINNQKSLVDSLKIKYSGLKENYTKNKVDPVINQIFKCLESRKELFQVKRLLIDAVDMSQAMRVVKLLDPSVLKKVEFCFENRDEDIDMEDALALVKWNEGKRMKLVFKLHTIRPEYLELMKKFFLHRTTFTEIFIYYKHCVHDYVSSRSIFGFPMQYETPGSTEKFIKFRLSHRRLLSARLVKLTLSNDLSAKVLGNPLIMKRVIRSLGFWNVQRLRKTSRGIRDCVDFLKPVTHIAEYHVFFLSDTHPSAYIKIGYRRSRSWLYGKHETSEDRNLLCQKAQAQVLNDFEVNLGRQNTCLEKLKFIFSYIDTLQKEEPSIEKFERVNQLTIQFLEKLKEILSARSHLLKVKVLELLCCTDNNLMQILPYLDPNCLKKIEVNDPRWEYERLGNRVKYPESMLKPFVLDKICQLEQWKSATELEIRSQPIPTSIQKMNITHFSRIWIEVDTISSEDVLYLKEHLLLSTTFQRFIIDFKNTTIDYETLDGLIGPPHRIFSETSRIWFFQMEVNHQFLKVSLDRGQSTSDYEGPRTCNRYLDTT >CRE31508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:86477:88320:-1 gene:WBGene00066546 transcript:CRE31508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31508 MSQNYPRMSSSPITSIGVLYTEKSLKCELYFNDPYGKQSFEYKETRKRNDFLKNFVEDLEEIINNQKSLVDSLKIKYSGLKENYTKNKLDPVIHQIFKCLESRKERLQVKRLLIDAVDMSQAMAVVKLLDPSVLKKVEFCFENRDEDIDIEGALALVKWNEGQRMKLVFKLHTIRPEYLESVKKFLLHLPTFTEIFVYYKQCVNENACLGTIMDIPMQHETPGSKEKFFQFRLSHSLLLTAGLVKLTLSNDLSAMVLGNPLIMKRVIQSFNFWNVQRLRKTSRGIRDCVDFLKPVTHIDEYNVSFLSDIHPSAHIKIGCYSTRSWLYGKHETSEDRNVLCQKAQAQVLNDFEVNLRNQNTCLEELKFIFSYIDTLRKEENLEQEEFERLNELTAQFLGKIKETLSRRSQLLKVKKLELLCCTDDNVMQILPYLDPNCLKKIELIDPITKYGILGCSTIKRKYPESLLKPFVLDEICQLEQWKSVTELKIQSQPVSTSIQKMNITHFSKIYMQVETISSEDVLYLKDVSDDYGNFYRYNNFSIFYYRPLSKDSLFTSKTRRLTTKLLLE >CRE31491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:78410:80659:1 gene:WBGene00066547 transcript:CRE31491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31491 MSQNYSRMATSSITSMGIFYSEQTLKCELYFNDPFGKQSFENKETRKRNDFLKNFVENLEEIINNQKSLVDSLKIKYSGLEENYKKEKLDPVINQIFKRLESRKELLQVKRLSIDAVDMSQAMTVVELLDPSVLKKVEFCFENRDEEIDMEDALALVKWNEGQRMKLVFNLHTIRPEYLESVKKFLLHRSTFTEIFVYYKNCVHDIPSLRTIIDVPLQHESPDSKEKFIKFRLSHRLLLSAGLVKLTLSNDVSAKVLGNPLIMKRVIQSFGFLNVQRLRKTSRGIRDCVDFLKPVTHIDEYMVSFSSDIHPSAHIRTGCYSSRSWLYGKHETSKNRNVLCQKAQAQVLHDFEVNLRRQNTCLEKLKFIFSYIDTLRKEENPKPSKKEFKRLHQLTTQFLWKLKEILSARSQLLKVKVLKVLCCTDDNLMQILPFLDPNCLKKIELNDPRSEYGRLGDRVKYPESMLKPFVLDEICQLEQWKKATELKIRSQPISTSIQKMNLTEFSKVWIDVETISSEDVLYLKDHLLLSTVFRRFIIHFKNTTIDYETLHGLIGPPHRIFSDDDRIWFFQMEVNHQFLEVRLDKRCLDFDLSSYIRQYR >CRE31488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:71416:73413:1 gene:WBGene00066548 transcript:CRE31488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31488 MSQNYPRMALSPITSMGIFYTEKSLKCELYFNDPYGKQSFEYKETKIDHLKNFVEYLEEIIYNQAGLVNFLKIKYSGLKENYTKNKLDPVIHQIFKCLESRKELLQVKRLSIDAVDMSQAMTVVKLLDPSVLKKVEFCFENRDEEIDIEDALALVKWNEGQRMKLVFNLHTIRPEYLESVKKFLLHRSTFTEIFVYYKNCVHDNVSLRKMIDVPMLHETPGSKEKFIKFQLSHSLLLSAGLVKLTLSNDVSAMVLGNPLIMKRVIQSFGFWNVQRLRKTSRGIRDCVDFLKPVTHIDEYNVFFLSDIHPSARIETGRYWSKNWIYMKHEISEDINVLCQKAQAQVLHDFEVNLGRQNTCLEELKFIFSYIHTLQKEKNPNPSIEEFQRLHQLTGQFLGKLKEILSARSQLLKVKVLELLCCTDDNMMQILPYLDQNCLKKIELNDPRSEYGRLDMSVGTVEESDGTDDSITANIHLNSKKKKMNLTEFSKVWIDVETISSEDVLYLKDHLLLSTTFQRFIIHFKNTTIDYETLHGLIGPPHRIFSETSRIWFFQMEVNDQFLKLSLDRGCLQFYLADYIRQYR >CRE31506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:69252:70964:-1 gene:WBGene00066549 transcript:CRE31506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31506 MPQNYPRMSSSPITSMGIFYSEQSLKCELYFDDPCGKQSFEYKETRNDHLKNFVEYPEEIINNQTGLVNSLNIKYSGLEENYTKNKLDPVIHQIFKCLESRKELLQVKRLSIDAVDMSQAMRVVKLLDPSVLKKVEFCFENRDEDIDIEDALALVDWNEGQRMKLVFKLHTIRPEYLESVKKFLLHLPTFTEIFVYYKQCVNENACLGTIMDIPMQHETPGSKEKFFQFRLSHSLLLSDNYHVYFLSDTHPSGYIKIGYRRKRSWLYGKHETSEDRNLLCQKAQEQVLNDFDVNFRYQNTCLEELKFIFSYIDTLRKEKNLKQEDFERLNQLTIQFLGKLKDILSRRSQLLKVKKLDILCCTDDNLMQILPYLDPNCLKTIKLIDPRAEYERLGDRVKYRVKYPETMLKPFVLDEICQLEQWKSVTELEISSQPISTSIQEMNIIHFSKIYMQVETISSEDVLYLKDHLLLSTSFREFLIHFKNKAIDYETLVGIMGPPHRIYGDNDRIIWFFQMEVNHQFLKVRLDMKYIAFKLTSHIE >CRE31505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:66333:68306:-1 gene:WBGene00066550 transcript:CRE31505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31505 MSQNYPRMSSSPITSIGVLYTEKSLKCELYFNDPYGKQSFEYKETRKRNDFLKNFVEDLEEIINNQKSLVDSLKIKYSGLEENYTKNKLDPVIHQIFKCLESRKELLQVKRLSIDAVDMSQAMTVVKLLDPSVLKKVEFCFENRDEEIDMADALALVKWNEGQRMKLVFKLHTIRPEYLESVKKFFLHRPTFTEIFVYYKQCVNENAILGTIMDIPMQHETPGSTEKFIKFRLSHRLLLSTGFVKLTLSNDLSAKVLGNPLIMKRVIRSLGFWNVQRLRKTSRGIRSCVDFLKPVTHIDEYQVYFFSDIHPSACIKAGRYWSKNWIYGKHETSEDRNVLCQKAQEQVLHDFEINLGRQNTCLEKLKFIFSYIDTLEKEEPSIEKFEHVNQLTIQFLEKLKEILSARSQLLKVKKLDILCCTDNNLMQILPHLDPNCLKKIELNDPRSEYERLRVKYPESMLKPFVLDEICQLEQWKSATELKIRSQPISTSIQKMNLTEFSKVWIEVDTISSEDVLYLKEHLLLSTTFRRFIIDFKNTTIDYETLHGLIGPPHRIFSDSSRIWFFQMEVNHQFLKVSLDRGCLRFDLADYIRQYR >CRE31487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:58865:65144:1 gene:WBGene00066552 transcript:CRE31487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31487 MSQNYPRMTSSPITSMGIFYTEKSLKCELYFNDPYGKQSFEYKETKIDHLKNFVEYLEEIIYNQAGLVNSLKIKYSGLEENYTKNKLDPVINQIFKCLESRNERLQVKRLSIDAVDMSQAMTVVKLLDPSVLKKVEFCFENRDEDINIEDALALVKWNEGHRMKLVFKLHTMRPEYLESVKKFLLHLPTFTEIFVYYKHCVHDAASLRSIMDVPMQHESPDSKEKFIKFRLSHSLLLSAGVVKISLSNDVSAMVLENPLIMKRVIQSFNFWNVQRLRKTSRGIRDCVDFLKPVTHIDEYMVFFLSDIHPSAYIETGRYWSRSWNYGKHEISMDRNVLCQKAQAQVLHDFEVNLGRQNTCLEELKFIFSYIDTLRKEENRKPSKKEFKRLHQLTIQFLGKLKEILSRRSQLLKVKVLELLCCTDDNLMQILPYLDPNCLKKIELNDPRSEYERLRVKYPESMLKPFVLDEICQLEQWKKATELKIRSQPISTSIQKMNIIHFSKIWIEVDTISSEDVLYLKEHILLSTTFQRFIIDFKNTTIDYETLHGLIGPPHRIFSDTSRIWFFQMEVNHQFLKVSLHRGCLQFYLRLNQLTIQFLWKLREILSRRSRLLKVKVLKVLCCTDDNLMQILPYLDPNCLKKIEVNDPRSEYGRLGDRVKYPESMLNPFVLDEICQLEQWKSATELVIRSQPISTSIQKMNITEFSSVWIEVDTISSEDVLYLKDHLSLSTTFRRFIIDFKNTTIDYETLHGLIGPPHRIFSDDDRIWFFQMEVNHQFLENMPQNYPRMALSPITSIGVFYTEHSLKCEMHYNDPYRKQSFEHKETRKKNDFLKNFVEYLEEIINNQKSLVDSLKIKYNGLEENYNTETLNPVISQIFECLESRKELLQVKRLLIDAVDMSQAMAVVKLLDPSVLKKVEFCFENRDEDIDIEDALALVDWNEGQRMKLVFKLHTLRPEYLESVKKFLLHRLTFTEIFVYYKNCAHDHASLRTIIDVPMQHETPGSTEKFFKFRLSHSLLLSAGLVKLTLSNDVSAKVLGNPLIMKHVIRSFGFWNVQCLRKTSRGIRDCVDFLKPVTHIDEYMVFFLSDIHPSAYIETGCYSSKSWLYGKYETSKNRNVLCQKAQAQVLNDFEINLGRQNTCLEELKFIFSYIDTLRKEENRKPSKKEFKRLHQLTGQFLGKLKDILSKRSQLLKVKVLEVLCCTDDNLMQILPYLDPNCLKKIELNDPRSEYGRLGDRVKYPESMLKPFVLDEICQLEQWKKATELKIRSQPIPTSIQKMNLTEFSKVWIEVDTISSEDVLYLKEHLLLSATFRRFIIDFKNTTIDYETLHGLIGPPHRIFSDDDRIWFFQMEVNHQFLEVRLGRGCLDFDLSSYIRQYR >CRE31500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:31799:33648:-1 gene:WBGene00066553 transcript:CRE31500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31500 MPQNYPRMSSSPITSMGIFYSEQSLKCELYFDDPCGKQSFEYKETRKRNDFLKNFVEDLEEIINNQKSLVDSLKIKYSGLEENYKKEKLDPVIHQIFKCLESRKELLQVKRLLIDAVDMSQAMTVVKLLDPSVLKKVEFCFENRDEEIDLEDALALVEWNEGQRIKLVFKLHTMHPEYLESVKKFLLHLPTFTEIFVYYKHCVHDYASLRSIMDVPMQHETPDSKKKFFMFRLSHSLLLTSGLVKITLSNDVSAMVLGNPLIMKRVIQSFGFWNVQRLRKTSRGIRDCVDFLKPVTHIAEYHVFFLSDTHPSAYIKIGYRRSRSWLYGKHETSEDRNLLCQKAQAQVLNDFDVNLRYQNTCLEELKFIFSYIDTLRKEENLEQEEFERLNQLTTQFWGKLEEILSRRSHLLKVKVLELLCCTDDNVMQILPYLDPNCLKKIELIDPITKYGILGCSTIKRKYPESLLKPFVLDEICQLEQWKSATELKISSQPISTSIQEMNITHFSKIYMQVETISSEEVLYLKDVSDDYGNFYRYNNFSIFYYRPLSKDSLFTSKTRRLTTKLLLE >CRE31499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:28683:30518:-1 gene:WBGene00066554 transcript:CRE31499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31499 MSQNYPRMSSSPITSIGVLYTEKSLKCELYFNDPYGKQSFEYKETRKRNDFLKNFVEDLEEIINNQKSLVDSLKIKYSGLKENYTKNKLDPVINQIFKCLESRKELLQVKRLLIDAVDMSQAMRVVKLLDPSVLKKVEFCFENRDEDIDMEDALALVKWNEGQRMKLVFKLHTIRPEYLELMKKFFLHRTTFTEIFIYYKHCVHDYVSSRSIFGFPMQYETPGSTEKFIKFRLSHRRLLSARLVKLTLSNDLSAKVLGNPLIMKRVIRSLGFWNVQHLRKTSRGIRDCVDFLKPVTHIDEYNVYFSSDIHPSACIRSGGCYSSRSWLYGKHETSEDRNLLCQKAQAQVLNDFEINLGRQNTCLEKLKFIFSYIDTLQKEEPSIEKFERVNQLTIQFLEKLKEILSARSHLLKVKVLELLCCTDNNLMQILPYLDPNCLKKIEVNDPRWEYERLGNRVKYPESMLKPFVLDKICQLEQWKSATELEIRSQPIFTSIQEMNITHFSKVWIDVETISSEDVLYLKDVSDDYENFLNFYNFSIFYYRPLSKDSLLTSKTRQSTTKLFTD >CRE31490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:75258:77247:1 gene:WBGene00066556 transcript:CRE31490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31490 MSQNYPRMASSPITSMGIFYSEQSLKCELYYSRPYGNSSFENKETRKRNDHLKNFVEDREEIINNQAGLVNSLKIKYSGLEENYTKEKLDPVITQIFKCLESRKELLQVKRLSIDAVDMSQALTVVKLLDPSVLKKVEFCFENRNEDINIEDALALVDWNEGQRIKLVFKLHIMRPEYLESVKRFLLHRSTFTEIFVYYKHCVHDIPSLRTIIDVPMQHESPDSKEKFIKFRLSHCLLLSADFVKLTLSNDVSAMVLENPVIMKRVIRWSENWNVQCLRKTSRGIRDCVDFLRPVTHVDEYSVYFLCDIHPSAKIGVEYNFTRSWLYGKNATSKDRNELCQKAQARVLNNFEVNLGRQNTCLKKLKFIFSYIDSLRNEKNPKPSKKEFERLNQLTIQFLGKLKDILSKRSQLLKVKVLEVLCCTDDNLMQILPYLDPNCLKKIKLIDPGSEYSKFDYDRVKYPEFMLKPFVLDEICQLEQWKKATELEIRSHPISTSIQKMNISNFSKLTMEVDTISSEDVLYLKDHLLLSTTFQKFTIDFKNTTIDYETLYGLIGPPHRIFTETSRIWFFQMEVNQYLLRVSLERRCLEFDIARNIRLIT >CRE31481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:20701:22691:1 gene:WBGene00066557 transcript:CRE31481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31481 MTQNYSRMATSSITSKGIFYSEQTLKCELYFNDPFGKQSFEYKETRKNNDFLKNFVENLEKIINNQAGLVNSLKIKYSGLEENYKKEKLDPVITQIFKCLESRKDLLQVKRLSIDAVDMSQAMTVVKLLDPSVLKKVEFGFENRDEKIDIEDALALVKWNEGQRMKLVFKLHTIRPEYMESVKKFLLHRSTFTEIFVYYKHCVHDIPSLRTIIDVPLQHESPDSKEKFIKFRLSHRLLLSAGLVKLTLSNDVSAKVLGNPLIMKRVIQSFGFWNVQRLRKSSRGIRDCVDFLKPVTHIDEYNVFFLSDIHPSARIETGRYWSRSWLYGKHEISKNRNVLCQKAQDQVLHDFEVNLGRQNTCLEELKFIFSYIHTLEKEENPNPSIEEFQRLNQLTTQFLWKLREILSTRSQLLKVKVLELLCCTDDNLMQILPYLDPKCLKKIELIDPRSEYGRLGDRVKYPESMLKPFVLDEICQLEQWKNATELKIRSQPISTSIQKMNLTEFSKVWIDVETISSEDVLYLKDHLLLSTSFQRFIIDFKNTTIDYETLHGLIGPPHRIFSETSRIWFFQMEVNHQFLEVSLKRGCLRFDLKYYIRQYR >CRE31501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:37517:38877:-1 gene:WBGene00066558 transcript:CRE31501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31501 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIPLHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVTDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYLENLLMYSNEQKRSTLSISGYSPDSVIDNKDDSGFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLMAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESNRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE31479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:3952:7235:1 gene:WBGene00066559 transcript:CRE31479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31479 MSQNYPRMALSPITSMGIFYTEKSQKCELYFNDPYGKQSFEYKETKIDHLKNFVEYLEEIIYNQAGLVNSLKIKYSGLKENYKKNKLDPVINQIFKCLESRKELLQVKRLSIDAVDMSQAMTVVKLLDPSVLKKVEFCFENRDEDIDIEDALALVKWNEGQRMKLVFKLHTIRPEYLESVKKFLLQQSTFTEIFIYYKHCVHDNVSLRSIMDVPMQHETPGSKEKFIKFQLSHSLLLSAGLVKLTLSNNVSAKVLENPLIMKRVIQSFGFWNVQRLRKTSRGIRDCVDFLKPVTNIDEYNVFFLSDTHPSARSETGRYWSKNWIYGKHETSEDRNVLCQKAQDQVLNDFEVNLGHQNTCLEKLKFIFSYIDTLRKEENPNPSIEEFQRLNQLTTQFLWKLKEILSTRSQLLKVKVLKVLCCTDDNVMKILPYLDPKWLKKIELNDPRSEYGRLRDRVKYPEFMLKPFVLDEICQLEQWKSATELKIRSQPIPTSIQKMNITHFSRIWIEVDTISSEDVLYLKNHLLLSTTFQRFIIRFKNTTIDYETLHGLIGPPDRIFSDDDRIWFFQMEVNHQFLEVSLDRSRNKSENVDLDETDGDSFDRMRSVLGNMNPAYGLMHRIRYREK >CRE31480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:16666:19851:1 gene:WBGene00066560 transcript:CRE31480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31480 MSQNYPRMASSTITSMGIFYTEQTLKCEFYFNDPYGKQSFEYKETKKDHLKNFVEYLKEIIYNQAGLVNSLKIKYSGLEENYTKNKLDPVINQIFKCLESRKDLLQVKRLLIDAVDMSQAMTVVKLLDPLVLKKVEFCFENRDEEINMEDALALVKWNEGQRMKLVFKLHTMRPEYLESVKKFLLHRTTFTEIFVYYKNCAHDHASLRTIIDVPMQHETPDSKEKFFMFQLSHSLLLSAGLVKLTLSNDVSAKVLGNPLIMKRVIQSFGFWNVQRLRKTSRGIRDCVDFLKPVIHIDEYMVSFLSDIHPNAHIKTGRYWSKNWIYGKHEISEDKNVLCQKAQDQVLHDFEVNLGRQNTCLEELKFIFSYIDTLEKEENPNPSIEEFQRLHQLTGQFLGKLREILSRRSQLLKVKVLELLCCTDDNLMQILPYLDPKFLKKIELNDPRSEYERLGDRVKYPESMLKPFVLDEICQLEQWKSATELKIRSQPISTSIQKMNLTEFSKVWIDVETISSEDVLYLKDHFLLSTSFQRFIIDFKNTTIDYETLHGLIGPPHRIYGDDDRIWFFQMEVNHQFLKVPVFEVNLGRQNTCLEELKFIFSYIHTLEKEENPNPSIEEFQRLGQLTIQFLGRLRDLLSKRSQLLKVKVLELLCCTDDNLMQILPYLDPNCLKKIEVNDPRWEYERLGVKYPESMLKPFVLDEICQLEQWKSATELKIRSQPISTSIKKMNITDFSRIWIEVDTIRSEDVLYLKDHLLLSTTFRRFIINFKNTTIDYETLDGLIGPPHRIFTETSRIWFFQMEVNQYLLRVSLERRCLEFDTARNIRLIT >CRE31498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:14218:16127:-1 gene:WBGene00066561 transcript:CRE31498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31498 MSQNYPRMASSPITSVGIFYTEKTLKCELYFNDPYGKQSFEYKETRKRNDFLKNFVENLEEIIYNQAGLVDSLKIKYSGLEENYKKEKLDSVIHQIFKCLESRKERLQVKRLLIDAVDMSQAMTVVKLLDPSVLKKVEFCFENRNEDIAIEDALGLVKWNEGQRMKLVFKVHTMRPEYLESVEKFFLHRPTFTEIFIYYKHCFHDNESLKTIFGFPMQHETPGSTEKFIKFRLSHRLLLSAGFVKLTLSNDLSAKVLGNPLIMKRVIQSLGFWNVQRLRKTSRGIRDCVDFLKPVTHIHEYYVYFSIQGAGWLYGKYETSEDRNVLCQKAQDQVLNDFEVNLGRQNTCLEKLKFIFSYIDTLQKEEPSIEKFERDNQLTIQFLEKLKEILSARSHLLKVKVLELLCSTDDYLMQILPYLDPKCLKKIELNDPRSEYGRLGDDRVKYPESMLKPFVLDEICQLEQWKSATELEIRSQPISTSIQKMNITHFSRIWIEVDTISSEDVLYLKEVSDDYRKFYRYNNFSIFYYRPLSEDFLFISKKRQLTTKLFTDSLNRRIESSAMMIVSGFSKWK >CRE31497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:10835:12815:-1 gene:WBGene00066562 transcript:CRE31497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31497 MSQNYPRMSSSPITSIGVLYTEKSLKCELYFNDPYGKQSFEYKETRKRNDFLKNFVGDLEEIINNQKSLVDSLKIKYSGLEENYKKEKLDPVINQIFKCLESRKERLQVKRLLIDAVDMSQAMTVVKLLDPLVLKKVEFGFENRDEEIDLEDALALVDWNEGQRMKLVFKLHTMRPEYLESVKKFLLHRTTFTEIFVYYKNCVHDNVSLKTIIDLSMQHETPGSTEKFIKFRLSHRRLLSTGLVKLTLSNDLSAKVLGNPLIMKRVIRSLGFWNVQRLRKTSRGIRDCVDFLKPVTHIDEYTVYFSSDIHPSAYIETGCYSSRSWLYGKHETSEDRNELCQKAQDQVLNDFDVNLRYQNTCLEELKFIFSYIDTLQKEEPSIEKFERVNQLTIQFLEKLKEILSARSQLLKVKVLELLCCTDDNLMKILPYLDPKCLKKIELIDPRAEYERLGDDRVKYPESMLKPFVLDEICQLEQWKSATELEIRSQPISTSIQKMNITHFSKIWIEVDTISSEDVLYLKEHLLLSTSFQRFIIDFKNTTIDYETLHGLIGPPHRIFTETSRIWFFQMEVNNQFLEVTLDRRWLDFDLADYIRQ >CRE31496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:7465:8490:-1 gene:WBGene00066563 transcript:CRE31496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31496 MSSSPTSSSCFISIDQILRAHHSKTPPKCLRCKNHGVTTILKGHKRLCRWKDCICKKCILIAERQRVNAAQVALHRQKIQEEEDVKELETLLGRTVNAAEALKILNGGFPADLNVQNNDTNQEIPNTPSSPPALLPVHLKSSIELVLPNSMSYNHQFLQFSQFYPLVNSCWSISLAT >CRE31495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:1802:3499:-1 gene:WBGene00066565 transcript:CRE31495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31495 MPQNYPRMSSSPITSIGIFYNEQSLKCELYFDDPCGKQSFEYKETRNDHLKIFVEYPEEIINNQTGLVNSLNIKYSGLEENYTKEKLDPVITQIFKCLESRKELLQVKRLLIDAVDMSQAMTVVKLLDPSVLKKVEFCFENRDEDIDIEDALALVKWNEGKRMKLVFKLHTLHPGYLESVKKFLLHLPTFTEIFVYYKQCVNENACLGTIMDIPMQHETPGSKEKFFQFRLSHSLLLSDKYHVYFLSDTHPSAYIKIGCRRKRSLLYGKHETSGDINVLCQKAQAQILHDFEVNLGRQNTCLEELNFIFSYIHTLRKEEPSIETFGSLNQLTIQFLGKLKEILSRRSQLLKVKKLDILCCTDDNLMQILPYLDPNCLKTIKLIDPRAEYERLGNRVNYPESMLKPFVLDEICQLEQWKSATELEIRSQPISTSIQEMNITHFSKIYMQVETISSEDVLYLKDHLLQSTTFQRFIIPFKNKAIDYETLVGIMGPPHRIYGDNDRIIWFFQMEVNHQFLEVRLDMRYIEFKLTSHIE >CRE03981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1098805:1100051:-1 gene:WBGene00066566 transcript:CRE03981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03981 MMDPAERFNLSLISKRIEVDVHCARTRLYSPIIVFVDSYSKIFLKEIKGFELTCTITGFRIKTGKHFSKIGSIIENTSEAINRLRNTSKCGKIDIRIRTKSIEKSFIEEILSNTVLENYSNISMIGVAFDMEAMTFFMNTAKFDSRFSFRNSEMPLDLRHENALKFKRSIYDDARWVRVDDLLRIVNVDRMILYRTNLTSDDVRTLLTHWINSDVDMFQSMRIVAKEDIELDELFDSLVVLKHLHDSFSTIFTLAKSPSRVFPVLAITHRLPLAIMSAWKPDETFNDDPSKDEYKPTYQILQLLERKTTLERTLEQRNNVDQIQMTEELAEIMQELWRFGVFFEGGRATRG >CRE03982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1110382:1122827:-1 gene:WBGene00066567 transcript:CRE03982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-2 description:CRE-PGP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LY62] MKSRKNEPTWVTKPLLKRSHSSDSSIDESTVKLTNYGLFSYTRGKDLLLLIVGTIAAVIHGAGFPLLAIVLGGMTTVFLRAQNSGFVVGIENVNPNGLEPISMEDFNAEVVKFCIYYLVLGVLMFVTSYIQIACFESYAENLVHKLRQNYLKAILRQQIQWFDKQQTGNLTARLTDDLERVREGLGDKFALLVQMFAAFLAGYGVGFFYSWSMTLVMMGFAPLIVLSGAKMSKSMATRTKVEQETYAVAGAIAEETFSSIRTVHSLNGHKRELDRFWNALEVGRQTGIVKYCYMGIGVGFSNLCMYSSYALAFWYGSTLIINDPTFDRGLIFTVFFAVLSGSTSLGGALPHLASFGTARGAASTVLRVINSHPKIDPYSLEGILVDNMKGDISFQNVHFRYPSRKDVQVLKGISLEVKSGDKIALVGSSGCGKSTIVNLLQRFYDPTKGKVLLDGVDLKEVNVHSLREQIGIVSQEPVLFDGTIYENIKMGNEHATHDQVVEACKMANANDFIKRLPDGYGTRVGEKGVQLSGGQKQRIAIARALVKNPKILLLDEATSALDTEAEREVQAALDQAQTGRTTIIVAHRLSTIRNVDRIFVFKAGNIVETGSHEELMNKQGVFYDMTQAQVVRQQQQEAGKDIEDTISESAHSHLSRKSSTRSAISIATSIHQLAEEVEECKAPPTSISKIFSFNRDKIWWFIGGLFGAFIFGSVTPVFALVYAEIFNVYSLPVEQMQSSVYFWCGMFVLMGVTFFIGFFISANCLGRCGESLTMKLRFEAFKNLMRQDIAFYDDLRHGTGKLCTRFATDAPNVRYVFTRLPVVLASVVTICGALGIGFWYGWQLALVLVVMVPLLVMGGYFEMQMRFGKQIRDTQLLEEAGKVASQAVEHIRTVHSLNRQEQFHFTYCEYLRLPFNTNLKHAHTYGAVFAFSQSLIFFMYAAAFYLGSIFVNQHAMQPIDVYRVFFAISFSGQMIGNATSFIPDVVKARLAASLLFYLIEHPTPIDSLSEAGIVKSITGNISIRNVFFNYPTRKETKVLQGFTLDIKPGQTVALVGHSGCGKSTIMGLLERFYNQDKGMIMIDGDNIRNLNISSLRQQVCIVSQEPTLFDCTIGENICYGTNRNVTYQEIVEAAKMANIHNFILGLPDGYDTHVGEKGTQLSGGQKQRIAIARALVRSPSVLLLDEATSALDTESEKIVQEALDAAKQGRTCLVIAHRLSTIQNSDVIAIVNDGKIVDKGTHDELIRKSEIYQKLCETQRIFQILFSVSRSSQVHSNLGEGVSDSFLSQPKQTVSDSFLSXXXXFRSSQSAKQSVHSIEKESDLFQSASSQYTVFGESSSDLFSFQILFSVSRSSQVHSNLGEGVSDSFFSQPNFRFFSQSAEAVRYTVIWGK >CRE03765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1134750:1139883:1 gene:WBGene00066569 transcript:CRE03765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-noah-1 description:CRE-NOAH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY63] MKVFAVFALFAVSALADTLPSVTICPPETQTIFVLQHNTTVGARIRTIPTSNLAECSDHCAASLDCQGVEFKDGSCAVFRAGSEKAVKGSQLLTKSCVKSDRVCQSPFQFDLFEQKILVGFAREVVPAENIQVCMASCLNAFDTFGFECESAMFYPVDQECILNTEDRLDRPSLFVDEADDTVIYMDNNCAGSQCYPPYITQYIAVEGKQLKNELDRIINVDLDSCQALCTQRLSISSNDFNCKSFMYNNKTRTCILADERSKPLGRADLVATEGFTYFEKKCFASPNTCRNVPSFKRVPQMILVGFAAFVMENVPSVTMCLDQCTNPPPETGDGFVCKSVMYYYNEQECILNSETRESKPELFIPEGEEFLVDYFDITCHLKQEKCPAGQHLKAIRTINAALPEGESELHVLKSSAAKGIKECVAKCFGLSPEKCRSFNYDKKTKSCDLLYLDGHNTLQPQVRQGVDLYDLHCLAALPLVENDCSANKDDALFSRYLHTKQRGIPAKSYKVVSLNSCLEVCAGNPTCAGANYNRRLGDCSLFDAIDEDAEVNEHTDFYKNLCVTKEIDTGASAAANVPEAKHRVSGTVVEGKDSKAQLLATKKVKKPSIKNTEHRRAPESTVPIGPPVEVKAEAIQTICNYEGIKVQINNGEPFSGVIFVKNKFDTCRVEVANSNAATLVLGLPKDFGMRPISLDNLDDNETGKNKTKKGEEESSLKEEIEEFRQKRQAAEFRDCGLVDLLNGTYKSTVVIQTNNLGIPGLVTSMDQLYEVSCDYSSMLGGRVQAGYNMTVTGPEANLIQPRGKIELGNPVLMQLLNGDGTEQPLVQAKLGDILELRWEIMAMDDELDFFVKNCHAEPGVGGGKAGAGEKLQLIDGGCPTPAVAQKLIPGAIEIKSSAVKTTKMQAFRFDSSASIRVTCEVEICKGDCEAVECALTGGVKKSFGRKKREVSNNIEEFETNRYLIPRRSHATTSIVIIDPLQQVNEPVAMSRASTLDLLREEAHEVQVIEEGSICLNSVTVFAIFGTLAVLILGQSVVIAHYAFRRFSTEKTA >CRE03983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1140025:1141762:-1 gene:WBGene00066571 transcript:CRE03983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-7 MRYRAKSCKFQFFVPFVNLLIIPKSNNFQFRHYMASNSTRLKVWEENCPVLKDTRFQRKYQVLEDIIGEGSFGTVIRAKCRATQTNRAIKAIRRIEKVNMLSIELELLSELGGHFNIVKLYEFFHFNGSVALVLEYFPHCSANELLYRTKQDLSFGLKYIENLLSAVAYLHHNGYVHRDVKLSNFLYSPQTNKFRLVDFGLATNDRSKNENSRKNNVAALNKDPPCTTCNGSSTPCMFCKNRPKREIYHIVGTPGVRAPELLFGVGLCNTAVDVFSCGIVLLSLICVKHPFFTPKDETENIYDLAFLLGSKTIEDMAKNEGLRVTLSEKLPPVDYYKLVMSLRYGFNYVSKNILPAAPCKMCYNRSYNNSKGVCFCRTDYETNMYATGEHKIGKKDDELMTVYVDLLYRSLEADRFKRYNADQLLCIIDTYRTRAAYVAKPIENDD >CRE03766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1143674:1144796:1 gene:WBGene00066572 transcript:CRE03766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vpr-1 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LY65] MSDKHSLLQVTPNRELTFTGPFTDVVTAHMTLKNTSSNPVCFKVKTTAPKQYCVRPNSGLLKQGDSKQITVMLQPLEGIPSDAGRHKFMVQSCVAPAEDLQDLESIWKVVDPSELTYSKLMVTFVDKKNPASGDDSKTFIANGSEETFSSGQAQELGSSYSANTSQQDGTVASLRKSLKSTIDEKDELQKKVNGLEQEIEVMLKKNRKLQQNQADGAVVEGTYPSLQVVLIAIATLLIGLILGHLF >CRE03767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1145380:1146763:1 gene:WBGene00066573 transcript:CRE03767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03767 MAGGSGPKKEKDEMASVEFESSEEVNVIPTFDKMGLREDLLRGIYAYGFEKPSAIQQRAIPAILKARDVIAQAQSGTGKTATFSISVLQSLDTQVRETQALILSPTRELAVQIQKVVLALGDYMNVQCHACIGGTNLGEDIRKLDYGQHVVSGTPGRVFDMIRRRNLRTRAIKLLVLDEADEMLNKGFKEQLYDIYRYLPPGAQVVLLSATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVDREEWKFDTLIDLYDTLTITQAVLFCNTRRKVDWLTDKMKEANFTVSSMHGDMEQKDRDEVMKEFRAGTTRVLISTDVWARGLDVPQVSLVSNVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKQDDVRILRDIEQYYSTQIDEMPMNIADII >CRE03768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1148952:1150385:1 gene:WBGene00066574 transcript:CRE03768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhhc-3 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LY67] MSVFIRTKTNCCGRSWCVRDPCGIMCAVVTWFLIAYGQVCVFMVMLYSWETYPIHTVFNFLIFETFSVLAVISHLKTMMTDPGAVAKGDCTEETVERMQLLNGHTAIYKCQKCSSIKPDRAHHCSVCERCIRRMDHHCPWVNNCVGEGNQKFFVLFTMYIALLSMHALYWGIWQFVLCVGAEWQKCSSLTPPGTTLLLIFLLFESILFAIFTAVMFGTQISSICNDETTIESMRSRNAMLDDEERQRNNSWKNLQLVFGGPFGIRWFNPLANPFVSRPTFEYSV >CRE03769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1150899:1155487:1 gene:WBGene00066575 transcript:CRE03769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-3 MALEIAKDGGLARPKILDRIIRFRKFILIIAYLGAYFSSQYLFDPVNMEVTRISEHSLMPGLVTPKFDKSGVAIQLYRRLTDLPKTKKQQKFILDVFSEFGLQCFTQKWKSTIAGYPKRGENVYGFIRGHRNDGAEAQLIVVQLGRSESARRMISRMLAFIDYAKEQVYWARDFVIVFVDGGDKKESIDQAAFALDAFLFKYQKIEALTSKQSNGTVIADEIQSQTGALIAGVVYDLSGMSIKGQHIINIQTNGLNGQQVNLDVFNGIVKIADSKHHSKVAIYGLMHRHASPYKDYSPYDVPLKALFTQAFVSIEGIHSVMGKYGVQGLTVGLSHDYSERQAGQFIEEVSRMLNNVLERLHQSYFMYVLSDDMHFISIALFVIPIGILISPLVFLVYFEWKKCQVFHFPLTYPFIHFIGYMLYLFTTWTYKHVGTRYVFQWTQYPFIGKEGCDGAFSPLFDLMHSFLLFSVVPFGLYAFYKVPVPCVPTMRIFCLVETTLAIVALALTNVGLGIFTSAVVVPVVFLMTYESYQRERSIFRSGMLAILSPMVVLTVVAYSLPAFITVIIPDKAYQTVIGVPVFMLQTMIQTDAANGSWHLFLMTCVAWPVWNMIFASSLRYDLDIDRANMTPPPTPAPFLASTAAGTPEDPPARGFGKRTKK >CRE03771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1160912:1162376:1 gene:WBGene00066576 transcript:CRE03771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03771 MTSEKKKSGREEEKRTKSSSTGKKRSKNEKSRDGRRTSDRTKSEDTKESGKKDSSPQRQKSQSSPRKPKKSGLSPPPSSLQSLPGELINVRLRSESNHCLFFIFQEGMDVTQWENTPYKDEATGNVVEDPNEYVVDKVGEGTPFLTEQKQVMLQKGEHLFDKPMYTLFFLFVELILGLTVLVSWSFFLDSNEFTPILFCFANIIIIIIVILILFVIQFGRFNVIKEELDEDFRYRIPYHWKYWICVLHFLRFFLICSNISIAALDNDFDGGTITMVSAMPVMLILSAGHVFFALKPQ >CRE03984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1162468:1163625:-1 gene:WBGene00066577 transcript:CRE03984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-54 description:CRE-COL-54 protein [Source:UniProtKB/TrEMBL;Acc:E3LY71] MSTTSSVLLFITSVCGVTILSSLFIAGSLYFDAQEFLETSLDEIESFKHYSDVAWKDMVSSNTFDRKKREDDKPCGCACANGPNNCPPGEAGPPGAPGLPGADGEAGNPGKDGNAGSSLIFEDEKLPCIKCPAGEPGPAGPDGAPGAPGPDGQPGAPGAAGNPGAAGETGPEGEPGDAGAAGETGPPGASGKNGQRGSGAPGPAGPEGPVGEPGKDGQPGANGEVGADGSEGIQGAPGKDGEKGSDGVAGGDGTPGAPGPDAAYCSCPERTKLVFASESP >CRE03985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1164025:1164978:-1 gene:WBGene00066578 transcript:CRE03985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-12 description:CRE-CEH-12 protein [Source:UniProtKB/TrEMBL;Acc:E3LY72] MFSSIDSLLKTVSTSPPSVEKSEEPLSPTDLSCSTNNYSNSCSEELMKMAAKAAHFSASNCFETQTSPTTTAVHPLTTYTSLVQPVLPLLYDHLALTYSVNAWQAWGKMRRPRTAFSSEQLVQLEKQFSDNRYLSRPRRYQLAQQLSLSETQIKIWFQNRRMKNKRCPSSTPTHPSSSSCQ >CRE03986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1165419:1166306:-1 gene:WBGene00066579 transcript:CRE03986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rmd-6 description:CRE-RMD-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LY73] MSFDEIDKTFGTKNRDQGFELLKARIAKGDRSVEVLWRMAQMMHERSACMPKAQRKAAIDDGLKFAEEAVQKDPSHFKSLKWNAVLTGQATEYMATKEKLECSKKFKELLDKALAKEPKDTALLHLRGRYKYSVASLTWLEKKLASTFYQQPPSHSYEEANEDFLAAYKVNPKWMENLFYIGKCYVAVKDKANAKKYLGELIDIEPYSDAEQEFLDDAKAMLNKL >CRE03772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1170561:1174411:1 gene:WBGene00066580 transcript:CRE03772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tyr-4 description:CRE-TYR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LY74] MKYLYSILLLLFPLYLNAQDPCASAPTETAKILCRQIHKWDDGAREASKKKKIALPPGLAKGMAAEFAPIASNIYQCMDLPCLCSYLRGTATGNGGCTLPNGQPLQKSVRKEYRMLTDDERNRLHAAFRALKNNGEYERIGRIHSQMSAAGGAHSGPAFLPWHREFVKRVEFALRQVDPTVNLPYWDSTLDSGLPRPADTIMFSEYLVGGTGLVNNGPFTNWRTLAGRPQIQRAVGAQGAPLSQADIDFVMRQTQIDQVLSFTAPQQGCPYRTDFNCLEYTHGNVHIFVGGDMFDTATSSNDPSFFLHHAFVDFVWEMWRLARQSRADREIAFPPDNQLCASPQHFGASPMQPFSPMRNIDGLSNKFTDNLYSYAPRPTCQAGGDCGSKFLFCDTSTGVARCVSRIRPGSQCGNFRVNPCFSGVCRNGVCVISSTAPPPTPPPTTPPPANQNPVQSQESCFNENQCCASWAASGECSRNTAYMNEWCKASCGVCKPKYRLADDCTDRHTQCASWSRSGECTKNALWMTENCRKSCSKCGRSRAQECGSGGTVTTTTVSPAQQCDNSDGCFNENVCCAVWGLMGECRKNARYMACNCRVSCGHCFPEDYNYGSCVDYHRSCAGWARVGECQKNPWMAENCRSSCNSCYTQSELRRMCGTQAGSVAPVAQVRQNNPSRQPPRGGWGRDDFGGGDSGWGGNGGGGWGGGDPWGGSRWGNGGGGWGGGGGGWGGGGWGKRSIRAANASISMPAFDPDLL >CRE03987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1174493:1177150:-1 gene:WBGene00066581 transcript:CRE03987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-stam-1 description:CRE-STAM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY75] MKKVKKTFPMSTYDDLLAKVTAPTLTSENWEGILGFCDLINNDYEGQKTGIKALRKRLNNRDPHVVLLAISVLDSCWANCEERFRKEVSSAQFINELKALCTSSQRQVAEKMRLTLLKWVQTECKNEPSLSLIVSLYKNLEADGYSFVVDDPKAKTKVIDAKYANDPNYVGSAAEEEAIAKAIAASLADAEKQEKAKKSTSSSMYPSTKATSPPTQSNSNAPEKKVRALYDFEAAENNELSFVAGDVIVVTDESNPHWWTGRIGTQQGLFPSSFVTNQLDDSKAKEAETAQKAPEVVASINEAVLVRCLQVLHECDPTGERPDPQDLAQLEAASYAQGSLIDAHLASIDRQSNSLAQIDIAIRDVLALYDDAVQKSGGYQPNMYQQPMQQYNYQQPQPPYYQQAAPPAQQVQQQHPYPQHYTPTPQQQQQQYAYPPNVSSAPAPVPQQAQQSQQPQQWPPAPPTQQY >CRE03773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1178519:1185399:1 gene:WBGene00066582 transcript:CRE03773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-27 MSANNQVSSLLDLIMSLLDTAITYQHQESVKNEVTESLKTIGAHQPNVLLTACHQYLLQNPKLGAFKRSFVLQSISICVDNAEILPKLDEQLVMLIINLATQEMNMTKDTDVEWAESAMEVLVTLAKNHRFVCHVIDAILQKFPPGQTTSPHRYIVLTMATIANHNPFGLVPFLTDILSRTVPLLQHVKTDPLRCAWARAICSFCEAVRECETERPKEASEEFNGEHSRPNSANGHCQEVSNRATYSDQTEAVYDVVFGWIYSKDPKTRGEAAECVGELCLMIKQTKLVEDVKKIVTNMLPLYRKSYNESHMITQGICRFLEAACVDETCPLEPYLEDILNALFPNACLDPDDTTVTLGTQAIKNHSEAFRCFDVAATRFADRIVYYLLHKMQSVTDSQKLGAINVLRHLLNATGQHMEDKRSLLTMGLKKLLAAENTTSIRVKRAIVQLCVALADHSYVDAEGGDYVIAFLVRNLVGPTEQEAATKKIEVDVAGVNQLRTQCAQALYTIANTCVCATRLLWPYLLEFICCERYTPVVGDLCKCLRTLVNREIDASRNMDYNTGFDNPKVAGRHAVLARLFTSFCNAPLNGLLTRRAREAGGLIQVIAPWFHNSMEGPAAKWSERLEPLLDELSTTTVSSVDSAPAELRGRKIARWHEACLDWLSLCISAIPEGEWRQDLAAAMGKQLDMYKELSDEKSFLFRCLGVALSKITAKQFVIDHMMLMFKTASHSVLTERQGCARAVGAISSSHMDLVLIELENVSKWEHARKSSGIFGFIKDTMPIRQYPDIEMINLRATLMLCYGHVVMACSLDTVTQRLQNTIMVFLRNYFANSKQETVVREAMLETMRLIATAVHPSRIGGEWKFDARNELLAYAKDYLNGETPEWLTSSLRLLTCKATAALVQLEPPLSATDIEDIGNVLSRQILPMQREKSGLKTLAFDIFDYASSSVLSTFSSGAERGAHQHTSPPLTGSSVAEGPNGTPIHQRHRGIGKMEDDESATIMDATMHQYGLALEQIVRMSPTTQTVMILLKILLPYYGKQADHERIRAVDMTVLILRVYYECAEDISLGHATDFEPLSSLLGRLAPRLVDLLAHVRLQALSAIHWALRLAYMHKGHGRDADQSLFSYQNFVEKYLTSGDVKLDGQKEKLAIEAIAQIIEYRLPQSQMQIYLSAIFEMLTDRQSHVSSAAAQLLTFAVMARGSTLNSEAEILVTKMVEKLADIHHCVQTNTDVLAALVAFAVHQQQAVCDVLFKQPLPYSINITDAWECLSRDKLLFAGILDHLTELLGASLDQPFELMDSGGGVSAKVVNVEPCTYVAVLAEVVKNGEPESALMERLPLILTLLIHFICSVSDTQFPVMQKENKDGTKSPLIITPDLRRSAEKPAGMAVSAIKNLLNRTRSNMVIEDMNQARAWSDCIDKDAFIHAIGVLIRSLVEQRPAWVPPLAKTMEEYANSESEPRRLAAVIVASSLIRRSTNEAGEFNEQLLVKCIRRLEDSLTDPSLRIRKLCVKGLGELSECSSSDVISRFVHMAVEAAMSGLDDHGDRKDTVAIESILALNKLVQLTNNDQLKSILPLVLLKIRPCFEKDSYALRAAAFSLFGELGARVGENSEEFRGHLHTNIVSLLLHLNDDYEDVRQKCALSIYRLNELLTSPNASICIERDMKDGKQPNSYNAFIKDFAAILANSFPDRINQYALATSNYFKSSSARIRCNAANLTGCLLDGLSAPLRATISRELVFTGLVALLKDSEDVNVRISATRAIANLHHFH >CRE03988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1202976:1204059:-1 gene:WBGene00066583 transcript:CRE03988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03988 MYGVLVIGAPGAGKSTFCAGLTDIFTQTGRPFVTINLDPANDTMAYAPDVNITELITVTDVMDRLGLGPNGALKYCIETLGTNSDWLLQKIEANRKKYMIIDCPGQLELYKSEGELWKVIRHLEKAGVRLCALHLADSLYCSDPSKFISVALSTLATMVTMEMPQVNCLSKADIFSPEGTYDLDFFSYLPDVNRLLDLLNEVPGLEKYRKLNEAICGVITDFDLVSFVPLAVENKESMMKVIQMVDTANGFSLTEQGDIRELILNSKS >CRE03775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1204363:1205890:1 gene:WBGene00066584 transcript:CRE03775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-air-2 description:CRE-AIR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LY79] MENKPEVIHDHDEKKSKNNPMKGGKLSIKDFEIGRPLGKGKFGSVYLARTKEGHFHCAIKVLFKSQLISGGVEHQLEREIEIQSHLHHPNIVRLYTYFWDAKKIYLVLEYAPGGEMYKSLTNQKRFTEVMAGKYMYEIADALQYCHHKKVIHRDIKPENLLIGPQGELKIGDFGWSVHAPSNKRQTMCGTMDYLPPEMIVGNHHSDAVDLWAIGVLCYEFLVGKPPFEHDKQADTYSAIKVARFSYPDYVKKGARDLIGKLLVVDPTKRCTLQKVKDHYWVTQMVETARKVAEQAKAEKAASLRDH >CRE03776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1209963:1212305:1 gene:WBGene00066585 transcript:CRE03776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-14 description:CRE-GPA-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LY80] MFGCFSRFSVEYCYQCMHGPDGCMVPSRHGEGSELYAHSEELESKLRGLAKKESMEIEKVLAQDKKNYGSHIKILILGGPSSGKSTIFKQMQIIHVNGFKSEQELVQYRGLIDANIRDSYFQLIAGARLLDIPLEPIDHLLYEINDIYSPMCDEFAIRTVPDLVEPLIAFWKSEQIQEVYKRRYEFELMDSTKYYLENLERISNENYLPNEEDIVHSRKATVSINSIVFEYTGVSLLMIDVGGQRSERKKWLHLFDDAKVVLFVIDLTGYAKKSEESRTELSRFPLLFRDIGKDAFDMKVALKIFNDISASPALASAVFLLFFNKVDLFKELLPQVRLQPCFSKFEEENDYDSTSKFICEKFTRAAKSKKSVFPHFTTATNTENIKLVFRACMESVFKANSKATGLS >CRE03989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1212627:1215227:-1 gene:WBGene00066586 transcript:CRE03989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03989 MSSSSSCAKPEFEVGECVAGFEVIKKLGSGGFGAVYHVKKDGMEMALKTEFIDEENNEETLKNEVHMLRMMQWSPSFCRLFTAKRMKWCGQSVNIMVMTICNRPLSRLRRMMPDRHFTKSTAARLSYQLLEAIRDLHFSGIIHRDVKASNCGWHAASRRIFLFDLGFSRKFLKVDPTTLKVSHRSARKAPGFMGTSKYCSVFAHDELDQGRRDDLWAWLYSTVELFLGTLPWNTEEKSILVSKMKKRVGKKLFYKCPREFALMYEHIRQLKFDSSPDYQMMMNLFQQMKIRLEIDESDPMDFEEGSAFFEEYFCGQTDSEKEMNSDYQSTIPDVLESHRNRKSDIVTLGSRSASSDDENKLGRLAAGFNRAINRLMSF >CRE03777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1216482:1217003:1 gene:WBGene00066587 transcript:CRE03777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03777 MQKPYGSRRRASMESHTPGDQLERDTSLSVKYGDGSIMVWGVFSGKGVGPLHKIDGIMDKDVYLSILDYIMLPYSRRDHGRRSVFQQDNDPKHSSKIVKKWFGNKRIPVMQWQSQSPELNLIEHLWEHCERMRRKSLSNPKKYGTRFILDNLIDSIPRRCQEIINSRGYATKY >CRE03778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1227892:1229308:1 gene:WBGene00066588 transcript:CRE03778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03778 MAIIDLEMYNFGLYIVLLCIVGTMLACALCIANPGACANPMWYTNFCLLLHDYMQEMYPVHPDGTSSPKMTGLRAQMQRHAAQSMATSEDGTRLTVRQESRATVAMLAPAVHIMHQVWKGMAWVTDGIEEEDEDTRMSMYDKMEQGTSDETRSGSDHALKRLSTIAETSSYILRELEEPSSEINSRNDVTL >CRE03990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1229519:1230273:-1 gene:WBGene00066589 transcript:CRE03990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03990 MAQSNTSTNCFRDLITLKNLGGDLSLFYTMGAFNCLLLCINISILYAVLGYMKPTIAELRKQTYIIMDNILLSYIPAGIRETIGCQPEIKMGGGGGKAVGKPGGKGHKGSKGATKTMTKTSTGTTKTGGTTGATAAASE >CRE03991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1232054:1232479:-1 gene:WBGene00066590 transcript:CRE03991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03991 MSSSEENQFIGNAGTLKNNKEELRLHLSSESDDSFNNRNVIRRAKLMNSSHFVCIVMTATLLVTVCGIVLLVQIIERSRQ >CRE03992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1236799:1242742:-1 gene:WBGene00066591 transcript:CRE03992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtk-1 description:CRE-MTK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY86] MSSGRYKGIKRCRSMPTIDDEVVGDVIKKQVAAVKKMTNKINTSKREYMGRRSLGVATKVKRKKSKTERDRDIQDDMYDEDYNGSSTSSSSSRPYRCIPILERSNRSSHNYLPSVESSSSDSDREIRRPPLLYSTLLTSPPKTLSIDSLTDQFDQIEVTDRSKLHLEISHFLKKADGEKKKQMQMSQRMMNCPQFGQTMRLIVEESEHTRYTFENILWLILKAYFSVGANGRDIIIGKSEWMAEDESIAKARKQYMMVMDMIKEFKFEFIKCDKDDSSFDSLNRRMEFSPDYCESLREARKRITDILDKYDTLVELFPNQTALWKVVELDRGEAEKKLLEGRMTSMRVWLNTLNDMADKFKVLGALFEVESMNNHEWFRPLDEDNKIFALEDVRVVFLEYVKKSLNLKGMKKVLNRVEKIIELTLVKTAILMQKPPQSYAEATASRGSSFPFSQLMKDRYGDMAQWRFCNALSHSLNLPPLTHLFFFLVAVPMQLVVHWLEIRSATEPPDSSLLDELTFDAMITDSRDCVEEAVRIKKNYSTILQSMCSKCAMPGFLYPLKYTTYVLDVFKKYIHYVKCWSNCDTVRKEPTLLFSRLEMEWTSAVQCAQSVKSALGLLCITYCDIIESLIKEVVEEFAIEQIEEIRNRYNDPVESENDDDDDYELEVPGSPRKPILRHQSNHQFMLEINLLIREVKERELRIFSLLRITLNDSQDAVGYTLRPDIDRTRMFNEMIPDFCLIQLVMSSGEEDQYDDNDLVNLPIVLFVYRTTVDKSYVETCMLAISEGRKIEDGCIVIVPDKDFELQKHWKGRVVKIEIDEETRICYRFLREDMTLCLASANIRANIEQKYSKFLKCVAPACSSNFIINSRIQTLTENALLEHLDEEGRRISMLLGKLGVGQHEERTLSSLGFKLEKHFLVAFQIHRDVAKVVSDSFMDELGSKMIEKALMLTRQWMKYVQLKNSVPSPTLPMWAIPGFTFLQFITEPKWSNPEIMTDEQHKEFNDLVKQFEAKIILSSSNMSTPIVPKQRMTRSTSSKTSSSSMENQKKSKKENQMLRLQELEDKRHKIDLDNRQAGRVVTDDSHFVLATDRKVVTRAPFLFALLDEIAAGTFGVVHRAMDITSHRVIAAKVMRIRRENHKAIESEINIFRQLTHENLVKYYGVEVEDSDVIIFMEFCSEGTLERICHGRMDLKMVRQYTHSLLRAVQYLHTQKIIHRDIKPANIFLDKCTVLKLGDFGSSSRLVETSTVYGEFQTTAGTPQFMAPEIYSYGEKDEVTGSYSGYGRSVDIWAIGGTVVNMMTGKLPFEGQTRHQIAFAICFRKQKPIYPEIANERPDVRSFLDKCFEFQAADRANASELLQTTFANVNVSVSGKPRKHSEIFFY >CRE03779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1243597:1245175:1 gene:WBGene00066592 transcript:CRE03779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srz-4 description:CRE-SRZ-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LY87] MSYENASKFDLSEIPSTYLLIGGIGGCGFLIYLVSILIIFPLYVYVHRLNRKTEKLAYFYPITKHFYSVMCSMQFYIYVTTSITIISWLFFDLPAAGSVLLVSFFFVYFVAITVTSVQNVLLFVLAVRRFLILFLPNFKKVISIDPKSFKLWLRILYGFYIFIQVASKIVKLFCGTDSLARTSFLKFENKTERVMNLTEKYEDCSSTVDFIYVRIYLAGDLLVMFAAVLYAIMFIKIRKWSKMTSADSSNSPEKYIFYQTLLIVVTKLLAISTILLLTYQRGFDYDWAFTTFVLTDISTTPFVIQGSYLLCNRTNVDTILSIQFKKLKTWKMIICGGGSVSEGRQRRREFKMKIYEAKRKAQEMIRGDKTITKLVVNVIPINRRTENVT >CRE03993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1245894:1248805:-1 gene:WBGene00066593 transcript:CRE03993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-51 description:CRE-VPS-51 protein [Source:UniProtKB/TrEMBL;Acc:E3LY88] MSSFSSVLDVTKPDFDVEAYVVKLLREKSLDGLVKEEEEMVSAVRRLDSDVHQIVYENYNKFLTATNTVRKIQDEFTQLDSEMKSLSQSMTTISTLIGNLDGVLGEKRDDILQLGSSYKVVNSLKHIFDLPHVLQSEFDERNYGEVLRMFKLAEESLEQYKDVPTVQTVLQKSKKIYDMTENQLMDQLRNPASGAELVSEAVDLLLTIGRDEDEVQQVLLRCSEQSLREDLKELSTNHSDVLDLVDKASESFIPNLTLIATTHDRLFEEKRDELLAVLQTEMNSLHALVSKVFLSSMDAKDCSIVVRALDRYFRKISTCRHVIPGLDFLPLTIELINEVSKHEIDLSLLRIKEELKNSLNEVRKALINEEKDLSGLASKIEQVFVHQVKTALANLLLFTASDVTFANLPPDEFRQSFALNAHERLLVQAFHRFAELADEYESGAGETRFIDPRVLLVFSVALQHLSNKSAVYLLNLCREQFSLTPEEGLTDISVVTLEVKLRAQKLVRCYAEKTGLSMGETLVKGCTMLVQPAATPSAIRASVRRLVEEMNICDSELALLLGGDSKPKEARVSRRPITTALDAARDSLWCERIDFHLQIHFNRASIITVIVKVVLKIFIESIRLQTYSKFGVEQVQVDCYYLQRCLAALVSDEVVVNSMVDQALSSALKRCQDPVLVHPSRLAQLCEAPPASANRPSSQASSLGY >CRE03994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1249804:1251231:-1 gene:WBGene00066594 transcript:CRE03994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03994 MSTTISVVCRPLLLLFASFFLLLFSRFSFLVESFVGTETSIDSRIMTQAPPQMEPPQQQPSQPQQAHRIAAEPEPRVLCGLPIGGAVGILGSILMICQIFGLFYLSPFLLYFFEISIGSAMMFILSTGAKHRKIAYITAYMLYISMYTFWVFFLILGAILATVYLVDNNNNICNPNHEDNATTHKGCIDSKFTTGDVEMVVISCFFLTVSIIVALIQMRYLMILYNFLRRNLHRLPSHQANNYNVQYIVPTPISPNGMPPPPRYTAYAAPMPPQPALPTKQPLPIDTESTPTTRTGSVISTPILTISTLEQQPGADNLAFGAEDDPTRSRAPSRPPAYSDVVEPGHITIRSDALA >CRE03780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1251781:1255552:1 gene:WBGene00066595 transcript:CRE03780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-35 description:CRE-LIN-35 protein [Source:UniProtKB/TrEMBL;Acc:E3LY90] MFIVLKQADFQLYNDNEQSGALTFNQLSSNDKLERGEPREEERDDEEEDDDEDSRSPIAALEDEEEDDDDAESVFDKSNIPPPSATFMNCCINANLTDDIAVASWDIYYHASQRAALEGSESAWQLSAIYYYLLSRGIKRRGKPARLLTQRMPVSILTIASTFDVSIAELMDKTVRFADIIHSRKMRRYLDYLRRIQEGLAVSCVIFKKFCKVYNGIFEQLKEGTDSCPSSHELFTVIWTSFLVMKSRLQTDDLVNNYQLLFCMIDQIYTKMSLMEEGIIHHLNQKFVGSLIDNDSTILRALCTQFGGCILDTRHFYDHTYKKMKKTGVPESWDFLEDRDMIINVPKLAYDQYVLQRGNIDERVFIPTHNRFPAIFKEDFISASVLKKSFSGRPFRDAEFLSTISSNQCLEKIAHGKTAAEKVSQSKERPKVPCAEYSLELGKYSDEDEAEEVHKRLVRIIGNWNLDTSKLQEACERMSDNPMATILLKCDELTSKFERTVGAEHGETNDSSMLSKFHKQLRNELEKSFLIFIEKIIVTEVKKKVREEDLLTVIRREEFLGAVFCFCIELVLCSNGYDREFPWSAKLCACHPFMFHKVIDLMISHEKRLSRQMIQHFSKIEESIITYYAWKFESPMWPMIVRCPFTNFSEFGEDWADKCKRSWEFRIYSKSIVSVNTYSPMKFSPTKKPDDEDMRDELGRPIVPQNQTSRTLRIFLKRVSCACRRTYFTAARRLQELTDRVSMGTRGKSQCWSLFDYLLRNDTLVFMDRHIDQIILCCVFVILRINESPITFTEILAQYRRQSTQAMQIYRHVPVFAQLLDGSNPSDLNTKETILERLEAPRKFTCKVDIIKFYNIEFRDRIKFIIGQIDSALDDDLMEMPVPTIHGLTPVRVYLTDKLSIQMLPKTQKKDSKQEMAINHLERTGRSLEAALGRITE >CRE03995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1255896:1258289:-1 gene:WBGene00066596 transcript:CRE03995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03995 MTDLEFSNVFLENMGVLIPGTLKFDGTSAVFKSDKKIVKVQVSDIKGIRWQKLGNKPGIRFALQDGSSQRMGGFKDSDFEKVNSFTDSNWNVRIVQSDLFIKGWNYGHAEVKGKNILFSSEKQPLFEIPCTNVSQCVANKNEAILEFHQNENIPVSLMEMRLYMPVDPEMEDETDKVEEFKKAVLAYAGLEAETEQPITLLTDILCTTPRGRYDIKVYPTSIALHGKTYDYKIPVKSINRLFLVPHKDGRHVYFVLSLNPPIRQGQTRYSYLVFEFVKEDDQEMEITLTDELEEKYGNNLKRDLDGPLYENVSILFKVVCNLKVTVPGRFIGNSGTPAIQCNHKQNPGLLYPMEKGFLFIHKPVMYIRFEEISSCHFARSDAGTVTRTFDFEVDLKNGSSLMFNTMEKEENAKLFDYLNKKDIKIRNSTRIDNKKNDVDSSDEEHDPYKATVTAEGRGKDNSDDDESTDEDYDLDKDLKKQKVDRDSSEGSGSEPDDEYDSGSEEDASGTGESEPDEENSGNKKKKSEKTKKSREPMKPKLGKNGKEKKEKKVKDPLEPKRATTAYFLWFQANRLSFKEDGDTVADVAKKGGAKWKEMGSDDKKEWEEKAAKDKARYEAEMKEYKKNGGGSSSASTSAKPAKKAPTSSPTKYKSKEHISDSDDSDSDEEPKKAKKKPSREATPSDDSDENDIASDNSDDDSD >CRE03781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1259060:1262259:1 gene:WBGene00066597 transcript:CRE03781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpb-3 description:Cytoplasmic polyadenylation element-binding protein 3 [Source:UniProtKB/Swiss-Prot;Acc:Q6E3D4] MSQEEVPGEIPGDIAIEKAENTVQDDVEAKNTSETKKTIPPMLNVEKVDVAAVGEKSPAPVSVYDLFKKYQKPDVKSDSEDMNIGFDQLTTDEKNGFLRKLQMLTVGNKKTLKVPGESTPSPASRLLKKFVPSRRPSTENKSCESPSRFSLFGKSNKKAPELERPMNGGQPRKKSARRLNFGKEIEERTETDFQNNRVVAAMTREYEKIVTLKGVPVPINKPPRQQGPRGSLETPTDSPAKTETSSISKSYGSDYQSSRDRYTSINEDSLTKKRISTPNRGQGLSNRDNATWHGELPPRDYTSPTFSRKIFVGGVPWDITEAALKDSFGEFGSCAVEWPGHEARYRNAQSNTASLNLRNQSKYTGQAATGYVYMIFEDERAVASLLHECSQEIGGAGEWYFKIRAQRSKSTEIRQVQIIPWVTSDSMFCEDESLLEVGIEPKRTVFVGALHGMMTAQVLHSIMEDCFGSVECVQLDTDKFKYPIGSGRVTFREHGAYFKAIEMGYLHVHTSKFRKRVQIDPFLESTNCMVCTTELAHCFCRNRNCFKYYCHTCWAVDHGHGHDGEVHVPVIVPSSASKAFSGPNRRSHLSSNSPSKPASLMSSSNSQVAHMVSPAYPVLVGAPAQNLSALYGYIQNSQQMMITPAAVYEPPMTPSPNEMKRRSFPEFQPQPTVFFNSTPMMTPQKGVPCSDGSAVPAYYANSAAFLTPPSSYYNSPSHSTSSNLSPQQPQQYYGANLYYGYMPQMSYDGGPNQSAMHLPHTPNYQQ >CRE03996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1262321:1266637:-1 gene:WBGene00066598 transcript:CRE03996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnt-1 description:CRE-RNT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY93] MANVYHHVRNFIEQQPVPAKTLEKSSSPNILYTALPKHWRSNKSFQEPFYVVLLTPVPDNTEVSIWAGNDEKPCEEVRNEKAKVHRQVAKFNDLRFVGRSGRGRKFHLTIVIHSSPMMVATVKNVIKVTVDGPRDARIPKPSPKRPSEPQASTIFPSEMLPSPAPTLSMIPPPWWPLPMTPTLPHFPLPLLTPSHSSAAIWKIYADSMRTPKRKMEQENVSLNVSTCLSSPSVFITPNSHDRTRGTSTSPRPPTKLPSSAINLIQETPESIPSKRRRNMSTTSSNSSSPTIWRPF >CRE03997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1274750:1276445:-1 gene:WBGene00066599 transcript:CRE03997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uri-1 description:CRE-URI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY94] MTDSDDDDLNSKMCELYVAECMAARARLEVETECRRLIADNYEKTLKQVKEYSLKLEVPITAKVCDVGYFCDAHVVRTNQVTMEMGSSHYVECAIHTADKIIARRVGEMRRSRDDGLESIRMLNDKIKFAQENFTKLEIEGDAIEIVEKYDDEMEKMFYENRRKKRIENEKAAKEKEVAEEKKVKTESEVVDEEHEKVMNRLAELELLEENNAEVEKEAEEVPPPKPFEVSVDALMKLEEVEEDEDDADEIRRINERLLAQPGVSQREMERLLRYLDASEHSSEEEAGDGDEEEEEDEEEVNEGVKVEELDSDDYASDIENVNVEADESSKTSAKAKPASKVVEVNEKTSTTTTVTRKKSGVRFAAKLENVKTFRKDDTVEVKTETTVETKSILRNTEPTPVDRSALEGEPKEIATMSSATFPGEIVEKNPYDCEPSTSKDPAPVITEKKVSKFRASRHRN >CRE03782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1277138:1281258:1 gene:WBGene00066600 transcript:CRE03782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbcd-1 MSEEIDEGDGIIGCLPSVVDLHHIEELNELINRLPGYFKTNEIDETAVEIAFVRFSRIIHLYQEQPRLLDKWIPDLVEILVNYVNLIGNNDPLRKEVDRVTRESLNYISELFIVRGSKTVVRLLPHQVHLLDPLLRTLEWYESSSLPDHNQRNVLLMWLWIVVKNPFDLRRFDPTGDPDNVITRIMNVALHYMKWDWNRTLTSAALVIAQCLSRTDGLPKVPSFLSRLLDSIKTHHESKKLLLADILLLLAILKHVDRRILVNYLPTMYEQLKFLYPIDEKKGGLICKCLVKVVQRIGLIALKPRTCKWSYSRGKRLLEGMLGEETDNSDTTAVVGPEQNELIEESEPEDLENSEIVEWSLMHVLEALSHSETAVRWSAAKGVGRITVRLPSIDLATQVVGSIIEGHFGEVAEFSSWHSHGACMAIAEFAHRGVLLPNFLEDVVPALELSLVFEDAMGKHQNANQVRDAACYAVWAISRTYNPNMLSPYLQRLASSLLCGALFDREVNLRRAASAALQEMVGRQKNVADGIPLIQCVDYFAVTNRQKCYEQHCVPVVQYPAYSSVILRHIINKKVVHWDEKIREQAATALEKISAVPLDRISDDYYIENLERFLKISCEPKTSPLLRHGYLLAAGHLIKGLTSRGVDISSKIPDIALVPEVLRKLCDKTTQPGALIRRTLCRFIKLVSASKRVSLSGNEKNTWMDVVLDLLVDPRESIQTLARGAAAKFVKSYLPNDEALIQRIKGRIISSLAKCSEESERVGMGILCEVLEPNAVDFEIFEALWNTILNSTPHDAKWAVSRQQMILAINHISVQASTEMFTRVGEKCFETLYKAMADYTTNAQGDVGRFVREASMCAMSDILILAKTEPTFLDKHVIKCARHMVQQSAERIGRTREVKTGAFRHTTYISLFQCACEALKKLTECEITGRRLPYIDLLMKIYVDPKEFISDRTVFQLKAILDIGDEYYENLILGIVVSAGGLAEGTQKFSKQLLLEHQRDICEDKPRFDHFLATCAALFQRGKKVARIGNSFMQVLPQLFGNLGIYELCPETSHSLVEMVEIMKAITVKSSLMSRQRLSIDSLGELLNCGKKSNVYRTALSMILETLNSQQPVLRKAAAERLYEHLCCAEETDDDVLELLATTNWQDENDVVLKKVVAGISDKLT >CRE03998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1285805:1289251:-1 gene:WBGene00066601 transcript:CRE03998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tba-5 description:CRE-TBA-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LY97] MAPNGKMREIVSIHIGQAGVQIGNACWELYCLEHGITPGGLMPDDKSFGVEDQSYNTFFSETPSGKHVPRAIFVDLEPTVIDEVRTGTYAKLFHPEQLISGKEDAANNYARGHYTIGKELIDVVMDRVRRLTERCQSLQGFLIFHSFGGGTGSGFTSLVMERLSIDYGKKAKLEFSIYPAPQISTSMVEPYNSLLTTHTTLEHSDCSFIMDNEAIYEITKVNLGVRSPTYTHLNRLLAQVVSSITASLRFDGALNVDLTEFQVWLLGLRRFETYGIYRQIWCHTLVSISHLSPMLQSFQEKAYHEQLSVSEITNSCFEPGSQMVKCDPRNGKYMACCLLYRGDVVPKDINTAISTIKTKRAIQFVDWCPTGFKVGINYQPPTVVPGGDMAKLQRAVCMLSNTTAIQEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDMAALEKDYEEVGVDSFDPNDEEY >CRE03784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1295799:1299008:1 gene:WBGene00066602 transcript:CRE03784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-36A1 description:CRE-CYP-36A1 protein [Source:UniProtKB/TrEMBL;Acc:E3LY98] MLFAQLVILVVIVMLLLCKFANKIRGLPPGPTPWPFIGNTFQVPEDRIDIIINEFKKKYGGIFTLWLPFPTIVICDYDMLKRNIVRNGEAFSGRPDTFIMDMLVQGNYGLFFMENNWWKAQRRFTTHIFRSLGVGQAGTQDTIASLASGLVEKIDNQKDSSIELRPLLVHVVGNVIHKHLFGFTREWNETEILDFHVAINDVLEHFTSPKTQLLDAWPWLAYLDKPLSLGIPRTTRANDAIIQNLEQALAKHKTGINYDEEPSSYMDAFLKEMKIRAAENALEDGFTEKQLIVAIYDLYSAGMETIIIVLRFAFLYLVNNPETQKRIHDELDKNVGRERQVVMDDQKQLPYTCAFLQEVYRLGYVLPVNFLRCTLVDVEDCEGYRLNAGTRVIAQFQSVHVDKKHFPDPEHFNPDRFLNERGEYIRDDRVNPFSMGKRSCLGENLARMEVFLYFCTLMQNFEWHTDGPFAPPIDVITSSLRAPKPFTVRATRR >CRE03999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1299224:1302673:-1 gene:WBGene00066603 transcript:CRE03999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03999 MSVCFSKTRKNHRMPCRLRKITVYETKSRFYIIGCDSTGSRYNVLKIDRIDPKALITGEPEYDYTREEILELLATISDGSSVVYRSSSKKGTKSGLVERATNAFGILGVVRFVEGYYLIIITRAHAVATLGYHPVYKIVEVAMIPIAMDGVSTSSEEQKYVKLFQSVDLSTDFYFSYSYDLSRTFQENALRSDWSNNGQRRLEADDKFIWNSFLLEPLRKNLISERWFVEIVHGYVRQEYIFLPIGRISLTIIGRRSTKYAGTRFLKRGANPLGHVANYVETEQIVWDMASSGNVANGRFSSFVQMRGSVPMRWSQDPSTRGVVGKPLILIDNHEPHAQTAASHFRDIRNKYGDPIVIMNLIKRNEKRRHEGVLHTQFLKNIEYLNQFLDDSEKLCYLSFDVARCNKAANAMPSINVLNVMEDISMKSILKNGWFQSFPLSESMKIRPREGFDTLDARHSQDGRFMIQHGICRTNCVDCLDRTNVAQFVIAKVALGCQLCALGILDEPSLSLQSEVCRLLEDMFDEHGDTMALQYAGSQLVHSIKTYKKTAAFQERRRDVFQTLSRYYSNTFNDWDKQMAINLFLGMFRPKITSIKNLWDLTSDYNLHFPYSLKIRTDYCAWTLTEKQLDDLVFLEYDEKENQDGYVEIRSLESVDGRRGSEGSEYRTLQFSSDEDFRDYHRTYEFTSLDDRIGRLFSIENRAIQVDGINEEPTTHAQFLKLWKTSETKEKETKKPAKKEKEEKESDDEDDEEGNSTQWSDVVEAQQEWYDLVNRPGNVASSKTISPLKREPTPPRSLKNRRSLLSTGLLFAKDIYNLDHLKTQPEERKYYEKYAVTAFKCNIRDWESVKPTLLSEFKLNPELEARRPTFFTADDCYRSEPPEVSGNSERFYNKSAQPLTTECSNRDYEFFSKYMEAR >CRE04001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1305994:1308064:-1 gene:WBGene00066604 transcript:CRE04001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04001 MHRFLLLLYFSSFLHYSEAIRCRSCYSYNGQACVNAPDCESDVCLYEQLNAANGITHVLRTCYTKGKAYTFDDGVTMSNLNQCVTRTTRQGQYYVSLCTSQDMCNNDCRTEPQQPVQPTLPPVIPLGSVTCYDCISTDGTDCQTNTCQGAYCLYERRLTNNQMYLRKTCLLEPVIQLDDETTVSNVDICEVRNTVTSRYYVKICNDVNLCNNYCNPGQSPPLPPLRQPLVRCYDCESSNSDCFTGSCEGNFCIYERQLRSGTSKTYFRKSCSALAYAQYPDGSYTGAPNVCNIKVINDVEYNVKVCNTGNMCNSQCTQDSSAVTCTECSAVNADDCSGGTCQGRFCTFVRSKSQLDPTKTTVKKSCATSSILSFPDNTAYVDINHCQYKMLGSVQTALKACNTTFCNTACSDVAMPTPSPQNSAGLSSFFVCICSSLIYGLVLLS >CRE03785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1309176:1309779:1 gene:WBGene00066605 transcript:CRE03785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-25.2 description:CRE-RPL-25.2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYA2] MAPSSNKVGKAIKAKKAVVKGNKTNIRKNVRTSVHFRRPKTLITPRAPTFTRKSVPKRDKLDSWSIIKAPHTTESSMKKIEEHNTLVFIVNEKANKHNIKAAVAHLYNVKAIKVNTLITPLQEKKAFVRLSSDYDALDVANKIGFI >CRE04002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1310067:1312980:-1 gene:WBGene00066606 transcript:CRE04002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cep-1 description:CRE-CEP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYA3] MLDSQNSEQNKTQDMSQATIQRLINEVEVDVSVSPVMSQNTEDLLREKREGDPEFNDVYTEYMSKPPENDSFGNCFPSAIEPKQTKRRTPRIQDDLPSPDLNCSFAIAYPKSELLDTSIVSNTTISQIQTGSQNIEYLRERTPEEQALRNEKKIAEEFVKEEEMNARLEEEARNRMAENMTQRVIPMDDVDDEENLETVQTYGMEMDVMTERSSKVSNLAYLVTNAGEKHLWSKMQCNVPIELRWNIPSCLAQKKLWLKIRLVNYDNSTDLQIAIRNPKADVAKCRKHIEEETRIPPGAFFYIANSGHHWQPHLNGSNGGYTLSTIINPGTFSIAFDLIFMCQKMCMGIEDKRKSACLAAFLEDELKNEIHHAVIDQVYVVGYPRRDCNNFREKLPGFTFTESPSISKSIIKRPHFLPSTSLHQASPKSPQDMLNLNNNYQMFPSTSNSRKRGASENVFSRLHVQQTSTKPNSYAMRLHGCEPRNEQMEMDVIDVTPTAKRSRLIFHQHQDLRLYGKEYEKVIEFLAKEAEEAANKQPPHRYPINSIQPSDEIEKFIASVGLGNDRDRFRQAGIHTMSDLTKCFEERSEIFEWIGIDCAKLEKYYDVFLNYYRIQKRNKMRASSTRHQT >CRE03786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1313816:1318916:1 gene:WBGene00066607 transcript:CRE03786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03786 MYYVSNKRQRRVRVILFQNARAPPSKQVDGDRLIHKRKADDIIKEFLNSGHRHKTLDAMSREMRKVMHDVARNNGLVTRSTGKEPDRFIILSYRENRLTRSTLIQTEAIVPSGACLSALSQFVEQNPITEEEMNLFLKEKSEKQSYTKSKWSREKTAVPPKACLSIRYQVHSTKFHNLRDNLPATKYRDQVLDSIEKNEVVIISGGTGCGKTTQVPQFILEDAHDKKKKVRIMVTQPRRIAAISIAERVAKERGETLGSTVGYQVRLESKHSEKTILTYCTTGVLLRMLTSDPLASGITHIVMDEIHEREINTDYLLIALRECVKHRKDLKVILMSATIEGNMNLFSEYFQKLSVDIIRIESRTFDVKVFYIDQILAMSGYQPPESTAFFPMSDFKMENWKDEIEAILAEEKKQKDKALGSPSPFVQNSNNQQGSPDKEWALGPCSTPDGEIIEERIMNQFMSVVGSSDVRPLTVEFHPNSLDSVFVNGVRYTTDPNQSPGMLHKQKVDRALMPEWPLIFQCNQPFPNTPPALPSSFAQPLAQPLAQPLASPFATTPSTASSGLARFSTEAFGYGSDLRLAKLLEDQFRSELKTAVPERTVYFHPKMNEQIEKVDLAKLRLAEKYDMFCGSQYENSIDHDLLSHVIHYLTDSPVFGTILVFLPGYEDIHHMMGRIEKWQSKLKNMKYVSVIPLHSQMQSINHQNVFKPVNNDTRKIVCFLILLYFINRSIQILATNIAEASITIEDVIFVVDTGKVKEKSYNHESKLSTLAVKTIARSNAEQRSGRAGRVTNGYCIRLYSENKFNSMPETQVAEMKRAAIYDVTLHAKLFAPNKMRISEFLALAPEPPETTSIAQSMTFLEQLGAFYAPDRSTVDLSENDHQEVEEAQEDPELTNLGRLMARLPLDPQLSRMLLFGLALKCLGPIVHLVALLASREPYILPHPEEREKQGSRKQAMAEQDFSDHLLYIRLCTAFCSITNYKEQNDFCRDNYLNLSTMRMIVGTRRQLLQELVRAKLVDVSDRDVLVLLQDPNYNTHSNSWTMVQAAIAAGCYPSIGVSSTDTNLKKVQTSNDQSACLHPSSMLKKQIGKIKQTMRSTGPRLEYVVFQEMCQLTSDMSLSIKMVTAIPSLTALLFTGPIRLRQQMIEEYGIVCEEGEDFTLEEEPYDFSSDFCTFDVDEWYNVKADRKIMRHFLRLRHKFMQFFLEGIASSQSFGQGTNDNQLKMLEVIRHLLDSEHTRYSFSPVDMPSSATLSGYRKQEYKKSVEYSRKEPRNYKKSMNEFDHAGGPRNGGNRNSSFQQNQSLPHASFQPNISCISPFDSSFPSDVRNSSYVSSRVHGSNSFGDSNHYEHSAKSWSRKPGFIPSVQVQNADNSGKNSYLQKPWGSHNYQPNRGHWSGNEKRYQPHNSQIETSPLKKVEAQHDHLYSHSSQKVANSAGNCTLRGGRVARGSKTSHGRGGINHS >CRE04005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1347554:1349910:-1 gene:WBGene00066608 transcript:CRE04005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04005 MFESGNRALRKTTLHFLQKRFNAPRGIDPINAMTIADYYLTIMGIFQEHNRTEQVAFAASTAIKKLSMNNDSVRIMANTLYNHLTKTHQWHDAFSLAAKMNKNSETLRAAIREQLKLMLEAGHWEVIATTKYGVHEKTVEDFLREEAENQPRAADPHYYELLYAFFMARKEFRQAACVMCEYSRYMESAEVMTLELATKRLHCMSVATNLLEVIGMEPDSGDYEPTYDDHTYTDIIIIPVPPEKSSVGPDDTERTPLVPQRIKRQESQSTEGSSSGSSGSSTLTPGSGKTTDSEGSSSSSSGNSMEAAAMRARQRIPFAKSDSEETNTDSGDEMIGKFPLSQRRQIVVLTEQDIRFIWTQCLSTTRLLACKEFNGIPPDDPEELLPLLIEHKLFDNAFDIVRIFNMDSQNLFNVITREAIEIDALRNHLYEEVYYTEENPLTKWVRENRRHYAPFPKIEDHWAVVRGLVEAAQDEYPADPKPLRGATEAFLTYHLTIPSWLHVTYKIVNPNDYLRCLADYGQYAAALQLIAEVVEQETSKLSQPNARTWLPYGVIDELMKKSAEYVVRMREKTPIDQALAQKVDDLRALADQKMVIYFQTLSVFEQAHRI >CRE03795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1351827:1352882:1 gene:WBGene00066609 transcript:CRE03795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03795 MPSILYSTTITNLPLLPMAHLVKTLDVKSLINLSKTADIFKQFIQLLHIPSGGYHICIRSHRVDIYIPSRNYSQSFHHSSKFILINAVYGIHEELSDLLPGNLDYFNIDPDLVSYFDAFNQKCAVLSVGEKSYEYSEANVECMDDCTPEDLDILLDGVDFQKGLALNGPKELRTEHYKIFNIDWLQVRNSSWITPEFLRKLKNKVIYLADIWTLTEQNINEFICDMRDGKINENLQVIGFGRYGGWNTVSILQGIQVVEQRDQVYKLPEYDPRIMTEKFLPRGFNSDGFKIKVSYDFIRNDGIKCSIQFWRSTIRIYVWNQKKEGTVMKKRWNTNDINGSPVKKHCQHSLL >CRE04006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1353051:1357371:-1 gene:WBGene00066610 transcript:CRE04006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04006 MDLVYGTELTFGDGFAVEYWHIFGIQSNGPLNTNILETEPSGGIATFPDIKNFSDRIFIWRAMGNKLYIEERSTLYSLTDNSICMDVGRSYIIPGTSITFFKQGVLSIVVPTATSVHRFYKAITSKPDNTGSLLHRLFEDEGFSIYETKFELRTEGRPIRASVTHHPNKNTVSYITAEGELRFVALGRDEENENVGHEEFRLPELGFCDKWFGRTSRLVSDSCAMRDLSEVSSDKKSGPKSDIIFAVTRDGIVEAWNADNKEQLQTRINLNEYLSPDDPIPRRTDDSKRSDTDDSTSTEAGPTRLEYTIKAYQYGIDILIIVGCDVIIGRQSVGIRVHIIKFSNYEMKHLQMYKKSVTDNSMSLVDLELLQTYFPPKESDELVEKEQKCEEKNARTAAQFSLSALFKSSSTQKSYSIQRISFAIQWDTGEVISDLDWHPVRPFLPVPTSDGRNDGDEQKKPFFLIPDASYEQINDVVFNFDLFPFDIVRRGIQIVSDNWDVSETPDGTQRYFKDISDGEWNVLYNFVQSYLNSPEYKQKFNKPSPELKSMSSKQKHQALYQDFWWKYLEVCQQLDFNARGVISLSPIQISGNLRIMAVIHRDRMTILEEVNTELLNLLMMNNKEKLKLEPKFPKELDNEMTALIEEASLIADRRVFLMNRYRARVMCSVAGSVPMEDDVKVNPFDFDTDGRFLQITTVQYARIVALTKAFLAAAAYDPTKPYGGVITRDFNGSFTQGLVAVNIRRTVESRVHFALILLSLYNSIKAQNERDGVEPFKIIHSLSRKLKEIIRIYWELKEILDVKITRGGLKLNIETWIVSDDEALGILKREGGYGPNGYKEIRLKDFNWFVKVTTEAAVRAFLSTTEILLVPRLLAERNEYRVLLSLFVQKYVQQTEALKPVFEFYQGIAWSGLGSGQRVSFPRKYV >CRE03796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1365203:1372477:1 gene:WBGene00066611 transcript:CRE03796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abts-1 description:Anion exchange protein [Source:UniProtKB/TrEMBL;Acc:E3LYB8] MDEEPDEESPSRIARSRSCEPPGSTARSRESRGSLWMSVGVHVDTSRRRRMSKARRESQSIELSSLAPGTRVMFLLKEKENTPALFTEMGELNNDEWRETARWVKFEEDVEQGGNRWSKPHVATLSLHSLFQLRSCIMNGLFLNDMPESDIPSIFNSVIEHLVKSGDLPAENREELREILLRKHVHQYEQAKKNGAGGEKGGFLSTVRSISDIGKSFSHGKNLSKIEEKPEGPVTTQTAGAPSGSANQLTLPTVRQIPEIDILKFLIKTILYFDFQVESVPKDISNEESSKGNLHFLKKLPVGVEASNVLIGEVEFLTRHICCFIRLKNANQLGDLTEVPVPTRFIFLLLGPAGHGPQYREIGRAIATLMADEIFHDVAYGARDVDDLLDGIDEFLDQVTVLPPGEWDPNIRIEPPTKLPSQEKRKQIGKELLVEPSSHKPVKKMIEEEEEHSHGDDPALKRTGKLFGGLVLDIKRKAPHFVSDFTDAFNMQCLASICFMYFGLLAPIVTFGGLLEEATHQRMAAMENLFGGALCGVIYHFFAGQPLTIVGSTGPVLVFETIVFDFCTRIGINYLSFRVWVHVWTALIIFLMVVTDASSLVSFITRFTEESFATLIAVIFIYEAIMKLVKIKGQLDNINYSREFVEGGFCSCVAPIGKPSVSLDHARSVINERGLRIPNNSTFIDYSAASLAECRSIGGVYDGTTCFPLYDKLLMSILLTVGTFFLATTLKKMRNSCYFPSRVRQLLSDFAVMIAIVSMTFIDIAVGVNTPKLNVPSTFRPTWEGRGWFIAPFDGNQWWTAPLALLPALLACILIFMDQQITTVIVNRKENKLKKGCGYHLDLLVLSISILMVGFLGLPIYVAATVLSINHINSLKVESECKAPGEVAQFVGVREQRVTGIVTFLIIGLSVLATNVLGRIPMPVLYGVFLYMGISALGGIQLFDRTLLLFMPMKYQPDTIYIRHVPIRKIHLFTAFQIGCLALLWVIKSIKSTSILFPIMLVVMVAIRKMMEKAFTTTDLKYLDDPMPDFHLRKKEDAKRRQSEGEAVEIEFDNENQATIHAVKTEAHLHIPMASGNVIKIPLAAIQEPSHSINLTKEVNNSGMWKHISSADSKNSLVRDVPASSEPTTSREGAPIEQPEDDDDPIMIKVIRPSPHTSTQNLAENTPLLKEEPKEPHPDEPKV >CRE04007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1372968:1374760:-1 gene:WBGene00066612 transcript:CRE04007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mei-1 description:Meiotic spindle formation protein mei-1 [Source:UniProtKB/TrEMBL;Acc:E3LYB9] MVGDTQTVTGYLERAQVAKTMAEDGRWADAADVLKQLMADVKTCKIPASNREDTESRTAFLKALEVNLKLVQQNARDEEDLHDAMTRQSGSPEPPADPDVWSKPSPPLPTSSKFATKKAGTVPRQPREVSKSTSSMSSSHAQNSVDSKPACPSQGILPPNSAGESFDASAYDSYIVQAVRGTMATQTENTMVLDDIIGMHDVKQVLHEAVTLPLLVPEFFRGLRSPWKAMVLAGPPGTGKTLIARAIASESSSTFFTVSSTDLSSKWRGDSEKIVRLLFELARFYAPSIIFIDEIDTLGGQRGNSGEHEASRRVKSEFLVQMDGAQHKFDERRVFVLAATNIPWELDEALRRRFEKRIFIPLPDLDARKKLIETSMEGTPKSNEINYDELAARTEGFSGADVVSLCRTAAINVLRRFCRYDTKSLRGGELTAAMESLKTEPVRNCDFEAALQAVSSSVDPDTMLKCKEWCDSFGAM >CRE03797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1375789:1377790:1 gene:WBGene00066613 transcript:CRE03797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-2 description:CRE-NPP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LYC0] MTDIGREYGIAVSDSSTVFAYLSDKKSPSKKDEVNIVLVEKVSQSRQILATPNLRRLIIEMYSVFDRCEKNSSRDKPLDTASCCTFSRAYRSVLYNAAVSHSSSDFMTDFALWSLYEKLWFGRGDSSICSDLISWANEPFTFFRDATERAAESVEPNKAPEEDFWKGVAINLLSCNFSDCRELLGLLGKSPEYDAFINVLSSFKPDDLSDEALKDTVNEWKELMQENLINRKYGNNENLKYLAKLLLGEERYLASMAPRVLAHWWQFLPFYVLVRNPFAKYQELIDLADECRTIFVGVEEDEGARENDVFWCLISKNDINFQQLISSNPWLAVHLVDLIQKATLNPEFEEMRKMHMLDYASALISHSPLWEIGAGYLISCGTEGLLRLDAHIEGLHIEDDEMAEQLLDICEDYQLKDSKNCVTNTMTFRYLKQNEWSAALAWALKTGSKKTIDWTVSRIISGGSKEELAALRVLESIATHSVLSLPSLTFLYAYQHFIKMMHNGNVIGCVQHLIPLIMMPDVPTQYYYDLFDYMITIIKEDSRSGIPFSKQVLYELSTFLSTFSLDKEKELNECYMKKVKTLKKMVLLKLADSIAKGLPLA >CRE03798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1378404:1379801:1 gene:WBGene00066614 transcript:CRE03798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-10 description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:E3LYC1] MSSSEEVSWITWFCGLRGNEFFCEVDEEYIQDRFNLTGLNEQVPKYRQALDMILDLEPEDDIEDNATNTDLVEQAAEMLYGLIHARYILTNRGISQMVEKWRDHDFGVCPRVYCENQPMLPIGLSDVPGEAMVKLYCPRCNDVFVPRSSRHQHTDGSYFGTGFPHMLFFVHPDLRPRRPVTQFVPKLYGFKIHPVAYNGQEGSNANAANAAQNNAAPAGQQAGQFNNYGN >CRE03799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1380118:1391173:1 gene:WBGene00066615 transcript:CRE03799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03799 MNGKQQVTKKPSTEDDLSFTSFKVVPDVGLKHAQMEFVLGMPINQCIAMMQQHPRMLTNVELKYSKKDPCYRDIIIYIGSTGIKLYFDGHTQLIKLIEVDNLSKINLTYNDTVFSDPSNMATLDRVNEFFGSTHPGSYDDKHNIYVQSWPGLSFCFPTAAGENSNLEVRPGFGGNLRSLKYDANSQPKLTKMSIYRGQNPSEPEPVDTPFSCYCGQNRTRKIEAIYENETIVGLDIEFDTQNGRMVDGEYEVSMYKRQIYFGDSVADVQAILGAPTKVFYKSDDKMKIHRGLHKETLYGPPNFFFNYFVMGLDVLFDFVSKRVVKFVLHTNAPGHCDFGVYSRCNFSIFLNDKQYEIRTDSKFDEFSHAFMDDSNTPRPVVLTRQEQQPFGSTFCYGVKQVIVEVMDNWFLSSVTIYDGSKEK >CRE04008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1384159:1386350:-1 gene:WBGene00066616 transcript:CRE04008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dma-1 MIIFLLLWSFLTIAFALQSNCPSLCECDQNDSSWNIYCRKAIINDTVYAEILNQLPLTLRSLHIQPPTNRLGSNKLRWNDNINRFAQLRVLRLINCQIPAMSRSIRLPSLEVLDLRYNNIEHATMSNFGGMPKLRVLDLSSNHLNILPTGVFTYLRSLKSLTLSNNTISDLSTNLLRGLNSLRVLRLDRNSIPIEQINDLFSDVSQLDELYLNHCNLTSISALSLDRIPQLRQLGIGGNDLKRVPTKELRPLSQLTVLDLSHNSIQEIPACAFCNTNISKLDLSHNLLGISKGSPFNEDAFRNIPLRHLDLSFNHLDDFDSKWLGYAQDELTSIALSGNLLKHFEEIWTHTLRSLVHLEMAYNHIKYIPVQLPARYYHLVSFNVSGNELSYLPDNINTLLPNVKTFDISANRFHTFSHTDLAFLNNVDQVYMDSNPWDCSCAIQGLQVSSFSWPLEDLNPISILQVHMRDRYAMRHILNYENVRCATPSLVEGHSVLAITDVNDCAVLFGARYGLTQTSEMLILLAGVLLFAIVLLMILGCIYFLRERQYKGSYVTREHSRTPLTMANTHSCSSSTNDTHGPLSPPFDPFMVSTETFKATPPLIPPAPPKPGSSYFGI >CRE04009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1391630:1397566:-1 gene:WBGene00066617 transcript:CRE04009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04009 MHFLKILIIAWTGWRVANTISIDNEIIGEPDIECLEDEIRIWVKTRKIFAGRIYAKGRAELEDCYKDDFANQKTRKPHFDLQFGACGMKSLRSVDPRGMYYGITVVVSFHPLFITKVDQAYHVKCFFEEANKGLTAELGVSMIPTTELEARHGVPGCTYSIHSSTIDELDAGRPAGQPIQFARVGDRVLHQWHCNDQMYGVLINNCYVTDGFGKKSDVIDDKGCVIDPILITGIRYSSDLQRAYAESSVFKFADKPGVWFFCQVQMCMKKHGMCDGITPPSCGSQNRPISSGGDENGGFEEEKPQRKTKKPPVQDYDYESEKPKTTRAPPRERTTPSSDYDYDNNESPKLHSPNSHSYNSVTPPLDYESVTLTAFSPPINPATVGTESVSKTFETEDDLASSSSVTSEPKSKKIGKSDYNDYDEVTIPPNLTDLLANLPDDISSDSIQKMLRDSVDNPKALLAEFGKLMKAKKMKQPDSRKYHSRNLRELKPGDLRSGEKIDQIEVDWTSTRRRDVPSIPEFDGSNKYDKPMLAGQLLIFDLDEEPPNDIGLPPKASKNSSPSACSISKDGLLAVLAGFGALLAALIALIACLIIRLKSQSSPIGQNVPIFKQFQYAQKRFFESS >CRE04010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1398666:1405979:-1 gene:WBGene00066618 transcript:CRE04010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04010 MWTRLLLVIWLVDVANANMSCLVMRPGYGLVTEDCPSHAVGCRIRAKKDHIEWYELSRLYDRNQLVCVYPEEYKSMTGCMRKPSGSIRCWCGGRENCNDPETSRDLYEAFTEGDNEAVEKISEWLKSEKDESSWKKFTTTEEPPTTTTRRTTTPRRTTIRKKPSTTTTTTTTTTTTTTEKPTTTTTTPTTTTPRITPRKSTKRIGSGQSTATSDPPLFPKDASKARVINIVDIRDKAVPLPNDDISLDDTFEETRRKLEKEMKEEDERLREMLADEEEEENSNDISDVEDDDVTAEEQREEERERYRMERRRAEERRLQEDTILRRIEEEEIKEAEKERPNRRETDEDMYGSDFENSAVGSVISIFVVFAVLLF >CRE03804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1419930:1422857:1 gene:WBGene00066619 transcript:CRE03804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03804 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3LYC9] MASGSGRGRGRGSGSNNSGGKTQDYYGTIQPDLFVRQPGEPKVGSSGRPQRCFANFIPIEMEKADYSIYQFHVEFHPQVDSKHMRETMLLHENVTEEIGRFHVFDGMILYLTEEWQQNQEIEVPHPLTGDLIRVIFKQTNRFLLDNAQTINIFNTIIRRCFDELKLTQLGRHYFNSKDARNVREYNMSILPGFETAIRMYENQLMLCVENRFKMVRRDSMYDLLKKEMQACQGNRQRVQEKMNEMFGGSTIITLYNNKLHRFTRLDWSISPLSEFEKDGQPITLKRYFKMQYDKDIQFDDQPIIISEGKPKQPGEPPQVNYIVPEICFPTGLTDEMRKDFRMMKEIAQHTRMSPQQRLNETRKLITEFHSNENVQACLNYWGIRLSEDLANVNARVLKPEPLHADGAKKYEGRNAEWARGVKEGGIYRGSDMNNWIVVGPNTGNSGMLIQKFIGEAGRLAQTLRVQLGDPMCVPIRGVSPNEYLEGVKSAVKEVAGADVHMLVVMLVDDNKTRYDSLKKYLCVECPIPNQCINLRTLAGKASDGGENKNFGSIVLKIFLQMICKTGGALWKVNIPLTETMIVGYDLYHDSTLKGKTVGACVSTTTGDYTKFYSQTRPHENPTQLGNNLTHFVRKALKKYYDENNNTLPSRLILYRDGAGDGQIPYIKNTEVKLVRDACDMVTERAAKLSGKVHKSVKLAFIIVTKRVNMRILKQGASASTAINPDPGTVVDTVVTRPERMDFYLVPQFVNQGTVTPVSYNIIFDDTELGPDKHQQLAFKLCHLYYNWQGTVRVPAPCQYAHKLAFLTAQSLHGDSDEKLRDKLFFL >CRE03805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1425844:1428258:1 gene:WBGene00066621 transcript:CRE03805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03805 MGNVTDTHIHEDIHADDLTVMAIFIRNSHQMPSSIGVLVQAVLFILSFIFTLIGVSMAMRFTIFHKNQRNIFISLLLLWFELLMCRILLWAFKYNLAIEIRDAIDCNDACHHFGKCSIPENLFDPIFIAGIMRYHYMYFVITTPVGILTERIFATMLVKDYEKKSRHWIFILIFVTQNVFACTMSVVTTTKGITFQVLISAVIVSLFASAVIYSLVEYFNQQRLMVLEREHRTTNYTLSIRYQLKENLKTLKLMRRFFISIIAIIIAMGLANSLPVILNLDEDIIMTIRVYMDYIFHSNPVFLVPTAIFTIENYRKYTVNKAKTTFGMRVESQKVLKTTLSSQSSESFQVDIRKLRPQIDRETDVYFEIFERQLEGDGACRGKMRTGSLRKPKINAKY >CRE04011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1428323:1429584:-1 gene:WBGene00066622 transcript:CRE04011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04011 MARDVKRRGKPTYTNRRNRQKYLKKKDGKKKLSKSAVPIIKYTWDETKTPRENVRDMGIAFNPNDAVPIKEQRKEIIDAVPIDGVSIDVPKPAKKVTGRKKNEKQAAHIISNLEQSVKEEEEARAKEGRKFRLFHRETELCVYMLARHGDDFQAMTRDAKNLWQYTPKQWAKKIKTYKESEMCKFIDSV >CRE03806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1430031:1431489:1 gene:WBGene00066623 transcript:CRE03806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fitm-2 MSTKRKTSKSDPTPKRPASPNSTPNPVLGIFVAIARQILFIDARKVAIFYLAFVTVLSFIESRVELDSTYYLVQKHSVLNQYGVKMGWFWTLVIVGPFIWFASKAHNRRDRDQPFIDICRLGVGTVCWYLSVQLFHKVHAATSMCDKGRRFTRVECSAKEGVWTPGYDISGHCFLMIYSILIITEEAVAYRNYHQVTDAVHKMDGDREEHDRLTRCIQYFFVAMLFLHAFWFKQIIISVLYYHIFAEEVIYILGALVAVGCWFLTYRVLYPSGLLAPPINRSAGKR >CRE03807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1434151:1437177:1 gene:WBGene00066624 transcript:CRE03807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-8 description:CRE-CEH-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LYD3] MMEFQQREFALWQFDLHNALCHNVSAFSSFTTYKSSEFPSSFDSDSSNSIPKICGSRKNREKINDKKQRRNRTTFTTYQLHALEAAFDKSHYPDVYARETLASKVSLPEVRVQVWFQNRRAKFRRQEKQDSQGEEKPSLKDSMPSWSWMSENKTETPPMLPSANSLTHNNGNGSTEEFFKNIEPKEVYGFPFTDSYSDSSSQHITKNGNIFHVNFDETEKKSVKKESPPTPSSNSPFISEYPHPPFLPYYLPNQPFPNAFNQYSMPFPYPIHFETPQIPQENC >CRE03808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1438032:1439372:1 gene:WBGene00066625 transcript:CRE03808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-63 description:CRE-COL-63 protein [Source:UniProtKB/TrEMBL;Acc:E3LYD4] MQHANPLFSSFSNRYIMGKRIEKRHHLKMTTSGQLVAGTAVALCGVAIVPALFAALFVLHDIHSFQSEVYEDLAEFKTIAEDAWTTMVPREDAVQPFETFLRRFRRGYGDSGVAGSAGSASDGKCNCGPQPKDCPAGPPGPPGSPGQPGDDGPIGKAGNPGTSATEGDRMADFNKDVKCPAGPPGPPGPDGFPGHPGPDGEYGVDGPNGKDGEQGPDGPEGDAGTPGLPGPPGQDGEKGQNGTKGKGQPGEAGPVGPAGEPGRDGEPGEDGQDGQQGPEGPAGPDGQDGHPGPDGPVGDVGGVGAPGADAAYCPCPPRSAEMAATGGSDSAPGGYDAPAPAAPAPAPAPAAYEAPAATSAPAPAAGYDAPAPTAPHPPPPAPVAPPKLHDYESPAPTAAPVAGTNDAAPAAQPYKRRKVARAHMA >CRE29401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2769:735:1505:1 gene:WBGene00066626 transcript:CRE29401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29401 MPTVKILNSRCGNSVPVLAKLKDGTDKLGYLDLNTEIALFSNGGTTEKFEGGATANFMTIFRNLRPPPTGLKVYDDLWYDLRYGDTFPSNAVPADGRALNTETGPHMQYVALWYKHGDPVFGRAYPNSAGKTNAHFGKNNQENAGPEVGSMQLLTVPEASCMGLEYKWMPLAEGKSSGWTVVHIGNAAPCILKDEKGLEVLGNLDLTIEKASAGYGGKEKIISGGAVAALKVLFKRRLA >CRE05248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:3999:4993:1 gene:WBGene00066627 transcript:CRE05248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05248 MPPFPLLRLPRLVLCEVFKSFSIGEKFKLSLCSKKIYTEINNVRLYSQKVIVSLDMINKEIRVNSENDEDTFKVFIDLNIRKRNYSKQQFSIACRTVKVMSTRKKFKMYWKNYQEGFLSSIRHLLKMFQCKVSTSFICHGSDIFQPTISTLFDLQVEFETLCIHFKRSKDENLLWNQISNNLGLVENLTISSVPDIDFTPVFTSWPQQISIMNSAWFTRESLLTCPCTTITLWNSDLENKDLDEIFRKWRAGELSNLKYLKIHSLSFTDNGDHVLGRNLRELNGMVIQTDDGSKKATIRLGLNWMIEIYVTPFE >CRE05250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:8657:9654:1 gene:WBGene00066628 transcript:CRE05250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05250 MSSPFPLLRLPQLVLFEVFKSLSIGEKIKLSICSKKVSIQINNDRLYSQKVIVNLDCLIYKIRVCSENDKDTFQVSIHPDFEISHNSIIQQVSIAWCTGRITSDREEIKTFWKNNRKEGFLSIIQHLLKLFQCKISTDLTFYNRDLYEPTISELFDLQVEFKELTFILLKNQTLLWNQISSNFGLVENLRITSFNNTDFRLVFTSWPQSIYIIGSAWFTMENLLACASTTIALYLSHLENKDLDETLRKWRGGRLPNLKSLTITSLNFIDNGEHILGMNMSELDRMVIKTDDGSKTATIKLGHRLIKMSVTPFEM >CRE05252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:12150:13133:1 gene:WBGene00066629 transcript:CRE05252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05252 MSPFPLLRLHGVVLCEVFKSLSIGEKIKLSLSSKKVSIQINMARLYSQKVIVDLDCSKQKIEVRSENDGDAFDIFICPDFGKTLSSNTQQVSIALTCYTLRVTSNREEIKTFWKNNQKEGYISVIRHLLKMFQCRISTYSDLFQPTISMLFDLQAEFKMLYIRPKGSEDENLLWNKISSNLELVECLISYSSVVPDFRPVFNSWPQEISIWCSDWFTLDSLLACPCTTITLYHSHLENKDLDEVLKKWTSGGFPNLERLEIYSQNFKNNGTTILGMNFRELHGIVIQTDDGFKTAIIKLGPDSIQMSVFPFQ >CRE05254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:16985:17975:1 gene:WBGene00066630 transcript:CRE05254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05254 MSSPFPLLRLPRLVLFDVFKSLSIGEKIKLSLCSKKIFTQINSARLYSQTVIVDLDCLSHKISVHFENDKETFDIFSYLDTWKGHNLNTQQFSITCCTVAVTSIQKGIQIFWKNRQEGFLSVIQHLSQMFQCKISTSFNCHFSDLLQPTISKLFDLQLEFKILCICLDGSKDEDLFNQISGNLKLVEYLSISSSFNTGFEPVFTSWPQKIIITSSAWFTLEYLLACTSTTITLGWAHLGNKDLDEILKNWKAGEFPNLERLKIQSRNITSFGATILGMNLRELVGKVIQTDDGSKKATIKLDFDTIEMSVTSF >CRE05255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:19471:20453:1 gene:WBGene00066631 transcript:CRE05255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05255 MSPFPLLRLPGVVLCELFKSLSIGEKINLSLCSKKSSIQINNDRLYSQRVIVDLVYLGQKIRVHSENEKDTYAIFISPDSWKSNDSNTQQFSIACCSVRGTSFSIGIDTFWKNQQEGFLFNIRHLLKMLQCTISTSVSCYESDLYGPIISELFDLQVEFKAFTILLNKHLLFKQMSSNFGRLENLRIISVANPGFSPVFHSWPQKIRIGSSYWFTVEFLLACTCTHITLEESCLENKDLDEVLKNWMVGGLPNLKYLKIHSLRSTNNGDHILGMDWSELNGMVIQTDDGSKKATIKLTPFWIEMDVIPFE >CRE05256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:21602:22060:1 gene:WBGene00066632 transcript:CRE05256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05256 MVSTQRTPSPPGMNPTTESDEGNDGVTADWVYVLIEISYLVLFAIVAYLMRRFKFMKTEDDAVTKHHRHHLELVKKQQEVLIEKQGSAENFILATDHNDNDDK >CRE05257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:23591:26427:1 gene:WBGene00066633 transcript:CRE05257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05257 MSTNFRFFALPYLPLKQVLDHFGSQEILYFSLCSQKSKKLAVSYKGPSKNVQLELCFGNFDCLEDDFSSLLHVRQLSELPKDRVLDTVKIGRFSGIPVEKISIPGEKMCLKTYWEDRIIGMTVIGDYAREVFNRDIYEVMLGDEHAENDHRKVVDWIRKSQRSIENFYCNFTPKVDEDIDYLLENCKYNRMLSLFVKPSDAYCPASMPNFNQDILYLDPCFWIKQHHLLAMNATCIALHKSKFTHFDLNIFLKHWRNGGCFQLKELIVTCEVSIDYDILLDGVEFTEMDEDMQRDYVNDEKHHQTIEYGFDIKRPVDDVTATIVDVGVDFKEFWMIVWPDFAGNTY >CRE05265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:27740:32263:-1 gene:WBGene00066634 transcript:CRE05265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05265 MEFRLPSSYFRHSITVSNKDLSKKKSSRSNKSGKSPSRKKSDKDLNRKKSNRSNKERTPSKKGSSRNVVAGGIVPLDKKKSRSRRETEDGSRKSGSNNRKKSNRSNKEMTPSKKGSSRNVVAGGMVPLDKKKSRSRREEADGSRKSSSNKDLNRKKSTRSNKERTPSKKGSSRNVVAGGVAVPLDKKKSRSRREEEDGRKRSIKDESDRKSRKGEEEGSRRKRSEEVGGFVPMEKKKSSKNNRSSSKDRREEEPRTRRSTDQTNDLERKKSNRSQKEPLSKKKSSRKNDEESKKSQKDNLNKKQSSRSNKVSSSSRGAQSDRRSTKGSSSSGGMSKLRADALKRMEPKPLVRFQSPNANSDRFTCNEEGCRKGQCSKDARHSQSARRSVSQRSLRAAKEKRGAKTEKEEPPIKKTPSAKSLPLVTPPKEPTEAPPPPPPTRSIYLDTGKSTYGELSKPSTLVDTKSVYFPAATTPPPPPPVPLTPKKSNKSIKATKIEKPEKEIKKVVSKKSIKSARPARQKDQKPTTPSKTPSKRAVESTRQKSKKPVNSARKSKNESQRKKVAKK >CRE05259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:36851:44920:1 gene:WBGene00066635 transcript:CRE05259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05259 MNEDENKGIVFQIGYELPSQQRVSMQIANLRLHAWGVFSNVYRGTLVSPGTEQEIAIKKTWPKTPERNFELLFLSGIRRKPHKNIVQMLFAFSKTTTSGQGHELTKAFCESYVFGFMPFTLQNILKKTRLGEIEMKVYTWQLFEGIRYLQMHMIVHRDIKPVNLLIDIDKGILKIADFGSAKIVQRGSLSTSYQVTRFYRPPELLLESKEYYWMVDVWSAGCCVGEMMKGKVLFPGGSRDMMLKLIAQAIGLPSSRDHDYMKVAKVIDPISDVKVIGFKEVLGNVSDEWADFLGEILRYRPRERLHGPKLLAHSFFDQIFLPKCALSNGLLVSQVITPEDYKTAQRNDSSLGKQYAAAVLRNEVKFTLKDTQETTTPVLTAIPKDPKDLKESKESKETLPKLPSNASTTNLASPTAVMSLKRTLNA >CRE05260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:48549:53227:1 gene:WBGene00066636 transcript:CRE05260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05260 MFKNFHFLFLIAPIYSINVLVLSPAFGGSHMNFMAHLADTLTEAGHNVTFLIPVADEARKNQLGVKVTTDVVIVEQDEIMSKETLIVDDHMDAMWTLDTHEPNFEEMFSWFHRIMELSCANFLRNTEVFDLMKTRNFDVAILEPLSVCGLGFFKKLGIEKTILASSCSLYDFLLPDIGEPEEHSYVPCEYFRKPNRFNYHENTQNPNFPALSSQSGDQMTLSERYKNYRFSKETKKTMDKMFDKETEIYQKYLGADIPDWRELLPSASLFFTNSNPYLDFPRPVIQKTIPIGGISVNMDKIKSTKLDDHWNEILDQRPLNMLISFGSMVKSSQMPLEWRENLIKVIASHPDVTFIWKYETNDTAFAGHLENVVFSKWVPQTALLNDARLTAFLTHGGLGSTNELAHCGKPAVMVPIFGDQVRNANMLSRHHGAIFVWKNDLGDFEILKNAVHSILYDEKYKRNARHLADILENQPHQPKDLVVKHTEFLARFGPFPKMDPHGRSLHFIARNFIDVYAALIGSYFLLGSVSYVVLRFLFSKLPVKLVRKVKKNL >CRE05261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:53735:65358:1 gene:WBGene00066637 transcript:CRE05261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05261 MSSIHRQRVVIDSSSEEEVEETENISTSIIAPEIESDESEEVVNETSEVFVEDSIVDDSMHSARSHQSSSESISFITSTPKSNKSTLSEKTDLRSKAALRERLLRKSLKPASNRLEDSEEDSGRKKSGWGPTKKSMEATTDELFSATLSPIVKTNVRRALGFQEEEEDTFMNIPKIATSTAINDIGDVGEAAENPMETSGNSDIIVVTPPPPTKSSEINLDDSDIIDVTPLKAPPIKGGVAPPQAPPPPSWSSQQSWNPNRQRAPPSPVREDYTKLSVKELISKRNSAMALISHSEHLPDKGMTVIRKFQELDDEFERRKDAGINVYDDGGSGDSDDCIVLDDSSDPPPPRRGVVDLPQKAFFSRRISPPRGPAPPDFNAMLDKNNQKLMGGKMNDEKYRRVQLVTDRVVQQLADATHTIPAETDLTETPKGFKIDLMPHQKSGLTWMIWRESQPQPGGILADDMGLGKTLSMISLISHQKSARIARKEAGGGANEDEKEKRKIVKEAGLVPSNSTLIVAPASLIHQWEAEINRRLKDDALSIYMFHGTKKQRTIDARRLARYDVVITTYTLIANELIEKIKTKAKKGGVVNEEAEESDGDSDIEGADGDAVGHAIRKKSIGKDDSPLAQICWSRVILDEAHAIKNRLSLCSKGVCRLSAFSRWCLSGTPIHNNLWDLYSLIRFLRVPPFSDANYWKESIMPMKPIMADRVNLLTKNLLLRRTKEQTCAVTNKKLVDLPLKTVEIHELEMEGDEKHAYLIMMNAAQKFVKKILQDSDDMRHLGFVRRHKKKAEEFQNPFNFNLQ >CRE05262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:65444:67224:1 gene:WBGene00066638 transcript:CRE05262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05262 MQDENDKDVAEEKMGNEVDFLNCYDNIAESIFDETDEDSRRRLWRKLSEKDLRKLMFLLSTTQSKTAVNEYFDTEMLENQKIMKELLFRSEHLKEIEMCSTCAEDGEKCSCFNISSKCTVVFSDLSSLIRGMLQINGKHVLNVQSSIVRGECTTSPMGHGILKELLLNELTPGEVKVHFQCGFDGIKLHMKGRYKVWPFTLIPLDLEDKERATVRSVLTCAMYIGKTDPSANVHDRIINWCQNEMSYPISWNGLSSREKCCEKWTEKEATSGLVSLLSY >CRE08427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:17:3561:1 gene:WBGene00066639 transcript:CRE08427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08427 MMEKSMRMIDEERETQTSSDNNSKKIFEPEFLSCKMKKTLQILSNIVEKGEKVVIVSQWTSVLELIEEHIKQYAIRYTSITGQIPVKDRQERVDSFNREVGGAKVMLLSLTAGGVGLNLTGGNHLIMIDLHWNPALEQQAFDRIYRMGQKKPVFIHRLITKGTIEQRVVELQKSKLTLASSVLDGTATRKMNKLTTADIKMLFNL >CRE08428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:3797:17095:1 gene:WBGene00066640 transcript:CRE08428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08428 MVLTRAKRDKNEKVRMFIREEAMIGISIESAYDSFCGKFGKSVIEFLEFDYWFYRFYDGGDHDLQCEMDYEKDPNPHYRTITDLPPELVHKVSGNLSSIEKWNLEKTCFYLRKIGDGVPIRLKNAVLLIDENEFLFSTHLTHLRSQKSIRCSGVNGGCNVIKDEASGSESYEYTGNENFMGVGISFMKSLAEFPNLKIDKLIINQATRKEELWNQFVTALENSLKSLPHKIHVKFLKVICDDPECLLDLVPFMKPGDLEKLEVKYAANLHPREPLRVEMNSDVWSEVQKLEQYKQLKSLTVEDFTLPKVPIEDYLFLRSFRVRFESVPLEEIVRIRDILPKFPGCHSICLYFNELLSYEASETLIDYYETTSINFVHHAPNDMLCIRVGRFFRQKRNFNKLAAAGTDYGHDEHNNSYYKYAYIGGAATVGLLGYKYLLRTARLDYAQEEKKKLKNLPIYTQEEVKKHGKEADRIWVTYKDGVYDVTDFISMHPGGEKILLAAGAAVDPFWALYSQHKTAEVLKILEGYRIGRLDVKDVPKAEPDAFSNDPERHPALLVRNAKPFNGESPPSLLTDHFYTPNELFFVRNHLPVPDIKTEDHCLTVETLNGKTIDLSVDELKKKFKSYTIGSVIQCAGNRRADMNQYKKVQGLMWEGTAISNAEWTGVRLRDILADAGIDVFDEKIKHVHFEGSDVDPTGTPYGASIPIEKARGNEVIVAYHMNGVDIPRDHGAPLRVIVPGNVGARQVKWLRRIIVSEKESDSHWQQKDYRAFSPAVNMGDELKWDTVPSIQEYPVQCAICTPAPNTKVNSDDGTVDISGYAWSGGGRGIIRIEISVDGGETWSSCEMEQEKKQDLDHMYAWTLFKAEVKIPPIVKEFNIIAKAVDRSYNTQPETASGIWNVRGLIHNAWHRVPIIVKD >CRE08452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:24240:24693:-1 gene:WBGene00066643 transcript:CRE08452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08452 MVSTQRTPSPSGMNPTTESDEGNDGVTADWVYVLIEISYLVLFAIVTYLMRRFKFMKTEDDAVTKHHRHHLELVKKQQEVLIEKQGSAENFILATDGNDKDDK >CRE08432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:41483:60436:1 gene:WBGene00066645 transcript:CRE08432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08432 MERICNNHFQVREKSELVTVNVAENFKKLMDKNFVRPTKHSTMLPYFEELEIEADKNFQNIINGMMAAYLTENVVEATEYIDMFNKYLCQFGLRMTKQTFLNLVRFFYEVLIKKDQNVDLIKISCLGLSKMITATRNASFGYRDLCLDWKPLYDLLLRAIRQKIKPDISQMLKDTVTLFSIFYEPKEHGAIWETLLEEMTIGSRGMDQFMTLCRVFLTVEGMSEEEAKKYAVNTWVKEIWDLYLKADMNTSWTSVSMDLISDLCYYHPGAYDFSPHYDVLFTKMMRSFELTVRGGKMSLGDGQEIESNTHLATICVYTIGGPNSSLPHFQRLMRYILFNVHPLNVGEHTDQIASFLKDFITRFKSRLTNERLRFKKRECSREYYLMDSDIDAVTESLTDLAIMLMFSNQQDYKLIHCLTTINRELVAPKLLEHFYSSITAVSEPHRLSVIIEAFSNIIFEIIRPADPEYRTPRDISFDSTWLKTLEEERKSWATYRSGSECPQVKSHQFKSLRAHAFYIMEILINNINVSDVDRTNYIFKTLTVLFSSFPLMDFSSAPEFHKLSEDDRILCLLSKRIPHLVEFTIDKLLEVITCLSVVAPKTSGQTIGATVSSESLNQVGEEEVVLVSGVSQLVKVIFERVDEKLRKNLFDRLFAYITSCEFSSDTATRTLTTLVMAAVWVSPAAFRHYAEFVSKKLKALITEEIRESRSPPSSVIFFVELARGLFAATHEMIVENEKMLSEVVTLMLSCQSTRIHKCGTFGVTSLLSTLLYIETEPNYTPENAEFKRPLSEWNPIDYWAKCAKLQDSVISWHIPSKTEVECAQRIANQFFFPFIAKLMDMDYDRDKFRRSIHHIMMVMKDVKSFRHPSNRTPIPDIYTPFCLPIPSSSLGTACILEFELKGPNGENARELMVKMIENVSKKIQDPTTLAYLSIIASGCLGKTNFCFEDKTEAFLNEPHSILVDLIYGKAVKVSQHTLDRLAESKRAQTEMYHNYEVREANEFDERILRSLLQLAMNDYEKVRDAATLFLKQMDSQLFRFRHKFLPAVLDVLTTETNNMDRLAGAMSLVITLGWAANENPRIRIDVWDAILRIKVFDELKICVLFDSICNEIETISKRPNYWKVERGSHEDRNKKVAEVAQQLIKPSEPSWDQYLTPESLKKQTDIWFNYQKARESSRSDLTEMLFAKHVKNAAHHHTRENLARTMTFQSQKEQAEPRTIALLLSQLSDDQYNSRKEAREWLSRWLYETKPKSNRIEMSPPTKRVDHGVSLESGIREDNLWLAYDSEHLPNTPDKWDDMIFVEKQQASCRWKKTISVVRKRKDGPPLASRQLTPSDRLIIDYFSQPDLISNLLKTRLIEKEDHILPYNYFWKIFKYVVRNYPDEKKPLELLIWNLRELLKSKKRNEQLLAAELFLGIVEGLKHRPFSELSEFWKEITVALDQFFNIMVLDAEPSWNFTIVTILNGDARRHWWFLESLIGGARKTNGMTEFQQAFRLSSLIFKGWQHGEIMKRVSLIAWKKLQTTVTDSLRFAIGSVFRATAQICEKNSTATLVNIPPRFLPDSLDSTIQKIIEKIPHLKLVEDPESARNCQSDTAISSPKNKRRRRSSINDDLRDRESLIYFRTLLEIILQYYETSTQSWSQSLVKILPKLMEYANEDDYDVSEETYRDVDITQNSAIIIHDYMSVSWLRSEFIDAIVNNWLKTFSSHSDSSRVRLAIIKFVQASVYSNMYPMCLKSRREKVEHLLFKAVFDPEISIRNEAAKCLLLFIHCEYIHVSDERIEEFSRILHSKTALEASSHGAALALGALVLAYPFSLPNKILKPLRILSSTTSKRAIVHKTMTETVREFRRQHRDDWHKTKQILGKSLVFDIENATAPAYYA >CRE08433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:62012:62671:1 gene:WBGene00066646 transcript:CRE08433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08433 MKVLFLLSTICFLGTVLTDSPPEDVGKGEKLVQSVLKGIELRDKKMIGDVFEDDFVYEGCKKQYNKEESTKLVGSFSLQMASIMEKGTQIVYVGPRKFMTLISSNSLKSVFVLSKNWKLLHGKTISC >CRE08434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:65315:67952:1 gene:WBGene00066647 transcript:CRE08434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08434 MKLFAILVFAVCSTLVACDAEEDSRLANTDDLVPMTAEPRDAARDAADLELGKATAKKFAEDIMNVRKSEDIHDLTNWLLDSVVFNVCGNRLYKNHFTAFITNALLDEEQNPGQLTFDADDAYTREDNLSISIIANNFIFEKDDKLILDFKKQEDGSWKLSYGTILTCKPVVYE >CRE08454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:68118:72087:-1 gene:WBGene00066649 transcript:CRE08454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-31 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MZZ8] MLSIKFMFSLIIFNYVSPAPSLNILVYSPIFGGSHSKFMGNIADTLTQAGHNVTFLVPVADVTRRHEVGVKLTKNVMVVDKPNYNPDAAFNIDMDEVLRPLWTIQNRPSDFATCFTFFLEMMRTNCDDFTSNKKVFEELSKTKFDVAIAEPVSICGLGYFNALGIHKTILASSTTHYEGVVRNSGEPIDMSYVPVHGAYFDEKMSIFERYTNWAAEHVMAGNLEKMFDEEMEKYRKNLGVGVADWRELIPEASVFFTNANQYIDFPRPVIQKTVGIGGITVDMKKIKSEKLSEEIGKILDKRDHNMLISFGSMVKSSTMPEIWRNSILSAIKKFPNVTFIWKYESDDLKWADDTKNLHFLKWVPQTALLADSRLSGFLTHGGLGSTNELAYLGKPAIIVPIFGDQGRNGPMLARHGGAIVLNKMDLENSEVIADLMRKIVFDRSYAQKAADLANLLNSSPFSPKELVIKHTEFVAKFGPLTQMDPYIRQLNWFQRTFADIYILMFLFYSVVLIVPFLVVRKIYRLIF >CRE08455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:79243:81026:-1 gene:WBGene00066650 transcript:CRE08455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08455 MFRVGILSIFIIFMSLNVSHILYILSQTFPLPVPKNPNLSRQERNLANHIINIIKEAETGEVEIEETEELVDEDYDGDYEVEAFKPHDDFVLPSHQHLAFGDKTVSREEAQKAIDFYRKTTKGTRPFKTMKSRFRWITNLGHLEKLRRYEKEKTDFNESRSNLLRVLSKRLFQEVKEKLDEGKNYLVPSIILENFQTGLHLHDRDLQTMARKINRSETKVTNFLASQTWISKWKNSHRYPNIKNLNIFNQTFSIVSRRITKFVTRRCLRNKDEIRKTGDDFLKMVRQEIKNLCPSVVFNSDQTGIQKELYSQRSLAFCNEKQVERLVQSKASLTHSFTFMPMLFMNGTLGPKAYLLMAEPTGEFPRTRPIPDVPNLVVRAAKSHIMTKEHMRDWIRTCVFDVNLPKKMYMLVDSWSSFKDHKSIQECVPRGHELTVRNIPPNTTGFLQPLDVYFNGPWKNLIKKFTAHTLNFHPDYLIANRNNEILMVALLYHQISALHFQDFLKYPWRKAGFMDKEVSPSPFQTPSEYCFGPEVSNENCYINGCSEMSFILCSRCKFYICFDHFCISLNHFCPVA >CRE08456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:81709:95285:-1 gene:WBGene00066652 transcript:CRE08456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nekl-1 description:CRE-NEKL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N001] MLKPYSAGRIRNLGLHIQNRDTKLRILDEMEITKETLEDAGSFVSQFFRWLISEVLIEGAFIDFLLTTPIENTSQMCLALQSVSKKKISVRLVSRFLSSELAPHNRRIVDIYINNDIMITNPNPRATSAQNRYERIRTVGKGAFGSAVLYRRREDSSLVIIKEINMYDLDSSQRRLALNEVSLLSRIEHPNIIAYYDSFEEEGVLMIEMEYADGGTLAQMLARSQNLLEEELIVDMMIQMCSAVAYLHENSVLHRDLKTANVFLTRDSFVKIGDFGISKIMGTETLAQGAKTVVGTPYYISPEMCSGVSYNEKSDMWALGCILYEMCCLKKAFEGDNLPALVNSIMTCAYTPVKGPYTSEMKMVIRELLQLDPQKRPSAAQALKMLRPSENRHRHHTSGSMRSSISFTTLYDLHVPTITLSAISDLPGRISVKQIALSKTHTMVLTNDNELFGFGDNSCGQLGFGKFSKMYIPMPNNKKIVYGKAGKDATMLLSDDGSLIAMGSNRHNKLNLTQRVGFFAKEKKAPTDYINKPTILQGFPERVVDFSVGSYHSGVILESGQVMLFGRNDNTELGLGHNQKMAVRSVKPVKSLLHHACTHVLCGDGFTLVSTTEQELYFWGRKHFTEENVPIDVLEETVCDKKMKRTGSEVFDKNVVTLPSLILRLQDERGEKGIRLSGLAVSGRRVHVAVDMLEGKRLPSALAERCRSGSMPTINDETHTWLREEFEQAEIIPIDASKRRVRFDDSCDKLKMDSTAKRNSLIREIEELKSKIKEQDSTFEGHRNQMSDLESKLQELQAKQAFLRKSEPPPAYDRRNVTYNKLFPERENTSTTCVIL >CRE08436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:96754:101006:1 gene:WBGene00066653 transcript:CRE08436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08436 MSTRRFLEAQQSIRNGPLSYPVKTENHSNWETPKTSNGSNTGLLGETRTKLEQRLHQRKRQWDTVRNYSDDDMELMDVQPAENERRPNLDMEFEDFNEDFGVTSNADTNAGTSSQQRQFSSQRNRWNSANLMRNCCYDETSGILLSREHCERNLSNALKSKKRIDFYDILREGNDTRTQSLSFTLYMLDRMSRKSQSINSDSSTPTIVFNYTAAREADTIQELPGGKRILISHLIESSMCSNWIGKHAVTKAITSILNKIASRDQYFVVYSSPDSDRNTSYPPITDGFVANFSNLLRIGFQMPDTPTSLISLAANVRKTTRNFIDQLRRKTDRDEVAARLSTFQMNFKQNAFFRSTEESVEDSVVVRDFARAQWKQPGSTRKPVVPEAAPEAKTKSTAVPTRSLPRRTRTSAPLLKSPMDRRADLDLSFLSSW >CRE08457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:105433:120262:-1 gene:WBGene00066654 transcript:CRE08457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08457 MESAATSSSSSKKTRESSVAAPPNSINMASTSASATTPTSQEASFATSSDDVFFGRTTRLMWKAQTEQAAASSHQQSPKWNEPDTDYAPPVKKSKKERSHHHHHRDRGDSGETSFSTSSEKHHVKEEKSAKKHKKSSLPPKEKPPKNPQAAIPAAYPPPAASAPPGATGAPTAPAAPPPPAAVVPASVDIVAEQRHTFMIPPTDPLYHIIVSYYFDLKKYFNAAKGAEPELIGQIRQDIEAEKVKKNELMEATSNTNSQINELLSSGVHVLKGHLDELGMHKVSDVTXXXXMYKTKESATDPVDRLVHINSLQNGTNSTRIYAPPVKKSKKTPKAHGSSRHSETPTTHRDRASTSSSHHHSHNQHHHIPSQPKPTAPPPVHQPKQVQKDVKLEEPMPPDMNHAAATQQLDAKTMDAIRTIREAAKSSARATLIQNAIDSVLSKGTTSPTPPSHNGIVAPPSSQNPQAAIPAAYPPPAASAPPGATGAPTAPAAPPPPAAVVPASVDIVAEQRHTFMIPPTDPLYHIIVSYYFDLKKYFNAAKGAEPELIGQIRQDIEAEKVKKNELMEATSNTNSQINELLSSGVHVLKGHLDELGMHKVSDVTELLAGSKHIVTQHKGLTTNVAQMETSVAVEEHKLKIIGGPDALKYFEEALHSQSMDIMKLSDLVISTRPPNFVAQILPDEPTPTVTTNTVSSDSKVSPSGGRRPRQPRPKANGTAGGKRGPSAGGGGGRKSDGPTEDVEMEIRQFVQHALKVDNAVKEKERKARDFMEEQAPDRRHYRNGDTPQETRRKSISGYSRHSSSNQQILPPPPPVVDPHHALNRKRPRSIPHPTANLRATMPRKETDLVDRIWHDIDEEQREKNKKRREEAKRREAPLQHSPRDYLPLTANNLKNFKNEDSTISDFIHRKMMVDRLLGYKMLLGKMENCGTLGYEKMTEDEVAELSEEWLKLFPPEHEDMINEHVQRIGPRTPPTPEDEREKEEEETKRPRRGPKTPPGSPGPSNSISAPPVVDQQAAVNRRELIEQLAMNFGISPFKVEETLGDGLDKALEDCSKKIKNELMETFKEQLMSQYDKKQGEQHQRVRDDLSDQMELVSDDSLSLDLAPVKKEEPDMNMAQYHMAVPPPPIPPPIQPPYSYYPPPNAPPPYQYAPPPPPPPQPPPQQQQQQPQAAYQQNMMCYNVVVLTINPNPALHCSVNPQVSKDISKGP >CRE08458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:124437:138157:-1 gene:WBGene00066655 transcript:CRE08458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08458 description:Histone-lysine N-methyltransferase, H3 lysine-79 specific [Source:UniProtKB/TrEMBL;Acc:E3N004] MSEEDAGLKEESPSREVETPVDPGIVAVASDSSRAASVDRRSSSMEPAGNLENQLVLLSVFYQGKPLKLPGTHDHIHKVVFRILKNVCGLVSHLALHFPEGWDKEKPDNETILTLTKLFNRIAKPFASNWSGSYNTDVLGDWGQRQCSQKVAMEITTYAYECAVPRPADLNTYYKSFTSETYGETNLEQMASIIDELGIGSHDVFVDLGSGIGQLVCFTAAYAKCRKSVGIELSQIPANYAKNLGGHFKQLMAHFGKSHGRFEHHQGDFLNPKFKKLIIEEATVIFINNFAFSPDLMFRITNELLQDLKHGTRIVTTKPFGAHKKDITYRSTGDINSISDTRELTSLEYGVSWTAKQVKFWLTTMDHTKLIRYYEAERQKKLEPKSREGSDEVNEREKKTKKRKNGKESDGECSSSNTSQNGKKKDKKKKVSAAGTAVFTASTGALFPSKYYK >CRE08437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:139753:149246:1 gene:WBGene00066656 transcript:CRE08437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zwl-1 description:CRE-ZWL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N005] MALKLEDLHNYNTLVAAKGEEDEEPTPVLLLNKYRIRLLPLSSLPFVQNYSNISQLSLNSDDVLVIDSPIGATEKYSKKSIENSKKSMIKEEDESMEMEEDKENEGGENGPLVTSFLTLEKLQKGAPLNDIEIVGLDCEVQFFDANPIPFEDGVSLQRFLRLESSAHFSPAVDKLPIWISTISQNIPSVCWIASGRTQKNIQFSGVTRVIGHFNEINQKLIKQLNEAAGAAQVNRYRAVYDGIRKIATPTRESPGEVIIDMRWNTKSSLVLLEQPDNAADCIIKIDLGWRDNRFFIDESIFEQLFFVLNLADVLANPEKEVIFPMEYVKFGDLVKEMDDIVEACSHEDNVFASNEKNEEVTDKVWNIVRKCGDIKHATMLFKNFLQALTYGKIKSHVQEGNKSHLASLIRASKTCDFRMPILERLSTIEMMMEIGVESLRGRIINKFSSTLQFPADELTFILKTCENELTTGEGALNSSVVSLLPITMALATVNQIFGLLNEKDYVLLPELARRVLTKFTSGMIEKAKRGETETDYTFETTLPLLRMSKEKFMDKRPRIWTCENMSTVGAHCQTRIMTSLELESSMEHVSRIVNAGRPIREIVETEETQRKPTIEELNADYTVSHTVFSYLPKM >CRE08438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:156152:158373:1 gene:WBGene00066657 transcript:CRE08438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08438 MLTPHHRFPRSASAYENTACTSSADDDFDDDYYPMSCSRNVGEEDGDEDEERAVEKETLEITIPRDVDQPNGMAFRMVGSRSRGIFVDYVYLDSPQTDSYSEEKDKKKKKNANLVLGGNE >CRE08460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:171915:174010:-1 gene:WBGene00066658 transcript:CRE08460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08460 MDEMDDFFGNDDMDRESSPIGDEAIEDNRGEESKKKIIEPKLLRTKKLTNPRLALNESTLTGPKGISALKDTFKNFKPNPKDDPYTNLDKLMKKYAYWGHLMFPKMKTEDVLSRVETLGTRRQLKVYLMKQRLGESTDELENDEKSGRKIGGKGIIDDGAEDDEEAEPNDYLFDDLPGEESPQNSKKLVDSPKKPAIHVDHGDEEEEFRLAEEQRLRELEEAAADEIMEDFDDMNNDW >CRE08439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:175250:178834:1 gene:WBGene00066659 transcript:CRE08439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubxn-1 description:CRE-UBXN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N009] MSIAQQLIDMGFPAEKAEAAAGNGRNLDQALDWIEKDGAGVPMEDQTAPTEMAATGEASTGGSADVPMAANSFKCDDCGKLLANEDAVMFHASKTKHENFSESSEQIKPLTPEEKAAQMQLIRDKIKIHMEKKSKQEAEEAREKERKRREDGKAMVAHKEAVRDREIREAAQQRRREKTEDEIARQRVLEQIKADKEARKAKASGVPVPEQKAPPTTAPVVVAPPKDYSQTTLQFRLIDGQTVRQQFQANEPLAMVRAWIETNHANGVPFSLMTPFPRKVFTEDDMGTPLKALNLVPSANIVLNRLV >CRE08461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:181162:183282:-1 gene:WBGene00066660 transcript:CRE08461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08461 MESKVPGEEEVDCDMCEECGKFKINREALLDNAPKAAPKAKKMTSPTPEAVLIQMVHEKMKAEEARREKTKKTSGATPIVMTPPTQSPMLLFDGKTVRRQFQPAETMCSIALPIHPRFINDSIEQVVTLVREWIEKHHPRTVFTED >CRE08441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:191592:197814:1 gene:WBGene00066661 transcript:CRE08441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08441 MNLLIILITAILANAVPIIRDLPHEPNIPPNGDSNLSEDVLHRTSNPSEIHERFYRASDPNLDTHVSNLKAVARITNGIYLIQGITSGTIDSDELISEILRFGPVKPSDISAIDMSKVGSAIDDMKKLSEKLVATVEVGKVENVLNVLKSVLNEVDGIGNLSEWTNEKEYFKSEIGRLAKDGLDLTDIDSLDTAYKTWKPLYKQLSEKKPATSADDIENVKKSLQNLKTATSNLRNPASIWQFTNFTFAADGIAPILKAEHGVDIFLAQSQYMSIQDSHRQVYTAYSKPIPGILNDLIARLGDIQLVSKLGTSRREVKKRQLRHTFGLSDSSSDLSSISSDLADSWIKKVIKTEQIKTALKQLEGLAVLSKNVDTSVGGNLGGIDDLVAILKSLDDVSKEVTASESGVSLRFNCAIVTASNIKKESFQDLNNSLSAIDDKLVQLKTATDNLLQYVDTSGVIKLSDEVIAICEKMKTDKSDYQDIWTELGTYNNLKELNGKLEQVYVLLTAINGLLTIKGDAENATKLMDSLDGYHKRLSHYSDYFKCLQDQDQLKLVFKAIDGLNWIRGWKDDTTYSKTLDNGLEVVKKVVDAKSGLEKMKESIKELRELKTPETDAMKDLPEAATHSQVIGKAVQGLSAMAKALKKEDDLKKVVVNIGVVESRKHRKKLKKIDVESLDELVKLSKDITSMFTSLAAFTAIVGNFTESETLGQQSDIFAYAKPVSGVTGDFSGMIRAVEELKKITNGTDLKSVKELEDGLKVLESLDLDFIGFHESFDLTWSTLKRLDEFLESVRRKFAPITTTTTIASQFLSGIEDQSTTMNGKPAESQSLLLYILIPIVTAVIVTVIVFIIVWRCKLLCFKQKWTVCPVLDFDEDDHDVTPLTIDLLVIKVVRMTFGAAHLKFLSWRVLLSEVKSENRDENRPFPYKKLDENKYHRRTIPLEPMTSLQVVRLHGNVINTRLNDKYLVLQNFRTLGFSDSFSSFFSDSSDSFFSSSRIEFSDSRILGFSGSRILGFLDARMLGFSDARMLGFSDARILGILGFSDSRILGCSDSRILGFSXFSDSRILGFSEFSDSWILGFLDSRILGFSDSWILGFSDSRILRILGFSDSRILGFSDSRILRILGFSDASDSSEFSDSWILGCSDARILGFSDSQNARNSRILGFSEFSDSRILGFSDSRILGFLDSRMLGCSDSRMLGFSDSRILGFSDSRISRILGILGFSDSRIFSGYSLFPEFSLFRIFFCSSXFSEXLFLFSVFSFLFSGFYLPQIPPHISFFQSPTSKSDEHADTREEFFSLILKEDIQYVMVVGTASSVDAYCEEMVGGVFTIGGDYRCETLLSEPFSATVTDVNIKRLRITLSNKGKVIATRTVCQINFPNWTDDVWPTTYDTLYDIHNLVTSSPKPIIVHSTTGIGPVMGLVGLRFCSQLMEIREDYTFDVVFRKLIKRRYCSFNDAQCIGWLEVGVMYFLTRKHRLETFMFNNNNQMFQNMVTNGEGIPEELRGTRWD >CRE08462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:198968:205359:-1 gene:WBGene00066662 transcript:CRE08462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08462 MNLLIILITAILANAVPIIRDLPHEPNIPPNGDSNLSEDVLHRTSNPSEIRERFYRASDPILDSHVFNLKMVARITNGIYLIQGITSGTIDSDELISELLRFGPVKSSDISAIDMSKVKSAISDMKKLSEKLVATDEVKDVEKVFDVLKEVLAAVDGIGNLTEWTDEKEYFKSEIGRLAKDGLDLTDIDSLDTAYKTWKPLYKQLSEKKPATSADDVENVKKSLQNLKTATTNLRNPGSIWQFTNFTFVADGIAPILKAEHGVDIFLAQSQYMTIQDSQRQVYTTYANPIPGILNDLVSRFADIQLVSKLGTSRREVKKRQLRHTFGLSDSPSDLSSISSDLADSWIKKVIKTEQMKTALKQLEGLAVLSKNVDTSLGGNLGGIDDLVAILKSLDDVSKEVTASESGVSLRFNCTIVTASNIKKDAFKTLNDSLADIDDKLVKLKTATDNLLQYVDTSGVIKLSDEVIAVCEKMKTDKSDYQEIWTELGTYNNLKELNGKLEKIYVLLTAINGLLTINGDAANAMKLMDSLDGYHNRLLHYSDYFKCLQDQDQLKLVFKTIDGLNRIRGWKDDTTYSKTLTDGLNIVQKVVDAKSGLEKMKTSIKELSELKTPETDAMKDLPEAATHSEVIGKAVQGLAGMADALKQEDDLKKVVNNIDLVETGKQKTTNPKDVESLNELVKLSKDITPMLKSLTTFSTSLSEFSKSDSLAVQSDIFSSAKQVSGVTGTFSSMVKAVGELKKISSPPDAAELTKVEEGLKTMDTLDLNFAGFHQSFDDSKKSLTALDLFFAKTWKKFQPTTPIPAQRPTLGLETSTGDVVGSGAQSAAEKDEEKKEDNDDLKYAGGIVAFLVVTLAIVFFLLYKFQRPWLMKRLPCLCWKKEKKKEKQEDEKPSEPPLPPEPPLIGPILPPIGYFFKLFVVPIFEQFEVMKTKKFLDTINVDYMLYEYLEVRHDDARVKAFDEEIFDTEWTECLKEPPFTATHYHYTGWLRGTYPANLGPLKKIFRRLATDSTPAIIHCSDGQERTGMFALAGLMKHRIKMSMNELDIQQCMFDVMSTRVNALQDHQDVAYSVHLCMELLADNEILPAVLHIDYDKMKGAWDRYLVESDKRGVKKGLLLLEEVFSDNSVDEDRSRKYKIKKPPTVEEKKEDLLHKMREKNERKKAEVGRREDAIKEERQKKWDEEQAAKKLKETKK >CRE08442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:216311:218365:1 gene:WBGene00066663 transcript:CRE08442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08442 MDLVKEVLEGQKIFYFAPPTKENLVFFRDYEKYETTEWIGKTLFNQWQGIAVVPAGYIHFVYTPLDSISIGGSYLTEDFFKLQFQKSKNEEKKVMYQGFRDVMFCYIEHILIPDVIKIEDSEPVNQAPVSRQISLFIFSRSTFLLYAKKLVELVFYSQMNLYSLSYLHFPESSNNIQYRPRNSTLYRKVHEVQLNLFKKKLTMGECLININFITDETN >CRE08443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:219432:221825:1 gene:WBGene00066664 transcript:CRE08443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08443 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3N015] MYSLWFLFFILSGFLLPQRVFSINYNSNNDTERFLNERQILNELQAEIFGISLPPTNDENPYLFEGDVLLTEQQLDIIIDDVRAQLWAIKHPEDTMEKPKNRILTSDLSTRWTLPIPYYIDSGVNESIILPAIRHWEQETCIRFSRKNSKPSGNYLNFFQGSGCWSFIGMIGGEQNVSIGVGCHEFGFVAHEIAHALGAYHEHSRYNRDSYITLMPSNMVSGSSFQFIKLPSSNMTDYGVGYDYGSVMHYGSHDYSFNGLPTIITAEPMYENIIGQRVAPSFADVKKMNSAYCNAVCTKTLACSNGGYLDPNNCNTCKCPPGFSGKLCRAHPVSGPCGNQDLTASSTFASFNVSGRKTCYFLITAPLNQKVQIQHYTSVFHPIDVDTCKFDYIEIKYKTDFTKYGPRFCKGSVLPVTTSETNKMMIVYQGYDSNSFVRLRYRSI >CRE03837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:196647:198786:-1 gene:WBGene00066665 transcript:CRE03837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03837 MAPKGKEASTEQIWPYKITIGICAMHRKATSKPMRAIMKKLIDFYGQWVDFFIFPEQVILNEPIENWPLCHCLVSFHSTEFPLEKAIAYVKLRNPYVINNLDRQYDLLDRRTVFKILSDNGIEHPRHGYVIRGRANEPDTELVEHPDHIEVNGEVFNKPFVEKPISSEDHNVYIYYPSSVGGGSQRLFRKINNRSSWYSPKSEVRKEGSYIYEEFIPADGTDVKVYAVGPFYAHAEARKAPGLDGKVERDSDGKEVRYPVILSNKEKQIAKKIVLAFGQTVCGFDLLRANGKSYVCDVNGFSFVKTSTKYYEDTAKILGNQIVRHYAKTKNWRIPSDMPQPPILDLGLGDDPPMITTPSGKLAELRCVVAVIRHGDRTPKQKMKLVVTDQRFFDLFEKYDGYKKNEIKMKKPNQLMEVLELARSLVTEKQVRKM >CRE08444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:228665:230415:1 gene:WBGene00066666 transcript:CRE08444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08444 MEQPNKPPINYLCTKVILQHMNFSLRTRVASSTMVALHKSTPVQIHNIIITPSKIVIDDYTTLNLSVVCIPKTGETSRYLRLKNKEGWNCDIDEYGFKDREFEKAVQDKQVECWEKQKEIMEMELKEDLKSSNSIFFEVIKNKYERSFGDYVFKLEMYNLKRAGQPSPYNRFIQLAVSSSNSYRRVEYTNYDETLSKSMDYLISKLFSLNNNTIRIGSIKVEKEGVNSLNSISPKINHNQFPVASITTVDSISGDLPIFQNTGIHIIENCTVKYGSIATRVHFRGSSCSTRNFHSLIESLKKNLIGKHLSIETNRSSPRWLSSIPNSVKGTILVDGNRITCYTVEDRKKQIEINCYYIPIPDSISQIHVEVHPIGHAIRN >CRE08446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:254218:255002:1 gene:WBGene00066667 transcript:CRE08446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08446 MVYFSRTFHFNQTQLKAIRIAMKELEQDRNLVTFGPETSLQLDKEERKELKNEKLRTLDIQQGTGMSLLKMVDDWAGVKIFKTETMSDWTVQTLRHDQLHYAAMDAIALHYINIKSDVDWSFNPAKMLNPDSTFRTPTFFNPKKPNSTQLEMLGDICDDLLEIEDVVDWMQEFDVCITLKKIRKKLEAVRTWEKEWKWDKYWKLVVERQVHCMEDIRTSRQRDRDQLDNLP >CRE08448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:261759:262487:1 gene:WBGene00066668 transcript:CRE08448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08448 MMDIMRNQLTRESSWPLYIDTEGTVFDVDLRMVYLFRVHHMSYDQMQSIRREIVAINRRIVTFGPEESIGCITSDIQRHPRLSLQAAVDRLRPISKTETMSNWCGPQLRDDQIQYDTIQVLHIHATQTSAQDFFDPAPPTVTQIHKVAEIRWEMMEAVDWTWDVTIVDILEATNIQLGLATGEKNWEIEIGKQVHILEDVIRGMDDQRKSRERITRTIEALRDVLV >CRE08472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:285149:285868:-1 gene:WBGene00066669 transcript:CRE08472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08472 MQSMFQNPKELESIISPEDYDKAGDIKFLLKKYPRFAQYILEQDATFAMIKKGFEMEKTLPADKRKEFWKYADLLCDSFMRAPAYKNGNKKHNGYKICCEMADYCSFYKQTWFFIVCGAVGFLLLVGIAGVVFFIIRRKNKKLGGGNTKKGGSKA >CRE08450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:295556:304548:1 gene:WBGene00066670 transcript:CRE08450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08450 MDTIQLKIWASVNRVNPTLTFKVEIVVTLNKPTFSSSLLRYCSTSKEWERCGCFVCAQAPNVCESCLGFVSNFVEEICDKIRQKMKEFDLKVLEQHYNATVIEDLKQEVEQQKKEIDVWRKGTNDAKMEILKFSDENLQKVQDGKHEWMEKFYNAQNEFTSQKTKYSVLQLTLTEKITEISRLSNELQELKETYELLDKSRLEQNKKLRNLAKDLGNQKDNIEDLEKKNQKLENQNEELKKKEMTCTMKNNLDYIRHETNLFTITGRVHSVACCDNCLEDSNCCTCGLLKVQCPSQCFFYNDRRREWVTVLEYFYSRYGMTVLDRNTLFSFEGREEFGLFPLECLSIDE >CRE08474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:307023:310796:-1 gene:WBGene00066671 transcript:CRE08474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08474 MLHYNYNLIATANQSGSYYSKPTTTLIEQSGNSSHGFTTYPSTGSTSVTTSPPSSDSCSSGRNSSSPDYFVSSASSGLSVDQTDLTVQEFEIVQEKIKQEGTYGITKPPYSYISLISMAMKMSPKGQLPLSGIYNWIMDIFPFYRDNQQRWQNSIRHSLSFNDCFVKVARPLNEPGKGCYWTLHEKCGEMFENRGHLRRQTRFKVKERVQPKKKKSAHSKQTKMEIKQEEPDEFLNSSIVASTVTTAEQEEDMKTNVTSEAAGAVSVSHDHASWGETSNVYQSVISSPQTYEAAEPQVSINFNDHYPLSYEYNDFSTSVHHPQNYSSESIVYNSSGTSVKNYDGYQNTIYSSSDPDSARYL >CRE25990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1086:310:6512:1 gene:WBGene00066673 transcript:CRE25990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25990 MAQPYLPAEKFLSRKQETILLDDDVIYWKRMQQLTVFQEPSVVSSVRISPKKPFHVATTSSVRLTLYDTTVCEPLNLFSRFKKGVCSIDFRHDGRLLGIGGDEGKVRIFDVEKTTGTSKVALRVMQASQSTVKSVHFSPRGDTIFSLADDGKIKQWLVADTAYGVNAVPLVEIQAHDDAIRCGAVSSINDHCVLTGGYDHKVRLWDIRSKEKTMELDCEHPVESVLFLPGNTHYKTVTSLRLATRSTCLLTAGIDRRVNAFRTTNYSLIHSWSMPSPILDLDVSRDDETMAIGMGNLLALYRRAEIKKETTANALIEDKKSMIRVAAPPVKLAEKDRETQKVEVVAKSADRLKIPKIDGMLTNYKHAAVIRLLFGGKFRSSVDAAIVSYLRVIVMRGAIHRALGGQEISVQKNLLIFLNHHLFKTQYFPTLREVALAYFDVYSSEKLDQNVVKQVQRLKTTLSRELEVQKMISSLIGSIDLIVSTTKINVKSSSDLVLEEDDVFGEPMIRAKDLDELKPSDVGKDGQDGDMDTN >CRE03477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:52264:57697:-1 gene:WBGene00066674 transcript:CRE03477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03477 MVYLVSQCSEVIAEKVFYDHKNQTWFIAPGMEKDLMKDSVEIPCDEVTLGIYRDTEGDWKSANGIPVIRNIPISFTRKSEKINLTLSAPPVFSKLENIDNPFAYLATWTVNLMKLKETQRELMLNLQSEGLSSQTVEDMLSRGAEGFRGLAGIIQDSLDKGASFIENEVMGTVKKVVVPIISIAFLAIAVIIASKIYFVRKAAGIAVSELVEITRKAPPTIQQMIRRWKPEVHNIMMNDDPIDLDVMGIERSDSIVTMPMIATILTKGGEELTPKIKVKIQQAYIPAMVDTGASISLISEKWLKRMGINYKAHNTRQVAGTANGTLLNLIGSLRVPMKIGPFRIMTNFSVVENWKIPYNCILGMNVVSSLNKRNLLITFDTQNKCLRGDNESNLDEDEMAWTHKSLGEVQRKSEKIVKIRNLFRENKATERMKTMFYLIGDVVYRIPRHKRQTPPVLLESGEDAKQLIRDLHFCEDSDGFKTVMERLQDIAVWKGMRQDVAEVLHTCRNCWKRKAFQQRPYLNSIMTVSGRTHLPFVPVHLEGVPVVALLDSGASVSLIPERILKLLKLEGKVTTIITVGKTNVSHELLITENGGAPAPCLLGVDFINALNSRGKLLTFNMTERKVKIGDTSVKLLDPNQNGHENIMKISVTCAEEEIIPPRCQAIIAGEMPGVTIKNKEFIITDTDRETDEIYSISSTLTKMDSEGKVVVKITNPGNGNLVLRKGEKIAEAEVWSGITKTSPEINSVNMEDQNIEVLLSKVDLEKSSLSASAKKRVRQMIRKYSQAFVGIDGRIGRFKGKTKHYIELNDNHRIPQCRPYRVSPQQREKLEKELKFMKDNGLIEESTSPYTSPLLSIPKANGEIRIVIDYRRLNLITRSRTYIMPNTIDVTEEASRGKLFSVFDIAQGFHTIPMHEAHKERTAFCCHMGVFQYRYMPMGLKGAPDTFQRAMAEVEKQFTGTMILYVDDLIVVSRDEEEHLRNLEEFFQLMINMGLKLKAEKSQIGRTKISFLGFVIENNTIQPSGEKTEAIRKFPTPTTLSEVKSFLGMSGYFRRFIKDYAIIVKPLTTLTQKDVEFKWGEEQEKAFEEVKQRLISPPILTTPRMDGDFEMHTDASKIGIAAVLLQKQDDELKVIAYASRPTTPVEQRYAAIESEALAITWGLTHYRPYIFGKKVKVVTDHQPLKSLLHRKEKEMSGRLLRHQAIIQMYDVEIVYRPGKENPLADALSRQRVENEGKVVTFMEGTNEIEKTTNLKNIQDRSKAIQHIKRKLLQEDEDIESMKLQDKFMVINDIVYGIPRKEGQLPPVIIEGGNRETETLIRAVHKTNSHIGAGKMIAKLENIAIWNKMKREIEKVISTCEECQRRKNPSAYCHVLPMGKWEIPNRPFQRIHIDVMGPLSETIHGKKLIIVATDAFSKFAIAKATANQTAETTLKFLLENIVSIHGIPEEIVTDQGTNFMSKMFEEVCRILEVKHSISTAYHHETNGAVERLNRTLEEMLTLSTSNPINYDNWDEKLPLVIQSYNAGYHSSVKYSPEYIVFGRMTVSPTDIMIKTLRPIYRDEEDMVENLSESIRQCHEAVYGELENSLRNAKKAHDKIRKVRVPIFEVGEKVVIQNPTAKKLMYQFSPPVTIVSLTASTITIRTDRGKVETVHKNRVKKFNEARPERDDSDDDLGSETSATEGSIGSMAPSMHQNKYGSFDEEIGQHDWLEKEVNGWRGRDRASTGDGQQHPGQLRRSRRLQNLPAELHYEIN >CRE31177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:17750:20439:1 gene:WBGene00066675 transcript:CRE31177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dgn-3 description:CRE-DGN-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MLF7] MNNHHNLGKMMLLLTLVVFMAKCASAANYMLRMEDFDFDQVEIPHDNVNGKDFGKDMKEITQKRVPEENYDDLSSISFIRLRERTDVPELHTMPSENSSVDSFTLSVDNAGSPKGKSVQDHTPSIPIHRTSVVVEQKKMDFEIHSTTMAPVSVTQRWLPFQTTSRRLLTTRGVIFNRRSVKFECVRGVVCEMKFTPENSNIKQRMSMPLFYGVNESEVFMVAGKRNTLVGVPMVVGVHDFLLHFRGVQTNFSVTVKESPPHNHVFQMVLYHPTEYQIMGFLNTRTVFMNFLANALNAPVEIFTLLSVGTTARNRTEVKFFVNSLDANQCENRTLVELLKKFNSDEVFQRMVPDFNIYRVYLGGLEDCLQYLGPGKSALRSQPQTQKSTEVFVVIVSVTIVIAVLTIGVWMYKTKETRSSDSPDQKYLLVNRSGHEEVNFHLKMELNVLA >CRE31255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:31180:34951:-1 gene:WBGene00066676 transcript:CRE31255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31255 MSQPRKVVNFNLMQSAPSDNYLDHILDSDEEESDEENEPYLPPSQDISSASETPAAPSADSNCLTSALETVKIEQSEALPEPVDELTMEQEITRLSDSDRRVYQDLYVELRNEHRQSGKYSLFTIAFPTEQLREGATEHVNGYTSEQAVRFLAMTKMAEKRGISEEVQKSIPNFTEGIESAMVDRAVGHYGLYQISDVGKNFVSAEAYHKNLEEISTLRIDEISTILYNGARYFFCLDSLMIGDTIAVKKIRNSEGTNVVHTFCLFRRTIVKNETLNIGKAMRAVIFGNGEVAAAKDESHPAIGVESSVHNGDVFYPVKMRMNRGPEIAKPNWNLVTAMPLVLNFEKNRELYNIENSYSRSNFQYNEQLVNYCGVMGSSSLVAARKGLFDGRKHAVSRWSFEMLTSRDAGLSVKMKLPATGFPRQWFPGMSIYIQDVGRGEITQKLVNKAVHVIVKATGDFDSTKFADTTVPSRLVHQIGKEQVPPCLQPGFFEQMADGSNGKKIITALFGGLSIGENGVCTKREGYSKGKVSMLPSPVVQILDSLPHTNHKYSVTPNNAQATYIHRISQQKLPITVGAFPIISGKSTTVAIAAHEAATARPETQQIVFLPDENLARVFATHFENVVGKGAAKPVRFISVKQWKMQPRSEDTSIDYPVLLTQFLQKLISENRTARTDLSGTAKSYLKSMGSDNKLDEYWLNIFFDMVRPQIVISTFESLFSNPTVLQHLNNVSTVQFDDSDQIPQSDVVQTCVQYPLATYGLMGDTAKDHIVHECFGCHQGRISTGQLVEKATEWKIFPTVNGKVIYSVNTRIAEMIGKLYYQNDDFTGSEKIGDVIQMRGDIWTNEYPIKIVDHKFGAQKEDKDLVPMMMSLLQKLYQPQDDQTAISPEKIGVMCSTQSQMIILSEFLKNSGIHWGYLESFTGNQKEIVVVWGAAQKLKGKAATMEETTSIISSAKQSIIIFDSAKHMEYRSGDRKGRGWGDLVKIVQENNGLTQATKFLQLP >CRE31182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:39132:40826:1 gene:WBGene00066677 transcript:CRE31182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31182 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MLG3] MGKYRGTRRKNSNSYLQKTRIQNCILARAARLEKLNRRSAANEVQIDEPGPQQNDEGVVVVPINQSNHGGAAGGAAEEVPAQNQENIAARAELLENNAQQEEAAQNPNNGDVDNDLADRNQVLLPPPQQNWNQGVEQPITENHLGDEDRNSERKPPPADLVRSASPHLPNVDIKVEVEEHGVFDNPAPVHDQEDLVITEPPRLQGRIKLEPRSPNRRKDEDPNMLRPGPITTQPDRKIIFTTPYNVVRTNYIEIKNSSNRRIGFQLKCRQRPFKLNQKSGALYPGDAVLIELKTEKFDIEKAFTEKVTIEWMNIPETGEVLEEYLNGDGCKMTKNMVVEFMK >CRE31257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:50154:51391:-1 gene:WBGene00066678 transcript:CRE31257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31257 MHKVPLESLKTNTNYLKSCILYEVLSGKPIFDCYRLFCERNGDDAMGYVDFEYWFYRFYNGDVDFTHERRTEANQKVLSGLPAELLVKITGYVNPEDRGNLRLTSKIFKAIVDMIGVTFKQIIVEWTENFFRLQINENCFDYLIYFGHFIRNGNPADGQEAAFGRFAQVLNHRKLLINRLALKLPFDAFPEKREQLLKCLPHSLGVKSAIIQASADETLTVVYHMKPGVLNGILVTGCPQELAPIFESEHWKQAEETNVVSAQNTSEYFPMFYNFPCFHIRVNSMVLNDLHHLIANVARNPSFQFCMVKAGNVSSWFVEPFPLEERRENDGSVTHRYQIPDSSHYLEIHSGNDSVYTIRRH >CRE31186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:79604:81874:1 gene:WBGene00066681 transcript:CRE31186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31186 MDCQSIELAAYTQCNAKKRIKNAIMKKSTMTSKSNRNGEDELVGFPELRGEHGESGLRGLEGECGEDGSRGQDGLHRYPGEQEQDGLPGLPGADGPLGLNGDPGDSGSPGLPGNSCLLRTARSNAMTQRKIRTTRPPRMSKNDGIPGAPGLEGECGEEVFPSAPGQPGFSGQQERFSGENGLPGFCGQDGIPGLKLENGLDGQPSYLRSAGHLGSPGDDGYPGAPGQNTYGLPGHLGQGGYPGLDGLPGEPGLNGEPGTPGQYEMPGHPGVPGEPGQVGYPGKREFTGIGEKREHYGLPGQPGTPGLDGEFQDNKNAPERTDTQGNREFQDSMDHVEMMNSRDVTHSTDFQDGQETQQAYQETMDFQERSDTQDFQEIMHFQDHQERPAIQELLGKVVIQDHQDLTDHQELAETQDSKENPGLSGLPGTPGYPGAVGTSQTAQ >CRE31194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:126600:127764:1 gene:WBGene00066682 transcript:CRE31194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31194 MAWRRNGRANDNVPPFDNNPNAMLASASYDRTIRIWSLTTGKCVKFIDYQISQINKMSFTGTGRDLVVGSFQRADVFDINSGVTPKATVQVPKNVTAVGFEVSSIEKKDIISNFYYSEKWTMDVHRRRGRNLSALGNKKWQNDCCLCSPSENTCSEHGGEHQPDGTLRLYRMRQVFIWDIRMNRYMQLDVPNDMVFQEWFNKLTCHPSGDLLIGITNKGRIVRWSLERREVEDLSAQNPLKPEKKKTATRVHLQDPNMKYRLIDHGLSVRTSPGGHMLVAAGAEKKIHVFKTDSMEKRTIDTGCRWNWDAIISSGSRLAITSFLVLNNFFRHLFTGGEDCVVKVWNVATGKQVGAMEGHTKPITALTTSSP >CRE31266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:146974:148748:-1 gene:WBGene00066683 transcript:CRE31266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31266 MTIANVQHAHPYLSQNAKKREYEEKRKNTYKTTIAEIGHVLVQKGYASEQDIKLQEDSMFIAVEIITSVNLKAKYTRIREKTKGMKMTHEERRRGNTEREQLRRDKQKDALDHMREIIRNKNLGTESQRETLEQVTVLKLILDYLRTLPTATVCPSVPDMPFSYTEPANNALLVSQSNDLSALLQIVAQFCPSPIELSKSVPIPSVILNPLQLPVLSDDEVSLYSGTSGDSTPGLPAIQSGSFSDSFSTSVLDQPETTKRQNKYKTTIVEIGHVLIQKGYALKQDLNSQEDSMFKAIEIITSVNLKTKYPSQTREKTKGMKMTPEEKRRGNTEREQLRRDKQKDAMDHMREIIRDKKLGTESQREKLEQVTVLQLILDYVRTLPAATVSPSVPVNPVSCTAQEPANSQTTISLMSEVPQDSTTIEMQLLFHYLESQNILQDIINIIKEDQCNELKHN >CRE31200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:184936:185549:1 gene:WBGene00066684 transcript:CRE31200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31200 MTRFCERNNHYINIQEIPESQDSQDSTNQRSARDYRQPESCGKAGPPEFKGDRGLDGIGGIPENREPKGEPGKGTPKRGADISGLSGQGYPGEKRYIGLLVLPGRKGSSGFRRKDGLPGTSGQKREDGLPGLMEVTGLEQRFCLSKECQNHQDNQK >CRE18228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:22751:22873:1 gene:WBGene00066685 transcript:CRE18228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18228 MNAKTGWATRKISSRPKQAVGGYSLLYIDGNEKSHNQDIG >CRE31202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:190182:195504:1 gene:WBGene00066686 transcript:CRE31202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31202 MSSDDYESIDSPRVTSLSDLARLPPQQQQSHYSKDNNADVNPTASSSNSASKNVVLQPLQPVFLYQNSESSDLEKPRVQLREKKNTARAQDATVSHFMGDEVPGQKFKRRTAERHSMLAGAADFASRRSPFHLNETGSGRWTDLFSSSKRKTREKLNNGPSSSNNASNEDYGTYGGTGSIREMSIAFNSSGESYFQSCTEEQLREEFRHIMLEKNIPASKVNEIVSSTPTEQIKTMIANARKTDDAAAQQQPPEWNLRVLENIIKTQNILDCKQDIVTVSIQLKCQSVSFLYQFADNIQSESGKTGADLICRLYDLVLKRLRSAEIGSKLELDLIDFLQEVVRCIRTIVNTHRGLELVFRQDSPVCSLLIQTMCILNRREFSDNEPNEIKMLRENIVMICGSLMLVSHETLESRAIEMTGQQKMFMELTTIAKSESKREGESISRFRPLVSCIQFFESRNTKLTMRVLLMLNMLINGVDRNTTDEQMWTEETMWQARMRLRSEAAKDGLSKYIEVLSFSVRTINILLQKFTSSEIVDSQVREVAKNMLAEHNIDIETLFGKLDGVKREYDSLDGCFELLAANSEATGTETILLSILQLLTLTNEDMSTKRAYMKLIEMSISEIIFHRTPIDPNSQERLVFKIPVSEIIERMQDEEMAQKLRQATSAKQEAVAMQGEYWKKLTEFRKETECLRKHILDPKVPLPPSTKMTLSAPSSSSGTSSLPPITGGPPPPSLSPITGGPPPPPPLGGLPPVRGGPPPPPGSGPPPPPPPPPPGGFKLGPLPPPQPGMFATLAPVLPDYLQPKKVPKVDCPMRNFPWGTHTINPRDISRESFWVGINEDQLKSDRIFERLQTKFGTKPTIRSEVLGGKLENKKKVKTAQVIQDDTLLQKLGILQGSMKITHSELKSALLEVNEKVLTVGFLEQLRAGMPSEKELIDKLRAVDKVQFEEMPEGEQFLTRLLQIQGLPLRLDLILFKMRFNETLNEMKSAMSSVMEACEELRTSEGFRTFLKLVLATGNFMGGATENYSSAYAFDMRMLTKLNGTKDVDNRHTLLQHLIEEMKRINPEQSRFAFTDFHYCIESSRVNADEVRKTVQMIETNIKKLGSCLKVYKQQGEHDKFEEMMRPFLEKAIKEFVTVSTMSGKMKSDWESLVKYFAFNATEYPMEEFFADIRTFSVQYSTAWKELDAEAEAANAEEKRKEAAVETQKKKQKQRIPRTPTAMIRVSTAADKVGVLDELERATGNEAFLQTLMSATSSRNPYSGMPSRTRGGGRTPIGHNELKIRVRRKGQPAVPISSIHGSFQISSTHNENDSIGSSLKPSGPVSTSSTISVNS >CRE31271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:217586:218530:-1 gene:WBGene00066687 transcript:CRE31271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31271 MVDPNKQKRVRFSIPSPEYREFDYEGLFYREVKEKSLLQNQIIQLKARILRLRAGEPERGVFEDSIEEFIADSEVLCKWEASREANGEMDFFEKRITKPSNLCLAYGKRKTDDEEGCDEEENSEVEDIDSDKDSELSDQYSEDEGSFDDEDLEFGSVDSGEEEELSEQYLEGEGEKRMKQSDLEDFCLNEEESYEAEKKDDTDEKANNSFDEQEQLLLLDEDEEGEESYALEQDISHEEMDNEQYYVDDKESDDVDNADFVEVSDVEEEIDDGDVNLYLKNLYK >CRE31207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:243303:244749:1 gene:WBGene00066688 transcript:CRE31207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31207 MASTLAQPPLEEEVQSILQSIPLLLFKFRIYKLVLTGAPCSGKTTALVCLSSEDIYHNIIFFQVKLASFFRNLGWLVYTVAEAATVILGVAVKFSNLGKDQVYGFQQDLLATMLQMERTYFRLADSFKNKTNVLIICDRGAMDPSAYCSADNWINMLDELQLNQVDLLSSRYDQVVHLVTAAIGAEEHYTLENNEARNENLQHAVDLDRKTRSCWIGHPNFSIIDNNVASFDEKVNKLIQIVCDRIGIPSQNVAEGSMKRKWLVENVDWNNFGVFEEFQIEHFYLVSTDAKIQQRVRRRTQNGHSTFTLTSREYQKTSVDYTETRKNMNKEEFNTLLKMKDNARSSIFKNRRCFIHGSLYFKMDAYSDPLPPKANGIPLVFLETLTTVPRGTKIAEELMPPFLEIRKEITGDPQYSMHSLSEYPEE >CRE31275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:237435:238908:-1 gene:WBGene00066689 transcript:CRE31275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31275 MASTQPVLEEEVQSILQSIPLLLFQFRIYKLVLTGAPCSGKTTALVCLSSEDIYHNIIFFQVKLASFFRNLGWLVYTVAEAATVILGVAVKFSDLGKDQVYGFQQDLLATMLQMERTYFRLADSFKNKTNVLIICDRGAMDPSAYCSADNWINMLDELQLNQVDLLSSRYDQVVHLVTAAIGAEEHYTLENNEARNENLQHAVDLDRKTRSCWIGHPNFSIIDNNVASFDEKIVCDRIGIPSQNVAEGSMKRKWLVENVDWNNFGVFEEFEIEHFYLVSTDAKIQQRVRRRTQNGHSTFTLTSREYQKTSVDHTETRKNMNKEEFNTLLKMKDNARSSVFKNRRCFIHESLYFKLDVYSDPLPPKANGIPLVFLEALTSVPRGTEIAEKLMPPFLEVRNVRENCLLSKEITGSSRNTRCTSYRNIQMRKHSTPLTDCR >CRE31276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:263014:264206:-1 gene:WBGene00066690 transcript:CRE31276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31276 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MLL1] MDLLQNPRFVITTTYGIVGMLLYTWVSVAILINRHILKSSFFKLFVISYVINDVIYANSFITLRLPQSTGINGTLAEFFKNHDSCSEKAQYQINISHTLHYQFAYIQYIFNFYLCLHRFIAIYFPLAKSKVCIAAAWIIVLLSFILPFLDTKRILSNCSYYQYSEKNDSFCIVSTIERKELYTWLTPLLICITVMNIILNTLSFLKMNRIVGNRQNMPKSNLLKLTICIAMIDAFLAILGFLNAYIVTENASENHILMAYWISVLTPFASDALTLSPPILLLTFSRSIRRSCIDMIPFLRRFNRHSWFASPGSIMFAARYNNRVENLQN >CRE31214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:285589:286734:1 gene:WBGene00066691 transcript:CRE31214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31214 MKFLITLFLIFTLFSPQGDCKKVTMKSLLELVDDGQTVIGYDGSIYCKRRHNVCAFIVYMEWDTTSQDDYLTVVKLQCTRSNVLHHNAHVIFKGGDGLFNDYYEPLVKIYHDCRGIGQIRQKNFHLRSEPVKKFWDSYSYDVNIDSQSTWVFFNYQVLGMNGLDRSDVDKDLIDWLNKTDVTGRRHLFEDDNETFWIYIQTAAEKQDFLIVENIYLILKLFRPFNAWTVSTTTD >CRE31215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:293857:294923:1 gene:WBGene00066692 transcript:CRE31215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31215 MQKKRLADDAEYKEQEKIRIRWESNAKKTDGINVFDSHLSEENFIIGDILGSGTFGSVFEATSKKSGKAFALKVITNRCSVYAEKFIAERELLIQRKMTHKNIVPMYAAFKSKIAVFFLFERMKESVRGILHRDLKPHNLLYNYDGLVKISDFGIATDERDGTYCGTPGNMAPEIICRQKQTAAVDYYPLGVILHRCSTGKTPFELPDGHLSDEVVRKCKYVPPISMNSIVREVTTKLIKRSPNDRWSANEVLSSQLITDYQHQREHALQKLVRDKDL >CRE31216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:299916:300607:1 gene:WBGene00066693 transcript:CRE31216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31216 MADSNERPPNSNVTLSGYQNSMMNDILYAVEQARLNMQREGSGSSNRNQTYEEPAYNTHTIWNAPTHCFISRTPLPHEMPPVIDRTIPRDPRIEPPSIEECIQAHSDPILPPHPRAGQYPRHYSRAMVAYCEDVYWTYNIGRLPPGVQMPPRPRDWMSRRMAPPEDFNYVPPPGSPSIPIPPEAMVFHEERGRAHREAERRESFSPEIDVCL >CRE31279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:301892:304249:-1 gene:WBGene00066694 transcript:CRE31279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31279 MDSSNRSRSTSRNSSEFELIADVDHVDKAVQNGVEEDRSLEEPKETSADTSVKEAFPEPAQSSVPPALTKDEAMAKITVKEWDQVTEKMSYLEKEVDRLKAMMEILKVNASNESRDNKYASSSLRFNPQLDVPRQELEFPVRGSSPGRMSPVSQFMERTGRYAHCSPPQQSSRYRSTSPQNRGFQLSHQSRRDYESRSSRNGQHARSPSGSTNSWESSSPSQGNRNAQWSSGSPIPPRFRSPSIRNGYDARPSNRGGFRSTTPIRYLVGKMYRDPDAQVPCVFCGGEHFHDRCPVVVDPMERRAHLCYNGRCIACLRKNCPLFHPQLRCRYCDGRVEDVIHHSSVCTRPEVRESYRWQ >CRE31217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:325862:328440:1 gene:WBGene00066695 transcript:CRE31217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31217 MKLFMILCCLILLVLLPLPSHSTPLQTTDDARFQNSMSSVSMFPHTVNKRNVESEEMEVAFEWQTYLNYAFHNNESLVLEVVNKFPAHVTFRCCDEMILETVSPITNGSIALGPYWVEYLRQLVHEERCYGKNKLIIVSTPAEQPSSSFDASFNLTRFKGCVKTQNALNEFEEVNIRQDANRVGLYNVQLGGIVSRALTPNGTEQMVIKMGSGGPIKIRFTKCNLLLSEIITINDNGNHEVDEKLLLKMRMLDQTVCGTKRMDSGLSVMVISDRRLVTTLIFHLQPRTTTTQPPLTTTTETPAFINVDETGVVRFSDWIGEGKVGIDLRDILDTSSNFMLMLEIDSTEPISFSLEKQHRALIGRSIPFSEERFYFSGPWIPHLSRLSEVVTPDEVHNFTLQFSTYGKKASGHFRFSKSERIKTQDAGRNTKEIVNIHQDSMHPVVYYLALGKLIGDAIQNQSLTLRLNSSLSEMATVGFGKCETIMMTVQLNATDSVLIDNDLLEHMDRMNNMFCILDRNLGRFVEKYTFEIKFIHFTFRFDLAVSSPTPVTGTLHFGFNHPIAEEEPIPVIPTVSGIIFGLLAAIGLCVFLVRRHRNRARIVLRRNKPATVFENRLSTVHYNTQSHQIDFSAALGPIEELDDAQSDSGAQFEKTDSIKLKKMNAVDRVHYRTERLQEMKLSSGSNTIRSIQLNRPYTSILVENDVYGNNAAVSDVVQPNGEIDGEEVEIDETELYVHDDSRVFFA >CRE31220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:368099:369273:1 gene:WBGene00066696 transcript:CRE31220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31220 MNREEKKEKERKRHRCGDKRAWMSERVQSESEPSTVGGQLVDCGLLLQERARAGRSFCRIYTHTRKIPNDNAPERSLLIVREKGVQNGSLTAEKSQIDPVDTDRQPTMIFEVPTKYFPPTTDAAKEVKKTSHVSSFEKFFRTEMTSMNKVLGGSYNETKSSFFDTTEPTISSSKVFNSSSGIGLTSAGINMIPDILSDLTACFKLIL >CRE31221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:385197:399395:1 gene:WBGene00066697 transcript:CRE31221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31221 MDFDRNYCSGFFLGLLPDKPNGNNLIKVACFATGTNFRRVLDLETESECKFAYGEIIHFITQTDRLGPKSVIMLQKTDLQMYHHDKSIIHEVLHTIKNLYVDAINLPASGNFNHPDFGEMIDTTSIMSVGSYVFITFMLVLHERDDSFDLQILGGQTMEECEEIFLRNEETKQFLNGIHDVIAIEHDKNKESETAAWEAIGLFVLMGYNDKEVTSGLRPVMKLMDKSKLPLFLKRKETAPIVMIKQKEMDEVWVDDEDENRGQPQYGSLFPRIRVDATKKKRPILGKPQKAKENVDDECIIGLKRECRHQFETIFKVGDVFRFESSPALNNYSFWVNKMHRKNEIYQYTVEEQKSEGLEIQKVIESHYSTPPEDFKLKEDTDFKPEDVIKQEFNLKKILLSVWWSVHGLLYGELLPEGKNITADYYSSQLQKVKSKLKTSPLHGHGVHYLHDNAKPHTAKTIKSLLATLHWTVLTHPPYSPDIAHSDYHLFSDMHRSFEGQDFKTKSEIEKWLKKYFDSKQPEFWRKGIESLPTKWQRVVDKGGHYDKCTPRGDLNQSQYAAVRMALNPNRFLVCIQGPPGTGKSHVLSIFLWKLLKEGKQAVVLTPTREALKNLKTMTLKAIRQKIYLHPHALMDISLIKEILNTSSAAIEAVKTINTIMPNLRIVFATMESTFTCEVMRSKQFNPEVCVIDEAAQVMETVTWPAVNQMKRIVMAGDPKQLPALVKTEEAKARHLERSVMERIIEKKEQYSWIMLEEQYRSHKDITGWSNGCFYDGKLMNSTDVNNTLHTSLDPKPPKTYTKLFNPLVFVDTCLETDYLKRVQYYEKTMASITETEHSHTYCNYGEAELVMKHYERLLAFSIPPKNIAIITPYKGQVSEACHILFLDWWTIKRINVAVSNVLDKLKNGATLGQHSEKDNEIAGPPLASCRR >CRE31222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:410171:411534:1 gene:WBGene00066698 transcript:CRE31222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31222 MHLLCDLPYVAAKKLFHFIDYDTRLSLRTCSKRTKIWIDTLPIYIYGLKISTDPFYIKFSDVHDGVLAHSDMGNLLYGILRETFLSLFNVIDETTIENTVDKLKMILRNKNIRIKYFKVSLVCNSPGYEEVTSEQVYLVTNLMKVMLASLGHSIRVENFWIIYNGDQQEVLCFLPFFKPGTLKTIRLDNVESEKVYFDQVLILPQVVQSNTVWFRRMPENKLSLESFWNIPLVRFDNAVLDFHEINQLIQHYFQNDTFKYFLIIGVSEQWFPDNSQPFVDEKNNKAMIVEGPKFAIKIIHNLEYRAIVLDKIPLKS >CRE31290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:419562:421129:-1 gene:WBGene00066699 transcript:CRE31290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31290 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MLN9] MYLLTFYIIFRYRKTFDSSFFTLYLYEGAMNLFTWVVGYYMMRLNTMTCDTCSFAFIYRNMHNYFPMNFLTSMSYHMAYVQYATTALISFNRLSVLRNYQTAEAIWKKYTWLIILIVFAVPFVDTHRCFYYRTEIVYDKESESYSLKTPMPINDNFFFLNPAMIAITLLSIGANAISLMTLRIIGTQKRRKAEFNFVILMSITCFVQFLGCALSVARVALSTDPLAVTLAGILPFISDGLTLIQPWLLLGFSHTVSWKTW >CRE05135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:28953:31620:-1 gene:WBGene00066700 transcript:CRE05135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05135 MEETPRKRNRFTANVDEALHNVFAEGVDGLEERKKKAIAEEAEKKKKKEEMAAKKKLEQAQAIGMMFMSLKFEEFSKQTRLNEMVRCRGEDLVSNFRDAALFIKNRMGKLAEGTIFNNVVQLGAVFLGVDRSTVLYRSERLTNPAEARAGRKVKLSRKQLRRRAASKLTSDDKKQLLGYLQTCGKEEKPVTLLSLLEWAREAIDFQYGKSTLGYVLGGMGLAFRMKSHNPIVEERKDLIKLREIFLRKMENLRSQNAYLSYFDETWVYQGMVLKRAWQFSSSTMYQRARLLNPEAPGPGPKKGASRGKRGIVAAVITEEGVLKGSEQVCVSSGKLEDQTADYHSEMNSDLYEEYIKTRVLPELIKTAASANRPPVLVIDNAPYHNRYIDKAAKKPQVVKMLENYIDSKGGRDVFKRYVVDEYAKSLGVTMIRLPPYHCFLNPIELMWSQLKHEVMKAGTTSTPLAEVREKIAISKLFIFEVRQNTLQFLRNFSAESSMKLFQHVSQIEEEVRIKIKERASTSTTPSTPSDSSEVAELDDDGDWSADFERFLIDNEDDLDTQFDQEDETAASVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCLSVCQSNEG >CRE05136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:32478:33899:-1 gene:WBGene00066701 transcript:CRE05136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05136 MLPFNNVTNIVVSPKPLGIEKVVRYCLICGDKSTGFHYGVLSCEGCKGFFRRSHDKEYQCIYKTSCTITMENRSGCKACRLKKCKEVGMKKQQRHFLKPAYSNVPIDPIQQDKLEFQWISQQIRQLHTPTYAYSNERIMMMNIKNFELESNTEILQHFINEIDSEITSFVSFIQSVSLLNQISAKDKSILFKRHAFSIYLIRSAPAYTENGFLFKQGGLIAWQKFYDVYGELGIKMKTFAAQIQEMHFSEAEIGVFVMLTLLQPIPMEDVAIQNVQLLTETYTRFSNTLNYQLATRDQENRLFDKIQGLLEEVNTISELSTIFQKIIDFSDDLHKQFLDLMKTNNASFFIPPLFADVFSVPATGLNGQPVE >CRE05114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:38143:39803:1 gene:WBGene00066702 transcript:CRE05114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05114 MHFAQSRVDSLSTVSESIMSVLTGYDDDAFGKASAASSIFTTPVQASRFFDFEPATPVRPRFPSDSEHIHMTREMAIRKKEDGQDEAMFSCVEYFSDIIKCSMQRQMRDHPSPNYQNQVNEEMRTILIDWFHDIVKEYSLKQETFHLACNLVDRLLSILNVDKNRFQLLGATCLMIAAKFEEVFPPEIREFSIITDNTYEVDEILQMEKFILAQLDFDVALPTAAWFAASFGKRMKFNERMTKTMRYMVDLSLLDVHFLRYRPSDIAAAAACFANVQWGKEAWPKEMIEDTGIDTDDFVDALKDLHHMYITAPTSEYKSIFNKYCETDEMNVALLFAPTY >CRE27752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:354805:357384:1 gene:WBGene00066703 transcript:CRE27752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27752 MTDKRAMQTRKRVLDEDDEDENRSENCKRQLREENAILTKKVTTLETNLSDLQSLLLQKDAKIKFLEDEKNKLALSQKLLEEKLKNTISELEQTNRSLQFRNNEISNIRRENQKKDELNDFLRKQWQASESSSTEILRESALAKTAEVQMRKKSLEMKNQLSALKLKSPSGGAKLKKYGDIRKNDTKFARVKRTLECLKTDIGEQDLDAFITDFCNYVAKNPTFSFKTCLTAMESFVAVVKFKFSDTMLKDLKSFLTDHLGHDIFVSRKEIDDLKKLHSTIDDYDITTREMVKKVGSREVTVKSAVVKARDVSSLIKRRLERLSDNNMLRFKNESDPVKIGFGGDKGGSHTKLVISFGNIDTPNNPHSLLLIGMFEGSDDYKSLDEHMASAFEMVNQITSLTYKEHGIEVTRPVLIIPNGDCKYLSAILGHPGQAFSTPCFSCKLSWSCRAPHATLLGDFDFSIQADQYEPSDLKRPLLNVEPSSVAPPALHFILGIVQSYVMNPLVALCNVLDYGDELPEDLKDQKKMLRSLEQEQQEYSDRVESLQCSLRTIDSLLEVVEKTKTSAKKTIDISSKCEADFCLIPFCRNTEFRHSDSFVCDSCNKTIHNVCCFVLDTSAETSTTCLDCRFSFANLEDRFDLLSETREKTYQQLDNDYDVLKHVKIDREKLQSLFSETKETRKRLEAVLESIGCGHRTWYQQLTGNQARKLLREENIRRVLSVFPPNSSDKLQLIEDIMIDLSRIMSAGDNREKTDEEIDEIQEILWNLESNMKTAFPSATVTPKLHLLFAHWVPFLRIHRSLGHLTEQGLEHMHAIANSLHAKFAAVTNPEAKAALIVKHFANFNYLFDTKQSWFKCE >CRE27751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:347717:353653:1 gene:WBGene00066704 transcript:CRE27751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27751 MGEEKLAFAKELTETLRMFREQMVASAEIQQNQLAENRRLHEEIAARAAAGSETETLENMTVQTPRARNNARLMGDLARRLPRFVFTLDEPYSFKKWFSRHELVIVEDGKDLSSREQVRLLLGALDESAFHRYVDSQREAANVYDIPFKDTVEALGRVFGSHRSLMMKRQECLQITRASGMFHDPLEYSNRISEAVLDSKLATMSTDDWSVFLFLRGLDGPGDAAAKAFLMQWAEQCERKKEKVTLALIHDEWLRFLQLKQQTKTVAASSPKQPLSVNKVEKKPVRNTSNHKTETKGHGSESQSKKEFTCFKCGEQGHCAPQCPQNSGKKNTVKRWEKKGAKKTQSVRVDNLDGNQSKSVKPSMWVNVGSQMLKFQLDTGSEITLISEKSWKAIGAPELEEVPHRIACANGTEMIVKGRVLVSFELKGVQYSEYAYVRQEFTNLIGMSWLAHSPEVIEALDVVVSSVTTAVAEKDSNRLRMSLQTEFPKVFEDTLGLCTKEKALVRTLPNVNPTFKKCRPVPYGSEKPVEAELKRLEDMGVIEHISHSDWASPIVVVRKRIRGKCEYVLTSKVPLKGKIFSQIDLKDAYLQIELDSEAQKLAVINTHLGLFKYKRMPFGLKPAPAIFQKVVDKLTNGLPGVASYLDDIIVSAETMHEHEHILKLLFARFEEYGLKVSLEKCAFAKSEIKFLGFIVNGNGRKPDPQKTEVIRGMESPKNQKQLASFLGAICFYSRFVPKLSDLRGPLDRLMKQDVDWKWTNIEQNAFDRLKNSVADATMLSHFKEDWKIVIAADASQYGIGGVLSHINPEGQELPIAHFARSLTETEKRYSQIEKEGLALVYTVKKCHKFVFGRKFSLQTDHKPLLAIFGDNKDLPVHSQNRLVRWAITLLSYNFDISYVSTAKFAKADWLSRMIQNYPRDENDVVIAEIRNEDDFEDEFPKAELYPVTAEDIKAASETDPEVSTVMKLVRHDSWKPKPHSDVEKYWHRYKDRLKILQHCLLLDDRVVVPRKLQNAVLSLLHEGHPGIIKMKQKARAFVFWRGLDREVERMVQHCSRCQEQSKMPIVAPLNPWPAPEKPWIRIHVDYAGPVDGNYLLVVVDGLSKYAEVKMTKSISAVSTVDLMEEVFCIHGFPKLIMSDNGSQFTSALFKNMCKNPNEQRTWSGTSLTSSIHQKGATVLKIKAGHPALITQRGIFCSFSPSETTETNKQRRRMGSRMFQNDKKRADSLTKLKGTGSITKQLLSRFLFYYRNTPHAALNGRTPAEIHFNRTIRTTMSLLLPRAENHQKAALSNYQAKMKHQYDTHNAARAKFFQVDQKVYARVQRGNKSEWGLGVVRRRYGNVLYEVQIGDRLHRCHVNQLRQRVGDKSKEDVFEETIFPLFFGNTQGDRVETQQSGGLRGHRVGLNVSDIPDTTRLPFSSDSDFLFGGGTRVDSGSSGQSLAQSEVNYELNVSRDYDRRAQDSLPISTSATATTTTSTAISPLPDTSPQARPTADPNHSLRRSQRPRRAPNRYDPCSEPQHGIRNARGSSRPDHPAARARGIAPASARRGQHNSSARGGGNVGKARGRPRWQ >CRE27779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:324944:326240:-1 gene:WBGene00066705 transcript:CRE27779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27779 MKPFLFFLLSVFSISNACLVIQYSVPPACACKAQKLDSSNIFKNVQEDVHIYFYNVTTSIIKAPELKIDDCSVSMYCEEDYKLFVFDTGNANEEFFGEYPAEGFCNPYPPQKWLVTTNSGELKEFNQLNGVCVKKTICPCTHVELDNSNGADYLGNTDYYQTTLKYYRFSQSNITVGADGCQKTRFCSDGLTRLTFTNWEPDTLPAELKNWIPSNITVPAGILEICCNKVFYYFFC >CRE04469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2211:1576:1827:1 gene:WBGene00066706 transcript:CRE04469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04469 MEYSEYSSFPYFESIEFVLVGDHKQLNPYNSVASLSPLTVSPNVMLMNYDAMVTRFTVVHRCHPDATELISKVFYGGFLVSGK >CRE27749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:321915:322586:1 gene:WBGene00066707 transcript:CRE27749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27749 MSDDETDENVNSEVIGEVAANDEWLAAGEDTLPADDDWLAVIVLLSCCHTGGKLETHHNVGMEGDLFLEGFQVQASENGKSNFKLIDDDSLILVSLTRSKHFTTIFGNDQFLRSIPRWKSILELILA >CRE27776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:313097:314119:-1 gene:WBGene00066708 transcript:CRE27776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27776 MGENSTTLFFESMSTDLMFDAPEMDSSPLITAENVVLVSSSNVVDAPSSGKTAESVNDASGREQNLFYIDESAKSQLNDEQMIPFSVYANLARQMEKVKKENRKLRRLIPREQPSFPHLEGAVDIAMRAFDEIKLKIVSNLRNRESRKARLNALVKISQAIAGESNLKCFYESFARFSRSNNFSWSQSVTAEKSLQIKNYLNMSDRDYGRLKAAMKSYSKFDTLAPLGKVRKAGHDVGVVKEYEIERKENNSAEITIINVQKSLNKRCQNLFNSGIIDNSITPIKLTLLGDKGGKSTKVAIAIGEGEDTNSPDNLLFSSYTMEVIGLMNRETKLKVLLIS >CRE27746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:298868:306962:1 gene:WBGene00066710 transcript:CRE27746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27746 MSKKEMLHHLYLIRSALLGPCFSLPLFEGKIIPLADSHIISPEDEMMTIVKPKGTPEISIDGKNVRQIILECTIDLINWLLDHNPDDVKSLQEAVSILKSLPLNRGYTKELCDTSSTSYRVTKTMLCDKLAGDRSNIEMIVEEYIMLLHQKRVAHTQGWHYNEHHKLLQDTLLKVATSTYSENRAKAQAVLLGNLIEHPYSYKQIVIDILSFLEPGNEVTHEQLKGALHLLIDGQEQSLILRKGFEQQLKMWPALVKVQHSEKPSIIALLETAQNTIVDDYESYRLKYEWEPKNVEAAWKLLKAADEGSPLHNAEMLRGPTKVEIAKYRDLLTENFEKSKSNYFSLIEQLFSLANDPTLHWRHLDMSYSMLSMQIRRDCPLPDNVVKMFVRLLINDRVKTRRFAAEAVASWLKMTKPKAVKREYLIPYKAPNTSVGAKHPIPYGFRTDNRCMMYEEEKLPKTDEEWDAFQFCCKQNWGSYTWPAKLRTYAPLKEQQAIDRSYDEFHEVEKYIVETFQDDKFMTRFRELFSIEKEDEEGKLSAVHFSLLQGLFRCYGDVLTPAFRTQLEILLASGKKYEQKLAAEITAGLINGSKLWKYEKQRKMWNWLDPLLTKTFEIMKEDGLHYWRGAIVTVCASSEARMLKPLLDLLFKLVERPTDNAYAASSRMLLVHSALCQFKWRGVELWNKLVDMMKGSLVQPFANLRDRVAISLSSATWYDLPAVSVDPSLPKRLQPPRIADISALYKDLLGTCWEEVRLVRDVDSFNCVKGSVPINGLDEETMTHSASSASLAEKSDTKKQARLTLRAVISFVFNTCNQSLDAYPPSFIELLPLWCYYSNDVGDEELQKICCSLCITHMEAIYISPENAPEVIRQFQQILSSPCWWKSKVAALKMIRMLVFSNRYVFRLHCDDIGMILVNSLNDSQIEVRERAADALSTLLQSKFFETTFELITKFSTAAHSKDLTQLHGGVLGLSAIILAFPYSVPALLPEVLMTICRFATDKNATVRDAVKCTLSEFKRTHQDSWREHERQFNEDQLMVLRDLLISPNYYV >CRE27742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:275637:276513:1 gene:WBGene00066711 transcript:CRE27742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27742 MICVLNRLSNYKRFVLNQKGCGRVPGLKCEQCEKEKKKMSDEIRSSVTNPPEEKLPKALKNLKIESSTSCQKVKEGIQTMSDFQNPTDESPNDNEDNKENQNPIKDEKTSKKMVSDIMNLLAQRSKVATESSEILNDNSEKCVSESQLQTQLQMKLKEKIMAKTEENQRLHETILKLTAENEADQRVIQHLLDELAAGNQKKDMEEVSDDTEASRIPPVVICYVCQKEIESNDDDYALTSIFDSTNNVRLATTRFPIIFE >CRE27740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:268369:271942:1 gene:WBGene00066712 transcript:CRE27740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27740 MCNQYSQPALIAPKDCKKCTRSSTALTETQNELKMSQDQLKDMQQKITNTEEELSDLKKQHEKIVESEAKKTGELAETKEELSEEKEKNQEKEEEILKASKENEELQKTILKLTAENEANENVIQKLLDRITDLSISNQKTNHIDEKTTEESTPTASVTSKNAPLVIDCLICSSQIKSGQEVIRLSFPVEKSFMDYCSCLELRPFLRDLESYGSMKLVEEDGEEMIQTMLDKSNNQLSKCWFPSLVNPQFLQECTDRPRRLPRISKYSEILLKIFASPVIYNSLNGEKYICKPDIYTVVQNIALHTIKRDKTDSFRVLLAHVLKSKSMRTDGQVEFVKFDEKMFDEIEKEMREGETKGLQQAWAQRHHLEMLLLAKNYAAIASKFRELNPTFWDLILSTYLEPLQLLSRTHLAEAKELVFIMLSNTCVINGIEQVMAKRASLLMSTPESSSITVRLFEDGKEKYVMDMELYHALNRVSPESERFDIRNDGFVYKGMSFECVKAEFGDRIQKIEVWSGRKYKIIPNYFQFIRTPILRSKHCAVPIRSHFPGEFVIPAVDYFFEFWKNKQQYFLRADIRVESVASKSLEQYGVSPVKDVRNAKKDGFTAQNLKNELAHLGLTNTFPEIQDYAEDVYEKIDKAKKERYLRTCDLFDALENCQLICVLNRAPSVRYLVYYFANLYNFQLKKFLHHQKGCERVLGYRCEQCKKEKTMSDALEVSQQPAEVQKTSDIQNSMKNLKIESSGASMLNQYSQPALFAPKDYEKCFQSSKVLTETQNELKMSQDQLKDMQQEITNAEKELSDLKKESEKIVESKAKKTEELAKMEGELNNEKEKNQEKEEEILKASKENEELQKMILKMTAENEANENVIQKLLDRITDLSISNQKTNHIDEKTTEESTPTASVTSKNAPLVIDCLICSSQIKSGQKVIRCPLCKRRFHSNVILFHFLSTFPHFPHFQCAFKWRKDHTQCPACNGDLPGI >CRE27739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:264716:266095:1 gene:WBGene00066713 transcript:CRE27739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27739 MKSKFQNFKKQVISDDVSTDGTPFLPTITVSDTAVNLFSEAPSAPTKLTATAKVMTPTAMSPTPTAVPIMAPPPVLVTPPTVQTVTTPVKWKAEDVALGYIEKMDAAMARLEYIEACASTKPLVEKDCLLWKKNLHKNQTDAYPCLDSTIVKIPNQPDDYVNMSTITVPHCRYPILMGQMPKRGFEEEFWRAVFNESVVMMYVLMGAEDEKNDFFPTTTGAYIYYGSMFVNIRKVEKMDEERTAYTIEALPNGLSNSNMINVYVHTGWEPFGVPVKYANTPRSVVDVMNFVKTSNGSEKMMVVSKNGCGRAGYFLSLGASFCCLNDGSEPRMGEIVKALRVQRPNSVDSMKQYASLYLCLLYYIKKKVSIPDRLKQKVEEVTKEFEGLIRQDVSIAY >CRE27772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:240931:245722:-1 gene:WBGene00066714 transcript:CRE27772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27772 MFCGEGRGLRIERRQGTVRDCLVGSEAVTEFRASGEAVTEFRASGEAVTNFGLRKRKLLRISGFGNRSYYEFRASENGFGSCYEFRASETGTKLDPTSSSEQARDNRPEHGAQEGVETWADHRVSPSTASLGAAAAASSLPTVKPSDVSVALSITQNPQTSGSLSFGSKATVNANPDVEMEVIPDPREIAGVNGPLQYLANEKGEPVAKTDEDMEIPSIDESWAVQVEMEAIDEDALLGPQKENQEEEPPAKKAVHPFQRYYVTSTRSEEPPKCPALKAIKGESLDSLQSFREATAECIQKPEGFPEHPLEDFKGKEVPETKFCSFSPPRPYAIYQIVDRDGASMTLTPMHPELVANDRPDLFYVWLTNTAFDTYSQKFANCSEMYKGDLIFVQKLARKPGKSPEDIKRDFVKVLDPKEHNYWRVIEYRMVPRNIWRRQEALSMGRNGRDYNKKETAFAINHIGSIAYFPTKKLNKKKVDGVWMEADVFAPVATAGKLMSSIDPSYRVTVTKEVSLITPTWTFDPTILSITEMEQEGATHLDSIPVFFRNNRMTKENLESFARAVKLGIHGQVALQTERYDNRAYPTEVVSATQGLSGLIITAPLPSPPGRMISLAKWRRGVHLSLDFARCTFSAVSLSTVIEGEAAMLRCRLIHSGHVDFTPEQLVGQEVVVRQKKVEADDLMRLATGNLTVPDDISGSTALRVLAALHGGFTLPELQIPENDCQWTAGTVSLTPEQGKIYTLLQNPDMKAALVDCAPGTGKTTALVASLCRHASTDTKGWIIVGAMSNAATAQAVQAWKKVDRLVPGVRLVTAKNRNRMDPELQTDYDYPVLWPRVLIEAVIRVNQPGINTLSPLMESAVRHLFAHQFMHKQDIVNSSIHKALKLGYKTNKPTHTIFQTFLEIHKPQLFFGTVVSVRLFFSSELWLRLNTDRVTTTVFDESSQLPRYSLVPMIYTFTKSRFVFIGDSRQLAPYAEQAVPEKLKSIGIGLPFIEAVKQKRAPVIRLNRVFRCPGEITDLVSKLYYDGMLSGKDRVSPVPILQGLRLPSSFPLLLISAETRERRDGTSFVNDAEAEVVVQLVEQWKTAKKEDEKAAVLCLYLAQAANVSRRLDSDVYVNTVDASQGAEYDLVFLLTTRTENVATCRFINDATRINVGLTRSKQATIVIGDRRNLSGAQEWKRVLELMPEEAKLEAKLEDFASQSN >CRE27737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:236550:237271:1 gene:WBGene00066715 transcript:CRE27737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27737 METDQNTSAPEGTNTEADESLENAENARKANEAAAKPRGNLKLEPLPKKLIPIHQAIFVNDPKLSDFKSLFVEKGFKAEFLSGTLLIKGGKCSIRRGEMGFSMEGALEKDYYKLRNLFFNQFGVL >CRE27771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:231044:232005:-1 gene:WBGene00066716 transcript:CRE27771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27771 MITKESKRRTLLLDHDLTKDHDTFDENFSIEAEIEIKMFSNNRRVESKQSQHQKAVFTNNDTCHKVRLMKWDVLRRRFSADEEVKIELNFRITKLSGVVAKKTLDFGESKKKCSDVTLLVGEEKFYLSKLTLSYQSSYFESMFLGNFAEADKSEVTLQDIDPQEFQKFLEVLHGGKALDDDYFVAILCLVDMFDASIARKQCEDYLMEKSKKSLREKLELAVQYKMENVKAKCLSDMKTADDIRSVVPEKLEKLDPSLMPAILQKALNLLK >CRE27735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:225374:230244:1 gene:WBGene00066717 transcript:CRE27735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27735 MEARHRRRVLVSYNHLSSTIGHVDKRETIADLFTNTRGIVGLRQIYASNDEIDVGDIFEKEICEERATDEAVIALNVMSSHRMHNKPRKGRTHSSADSSRNALEKEQNGRRGRLPYTFSNFLQELLVAINSPEWAASEMILTALGSLLIKNFRSKSMDMTIRQAKLRKDMKDEIAGCRLNSVSVDISMLTQNEKLKMLESSLIDYLVISNNSEIIICSFYVGEWYKELAEGMDSVRTNHKASLEKRREKLKRNTKG >CRE27732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:207934:210519:1 gene:WBGene00066718 transcript:CRE27732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27732 MNKFLKNQLKNQDFQGFQDIKVVGNVDACSKEIANMRKNHFKTSTIQMPKPSPRSKAGASNVKKRKVETANVESLLWKSKFDTLNEALIKEQKLKIDLQETLEKLSEKLQKKDNELNHECKSHLTTKRELQDAYGKLSILEMENDNLINELKEEKRKSISWSPSGGKILKKYAEIGKLTKNVRCQRVVRFMEELVGKEDLDLFVTDLVSFFDRNHEFQFRLKLTAEETFHVVLQFKLSASVMQGLKHFLSSKLSFDVFASRLSVDSIRKRYDPRSDYSIVATMLEKTVCGRLFRVPKTVVACLNVESVLRRRLESLDAFSNLVFDSGTKEDIVIAVTGDKGGEETKLCIIIENCSKPNSSHSLLLLGWYTGTDNHDSLKENFGIIFDSLNKLTSIEYCENGKIVKRKVRIKMVADCKFISSIYQHPGQSCSDPCFTCNIKICKSGKNRDTIGSFDFATSGEIRTLEQMRTDGWNPLLNIEPYDVEPPPLHIFMGLVKAYVVDPLFALCNKVDFKFGDLPESSKEQREYLKMLNAELDDYTRILIGLQDTENLMLDVISVYEKMENNITPTTPFVFGCSSPHCCFNYLHSKHQNQDVFQCSECSQTFHTSCGNLFSLEECMNSTSAFTSCFDCKLNVKPTITERKDHVEDRLLYVRKRIESNSNLVITVMKEKEKLEEELFVGKGPTRQKLETVLVSIKCDPRVYYQQMTGNQVAKYVRRHVYMSFFYRPAES >CRE22281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4870:1700:2514:-1 gene:WBGene00066719 transcript:CRE22281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22281 MQTWRHLNGNIVDRPARNVVFTDEERENVEKWNRLEYQMGRHLKTREFDDHLKKERDERWSRLKLTDEEKKIIGKRWSKMSGKEIVESLQPFVMPLFVVCRCQKQPIGGDLELTGEQKEECLKLIKKFVFKKQLSEKMTDQAKVAEKMGVAVEKVERFVESHNATSDVQILLFYKNYIPNLPTTIRRFAKKIPGDIEPWRVREDHEIEEEPKIVCQAPTTPKDTSRKKLVAEMHKTVDATKSVPTAGKRRRGRK >CRE27729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:183744:188751:1 gene:WBGene00066720 transcript:CRE27729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xpg-1 description:CRE-XPG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MXM6] MGITGLWKIIEPTATEIPLECLEGKKLAIDVSIWIYQAQLSYPSDQPFPHLRLLVNRLSKLLFYKIRPVFVFDGPQVPSLKRQVLEARRQKKQDDDDILKNPKKMGKLKEIAGGKLDNEALEKAIKEVISPSKKVIFNDVYKDIPSTSTDLNRPETHQNDQLVYGEIDEDSDEDSDVIIDEPEIKKEEILKEDIKPFSSRKVEIRSLVEKREVMRNSRLRPDMIPQDSKSFSNFQMQRLLQRGRLNAQIEQLAKSTTTTPGVYSSDKINVTGPDGTSHVLKYAHSDEIQEFRPELTRNFDSLYQAPPLEMTLDFFNVEYGGKKLSREVTPDDVREEIVERIEERLIDKEERGYQSKSGMLEAIARKRVRQHYGTETVEDKEEERRRRRIEEEEDELIEVSDDEMDLQKVLLESASKSLDEKMSSLKKHQDEAEEEWDPQRNDVPSTSTQNLLNDDYIHLNYDDDNEKTPELYRDLQEFLTNAGIPWIEAPGEAEAQCVELERLGLVDGVVSDDSDVWAFGVKHVYRHMFAKNRRVQRYGEKTAANRENCRLFCLQREDFISIALLSGGDYSSGLVKVGAIGALELVSEFVECRTDQLENLELVEKRILKLLEKVGHLFLTSPDEKRSVGRKAMILRRHVTEANEKDLIENVCSNHDAVHAYLHPLVDGSSEKFRWRQMNIPLIRQILHQRLQWPDRSQHHEEQNSFDAFRKWNTFLQAGGRSQMRLDRFFAQKLDSSLELKWSKKVVDALETIRKRANGVVEEEEIEVVEVKKRKKEKVIAAEPKVTRGRGRGRGRGGRGRGGAKTTKVQKDLNLSEESSSNDSFDEF >CRE27728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:178334:183632:1 gene:WBGene00066721 transcript:CRE27728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tre-1 description:Trehalase [Source:UniProtKB/TrEMBL;Acc:E3MXM5] MLYTVINLLAQIYCNGPILQTVQDSHMFPDSKHFVDMSLKYDPITTLRHFDELGDRTSDMIILREFVTSHFNPPGSELVEWFPDDWVDFPSNFLNIHDYHHRRWALHLHRIWKDLCRKVRDDVKHRQDHYSLLYVPHPFIIPGGRFLEFYYWDTFWILKGLLFSEMYETARGVIKNLGYMVDNHGFVPNGGRVYYLTRSQPPLLTPMVYEYYMSTGDLDFVMEILPTLDKEYEFWIKNRQEWFTDKDGVKQFPYYQYKAQLKVPRPESYREDSELAEHLQTDAEKIRMWSEIASAAETGWDFSTRWFSQNGDNLHRMDSIRTWSIVPADLNAFMCANARILASLYEIAGNFKKVKVFEQRYTWAKKEMRELHWNETDGIWYDYDIELKTHSNQYYVSNAVPLYAKCYDEDDEVPHRVHDYLERQGVLKFKKGLPTSLAMSSSQQWDKENAWPPMIHMVIEGFRTTGDLKLMKVAEKMATSWLTGTYQSFIRTHAMFEKYNVTPHTEETSGGGGGEYEVQTGFGWTNGVILDLLDKYGDQFASSSAPSSKFTFSLSNITFVAVILYILF >CRE27766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:176070:177755:-1 gene:WBGene00066722 transcript:CRE27766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dad-1 description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit dad-1 [Source:UniProtKB/TrEMBL;Acc:E3MXM4] MLRQMYYHPIHVTIFRMAAQVVPVLTKLFDDYQKTTSSKLKIIDAYMTYILFTGIFQFIYCLLVGTFPFNSFLSGFISTVTSFVLASCLRMQVNQENRSEFTAVSTERAFADFIFANLILHLVVVNFLG >CRE27765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:175648:175970:-1 gene:WBGene00066723 transcript:CRE27765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27765 MLYMKPARFLKNAATNPNYFKSYGAYGGTAFLMAIYFCEWKTVGQYIPLWNKRYVE >CRE27764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:169910:175315:-1 gene:WBGene00066724 transcript:CRE27764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27764 MFSIFMRIPDTAQVDAVFSEAYASIEQGLCYDEVGDWENTLAMYEKGMNLIKEGEKMKHAKKSEMWKMLQEAKSSVEHRIKVLKKEGPKAPSSEKVKEAEQSVEDEKEAIRMQLDSFGSQEADLIYFLPEGVQLFTIDGEKTTAPTAPTSLQILRFPQPLDDGSTSDTLAFMQVGPWAYPLMGSKTPVLRNEFGAYIVANPTPENPHMTVAILLSSDIEPRLVEELHIVLRQFTDFKEQTEPSTDLSKDEKKKISTQIANFLIRGGQKIAWGVETTTVRVISRVEENGETYRTTLVATDKPMQVSPVVRGSVVYMHKGTKTVAKCTRYLLDKIGDMGVSVGRSLAEGAQKRFGDGKTGGLVSGTIEILGGGIAGVSTVWMSLEDGSRHLCRSIANQTVQNVKLKYGDDASDTTHHALFAAGHGTLAAAQLWDLGPRSVAGRMARKAGIQIVTDLHHSRKGSQMEEKVAAVEVKKAL >CRE27763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:167470:169670:-1 gene:WBGene00066725 transcript:CRE27763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27763 MAPVLKKKKKVTTKKGAIKKSIVPEVPEREEEEVKEEVIEPKEEEIESENEEDEAETMKMETPEGEGVETETYEDPEEKQKKTGVIYFTMIPPKYNVARMREYFEKRCPGQIGRIFLARNKHTKNPQLKYSEGWMELKKKKIAKVIASQIDNTLIGGKGKDPVSSMLWNIRYLSGFKWVHLMEQLQYEKEVEKRRMNVEVAQARRIAAHFEDQIEKGKHLRKLEAKVKESGGKWDTFQRDVEQKKAVKVKKERSKHVETESSELMNLIFR >CRE27727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:165595:167150:1 gene:WBGene00066726 transcript:CRE27727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27727 MLPKQVVLVALLVASACAQYGVAGMYENLPLESTTPETSGDGSGNDNGYASGADAVAIDTDCSTKEDGLYAIGGCSPQFLTCSGGISRIMDCPANLIYDQRIVACEYSDNVPECGGTPQEVTTTEAYATQETTEDSTLPAETTTVYVPIIPAATTAAPIVEPVTRTAIDRTCQGKPDGFYSFGQCSDHYIACSNGYTIPMQCPARLAFDEARVICDYVLNVPECQNGSGDDQDSGSGDEETTTEEASGELPYSNGYGYEETTTAAVEETTESTDGYDVERSASAYNAPYGSESTTAADVPSTTVEVTTEQVYETTTQEETTTEQYYETSTTEEVYETTTVPEEVTTEQVYETTTPEEVTTEQVYETTTPEEVTTEQAYETTSESVPSCIEGATAIEPCSQHYRNCVNGQETIFICESGLFFSPELARCTTTDQIAECHQKPVYY >CRE27762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:163959:164656:-1 gene:WBGene00066727 transcript:CRE27762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27762 MLKFVIFSSVLIALASSIELTFELPDNANQCFYEDLKKDVDTVFEFQVVTGGHYDVDLIIEDPNGKTLYKDTKKQYDSINFKAEVEGTYKACFSNEFSTFSHKIVYMDWQFGDQNALHAAVTQGAHAMTQLENYAVAIGDKLRTIDDYQTHHRLREATGRKRAEELNERVMIWSLGQSAVVVFIGIGQVFLLKSFFNDKRTRY >CRE27726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:162920:163861:1 gene:WBGene00066728 transcript:CRE27726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bag-1 description:CRE-BAG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MXL8] MKLTISNKKLSKNVFLLEKCFEFSFSFHLAPFSLIFFIPAMKITVSCSSVQTAVDILDEPEGEESIMTIGQLRERIASDNDVDVETMKLLHRGKFLQGEADVSLSTMNFKENDKIIVMGGKNAMADDAGFKMLMQYEKHNLSNLQKTYDVNLHDVADLERGFLEKPKQVEMGKKLEKKVKFFNEEAERHLETLDGMNIITDATPENQAKRNREKRKTLVNGIQTLLNQNDALLRRLEQYQKILNGEILE >CRE27761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:160182:162331:-1 gene:WBGene00066729 transcript:CRE27761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27761 MTSPSESRVIYESDLSIFNGRLCSLRILIHSQTENQVKIRVTSSSDVSILLDRTIEFSECQKLIDILNGVIKARAQDPTILGPRVDQVFGDSEFPYRLVVQPRNSDIPLINIPMAQASPEERCDHVLQLYLTEKTQHQAQIAKTEELSKQLQSTVAEYNALRQRCEFDEKEINLLRQLTRSNSENRKRNRDDSDSTPMKKRRCRCGDDESCGSDCDGEMEEDEEDDDISADVQMFRAAASQLQMSGRESHNEVTKVGGVSTALPGSFAHTFVI >CRE27725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:157134:159647:1 gene:WBGene00066730 transcript:CRE27725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27725 MTNTSQNIIISVIICLTFLNFLMWSKTNYGGNSKSNVDQKLSEIQTKIDEILVGKTQNSKEFQVLFSKMKEYSTSQVSIRQKTLSSINAENQFHLLYGSLAMEVFCPSKVRVGTIGDGGKWVCNPWRIPENSVLFSLGLNNIISFEEEWQRMTSNRSVIYGFDVDEQQKNTKDSYAKIRGKTRKAKITNETDTSRNEYTIEDLAKSSNVSEIEILKIDIEGAEMTCLIPFLKTYNVCQIYLEVHGGAPAHVELLNHIGQLGYRLFSYEVNGYSMDACEYSFIRDACVDKYGALPIANYLDFKKN >CRE27759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:152480:153340:-1 gene:WBGene00066731 transcript:CRE27759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27759 MVIQNEDSRNLAETLDEILKLQEELQSRIGSSNKCLETNFENIKDFTEKFGFL >CRE27758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:135126:137254:-1 gene:WBGene00066732 transcript:CRE27758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glo-2 description:CRE-CLEC-90 protein [Source:UniProtKB/TrEMBL;Acc:E3MXL3] MDVGSSILLRPPPVMIRSRLIIILLLIVTVSGVTRKPVMCLQCGGEKYEGSVRLSRDVCCKATPIMCLQGQVCLRALVYSPYGNFFLSGCHPEEDHLSGCDFHSLPHNSSVHRCVCKDAECQNDFPGDCPIKGITDTTKPLPTTTLAPTSTPPSLIARNRTRINSPRNRHHHNHSVTSTSSSMFSSSLLLSSWVFVVTVL >CRE27757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:127802:132194:-1 gene:WBGene00066733 transcript:CRE27757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27757 MTNAEVVAELERERDRRVLSIQSHVVHGYAGNKCSVFPLQLHGFEVDAINSVQFSNHAGNIEYLTLPTRYETVKGQKLTEKELEDLYEGLTANKINNYTHVLTGYCGNVTFLQKIADVVKDLKQKDPETKFVCDPVMGDNGRYYTPKELMPVYRDLIIPLADVLTPNAFELGELTGSPIETEEDCLKAVGELHAKGVKTIVVTSGVTGAQTKESLRCYASVQGADCYRFTFPRLMGQFVGTGDVFASLLVVWLDELNGDISKAVKKVLSSLQCLIRKTSDYAQLQVDTNSRAMCELRLIQSRKQLLWPPSCDKIHVEKVGK >CRE27724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:102398:127380:1 gene:WBGene00066734 transcript:CRE27724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rga-6 description:CRE-RGA-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MXL1] MSTATRTPSPSSLSACGPSSSSSHPNANQSSPKSKTSVTSSTKSDSDPPVPPPEHKPSSSFSIGRIFFRNSSSSTKRDSVDSGKDDETGRSPATSRPGSPNPGGDKSPQMSTTRRLAGFASRSIRKSQWRLFKHRTFFGSQRSSMKKNQLQLPRLTLRHPSIDSSAMPLQLDVEENPIGEADEFLQIRRSTQRRWTDSDTTGRLRDSRDSSDFNDSHDTLDVAGTSAHGGVADSSTSSSTHHNYSMTAPVSPNVPLSASSSHSEGWKYSSQKLLWKLKPKYAYSHASSTSSSTDSAWKSLDSMTWRSVDGAEVVLRGARLENLSEIERSALQLLAAQRLLKMLPGVNLGKPKDPLSALRQKRQKLVKSNRTPTVADVQRRASGTPMPEEKRVFGVSLAMCMMNEKRLDQESRCRSLDDSTVIMLNKSKAKPTKSEPEMVTHTMPEDRKWLYPSTQNLYPTSTSNPSSPSPLIGSAPPTCSILPSANLLSAEPIEPPQLTGRFVKKQRPASASFSCSLDANIDDVDPHALQVPKIVENCTQYLMTYGLTQVGLFRVAGNTKRCRQLRNALEKVGGGAVINDNMVENTTSHDVATLLKEYFRDLPQSLLPAEHYLAYIGAAKFNIDDRIEAIRLLFALLVSPNLDTLFVLLKFLHEVSCHSQDRYNAAGELLPGNKMDARNLATIFAPSILRVDHDKLQETLAENELQVTIVETMISNVEEIFKIPKELQCKIYTQLRQTEPDRLDRILNHLSKMDSHDSVTHPGALLSPFPATLEEDSSSPRHHRHSDHSHIGRQSPLARELTTNGKVKVQSQRSGSWPFSLTKTQTSPSRPDAQHFFPADSQDGPPPGPSQESTSAGLATKSATTTPAVGRKKTEFNMNDSGRDSSEFCSDEITFGTVSQPETSRLDIQRQTGNSAFSSSSNNKKMPMMGSLQGATTSKSVDARSPSRERVDIRTAARSSAAAARRRLRNVVRAFRFTSMTRSTPDIAQSS >CRE27756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:66615:78125:-1 gene:WBGene00066735 transcript:CRE27756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27756 MKTSTVAILAGCLLFVQQAHSTVFDEETEQCKSESGYGRKTFVCDVHGRLAATTKNKLTDILAGLKDRIGCECPDGCVRADGTDAFIGLLHTDDLKRDMEKEYDDAKLGNTTCDHGLVMVYLKDTQQLATYRGGDSFVLLGDDDMQKLHKLAAQQGSESDTLAVQYLLANYKQVSEAPIDNWYASWLPVIGLIVAVLLVLCLVSILLSMICAKCFCCCRKNKKEKYEVTKPATYKSIEPLYVITPPSTLNPRHHDAIYSTPYSGSPLPFPPPPGTTVPHTPNSTYRYRVDTNRKAPKDYENGSMGQLPIDEPRIAHPMYSPLPALDPTYGTIPRARLAPNGNPPLSPSYSSGNDPHFLDPRRKQETQTREELIY >CRE27723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:60447:64946:1 gene:WBGene00066736 transcript:CRE27723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmur-4 description:CRE-NMUR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MXK9] MTSASLAGISISPMMPDEELDGTIAPVGTQPIDITLAFVFSAISVIGVLGNLLVITVVLKVRGMKTPTNCYLVSLAASDTLFFFASMPHEMMYLLGPNDHYLFGKVGCVLLTYLPYLAMNTSSLSILAFTIERYYGICNPYKARTMCTVKRATCIICGIWVFSMLYHSYWLFLATLIQDDIGTSCSFRLERNSHAYKIVFLLDFVLWYVLPILCDIIIYAKIGITLSQCGDKIKKSVKPKISNDMSIIEKSKTSSTSMGHYSGRDSHISGKRNSTRGKNQVVKMLAIVVAVFAICWLPYRGMVVYNSFVSDPKYSWSPDWYINLSKTLVFINCAINPILYNLMSARFRAAFKSLLSKRKNSGFKTTALNHRHRLNTMDVMSSAEPKSPLITSMAGTP >CRE27721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:21430:22464:1 gene:WBGene00066737 transcript:CRE27721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27721 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNAHRSIRKMAEGMKISRRSLGRIVKDKLKLTCYRVRKAAILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYILQILEKTLLPWAQKHFNGRHWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKFNAKPHSSIEALKKTLVKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE27720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:15492:19701:1 gene:WBGene00066738 transcript:CRE27720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-idhg-2 description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3MXK6] MFSKLPIQAARGAASAIRTHQIPGHRLPLAKYGGRHTVCALPGDGIGPEMIAHIKNIFSFCHAPINFEEVQVSSSLLDGDMDAALLAIQRNGVAIKGNIETKHDDPQFNSRNVELRTKLDLYANILHCVTIPSVPSRHNGIDIVLIRENTEGEYSGLEHETVPGIVESIKIVTREKIERISRMAFEYAKANGRKKVTAVHKANIQKLGDGLFLKVVRDMSEDYKDIKFEAMIVDNASMQLVSKPQQFDVMVMPNLYGNIISNIACGLVGGPGLVSGMNLGDKYAVFETGTRNTGTSLAGKDIANPTAFIRASVDMLRYLGCHYHANIISDALWKALTEQRIHTRDIGGDNSASDVVNATLQNIEKLMEENPKN >CRE27755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:12508:14921:-1 gene:WBGene00066739 transcript:CRE27755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27755 MTINPTEYASQEYGEPPLTPRNDGKVQFLGPDVVRYISPPRVEVARQRYRPLAPAPPPQQTTSYSTDSPPPTYQSSVYPMPQQHPPQPQYIQQPQMVPVSMPMMTPQYVMPPQMAPMITHQPQMMCMQQPVMTQMTQMMPPVQLTPPQMVQPQQPQQQQTSPAITLCINQSPQIGGIPGGNGNALVCPKCRKGIITRQQDKFRKRILMCLAFCCCPLTCGIPLFWICCNYVDTCGACGKSYGHRGKKNYKKKVNAVTL >CRE27754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:9381:11416:-1 gene:WBGene00066740 transcript:CRE27754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27754 MVEKMRSIRRGSPSRPNRHSLQSEATTCIISDWEYDEENLENFDPNHHRYLCCCGHAHSLTGMKIVAGMLCVTVVFELWHLIVSVLASEMVEKADVTGAAIRFFAGVFIAGSVLWAIFAQRAELLVPYLLLQGAGLAIGLVFFVSFMYIGLFGDKKVGTTVLGSYGIPVNPRDETAYFNYAAWLMAGSFAIVIVLQIWMMMIVVACWRFFRDKRNNEKNFKEIVTVQKIQLLTRMRISLSAEALGSESSHTRNWVKTRSFSASLDV >CRE27753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:4141:9013:-1 gene:WBGene00066741 transcript:CRE27753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27753 MLSPPRLKTQIHQIQRFLVTLSDVYSKKVNEGALKEDDFQRKMIVDFERLRQEIETYQPPNSSILSEKTSSGFWKMFQKSKKEELEKTISPRGIYLYGSVGCGKTMLMDLFFENCPITKKRRVHFNDFMQNVHKRMHELKMQSNEARGKFDPVPVIVDEIMETTNLLCFDEFQVTDIADAMILKRFFSMLFERGLVMVATSNRAPSELYKNGLQRHQFLPFITILEDKCASLALDSGMDYRRSASGDQNPVYFYGDDANTQCDVAFKTSAANETDNVRSKTLEILGRRVVVEKCCGGVADVDFKELCMTAKGAVDYLVYARVFHTVIVRNVPVMNQDQWNAMRRFITMIDTFYDQKVRVVIGAAVPLDELFQFESHNVAHHALSDSKRALMDDLGIKSDHEGMSANVFSGDEEAFAFSRTVSRLYEMQTEKYRRHRRPYNATEGSI >CRE17428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:71140:80076:-1 gene:WBGene00066742 transcript:CRE17428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17428 MSLRAPRGNVTKAEQALKDACDNAFVSINELKELGDEELKGKSREMDSHLIRLEVKSKKLIEYMEALTAASGDMEDQEDQDAHDAYATKVNDMLEQADHMTTELKVLQGLSQKMSIEKKIEEKAGDEKKTHGTTPSSIHPESTELIRALVQQMNQPKQLELPPVPTFDGKIWEFDNFMTIFKIVVDTSAQDPMLKWTHLINHLKGPAKDLVMKIKPSSESYKQALDVLQKTYGNPKRIHKELTQRLKREEAHSSRTSDQRKLIDRITVLIHQIEEIDENIESSTKEMILTKFDQRIQEKVINKQIKSEEEGDSEWDTKTILKTIEKYIAREESLIERCPQSALNKIPVQKKEDQQKKEKKIFHKDDTKKPERKRICQFCKDSGHWGFECNKITSIKDRTDILNTEGRCLKCTRKGHKLSECPGKPCFLCQKDHHSSICFKNKDENANKKKEATQSEAAVKDKSKSKTTAVTHTCPAENKGHPNFERSKEEEEVTTGTTKSSEETKGQAYIATFQIQAFNQKSWEWEPISAMYDSGADQTYISRSLMEQFGLTIEGEDTFNNFTFGNEQSFEATYARTRIRVKTDHEQHELQVYAINKLIGKIRKPTLTEEDLKFIKKNDLKINMDSFEKEVQPQMILGSDYLDELVEGSLQRLPSGLNLVKSKMGLATLGRYRNQKGPQDKPKDMYQFAVVEEIIDKDRETEDEQIKDTQMKEPHEFTGPTEQERSEKDKETADRFEKTIEKRADRYYVRLPLKIDHLPLPDNWKIALKRLVSLKRQYTEDILELIQEVFNDYLSQGFIEEVDRSKDKDNLKHYNPIQAVITPQKTTTKCRLVVDGSSHYKNEPSLNDIIEQGPVILPDIVDMLLRFRSGKTAITSDVAKAFLQVFLHEEDRDLTRILWVKDFRKAVTEDNIQVFRFTRVLFGLNVSPYLLAATINHHLSQLPDKKLSKEVINNIYVDNVIITTDGSTEEAVQIYKKLKTTFTDMNMNLREFWSNSQEFNSQLEEADKAKDENFKVLGIKWTTHTDILTMSAGIEKIDNNSRRTVSSAIAGIYDPNGFLAPLILPAKLFQRELWIDTYGWDTKISKIHNESWLNIAEQIDGFTRDMPRHVIQKNKPNTLITFADASKDATATCIYVKNEIGVHLIFAKSKVKPIKEKWTIPKLETQALKMATEKTVQVWKALTQGDMTVDKVYIMTDSTITLDWLKANPGKKETGIFVTNRMNAVRQLTSQIEDGGTEVKFGHVTTDQNPADLGTRGLEKNNFATSIWWNGPKVLEEKEWTNKFKFFSLKSRDDFNTFAVVKREEEATQVFNCAATKSFEVMKRIAAQAMKFIKITSERLPEERKKKMSEKIPFLQLENSPGRINSAQIKEAEVVLIKDHQRSFTRKFLNRCKDLGLVEDPRGVIVCKGRMELAELNKDSREPILIHEESDLAAQIIRNAHGKFHVALDHTMDKVRRRFWMLKLRQKAKTILSRCSECQRFNKQPCRYPDMARMPKSRLKPTKPFDCTGLDNFGPITIKKEDGTEDSVYGTIFTCAVTRLVHVEVVSDMSTQQFIQAFRRFVAIRGMPKKIVSDNGTNFVLGKKIIEEAMTNDPLCQSIEWKMITPYSPWKGGFYERMVKSVKHAFMKNQRRNKLSLEEIQTVFYEVTATINSRPLTYLEEDVNNQSPIRPIDFVYSAMDTTFPLQQVMESTEDYLPPAEMRSKETKLGTIEALKSSIKRTDAIWNTFNTTYLSELREHHRSRMNNKRGSPKLPKEGQVVLLCDENQPRNEWKRGRVVKINKSDDGIVRDVEVRNHQGNQLNRSINQIIPLELDSSSDEEEESKKQPQKEESTAQKTEDNSKKYNLRERKPVNYNEERTTFSITSIPYALMMITIMSLWTGAAAEPIRVECNSQGLQIQGQYEKFEACSQDYCTSSTRIGWSSSQNIWLPPKIKIHPHKVTMKIHDGKQLKITEMECPSIPLCSTIDCTVCWSNILNPECHITWAILGFAAILFAVLMCIHATCCVPVKMADVFKLGCRILTAISMILAIIFNWCKKTWRTRKPRKAQWRELIVTIILLILLQQVSKVSSCQELDVVNQQETICDTDGKCITVTEEIMRLNNFHKEGCLRLEKNGTTIRDIRVEMLEIELHCIKKSIAFTQNVETRVWSTKRCPRMGSCINDKCMNVTKDTVLPELKEVNQYVGNVGCAESCGGPGCGCFFVSSGCIFYKTYAIPKSPEAIEIFTCTDFEPAAKIKVTITGMNSCKNRAEVIEMVAPLGKTIPMKEISVTVDSIEVPPLPSLNSWFIRNHTTMATWDEHKLPFYHCDEKLKNCKLEEQCQCNAAEDQMRCTCQDMDIFNHFQQPERRLPVQIGHLKFKEYKEKVKGSITNGCTTTLTVKINDLWKTTIVKSKETCSIENSIANGCYSCERGAVAEVKCFSSTEETIGNVDCGNEQFAVQCSPQGSDNNITFFADKARIHRQCTVDCGGKKKENFEVSGVLKYSGSIWTSIHHLLNGNTTIYNEINLPDLHHIWESYMTYMKTIFIVIIIVGVIFLMTYSIITRSGLAIVKGILRITITIVIMPIKMIRMIRRKKRHAHLHTLICMLLTIPTVFNFPILSNSSFSSAHFSNFRTIPNHTKFPNTSQLNPQFSIPFNPQFSTQLFPQSSSQTSNFVLSSNAPKLTDIKVQIAEMEETLRQVQTMVAHIQSLIMHTDTTMMALERKIGEDFKKLNERLDKMEEYLKTTDQDVAVMAREMAKQAQDQAAVLVVGPPALSDEMIRREPEREVIVQMEEEEMEEQFLLDKEEEEMEEQFLLDKEEEEIQLEDDEELVQSGPLHRHQENKKDSHGSLKGYKIPKKKMEPQEEEDEEEEEPKKLKSQVARALKTRILKKNPPKFNQGCAFCEEKHMSDRCFNFPEYPERKKKLMKKQLCLKCLRRHQDGEKCKSQKECHYCQQLTHHSSMCPEKLEIKWDENDGPSKGAKKRKCEDEPHKSKKSKE >CRE06216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1053:795:2951:1 gene:WBGene00066743 transcript:CRE06216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06216 MMMDSITLPPRPWLNSWLIQNHSTRATWDEHKLPFYHCDEKLKNCKLEEQCQCNAAEDQMRCTCQDMDIFNHFQQPERRLPVQIGHLKFKEYKEKVKGSITNGCTTTLTVKINDLWKTTIVKSKENCSIENSIANGCYSCERGAVAEVKCFSSTEETIGNVDCGNEQFAVQCSPQGSDNNITFFADKARIHRQCTVDCGGKKKENFEVSGILKYSGSIWTSIHHLLNGNTTIYNEINLPDLHHIWESYMTYMKTIFIVITIVGVIFLMTYSIITRSGLAIVKGILRITITIVLMPIKMIRLIRRQRRHAHLHTLICMLLTSPTVFNFPILSNSSFSFAHFSNFRTIPNHTKFPNTSQLNPQFSIPLNPQFSIPFNPQFSTQFFPQSPSQTSNFALSSNAPKLTDIKVQIAEMEETLRQVQTMVAHIQSLIMHTDTTMMALERKIGEDFKKLNERLDKMEEYLKTTDQDVAVMAREMAKQAQDQAAVLVVGPPALSDEMIRREPEREVIVQMEEEEMEEQFLLDKEEEEMEEQFLLDKEEEEIQLEDNEELVQSGPLHHHQENKKDSHGSLKGYKIPKKKMEPQEEEDEEEEEPKKLKSQKQLCLKCLRRHQDGEKCKSQKECHYCQQLTHHSSMCPEKLEIKWDENDGPSKGAKKRKCEDEPHNSKKSKE >CRE01156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:356491:357366:1 gene:WBGene00066744 transcript:CRE01156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01156 MFILPSNQTNLFLHLKGHDGSRNERIPAYGPWEEKKYIRRWLGSRLSISFHSFVSFLFSSLYFIDSLRFPSLHPKISHFPSLIPEIVLNTNMAEQDPVDVAPVAEAEPIYYFKLGSCDDKEVKISSEAIKQSKTLNDLVSNLQYNAEEGESTEVIPMDNIQEPILIKVRDWCEKHKGEPIPVDDESVPKNVTIPEWDEEFLKIDNDELFHLILAANYLDIKQLLNYACKKVALMAKGKSPEELRAIFAIPTDEEDEAAEKAAAEKKKAKEAEKAAAAAAGEAGPSDAAAAN >CRE01200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:357694:360903:-1 gene:WBGene00066746 transcript:CRE01200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01200 MKKLPNINNTQPMSVPKTPKSGEKEEKTGDKEKDKKAELAKAQRKTQDQDMPRFEKGGETEIKTVKDSYEIIAELGRGSFGAVFSVIRQSDQHVFALKCESVHMKRAMLPNEATALIALNMLKSPHFVEMIDRGTVENRFLFVVMKCVGRNLWDIRMGLDDKRYTLTTILRIAEQTLAALRDLHRVGYLHRDIKPPNFAVGRDGEDDYHTIYILDFGLCRRIATKGKDLRIPRVECAFRGTTRYASLAAHDGKEQSRKDDLESWWYMITEMINHDIPWKQLRDRDEVAKVKNRLREDEEFMKKLFNQRCYPEMKTILTYFDQLEYKSIPDYDYVFNYIRSCAIGNKCNLNAPPDWDPNAKEYRGPVYKLGEPYIVKALE >CRE01202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:364326:366602:-1 gene:WBGene00066747 transcript:CRE01202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01202 MTCIFNGSFMESDRFLAYALHTLTSIEIPLHVIGAYLIIFKTPRTMKTAKYSILQLHVTCTMMDLMITSFWIFYWLIPSAGGFPVGLMSNIGINSTVQAFIAFNVMLAVALTYVSFFENRYDALVIGYIGRSQRRNFWRAVYFIVNVIYVESILAFVFLNMTTQEEGRRIVELKQPCIPSSLLNNPNFINLNVKNEYLPHFIGSMVLIIFLQGLYFVFYTTYYLFKDVAHVSKTTRMMQRKFFLSMFLQAVIPALSIALPFHCYYYLWKTRYFYQSEKISSITYISVEILLFAAYNNLAMIAMGCNGLFSTLVMILVHHPYRSAVLEMCRVRKMEFPRSVVATMNLRPLVKISGG >CRE01157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:369962:372486:1 gene:WBGene00066748 transcript:CRE01157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-alh-12 description:CRE-ALH-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MWI8] MVFTAPSDLSGGLYFLNGKRTTVEVTETFDVIEPRIGKVVAKCPKATAEIVDEYVKVASAAQPGWGETTALDRGKILHKVADLIREHAEEIAVWEVKTNGKPIYEARCDIASSADTFDFFGGIATAVLQGDSLELPGGPSQRIAYTRREPYGVIGCIGAWNYPFQTCVWKVAPALAAGNSVVYKPSPFAPASPVLLGEILTAAGVPEGVYNVIQGEQEAGIALCEHQLVAKMSFTGSVASGEAVQRQAATKNVKPVTLELGGKSELIIFDDSNVKSAVAAAMLANFLNQGQVCTNATRVFVQRGILASFTEAIVQEANEKLKVGDPLLEDTRVGANINEGHLQRIMGYVESAKQEGGVVLRGGVRVHPEGVEGGAYFEPAIITGLTDEAKAVREEIFGAVMLILPFDTEEEVVARANNTTYGLAAGVFSGNLARGHRVAARLQAGTVFINTYNDTEVNVPFGGFKNSGHGRENCVDTLRAHTQTKAIYVNVQDTTEHCF >CRE07739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:19319:24432:1 gene:WBGene00066749 transcript:CRE07739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-50 description:CRE-LGC-50 protein [Source:UniProtKB/TrEMBL;Acc:E3N6Q0] MRFLLIVQLFFFYFSSAANSEKKCSRNSINLGKLIDTLLTDYDTHLLPEAEGVNVTIELHVQGVSGISEITGDFSLDVMYSEIWQDPRLSFKHLNVCATNITLKSDFRKKIWTPDTCIINSKSSSIHSSPSENTFVILYENGLVWSNFRLNVKTPCSVNLKMFPFDSLSCEIVLESYSFNTDEVRLMWHDVPITMMEKVELPDFDLIGWSTDHQRLEYPNGIWDRAKVKFTFARRYGFYLFQSYFPTSLTVISSWVGFFFDVRSVSARITLGVSSLLALTFQFGNVLRHLPRVSYIKCLDVWMIFSVIFIFCTLVELAIVCQLNRWERERQIGSKVLGHWLNQIRKTRKKETKGGDDGGGGGALRKRIPILAQLKAAADANSGTGTTTTAITSPNSNSIQDNNKLVANNFSSIEHETYAYEKKNGFAQRLQRFVYAICPPDRDWTITSVQVDRCSMIMFPLSFLIFNVVYWSIYFMKMDRPM >CRE07759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:25146:34746:-1 gene:WBGene00066750 transcript:CRE07759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trd-1 MADLIATIAGLSNEKQIEEITEKHRDDIWPFVASLVTHVLASNHVGYTDHNTATFITEELARQNLSRGLNAPSAASKSLWALHLAHGYVQKLKDSVTPAGLKVILEFYLVWQQLLIDPEQSIKTDLEPIMTKLKTLIAESDPSFSSDERCEILLEMAAVHYQFFEYDKADELIKKASDECNLNIDLTGMMGKRTRFQQKTIAQLVLVHKDPSISVGPQLPPDTDIPQSCNLNDDTLLEEIAITAEEGTARVDGRTLTACQLSCLLWIARHEAATHRHDVLIHERCSPFLDTVIAARRHWSIQAAALLARAELEKGRGRHVDRACVQSELVVKLQQGVEDTVDVQDRLPRTAHILSCGLTPFWQSSVLLAEILKSLGCTSEALLILERLEMWDGVIECYKQLGQMDKAETLIRRLISEKPGDSMLHVFLGDITRNPEYFLKAIELSGDRNARAHRSLGHVLLMDKKFDEAYKHLRRSLELQVCFGSWNPIQLGTWFNAGYCAWKLENYKESTQCYHRCVSLQPDHFEAWNNLSAAYIRHGQKPKAWKLLQEALKYNYEHPHVWENYMLLSVDVGEFSQAIQSYHRLLDMNKRGADDDVLELIAQQILRREAEISMETDGEEKAENQKVKEEMIKLLARISANHQTLSSKTLRAHALLKKPKTLTSESRTEFEKYLQLMEKSLAAVNGKLTWPKDEKLAVEVVETAVRLAEDRLELAKFVASETSVKEASAKVRLSLRGILTRLDKDAGSRVAGDELEKLAELVEVAKTLLESVAL >CRE07760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:35216:39645:-1 gene:WBGene00066751 transcript:CRE07760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbp-1 description:CRE-TBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6Q2] MNMNSPASRSMLGGETPAHGGPSSVLGGPSSILTGHGPNSVMGPNSILGPGSMVNPQSIQPMQSHQMHSLQGSSMQMHSHLANSNLNLNINPASVGPDRNPGSVMHHSMDINPPSVAFQNLAIPMTPIAYNNFERDSLMHPPASNIAATMVPATPASQLDIPMPALQNIVSTVNLGVPLDLKKIALHARNAEYNPKRFAAVIMRIREPRTTALIFSSGKMVCTGAKSEEASRLAARKYARIVQKLGFQAKFTEFMVQNMVGSCDVRFPIQLEGLCITHAQFSTYEPELFPGLIYRMVKPRVVLLIFVSGKVVITGAKTKKDIDDAFGQIYPILKGFKK >CRE07761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:40565:42789:-1 gene:WBGene00066752 transcript:CRE07761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07761 MDDDLDQYFESNEKPEEDFSEDDSDEEVIDNIDPNIELNREEPPHKSAPEFAEFLHSCEYPEDITEYLKTLKLFEKDAKTGDIIPTKSLKSVVSGFVQYLLGTKRVQKPVLRGISKIFGRYDVYHYIAAVLVKSINSLTETRTAAWFNLFNFLSFVPHPSTRLTKPLFRKLCVRTPFSEKNMKKRKLAWISADYDKVWMAVMNGQISDKLTLKLIPYITENVISNLKTPFKSADFFFKMFDKSDYHGILSLGAIFRLISQHNFEYPKFYDKVYSLTNPALLYMSQKESILTLLDSFLSSTHIPTYIVASFLKRLSRCLLLAPIDAQEPILGLIRNLVIRHPNCSELVHRKLPLTLYDDPYDNEETDLHKTRALESSLWEMKLLQCHWNQSVRKRAHFVDKTLQKIESYVRFRCSDELFSVNMSKSFGGEDGEAEKYRKLQDGDEDDEGTGGKPEPKKPRRKGFGKFAPKHEEKVIRAVGVNSEAPKGILDRHVPIIDVPTLWKI >CRE07740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:43439:48973:1 gene:WBGene00066753 transcript:CRE07740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmg-4 description:CRE-HMG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N6Q4] MSQLEFPGIYVEDVGLLAMGTLKLNEKSLSFKSEKGGKSVNINGDDIDGLKWQKLGNKPGLRVGVSDGAVHRFGGFKDTDLEKLQKFTDAAWSQPIEQSNLFIKGWSYGQAEVKGRNIEFSWEDKPIFEIPCTNVSNVTANKNEAVLEFHQNDNSQVSLMEMRFHMPVDAETEDDVDKVEEFKKAVLAFAGLEAEAEQPICLLTDILCTTPRGRYDIKVYPTSIALHGKTYDYKIPIKSINRLFLVPHKDGRSVFFVLSLNPPIRQGQTRYSYLIMDFPKDEEQDLELALTDEQLAQSNGALERTMEGALYKTVSAIFKSICNLKITEPGRFIGHSGTPAIQCTHRQNPGLLYPLEKGFLFIHKPAMYIRFEDVSSCHLARSDGGTVTRTVDFEVDLKSGAPIIFNAMEKEENNKLFDYLSKKSIKIRNPARVESRAAESSDEEPDRYKAAVKAEGLQKDDDSDDETDEDYDLDQDLKRKKTEKDSSEGSASEPDDEYDSGSEQDSSGTGESEPESESETPAKKSKRSEPREKREKKEKKEGKRGKKDKKEKDPNAPKRASTAYFQWFTANRLKIKEDGDSVADVAKKGGAKWKSMSAEEKKVLKSHNFQSKSAFLGKTLSSAKNQNFDSSIYFIQEWEELAEKDKARYEAEMKEYKKNGGGASSSSSKPSSSSSKKSSGPSSSKAKSKEYISDSDDSDDEEPKKKEKKAAPKENSGESDGSEGGSDASDASEDDSD >CRE07741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:49075:51777:1 gene:WBGene00066754 transcript:CRE07741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07741 MSALSDFLKQPNLLQVTDGGPLVDGTDGVAGTRVVGSVAEARQLRGADVLTEEVEYAIIQVQETELLAEVCNTVFDVMKQNGEVAVFSQDLVTASRKLRIAGFRVPTTATEWPVRGVKMVNFGDKVALDLGTAAATIDEDLIDEDGLLQEEDFEKPTGDQLKAGGCGPDDPNKKKRACKNCNCGLAEQEEARENGQNCRGAEE >CRE07742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:55655:67354:1 gene:WBGene00066755 transcript:CRE07742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mml-1 description:CRE-MML-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6Q6] MSRGQIIHSGHFMCSNLHDDLVPDEDEEDVEVDVVEDDEKSTEPQSLANKNKVLDEKPVTFYKFGVGKTQSIAIDVSLNKLNKCIKVAYNKMTTPKWKDFKRITITLAYYIEFRKKQPVKPKKPFCYFAVPDDDTTHQKIEGSIVEGMYWKRKMEGVCAQYKRWRIRSKHSIHPEKGGMVSTCSSTSVSSMSGKRKRFQKQPAQKERIDTHLAHVNEPPVKLQRSQTPKHTISDEFAWHLDELENEFGDVFTDDFLDSLNEPYLFPDSRDVYGGNNADIIQPGLLPLQPTIEDIMMSLNDFPESPPFPGDRDTMRTPVDHQRPSTSSNQPPPQMRRSASSSASLHQMQVIQQQQQQQQQPPQSIAQPSQQIQIQQPVARNPTQDFMAHSIMMDYRLMPTRQSSAITSQMLMLSQSASTSSSQPQYATSTHYNTNNAFLPVRNVMSTQHHNLAHAQHWNKQQQQNFLASFPHQSHVERILNNQAPLPTRSTQLLPQNDPYMPQFLQSAQPTPQPTPTHDQMMAPSRSWWLDSPLTANVQSPLSVATPLPLANQNGPQTPLGQLMIGGENGFNGLLGGGNSGFKMSGGNNGIPTLSQRLEQPPISTTNSIFGNVDNKSQNNKILASLTSQNTPTPSPIDFSSLSRLRTTSLNETWKMSPVAEQSPTYQALAVSVTTKPSVLSSPANSDILVAPASVPAATTLPKIIPPRSRQKSEREAPPSVIDRQQSCDVNLLNGRKNEDTVVKKEEIPSRQSSISTELREVTKEEPLPMSAPSSVKSMRRQAPDSTLHPEERKRILHLHAEQNRRSALKDGFDQLMDIIPDLYSGGVKPTNAVVLAKAADHIRRLQNEKSDKADKIKEAKAKIDKLNQRISSIQTNLPQSSAPSTSTQIDSKTSLETFFDRYMKEGAKKDWRFWVMSQMLKPICVTQSNSFAATVAGDSSSRNEVAASCSDWINKNWKATELRPLASTLLVSLATNSNILAEPETLPDYVTQQLKNHF >CRE07743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:74542:76344:1 gene:WBGene00066756 transcript:CRE07743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07743 MPRRSSKKAKAENTELRALKKELLFVKFQLKKQKLTNQLTVQRNEKEIQKLNAIRESILTKHRLEQEWEMNQKEIVRETRQELEYQEFIYNMNIVVQKKYEKEMLKKEQEVTRQLERRNKELKDALDNGISIKPWKQCGRCFEEFGEKGVRVPKVLECGHTLCVGCLKQIAQPYSIECPFDGLVTDLDEKKTIDTLPKNFIVFNM >CRE07744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:79975:81789:1 gene:WBGene00066757 transcript:CRE07744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07744 MPRKRTPKNDDVPPSLDEKNAQIQKMKADLKKLDAEILAEKKKGREAKQKHKEDMERLRWQERGINNEIFYQTSRHAIQIGIVKKDYDKTKIELAQLRVDLKLATDEQVKAEIVKEDQDTRERLERRTKHLEEVLKSGSNRKVWKECELCSLEFEEHGLWIPKVLKCGHTFCWGCVQRLAKPDFIRCPIDKTVFVFSENDDVNKIPKNFRALNAL >CRE07745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:83779:85619:1 gene:WBGene00066758 transcript:CRE07745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07745 MPRTTTSKNAKEKDAQIQRMKAELKKINSEIAEEKKKRRQSRREHKETVEKMEDVISGVAVDVLLDKARVEMERGGYEATRTELMEKQVKLKLATDKKVQEDIVKKDEETIRRLEQRNKELTEALENGLDRKSWNECELCSQEFKDEGDRVPKLLKCGDTLCWGCIKHLANPDFLICPFDGTVFAFTEFNNINHLHKNLKVL >CRE07747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:88805:91723:1 gene:WBGene00066759 transcript:CRE07747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07747 MNPVESESPSSQHHADEEDDELKKTKKELEDVQKELTAERENHEKTKEKIQKLKVWFDEEDLGAKFRVEKEKTENLRERTDKLKEKLGKLLDIKSWKACTLCCQEFSKEGDMIPRSLPLQMTRRRGKKNKKTAHVMNPVNSESPPSQHHANEKDEKLEKAKKELEDVQVQLEFQEMCNTMLEQDHQKALTILELDKQLIEKESEINCLTHQLDVEILVSSRAKIQQDTQDLELKLEVLEDEEDQEAALKMEQETTESLKKRNNQLKEALKNNLDIKPWKDCQLCFQEFAINVDMVPRLLQCEHTICSACLRKLMKPTYIKCPFDGTVWCSCSTKTIDDFPKNYKALAM >CRE07748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:96513:101127:1 gene:WBGene00066760 transcript:CRE07748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07748 MRLFLAIMVVGLAIPLTIISIDVSNTLGVPCSHQFIIVSTVWTIILNRPLIRPTSSRKIYEFIKTSWIFLAVNKFLEAVVVLFEFSEFFDCATLCLFSVVARYVLRNLENGITKASKTPFTPDDMKPVNPDENAWSTQSINNHSLELSDSFDEEHIGQKKLINNKSLNHEKKEKRRLKKAKNLSKKNKTNGTEELTTPDADDTPFTLVTSKKLSDKLQPAEPCYIAKKEKSYRDKLVIISKVPYHNSGIKQDWEIVNFIKNLLFFQKIARQYSFPAPVTYHRIPNGCLMKLEFESVKEATTFRTGFSKTISIDQKLKAMRPTPFARRDFNLEEMKVFENSHKFVCKENEKVGYTKYVLKDVNYELNPVFLKIKEATVTNNIVATMNPALPTSSPTTSVSTSSTFPKIEILRNEEYHTALSSMTSASSEPQHFQNADQSAADLNSVATNEKSHFMDPPLVEMSSEGVMESEDVSQMICEESTFIPSDEERKKRVMMTKAPFTRIGMTDPKGILLFLQKIAVQHYLGVPLYVRYYRTLLSLQFNSSRDADSFRFKFSGISYKDPVLQMMNPKPEVRRDFSLEEMQTFRNSRKFVTQENMKAGYKKYILKDTHPELSWEYYNGEGKSRVPEFLPQDAPPQEIFHSMQPVPLFQPVMGNPYAMVNMTNNTSGPVNQILLTNNTAPLYGWFNYGNNTMMLSTSSKQSQLPGHMRACGSAEDAASNLTNRGDGERRFQKPS >CRE07763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:102683:104891:-1 gene:WBGene00066761 transcript:CRE07763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07763 MSDSNSSEVFDESLSSKVFDNPHLLEIIVSNLTWNCESNLCTRLINKSFNYLFLRIIKRNHRKMKIEFIGKEERCEETAKDWIFINYRKLKKSIIPGYFNFLNKVVRVKVEEIITKYLWKPEEMFARNLHNIIYSDLIGGNRGSVRRLIGLEEVCEGCVDCMDMAKRCVEYGPLRFQVLKEVKNPIHYKKLHISDKLLEIVANHCTLKSTTKEECLKQLDSIIRHSILCDTLLLWICETKEYYVNGVRENAHFPMPREVLDVMIRKWKVKTIRLNMIGCTSEKICRENWIDGGYFTKIKLDDPYWKTGQSGDLKLQHVSVKMSDSYDCAGGLMYSNPETGYEKNFENYIANLRRLFQMDKISIDFSHWSHKYSGNLEEFMKNILRVIQLEKQRKLEVNIQFFTEICSFKVGNSEELAGIPSEYSLLSDRVECIRMFVPFEIVESGPERLNMIKWVGRQFQVKDMDNHFTLNLNIYVKETELRELDKGLMEKHPNSLIGVFLIVVT >CRE07753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:120105:122577:1 gene:WBGene00066762 transcript:CRE07753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07753 MSTHLSAFLLSRHLSCPRFCIFFFCITGIILDLFFKYNPNLPWTHLLTGTSPSFELFVIGGLLMNSPAGNRFALIVCKLDVILGVLCLLTLPVISVAENTTGYYLHMPFVSSFHSSFAFQVTSTMEFFYVALILGFVSTILILLFLAFDTAKLLLLRKLVSHDMAVAQKLKQVQQV >CRE07764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:122934:127506:-1 gene:WBGene00066763 transcript:CRE07764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07764 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3N6S0] MVAGVTALPSSNHVEIVHGQQFAVTHRYVDLQYIGEGAYGMVASALDTITRDRVAIKKISPFEHHTFCQRTLREIKILSRFRHENIINIREIIRSETVDSLKDIAKPSLFSRYIVQSLMETDLYKLLKSQELSNDHVCYFLYQILRGLKYIHSANVLHRDLKPSNLLLNTTCDLKICDFGLARVTNPRADLTGFLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEMISNRPLFPGKHYLDQLNLILATIGSPTSEDLKCIINDKARSYLISLPPKRKLPWERLYPGADPRALDLLDKMLTFDPNKRISIEDALAHPYLQQYYDPSDEPICEHPFTVEMEFDELPKEQLKQLIWEEAEAHHRRSQAELAARFGRSPSV >CRE07765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:127909:136877:-1 gene:WBGene00066764 transcript:CRE07765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07765 MFFVRGWTATDEIDYGDDFEYDYEYGYGYDDGDDYTGRMKFVAGTKKKIYSDLGLIYNKLSILTRITSAISLQSAAITKSVKIRDVIRELVKAPAKELDELIKINPTGLVPILETSFKASEELKVIEAEIKKLDEDEDFLKLMSLSIDYVKREEIDGDQVELFFNSIYSKEFDKVVLTCDDSLVDLMTDFSTIIAKNSQTSLIKKDMITRVGSSYLDIQKCLKQLEGYESKTAGLTSQLKIFDKLIEAKGGVILFNGLIHKTKTFEDTVDLVGTVFSKTKNFWKPARWIGGQMSRIIENLKTILDPAHQTELSLTLGFPNTGDLSRVSRDLKSNWFREKVAQRHSVNELGRELKGFFVFGKKIRKLRVSWNTLREKFKEVSGMVSKFSGLMKWVEESGLGENDLKIAQDTRDSYTKFWKDVPVISDAELTGFDGVLGSVSQLKEHFDGVKSFLEIFEKMRKELLGAEQYVKDIGPKYEKEHSGKMDQNPILKLENPLEMALSLGKGMKVLGDMVKAIRYKRRLRRAMNYSEGVTKKIIDFNEHAYVKEFWKSSRESIGKLLEELDALNNFAAKIHDESPMEMRKILDQAAKVHGFSNVFRMIAEQLSHDNTYPRETKNFEKLGELELDFSSHRGYLHAASLSFDELKNYFDEVFDLNHERHSHEVEPNHLPAIIICVAVFFLVIIAIFIAYGFTQNGRKMYVNIYLYYFGKPEVFEKRWRYSLFLDRQDGKNALIDAAREINATNVMIAVKKGAYINVFNKFGNTALHSATKRGHPEIVEILIRNGADCTLLNSQNKTPVQMIPVNYPKLFPDKVERYRRLERIYIKYQKKKFRQRVPNEFPATSYHIYIEERTEDKLTDKFTEKFQSITSDEATLTTTHLIVHTDKDGILETDNLELLVWVFSGVIVVKESWMSACLKDEKMIGNEWDFVVTKIRYKGIVYDTVPQWAKDAAKGVIPYLCGIYVAVVADEYPNLLALASIVTSHGGVMCEKFPEKETFNRGFRPYFHVHKGPLFLVHDGKRDLSVYKNDPDKMYTVLTEEEFVRFMLERKIQRNKSPNPIPPMNDMED >CRE07754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:146709:167722:1 gene:WBGene00066765 transcript:CRE07754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbn-1 description:CRE-FBN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6S2] MSTRSRGSCPLSWLVSPLVLLVLCRGDHQIAKNFVNSSTPDLRPTFVVNFDTSTVICQHSNDPTDLHIHNMSSLCDGKQDCFVNPAMHDEVFPYCEHKCESTCSGKGACLYDGSKPQCYCDSGFSGAACELQDKNECLDHPCHMMAQCQNTLGSYECRCLPGYEGNGTECTDIDECSDKTTARCPEHSKCINLPGTYYCNCTQGFSPKGNQGSGLDKCMDINECETGAHNCEANEICENTIGSFKCVTKCSPGYKLIDGKCEDVNECASAKLHKCDVRAECINTVGGYECECEEGFDGDGKNCQPKSSCRKNSAICDRHASCHIVLDICDCKTGYTGDGITCHDINECDAKDTPCSDGGRCLNLDGGYVCCKDGQDDAACVKDQGAFCSGGCGDNAICSNATCACIDGFRGDPHKKCVDINECVENDSVCGGVGDRCVNLFGGYKCCQHGSTDPECTDSQFSSDSSTVSSHGADFTTTGEQIIENGGKIESSSGGTITVTRGLIPKDVELTTSGRLACTSYCPPNSECTGGYCECVKGYGGNALVGCEDIDECITETCNAEANEWCVNLIGGFVCCNPTNATHDDCIGLEITKEKGLHVIGPNEEDTVVATRSNNHSTSDQLITEVVQQSKNFSSGQIILTRKSVTGGEAVTQTTDSDGEFGLEISGSGIGITLPATLEPRVEGSGKKGGQWTEEEDEEEDDDLMEESSGGGSWSTTINGTGFTGSPRSEGTIRVRITTLGEDGETETATKPGVTVPEKVVEGSGAGEKLAVEKEGHESTSTGEEVSGKSGAATASESGASGSASSGSEASGSSGLSGSASSGSESSGSSGSGNSGSSTSGSESSGSSGSSGSGSSVTSESGASGSSSSGSSATSSSGSSVTSTLGSGSSGASSGSGTGSETNLPVKKDGEESPSGSVTASRESSGETTVSPSTVVEGSGGTLPEGSGEWIETGSKGHFERGNKVTVTGSENGNGNGKGNGNGNGKGKGNGPKLKPDGPEITTDGEDSSSTATGDKGTSGDKIPQITTDGEDSTSQTSGGEHGPKGDSKGKPDDKDKDSGVKKPATEVEGVEGSGQPAPTESGILTTSSGGKNATFEHGTKLEKVSPTSGEDKSSEKNPQVGLEISAVTTEKPDSSKEDVGLEIVWEKTTPEPTTPDTDNVSLRSLGCILKRGFQVGLEISGRDLTTTKKPHVAVEGSGTGDEEISKCLKFLKVSKVTSPIFQPPRHATSPRAPRSHASKSTVEIMERLLESTEKPQRHQCHQQPPPPPKSLLKLDPVNQVYQTLQKDPEKLALQKVSRQHLWLLHQKFQPLFQPPLRWRDLERQRHLRRRRRLPRQKDPKDPGRPQRKVQVLLKKQPSQKPQLVQKLQRQQELLPKPPNHQKPHHRLQKPRRRLKPPQQCQKLLSQLLLKPPLHLLKPLRPRRPPQNLRQPVHLLKPLSQLRQPQPLQNLASLLKPPPLLPNPQRLQNPHPPQPPNQPQSFHHKIVRTRRNRSQRKRRSPCRPPQRNRNQRMRQLKTQNAQVAMSVEWMLSAREEPACVGVNLDSRELHRRRSVLTHKHPNFPDVDECATGDHKCHDTARCQNFIGGYACFCPTGFRKTDDGSCEDIDECTEHNSTCCGANAQCVNKPGTYSCECENGFLGDGYHCVPNTKKPCDTEQSSKSHCAESNMSCEVDTSDGSVECKECMSGYKKSGTTCEDIDECEVNPCSKSANCVNLNGTFSCSCKSGYRGDGFMCTDINECDEKHPCHPHAECTNLEGSFKCECHSGFEGDGIKKCTNPLERSCEDVEKFCGRVDHVSCLSVRIFNGSLSSVCECEPGFRFEKESNSCVDIDECEENRNNCDPASAVCVNTEGSFKCECAEGYEGEGGVCTDIDECDRGMAGCDSMAMCINRMGSCGCKCMAGYTGDGAHCTKIEEPSPSKSDKTSCTEEWSRLCELEKKQCTVDEEEVPQCGACLPGHHPINGTCQSLQISGLCAQKNDCNKHAECIDILPDSHFCSCPDGFIGDGMMCDDVDECNNAGMCNDENSKCENTIGSFKCVCLTGFKKLDDKCVVDEKKQPNREKIAIDEETSVTKSTESGKPDSSTTTQGIVSSSAPSEVTTSSSVSSKSPEVSTTTSSETVTSSEPSETTKPSVTSSKTVTSSESPVTEVSTSSETSEAPVTSKSVSPEGVQSSTTPSGTTVSSKASEATTTPSSEESTTSESLILTAAPSKAPESESTTTASSEAPSTTSKTTSKAPEAVTSSESPVTSKSVSPEDTTPSSGTSESVTVSSKAPEASSTSAKSSESTVTSTPVPEKATSSESPVTSTSAPTGTSESVTVPSNAPEATTTSTKTSESPVTSKSVSPEDVTPPSGTSVSSEAPEVTTTSSKSSESTVTSKPSSSSTSESVTVSSEVPEVSSTSATSSEPPVTSSKSPEVTTVPVTSSESPSTLSEEVTSSEEPVGLSFESTPSGNTPESSTTSPVSVTSSESPESTTVPSEVSSTSPSVSSTTSSDPLTTPAVDEGVPTSTEDSLTVSVRIHELTQTPESPESTTTSSESSKPSESSTVSVPTSSKPTVTSSEAPEATTTPFKQGRTPITTSPLPSTSSESSESIPTSKSSESVTEATTTPSSEESTTSESLILTAASSKAPESESTTTPSSEVPTETSKTTSEIVTSSESPVTSTFGREKLTTSSESSVAPVKSTPEPEVSVSDKKVTESVAPEAPEVTTVPVTSSESPVTPSTFSEEATSSEEPVGLSFSPESSTTSSEAPGTSPKSVTSSSESPSVLSTSSEVSVKSTSPKSSFESTVTSSEKSPLSESPVTFSESPVTSKSVSPEGVTPPSGTSVSSEAPETTTTSSKTSESTVTSKPSPTGTSESVTVSSASSTSSKAPVTLSKSPEATTVPVTSSESPSTPSEEVTSSEEPVGLSFESTPSGNTPESSTTSSVSVTSSESLESTTVSSEVSSSTKSPTVIVTSSESPSVTSFVSTTTPRVRLITGTPDDLRPHRQNLTTSSIVTSSESEFTTTSPQPPAPTTEKATTSGNRGPPSIQPPAGMFTTSSAPTNNGGYGEEVEEETTTSSSSTSSTTTTEAPSLCSTVTCHSLATCEPSTGVCICRDGFIGDGTTVCSKKSTADCISLPSLCADNAKCDNSTRSCECDAGYIGDGYVCSPHPQDCVLRDNLCSPEAICQNRRCQCLPGFTGDGVKCVSIHERASNCSQCDANAHCVGGTSCKCNPGYFGNGLCCVPDPLDCVHFTGICHPNAVCNPESRQCQCSSGFSGNGVSCFPQKSCRTDKSVCAKNAICLPTGSCICRHGFKGDPFYKCTSLVAKEPANQQDLSDVSSCATPCDAASQLCISGECICKPGFRRNSTLSGSETCTDIDECSEKTHRCDRVATCRNTFGSHVCTCPDGHVGDGITCVPHVNQGKLSVYCEADGMTLVLGNETSEFEGKIFVKGQAENPYCSKSFSSLLNSHKPYVFKVAFQHCDVQLLDNHTMASTVVVQKHAMFLTNKADSYDLRCQYPIGSRAVESHVNVSELATTSTLTDKNSTLAPICRLSVSNDQHSSISSAMVGDTLKLALEVTPAENFGILPRNCFAVNIESGERYTLTDDQGCAIDESLFPQWSAATSAKVQAVFRTFKWPDSSMIRFQCDCNPCVGQCNVPSCISSARFRRHHQTTSPVLNDEIRQEMVLMSGVESLAVSSIINVHDSSDMTTSSDEDVTSHHVASTSTDPLTSSICVKMAPLLIAVSSFAVCSAILIYLCSKKPKTIDLESEIGF >CRE07766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:168187:171594:-1 gene:WBGene00066766 transcript:CRE07766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-upp-1 description:CRE-UPP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6S3] MRDFFLLPRFSLFLPFFVVVFFFYFYAFQKERRTKMNGSVNNVEKPNKYFDKKDKADFLYHFGFGVDTLDIPAVFGDTKFVCTGGSPGRFKLYAEWFAKEANIPCSENLSRSDRFVIYKTGPICWINHGMGTPSLSIMLVESFKLMHHAGVKNPTFIRLGTSGGIGVPPGTVVVSTGAMNAELGDTYVQIIAGKRIERPTQLDSAVREALVEVGNEKNIPVETGKTMCADDFYEGQMRLDGYFCDYEEEDKYAFLRKLNGLGVKNIEMESTCFASFTCRAGFPSAIVCVTLLNRMDGDQVQIPKEKYIEYEARPFHLVTAYIRKVTGI >CRE07767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:176539:185416:-1 gene:WBGene00066767 transcript:CRE07767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-baz-2 description:CRE-FLT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6S4] MSDSTSSSTNQLLLLMAAAAQQQQQAQQQAQQQHQQAQQAQQLKQFQQQLVKQLQKEEAAKAAKAAASRPSTSSSGSSRNGGAQQQQLQNAAVIQQMAQFAQMGMMLAAQNQQKAQQQKETKKPSPKKPATTSSTPSTSSAVPSMTPEMLQAWQQAAQLQAIQQMLMTPQKNQMEEAIKKMVEVAKKKQPTSSAQPSTSSQPSTSSATTTSNTNANNMMNNMMWQLVAQQMQQKQQQQQKDSLKKADQAKKAKEQARELAKQHQKEQENKNRQQEELLKFLMAQQQLNSQKMHQKKQEEQAALAAKVIAAHKAALESEDVEEGKRTTEAMLRLPLQMGWRRQTCVRSITASGVKGDVSYFAPDGKKLSTYSEVVRYLTKLGLHYITRDNFIFTTRLVIGEFIVPKQTEADETRQEREFAMFTEEDVNKELARLNALKYAPKLPVASTSSTTAPEEDVKPPKVEEPDEPLDPMELNDDFAEELVHSQMMSNGVDECKVREREADDLLVNFNDVRHLPEFSRITNQCLNAQGFADALMIHEFVQNFGHVLGIDLEKTPKLEALCAGLGGDREKADEFLQLTRQLLRLALEFPGMGNEKRFGQGGGEMGLDRENFSEVMRLFLVDKGKRGIELSQPLESSNFLALTGEQKASILAFLCDELVCSRNVVTEIDKNLEEISRLKGEKWMREGKARALRSARSKKKNDEKVVVKEEEKHLESDSEPPTRPVTPKKNGTAPVASTSSPSAPGANAQLRKFTPGLGQCEVLTEQEESMTLQQMDGLIGELAQEAQNINQKIHNTNLKIRSFPFGTDRFHRNYWMLAHTDTVLVESLESSGVHNPACTANEYASKDPPILELRAPDACEGVDIDVIGCVEDLVDEVVLLRAKADKKTRKRYRRVDNQMKRGWWTMQNRDSIESLRSCMLSRGIRERALHRLLTKPWFLNDLKFGIITLDPVGDTPDLELIGRQGWNRLNSAIDKFRSHLKMSDVAKSKSDSPKPIVVPTTMALAQIVKDGVEWKTVEDEINLENLDESLIRQKIIETSEMVDPTFWRPKFRIPADEQTCQLYEDWKTYVATEAQTTSQLMVALQALEGMIMWERASREALCQICKSMDGDEMLVCDGCESGCHMECFRPRMTRVPEGDWFCQKCRMEKSGKPLCMFCGKESGHLYQCLRCAYHVHQECAQDGPKETIKQETFICPHCQDVKQMRFMKRIMLRSESEERELAEDEQKQKQQAAAAAALAAAPATEISATGENAKNGLSNGTTTNGTAHSPQNGTTKPNLKRKMESPVITTGLPKTMTKELCQLMLDELVNQGNALPFLEPVNPKLVPGYKMVIMKPMDLKTIRQKNDKLTYDSPEDFAEDVELMFANCRQFNIDHSDIGRAGINLNKFFQKRWKQLKYNFTKRLRRLNRAS >CRE07768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:197904:199261:-1 gene:WBGene00066768 transcript:CRE07768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07768 MINGNDGDSESAGTKIRSQHIVLLIVSVSTPELLPTITHYIVKTDSNGILEIDNLHLLSWFLKGVIIVKESWMIACLKRKKLIEKDSEYLVEKVRYKGTVYDTVTRWSKAMAKGEMPYLYGVYAAVVMKEYSEFMTLKSIITSLGGIMLEQFPEKQFFNVGSHPYLHANRGPLFIFHDGQQTTLDIYKNDPDKMYTLFTEEEFVHFLLKREITVDTRAKPVPVLAEQNE >CRE07755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:199625:200233:1 gene:WBGene00066769 transcript:CRE07755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07755 MAFFSFPILFSGSSAQLDEKAAEKKQIFDSAALLQKEVSRLLEQQVDLQEDTENPVRPRLPIFFVKGFNALKAKEAALNYKCPYLNLIPYTIQMQLLTEFGPSEDYPKLDKNGYFIETPRPVMEEIEQLEVDLIDYITYHYICTDKMPLLPSSLSSTMKDIGRKLGTDMDEEAVDRMFSLDTVDLLEDDCLIGMLKKCFNIS >CRE07769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:200945:207805:-1 gene:WBGene00066771 transcript:CRE07769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07769 MRIPKIIILSMIMVAWTRRIGRRGVMGEGTCPYVCLFVRMSGYYWDMQPGVASLYFPKQETDFEIMFDNLSLLARVTNAIALHSAILRKSLSIHDMLAETIFANPRNFSDIFEHDASGFNKTFDELVLSYRNIKKVTDQYYKEMVETGIFTEKLIEAMEMDYDIEHTVIQKYFEGLKNLKINGDVMACDKDLVKNTVLKFWKVMNEKSVTFSTGSEKKDALEAMKLNTLNVLKCMDSFKTYIEKVNPMIQRSDKLRKVIFAREMSRLLKSDNSKSFNYSTSLATVKTMFAETIKNWKPPKNKAYEMIRKSNSLFLALDDQKFIPEQTLTVGFGYFNRLREDLKSEWFKEKISMGINTKSLENGLEEFFEFGVLVEKLRRTYIMFSGSFYKNQDFLEKYPDDLMINEEFDEMKTGEEFIGSSRKVFEDCWSKTPEDSEVFQEFDESIGGFIHLNNTMSDILNWVNETIGTFDMEVVKISLGKLHQLDFGDKNLNEAKEAISGIQNFQSLNNFLSRFSTLSELQTTLDTDYTTTFETFNMTEIFISTIQNLTDSSMTTDLRCLKTNQFNTTRLNDLMMFMESIRRTSAENLTNHMKNLMSFYNEMREAFSEIEVYVKKHRNITGDPGNPVLKFKDPQRVSYAFGSGIRAVSYIWDVSRKRADFMDATKYSKEVKEHIAMFNENEEIREFWKNDPNASIHRLFDEIDRLSDYCRSVKNKDLMTIRDFFDHAKNVTGFKGHYRTFWSVQEQLISYGSKDPSFDFAIKNAIKLSQLDLDFSNREATLQAAKLSAQEIKDYFDEIFGLKEVGVTAEKKKKITENSYLTVVAIAVPLFLLLFSCAFLLYALSDNGKRHIKNFYLYYFGKSAAFEKRWRYSLFMDRQDGVNALHEAVREVNAAHVSEVVKGGAYINVYNLHGNTPLHCATKLGFPEIVEILIKNGADRTLRNAQNETPEQMLTYRSDEKKREKFDEIEKIYEKNRDKKFRIQVPEVFPSFMFHIYADKNTDDELTNKFMEQFQAIVSFTGGIMGV >CRE07757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:222590:235687:1 gene:WBGene00066772 transcript:CRE07757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07757 MRCHYIVILLLIALICFGTGGADPSGVKNGSKSNLEEVYGKLATITRITNAITLQAEGLRKNVKVRDVIVELLKADQTVFSTLIDYKPESLKTALTGLIDKLKVLRSKVRWDDWDGLEKTKGWLEEFDKGFQKFKNHTKFEEKEMTSFAELVYDDTATIQFETWITFFSSFRNTWLKLSSKTKAEAESALDVLKRNCSQFIQELSQFQPTITWINFTVIFFELDDSLFTYNMAKEPFGKSHSKSLDVVEKIFKETDGIWVDPKQKTGKVGEPFKFAVSKLKEMLKVTKRPEVKLTIGFPESRDIAKVSDDLKSEWFLQKVIGGKSVEHLRKELAGFFKFGELIKTVEDSWEAFATEFNLNEGVADKMSKEMDAIEAFEGGPDGERLLKNGKSSFQAYSRDAMNAAEWKPYEMVFEKARDLNQKLEQIRGALLEILRTNKYKNLQEELENLQKDSTTLVDIGNDNKFQGLKQTLGQLNGLMISLNEYIELRKTFLGLYPTAEDVEKKLKTVARTLGDFETGYTNLKTGFDAKKLLQMITFLKNVRLLSGEHGDPVMSVLEKFSKLREDVFKVSTNFYPFKKLSRRAESFVKNVKSKYKENHSPDNPILKLKHPEELLLSLGRGMYVLRDMSKALVLKNDLIAFTQFPQNFTDRYKNFVSAKFFNNNKKIIDKLLEELDGIDKFSSGIKDKGLMTMRTIFDEAANRVVGFPEAFPRIAHELPVTQAEKEMEIVKRLAELDLDFVSHKGYLQAASLSFEELRMYYDEIFGLNKKKEVEEQNYLVPILICITIFLLLLIGFVAIFGLTKTGRKFFKNWYLYYFAKPADFEKRWRYSFFMDRQDMKNSLIDAVREINTTNVLKAVKNGAYINVYNDHGNTPLHLATKRCYPEIVEILIKNGADRSALNSMNKTPEQMIPTNWRTSHTTQTEHLERFEKIEKIYKKYRNKKFRLRVPQEFPSSSFHIFINEKVDDEQAEKFIKKFQAITTNDPIPTTTHCIVKTDSDGILEIDTMDMVCWINSGVIMVKDSWLLDCLDNEKLIERDCDYLVEKVKYKSVVYDTVIPWAQAMAKGEMPYLHGVYVCLFIKDYTFLDALTTMTTAHGGIFCMSDKIPDKSFFKVGAHPYLHAHLGPIFVLYDQTSDVAKYRNDPDKMYTLFTEEEFAAFMLKRGINVDTRPDPIPLKKFISDSFIANYSTSTFSQNREFNPEYQKRRQYQMRLLKLFFALSVCLESHYGSSSPVNSSLSLIYNDLSIIGRIINAIALQAGSIRKELKARDVITELLKTHAKSFDDLMKFDPKKLLNEMEGVFDASSKIFENTGINKEQLEKIQEYNDGLMKTAKIKLPDNPSKLMNKFLVDGFEQKLKLCDSDIVTLITNFYAVMDGETGSGEETTRAVQRNLGRIQDCLKNIKDFRKKMNPTESVTNGILQIFMFKDVVLFYQKTNDRSKEFHREINLLKSLASNSKKYWKTSNSSETILQVASRLETISDHENEPKPNLCAGFPGDDDLTKVLEDVKSPWFQKEIAKGKSIKDLEEALEPFGKFARKLGKLRKSWTSFDEKVGIEEKILNAFSERLKFNEDYKYLDSGENSLINAEAKHRLAWQTGLKEYDNSQMAPIEKISKPIQSIVDNAANIQKWCGSISVNYDLITVQHVLDEIRNLDLSFSGLDGHRKALMSIAHFDTLNSFFGEFDSFLAFQSDIESQYIELRNDTNLPTTVKDIVKLLKESPLNQKLSDTDYDKEVFDIIVTFHLNVLYFTEDDVKNEVRSFFEVFEKMRNDFFKLEKFVKSLGSKNEELLLKFRNSTKLSYTFGRGLRVFRDISRSYQLKKELLESNSYGAEIDKWISNIKDEHSHAGSFWGEPNRKQTIKKLVKDLENLDKTIKKFVSKDFETLRQALSAAVNVTGLQEFGYGFRDIMDRLLKYHNPDNLLNPESLQYALENSQKLADLDLDFSSHTGDLLAASLSFDNIREEFNTMFGLNPPVHEKTIKDAWLIVIIISVCVFLLLVIGVLLIYGLTEKGRNHYKDLYLFYFGKPEDFEKRWRYSLFMDRKDGRNALLDATKEINALNVKKEAKRGAYINVFTEFGNTPLHLSSKQGYPEIVEVLIKNGADRSLLNYQNRTPEQMIPENYQETHPEKVEKYKKIEAIYRKYRNKKFRKRVPEVFPSSSFHIYVEDKADIDLTNSFMAKFKAIVTPTLIPSTTHVIVKTDSDGVLEIDGFEYLTWIMNGVIIVKESWMTDCLKNPKLIEKDSKYLVEKVRFKDVEYDTVTQWSKAMAKGEMPYLFGVYVCIVMKEQKNVFHITSIVNAQGGTMCKDFPEKQHYNIGSHPYLHAHLGPLFIITDGSTDLTLYRNDPDKMYTIFTEKEFVHFLLKREINTDARPNPIPVAKEEEE >CRE07771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:217460:222089:-1 gene:WBGene00066774 transcript:CRE07771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07771 MQVLQNPAYQNRRMYQMHKFFLVVFVFLPRSHIGSTVAAEPSLTLIYNELSIIARITNAIALRAGSIRKDLKPRDVITELLKTHSKSFEELIEYDPKKLHDDLQSVFYASSDVFDNPIEIGKRIKEIKDINLYLGGALKAELPINIADLMQTFQDENFKNRLTICNKATVETIRNFYSVMNNEQDLQDGEQKTIAIQTNAVDVKNCLNSIKDYEKIVATTRKVTDKIGNILFFNGALNYFNGNIHKIKIFDQSIIYIKKLLRNVGTNWKKPQLSEKILKISPLLETISDHENKPTPNLSAGFPGDDDLAKISEDVKSPWFQKEISKGKSTKDLEEALEPFGEFAKKLGDLRKSWAEFDEKVDKDHPSINLITESLKNIEDFSILDTGESFLKNAAATHQNTWKSFETFDTSKFSINASRAFLAISTIATSAKEIREWCTGIVGSYDFITLHHVLDEIGGLQLSSTNLDENKKAIGMVENFQLLNSFFGELETLSTSQNNFYYHHSLLTQIDLGKTMNDAVTIMKSSSLHKKLHSTNYDTNKLEQILTFLQHLLYFTDKNAGEKAMDFFKIFEKMKKNYLELEKFVYNLGAKNSELIVNFKNSTTLSQTFGRGLRVFRDISRAYQLKKELLESTKYAPKVDEWIYERNPHEHLRDFWNDTDRPQEIQKLIDRLENLEKTIKKFVSKDFETLREALNAAAKVTGIDDFRDGFQDVADQLSKPSTLKHEELQFALENSRQLADLDLDFSSHTGDLMAASMSFDNIRVEFNTMFGLAAKNEKTVKNAWLIVVLISICVFILLVIGALLIYGLTERGRNQYKNLYLFYIGKPEDFEKRWRYSLFMDRKDGKNALMDATREIHAINVKKEVKRGAYINVFTGLFSFYVVFEKLQIFFPAEFGNTPLHLSTKRGYAEIVEVLIKNGADRSLLNYQNRTPEQMIPENYQETHPEKVEKYKKIEAIYKKYRNKKFRKRVPQVFPSSSFHIYTEDKADIDLTNDFMDKFMSIVTPTLIPATTHVIVKTDSDGVLEIDGFEYLTWIMNGVIIVKESWMTDCLKNPKLIEKDSKYLVEKVRFKDVEYDTVTQWSKAMAKGEMPYLFGVYVCIVMKEQKNVFSIASIVNAQGGTMCEGFPLKENYNIGSHPYLHAHLGPLFVITDGSTDLTLFKNDSDKMYTFFTEDEFVHFLLKREINIDTKLDPIQATKESDD >CRE07756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:211519:216860:1 gene:WBGene00066775 transcript:CRE07756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07756 MSYDSDSKRLVIESNWYESEPSNLESDLEVVYDELATITRITNAITLQAEGLRKNVKVRDVVVELLKADPTVFSTLLSFNPDKLVSAMTQLMDKIEKLRDKNIDWEKLEDARKWVERLRGSLEDDQESAKSLDTVTGDDEIHNFFDLIYKKQDDVKVWKDKTTAFITYWSKLSDKNDNTAMATWRSETDKIKELFSKLDELNTLLQGFPSLSLKFYSISAMLSNAKFYKSSDAAHFTQLRIIFGHMSGIWRDPTDTSEKAGEPFDHVVSKLKEMLPNFKRPEVKLTIGFPERKDMTKVSDDLNNEWFLQKVARGSSVEELRKELAGFFKFGELVTTCAESWEAFASGFKENEAIAGEMSEVMKDIEAYNGGPNGKTLLDTSLENYRRLDCGEKLPMNLVDFEELIKECLVIDSRVKELQGMFNITLEVEWKNKLFEELTQIKDNTTITAEEITDGQRFTTVSTMIVKLKKLETSLTAFMGEQSSFAKKFNHKTGSTDAITNGITSFTEFKNCIRDLVDSGLKPQELMEIVGFLKHVKSLVQLSSTSTVSNVLQKFGQMRKDVFKVSNIGGKRDSMGMKNKIQFSQACHGLKIILIPAESFVKNIKSNYDKTKNISDSPVLKLKNPEETLLSLGRGMYVLRDMAKALKMSDDLMASTKFPDYLNVRILKKLQSWKERKYMVNNLIEELESLNKFSAGVKDESLLTMRKVLDEAAKNVHGFPEMFSKIVDFIPTNSNITVEMKIVEKLAEIDMDFASHKGYLQAASLSFEELRKYYDEIFGLEEKQMKEESNYMLPIFICITIFILILIGLVLIFGLTKTGRKFFKNRYLYYFAKPKDFEKRWRYSFFMDRQDQKNSLVDAVHEINTTNVLKAVKNGAYINVYNPNGNIPLHVATKRAFPEIVEILIKNGADRTYLNAKNKTPEQMIPPDWRTSQTTQTAENPERFGEVEKIYKKYRNKKFRLRVPQEFPSSSFHIYINENVDDDQAEKFIKKFQAITTHEALPTTTHCIVKTDFNGILEIDTMDMVCWINSGVIMVKESWMTDCLDNEKLIERDCDYLVEKVKYKSVVYDTVIPWAQAMAKGEMPYLHGVLICVLIQDYPSREFFFNWECRTLHNFPFPVVALTTMVAAHGGILCMSDKIPDKFLKVGAHPYLHAHLGPIFVLYDQTTDVAKYRNDPDKMYTLFTEEEFAAFMLKRGINVDTRPDPISIVTEMED >CRE07770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:208313:209269:-1 gene:WBGene00066776 transcript:CRE07770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07770 MKAIYRKYRNKKFRKRVPEVFPSSSFHIYVEDKADIDLTNSFMAKFKAIVTPTLIPSTTHVIVKTDSDGVLEIDGFEYLTWIMNGVIIVKESWMTDCLKNPKLIEKDSKYLVEKVRFKDVEYDTVTQWSKAMAKGEMPYLFGVYVCIVMKEQKNVFHITSIVNAQGGTMCKDFPEKQHYNIGSHPYLHAHLGPLFLISDGLTDLTLYKNDPDKMYTVFTEDEFVHFLLIREINTDARPNPIIVSKEDD >CRE07758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:236689:240128:1 gene:WBGene00066777 transcript:CRE07758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07758 MFFFQCPAYQKRRQYQMHMLKFFLLVFVCFGPQLGSAGTANSSLSLIYNDLSIIARITNAIALQKNSIQKDIKARKVITELLKVQTKSFDELMVMDPKKLMNELETVFNISSEIAKGIVTTGDEFKKIEKFNFDLGYAMNTTLSSDVPGLMNSLKSESFRDKLMICKMGTVKVVVDFVRAMTSASSNDLNQIRALKEQGKEVKECLNSIVAYQTVLNTTVENIYQFKLIAGARGFCNDFYNDFSYFTGFHENILLLKNLATKARTIWKMPRLYERVSKMGQLLETISDHDNEPKPDLCAGFIGVDDTAKVLEDVKSPWFQKEISKGKSTKDLEEALEPFGKFARKLGEFKKIWVKFDGGIRQEGVFAREMSALLDVIENYSGLDNAEKFLEEAAASYKKTWNDSRNQPFDAKPLYQFDKKLKTVNEILTFARKIEMLCKQLVKEFDFITLAHVLNEIEKLDLSKSEIKDLQEAINGVNHYDTLRGFFKDFVTFSLHQTELNNLHGSLGDDFTTIVADGTAFIKNSSFSQMLNARSYDTLKFDETLQFFRKLMKFDDSSKKEAKNHFETFENLKNEYFKLEEFVKSLGSTSSALIVNFRNASNLAHTYGRGVHVFRDITEAYERKDAILKSISYDKSVNDFIDQIQGHEHLSKFWGSYNRDEKMNRLLEELVTLEKSMKPFVSKDFETLRQALNTAVNVTGLRGFEYGFRDILDQLSIPNRLNKTYRDPAIENSKQLADLDLDFSSHRGDLLAASMSFDNIREEFNTMFGLNPKNEKTVKNEWLVVVIISVGVFLLLVIGVLLIYGLTERGRNQYKNLYLFYFGKPEDFDKRWRYSLFMDRKDGKNALMDATREIHAINVEKEAKRGAFIDFYNEFGNTALHLSSKRGYPEIVEVLIKNGADRSLVNYQNRTPEQMIPENYQETYPEKVEKYQKIEAIYRKYRN >CRE15729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:3262:3970:-1 gene:WBGene00066778 transcript:CRE15729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15729 MKQTPMNKRDRQRFVYGLLKNKLPKKKTIYSRATICDLLYFGGRYGFSQEKGREIWYLINTHNEKLGIKEPLLKVLEAAPTSNSDEINGSDPRVLNGTIGVVFAISPPSGPVASISVRVENGNEYKFRQIRTNGRGALYWPFRPSYATTFHKVQGMTLRHVLIDTHHSMMDGMFYVGSSRVRAAKGLHIVGPTPRNIKYNSKVLEQQELKIEADSIIPLV >CRE15730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:6870:17413:-1 gene:WBGene00066779 transcript:CRE15730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-13 description:CRE-LIN-13 protein [Source:UniProtKB/TrEMBL;Acc:E3NFB2] MESDDELEFMKQINQPEVKQEEPDQEGEQANSKEGSPDEAGSSEGPSGIADLLETLDAVTEKEDDEVEKWNHMLVEKEPKRRRNGGGGSRESKEDDRKQFEEPKAPLTTFGHSARPRRSVNYASIERGDEAQAQSLVTEFRSSTNKRQKRTRDELDENYVEESENGRPAGRKRKTGGGGQKSNTQYQPPGSQANSTLATGRALFENKTAIDEYMQFVPRPTDEQPAREARKFGTMLEQLPAIKRSSGGFRKFISKIGDKSVLDLAGIVQRRCVVEADDHMLLRSLRHIYNSMPMSYRRDFEYQARMDFHASRMFVPHLSMPLSEILVTDPNRPPPRMLRENEVRVNCCSLRPIVSDMCQVIEHYLQFHDIVHFFGCDFCLKVFSSRYDLTKHECKEFGDHMYDLICKQQTLSLSAAYMYLTCSQCGLWLSVKASVAGQKGWTYFATAVKNHSCKALIPILVYFRKGVPEEGKNVRMQFQIITSFDIGIPLSCSECGIDEFESLKEIEDHFREKHGPKTCTKCQKQFGTEYTLRFVAGPSNSFFKNSIFRLHSLTHYSQSLQLANHLVNTATYHPPPASGRPPHVGFKSNIAAVGGFTANEIQAMETMEKSMNSEFVGPDENTIRKKVFRWKRKKSDKKENRENTGEFTLEPGESSGEEEFQKRLKEQEQEASSSDSEESPEDVGSGNKSGKKLVKRTRGDLGYEHIDRNAMFERSEAEKEARKRIEKMLGANVFMTRERLLEPEEALEILKHAETVKLPTLVCPIADEIAAAAMKTITMPLTNCIDPLKDILLVNKIYVYCGKCNHVVNSDIATHSAKCDVPEDSLIEIYHGASGPHAGVHCIVPGCDAHLCSVIALRSHASTVHNLKMSIPSANDEVPDAFQQTRYDRSMMQLAKHFHGLQKDQRTHLARMTDIDCLLPFTGLLDSKIQPRHQQPPVLQRQEPASNPATQRQAPAIQLAPGRPQLAGSTFDPRLHVPMKIPEFRTPYFPNAPNPKLATRCKPFYLPRSTRWYKCSWCEKDYENLDVFVDHIVKYHVHVCSNCGKGYATSNTKRVHVCTNAIAENRPPGMTSSGTCPTCREIFPIDIVYTHMLRRHFSTIEFIQATGEMLPQIRDMTVRPIPVPSVQRNFPQSIRDVSSGSAPGTSANGSADPRLSQIAVGLAPVYGVDIQRLPPRDIPFNSIAICPADYRNVDMRLMCYICELTYDNINELTTHLDEHPERWAHCPLCEDPVPVDGHYELQKHFMTKHVVKISGALCCAHCQESHRFMSSHLIYRCKKISKCSICGQKSPDATANRVHMQRSHIQALRRFQCTYCPKVFTSVGEYYEHKCLNPHRHIYACECQPIKFFNTPSEYCDHFDSVHILRNHCKLCGIQAPTQEAMVRHRPSHMKTAPAREMQRKLHLLMKSLYPKDDSGYMRWVRGGDPPTSFINVDRTGKTYMMGRLGPVNMENIPPYRPHSPPRTLFDALEGPAARNRNAAGTSVGGGASRVVAIKPKNLTPNNDVVMLSDDEDCVAFDPVTVDKNTNNVATSSSTSEIDRTVRVEQSSDGYGAVKAPGYIDEDDAELEVRKSPSGGEPVVKEVIDENGDDELAVVAEVENSAGTLPSNVSAGREKKFKCTKCSSSFYTNSSLKHHAEKDHKQDAGGTVCSLESYGIPKTTRAAYLCRNCSIVFEDQVKHMRHMQQHGETTLACADCCGIAFNHTALNNHLQAHRDKKVFFACGRCLVRFPNDLALMEHLKLTHETELFYFCKICALGSTNPDYVYQHISTHTGHHFTHAQRMGAVPVQLLNYEPANEKEFAMRVLQKAIQLHTPSDCTHRSMLVQCDTLVTCKTCHCFQQWFSYMAYNKHSEETGFPTFVNMEPYADHRKDFPLIRYLSDKNRASMLRFGNVKAIPGMPPLQPQQPQRFNNVLRPLAPQLENPNVTQRNPNIQANAPIGINGGAPVVSMTSNGARVIHPAQSNMTTLRPSPATQPTRRFIVNNRGNPATPPVAQTAPVMRNHIATSTKCKYSGCDKMLYSEFDRQLHTMHESETMWFCRQCGNSQKSEIDLFLHYVREHLTPAHAKQQVTGFKSNVFKLRCPLCTKLEFQSPKAFEKHIRTEHAAEFPFEASCCDARFAVKQLCDLHDKKHTEFLEANGTDAMCCPICGSLDMWSLPKDQTTECLQSHMIRHGLDYRSSCRCCLKQFPADIYQTIGIEHIREEHCFVNSESKQVVCKLCGQTGMTDEQFADHCRKSHLFNILVKSSHSTRGELVVSTGDEYDNYTGLKMSKAAGRLRPSTSGAGGSVNVAAALGESSRPTNGEAEVYTID >CRE15723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:23719:24866:1 gene:WBGene00066781 transcript:CRE15723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15723 MLSSQQIRDFSRAPNNFRRRVKAGIVKVKVEKLRPFFNSHTPLPHGIQTDADAKVFSKELQPGERKLLFDALRKITADQYNEHKKVVDVTIHHEDLVKIWYLNFVPMFIYGCLDEALIIIGGESINNLFSVYNGMSMLASAAVANIIVNLFLQLPADRWTDILGFKKPVLSNDQMNTPEYHYASFAAKLCGLWLGLTLGILPLFFIDDNLDNRAHDSQEFLSGTKSEWFVMDDQNRKVNADEYCEVFSGE >CRE15724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:38937:49265:1 gene:WBGene00066783 transcript:CRE15724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncl-1 description:CRE-NCL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFB4] MSESESLGGSSASTTAGSDEYTMSQIFGAAGRPIPLSTFGATIPNSWGSAVSQPMLAGSFGLAPTPPPVIQEIVKCTLCLEPYRDPKVLACFHSFCKGCLAKHLEQPERIVCPQCHMETQLSVQLGLDSLLTDFGLESVMNKQQQLFANLGLSESGAPTTVSAAIPVPNAHLHPSMVAGSDPSNPVVGFGFGSPSSTTSSSPPLSDSPTMEQQQHAQLAAMMQGIMNNNVAVANGAGVQVASVPAVHCSGCKSNETVRGFPQINGILISKQFQATSFCQDCNANLCDNCTMAHKYMHCFADHRVITLPPPTSNNGGIGSSASSTTSSSPSSSSSSHQMVAALGGKQSPDSLMLGSGKRPVLCLQHRASELVFFCVTCNLAICRDCTTTDHPAGQHQFELISEVADKQMLKMEQLIADARSKHADMLDMFKQVDSKQQILTASLHNSHAQLDETVSTLINLIQEQKKALAKEIDNAFAAKQIQLTMVDKRIQSMAEKLSQTIEFSRRLMSFSSPAEVMVFKQLLDTRLQLFLGFNPDTSGVLNTSCEIEYLGAAGLYSNSSSAVSQLLGTVRGGSSINNAAAQNDFLMPSSQTGMPPTPIGRTPSRVIPIDNQATRSPPHHIAGSLPMNAFSDSNLLRPNKDFGGSSQSLGPFGALGGGAADPFSSQYDKWSLGVEPSVGGLLEGSNVDEEKFQTLFPPSRSQIKRTKMIYHCKFGEFGVMEGQFTEPSGVAVNGQGDIVVADTNNHRIQVFDKEGRFKFQFGECGKRDGQLLYPNRVAVNRTTGDFVVTERSPTHQIQVYNQYGQFLRKFGANILQHPRGVCVDNKGRIIVVECKVMRVIIFDMFGNILQKFSCSRYLEFPNGVCTNDKSEILISDNRAHCIKVFSYEGQYLRQIGGEGVTNYPIGVGINSLGEVVVADNHNNFNLTVFSQDGTMIGALESRVKHAQCFDVALVDDGSVVLASKDYRLYLYRFLPASAGQPSSTSGQI >CRE15732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:53219:53818:-1 gene:WBGene00066784 transcript:CRE15732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15732 MAFFSYPIPFTESSAPLDENAAEKKQIFESARLLQQEVSRFLEQQVDLQDIPNRPRLPIFFVKGFNALKAKEAALSYKCPILNLVPYTIQMQLLTEFGPSEDYPKLDKNGFFIETPRPVMKEIEQLEGDLIDYITYHYICTDKMPLLPSSLFSTMMDIGKKLGTDLDEKAVDRLFSLDTVDLLEDDCIIGMLNKCFNLS >CRE15733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:55004:55612:-1 gene:WBGene00066785 transcript:CRE15733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15733 MAFFSFPILFSGSSAQLDEKAAEKKQIFDSAALLQKEVSRFLEQQADLQEDTENPVRPRLPIFFVKGFNALKAKEAALNYKCPYLNLVPYTIQMQLLTEFGPSEDYPKLDKNGYFIETPRPVMEEIEQLEVDLIDYITYHYICTDKMPLLPSSLFSTMKDIAKKPLTDMNEEAVDNMFSLDTVDLLEDDCLIEMLKKCFNIS >CRE15735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:60099:67852:-1 gene:WBGene00066786 transcript:CRE15735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15735 MGRKKGKSRQNQNRQNQSPMKAPTGFEDLGSPNLVEVKNSNFGEEVVIPANETSFDTRNQPKNAYKTVYLSGEDLSIGPAHSEKKFGSRGSNSSSYHNFQDLIDGGAYNQFRNSRQSLNRSKIDFESAGDRVMAGSRSISHRSLFNDDRSFRNSKNPSKTSLAGSKVSIEWDDMGDNGQVHISRKSSNRDLMENGYDEATRRPFVRSRTVSSGGSNRQNNNLLPPMSTSHREIDAMNEDVRTYHAGSEYLQNHLSKLSLHSNNDEFVLIGGHPPPLSSSTNSLNRIHSAPVPRPRSRISSYSENVENGFEKIPSITTSQDDLGRSISQQSLTEIDNGHVSRASSRFSMGTNSNTDDRLILAARYSQSPEAEGLSSDDRSGFKLPRSRQSSVSRSIASDKGVGFVEIPTLYSHPDEKFDDNEPGEVPFRPTSEHSCTPTTTTRTRSTLLRSDAQDGGFSSSSSSLSRHHDENQPQKALIVTSALTPVIPKRQPHRSASQSEESLVMKTPQTVQRAHEQKHIRSNIGENIRKTVEIAVQTGNSIRLRQNQHFGDVLSECAYLPEPNRFGMSPAKTASYIPQEEVIIPKNSAPEVPENSIRARLRHISDIYSNDGEGYTGRVETPILTSSVNQNSGFVHIPKAQPLKQIKPTYKKSTEEIVVPITMPTKNIQQPQRTVEIPKSTDLEKKKEEKSGDTIRKHIPRGRIRDLASAFDKMNDGAPVRPLEFKSQVHIPSAREENFWNMKKPVGGAGESNNDVRVSSPSIPLSGPLSGPLTGSSSSAFRSSTQTIRSQEDRRRSTSSSLHHQPLVFTRNTFLTSSVIKPGDKSNLPTNVAMTSNYSQDNVAKGDGFVSAFEKIPLQTSSTHLHSDENERESRYTTSPRSVKTALSYKTLERASPTKDSTFSEYSRKVEVPVRLEVPIGGRNEKMRKQRLTANTRAKTQEIPPTGRTFEFESRYESRAVSGDLNEPNLFGMQKPLFAASREAFGRDTAEDLRGGKLENWQRQHQWTSDFQMSESKNTNSVTSPIYHQHYQTNSITPPMPYRNEHKTSEVSRNKTTSIPSTRHSMSRHPYSPPATEDAGSRITHHTSQTLQSDDLFATTENSSIRGKIDRMFDFVESDDSRKTLQAHESHASTDTIGTNGINHNQSNSKFREEKFYTPRQNWSDSTTKLLGGVNKAAEMPQALKARTESPKMKSPERRNTVTVPELEHFDNELEYSLSQYREIQREQLRRRLPSADEPQFFRSPMLSAPHAGQSMHAYRTKDLMGTPTAGEKFRFPSSSSNFKLPPVALATSTPVESPSGRHQTSSYSIKENYSHLELNNNRNSTNHLHRPNETFVSSISGVSAVDKHADVMRKINRLSYMIENTQKQMSMNEAALVDAVKRGRRSQEIASHRSILISRETLRLQRQELRRLHAFSAVRRPPPPVAKELRGAVIFKNLIVHLNKLFVSRLTIKDDNSYSFVALFKFGHQVEATELVPLRVFPGEETCDKLFFVKQIQFSDVPVDFVVSIEIYAMRVPSAKPAELSIGSSLANKCKSLMGPPQKKPTVPVETAFKFRGRLVLDRDASGERCLYLDDVTYPLEGTVMITTQCSRLPESFEIDFRGFLTMFHTISNMASWERYWAVLRRGVVFFWKYPDDEQMGREPKMQIDLTKCTNNSIEKCSADLCPRPDSFVIEILVDAEDGTIGSVVEKKRVLLSADSSDMLSQWLFTLNQTLDVIRGPI >CRE25881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:2398:22336:1 gene:WBGene00066787 transcript:CRE25881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-him-1 description:CRE-HIM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NGD1] MRGSSSLDSLPGKGHLDTLEIENFKSYKGFHLIGPFSRFTAIIGPNGSGKSNLMDAISFVLGERPGSLRVKKYTDLIHGAPINKPVAKKCRVTMNYKYADGRKKAFTRGVNNGVSEHLLDGQLVTGQVYAHEMESINIFIKARNFLVYQGAIENIAMKNPKERTQLFEELSRSHEFQTEYDKLKIEMTKAEDDTQHNMNKRRGIAQEKREAKMEKDEAEKYQQLKNDLAAKSTMLYLHQLFHCERLINESREQISEQKKAIANLERTKVGKIRLKLQKRIYHVKTGRKSLKIEMMQRGELNLSEEQVREYEELKDRAHRESALVQRELLTSIQVFERKEAQDRVKAKEADVQRLERQISALGQKIKETEEENKALKDNLRKIESEVVIDKSAAAEYNKELVSVIRQLSDASGDSAEGERNQKRTEALDGLKRNFPDGVFGRLVDLCQPSHKRFNIATTKILAKHMNSIVCDNEETAARAIAFLKENRYAPEVFLPNEALVVNPINEKLREIRRPAGVKLVFDVINVHHQAARKALQFVCGNSLVCENQEDAKQLAYGGTDLRDRYKAVSMDGTLFQQSGVMSGGSADLRAKSKKWDEKVVKQLREKRDDLNEKIADLQKNRRREIEVEGERSKIASSEQRLQIWKKELKNLREMQLERLQNELEGLTAELNMLPPRIANWEEKVRKSEAAVQELQTKSNEVADRIFADFCQRVGIESIRDYENREMRIKQEMEDKLRSFDDDIQKLTYEIDFVTEQDGQRKVDVEKEKVSQIEKSYKEMKSKEKAAASDLKKHTESMKEAKEVLDEKKETASRLETEWNEVKKLAQTAMKEFTKAEKELLRLESLLTKKQYERHSLLHSVKLGQLALPLKSGSMADVEYEEDDGDDSSSQSSQQSQHDGPSVSDEQIQREQHIKINYDSLPSEYKDVLIIVLIRCINYNYHFQIDDDDGVRQATNRLNVEIEELQKNVSKMNAPNLKANQRMAEVREREAESTEELENARKKAKRIRQAFEKVKTDRYRRFQDFFDPVANTIDDIYKQLSRNTSAQAFLGADNMEEPYLDGIQYNCVAPGKRFRPMDNLSGGEKTIAALALLFAVHGRNPAPFFVLDEIDAALDNTNIGKVASYICDSAREHMQIIVISLKEEFYNKADSLIGIFPYPAACTTSGVLTFDLTRFKQLGINEMTENPPASSSAAAAATAAAASH >CRE15738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:74261:74961:-1 gene:WBGene00066788 transcript:CRE15738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15738 MKTTLILAVSLVFCHLIPSDGGQCQMGWSPLYPYCYKAFHQRVIFGEAEGICTANSAHLVSIHSLEENDLVKMLTKTGHFPVSDWENYIRIGLFYNTATNLWTWTDGSPTSYLNWAPGAPILIDDMQYYAALMPDRSYNYSDYNKEGGQWYNIKNYDTRAFVCKKLNYEH >CRE15741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:105320:105894:-1 gene:WBGene00066789 transcript:CRE15741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15741 MFIFLIIQKNVKFQNTWINSPLSNPCKNAFFSNFSAENRLLTYNSFCEVMGPETITLKEFEIWYNRFSRGEFDLDYDIGSNTSDLELSNLPNDAIEKIIESCDLKEQLTLRKVSRSLRSLVDKHKVAFKSIEIYPRHSCIFCAYNGKKVVYASENWDEKEEEGKSHYQKRRL >CRE15728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:106532:108249:1 gene:WBGene00066790 transcript:CRE15728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15728 MNDGENYWNAFRSHKRSATDGPTLSPWMITALQATKLLLFAMCNIVLTLGSVFSKLIVLIMATNILPRTHLIGKFSRKCSKAIVRRTSTTTAGIYLSLMLIQCFPDTINLIRSSLEMYKGRCGRLIRSVVILESLRATGLAILSFHVFPQLDLARCLVLSACFPLVAVLQRSLIAMMSAARNGRSFKNRLGRCFIAIPHVLMFLILMSSCYVWTLFDGKFTATIALPIGVICTSIGFWESWIDTTHAGTSYDELYRLKYAVRKMNNTTNAVVSLMRISCTVSVMVRNRRVLRRAWIPRKSSF >CRE11374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:52063:53031:1 gene:WBGene00066791 transcript:CRE11374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11374 MALKRYFGRRGVPQSILSDNSPTFKLGYSMMNTDIKTIINKSLTLTSFLADKEIDIRFITPFSPWKGGIYERLVALVKNMIYKCLKKITVSLLELESLLIETECIINCRPITANKVHTADAEPVRPIDYSIPQSSMVLPESSKTISEVLESGKTEKLTRRLIESTAAVRDNLWNVFSDEYYVLLRESMPRSTAHNKSPPTPGTTVLIVTEKVARYMWPIGIIQKLISSKDGKVRAVEVKIGQKVFQKSVNHLIPLEIPAEERQDQDAPAAGTPSDLHKQITPAKAPPQRTRPYLPRRAKENKVTIGHDQQLGSPSNQPLASA >CRE11375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:63410:69734:1 gene:WBGene00066792 transcript:CRE11375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11375 MIDAGPSSTKCSRKTALAIHFTLSLIAFLLCLLALISPSWQQVNLENGRTEHHHGLWLDCKRDYSFDYGRTREYYETLYRRDMQGSPFAAFFLPQLQCVYKFDYYIDQEDLYDHNHDENRIQNDAYQHLFLGWKIAALVGVGVGVLFAGCAVLMGVCAFCHRTFICACTVVITIATLLSLLGSAIFYFWGNTQDNKVIKEEDENETYEHVLGWAFYCHLLGTLLLGFCSVMGCCVTSISTSKSTSQLVSIELVENGDGSELLSSNGQNFKRSFSAVYRVDSSALRQWEKSYLKNAMEKGDVEQNFKRTASVPNFSKHHRKSRRSQRQSSGQDFFSSTSNITEISHFGSNITMNTQMTQQTRDTTQTAPAAVPCPVPPSAAGKPLKSALKTPIQTRREMIAPPVEPVVNTYEYCDSTIGVSSFLGSRTPTRPNHPYDEVYETIPGEDYLEPKSVRNNRLSNSTLSTTDMEHTQKMLQKTLPPVHGSSQSIPLPQKIPPKSTMIDDVASAPRPVSFNEDPRDRDINMFRIRERTRIEKEEREKFIKTAMLPPPPVLPVKPVKSIRDIGIDLSKESMGSCKIEEPIGRMGSGAMLPPKPVIEDRGNYAIHNPCFDDSDDFPPPPSEIGLNTFATKQKSITNLSFRGISAAQHIFDRPESMVSVSSSASNNKNNEANRRNPLTSISQHSLVNRRLLNVMPDEVDRSVGSSTILENEVHDSPGSYAQDAEVRLNLFMKDKNNQDETTV >CRE11376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:71921:73728:1 gene:WBGene00066793 transcript:CRE11376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11376 MNSHNLFGLILISLLGAGVADVPECTGTVQFNPPNNTYDVIWYPTDSSVAPMFPMNYECLYQINVPQGRSAYIELKFMPTTNTGAKNSVVQVIDFNQRVENIYHTGLDKFYFIAPGGRIKISTKDTSAQFQFKVQWFSEINSDYYEYANVTQLDTQPYITGNMFRGIQVTAETRVSIITIPPSESKNLESYLKGLRSFLIFDGPDANSTCLGTALQLLNSNRQYVTSGRVVTVISLQPSPDTSDSQLMFQDFVHTKDITEYRGVSCKGYCDPILMDGSKTPSAFSTFSSYGFAKVCLMSVSGTGNLDVYYGGKTDSKSNLIASYSEASNELMLPQLLRGNVVTYVLTGGIATVNITHNDDDSYVCRFIKKNQKGFITSPFYKTGLPFPPSGFDTIWIRSPDLYNYTFNVQDVDLSQSNSLQLSVIKNNSIVYDIVYNATNLPSLNQSMSAVGDQLFIEFLAGGDKKKGDYFINYDVVKVNLAPSYLSNFVAAVVSVWMLLAVIF >CRE11397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:77590:79367:-1 gene:WBGene00066794 transcript:CRE11397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11397 MSSLNFFCLILIILLGAGAADVPECTGTVQFNPPKNLDTLIWYPTNSSVVPTFPMNYECLYQINVPQGWSSYIELKFLPTTNTTANNSVVQVIDFNQRVEKLDFTLVYLECTRCIFSIYYTGYDQFYFIAPGGRIKISTKDTSAQFQFSVLWFSEINPGSYDYANVTELDTQPYVSNYLFKGNQVTAETRVSIITIPPSESYLLETHLKALRSILIFDGPSVNSTCLGTALQLLNSNRQYVTSGRVVTVIPLRPLSYWSDSQLMFQDFENTKDITEYRGVACQGYCDPIVMDGSKTPSAFSTFSPYGYANDCLMSVSGTGNLDVYYGGKTDSKSNLIASYSEASNELMLPQLLRGVVRTYVLTGGIATVNITHNYDICLTIKKNQKGFITSRDYKTNLNLTYRGDWISIYSNSLYKYTFKIQDVDLSQNNSLQLSIIDNKSSVYDIVYNATNLPSLNESVSAVGTEWDIKYSGDYDNIKKGFYINYDVVKVNLAPSYLFNFVVTAVSIRFFLCMLF >CRE11398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:80168:81938:-1 gene:WBGene00066795 transcript:CRE11398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11398 MSSHNLFGFILIILHGAGAADVPECTGTVQFNPPKNLDTLIWYPTNSSVVPTFPMNYECLYQINVPQGWSSYIELKFLPTTNTTANNSVVQVIDFNQRVEKLDFTLVYLECTRCIFSIYYTGYDQFYFIAPGGRIKISTKDTSAQFQFSVLWFSEINPKDYDYANVTGLDTQPYVTDHLFQGIQVTAETRVSIIAIPSSDPSYRGSYMNKLRSILIFDGPSVNSTCLGTALQLLHSNRQYVTSGRVVTVIPLPKPTYLNNYQLMFQDFKNTKDITEYRGVSCQGYCDPIIMDGSKSPSAFSTFSDSGFANDCLMSVSGTGNLDVYYGGKTDSKSNLIASYSEASNELMLPQLLRGVVRTYVLTGGIATVNITHNLEICRSIKKNQKGFITSPFYKTGITFPWFGYDSINVYSDTPYKYTFNIQDVDLSKNSSLQLSVFNNNSRVYDIVYNEINLPSLNQSVNAVGTRWTIIYACNKTGDYFINYDVVKVNSAPSYLSNFPVTVLSIWMLLRIIF >CRE11377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:82533:84140:1 gene:WBGene00066796 transcript:CRE11377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11377 MVEEAQGMNVQISMDEVLKALADGRLKLTTTTTEGAGTGLVDSISRRIANFEYEPEEGQAFEDWYLRYGDTITGEGTDLSDEAKRRLILSKLNQRDYNYFTNRILPDKTSEFKFEEIVNKLKECFPSTRSTFARRMEFLKIIDEGGDLGEYTDRVKKAYADAKFNEMSSEQVQCLMWIKGLRTNGTVDIRARAMQIMETRPTTTLIQLEHEIVKLLEFREDARNIGGCRTSEEVFAVRKMRTEKAKEQKRSSQRHLLKEKQRENEESSTDGKDDSDEEKPTRNHNQRKKKCHRCGGEHNAMNCWAKNKECFDCGRRGHVSKMCQEQQDRDEKSDRSGHRVKHVVAKTPKRRRDIYKVNGIYVKESKPRKGSTEVVKNGSMKRHVRRWPDEKFEKIQKNREETGKERCKSFVTRSPNPGRKEKVGAFERHDRWEKKNPKENSRMQHELKVSFPETLGQHSQWNRGWNQQGSSTPSLPIPPQTSFAMYPWRNNFGCSEMTGRYVWIPNCYEMRMMSGPDANWRGFHQNDVAPVDFRC >CRE11399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:85451:85888:-1 gene:WBGene00066797 transcript:CRE11399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11399 MVKIVKALKKGDYYNIDLIRKGLGASKNISDCLGDNLQCHFPKYMAFVVNTQRYAREILYGDTFPCFFDANGYEVAVNCIEYLSFTDDTLINLWNNPSTLQEAKNEILECIFKEFYGRPSSPIRRIF >CRE11379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:88330:88962:1 gene:WBGene00066798 transcript:CRE11379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11379 MEEFSDVVLVANNEKFYVSKLYLAAHSSYFKALFLGKFDESKKSEIKLTGTDAEDFQKYLEVLYGENAIDEFTVEGVLLLADMYDTILVVRKCEEFLLEKSTTSLKKKLQMSMKYHLEDLKKQCRNKIKSVADIKSVLPGDIHDLDPSITTQFLEIALSIQ >CRE11400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:90101:90892:-1 gene:WBGene00066799 transcript:CRE11400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11400 MLRHLILSVFLVFPVNAGLMDIIMNLPVADNITCIEEHQKLAECVYPHAPFYDYINKQKYDPRGENVLYNIGVMRKVLQTGKNVSDCLGHDLKCDLPRFLVFSLDTSIYAAEKVYGDAFHCFPNVSSITSAVEIAESCSDKLLYSGKEKLETLWEDPTTFEFSKNKMFECVATELYGNPACSIGRIVSLYHAGSAGIDWMLQASRFYPGFNESGVYTGPPLDLKFNAEKFCDN >CRE11401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:92614:93509:-1 gene:WBGene00066800 transcript:CRE11401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11401 MSRHLILPLLLVLAGSAEVFDIMTDIQDLRVPDSTACIQEHQRLAECVQPHATLINYVMSTFKETDVYNIGFMRKALQISKNVSECLGHEIQCPVPKFVVFSLDTAIYAGERLYGDAFHCFINAQGLEITENCVKSVSRGPSSIFWLDTSKFQTSKNELTECVAKKLYGVPSCSIGRIVSLYQAGTAGIDWMFEASQFDAGKPTGPIFNGDKYCDN >CRE11402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:95311:102536:-1 gene:WBGene00066801 transcript:CRE11402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11402 MKEVTEISSYDATNLVFYVNGKRVEEKDVDPKMTLAAYLRDVLKLTGTKIGCNEGGCGACTVMISHIEDGQIRHFSANSCLMPICGVFGKAVTTVEGIGSVAKNRLHPVQERLAKAHGSQCGFCTPGFVMAMYALLRNNPNPTISDINLGLQGNLCRCTGYRPILEAFYSFAVDETGTLKVSEENGCGMGENCCKVKKQNGTTNGTCGSNEVTPGYTGGERKRKIQLSDLSGCKPYDPTQELIFPPELKLHGYESMSFAYNHHHTKWYQPVSYNDLLCLKRELPHARLMSGNSELAIELKFRFIDLPAVINPRQVKELHERHLDGHGVYMGTGMSLTDMDNYSVQLMKELPKEQTGVLKHVHEILHWFAGIHVRNVASVAGNIATASPISDLNPIWMASNAEVILDSDARGEKRVHIDEKFFLGYRKTVIQPDEIIKAVVVPLTHGNEHFAAYKQAQRREDDIAIVTGAFLVKLDPEGLIVENIRISYGGMAPTTILAMKAMEKLKGQKWSQEFLNQALALLSEELKLPAGVPGGMSQYRLSLALSFFFKFFLEVSKKLNLTEIEHVDADVKIGQDVPETLYATQLYQEVNANQPAHDPLGRPIKHVSGDKHTTGEAVYVDDINVADCQHIAFVLSPIAHGTLNSIDYTAALEVDGVIGYLDASDVTTGAKMGHHNDTPVFVKDKITFHGQPIAAIVATDHEIARKAASLVKLDYSVEKPIVTIKQALAAESFIFKHLVIHSSLNDNEQVVKTDWSKYERVVEGEIDMGGQEHFYLETQQCVVIPHEDDELEIIISNQCVNDVQIEVAKCLGMAQHKIQTKVKRIGGGFGGKESTGSILAVPASLAAKKYGKPMKIKFERFDDMAITGTRHPFTLQYKLAVDENGKFIDLDYTAMSNCGHTLDLSMGVMQRAMVHADNVYKFANADITGKMCKTNLASNTAFRGFGGPQGMFGTEIMVKHAAEKFGWNHDEIREKNFYEEGDCTPFGMHLNQCNVKRTWDECRENSDYDRRLEKVNTFNQNNKFRKRGIYLTPTRFGIGFGLKQLNQAGALVLVYTDGSVLVSHGGMEMGQGLHTKILQIAARCLEIPIEKVHIHDTSTDKVPNASATAASVGSDMNGLAISSADDAPKHFNVSLLGNSSNKMGIFSSKAIGEPPLFLGSCAFFAIREAVRAYRIQNKNRDYFVFHSPATPERIRMACEDFVTSHVPSLPEEGTYTPWTASV >CRE11380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:106137:106795:1 gene:WBGene00066802 transcript:CRE11380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11380 MVHADNLNKFANVDITGKICKTNLASNTTFRGFGGPQGMFGTEIMVKHVAEKFGWNHDEIREKNFYEEGDCTPFGMHLNQCNVKRTWDECRENSDYDRRLEEVNTFNQNNKFRKRGIYLTPTRFGIGFGLKQLNQAGALVLVYTDGSVLVSHGGMEVGQG >CRE11406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:122130:127962:-1 gene:WBGene00066803 transcript:CRE11406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tra-3 description:CRE-TRA-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N746] MTKSDKIRYFGSQNYEKLQKICVKKKQPFVDTLFPPTNQSLFLEQGRSSDIVWKRPAELHPDPHLFVEGASPNDVTQGILGNCWFVSACSALTHNLKLLAQVIPNADDQEWSPKHAYAGIFRFRFWRFGKWVEVVIDDLLPTRDGKLLFARSKTPNEFWSALLEKAFAKLYGCYENLVGGHLSDALQDVSGGVAETLHVRKFLKDDPTDHDLKLFNDLKTAFDKGALIVAAIAARTKEEIEESLDCGLVKGHAYAVSAVCTIDVSNPTQRSLTSYLLGSKQKQNLIRLQNPWGEKEWNGAWSDDSSEWQNVSDSQLSAMGVERGNSDNNDGDFWMPWESFVQYFTDISLCQLFNTSVFSFTKSYDEQIVFSEWTTNGKKSGAPDDRAGGCLNFQATFCNNPQYIFDIPSPNCSVMFALTQNDPSEGLKKREPFVTIGMHVMKVENNRQYRVHQAMHPIATSDYASGRSVYLHLQSLPRGRYLLVPTTFAPKEQALFMLRIYSDEHIHFSPLTKFYIQHLSSTHISKILSHFLNQLFQHAPKLGLFGCKSAHSVTRLTIHGVNFNSQSTGTHHVYAILKDSNKSFRTKSLSGVKSIEWEEEFLFHKSKDRQQYKLEIWEDRKMARDHLMAQSVIIALIDNENRDTTLELTDPRGTIIGTVSITVSAFDDPMYL >CRE11407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:137460:144062:-1 gene:WBGene00066804 transcript:CRE11407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11407 MTKSDKIRYFGSQNYEKLQKICVKKKQPFVDTLFPPTNQSLFLEQGRSSDIVWKRPAELHPDPHLFVEGASPNDVTQGILGNCWFVSACSALTHNLKLLAQVIPNADDQEWSPKHAYAGIFRFRFWRFGKWVEVVIDDLLPTRDGKLLFARSKTPNEFWSALLEKAFAKLYGCYENLVGGHLSDALQDVSGGVAETLHVRKFLKDSVYKSCNSKTNFRSYLLGSKQKQNLIRLQNPWGEKEWNGAWSDDSSEWQNVSDSQLSAMGVERGNSDNNDGDFWMPWESFVQYFTDISLCQLFNTSVFSFTKSYDEQIVFSEWTTNGKKSGAPDDRAGGCLNFQATFCNNPQYIFDIPSPNCSVMFALTQNDPSEGLKKREPFVTIGMHVMKVENNRQYRVHQAMHPIATSDYASGRSVYLHLQSLPRGRYLLVPTTFAPKEQALFMLRIYSDEHIHFSPLTKHAPKLGLFGCKSAHSVTRLTIHGVNFNSQSTGTHHVYAILKDSNKSFRTKSLSGVKSIEWEEEFLFHKSKDRQQYKLEIWEDRKMARDHLMAQSVIIALIDNENRDTTLELTDPRGTIIGTVSITVSAFDDPMYL >CRE27722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:27744:28814:1 gene:WBGene00066805 transcript:CRE27722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27722 MTLFKSSNFTLYTHXXXXDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDDAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKKTLVKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE11409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:166580:169772:-1 gene:WBGene00066806 transcript:CRE11409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11409 MSADSVYSLASKAFPSYDNGTRIDLYNVLIAFETATHPAIFVNIIVSILGVATTSIHVYILSRKSMLKSSVTSIMIGVGVCDFMALLSSIVFNIFYLLIEKNEKPCDPPLPLPSFYAYWIAVVIYDLFRRSSTWLSVLMALIRWIVMKFGTRRTFRKVTLVSFGAYVVLGTVLAGFPISGLYYFRYDIVKVKDWVPWENCTGAPVNVTYVIYTLVQSTLYTVNDGIIEKIFQLVNGIVSKVG >CRE11382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:175678:178259:1 gene:WBGene00066807 transcript:CRE11382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11382 MKPKCQCSLDFRNVVKFQKITSPVSEHQYQNQFNIKLLTNSFTIPACLLLASTSIYAQDLSCVDAKFQACQYNMAMNLGMNDTVSAQLFKDYTIMYNYFLYMFGRNPGQTADMLTVCNSLETFNLCMHGNRGCLDISNLIKKTDINNAYAVEATYRQYSSFNCGPGINTLEHEGLTCPQRVLNTRQNILQGCVQTYITNTANDATNGCKYVLSVECTLHNLHYSDTAASCRRENGVATWWACEQNKVFVKTTFPSCPLACDEKFGPFFGASASWLDTHHKIVEGEHWFKMPDTVEKRDGELVTVDGVWLK >CRE11384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:187584:196195:1 gene:WBGene00066808 transcript:CRE11384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11384 description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3N753] MSLSRTSQLPFAKRQFFQVLARNYSNTQDADLVVIGGGPGGYVAAIKAAQLGMKTVCVEKSPTLGGTCLNVGCIPSKALLNNSHLLHMAQHDFANRGIDCTATLNLPKLMEAKSTSVKQLTGGIKQLFKANKVGHVEGFGTIVGPNTVQAKKSDGSVETINARNILIASGSEVTPFPGITIDEKQIVSSTGALSLGQVPKKMVVIGAGVIGLELGSVWQRLGAEVTAVEFLGHVGGMGIDGEVSKTFQRTLTKQGFKFLLNTKVLTATKNGNNISVEVEGAKDGKKQTLECDTLLVSVGRRPYTEGLGLSNVQIDTDNKGRIPVNERFQTKIPSIFAIGDVIEGPMLAHKAEDEGILCVEGIAGGPVHIDYNCIPSVVYTHPEVAWVGKAEEQLKQEGVAYKIGKFPFVANSRAKTNNDQEGFVKVLADKQTDRMLGVHIIGPNAGEMIAEATLAMEYGASAEDVARVCHPHPTLSEAFREANLAAYCGKAINSV >CRE11385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:227372:233957:1 gene:WBGene00066809 transcript:CRE11385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11385 MGSFVLIQLLLVLVAVNDAIHIDTTGCGTIKMCMFRPRGCNPNLDCTIGVTVQVIGQNQMKVQMVAATIIPPVQQQYVAVAFSHDKAMGNDSVSECVISNMGEFVGYEPEVYVSYNKGKSNDRVFLNDDEHDTLFSDLAGEVVDTRLVCEFTQQIMPQIDNKNGLIWNLNTPFYVMAATGSAQPDEVNAHELNRDSHSFPITSEETFNPITFGNNTIIPPVQQQYVAVAFSHDKAMGNDSVSECVISNIGEFVGYEPEVYVSYNKGKSNDRVFLNDDEHDTLFSDLAGEVVDTRLVCEFTQQIMPQIDNKNGLIWNLNTPFYVMAATGSAQPDEVNAHELNRDSHSFPITSEETFNPITFGNNFDHPNGFTPKINTNRIEKRPMRPTEAPKASASLIPLISFVLSLIMIF >CRE11416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:255508:265162:-1 gene:WBGene00066811 transcript:CRE11416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11416 MDSTRKKNYSKVNAHELNRDSHSFPITSEVTFNPINFGNNVDKDLLSSGKVKAIAEQFISGAALENSDDESESADRDPNIIRGSKKVQKVELNFENVGDIKNKWKEGNVETAEAKEAAERKELEALKGGVSVKDRFKERDGTDDQVVERSWNKDELSTSAAAEARKSFMAGNAYDAANPVEKTVKDLDELKFGQLKGFKDRFEKGEEGVEVQKTQVDFGECVQLGSIKATFEKGAVDESEMTAEERAELKKREIEAEFQRYKLARKSAKAQEEAEGAGEGGAGNEKAYNPADVEVKMAGKAFEKFRQIDASGASPVLPNQKKSSEPSKWDKKDDKPTAEVINRRNVEDETPEQEDQDAFDVKNLMNKFKNIGESGNQKTQTSEHRAELEALKTAAKDFKAKFENSGEADADAAVVEAKRQQMEEEFEALKREREEAQKRLEEERMAEAAASQGNEARDEDVAIKAEHASKMTAKWEKIQQEEAKKAEKGKMPEKKAGNARFCLPPPDKCSLCTKNVYRAEQFQCFGLLYHVNCFRCVDCKQALRVEKAHRCQKSGDLYCRVHFKLMEENQNRKMMSVEENNNNEIDGQVENQEQEQISENLIVI >CRE11386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:241548:243057:1 gene:WBGene00066812 transcript:CRE11386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11386 MCMFRPRGCNPNLDCTIGVTVPVMGQNQMKVQMVAATIISPVQQQYVAVAFSHDKAMGNDSLSECVISNMGEFVGFEPEVYVSYNKGKSNDRVFLNDDEHDTLFSDLAGEVVDTRLVCEFTQQIMPQIDNKNGLIWNLNTPFYVMAATGSAQPDEVNAHELNRDSHSFPITSEETFNPITFGNNFDRPNGFTPKINTNRIEKRPMRPTEAPKASASLIPLISFVLSLIMIF >CRE11414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:243219:247341:-1 gene:WBGene00066813 transcript:CRE11414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11414 MENTKMLILLLRPEKFQRYKLARKSAKAQEEAEGAGEGGAGNEKAYNPADVEVKVDGWKSIRKVPLNRRIWSIPSAAQPKEVVRIKRNVEDETPEQEDQDAFDVKNLMNKFKNIGESGNQKTQTSEHRAELEALKTAAKDFKAKFENSGEADADAAVVEAKRQQMEEEFEALKREREEAQKRLEDERMAETAASQGNEARDEDVAIKAEHASKMTAKWEKIQQKEAKKAEKGKMPEKKAGNARFCLPPPDKCSLCTKNVYRAEQFQCFGLLYHVNCFRCVDCKQTLRVEKAHRCQKSGDLYCRVHFKLMEENQNRKMMSVEENNNNKMDGQVENQEQEQVSDI >CRE11387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:247590:249215:1 gene:WBGene00066814 transcript:CRE11387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11387 MCMFRPRGCNPTLDCTIGVTVQVIGQNQMKVQMVAATIIPPVQQQYVAVAFSHDKAMGNDSVSECVISNMGEFVGYEPEVYVSYNKGKSNDRVFLNDDEHDTLFSDLAGEVVDTRLVCEFTQQKMTQIDNKNGLIWNLNTPFYVMAATGPAQPDEVNAHELNRDSHSFPITSEETFNPITFGNNGSCLIYFDHPNGFTPKINTNRIEKRPMRPTEAPKASASLIPLISFVLSLIMIF >CRE11415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:249377:253556:-1 gene:WBGene00066815 transcript:CRE11415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11415 MQKHCLNEMCFNNVTSRVIETGDYLGQPYRIRFTIFYNVYSSDRSVLSGVPQCSVLGPLLFLHLLTTLVTHTNRQVVDKDLLSAGKVKANAEKFISGVALENSDDECESADRDPNIIRGSKKAQKVELNFENVGDIKNKWKEGNVETAEAKEAAERKELEALKGGVSVKDRFKERDGNDEQVVERSWNKDELSTSAAAEARKSFMAGNAYDAANPVEKTVKDLDELKFGQLKGFKDRFEKGEEGVEVQKTQVDLGEGVQLGNIKATFEKGAVDESEMTAEERAELKKREIEAEFQRYKLARKSAKAQEEAEGAGEGGAGNEKAYNPADVEVKMAGKAFEKFRQIDASGASPVLPNQKKSSEPSKWDKKDDKPTAEVINRRNVEDETPEQEDQDAFDVKNLMNKFKNIGESGNQKTQTSEHRAELEALKTAAKDFKAKFENSGEADADAAVVEAKRQQMEEEIEALKREREEAQKRLEEERMAEAAASQGNEARDEDVAIKAEHASKMTAKWEKIQQKEAKKVEKGKMPEKKAGNARFCLPPPDKCSLCTKNVYRAEQFQCFGLLYHVNCFRCVDCKQALRVEKAHRCQKSGDLYCRVHFKLMEENQNRKMMSVEENNNNEMDGQVENQEQEQVSDI >CRE11388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:253837:255358:1 gene:WBGene00066816 transcript:CRE11388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11388 MCMFRPRGCNPNLDCTIGVTVQVIGQNQMKVQMVAATIIPPVQQQYVAVAFSHDKAMGNDSVSECVISNMGEFVGYEPEVYVSYNKGKSNDRVFLNDDEHDTLFSDLTGEVVDTRLVCEFTQQKMTQIDNKNGLIWNLNTPFYVMAATGSAQPDEVNAHELNRDSHSFPITSEETFNPITFGNNFDHPNGFTLKINTNRIEKRPMRPTEAPKAYASLIPLISFVLSLIMIF >CRE11417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:274083:276271:-1 gene:WBGene00066817 transcript:CRE11417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11417 MMAANPVEKTIDSRKEREGVEVQKTQVDLGEGVQLGSIKATFEKGAVDESEMTAEERAELKKREIEAEFQRYKLARKSAKAQEEAEGAGEGGAGNEKAYNPADVEVKMAGKAFEKFRQIDASGASPVLPNQKKSSEPSKWDKKDDKPTAEVINRRNVEDETPEQEDQDAFDVKNLMNKFKNIGESGNQKTQTSEHRAELEALKTAAKDFKAKFENSGEADADAAVVEAKRQQIEEEFEALKREREEAQKRLEEERMAEAAASQGNEARDEDVAIKAEHASKMTAKWEKIQQKEAKKAEKGKMPEKKAGNARFCLSPPDKCSLCTKNVYRAEQFQCFGLLYHVNCFRCVDCKQALR >CRE11389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:265480:272478:1 gene:WBGene00066820 transcript:CRE11389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11389 MCMFRPRGCNPNLDCTIGVTVQVIGQNQMKVQMVAATIIPPVQQQYVAAFSHDKAMGNDSVSECVISNMGKSNDRVFLNDDEHDTLFSDLAGEVVDTRLVCEFTQQIMLQIDNKNGLIWNLNTPFYGMAATGSAQPDEVNAHELNRDSHSFPITSEETFNPITFGNNTIIPPVQQQYVAVAFSPDKAMVGKSNDRVFLNDDEHDTLFSDLAGEVVDTRLVCEFTQQIMPQIDNKNGPIWNLNTPFYVMAATGSAQPDDCTIGVTVQVIGQNQIKVQMVAATIIPPVQQQYVAVAFSHDKAMGNDSVSECVISDIGEFVGYEPEVYVSYNKGKSNDRVFLNDDEYDTLFSDLAGEVVDTRLVCEFTQQIMPQIDNKNGPIWNLNTPFYVMAATGSAQPDEVNAHELNRDSHSFPITSEVTFNPINFGNNFNHPNGFTPKIKTNRIEKRPMRPTEAPKASASLILLISFVLSLIMIF >CRE11412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:235854:238808:-1 gene:WBGene00066821 transcript:CRE11412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11412 MTNVDKDLLAAGKVKANAEKFISGAALENSDDESESADRDPNIIRGSKKAQKVELNFENVGDIKDKWKEGNVETAEAKEAAERKELEALKGGVSVKDRFKERDGTDDQVVERSWNKDELSTSAAAEARKSFMAGNAYDAANPVEKTVKDLDELKFGQLKGFKDRFEKGEEGVEVQKTQVDLGECVQLGSIKATFEKGAVDESEMTAEERAELKKREIEAEFQRYKLARKSAKAQEEAEGAGEGGAGNEKAYNPADVEVKMAGKAFEKFRQIDASGASLMLPNQKKSSEPSKWDKKDDKPTAEVINRRNVEDETPEQEDQDAFDVKNLMNKFKNIGESGNQKTQTSEHRAELEALKTAAKDFKAKFENSGEADADAAVVEAKRQQMEEEFEALKREREEAQKRLEEERMAEAAASQGNEARDEDVAIMVEHASKMTAKWEKIQQEEAKKAEKGKMPEKKAGNAAQ >CRE21528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:62136:66486:1 gene:WBGene00066822 transcript:CRE21528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21528 MTEKSTGIPQNTATTGTSLGRTVTGPAKRNIDNTVYAANKHATTCKRFLTNLNSSNITPSTDQLKKLDSMLMETHKQVANLKALPTYVAGKKAHQLVSESANKDKFHKELDDYLNISQYLTSIATGEELIKQLENVLSEHGQTCSQFDESIHDANSAVECMEQLIIDPTTGELVEFDPEQPTDGEGVIEQLTRELEMKEKLLEKQMEEARENETDYVEHPTPLARTEIEQQHKPNEETVDLLADSNSEGKLLDSETDTEKVELERQTKAAEEQRLRDKIAAVDGQLANRGVAAPTPNVSINENKSTETTPHNTTHTHPTIPETNISSQPSQNNNNREKSTHPDVSLASDQTQLLILQHIERLERQRERDQKYHNDQVASLASSVERMFTVMHNANQRAKDTRQHDGEIMPSEDEDEYARRYMEMGDSQNDDSHTNHRIPHSTPRPKTVPAHLKNVTFDNIKSNLITFNGTASFYLFRTTFYDYVINNPHFSKEHKLVLLESQLKGPALQCLYHLEDPEESVRLTFKELEKKYGQKCDKMTLHYRIARLPFHATDFNRMADDLRAHRKLLSEMKERGMNVDDEMIIKSFCTKLPRHIKAKLVPLVSAHKDNLTFEMVHDAVEDEIAQLQIRETFFEEVEPEQRRANEIPAAIHAADAHQSHPTGNSYRNNNTQQFNRNTQRGGHQGGSAQRGYSRQPTNGSYQNNYSQSNNYSRNPETSQSPNNFTYTSGETLEEYYKPGYSGPNLSIIRYSFPFDKPEKQSQCNVCNLPHHPIRCPLPSTEFRKMLKTKGLYSCAATAEDATTWEHAHKKNSTEIWPTSHPGLDQSSERRLRERNSFVPTHEPHLNNSLSRAGAEAKSLLRVPQSALPPDSCFSNSHHCSTNTNQLSNSTLNPVTTVSVSTQPTVVSSKTSIPTEPTPSENQEFLDFLSQTTHHVNHVATAKYDESDRDYKLPFLCLHSHEGKPIRALVDTGATLSMIDHTAADRLGFEILGTTNITVTGFDSTVTIPSNAYKVPLKSKYSSTPVTIRIAGSPQLPPTRFRAPILSKKDLQYIQKLNIPKSELNAARNQTGKRIDLILGNDVIAWFNSLATTTRHILPSGRLMETSPFGFIVHPTPDLGLLIQATHKLNNTYIDQDDENYIMTLMDYSDPEDPLSRLIAEVCQMWNIQNLGAKSPELDETFKKEQRDLMDEFNRNAKYNEKGEFEVALPLNGNEARLANNYEIAIKRLIHLIGTLKKGTNLLKQYNDIIQEQLVKGIISKVTPQMMEEERKRGQVVYNIPHRGVVKLSSMTTKLRIVYDASSHKRDQLSLNDCVFPGPSILQSIFGILIRARMYKYLVIADIEKAFHQVQMQPEFRSLTRFLWLKNIENPATPDNIETYHFNKIPFGLACSPFLLAAVIHHFLNKNPNKLNEMTKENLYVDNCLYYTNRPQNL >CRE21544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:72678:77791:-1 gene:WBGene00066823 transcript:CRE21544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21544 MDNNFSDANPNNPDVPDVTILSIDDIAHDSRTTGNDSVEQNTENAAEADATDQEVYDGADTVKTAEQEQREASKKWSGEVIEAEPKNYIFVIVPEIKNFKCKEVRWVYKDSDKHIPFNGKDSLLMEIKYRADKGLTLDDEAIEVFKNKMGHVKVKRDPITAGESKPSIENGKLLVLEKMYSVNEDNTRIEAIHWKNDSKEILRGCFFTCDGKVIDAEIADRIVEHLNDFKKTIYEKAKENPNTDLKNSRPGPLRLEDHKVVIEWNSLSFITIKQEDKFPRELNRHFEEADIKDKSVKVEHLVFVVHGVGHDKEHLVRECARDLQKSVDNVKKSGGIFFLPIHWRLKFVHNGHKCDENCNKEYVSKVLNFPGASKFFEEAMLYTCTIHAPQIQKIVIEELNRNYKIFMESRNSKFNGSISIFAHSLGSVISYDILKADIDAFEKEVEGQLKFNIKRFFAVGSPLKRYLELAGKEGEDFRITSAEMEIHNIYHPTDGIAQPLDPERDPVEIPNAQQTSSWIYKIVEAVYNFVKNLVSKKANRQADTDDEEQNSYHIDHVLENEKQLRKVYSHSTYWSHEGVARHLVNSLYKKECTYATIDVPVAEKSSETSKVEEKITENCQEPVTINNQEKGEENSCADPDLIEKVAQRHEPISLDIEAIAADN >CRE21545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:78931:87384:-1 gene:WBGene00066824 transcript:CRE21545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21545 MIVSGHAVTLILIVSLLVSSEPLPNIRVRRTTDDNFNILIENLQKLARLSNGVSLEIGLLDGSIPPTDVISELLPIRSAKDIIDFNSIGIDAAISEIQLLRGKWDGSPEVVAIEDRLVFLESIRSSFDWAELKSSVRRDEYKKELGVVATGLRAKKQGITNIIGSIDFPKAILQRFSSNGEWSNGVDATKVDLAFNIITSSANTQTLEEVENIAKNLDATLAEFEFSRGIMVKSTFLEILDQERHLRTSLSVPVPVTDESLKKLGQNLKNFNTAREKTVSAHPQIKTIRDLIRRRRWYEYTAGLHEGAKDLDKLKSDVEDDWIGKHIKDRQVVRENLKSIFSNFDGLSTNLKSIESNWTFLSDKPGKLATKSVIHKLLEISDMPDNLKLVTTMTTLLHCNSNETLPIPNSNGNEEIQQNISLLNLNVGALLGFRHKFEYTMDLKNLSELKNIFTQAMNSTKPKPEVVAEVVRQINESDSFSSIMEKLERLRDDLSRIGEHLDSIPALAEEITGTFSVINNYYTSLNYTSFLDCLDEVGENSADLKAVIELGRKKVLEDSDVEKLSLVMKNIIDSKILLENTIRSGEKINEVKTREALGLKESLPNAEKISRKLGMAVQGLVAIKVAYEQKKNLKPLFGRSMNIPGLQKTFYRIDNFLATLNETRRKRESENTDFTNFKSLGVILNHADRIPGFVKTIKVSLNKTSPENKKLLICTIVTFSILSCLLLFVTVQIGCSKYQNAGKNKEKSRKEMVTEKSSQNSKSMIVFGHAVTVIVSLLISTPCEPRRVRRATDDNLNTLIENLQKLARLSNGVSLEIGLLDGSIPSADVISELLHIGSAKDLIDFNSTGIDAAISEIQSLTGKWDASSEVVALEDRLAFLEWIRSSFDWAELRSSVRRDEYKKELGVVATGLRAKKQGITNIIGSIDFPKAFLQRFSSNGEWSNGVDATKVDLAFNIITSSANTQTLEEVENIAKNLDATLAEFEFALEIISKSTFLEILDEERHLRTRLSVPVPVTDETIKKLGQNLKTFNTARENIVSAHPQIKTIRDLIRKRRWYEYTAGLPEGAKDLDKLKSDVEDDWIGKHINGKGVVRDNLKSIFSNFDGLSTSLKSIKSNWTFLSDKPGKLATKSVIHKLLEISDMPDNLKLVTTMTTLLHCNSNETLPIPNSNGNEEIYSNISLLNRNVGALLGFRHKFEYTMDLKNLSELKNIFTQAMNATKPKPEVVADVVKQINQTRSFPSIMQFLERLRSDLTTIQNYLDSIPALADYINSTFGVIDNYYASLNYSTFLACLDKVGEDRGDLKAVIELGRDGEILEDADVQKLNQTMKNIIDSKILLENAMRSVEKVKASETLSLKKSLPNSLEVSRRLGIAVRGLVAIRVAYEQKEGVKSVVKKIPELQKTFNGIDNFLTTVNSSRRKFRRKRDPTESDFKNLGGILEDADKIPGALIDVKAIKMDPTSKTYIEELRALDILENLDLNFGKFGFKKATKSLEDMDQFFLDYVKVMIAGEPTTRIYHPQPYEPEVTVDPVFWRELQEWNSATVRDGRKVLFVFYWVLAAIAISLVLGCVIFLIWINVKSCINKRRQKWEESLAPKNLQPVNQERKMSPEEYWKQPNLLEEQETQKTDEQLTTLPVAVVADIKLKNERDSMMPRQWCDQFLSGIRRMGNSKSSTPKTFRCPPESLVKITGFLDASYDACTMTLGPNTDWIIAKAPSRMRRGQDVRQSSLAKFWAIIFQHNVQLVVQLGRFVESGHVACAKFYQGDPGKVSIYGRYKVRTLSIHASGAPPQEFEMFRTMIYFTLYKIKITDLEDKKAKPKFMQIICYKEWNHHGPPRFSNTTVDIVKYCGIGNKKVLVVSPDGVERAGAFVAIKLGIDMCFKQEVTSLAQVIQPVVDVLYDAIQSSKEVFFCIYSITTGIANEACIPDLPEYDALLYYYQQFYHEQYPIAYSEDSDKVRRCLNDKRAMEMFCAGMRENRRRRDKQLKWKPKKRVEEQEDSD >CRE21530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:88697:93604:1 gene:WBGene00066825 transcript:CRE21530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21530 MEAILESWKDAPTLFPEEPKISKFLETEFLDLSNLTSGFIWKENQDKWILTNDGKQYESNYSHMPAKLKKKSKVKYFVMERVGGPLEASNMTNIEKYKKAYNIENLAVRCFKPTNKNDESFDILLEDIIDLVESLSDQAAENNLLQTFKSILEEQPKNFYEARPLYELSTFFDSLDIDKTQVTIIPDAYQNLTMQKTRNEFFTPITTVNSYGTVVMDTSQAMLYTLLKIVIGIDWFKEEQKIGADSMNEFKKKFFALMKTYEDRGNMTFVSKHFVDQQIEIAQKDEIFKQVVRKIPDCFKDGQNMNNEITRAANEANLTRYSLPTHARMKTFTLSMQWCSHIFGWINSFYLHEKPSQKLILLQAMYCKLALSGASPIDKQLQKDVELWTEQTTDQYKEVMAGVISGKPNVVVTNYETELKKRRKESSKTSNPQNGNTSVLGNVRLTSIVHNAQQKALEVLHFKPFLETSEAPPPGSGLPSDTSYSTSQLDTSAGKFEPAKEPVSLTVAAKTPKAPPLPEKKQNEAAPIERTKKPASLTIAPQTSEAPPLSSNEVAPIERSNDAKYWRDKCFTAGEHCSNALKAQKSAEKKAKEYETKAKRTEEVEKEMKKMKKEMEKLKENNEKMEKELEEANETIQKKKEKEMKLREELKRSFLDFDGFYFWLTKRLQKGSSETEKLTLEATNKSLEDQILNLKEALALSDQARDEALGKLQELQISQPSTSGPPSYKSKLEELMNLKDEFEKRLLKTQAKKMSDVLTAESDDPAIKEMVEKELGIFRKSYDEYLDILLRNIRDLNKFLGTRPLIPLPAEPAIPEKLWEAYRAHTDPHKPLIGKNECHFCYGLIEPSQRTAHCGHEKCEAVLHFECARNWYTTGTKSVECMYCKEPFLDIKAVKRGPWRC >CRE21547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:99224:101729:-1 gene:WBGene00066826 transcript:CRE21547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21547 MTSKPHRPVEFNFSKLPLLALEQIIDGMHLTEIVNLSLCSKRSKKTVATARTIPKTHMDIDIDRNHFRICVYTAGNKETVSIWTMVDKNADQGDNREFSVDGIEGLIRTNPSSTDTYCEAFNFTSTPQRIAASMAPIISHLLQTLPNCQVKFLNVDFLRLFHFNFETALATVKSAEIVTLTNQFMRYQSKYILTNIRITKIYENRYQNKSPCSSEFPDKLECSDKIECLDASFIRGDFLLRLNCKDVLLRNPRFSVDDVMEFLKKWKNSTGDEMRRIQCIKLELTGVVLAAEHLEELGAVKWDTKKYPTYYRKNEQWINCADGVYIQQSNGLKMVIKPFHRGLYFLVWRE >CRE21531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:105491:111808:1 gene:WBGene00066827 transcript:CRE21531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21531 MAAKHKDTRYRVIYAKDYQIESRNDNVMRDFEIYHQDTLLRSNIDYLTDDLMAIHKYTFTLLDKQDIDIFHTMLSNNCRRNENDASGMAGILRNMATMSKNHRKNLYIRCLPPMLAVSPESYRVFAEDVLDVVPLMLKRQHVKLSAKHMRILNVKNGDWRKKDDDKSLDLTLSLEQMNEFLEKFDCDKSLLTLVEDPMYTSTRIDQETHWGYSMTCAPDLTQIIDPLQAAAFFFHSVVNGVDWSRKEPCLEHGPECLKTLKERFLGILNGYALTNAGYYLSWKKTCEDCAKVRKDSCFLKYHARRITPDYALQDFQPSHKFPISHFYHTGVYYNLLDETDRFPEHVTHLHVWALRFLLQLGWVQQFFGTEHKPIRDLIANSALFLVPVEDRKEIEGMMLKMFKDGNGQKLELKYFEPLGLREDPNDEPQKPFQPLQLTAPPGFFEKRSILTNKVVRYQKIIEEPVAPRVPSYNKMLSSLESELPKLIESLYKSLEIAENGSAPPDDVILIQKKIMSMESLLFSINEKVEKGREPTKSEMSKLQSILVEIPELHKKLLAKESNSMSIDTKEKTTVRMPQNASTDIQKAEVSGSLSILAEPPGLPKELSSLESGLPNVIEKTQITGNGSTVVPEDLLLMDKKVSSLEFVMSCVMEKIEKGEEITPEYAESLSILADLPELHQPSPGVVKKLVSGESTPPGMLAEPPGLTKKLVGPLVDSLSLDTPQEKVTVGMSHQNNIEKTENGSTSSVVSGSLSIVLPPPGLLKPSLDRNLISETEKCESVGITFELPGTKSEMAGVAEIAAGNSSTSSKLGVTVEKSDEPEKTENGSEISAPPLGLDKKLNASPDNQKAGNSSRTFATSGSLSILPPPPGFPQKLTSGPPPGLDPAKIKFELPGMNTKLSSYESTPPGILTKLSVPNRNSEMARVAEIAAGNSSTSSQVPTLPDVPDEPPEKAGNESTSSEVPLLSEESTFERQSATSSILAKPPGLHKKLSSEMSEIPIETPSLEKKNALTEAQTPVVLEGRLNAKLELLEMAEELETSETSAPPFFQAKLFCIVDQPEAKNGSVSSEEPESLRMNPGRKTKTPPVKIPWEESNRKVINLYTPKPKKTKVIILEKETKDTVKFDKEFASFHPEVVAKPLFLIRNLKTVLKKMKEEKSQMPGTSTVPEAVPSRNQDPLEEKPAPLPKITVTQVIKLWDYVWLISNQCEEENRKIVKIVADYNEAQFPVLEESKLEATVTELAKNLSNLEMERDNNLAKKKILLARQEQLLKLNSALQRAEGDMKEKIQKIVDEHDSQTEKNSPETRKLMEEKDVIISQLKQTNKALSAQNGELDATIRELTGKIREMRR >CRE21533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:118776:122217:1 gene:WBGene00066828 transcript:CRE21533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21533 MAQAISNNEATNIPPVLKSVHLPQKMEEAIVNDQISDLNLDLERLKLTDTTPQPNTGKGNNAPCLADEVNTKKSWKESEENAGAKSNNAPIPRVDNNEKIVLGPDAIGILMEECRSLTETEIREAKREEEKRKKVLDANNFGDPQNIARVRESAVYRTIATEPFRFHKPSDMKCCQVRWLYYDSKKKLHIPLKGKDSQLIEIKHRQRNGMALDHEAQRIYEEYLVDYNFEKALDSTDGKVRLTEQRSTDTEDESDASPKPLVLNGLYKVNKKNSRIYSVYWGNESMKLVRGTYFKFNGQPIGEELAKNIEIHLDRFYDEKYRMEGSVKHVESEKLSIPSPLHTHGNLIKWSPTFEQPTIQGRGQNPTRLVRYHELANWTDDYPKTEHLVFVVHGVGHNGKGKAVVKCAKLLTDGVDNADRKNSGILFLPIHWRSLIKNEPTSPCENDLVHDFHPLINFFLNDVKLYNSRNHGPKIRQIVIEKIRDIFKKFKVNNPEFTGTVSLFGHSLGSVICYDILTMKSLECERKSLGFKVDRLFAVGSPLKEFLEKRGGPFSEEFLQAAHSTRIYNVFHPRDLVSRRLEPFVNDMYQVADSLEIPTSVASNWSFKLLKLVGSVVWNPIRWTWTKCSAARMRRGRSLRQELPYRIDYQLQVSETKNDRWDELQPHSIYSSHVGLAFFILNILRNENELVLRRENFSAESENVEEEDKKEFKMQEQLFDKVKINPKAVSSTIS >CRE21548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:124351:129456:-1 gene:WBGene00066829 transcript:CRE21548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21548 MSEKFRTSLPNFWAILMLILLLSKLTSSDFPDYVHPRFKMMNVSIPRRRRASKGEKLPSLVDNYQKLARLSNGISLEIGLLDGSIPSETALSEILQMGKATISDIEELDSNVISNGIEEIRKLPTALISTTDVQKLENRLVLLEDVRASATTLKAFASVPVKKTEYDAILEKVKSLDTLKKDLEDFKADVTTLISEFNPIKQSSNLINNESVQKSARRVTIVSSKLEDIETTAGKFNKTIDLLIESKKIVDASKFLDLLFLENEVRSKLRTRIVYEDEKIQLLVFTLQKFHEASARFSGPQMALNSIQQLVEARNHPRMRSIEYTSGLPNGPQDLEKLNTDIDAGWVVERIAESSVVSENLKQSFAQLNGLISLLKTVEQSWSTLQEDSSQHDFGVIRSLGLKLSEVVSEDQTAFSNAISNLKKCDEEVKMYPDHDIKDLNTTSEAVKALNDKISGLPSFGYIDYFKNLATLKTMFDTTGKSEEDTKKIARNVMEKIGKDASLLKFEEHVVILLADLTTTVDIITNAPALTSPINLKSVTDHHNIINIPEYLKIYTCLDDIKMGTKSPVKSTIEFIQELRTIQIPTAPNAIINSVLTSKNDLVTAKTSAEKMKEVKTREALSLKASFPNSLEVSKTLGMAVRGLAAIDKAYESKDRLDTLLQKIDEIVKESTVLAPENQSALSKLVDLKKVFAGIDDFVKEIDEMENIRKKRAIESFKNTGKVLEAADKIPEVKLLDVVAAKNAMEKLNAASSKKYDQESAQLDILEELDLDFARYEFLEAVNSLQALDDFFLNYANAMAAPVPTPAPRVVIPSSTDSSDVQNRAHPETSDMDALEVTTADNSTRNVIIGVVVVFVGVLFSAAASIGGVICWKRNKQEKPLPDVDPDMPEHVVIQGKSSADPAVPAAPEVPAAPDARAAPAADAALVVAPAADAALVVAPAAILPAPVPSDENMLTAIASSVPNLHNEELQPTQWTDEDIAKRMKFIFDTQKAFEDSMVVNVELPNEFPKSYLKYYDTNDERKATAELKEENKNERWAPNRVCLKAHRFPLAGFRETNNFINANGMKFEEYDWWSAQAPTNEQFPGKISTVEKTLAMFVQGNIGVVLMLCEFKLINHEDESYQNCAEYFSQEKNGTMTHGKLTVTTKDRIDQVPDMTFQKDHVLFKLEIEENDTKKKHAVDVLLTKDWLEKSSMITPLSVISKPKCALDIIRFADSYKSNVCVMSKHGTGRAGTIMAIKNALHLLETHETITLYDIIEPVRAVRFGAVENELQLFFVIVTVAFYLLEKANLACMPSYDFLDFFHARFYQADFERYAPLTNRDKKWKSEAYKDRVNLLRRYVNEAKTEAIKISKKNPNNGTTYEFDLTKREMLKEWDATEVRKTRADNDQPRGLLQQQRLQQKQVATKKTKREKQEKTDVGEEGEDPKKTEESKKNPSKKEASRKEASKKNPSKKNPSKKEASKKEKSKKNPSRKEASKKGAKKEESKKKK >CRE21549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:131404:132616:-1 gene:WBGene00066830 transcript:CRE21549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21549 MSFKVPELPDHISNNLFYACMSERCQKFIVGSLKTNSLIHYSLISSTTKHQAESINRIACYIGFQLNHREITIYSDLPDMTCMKFTIPPIPPRWKPLSKIPTPTSIATYSFRRMTIWTKPEYSWTCWMTHLADVLHCRKLKLVFDEGADKYQVEAFKKIFEEKFEVLSVRVERNQMEFTGQILRMLHPTRELFLNPADADDSIIYSIPGNLEVLEFGDKFPLTISHLEKLDSQNIKIWLLMVTDFDLNTFLKKWISLKTNLNLKQLIARFGLVSISEGYQQRILNGIPYYLVEQSEEDKSIGKVVGKYQIQRRDGRRAVLTFDTTIFPVRVKMVIVD >CRE21538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:151472:154835:1 gene:WBGene00066831 transcript:CRE21538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21538 MESIKENPPITNPPPKHPSTEDQLPLVEVKPSSDDISWKLFNIKVFHNELKFGSSHCLLRHQGDFFFLKKEQRIIMKDINKNYEDSEKSPEYKQGLVDQYRKLLTSFKNDIEMEKKTSQPLETGSVKKGNAKVFRSNKNSKKQDGTKGPDNKGVPPQKSIDESVLPSDLNNNARKTLDGKGSDQKRMWFHRRNKKKSHQPAKENDSLYQEQIAPEPTPLTEYQSCRKNSVIRASQLSLCSQASSTKNKSRNRRRFYYRNHIKEEKNEYDCPPIGEGSTTSKSIKSTKSSRNARVNDKKEDSTAKGITKEPNLVPETE >CRE13736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:12042:14759:-1 gene:WBGene00066832 transcript:CRE13736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13736 MGNVLRCLKALYDPNYNLKQRERTVFHDYLPFDLECLSIKKGSTKLKEILHRNTSEIGKVGNIYSNLFQIIFRHDQITLGTTHTVFRHVDAIPTAKSLDSSNAINPYKEQDSFVRVHKPVKKRNKFVNKEGKKLVGLSRRKMKAINRKLTREKSIIENPQQSIKNLFELSKKDLKIISRLTHLYENQGKLKPQLNKFRFQLKEFKSQQNKLESQLNELRSQLEELEIELNELESQRKEMKTKLKELRSQLEKLEYQLNELKTKLESHLDDLESQRKQLESQEKDLKSQHKRRFRRWREELVVFFEKRLYSEELPEYPIFYTFTDRGLNLFWKHYVSENKYLLLVLADGKQHEIGTVITAHDLCRKKFRKEIRSSKMSPEYWIEINSCCIHTHAAEKITENGFYVRLDEAAITHVVGRPSYGLWDDWDVQFSAVFDKLKLKVKYHKRNKFLKRCLNRTHTHPSVKYCSGENGCSNIPFGGLCTELLWNFRHFNPYEEFQRPRILPELLWNFRDFNPDQEF >CRE13737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:18234:28096:-1 gene:WBGene00066833 transcript:CRE13737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trp-2 description:CRE-TRP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NEW7] MSSSVSVSEFRMIEDDDEKDGGSTSWMDSDSSRLREKQFLLSCERGDIGSVRKLLAGISTENFNINCLDPLGRNALLIAIENENIEMIELLLDHNIETGDAILYAIGEENVEAVEIIVEHLEKMDKFDAERQGVEITEHSAFTPDITPIVLAAHKDNYECIKLFLDKKGTVPHPHDVRCSCPECYVAREEDSLRLSRSRINAYRALTSPSLICLSARDPILYAFELSWELKRLSFIENEFRTDYEELSQKCQKFCVHMLDQVRGSKELEVVLNHTTNAWHDVTSANGGNPEKLARLKLAIQLSQKRFVAHPNCQQLLLDIWYEGVESVRCTNFIYKLIFYLLGMMSFPLFSLVYLLAPHSSMGQFAKKPFIKFLSHSGSYIFFLILLIMASQRMNVIDNLLRTDDVDRKETRGPPPTVIECAIFLWVLGLIWVEIKQLWECGLYNYCRNLWNILDFITNSLYLCTTALRVVSYVQVEIEALQPNSVHIARHLPRRDWDAWDPTLLSECFFATANIFSSLKLVHIFTVSPHLGPLKISLGRMVIDIVKFFMVYALVLFAFACGLNQLLWYYASMRQNECNLYEQYKNEKSLSYKYEHLKESCDDKYKSCSSIYHTAETLFWALFGLVDLTHFRLKEDHFLSEWTGKTIFGSYCCCSIIVLLNMLIAMMSNSYQYISDQADIEWKFARSRLFLEYFDDTATLPPPFNIVPSPKSIYYCLNYLTKKLCNCTKLQQPGKQKSMRNQKILRSVNDRENNYRFVTRNLVQRYIAQMQRMKQQSEGVSEDDVNEIKQDISAFRYELLGILRNAGYQTGHTDINQKTSSRNKKKTAMAERRLKNSALLHQEFPVPQMFQSGQRNMSISSIHSNGRFKKSPLQKQAHTSFDTSSLNDDTDELL >CRE13738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:32197:35761:-1 gene:WBGene00066834 transcript:CRE13738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13738 MHVSNNSSIGAYANISDLRMTVSCPPETFEDTVPVKQIKKEISFRIERPERPGETSSHHGSKRSSVSYSGDEEYDDFPEDDIATRNQRISENLERFEQRPASTSKSQNTVQFSFDNLEKKKPKVVEQHPHPETDRFGFFVVERGSATPPLDPKVLRRREKKWIQMLDNWRYFMDEKFELVKTRCRKGIPPSLRGRAWKYLSGATYQMEVSSNRFVFDYCVKQAGDPKWNEDIQKDLSRQFPEHEMFARVGKYGNNGKNDLFDLLKAWTVLHPEEGYCQGQAPIAAVLLMHMPVRDAFYCFVQICHKYLPGYYSSGLEAVQVDGDILQKLLRDKSKLTYRHFKMNGVDPALYMIEWFMCVFCRTLPWPTVLRVWDMFLCEGVKILFKVALVLLKYGLGSPSQIKQFPDMPAIVTRLKNLPAEITEEEFIVQKIAEFNLNDADMEKLHFGAMKTRQMRFD >CRE13740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:41780:45407:-1 gene:WBGene00066835 transcript:CRE13740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13740 MEDDGPPGSSGGSRGYWKQNLYLLQEKAPKPNAVQCRRAVQHKPRHFGAEFHGLIDRNEAEKMLLEAGEGSYLVRESNRSRDACTLCMVFDGKVMNYKLYYDGQFYVGEKRFDTMDLLVADGLISMFVDLHAADYIKRMADEAIYEDSPYSRYTNAATTSDNIVRRPVTRAHNFSSYTFKAPHYCDYCRNFLWGLVHQGMRCEDCGFAAHKKCSEKTLQDCVPDCKYVKRMFGVDITTLCMAHGADIPPIVSVCINEVETRGLTVEGIYRVSGSYDHMEKLRQQFDSNQNVDLAQVADIHTVCGLLKLYFRLLPQQLIPFSVHKQLLVAYQETNQRATHERERGLRKVMMELSDANIITLGAVLAHLKKKID >CRE13732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:38940:41255:1 gene:WBGene00066836 transcript:CRE13732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13732 MTPPSPKKVKINVKNRSIVWKFFKGKIDPSMEYVDCPSCGKRLKYLGTTSGLKNHIENMHGKEIEEIIQKERTAASSDEKSNEEANRELAKAFATGLVPFRFAENPEFQNFLQKIPDDYVLPNAMKMRALIDEIASNHVSKVKKQLEPIGKFTLLSDGFSDRKRDFHFYSAHVSYIDASFKRNITFLALRAVKKGDSASIGEAICDILNEFGLEFSNCSTLTADAGSPLVSLADRHQIDRIHCACHVLNLIITDFGKIKEVNKIQMHVQKFARFLGSHKELKSNLKAMSSAKGINVPLPLPIAPTRWGGISILLCRYLAHHESAKNLADFQHLLLKSSEIDQVRQLSIILKPVHDAILRMERDSSFASEIVPTLIFLQTITLKSDEPLAQKLADFVRKRLDTCMSNYRLLSTMLCDHRYAYVKKWIEPLEWKDVEAWVETYDQAPPTVINAQRSHVDNENIDEFLNSSLASDSIVQNDIKSEFVRYRALLTTNRPTCSSPLDFWKTQSSNFERLSVIALELLASPASSSVSERTFSRCSDFVRQRKRNRAKLETLNNLLTVSELSKIKRQDRAEFSDNENCFEQSDDEYSDSGDESEDDDDVISSQRGRLDEEMNDSDE >CRE13733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:45691:51947:1 gene:WBGene00066837 transcript:CRE13733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13733 MRIPQLPLRISKRFHSREYRLAGREQSVSVDFDGKNALELKSGHIARFASSAVVASSGDNAVMATVVQKKEKQGDGNGVPLQVEYRKNFKKISKLFFRNFFFHFQVICKPLALDENADQIMLGINAASTALHISSAPFSGPLAALRVTMSPRGTFQINPTQEELREASLDVIIAMKKNQKTVMIELDGKEVSAEHLEQACHVAFENVEKLHVAMEKMAVGVEKDVLPTRNLGKIENILRELVTERVYYVMTDSTHDKISRDQEIQKIYRDVVESKELQSHGSQDIYPCYSALVKQILRETVLNTGIRCDGRKITDFRPITIQVDMYKKLHGCSMFQRGQTQVMSTVTFDSPAAAFHPDSVAQLLGSQRKKSFMLHYEFPSFAINEVGISRSSNRREIGHGALAEKALKNLFPKDFPYATRLACQVLESNGSSSMASVCGGSLALFDAGVPMKSAAAGVAIGLITDQNEPDSKYKVLTDILGIEDYAGDMDFKIAGTKNGFTAAQLDVKNDGLTRQQLKESLQAARSGIDHVLSKMNIMRDRPREEFKTTVPIIQSIRIEPHKRVTLFRNNGYNCKLIEAETGVKISAEDENNISLLAQNKQKLQKAMDMMGEVLETHGNLDFAFGSIVQAEIVEIVDRGVFVTLPGSSQRIFMNNNHLSLNPIRHPEVLGLKVGDKMSTTWFGRDEHTGNIRLSRRTLTGAAHPGTAQKPKK >CRE19702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:223980:230245:-1 gene:WBGene00066838 transcript:CRE19702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19702 MSFGQDLTLDFLDLQIKPRPFPPKEPISFICNTFVQLVFFIFCKLQTQLAAIKTNELLVDSIHLPTIYSFFFAEMIMWFRNVKRKVHPFRFFMIGFAFVAAFLFTANIRTVNQVMLPIQNMNRGFRLTSGDSEGESTIDKLLNMNVFGEDEPKSEIELNPLLTYHPFNNTCKFPVPNVYAEDIMPFHLSERMRVLKCEIKVKDYASMDSEGYIYAHPHFVDWPRIEREVECKVDIIEGGLRQDSRNLTKNSIFVKKTVDIPSNERLFVNADAFIVHCYNMSKKAVNPKEPIWKKPFPGMRDKNLPEDEVLRVKDLYSYGDYGNRLRDQVAKSAERYSIDILGFDSTARTMFLRHMPRTVEVMAKLDYHYLYGYTKVADNSMVNLAPILVGDMEEALKKPKFDSSGDININWILPTEDKMDPTKLNFLWKIMKEKYGCETLFNEDISGKSLGLFNYPPTEFQPGFTENPADHYYRVYYLAVYEKWKYEACRDGEQLQNEFINIWKRFAHRYKDICHFGFTFVTTITHEAGLVIEILDEKLADRLSQMHLDGDLENTLSVIMGDHGNRIGAVQRRYHGRIEERMPLMAVRFPTGFKEKYPVEYRNFLDNKFKLTSNFDVHKMLHDFVHMRLGENKAKADEGRGISLLDVIPNTRMCPDVTVAENFCMCMIDVSNVTVPLPKIEKKKVADQKKDQFDVLKKWLKEEKLDDCVDLNSLETGTDFKEMAINPYSRFGLRTKKNATAVEMMKERHKKNAELNYLNFEFTVTGAYTNSEPVSMLVRTELFVEKSTSQLIFEPMIQETPSACHFVSIFDVCQCLRLAIPK >CRE19667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:221062:223710:1 gene:WBGene00066839 transcript:CRE19667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19667 MRYYLLMVFMAACCFSETLASTNCTIKGEISCYSCMGRDMENCQSGLTCCKGACFKLEDIKHNVIVKGCVNNREEDASMKVRELNVPLYWANKEKVKGESFFCTGKHFCNQTSRVSLLASFLSVVFAFLVIR >CRE19666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:213795:217625:1 gene:WBGene00066840 transcript:CRE19666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grd-6 description:CRE-GRD-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MD77] MRYCLVALLVALTADTAYGQQEFAAPPQQPPVPSPPPEIIRFGSGEPVRAIKANQFQIELPNIYDPLREDRPKRQNNNHVGYIRHPTFQVPQQQQQFQQFRPAGNVYIAQPNPTVVRQYPFQPAPVFRQYSIPQQFQHQGPPQFISQQQQRPQQYVQYPRFDLRPQPVRPVRPVYINTTPRPFYTERPQASYGDEIEDSNYLNSRLTPAPIAPTYSERPPVTQGYSERPPPPPTQAPYIERPARPVLPPRRPAPYIEPARPAPYIERPVPARPVVPARPAPYIEPARPAPYIERPVATAAPYIEPTTARPQPRPQPPRTRPYVVPTTPRPRPTTRRPAPTTPAYVEPTTTTPRPTPRATTRRATVSRLSDPPTPRPTTTTTPRATPAPTTPRATLPPTTTPRATPAPTTPRPRPTTRAPLPPSPPPRTTRRTTQATTTTPRPTTTPRPTTPRTTTTPRPTTTTAAPTTTTEAPTTTPGYEAVEEPKYDETFVGQYYYGRRGEGGNNTFPLPSCFYNPSGYVCCNLMLNELMTTSFEEVKTVTNLCNVHRFAIKLQKHSEKIFDTQFETIVSYEDFSQKIHFKKDLVCKVEIEGRFILAYATPEDVEQEKIIPTVPSKEIQKDSFILKEEVKAKIRQIEREL >CRE19701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:192475:202520:-1 gene:WBGene00066841 transcript:CRE19701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-232 description:CRE-TAG-232 protein [Source:UniProtKB/TrEMBL;Acc:E3MD76] MEKQHESSSMAMRLYATWDVDRATPSTVPRIFNVSINRILLDCVSPEVNSIIVTAKLPLSKRSRYLRSNEIKVTPNHGRIDLDCDISFCIQYPHFLKRKSNVLQLLIQRRKKYKNRLPGGLRDLAVGNINLTYIMQQGGLREIQLNPSSENEVELKGVGACAGKVFLASCYSQAPEIVDDRDKQKKNVEDSEEETETDYDDVGDDLNEMPSGRSHQRHKTSGAEIEGTRRTKGKNLKYQMNKLFNMFRPEDGRRPGVQVKEMPWEDDDLDSPYDSGPEMFNDDASIRSNRRPQLAPFFDQKEKIDHLAAMYEVSDGDGDSDQENTRGANRLIQKDSIGSAHPESTTPVRTSGMRVMTSSFDSHSQMIPHSSTLNSIKNDQTPGPSTTAVHRGVSLSEQLSAILGTNMSPDGSDAVWLCNLSEWPAYATLAGPVPLVNCPSFSQVRQTLSHVINRIQNFCHSNSSNPPLTIVGIIGTDKLFSQVVKAYVECLAHKSLANLINHLRFVAIPSTSSLFYKLIEGIDPQLDNLCRDLWDRFGDMNHSEKTVLAAKIAAWPNSVSSSKLNLPIGEAMLQLTADRDPEGGRVFLPFLSEVRVGSLRQCEIIENDDDSNGFAQTIVSSPREESVVSKIDGGSSPPHSPQLKNVDGQELNMDFWPNNQPTVPLQYSSYGPPEPSTSSSNVTTPNSKKDTAKVTIKAHFKTLLVSRSPSSGSLSLTYLKEKRKDKMLQKLGMKKGQKQKPEEGAVPSQVSSIGRMLCSATGKHNELTVIIDGNAYNGIRYFQTSPQWQTHIKSFPIAFFTPNVCTA >CRE19700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:183550:190811:-1 gene:WBGene00066842 transcript:CRE19700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-27 description:CRE-MDT-27 protein [Source:UniProtKB/TrEMBL;Acc:E3MD75] MMGRMIDLDQIRLKPPLVNKVDGRARILRPLRLAAMQSNQQPTTSSASGASGAQRLPPPSSTSSASVGTIPHSQSMPVLGQARGAPGAPSGAAPAASGAPGATPAAAAPSRAALTLPSNTTDKGAVPILNWAESMRIRLNKLRTKSQKAMDEALRENQTEEEMSRTRDALSEVAGVYNEMFRASNMKCRLNAVFDKRGMYKVVEAAIHTHELRDPHCNGLFDRMQQSMDERTAIERNILAVTDTFKQNPVSSPRGSRLSAPPPIKFGKHFEEANKGLVLAMDAVFNRTRGDAMSWKFKRISHRSFRNSKTLVEVQYCTRRPATEKEFVSCMKALLVLNFGILEDLILGGEDESLYDTESIYQSKRKVYREFTKSAREIILCSPVTKFTVPTNVAQVNNYIQMYVNCFSNKCHYCKKYLRNFMPPTMVVRESFIYCHKLCLLSQVS >CRE19665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:178861:182995:1 gene:WBGene00066843 transcript:CRE19665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19665 MDPERDSLSLVDSQKIAAPNSEMCNLPGTTPTANTSSNRDNLSLVDSQKIAAPNSEMCNLPGTTPTANTSSNRDNLSLVDSQKIAAPNSEMCNLPGTTPTANTSSNRDNLSLVDSQKIAAPNSEMCNLPGSTPTPNTSEVIDFKSSEQPNSTHTPAVVGETKPETIESITLKIEKISGKLWLNGIKDDTVSFKELVKGCKCGEGSYEAVYLYTCRGHEMAVKECSTIGKTIDSMKELWNEIEVLEKMRNKMNVIQLIGTFYENKFCFFQRTVHMVMEVMKMTLFELVKKHNSLVPTVAVGVLREILIGLKSIHESNICHGDISLHNILINSNGKVKVSDFGLSQEIGKVEIKSSKGNPTFMAPELFDPNPIYSAKSDIWATGVVYVYMLNGQVPYGGCINEIVIMHEIETGKYPNFDTERFGIDMKDLVDKMLAKVPENRLSADDLLNLTIVKNATEGTEDIMNLMNDGQ >CRE19698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:159633:162009:-1 gene:WBGene00066844 transcript:CRE19698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19698 MMRTEEGTTVELGPAKDVPHSDDITRPDASPKKEKKKIQFHMANKVKIIPTNRQGQLNTGYLATLPGVLKIAEIALSSIAFILAICSDRRTTTAAWTEHISFECLLIVTGLLLGYVVFPHLTLNDEATREGLIVVELLFYGLNSLLYFISIWLMVHLSASWGTDGRGAAIMTAIICVALTVLFAIDTIFKLKAWRGENEPKTVVEAGNSQA >CRE19696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:156431:157601:-1 gene:WBGene00066845 transcript:CRE19696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19696 MADSSSTRTAIPKTETTKSEKSNKTELKTAVVDGNAQPVVQSTGVKDIIDILWAALMFMLMVIVRFLHSAFEVLSDVFVKIYRILLFCYRKPHHAKELIISTLHIIKVSYDAEIWTWTDMWEVLKSHLIAPMTRAPPLGTQTATKQKTR >CRE19663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:153219:154816:1 gene:WBGene00066846 transcript:CRE19663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19663 MTLLYNCTFRSCKAASNGFIRHLLIVVLSLISTCIFTPRGLVNVILFLTFLFLVLQVVLTIMSQEGYLKDGGKCYKIGYLGVLLCAIAPYLVFAKYGIASENAVYGFYIFHFKSLFLYLSFMLPNTERLVLGVEREHEDISEYFRSNLQWILIIFLSSVWYFKVWFSPYPVVYLQIMHTAVQIIVLSDFWIVWFVERNKRKVELETKKEEENFCFEQEKSILRYNKLKCSVCKSFYHESIERRTPQMLECRHTFCQGCTKKLSKNLYIICPICWEDTNSEFDINFLKTIRLARKFLTITQVISTRTENVPNSIRNDYLSIQQSAVY >CRE19695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:149769:150912:-1 gene:WBGene00066847 transcript:CRE19695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19695 MTLLHNCDYWSWEAAWSGFHRHMLMILASVFNIVAGGPRDLTRFFMSLKFLIFAFIILQFVLKIFEDLAQRDLFDHVRRCYKTGFFGVLVCAIAPYVAFAIYGVASEEAFLTFHTCSFASFLIYISFMLPNTYDLIWSVEEKHRVISGDLCWIVQSILVVFLFPVWYFEVWIGKYPVVYLQIMYTAVHIIILSDLLIVCVIERLEDEEEDTIVEIIDSDDEDVEDNGCFDQETNDLRYQDLKCSICKLFYHESIKKRIPKMLSCGHTFCSGCAKMLHKGDYYDSFKCPICSKRTFLDDLKKNYALLDLIQEIKQDKKTVQRRRSFS >CRE19662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:146701:147916:1 gene:WBGene00066848 transcript:CRE19662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19662 MPFLYQLDEWRCEVAFNGFSRHLLLFVASFYGFWFGCPRDLTPLYKSIIILTIAILVYQTAVICTTLMSSESETSGMGEVLYGIGYFAVLVCAIVPYIFFLDYGVASEMSFHGFYAFSYASFLFYTLFMVPNLEDLVLIVYRKHEVVSGYFCSIVQWSLVIFLSTLWYFNVWFSLCPLVCLQIMHTALHIIILSDYWIVCVLEWNREQDEDIDLDTTVDTEIIDSSDDEQLEDNECFDQEKNDLRYHDLKCGVCKLFYHESIKKRIPKMLSCGHTVCSGCAKMLHKVDYFCIQCPICREKTDIDYIQLKKNYALLGIIQEMNQDKKTVQRRHSLS >CRE19661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:144302:146527:1 gene:WBGene00066849 transcript:CRE19661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19661 MEWHEIHATAIRNIRRSLDNPPVEEVDEEDKGRVVPASIGFPSESEAVFVVYNFVLTKVECLQESWFYHQNTGAETHKARLKRYAEIARKAGIEFTEFSARCGFCLSVQALNSIANWKKEVKEERKDLRGLEKLKPELREANIRKRAAEGKLADIENITRDTEERLQGEKRGSEEWIELHTQAMNNIKEVLHTPVPATSPKQYIEMGLVFLAVAGLAAKQFKKERIDSFQSNLGVALSSIGFPSGSEAVCIIYSFFLGKSSKPVWNLVMWFFIIAYLVLSCFSSDPSDPSLDNYKSLVFGIFMSFRCLDLQTGKVQERNEYRLGIPPCAHFVGFSDATYWQLLEKIPLWVFDYGFRLIAVSSLFPLVLKKEHKTSVPFIKISFPAPPLSFVLCHWQMVSHRADG >CRE19694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:132887:135314:-1 gene:WBGene00066850 transcript:CRE19694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19694 MPLLYQLDKWRCEVALNGFFRHLLLFVASFYGFWFDCPKDLTPLYTYIIVLTIVFLINAIVMELTSRRERNVLEISRVHYGFGYFGVSLCAIVPYLFFARNGVASEVTFRCFYMSSYASFMFYTIFMVPNIYNLVLSVKERHEIVSGHLRSIVQWSLVIFLSTLWYFNVWFSLYPLVCFQIMYTALHIIILSDYWIVWVLESNWGQEEDDDLDTTVDTVEIMDSSDDEKLEDNNGFEQEKTDLRFVGVYHFDNAQSQKIHFSLFRYHDLKCTVCQLFYHESIKKRIPKMLSCGHTVCSGCAKMLYKIDFNVCISCPICREETDIDYNSNELKKNYALLGIIEEIKQDKKKVQRRHSFS >CRE19693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:101610:111908:-1 gene:WBGene00066851 transcript:CRE19693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dop-2 description:CRE-DOP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MD63] MEAGETWNVSLEWPPPNNITTVVPSESPTIITQMTPTGSGIPLNYAGLFLIVIPLITLLGNLLVIISVLRYRALQSAINFLILGLAVADLLVAIIVMPYAVYVYVTNGDWYLGNLMCDVYMASDVCCSTASILLLAVISFDRYRAVSLPIQYSRQSQNVKRVWYLIAVIWLVSLTLASPMVFGVNVRPPDANPYECRFYNAEFSILSSMISFVIPCFLVLFVYIRIIIALKKREKAAKMRREKNTIAHGLTMRPDTGVEQVDEEAAGRIVAGPVVNVMMAALPSMTRRMRQFERHRRAIELAGEEEWEDEELMDMDLCGDGDDGDDDVDDDYHADSGQGVVEASAPRTTSMLRRIINAASVGGAPAPTASTVGMPAFFKTSVPSSARATTTEDAPSSPQPPLLLNEREFGNSSTPRSSIESLSENVNVITNDFVSENCTTISRRSSYGDDSQPTSSQTSSGDGRNYTIKGQKRFRNLSRNYSTKHHRKIVKVNRSNSRSNSRSASITNQQPDEALIPSIIRTISRRSPRLFRRDKSDMKKHSMILANPITESPKEYRRVSMPIRPTPNSQTETETISASRDIDYLPTTTLSRSTTANSAELLGDPDEIANYPALITETVLEDVLAETKEGSMMQPTVSFALTVREMEGNALNNLKGCSVESSRRVSQADPPLAIQILTRPSLPNLDLQRMDSIGTTCSSKTRADSLRSVDSTKSSKKSSSRNGIAVKLVKRAIKHEHSLKRKVSKAQRKEKRATKTLGVVVGVFLVCWVPFFVINILNAVCILLNKDSCQVGYDLFFYCTWIGYMNSFMNPIIYTIFNTEFRRAFKSILFGRNSTRHHFSNKQAHV >CRE19660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:98272:101412:1 gene:WBGene00066853 transcript:CRE19660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19660 MTLLIPIFLALIAIYILSFYQKFLNYQRLKHYASKIPGPPLEYIMGNTYLFRNKTSDEFILMFKEEARKARERGETVIRFLLPGKMIVWPLNGKAVSQIVESTTEIYKGSDYSMFEPWIGGLLLLTGDIWKSHRKMITPTFHFAKLEGYFNVFNSESKVPKGGLPHITTEFQILTSCLSKFADSNETVDIFPYINRCLLDIICETAMGTKVNAQFNHDHPYLKAVKGYAAMMVYRFAKFLVQYSSQPIMWNPILFWALGYKKQQDDYLRILKKFTSDTALIRTNYKPPKSKLIRTRGDVNKLNYLELAMKESKRLFPPVPVFQRHLQKDMVIDGHTIPAGANITIAPVVLHDNHLVYSNPELFDPDRFLPEETAKRHSYDFIPFSAGIKNCIGQKFAVLNEKVLISHLIRSFKIEPMLKFRETRPCFEVVSKPSRGIPVKLTKRC >CRE19692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:94601:95930:-1 gene:WBGene00066854 transcript:CRE19692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19692 MGGWAIQGLDLSRYKNKKNFGRKDILASVMVKTLVQIASVGSGVAVLACLFTVGYIFNDINSFYDDVIDTMTEFKLLSCVACLKLLWESLSVLSAISTTVTISQIFQTNEQQAWNSMQSPSDIFGRIKRGVNKRNAQCNCGAQSNGCPAGPPGPPGQPGAQGEAGHPGEAGKPGANGVTIGLTGGDGPCITCPAGAPGPAGAPGAPGPQGPSGAPGQDAVGGGPGPAGPQGAAGDAGAPGQPGAPGAPGNAGRSGQRSRGLPGPAGAPGPQGPAGGPGQPGQSNGAGAPGPAGAPGPDGQPGQPGQDGEAGAPGNDGQPGGDAAYCPCPARSTVVRHRKVIAHRRHAKIVA >CRE19659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:91267:92656:1 gene:WBGene00066855 transcript:CRE19659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19659 MVKTLVQIASVGSGVAVLACLFTVGYIFNDINSFYDDVIDTMTEFKSIGYSLRLSDCYFHNLSLERDSNISTTVTISQILQTNEQQAWNSMQSPSDIFGRIKRGVNKRNAQCNCGAQSNGCPAGPPGPPGQPGAQGEAGHPGEAGKPGANGVTIGLTGGDGPCITCPAGAPGPAGAPGAPGPQGPSGAPGQDAVGGGPGPAGPQGAAGDAGAPGQPGAPGAPGNAGRSGQRSRGLPGPAGAPGPQGPAGGPGQPGQSGGAGAPGPAGAPGPAGHPGQAGAPGQPGAPGNAGAPGGDAAYCPCPARAVAVRKHRKVFRRRHAKVSA >CRE19657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:69376:89261:1 gene:WBGene00066856 transcript:CRE19657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atl-1 description:CRE-ATL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MD58] MTLNNQSQNLINFAKNCVENEKIERRAIEHIIDNIDTLLGENFIFSDDELDEEEFLEKLTILQYITYSLDLIIEKYRLSIDEISHLFQEVITAICAYGDEELARSFDIILKLLLSASSKLEGDEHETILQNLLDVVNFCDFDSESSTSIPILIPLKTVMRLETNYDGFFKLHLSLNILTSLFEDDVMEDSEVLLEYWTSSKSFLNEERAPEEAWIVEICLKVWMKCLKMRRKRDSVVEMAGFIGEIFSTFYAVAEFKDEVMQVLEQVFQEIRAFIHENEQILSIHKDLRENLLEWLNIYLELIDDTTDEFGLLNTFSTFLVDLTSNQEVHEIPMKLCLAPSELCVTRIKLSLETIRTDCLPNYLDLLASLFKLSRIQKPENFQWFIQDVQKFNSIENPQFPRLLGAILSVQHPICQSFCKQFAPSVDQMWHRLKNDSSESTRCTVTSIAICLGNCSFLQSNDEKLKQIIPFLLIPCLGDFRERVGTKWDGFRISGAQRNESILDLQRFASVLETNDSEEWRIRAAQCALQIDKKTGKYLNLVVDMSLSVIAKAENAKNVTQCLPAITHFLSENPQFIGEFLTVLVAKLGKGDICKAIRKIIENSKDAKGNLKIDEQALAVSLNELTKRNEERSFSAEKEMLKMCKAMLLNVDSRRRLTPAFTPVIEAIHLAFGDEETADCYLWVKKRRRARLSAKNLKIDSKFSNIPYFRVWELVTCKSSMTAERKQLIYIKLIIQGFLESNSTSSIRKFTHNVLTAKLPDDVKAIVCSALFAHACFMDTLEIWNLTISFTRQLASNLVKNLMDATEDELLQAMFRRYATIFTRAFLHRLFLECSAEQLDELKIRELAQFFVTQIAIFFKFSNLNTAISTIRPSLFYYSVISGARGVPAEHVCSVIDFIYQELTTTTPTSIPKNPSDMKVILSQRKTLCTELFPKIVQFLQFEEGEEERNELFWKFCKEQCDFNEENLNAVTATFRKQVFENLLLVAPQVLCDIQEDSRIPLQLQKTYEIMKKGESFTIATAMDTRNEGIEIIFTFRSYFTEDAYFMMRDSAAASFSVVLQNLKTSFLDAHWWAILMTLRQSPPELESTRQSWFTFIEEISLETLLSHLWRLITDISRVWNNTAIIERVWSRLGNASGNMTKLFWVVPVEVERNFLNISISRSRQVDDVLEFIGQFERYPSLQFIDNLTSKIERNKVGKEEMTKLIGALTGILPMCQSRKQRNQLISLLQKIPITFSVAADHDFIRWDASFKFFSEPRQLTQAVLEECAEILENMVGTPKLEYADRTMCDAYKFFNSSLASEDMKLTIDGLKNMYAIMCSKKPEPVMIEQKTIDELTTSGNSRNESFARWLTVLIIKCAEMAENTALGSLVPIAHCNDTRFLAKMAMRFILTVIQMERGSVTQWILTTFEDALTCALKRRLTHSDRGASTFIFYVFDFLYFYSNSEDVRRHKEMREKVVEFWKCMMSWTRKDSHGHDQPLIVTVAESFGMEKRCILWLEVFMEMKRMEQHKKQSQQLQESSSGIQKMASGMTSTTMESSYYFTLMNLYARIHELNGVKGAYAMLSKTQIDHVYGKICVKEAFGEMHSAASFGKMTGKGRAFSEELSCGFTIRLIGSAKDDLQKSVEENERIAVWGRFTKMFGWIYGKTRSRATETIKKMIDEQNALDYAPIEKKEQDEYIKSLKTLTQWTSNDNDIAPSPQVFSKTIETRSAESVILTMIKTEEPPEIIDEMIQKAKEKIVDRLSEFAIGGSCSYETSTPFIIELQKLDEISELKNARKEDLLGFDSKFWKNLNKRTNDGEQSMTILEPILRVRRSMLDIRSQSMSEKEKDTIRSRIVDSHLQSARIARLTGCLERAQLSIINAKKVLPFENKIVLEEAKLRLQTSDELNGMSLLDSIIAKNFAEIQTTYSHTQQSTNLDIQKSAKLKIDLFPEETKKLFSSVQMLRISHMIKSGNTVGFEKLFDETKQLIELFAGSNAMYEAVWFMDYLSNYNERSKPVLSLLTAYGKVAQHEKNVVLQARAVERMTSLWLANAKKVSDHIANNKISNGQINDLRANVRQTCTTMNNALDDIGWRAFYPAYAVLTRHIDHKNDEVARNIKIMMRKLILRMPHQCMWQSAYLLRQNIAGIKEKYMEVLADVKRNAPCYVTIIDQYDYASGIFNQISTKVDSSECRLSERVEGMKILFRDKKYDAKELEMNRRLDGDCKVFNGIMVPIRSVIDESVHYPEYGGDNDAEKACQLPDQYLIHDFSEHVKVLHSNTKPVLIELTTMAGRKVRLICKKNDDLTKDYHFNKIVEPCLTKRDYIRLRWVR >CRE19691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:66789:67512:-1 gene:WBGene00066857 transcript:CRE19691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19691 MSSSVFALLIAGLSLTTAQVAVNGPFMGRYYVAAKPVLAPGVAPVAAPVLAAAPPVLAAPAPLLAPPAPLLAPPAPVLAPPAPVLAAPAPLLAPPVPPVAPMVPAFAPAPVLAPRPVFAAPALAPAPILAAPAPVVAAAPAFAPAPVLAAPAPVLAAPRPVFAAPALAPAPVLAAPALAPAFAPALAPAAIPAAIPAYAPFARSAFLIGGNKAKSIKA >CRE19656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:64594:66327:1 gene:WBGene00066858 transcript:CRE19656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19656 MQLSFFSLYCYICNGSGIFLNAILLFLSSYKSIETIRELRYFLSNIAVSGIAFAASLLVLQPQVVTRGTMTIRVVHGPAQYLTENAVKAIGCLTVISYMYALLSFPLFFIYRSMILANSNMFGQYFTKNTLMVTLVIVFVLCCTESVFLYYSSIPYADLLDRANRTTSIVEEFENSTLLLNQHLRNMDINSQHAAANVSSGVIQSAEELSGKRHLSLFGDDHSRNPLILIFHGILVICHVLSYLIILVCAHFMLRTLKTKQGTMSKDTMLENKLLVHSVFAEAFIPLLLSTPVGANAVLVTVYENSLKWQEFLPTYCMSMVPVLSALFTIVFIGPYRDAIISFATLKFLKKNQNDTRKNDDES >CRE19690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:59807:60378:-1 gene:WBGene00066859 transcript:CRE19690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19690 MQTFSAVLLALGLFSGVSAQLAAWPSQSYSPYYQAMFGAASTPVLSAPVMKTAQSAIPLAAPAFAPAFAPAFAPAPVFAAPPVYAAPPPAPVFAAPPAPVFAAPAAPVLRGPAYAYAPSPVLSQVGVAPVAPAPVFAAPALAPVAPVLAAPRFVPAYAPFARAAMFIGSNKAKT >CRE19689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:53329:54217:-1 gene:WBGene00066860 transcript:CRE19689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19689 MKSVAILCTLFAVSTAQLAAWPSTSYSPFYQALFGGAAAPVVAAAPAPAYAPALGAPAFAPGLAAPAYAPALAAPAFAPAPAFAPAPAFAPAYAPAPAFAAPAYAPAPMASPMIAAAPALAAASVNGLPVAAAPAFAPAAPAFAPAAIPAAVPAYAPFQTAAYWIGANKAKTVKA >CRE19688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:44032:47266:-1 gene:WBGene00066862 transcript:CRE19688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19688 MALLLPILIAFSVLVLFSILKKLQSIRKANYYGSKIPGPPGHWLTGNMSMFQNETSHGLIKMMQEEARKARDQGYSVIRFLLPGQLLVYPITGKATAKILESTTELHKGEGYEFFGPWIGGGLLVSVGERWKAHRKLITPSFHFAKLEGYFDVFNQESKILVDCLEKFSENGETVNLHPYINRCTLDVICETAMGTKVNAQFNHEHPYLQAVEGYTAMMLEYGYSPLMWNPFLFWLFGHKKRQNDFCYTLKKFTGDIIAERKAALESGEAEKSTSKRSMNFLDLLLSKTESNVFTEEDLRQEVDTFMFGGHDTTTTSCSWSCWNLAHNPDVQQKVYEELVKVCGDDPNGDISYEQANQLNYLDRVLRESKRIIAPVPAVERQLQNEMEIDGYTIPAGANISISPFILHRNHHVFKNPEIFDPDRFLPEECAKRHPYDFVPFSAGVKNCIGQKFSILNEKVMVAHLVRNYRIEPMLKFDESLPCFEAVSKPSRGIPVKLIRRI >CRE19687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:33564:37088:-1 gene:WBGene00066864 transcript:CRE19687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-29A4 description:CRE-CYP-29A4 protein [Source:UniProtKB/TrEMBL;Acc:E3MD48] MPGPPAHPIFGNASLFKNKTTEDFVEIFVNLANEARAKGANLMRTQVMNRIYVWPLNGKTAATILESSTEVNKGDDYSFLVPWLGGGLLMEQGEKWKNHRKMLTPAFHFAKLEGYLDVFNSESKILIDCIEKAMETQDTIDLFPFFKRCTLDIICGTAMGIKFNAQIEHHHEYVKAVEGFNKLTVEYSLNPFLWNKFVYWALGYQKMHDDFLLVLKKFTNDAIVERRAALASGAVEKETSKRKMNFLDILLSSEESMELTSEDIRKEVDTFLFAGHDTTSTSLSWLCWNLAHNADVQERVYREILEVFGEDPNEDVTSEKINRLEYTERVLKESKRMFAPVPGVQRKLTKDIVIDGITIPSEGNITISPTVLHCNPQVYQNPEKFDPDRFLSEECLKRHSYDYIPFSAGLRNCIGQKFSVLNEKVMLVHILRNFRLVPKIGFYETKPLFEVRTQRRCVDHKSNHNIIGCRKAVAWNPDEIDKKVLEKEWTRK >CRE19650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:25589:30249:1 gene:WBGene00066865 transcript:CRE19650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pitr-4 MLDILIQYAPNWTEHTTWLVIVAFIFAFLVSYGMGANDSCNDWGPAVGAGTVKLYQAYILSGILNVAGAVLLGYKVVETLRTGIIEFDIFDVYAQYNATTNQYDTVDFCGNSTIVGGWKAPDILPGAAPLECAKYTSIDFMLTQTASLAGCAAFMILSSCYKIPVSATHAIVGASVATSFYIRGNVGIKWGEIMSIVISWFISPIIAGAIAGALYYVVKFSVLIRQDTFKWALRLCPIFMCFTLVVNLYACIFDGSKYLGLDKLSAFEALLVSVAIGVAGWALLTFPLKNWLPNRAKKLFDKETIKMQKRLESGKAPKGHKITDMREPDVAENIKELPWYKYFWAYVPEDRLTKKVFNTLQIVSSSMLSFTHGANDTGNTISPLLAIWLCYESGYAFGNAETRDDTQLLLGYGSLAMIVGFVTLGHRTIKLLAHEMTVDMSPISGFCIEVGTAFTVLICVKMGIPISSTHCTVGAVVFVGMAKSTSEGVSFGTFRKICFFWILCFPMAAIIGVGTTMILQQFL >CRE19686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:16655:21515:-1 gene:WBGene00066866 transcript:CRE19686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19686 MEGNDGENQHADGGSNAIDQVGGASLKELGKVVEGGKRKFKGLVTNVTLELEIPRSITTDPEGPELFADDIISLINKHAPIRRTHRNTRVGVNFESDEILESVGLAFKDISKLKTGDIVRNMETMAQSGKSPLDLEIPRITARITYITPITGSGTKRKFGTGHILELKFGKAAKRSKKSSKKTKKKKTCEFLDDEAEEDSDEDEEADDEEEEEEEEDENDENEEVEQEVGKKKRGKTNIMRNNVTHNCLVSALYQAHMWNQYKKSKSKEDAKRYRQSISMNRGPRDNSQVVFKAIQKMKDEAGITKTQDFDYYDIQKFQETVFAGTHQILVFEENSTIPFFCGEFVGQDNVLVLYLAKGHFSGVRSVCNLLNTSYYCCLCLTKYQNAASHYNCKLLHRLCGKPNCPKPEEGQELSIECGSCHLHFKTQRCYDNHLEKGSRGGKSRCEYTRFCKKCQTAYYTNKNAVPHQCGHKYCQRCQCLVEEYHFCSMPPCPKNESTLTRLRGYYDIESSSGKLGHQKPVLFRCVLCCPKCSKFIPKTVEAGLNEKCENCSPEGRLKIIECVTPENRGVDVKAEMNKWMFSQRNKGFVLVAHNASGYDGQFILEELIASNKSAPELCLEGSKLIYLKHKGVRLVDSLKYLTMSLSAVGKAFEVDSIKGDFPVLFIKEENFDYVGPIPDDKYYALDNKSSSVRKELVEHLAKAREEGQTFNFFEELQKYCYNDVYILATAMTIFEREFEAMTNVCLLEESVTAASAAMKVFRRNHLHPDRPIGLDAKPGAAMTHSIVSQKHLAWIGHKEGVQVDISTTYGEKKVGKYRVDGFIDKCEAYPEGQIIEFNGCYYHAHDCSYSDESIIGDSTAKEIRERDQKRIAELEKEYPVKVVWECEAKEELSKNLEMAEFCEGYEARGVLRMERALVGGRTEVFKLYENDELVMMMFLDVVSLYPAVMKHEGFPIGRPTEIPVSEIPCPIDKPGCIPFWGFLSCKIVAPDDLEIPLIGDKSTGKLVFALCKKCAKEQNQSDCNHTDDERAFTGVYTTVELEKALELGYKITEVYHGTYFKYWVGNDDKGEGGLFSSYVNQMIQEKIYSSGWPADVKTDEEKEAFCKGYMEKEHIDLNDHSRFVKNVGRRASSKLMVNSLWGKLAQGVDREQTKVIIDPAVFYKLYYDKSVVIVNVRPVNDVIVVKYRKQKETLQSLKTSAVHIAAYVTAYARLRLYKFMEKVGAKNLIYTGKFSQIFVLSYEFSVSDTDSLIYGVPRGCEDPLKDEVGPYLGQLTNELKGEMTEFVALGPKTYCFKDQLKDGQIRTVCKAKGIVLNSVADKMVTFDKMKTMVDEVLDEVEDRTVMQIPQFTMRRDREHKVYGRNIKKSFKYTFDKRRVLPDGTTLPFGYRHK >CRE19685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:13511:15320:-1 gene:WBGene00066867 transcript:CRE19685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19685 MSYNKYVLLSVEEHEDLVKNRNPADDSVNKILEAPMENDVKLSLLQQQISSLVKKRNNEANAVKEVEKEKEVEKQPEFDENLEDDVFADASSNFDDGVHQSRKEKLLERLYHDPKYGLRGATSLLSRARKIDPTITRKDVNAYLHSNDGYTRHFHKTKDIVHNPWVASGPDSHHMADLAMLPTLKKYNSGFCYILVVVDVFSRFVFARPLKNKECMSVTLAYEDILEKTLRIPKRLYTDKGKLFCFQYHTISNFSGTEFMGKHFRNLCKELAIVHMNPKNTNVKACYAENAIMRIKNKLEKWFTVSQSYEWLELLPKIVEGLNTTYMDSIGTTPELVTWKNAEKVWKRLYGSPTTYSPKYKIGDTVRILLENSPFAKGTRAKWTEEVFKVVKILNYDIPVYILCDTLEREVDGIWYEEEMVLYNKPDNLLKIDKIIRKRTKKGIREVFVSFKGHSDSFNCWLPESDLISHNG >CRE19683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:10165:11991:-1 gene:WBGene00066868 transcript:CRE19683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19683 MAKLMDSRSGPSAPANICVFDTPPSQVAFTKGTWMTYTPSSAVDSKGPYTFNVYDSAHFFQLNKTYISFKLKLKNETADEKTAPPSVKYINFIGATFFDQVKVSFNSIPLYDSDHYAYKSYIQTLLGENDETKEGFLTTAGWNDPTSTDGRSLSSKSFLDLYAPLLLEPFQTERLLIPHVNIQIDLYRNKDAFCLETTTGKTAELEVSDMKLHMRAIDVVSSATIALENRLRTTPAQYPFTQTVAKVIGVSEGRYELPFNTIFHDHIPRRIIVGLLSPEISISKDSLKFDHYDVSEIQLNAGGTMYPPQPIQCDFENKDYAQTLARMYEELGCVSNKTCPKITYKMFRSGFTFFVFNLSPIDTSNSWEMIQSGSTQLLMRFKKKVPTGGVNVLILAQSDAMFTLDRFRNVTVFVDVCLSSHLMEKFGRLDNLSAKRIQDLNQYLLSMNILKMWNSCNGLPVDTNSELSLDATPRNHSFLNMVIQTGQGKKSQYSTIQKYFNEKYKIRINYPNSPLLRDRGGRMYPIETIWFRLFLY >CRE06537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:362960:364887:-1 gene:WBGene00066869 transcript:CRE06537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06537 MFNLATIFGNKVNAQGRTQQTRSAPSMAPPVHQAQSLPIFGAPTYAHIANSFPQFNGFPTAPLPGNSAQGRSVAPPAFMPLPSNFAAFPGQLPIQPVPFGAPYFGHPGIPTEMQKAEYFRPIMNEVFGNLRSPGIIENGEQFRARFVEFIHLFNCLSIGAQTLLRVELSTQLCATLIAMTIYQNPSALPQPAVQTSEMVDNLELYVCQKAELQIDTEQAINAGPTPPITPATLGSTNPSSEPVQETPKIKENEREEEKMMEKLDKLWEGFQQNRLAGYVDSVKKIHAAYKTAILERNARIEELEAHQPIRRKRGGAKAGDKAPSVSYFYSI >CRE06536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:353763:357858:-1 gene:WBGene00066870 transcript:CRE06536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06536 MDGFNRFPGGMNGGQNGQNPGHPLQQNFGGFPPQQPQPQHNMQLHQNLLMTAINNIIRGNLQTPGGPGNPPMLNVGGFLPQFPPPTLPNMAPLLMALNRSRGINFLRSAGDSPPEIGIPALARPTAPGNQPGPSVPAPVPGFQLPAPSSVPPPVPAPQFSIRGLNLDLSPSNAVPSTSAPGTSLAIPDTQTTPPVTVEAWCQTTLSGPSNCTNCYYRSYTFSIHILTFSEHCVTANEALQESIRNSDIYFSVFGGEKEKWAEQKRELESKAETTRSELEATKRERDGWKYKVDGLEGENQDLKEKMAALEKKMKQMKQEQMDKLLVEEEAKKTIETERDELQLKVIGLEGETTNLKSCLTSKEADLAAQDAHHKSEIDKKNEEMVQMRTENQGQRTLMDSMKTRIGELDRDNNQLITERDQTATNHKDQLREKDEEIKRVREEAALKESNLTNELEIITSQCTELLDRSERSKKEEDAARKVLEQEREAEMEYLRKKNERLEKEKTGLGTKAAVSDQEVAALKGEVNRLNSAMGRQKMDLTTAQEKAESLQRDVRNLQGAIDGEINNLKETVRTRDETINNQKTELSYIKKQLEEMNEKMKKMEKDAEMFKEFGEQREFQLEKKKKRKHD >CRE06534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:336948:339996:-1 gene:WBGene00066871 transcript:CRE06534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06534 MAEQQGVEVNKKIWHRPVIQTRRVIEPQTPQLDTRVKNEILDPEVSQVVSVGGTRNATPIVATEDVVALTDAPSASVSLQGHQVPITKSQTGFALKPTSGIGLNAPIVATPTVAAVATVPTPLVMSSNYQPSAPQPASQLAPTEFFQAIRAPNWNPQLAPSRDSMYAFTPAPRLTYPPFPMVPPSNSRRPGPPPTNTTPSMNCQIVSTPRAPRTTQPPNLNMSNSGPGISQPLAPLIPPQQIPNFDPSRMPHHFHPTLINSTNSASFPNAYLQQQFQQLQLLQQLQHTSIPHFQNTAAHGNRTGVQTAPTNQSTAQLSMPDLTNNGKQELAIMFEKHMKEGLESATKIVNQRQSEIEKKDEEILKLKMELQTEQEKLRKCIESNVQYHGDNEALEKRMKLQEDELDKAKRSNVEKYEKIKELSDEVRSLQAVNRTQKEEYEEKITEFEMSAEDNGRKLAEKDKKIENLEKSLQTSEEEIKSEIAKNQDLTEKLNKETTSRNSDWLNWNAAREDEKKKMEERHQKETKKLKEDQKDQVADLQREIDGRDTKIRESNEKNEKERKEWKEAKGKEIKEMEEAHQKEKKKLISDHSSQIADFRRKIQEREDKMKEKNEQNERERAEQDRKHLLGQKTLKEDFEKRIQEKEREIEAGKNREKLLEPFRLAFSENFLKSQQYFADQKKTYDELVKPRIGNVDEKSESLGGKECNMMPKSIEKDQPNKDEELVSETQIAEEEGQRGATGPQKRHCSSSKQGRETKMKKME >CRE06533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:332446:336011:-1 gene:WBGene00066872 transcript:CRE06533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06533 MTSTNNELKKQEIVEVNKRKETPPKSQNLEVTGMPEQGPSDSQLPVEEPKAAKNPEVVPDAVSAADEVPKVVTAPPNTPPNVLISKPGHAQPRTPTPSPTAPNAPIISPKPTPMLNQILGQSNPQSTTIAQQHMAASYNSLAQGNQLVLHPASNTYPIRLIRFLPHQLRQQYMKQPDPEQTQFFKSVQFKHDQLIRKFNLPARHAIQNISSFHQPTHQRAITGGKQEPEDDSDEINKIIKKLIQKAVDEKNQELEKKNKELENWAKHYKTCFDLNSMLYVNNAVLSMEAPKLRNEIARRAENEKKLKLEIERKQQEIREMSATFKQKTNALVDENLLVRFECQTEINENEIKMTLQTVELEKARAKNSENKAELERLSEKVEELKKENERAKDEYVKSIHEMAKKLNAEVEEKMREMTAQKEKEIEDLKCSFEELKANLSDNLENAKGENLKLTQSLQEETAARKADQLSWDEMWRGVIKDSEDKHALELRAHEAKIAELEAKILKQDGQLAAYKERDAALADCHRKNLLRTKRMYESEKKLLEEYEKATKVVDDYDEKEKEVEDNKNDVKDFAHVTVKEEKPGCSKQLKVEEKKEKSIKSKEVVPDKKIEKKTTTEPGTSGTQKRHLTSSGDHKEKKIKKMD >CRE06530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:314383:317525:-1 gene:WBGene00066874 transcript:CRE06530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06530 MSVNGTPTVSSAQDVIFLGNGLGDQAGPPQEIHTDNKKDLQNKDVDDVAATMGPGIMSGNNTNVWNFKRISDQDIGLKTGLMAHDPGNSQALQNPFNMTHLMSAPGNNFLALQPNFGIQRSNPIRPSNNGVNSQETMIHQKHVIQKAGGLHNDPKIQAGFSSNHQNFNGINVQMASQQTLITPELHSNYRFSRNPNAVAGGNLGLFEKPAASPSIFPQNIMFGNHDTQLQRQLPFPFPVTIEGHINLLNKCNEQHQLIVMLNGKIIQKHRESEAKKVITAAEINNLKKRLSDQNVLVQEKDILIAQMDGRMKRQQEQELEKNSETEKFKKVLEEAGNIIKSKNQEIGKLKQEIKDHKANAEFKRKEIIDKEKEMDEQVTNLKDELAAARKTIEMKETTIMTQEKIHKNKESVWEKEKNLEKRVLENNISAQNRNHQAERNKWNEEKRKLMEDLKDAISRNDILEVDFEILREKEARKQAEGQELSRQFSRFFGKSIIGSAQTGENCESEELESTKRVVKVEATKRSLESENDDDQFVEKKVSFGLEKLERARVPYNEKELQETSIRFFHVLIQFFKFFTENAVKL >CRE06529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:312523:313680:-1 gene:WBGene00066875 transcript:CRE06529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06529 MQILSLPLVVIREIFANFKLEELLFLSFCSKRFKYLIQSLQGRRFKQIKIITYNFSVGNVCISAETLFSLTLCEVNYGITQMGVFGMSIETPCCWNPLHSTYEYDKKEKEYIIRGVHSYIQQFFGSSILYQVNSKNVLPISLENIESSSIKCPENTNPEELEACFQNSPNQKTTIHLEGPLAGNICSDSVIYKADFLDVDCLRNRKESEILRHFKGKRLRCMNTSFSNSQVIEFLNAWKSGQMFKKSKLLGIHTNFDLNEDEIMGKVDHIKFNKRHGNKENTVATTTGQYLIRESDGQKGYLTISQMSVHLAVFGKTGGHVNFDI >CRE06524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:299944:301017:-1 gene:WBGene00066876 transcript:CRE06524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06524 MSAHTNWEEVHRRWSENGIGTVVGSDSATNGDEEGGMGSEVISMNDNMSDLRIPFYEDIGDQYGIPVERGEGLQYFEAYEGYQRSVSYGRPQSSFDNGQFGYQVDHSEPVAEELKLEQQYPLQNWEENQMAGVTSTLDLLHFPDQNYTVQHKHVRNDEVAPFQQLHYYAPAPPLSVTENSGQPQVDDRFLIRMNGMAPDKDTLIGKWMEWKRNVQKHTILDSLDLSCYLFDCKRNVRVPQWKRTAFDGYKLYQAEKQGSMLWRQCTHERRELWNGAVAVLKAWQNQQRELGLIKLSRK >CRE06523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:295479:298238:-1 gene:WBGene00066877 transcript:CRE06523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06523 MYNFFKEYRERQEKRKQQEDERNVVGNGLSPPVGDGMERKTQIGQRGQFGFNNVKASANLPSQNKDMVQSPEKPMDSKEFMEKRRKRRSEFEMGEGSSSGPLGQFGQSAPIYGMEAKKMYNNVESSSASTSQTPVRKIMGWLMKGDEMMSGYEGNREERREIELVHQDTPSSQNTNVQQDSPSNSEFRVRELEDEIKRLQEKNEALIAENALMVHYKESYELLTASTSPILDTPGPSSSSAACVSVSSPVSGPRSFEEAVGIYSNWELDTTNEDKRLLLLIKDAPLYLFNRFGPRTLPQVVYKATYAYDIFMRCKENHNIQAEWKDLSEKDMKEWMKACQFLRKTQRAMAKSGIIRLMTSAEMKKEFGKELWNVTHKKVVLTSCVEKE >CRE06522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:292329:293731:-1 gene:WBGene00066878 transcript:CRE06522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06522 MQNGHFFHPYRMQQQQQYRQPGQGDWNAFHQRQMQVVADPMPMPEAQRRQQEMDAYVQRQEERIRKLLEDQRIKDHEAETRRLQSNAIIATQNNEKEELQKKNDELHRKNADLKIDLDIANHRITDQNLKINAYVQKFGILESTEGISSSLPSVPPHHHRAFPSLPSTSDSDIIDVPVNAFEVVVQSWRQWWSIHERPLPGVGKKQKLTHTFTNHCSDVHHFVFDPRKTKVLPQRVEKPSSNLNLYRFMFKINHKGATVKVDDAWAELSKEERLEWRNHCETLKMWQIYQIQHGWIVHKRPTVKKEVVTYDYPA >CRE06521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:291014:291361:-1 gene:WBGene00066879 transcript:CRE06521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06521 MMDPTVFQYSEHSKPSESATIDIFWDSNSNSDTEDSEDSEQSTSYSDIFMEDDTSSECSELSEIDIDDVICDFEDDESEQSLEEIRDEIDKDFERILKELDEFDAELLGIKEVLA >CRE06327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:288147:289846:1 gene:WBGene00066880 transcript:CRE06327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-97 MSLSNWTLAQIWIEDSRPEEVAEPWVTIVAGVFMIVASSRNTSAFGIFVNLFVFHRFLSKNMSSFYIMCSSKTISNSMILFCYLIFNGPVSVLRMYYGPESMNMLLNQMAAYGIYVQGPMTQVCISFNRFLIIYFATLAKRKNGRTATAVALIVCWLISLFVTVVGIPGNCTNIFNYDILTWDNLDPCVDTLADLVMYWIGFLAVISNTFNVVVAIKLIVSATKPHMDSAASKRRRRTSRRLFLQSCFQDWIYLLDTINSMYIYTWFDKILWQFFFTIFSNLFVHVMDGCIMLFFNYEKKRTLLSLPRKKECTFINVTF >CRE06520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:277594:279445:-1 gene:WBGene00066881 transcript:CRE06520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06520 MSSARKLFNSPTASVLKTEDSDDFEEFPIGAIAYTREELFARMEFRRNPQVPSLTVSNQIKEESQDSFDNFALNEVKAEQDPFDAFEPNELLAAPTQESTPAIEPREPNELLAPAPDVTPAVEVHQEDLVKSEFFGRTLDQFMDAANRGLGTVRATMTEGKVALEYTKSPKKVDEPLIIVDEPISDSQASSVTTPKRRLRVIDSDDEDDDEEGENEENTTPVKTKEMEDVTTPSPAKRMRTLNLNPEDVLTDEDLEEEDESIVFSQDTDPDYYPDTQEQRDRPSDFRIPRSAPRDPLDLDY >CRE06519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:267212:269955:-1 gene:WBGene00066882 transcript:CRE06519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06519 MTSVSRETLEIRRELRNLPDNWIDTLFGELYNGSLQVTDCHRRYQGANGYIEPQIPFDSSEFGSGQRERLSEKSDDTGDNEVSENVEGETYNEWNVSENEQGITENASLDLEKEDSDDVELDSFEMKSSDVEGIKSIDSLAESDEEWEARKASFLNAGEVKKKSDDVQKEPENVIENSSENSSTVTEDVVKPGDCPEEATEYIHAKIRDVRKEPQIVEEKRNNGEKDPENVKDETENVDSDTKEDIFLEAEGIQEKSENPELESEENEKRAGDVTMVANSDDSLTEEVESDTLENAEDVKVIANNVIEESDDVEEKPENRTEVPDDSQKKTTVLELEDAMQKVIDNVEDDEESERVRRRSIRLEQETIVEVSGVFQSPEIRPEDKVKSETSFEEDIEIETSDEIAIYQSYFNDVKPETPSGTEHILNLQRIVKHEIQCFKSQSLVLQCQQASENALLEIKQCKYPDYEMLRKTAFITPKVEFNEGIPEIIKQKFMSLLVRISQLKSQFLIIQSQNASKMARLFLVNQQNRVDLMMNETNGDILEAFQEGHGYPPSIRELLGDLEENIAQNRLHSMILSNQWASRSPTNDFEEPVVRNTEITILRAENLLKSLGFGDFTPEIILLQVINFLEQVDRYKAQNLVIQCQNAWKFARLSVIQDEYLGLFTFSSVPEFTNRLVSNQSEAIDANQLEDIVFPEEYIAESPNPDDSWLQFFNIPSPFDLSTLHVDESLLVNSLDVSVSSILSEMPDVNLSEKLIGQDIVSSSGRRHVLRICQNLNALVNCTSDYFSFNEYDGPQVLSLHLPSTSRNEQPERLIRYSNPRHRYRETPEVIEEEEENL >CRE09529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:508950:509902:1 gene:WBGene00066883 transcript:CRE09529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09529 MPHPFPLFSLPYLPLKQVLDNFGAHAILCLSLCSLRSKRIAVSYRGSSKNVKLQLHFGFKNCLSYMEGFHLYWLLSVENRSELLSVDRNLDTVKIGNFCNIPVETGKLGLSTYWEDCMVGITEIGDYAREVFNQDIYEVVIGEKKPDDVYERAAEYVTKSQKSIHSLFCDFKPEIDNDLDFILENFNYTERLALDVNPPPEYCPAKPPNFNVDHLYILFSFWIKQDHLLTMNCKYIALQDSTLSSKDLNVFLKHFMNGGCSQLKEFNVIVDESIEYEVALNDVEFVEREKDVATVYVE >CRE06516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:254370:257508:-1 gene:WBGene00066884 transcript:CRE06516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06516 MAPTSTRTGVRGGSRGGRGSGRGGNTVKEMEKKIRKLEKDLADYKFHYDALEKDANGVIRKLKKQVKKMDDEKKKLEEEIVKLIVRGKSGRVGNAEKSICKATQEIDDLKLKNETLENENNSQIQQNNLLQTQIAGVSGRNAELERETENLKEQNSAQAKRIEDLTMEVTQLHQSNGNLNDNLEESGKKIKILEKQHSELIAKNGILIREQEEAKRWWKQKLDELNERAEAIASATQPYSQVVQEDREFAHIRLLGQGNGYTMEAIHRLQHITEIVDSLHSVPQAPQNYNANHIVNISSRIPAERRVNLEFLVYMENRRRQQLGWWQRTRIAVENALNLIDPFVAPTPHPANAAPRQK >CRE06515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:251575:253981:-1 gene:WBGene00066885 transcript:CRE06515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-101 description:CRE-SRX-101 protein [Source:UniProtKB/TrEMBL;Acc:E3M1C4] MVLSNYTFGLLQNGTVLQREIADPLTVRIMGWIMFLVSVIGIAVNLRVLQTFFKQKMTSFYIMCSSKTLSNMIIILGYLLHNVPITIIDNFTGPTFFNMLVNQMVSYGIYLLGPVTQLMISVNRLMVMLFVKKSITQNNQKITVALLVIFWIMAVLITAMGLRDSCNVIYNPELLNWWSDGCDDDIGEAVMGFVILCAILSNLCNLIVVIKLAMSMNKKHLDSESVRRRQAKSRKLFIQNCIQDWLFGIDSVNSTYIDLIYDGILFRFFLDIFSNLMTPVLDGCVMMFFNHGGEKKQSVILSVKKKDTTTQNTGSMARF >CRE16476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig305:59382:59909:-1 gene:WBGene00066886 transcript:CRE16476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16476 MTYTFSANPNQRQGDHHLPKAIHRHPFIKKIVRNHVRVLVYYGDTDMACNFMMGQQFVDQLGLRRTLKKTPWKFDRQIAGFKTLFDGLSFITIRGAGHMAPQWRASQMYYAVQQFLLNHPL >CRE06319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:239071:239883:1 gene:WBGene00066887 transcript:CRE06319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06319 MSKRKHTDTLPDDPPQFVAYSDYMELFNHVSKLTAIVNELRSGIIESGSKTLGEKIALTCEPLPDMSPIGLPVLAPPVFDEVFSQSIFPTSYASVTSKNAGANPNSVPKVSSFTPNHLDKLEIAREAAKLIDKATRVVIERFPDDRNDKEQDTKQLRILQNLASSNNLPVPVKIHRHECKSMYRPLKVQFESSSDRDSFLHGFHIARRTNPDILAMPSKPRARRDLTRPEWETLRASRKHVYDENKKAGKTIFIMSDINYRVNKNPLPFL >CRE06318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:236613:237985:1 gene:WBGene00066888 transcript:CRE06318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06318 MEFNEIVTRVLGSHMILTASIGLCINVLMFHHFWKLEKTSFYMLCISKTVSNCMTLLIYLLYVGPVNAFYNPIGTLELNAYLNQALGYGLILQGPITQCLITINRLLVVWIFELYVPQYSGTITIFAISLSWVFTIWVSTLFGLPDNCRVPFAFPHVGYTQTDCNNQVTWYMLYGILGLAASTNFMNILIAAKLFCRSRSQKRLSSEAHRSRRKLSIRFYFQSCSQDWISVLDFANNIASFTYCLSQVCVTSITMGFDVFVYGADGFVMYMFNRKISTKKIEIKSSKSSAFMKQNMTIITPISS >CRE06317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:232251:234962:1 gene:WBGene00066889 transcript:CRE06317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06317 MTSVSRETAEIRRELRNLPDNWIETLFGELYNGSLQVTDCHRRYQGANGYIEPQIPFDSSEFGSGQRERLSEKSDDTGDNEVSENVEGETYNEWNVSENEQGITENASLDLEKEDSDDVELDSFEMKSSDVEGIKSIDSLAESDEEWEARKASFLNAGEVKKKSDDVQKEPENVIENSSENSSTVTEDVVKPGDCPEEATEYIHAKIRDVRKEPQIVEEKRNNGEKDPENVKDETENVDSDTKEDIFLEAEGIQEKSENPELESEENEKRAGDVTMVANSDDSLTEEVESDTLENAEDVKVIANNVIEESDDVEEKPENRTEVPDDSQKKSTEVTMLELEDAKQEVIDNVEEDSYDEESERIRRRSIRLEKEAGVEASRVFQSPEIRSECEAKSETSFEEDTEIETSNEIVIYQYLFHILNLRKIVKHEIQFFKSQSLVLQCQQASENAQIKQCKYPDYEMLRKAAFITPKVEFNEGIPEIIKQKFMSLLVRISQLKSQFLIIQSQSASKMARLFLVNQQNRVDLEMTETNDDILEAFQEYHGYPISIQELLGDLEENIAQNRLHSMILSNQWASRSTTKDFEEPVVRNTEITILRAENLLKSLGFGDFTPEIILLQVINFLEQVDRYKAQNLVIQCQNASKLARLSVIQDEYLGLFTFSSVPEFTNRQVSNQSEAIDAHQLKDIVFPEEYIVESPNPDDSWLQFFNIPSPLDLSTLHVDESLLVNSLDVSVSSILSEMPDVNLSEKLIGQDLVRPSGRRRVLRICQNLNALVNCTEDYFSFNEYDGPQVISLHLPSTSRNEQPERLIRYSNPRHRSREMPEVIEEEENL >CRE06315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:226013:226720:1 gene:WBGene00066890 transcript:CRE06315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06315 MSAPADLLNEVKATFHMMFGEVVPYTELEQGDHSTVGIKLLLQQYKFIALTAVDLVNLVNGIPPSPRYPTFDANTFHLMIFCNFSSNPQLPIDNLIPRIQVPTSVFCFFKSVWKKEKQVVSYNVPNRPGTEGYRKVDPHAVLWKSLTDSQRNNWSNRFVEERDFRNFLIANGYCKKAPSPNELQRQLRSQGVKRTFSSIN >CRE06509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:204649:213488:-1 gene:WBGene00066891 transcript:CRE06509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06509 MSLQLYFLSTTSQSTALSTFVKKYQNELSKLKNATLPIPKQDVGQIVEVIDLLDSKIQTLEATTIKLSEQIEKIGDEEDANVKNYEEKLPLLIQLNQDAINLRDSYHAVLKRIRSENVEPVDNKQNIKEFSQRRPSMEMERQVSNLPPVKLPVFSGKRWEFQKFWSLYEEIIHKAEISNILKFTHLLNHLQGGAKELLDQFQITPENYDIAVKLLKNKYADTETTILELNEKVRKDCAKDSSTREQRLLFERLMVAIKQLERLQEPVDNRMMKELIMEKFNDKIRRATFKKKIASTEDWTISKMFTDIEENITLEEDLELLMKGKNEPKEKADNPKKDRNQSNKSDRSEKQKKTRLCLFCKDSEHHSSKCTKFVSIKDRKDFLNREGRCLNCHSNAHKTAECYSTRPCYFCKGRHSSVLCTNRGESSSPSSANSSRDSKHNQQAKTKVKTATTNVTHTEEVEEAVQCETQTTERAPTTTSKAFVPTIQAKARNKVSGEWTTISMMIDTGADRSFIKESVAKTLNLETPDGPTLRLKTFGSPTAKGPEKYKEASIVVFTEKESAEIDVLLRSCVVGNIPKAEMSREDIRFIHRNKIDVNTDAFEDEVDPDVLIGMDQMSTIWIGDTITLPSGILLLNTKFGYTTMGRKKTSRDRSKVNSVMIINSIQEDEPFEYLQKQDVLKCNGDEFAGSSADERKEKDKQILQFFRDTVQKRIEGYFVKLPLKTNKIATLPDNYRLTLKRLIGIVKTTPLEVKKMIQEIFEDQVKKNILEIVTAQTPKGEWTHYSPIQPVLTPHKATTKCRVVVDASAHYKGNDSLNDAIEQGPTLLPDILDILIRFRSGETVILADVEKAFLQVRLNEEDRDLTRILWIKDINLPATPDNVEVYRFTRVLFGLNASPFLLAATIMLHLENHANSKLASKINENLYVDNLIFTFDGSAREALELYKEFKAIFADANMNLREFMGNSDEFNDNIPEVDKAQKSDLKVLGIPYDPDKDTIQLECFVSTDEKYSRRTVSRKIGSDFDPQGLMTPLMLTSKLFQRVLWQDEFAYKWDTPLNKNHESQWKELLDQTEGFIKELPRNILDQSNKNKIICFCDASQNATAYCFYAHNKYGMNIFLGKSKVKSLKEKWTIPKLELHALTMGTQRMLSVVQCLQKGDIGVSEAIILTDSEIALSWIKSTPGKKEVGVLITNRLESIRLASQEIAETGVKVRFGHIRSEDNPADLGTRGITKDEFQNSFWWTGPSFCQKDPSVWDTYQTFEIKESEEDNARINICNSIDDNADTAEIFDSISASSLLRKRRVIAYTMRAIAKFANPLSQDVKERLRTTIAELKEVPEGNPPISASEQSAAEIRIIREHQAQISLRKKHSWNELNLELDDNKIIICRGRLKHMDNAKMARFPILIEPKTQLAKLIIREAHGKWHCNEQQTMTEVRKKFWIPNLRQQVKSLLSKCVACQRYNKPPFKYPDMVDLPEHRVKETAPFQHTGLDYFGPISYRKEDNTVASCWGCLFICATTRLVHIQLIVRPDTSCFLKAFQRFVSLCGKPNAIVSDNAPHFILADKILQDIAETTTKNCNFNNEVKKFLGDAKIEWKFITPYAPWQGGMYERMMRSIKQSMFKGIGRSILSLDDIHTTFTEVAAALNSRPLTYVGQNLDSGFVLRPIDFVYPNIQVNYPMDSTLEMNEDYAPPGEISLAKDEAIAAIKSVAKVVETVWQTWKTTYLAELRSTHKLRMNNKRGKSETPAVGQVILITDPDLPRNYWKLGEIVKADPSSDGVLREVHLRTSKGNIIKRPINLVVPLELDGEDTQRKNETNGVSLPEEQVPDAPEIQTKDMVKRYNLRKQKRVNYNEDQHEDRFQVASAISTLVNFPWSKFMIMVILSIIIGPTMAISPLECTPTGIRVNVEYESFEMCVQNYCTSRPRMTWNSNYADVWIPPALKITDHHATAKILMANAVTVYELNCHAVSTCDSIDCVICTTNVLNPECHPYMALGGFAVILYIIAMIVYCIFKVKISMGAPLIMIYKLLQMIISKCRGFIPRKSSRRGKINWEIMVTILMFSSMIHSSNACQEVNLLSQGEKICTKEEPKTCKLVTQEHLTIGSFNKEACLRIEQNGMTTKEIRIRFLEIRMECLKNTITFTKDAQIHVWSAKRCAHTGSCVADKCLNITQNSMVPELGEANNHIGNTYCTESCGGLGCSCFFPSPGCLFYRIYGKEKNNGTLEIFQCAEWRERLVIEMSVTRLNGDRHQKTETMTLPVSFPGSLQDISVTAAWINKPVSPILENWLIRNDKSQVALWQPYRLPSIQCKRKEGDETCQLNERCTCEPAEDSMVCTCEEDDLETQFNTIQKRLPLREGHWTLKAENESVVATINDEVTIGLVLTLEDNVTTSILISSDKCYIKAKQIQGCYNCASGGQAEIKCTSSMKEVIGNIVCDKDMFTVPCSPNGKSTNITFFAQYAGFRKVCSINCGGRYTEYFKITGTLKFTGSMWTSIYRIIEGKTTLMNEIAWPDLSHLAQWYLQFMKSMMAIIITVAVIVATTGTLVISVFLIGFKKTAKWTLFFFAIPLILSMDIQEILRAASTIKKHAKILEKIENEKAADKGKEIEIHLAPKWGIDPTKSTLDEMEQFIAQLKEEVAEFQKDLESAKEEEKLAHQKYVTHLDTSKMKKIENLTVKRAEELNKEADELEKQVNMTNAVIGDIEAMIGFKNDVLKLVEKWTRNATFELHRTGKKPDESHAQFLARTQGGEVPQVEKDPRTEKAIKTTQRQEKDLKDRTADPMEHKHTLQSVVSKPTKRPAPSREIKTNEIKRQRKIRRITSFGEDKPNMKCSFCGGGHFSNQCPQHPSIADRKEIVKRDRLCEHCLLVKTKEPCGCKERTCYYCETTNHHSALCSLPQTIID >CRE06311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:191532:193272:1 gene:WBGene00066892 transcript:CRE06311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06311 MSYDGFDSPFGFVGMHETGGAGPSNGGYGSSAKQNPYGHSEDNWQKSYVQELNDAKLEMKTVSREYDDLCSLERQCKRELKALPGKQEERRVLREEWLKKEKEKKEKKAEEEIKEVRKKIEEQEKKMRYGNNVPAGNVDRARKYIREQSAQVYAEILMLRNRRPTASEIAPVVEPIPIPATHQPDSDEFLLSSDDFVVDHEEVLMGNDRTDESMEMEHDQEKGSESEVSSRDSETSGGLHDEEMIVEEICGDSENQEITVQEFDDGAINQDLDEIAVNLPDGDQEIELDDPLPDPLTIDQILAEVGDSEFGDAIRKWEQHEEWQNFSISGIDLKLHLFNPFGSQIEYQPVRKPASGRDLFLFQVEANNKIKLTEEVKASLWEPMGEDRRNEWKEHRVNIVKIQKEQQTLRLVEALQSKDYKSAMEE >CRE06302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:126044:130069:1 gene:WBGene00066893 transcript:CRE06302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06302 MGSIQSCDTSQPNESEPSTSLGPPPPPLSDQSVEQLIKYIEKQLERKKHVDFLELAEKLKPRMNSFLVPLGDEHCSAFKKVEQRQSAPDPQPQSLLMFKPFTLADNPENLQRPITIVSQSVEQMDAPPKLTAEVLKEVDNFTSSTQSEIPGCSDSAMPKLVAMVNKFNFNFNRINSSESFVTTPNGTMVVPNSMISKSPMRNLPSQILGSEMKRCQASSPDAFKFLYPSEENEKKVEHLEKKVEDLEKLLEEEKMKQVGILERTNAWHAHNCTYEWFWGRELARMYHKYKHFEKVAKTLMEKQQLGESSGEMPRDLLKAPSPICPLPPIRPPDLYATETIPPPVTLVEENFLRAHYDARAKVKKDHGHPEKLDPKSDAYKELLAKAIENCATCSLYKDPKLKIRTALFSAISTEEMPQLVKNPPGAYLLYRKWRDTNNGWLTNLDISKDWTAIRTAKGPEFWEWRNRANTLYDEHVDQLVKGYIRVEERRGRRRKKSMWDTKRRTKKSNDAGSGGKKKAVVGSEEASEPIEIDS >CRE06494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:99719:100912:-1 gene:WBGene00066894 transcript:CRE06494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06494 MQNTGDVVFKSPLAPPANNPTAESLHKKIENLTNHYYTHVVTIQEMSEENHKLKNENLSLRTYIDNSYRAWNAEKAELNMKVLFYQNSCNMIANDLKNRDNRIKELEMEIKLLKKGNYYFESENSANSFPGPPRNPFVPLLHQQAKIKSEPLEHDDTSSPVATIAPGPSQSRLSLLLEPSKPNASNRLPFIQPFRIKTEPLDVPETEQIVPKAVREEIIGEEDEDTENRPPPITTMKEAIEFCSKNNVELGELKGLNLKPFLFNPFVPNGPPQVVMNSRNPYCFYMNQIKGTENRELNLHKLAETIPVNGAPYPTPSKKVGRANGGR >CRE06307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:152641:154543:1 gene:WBGene00066895 transcript:CRE06307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06307 MSHRPITTLQEKYYRDPTRPEPRRTQCKCCNKLFVRQAGQKRYWNQHHSRHTTAAIQYTAIEEKIKKHMIQEILKETGKQSSLLDTFSQVESEVKELESSVMEAIMQTTITDPALLMEIIVSLSTQTPEREPVLLAPGPSSCGSLFLSAEPSGVQSQTSIQNSPVIPGMLSVIPDFSPGNSMGSSSGMSSGQASDAGYSSMGQISMGQDAVGPSRRPKARRQRAERPLLQAVGNRIPPAFPPTPDFQSITELSSYRNFRGKALKKLRNAAPVAPGTAEHKARLEMAMWNAKEAPCYTDRKLVKLTSLFDPSKEALPQEVHNPVSSLHLFRSYTALLGYDRDKSHEEWKIIKKEKWEEYKEWTTRASELYNEHYAQVQAGLITVVPVAKPKKRKVAEEEDEEL >CRE06305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:139491:141405:1 gene:WBGene00066896 transcript:CRE06305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-111 description:CRE-SRX-111 protein [Source:UniProtKB/TrEMBL;Acc:E3M165] MLAPVDFFTAQIGGVLMIFMSLIGLLFNVSAFSQYLSLEKTSFHIMCISKTISNSLHLLVYLGYNGPSALLYTQIGPELLNRYLNQAIAYGLYCQGPLTQALITINRFLIVYFSPIIIPWYSKWITFGSLSACWIIAFYFSTLIGFPDSCLLRFSHQTLTWIHDDCPYFIHYILQSDFLYLILPLGVFSNCMNFFIAFKLFLLSKNQSLSTEASRQRRNTTIRLFIQNCFEDWIYVLDTVNSLFIRDKVNDGFVIFLVTLGSNLITQVADGSVMFISNYHHTRKQRVNSAKIRHLSPIVPNKPIN >CRE06499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:136277:138691:-1 gene:WBGene00066897 transcript:CRE06499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06499 MSSDELELYDSDIGEEIEEVEKIEFLNREDVELEMKTLISDVESIVEVNAGMCRNLLHKFKWNKEALLNKMYESGDTQKFLIDSQVMAKCDDKVKEAKEGDCDICCSFGVLIGLDCNHMACKECWNKYLKEKIVDNGICEIECMVPECNLLMEESKIANYTTNQFILAKYRYQSINGYVAASSRLKWCPGNDCGRIVKIPDAETRLIMCKCETRFCFNCCLEFHDPIDCRLMKKWLIKCSDDSETSNWLNTNTKDCPKCSVPIEKNGGCNHMRCTNNKCKHAFCWMCMKAWQYHKENGYKCNIFDESKEKSRSETRALLERWLFYYNRYMNHLQSLQLEEKLKVKVSAKEEELQKNSTMTWVDVQFLSKSVSALSECRRTLMYTYAFAFYLKKNNNSEIFESNQRDLEMATESISGYLERELETKDLGTLRQKVQDLSRYVDQRRKALLNHCEEGVENDFWDFSE >CRE06303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:131793:133523:1 gene:WBGene00066898 transcript:CRE06303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06303 MSYNGFDSPFGFVGMHGTGGAGPSSGGYGSSANQNPYGHSEDNWQKSYVKEFNAANLEMRTVSREYDDLCSLERQCKRELKVLPGKQVERRVLREEWLKKEKEKKEKQAEERIKKVRKKIEEQEKKMRYGNNVPAGNVDKVRQYIREQSAQVYAEILMLRNRQQTASETAPVVEPILIPATHQPDSDEFLLSSEDFVVDHEEVLMVNDESTEMEQDQADGSGSEVSSSCETSGGLHDEEMIVEEISGDSENQDNQVEMNVEDSAGTTNQDDEEPVRDQEIKLDNQEPASHPLTIDQILAEVGDSEFGDAIRKWQQHEEWQNFAISGIDLKLHLFNPFGCQIEYQPVRKPASGRDLFLFQIEANNKIKLTEEVKASLWESMGEERRNEWKEHRANIVKLQKEQQTLRLVEALQSKDYKSAMVE >CRE06495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:104236:105173:-1 gene:WBGene00066899 transcript:CRE06495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06495 MSEPPMVPIATNDSRFYHDCLVQIHDLGRYVHHLQNGLVILKNHIQKHEESIPDPKEIVDTKIGSIIVEKHLEDHMKMLENLGTQVKQWEQHYFCAQQGIRQPPPINSDWEGSASGSSNQKPPETFEEALELWNKHFPTDPGYRVDKDLTCYLFNPDGEKIAPQIIKQAMTQRDFYQSVCPVTKGNPSWTQLSEDEQDEWATACKQMKDIQKKQLELGLIKTRDISEKHRRSKEKRKARKMKLDLIKNDN >CRE06297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:94482:96519:1 gene:WBGene00066900 transcript:CRE06297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06297 MQSLNLPMPEAVKGEHHAMKEFEKEWNRDSVKQNELISSTIGVSLQIMKLKSSINEILNSRARPSDSPSVISMEGPLFSPFVKTTDRFDTAIEEKIKKHMIQEILKETGKQSSLLDTFSQVESEVKELESSVMEAIMQTTITDPALLMEIIVSLSTQTPERKPVLLAPGPSSCGSLFLSAEPSGVQSQTSIQNSPVIPGMLSVIPDFSPSNSMGSSSGMSSAQASDAGYSSMGQISMGQDAVGFSRLPKARRQRAERPLLQAAGNRIPPAFPPTPDFQSITELSSYRNFRGKALKKLRNAAPVAPGTPEHKARMKQAMKNAKEAPCYTDRKLVTLTSLFDPTKEELPQEVHNPVSSLHLFRSYTALLGYDRDKSRELIRTKIKYIRYGISDEEWKIIKKEKWEEYKEWTTRASELYNEHYAQVQAGLITVVPVAKAKKRKIEEDEDEEF >CRE06296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:83260:85529:1 gene:WBGene00066902 transcript:CRE06296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06296 MENTITTINLWAIDNVVQTVLANQERRESDKIEARMALEERKPAVRLQDGQGSAFTPVTSRRHVFNLEYNQPAGSPPIKPVSGVSEEEDIQKLVLMAKSLLAQLGLLIFPVVQNQVEVEEEAASPTEGNAEKSSQTDNHVLDIEVDAPQNEEKGGEEQEDIDDEEAEMEREQFTDRGEMSPASKTSSTPSAPVPQAEERGEEQKEEGVEEAGMKREELFPNYNQGEMSPVAPPPRTSPIASGPAAPSSPSAPQSPTPTPSAPSAPPASSTSSAPQSSPDPSYAQPPAAPSSPAAPKCPTPTATLPPTYYVQPPSGPSSSSAPQSCSAPTFPPHILEIQRKAAKKNGRRRAEEKQDACRAPNPPLVRDSELYNRRVQEALDYIGGSPVYIDDHFRKLKFLENRLKLVSAFFSEKRRNLFDASNIGEIPQPVCIKAIAAKELYLAWFKVNGKGKSEFSSFWSFIIKRFIGSDWEAIKEENGVDYWEWIGREFEIHDEFVRQVKMGFISAEPMKRKRRAPQQDKDDGLEREDPEYEEEGEDEPGSSTLRPSDGAGHSLRKKRKGNESGDESEEDEEWSRQKELHKKKKTKVGKKPMRSKRAKMDSEEEDMDDSDQDM >CRE06295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:76689:78737:1 gene:WBGene00066903 transcript:CRE06295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06295 MNLSSTVDQSLLDLLNMLQGNCANPANTSFPINPPGSLLLPHANSTASIPIDLSMPSSPINRVIERFDINPTIPAPTVPFPINSASITWQNQFFGARRPLAQTVPSATFLEMPKSFPPNPLDNFLAAKTQMSSTLQNTGTTTHLPTSSVPGLLQPFNMLPQADFLGVQTLNSNFAASSLGSLSTALDSVRPGLCMPSGSTLPSSLQNSTRDSPSPPSLTPNLSFMTEREMEKILDSPFSGDCSPQDFARQAYAILARGSPDDQKKKTLEVLEHVIKSPIYEDWINVRTMNIYDPSDVEAIWKPQKVRKPSETKNMFYRWFIKTGRGNSEEAREAWLRMPPATKQEGTERKKWYQRSKAIKAEHQFQLSKGYIVVKEETRRLMIRPY >CRE06294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:69974:74413:1 gene:WBGene00066904 transcript:CRE06294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06294 MDNNAFTPTNVFFLFLMLYQLLVNANRRREREEFYRLLPRPAGRPVGGEEGLTAFRPVTPTPDPPVLFVCEAPVGTWYPTREVPGSTAAGDSQIISQLLTYLNCLLPPPGIAVVGAEGSDGPGSAPSGSGPDTSLDGEDGNDDQPGPSRRRSEPDTRDVGTGTTEAEDPRLAQLMEENERLRKENEEKDKKLEKANKEKELGARVDLLEKRMENLEKENKDKEGHGIEIGGEGTENDKLKEELKKAEEEKKTAQDAVNAMGEMDNEVIKKLEDDLKKATKEKDDMEAKMKEKLVKEKKKTESAKAKMEEERKKKEAAERELKEIEKIENEEINKLKTALKRSEDAREKQIMMMKRILDEERKKTESAIEKMEEEKTKREAEEKKLKKMKEKENEEIKRLMAALEEERKKNQLLQDQLDEAHRKDVPSGTSDMKIQTSVEQLDEESQTDIGEVIQPVTFANYRSQPQLPMQLPRDSQVEDVALPGGGEEQMAEELMVEESGPVEPNRPEEQDTEDVEGAETTDIGIVHHVQPVNFYNYGNQFQFRSPPQAPGHSPVEAAALPGGGEELLAEESGPQEAPKQRRGTYRRKKTGAVEPWKPTRTESDNVRKIKQHTTPLDPNSLEFLQAFGAAQKNCQDCPLYSDEFLPDRRNLFNPQFTSQIPQKVSMKRVTAKRLFFNYRKSQKHDIKKTKEEWKQIEREEGQEFFDWCERDRMLFEEFKHQLERGFIRTRETDELGAGEEEEDEEEEEREEKKTRKRGRPRKDKNNDNEGEPDGKKSKKDEAGPSSQ >CRE06492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:64060:64833:-1 gene:WBGene00066905 transcript:CRE06492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06492 MSTLPWIMTAEQFVQYTEKLLEENESAKKADSAPSSQSNAASFGAVNPLIFNFPSSVGLPQSSTTSLPGVPVNSPIPASLPIVPLNLPVAAALPSLPTPPINYAFLLEAMEYCNTRPVYLDQKISKFRFRIIVNDVSISEDFGCLFNQLKPEIPQHVSKPRNPPSLFVDWYVTAGKGDCHDAVHKWRKGKRDQHGILHAEWTLRYKMLQVEQDDQVKLGYIIVSK >CRE06491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:61784:62951:-1 gene:WBGene00066906 transcript:CRE06491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06491 MPNSINLFPFYQTLRQALINHNQRELHQLLAGHPSGEVESFEDQEGQNQFVFVCKPPDNRPLSAMFPNSFSVTEDSSAIASAINDLVQAIQGSEQAPNVASTSSLNEQTISTSPTLDIADPETGEIPFCDPVQSTSSEYILQIQKVAAARNRKVRAREKNEVKQFPPLTEGTSEFKERFEEAKQHISTSVVYLDPFFCNRANLFNPRVTNSIPQKVGMMMINAKTLYWFWRRSTAIGFERKTLDKEWEDIKKEKGTVYWEWIGREIQLHDEFVVQLRKGFIRADSLK >CRE06490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:57808:59743:-1 gene:WBGene00066907 transcript:CRE06490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06490 MNPTPPFPSWDEYLKILSGSGLGGRANSLNSMPSLVSPAGPLPNCHVPRRPAPTLTRTASSLPATTTTVTVQTPTTPDPPFAILQKQVFCSYEVRRPLARIPESCYVSLSEDVSKVSMESPVPPLTPLSPSSLVSEHLIHTPTTLANSQILSSPQISFQESQIGLTMTSSMHSREEPRIKSQSASLPDTITECSRTTPFTSAVMLNRLIVSSTQTTEKESSSLQSPVGSQISSSAATSPSPSVAADSLFESPSRSTALMDSLVNAQAAPILTGSESGSLNHLLATTMPSGSAGSGTIEQTPSPTTTALSSPHPAPSTSDINFSPSGSTSHCRLLQTLLTLQTPDFRPDQTAQAIATGHMVLPVVTQAFPLDPSMNWSRSDIPDTIPLIEPTPDYKCLRELRTYRTKRMRAAGKIQIPAPLVPGTPEYHRLYLAAMKNAGESAVYKDPKMSEFILLSLKHSFLISALRSSIFDPHSAATLPQQVKYPMGSFVCYRSWRRSQNVKLDEIKKEWEVIKRENGAEKKEWVVRASNLLNEHYAQLRAGYIVLKSVDGKKKKVERRFKRNEADKEESDEEDKEEDDDLDS >CRE06293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:55798:57270:1 gene:WBGene00066908 transcript:CRE06293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06293 MNHPNNDYNFFRFPPNTQQDDSRLAALEKRADSLTTTYYVQVIKINDLTEENHKLKTYIHSLRAHCETTQSQKTELEKKVEDCESKCTILECEARGKDDEIQKLRDEVKKLQEENAAARLDSHSKPCFHAGAHPGHEPVLMMEAEQLQIHLLSPSPDIPPFNFTPSPPNLTPLVIEDTIQHSDIESFVPEAIREEIVEQDENIDHQMKEFKDAIKFCRENFYSEELIDYDLSSYLFNPDGEKVIPQLVLDLGTGTHSDFYETVNKHVMNCSKWEELSSTVQRNWRNKLKKTKEMQKKQEKAGMIKVQKQQQIKTRTLRRRKN >CRE06489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:44043:47078:-1 gene:WBGene00066909 transcript:CRE06489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06489 MQHFAQDGNGQANQVHQGQVGNNGMDWQAMPAQGAIPDQGAVRHEGGGHARNDHGGRLQAGRAWAPYQPPHQPTAEDFAIWRQNNRIMREREAQHRAIARQHQEQMARIGNPQMNQREEQIFPRQGAQGQEAGAGQLAAPLLRHALVGEREVQLPPQAGAPQQPPQQQQQQHHRQQQQIQQQVPQPHYPPQAGFVFNQQHHSQRYQPQHQQPDIRQHQQTPFPQYFNQQQQQQQPLPHAQAMARNDLDHAVFHHPQQNQPLPQQQQPQPLTPHQPALPSHTPSPQNAIDDDLLKRLQIMNAEMREMSNKLVKYEADKLISDQIMKRKDEYIKELSAEVGRLKMEHARIIPPATNTVGTEMSPPPTLLSTSTPTQTTSPPPSTFLPSTSSVSPPPPPRSPIHIKQEEPEVVIEEGEIIVDRILPPPDKDTMEVVVVGCAVVLPEERRRFVSHREAVQFWEANFGGWQNPGVERNRALNLNEYLFNPEGGEATPQVVKKPCNSANFYQNQRPARRGYPNWTTKSTRSKKRWLEMWMEVRKQQDLQVRSGLIVFRADVEAGRVKMEQVAN >CRE06488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:30678:36137:-1 gene:WBGene00066910 transcript:CRE06488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06488 MQNFNHQGQGPQGQGFAAGNWFQRQGAPQRAPQEHEVIVLDDDNDDVVAIPPATPGAPRHPQGMPAPHPWEGMPGFRPEQGVPGVHPGHGVPAAHAGYGMPAPHPVQGIPAPQVGMPHPPFAMPPPQEGGAARQEGGRPANRANRPANDGQGADRQRAGRRGGKARGEREERAGAQRHRAVPYPGRQPTPQEVAAFEQQRALMEAQHRHHVMAFNQRQQMAHFQGAPMHLMLHPRGFQGQEVPPVQMQAPLLHRALIGEPGVGQQHQHPQQMQQQHQNQHQLQQHQMGGNMPANYPPPPSPALYQHQHHHQQQQQQQREHDFMAPNNPAHHFPPPSPAHLQLQQHQQPHQQQIQEPPHHFPPQSPAPHIQLQQHQQPHQQQIQEPLHFHPQDAFPHHQEQQPQQPPPQNQPIHFPPQDVFPHQQEQQPQNQEEVILEEAPYHFPPQEGFNHHHQQQQQPQQPQEPQPQQEIIVEEGAFYMHPHQPHHQQEAPQNQPRVAELERKIKQVEKQEEVRNIAERMKNEEMVWKNGEIGRLTRQVEMLQGELNTALSVRPPSRVNQETQTPRQTSHVPLTTHSAQTSPPPSPNGSTPSTSVPSTSSAPPPLQIKEEEEGYVQEVDVVLAPVARRRVEAHQEAVAVWREKFEGWQHPGMAFGEDLDLACYFFRPADQESPVPQVVKRAANPKSLYQNQHPVGANNAPWSALGREEKDKWRAIWDQVRAEQDRQAEEGLVMYKSGEKKEKAKKELVWIKQELV >CRE06290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:22313:23761:1 gene:WBGene00066911 transcript:CRE06290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06290 MEPFKLMNTPFIVFQKIIKLMDMIDVFELSHTSNRLFARIKYSRRRIEPIYLFKKEKHRMIRVIEKNDENSQFAIYLTSENVEKDVLRQLRMGETVFDVCEKNEKSLRCSLHNELKEEDFDFQLVHLFNYVSDLFHNKTVTVRIRPSHIETSSFLFSNLQFENCQLIKVASGKSDILSSDGMSRILEIFKPTIGIALKCHIEEGFSPRNILNLPRLYIVKVRWLTFDDLLNMECETAFLKHHSFTVEDVKKFISHWMAGSNPKLKHLRLNRFKREPNWEHILEGIQYRVWDEKEKKKRPRNFKERFIYNMKEIDCKNGLDFERKSDGMIGTVIHQSDWIDFFVWHDMQF >CRE06288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:9377:12166:1 gene:WBGene00066912 transcript:CRE06288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06288 MDRFFRLGNMGGGLGQFGASPQDSNQVDTSETVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVNVIDVFAMPQSGTVSFWSFQRKFQGVSVEAVDPVFQAKMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDVNTQQSFEALSDRAVAVVVDPIQSVKGKVVIDAFRTINPPSMAPNQEPRQTTSNLGHLQKPSIQALIHGLNRHYYSIPIAYRTHDLEQKMLLNLNKLSWMDAVSVENYTKCGEANKEHLKAMLKLAKNYKKTLEDEKDMTDQELAIKNVGKMDPKRHIADEVSKMLNDNIVQSLAGMMATTSLQ >CRE06487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:2726:4443:-1 gene:WBGene00066913 transcript:CRE06487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06487 MVTTGQDQQTMLNLCWFQSQEETITSLRHQLEQTNHWNKKLNNHLYTLTTENKLQRQEILGLRSQLEVKDQEIVDLKGKLPNPLNRIRRRRSPTMSERLKCEIKKQRVLDDSSSLTMSYRTSQESPETPMTQTSTPSSSNTLSMCATLLATMEMPPAPDFTNYTFTGNVHFDGKQFTFDIGGNNHGSDETLQPDEQEQKIDGNPITSFQDALAFWKQNYKEVDRFGTPLFGLDISSLLFNPNGSQVIPQRVIKIRNEREFQEMQREKRGQKWEKLSDFRKNQWKEKLGRLTEIQKEQLAAGLIVFLNENCRPT >CRE22393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:924964:925717:1 gene:WBGene00066914 transcript:CRE22393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22393 MVRNNGTVKTKRALAENKNSQKEKTTSQPVAKKRKTEQPQELNKQDEIRILREELEKAEKESRKAVKFIAEETRRLKRENVELEEEIRDYGVELRYIKIKTDRELVWKSQEKEVLVKSLEKGQEADRADNDVFAKEEKISQTYLEAINNLKEQIKNSNMTAARAMALRKACEMCQIEFNENFNDSVPKILPCGHTACLGCIKNLLTDGHVRCPSDRWFTKLSGTPESLPTNFAAL >CRE22480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:908882:909940:-1 gene:WBGene00066915 transcript:CRE22480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22480 MDKDIENLVKCCDPCAGAAKNPVKNVLHSWPCSTKPWNRVHADYCGPLQGIYYLVIVDSYSKWPEIYATKSITTSATIHIFRQVFAQFGNPEILVTDNGSQFSSKQLEQFCKLNGITHVRSPPFYPQLNGQAERFVDTLKRALQKLRREGNSDSAVTTFLQTYRATCAASPNGLSPAENFLNRKLRTELDLLLPMDPNVGDRNRKMEEQFNNQHGAMPRHFVVNQKVYVKDYRSPKATWIPGIIIRKLGGTIYDVRADDKTWRRHANQLRTRSSATACQEAADLLEMPIRFTQEPLTEPVTPPSSTTPPLPTNPIVPSQPASPSPPPLRRSSRNVHPPKRLCMDPKKKSYRR >CRE22479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:905898:906196:-1 gene:WBGene00066916 transcript:CRE22479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22479 MTQEFDGQLAALELACGKTTFPLGSEKPRNYSSKMSSWYAKLVWTNGIHPIAMNIIFVWIPIKHTSKINRKRFCTYAHDKMEE >CRE22391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:902782:903750:1 gene:WBGene00066917 transcript:CRE22391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22391 MFGYPREFELFNDVIDKKIEKGGLKHLGSGGYGDVLLYTSKETDVVIKRVKSGQGCKNLKEFKIHELLSKDGNKHIIQLFDVLKTQKFLFFYLEFAAGGDLEQMIKAKGTLSSTIAKEYFTQMIDGIQFMHGLGIVHRDLKPANLFLTSDGILKIGDFGFATKFRDDEGENMLVTLCGTAKYWAPEVETSKKLVDGPPLDVWPCGIILIEMLTGRRPWEVATLKNMENWISKEEDKNLPWNNVEDDVMSLLRKILVVDPAKRATIDDIQEDKWLSGNKNIENGGTAQPKKDRKRKLQTVVPITEDNGRKRQRVPKKKFSCLE >CRE22478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:897484:900369:-1 gene:WBGene00066918 transcript:CRE22478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22478 MTNFPKDCEQKTRVRNMESSLIFFLGVEVMFAALEFFFMSPVVLHNYKIIKIFFIIWIPNLVIASFFFLAVKPGIFAMYRAGFRVSFHIYLENSFFYFQMIHFTAIANIYYWYRLMPVSVCTIGLYVAEFICLVDGVSNEKMTYPGQWILTIVHKLRKSPQETAIEMISLHSSTNDNFLSEVNEKPFARELDTFLHYSSDKDSISPYSSLEPLEFSFRMDIVFAPGALANGRFRVLDMLHSRESQLYNVKDTQQGDVECILKLYKRDIFDDAYYNEITFLKACKGKRGFPYLFSEFPFTHGDIECDCIVISDVGDTLLSQLKMRSWRFTMANTVRIGYRCLELLEKMHAMGYVHMDVHQQNIMVEHDYDGELKLNLIDFEFTSKIHPPPSCYNFLAWHSSLNVATHGDYTPIDDLVSMVLILFSTQRIDPFGRNKEEFIQKKEEFHADPMRPFPNAESQWLGRLYIEIERQRTDGYDKSKILEILNAAVPGIDPRSPIDFGYQRGTYWIQ >CRE22389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:890238:892831:1 gene:WBGene00066919 transcript:CRE22389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22389 MHKFAGEVSPGNHSFPFTNESPKVVQKETTAVEMDTYSHNTLVDNMCRHGEFFRSDFKTPSKHRRDSTHVIHLSSDNDASPMKAMSKKVSQSTKKLLTKVTNVAHRLLTPFKKARRNDSVDHAAVQYTPNDMDGHQQKRTDFMDPAGALYCPDVMEYNQQVVDTQCYDEVLGGESTQAKDDEICQKMWSPMQIFSRIDPSEETFENIVEELSSSVLIYHPNDSKWNEKEVVAAQSDTEVLDVKTTHAKDVLGLSNDETRYDMPMQIFSRIVPNESEHRVEGPSTLMRIFQTANDMTPTKSPMPTISRLVLNKKTPAKCDEGPSSSMLISGTAHASQDNTHVITVEMTPTKSDNKHGVLQWSSATLALHSPVVAPKYVPGPSNVAGINIIPPVLHLSSPTPLQNTPEKDDGCSPIVSDSTPTKDYKRDFKVVPEKKKGRNLLSILETQKVCSHVKKINCTPYDLQNDFKKNETSSPSASKKNAAEESTDLSLLSTPSESAPIKFPGEESDDASVMLAERDDKCSGGILSAKQSDQLLSFLKNMGSNVKNGFSSIKKGRESEEPASTSQTMPPVNTFKETTAIEAHGTVRADQKARNPKLGKHTQLLKVKKVEPRKPRASKPNSVEERDPLSYLQRSPLVSEHESLKVILPLLPKPEDCVEFDIYEEMPPRRPLPISPLAVKSYPVSVLPPWVEKTAKRNLQKVLLAEGPAGTSSTPSTYSADRDSHNASDKEPEVLTEAYTDVDATNKK >CRE22388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:888038:889107:1 gene:WBGene00066920 transcript:CRE22388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22388 MDIVFAPGILANGRFRVMDMFHAKETQLYNVKDTQQGDLECILKLYKRDIFDDAYYNETNFLKACKGKKGFPYLFSEFPFTDGDIECDCIVMSDVGDTLLSQLKMRSYRFTMANTVRFGYRCLELLEKMHVMGFVHMDVHHQNIMVELDYDGELKLNLIDFEFTSKILPPPSCYNFLAWHSSLNVATHGDYTPIDDLVSMVLILFSTQRIDPFMRKKEDYIQKKEEFHADPMRHFPNAESQWLGRLYIEIERQRTDGYDKSKILEILNAAVPGIDPRSPIDFSYHAGTYWIH >CRE22476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:884620:887350:-1 gene:WBGene00066921 transcript:CRE22476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22476 MAFRVHPVDPNLEYEEPYEHPLSPMRHPVDRNFERDEFDEPVLHPLPNPEVPVADDPVPDVPVGDVPAEDAEVAALPLAAEPILVIQDAPENNEHAAEAMVLDGNEKPDDEGVDAAAPNENQNPMDPPVVRDARNAPKKKKHQPQPHPVEQHFEADAFDEPEFFADPDPRVPVADLPGPDVPIEDAEDARVEEIPIEENPLGDEPVEDVLVRNVEVAHLPLSAVLDPIIQDVNEQVLVPAGVMEIEDSGEQNNGEITEAVVPNEMQDPLVAPDNCDARSLRGNENKTKQDRKRKTDGKKNSPKRRMTTRKEPSTPNSEPSTSNAHVSQVNNTTAETHRLELVDLRNAIVYKVTQMTLKTKSMARLPQDLQAELSNVKTLNELYEAVHKFFLELLKVNRGRKTEEIYAKVTRKLRNLGLCCGELREYKATTMSCEGGNCDGIKANESYYGYKEEDGEEYCYCVKCFDADCMAATYLTLSEEKEVHKNRFEECCNVSIAEPLIECNACCNFTHEPCKALQEDSIMCKCDVKPIFDFDKLPTNMLTNFVEEALSQLNFIKLLKVRIVRNVTALAPIGQKMKSFLEKSKLPLPQQVKCKERKIVVYQKQGAVYVNFLSFSVKEYESGWIVLYYLDSVQHLELDDPKKRSAVYRKVLNAYLSYAENCGYQMAHIWADAPKKGVEFFFNERPEKQHWCSQDQLEGFYKKMLEEGNRFYRIEYNFKKTKSYTKLYEVLQFSDFFMDCMEEYIGSMDGKKVTFKEFKSHLVKKAESRDGALFYINLCADPFNGEVNITDVDEEIKCDFAVSKEDWINFQFQNKLSFDTRRNAQFATIKTIEALNNTIELIEDTAE >CRE22475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:873826:881983:-1 gene:WBGene00066922 transcript:CRE22475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22475 MVRNKAIVAKRSGDNSTSQPMSKKRKVQQTQQPSKTDEVETLRSELKQLENERRKMEEYFAKEVRRLKRETIRYEKDADHHEEQLQITLRDVETELREMKKENERMKLEEKEHESFMKLVEKARETDIADKEEVFQNEEKISQIYAERINDLKQKMKSTDLTTEADEIVAEIVCERCQKGFKNVAKDEKSPKILPCGHTACMACIKELCVDGHIRCPFDRSPPQSTKVDEMEAKKPKYEGEICPICGDQVSGYHYGVLTCESCKGFFKRTVQDRKHFRCALQANCRVDQSFRKRCKSCRFQKGLMMGMKMEAVREDKKRGGKNKFNSYYMMDRKERLALRMESQPEPHFMSAGNQDSRVATGQNAQTQYHDPTKITTEYDAHLQSLSSHSLLYPTYYPLPEMMPIITNTPFLVPYSPSSKYQNIYLGFQISQFSASTPSPILPLCSTPTERTVNQFFSSSICKTMPDDSVIPLILSRIVKSDAHAFAVQVADENLREIVNWAKQDETFSKLELNDQIKLLQTSWLTIHIIDITNAMVLGTLHPQYNIGDGEEVSVGFIALLGNQNLVSSWDDIVIRLRNIGFNKHDYCVFRCLALLDEGHNATVNAGRSQVLHAWSEVRSNTAFLEIFDQIRHLASISIQYVWGLQHTRPSVWALLNPTTSAALELVKANATRSGKRGAYEQMIQRGAARTTDTTTVEPTAVRGQRGDSGGTQGGAEVYNNRPTSAVIESISD >CRE22386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:861051:863580:1 gene:WBGene00066923 transcript:CRE22386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22386 MSKQRIRVESSTGSESDGPGGTNQRPIKRARVTVVEVDRDDRKTNRRTTKSPRMVQAKPPQVAPQQPPNLSDSEEDIDVNALLAQIAENHFDNGTDETTVARNLTVEQMNDKFAKMTIATIINADPSRKRSMAAPKVQMFKKFLCRIPNGCIEANNGQRFGSWHRDAALEYPGIPTRKFCEKDMNQYGKTDKNWLIQTIFSHPTARNQFWLYYVGWTCKTMCLEKLSAFDSTASGMITLMRLRNEFLSRLKRTLKTPELKSLADREKFLDDTVKTNPDHIFWLYQDMSFFHAKIHHNEGLGPVLYMCLREDMKLPPKYAYTTVNVMNIEIYQICVKNSVGRRFTSLRLGVAGQFTACEKPDTCQCNMKFEQLFASYTDSDGTVIRRKNRQPNKEGILDLEGFEYEEERIVIECSDGCGCSYNCPRRQLQRGQQKFLVIFYEGDRGFGIRPGEFIKRGEFIMEYVGEILALKQGDYLNRDVSYDAKLTVFDNNLVISSARLGNVARFLGHACQPNATFIEAYSRKCETDPLFPRIGVYATSDIKIGEEVTISYFHPSQLLGSTGIKCSCREDCPNFLPTADDADE >CRE22384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:850734:857891:1 gene:WBGene00066924 transcript:CRE22384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22384 MAPKAQLRRKLQGYRVAPDSDSEDAGYSLRGRQRNQAGRFISKRARLNSQHDSDMEIDDAGPSQRANRQRAARLRMSRHRLRLASSSESESEEPGPSQRICPRHAPPVRVTCSQTQSPSPSDLNAPGPSQRICPRDAPPLRVTRSQTQSPSPSDLDAPGPSQRICLRDAALRRVTRSQTQSPPASDADEPGPRQRHRQRHAAPMRVTRSQTQSPPPSDLDAPGPSQRFRQRNAPPMRVTRSQTQSPPESDSESGPSQRPNKRSRVTVMKVDSDDEKENRRVTRRLAQAQRQHVIPQQPPNPSDSEEDIDINALLAQIAENHFDNGTDEAMVARDETVDEINAQFAKMTIATVVDPAPSRKRGMHVAKVQVLKKFQCKIPNGCIEANKGQQFGSWHRDAALEYPGIPTRKFCEKDMNKYGKTEKNWSIQTIFSVRDPTARNQFWLYYVGWSCKTMWLQKLSDFASSASEMVEHMKIRNTFLAKLKRSLKTPELKKLVDREKCMDETIKSNPDHIFWLYQDMSFFHTKIQQNEGLGLISYMCLREKMKLPPKFAYTTVNVMKPDIYQICVKNSANRRFTSMRVGVNDRQFTACEKPSTCKCNMKFEQLFASYTDSDGVVIRRKNRQPNKDGLLNLDDFEYEEERIVIECSDACGCSYKCPRRQLQRGQQKYLVVFDEGDRGFGVRAAEFIKQGEFIMEYVGEILALKEGDHRNRDVSYDVKLTVFDNNLVISSALLGNVARFLGHACKPNAIFVEAYSRKCETDPLFPRIGAFATSDINIGEEITISYFHPSQLLGTTGIKCSCRETCPNYLPTADSVE >CRE22382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:843287:845387:1 gene:WBGene00066925 transcript:CRE22382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22382 MLPFNNVTNTIDSSKQLGIGKVVRYCLICGDKSSGFHYGVLTCEACKGFFRRGHNNEFQCKYKTPCVITMENRNDCKACRLKKCREVGMNKQSHSVQPAKVPIDPIQQDKLEFEWISQQIRRLHLSTYDYSSDRMMLMTIKDLELKNKTETLQHFINEINSDITSFVFFLKSVPLLEEMTPEDKSILMKRHAFSIYLVRSAPAYTDNGFLCMNGGRIAWQKFYDVYGDLGMKMNATATEIREMNFTEAEIGVFLMLIMLQPIPMEDVVQAGFQNVSLLTETYTRFSRILNYQLTTRDPENRLYDKIQGLLDEVNAINNLHKQSIDLIKTNITSFSLPPLFADVFGILDIVLDGPAVE >CRE22472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:835010:836088:-1 gene:WBGene00066926 transcript:CRE22472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22472 MFLRPELPVGERVVKDQRMDRESERPQNLFHSDLNSVLSINPGKKNYVNTVSASAELLLEAKQARFWDRQIAKKIGSNEPTVRKARFANGIKACVKQIDTIARKCRERINRKKAVMVLRSGVYRIGSSVEFDCVRCIRELDAHGYSTITVICNPETVFTDYDMCDRLYFEKISFKTVLDVYHIEQPRGIFGTSPGDIDNAEDRFKFTRRLEPLKISQPQLKKKR >CRE22468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:801505:803426:-1 gene:WBGene00066927 transcript:CRE22468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22468 MHHFNRQPSNTPANANARVTGQPARRSQSAFVPTPSLQAILNQADTLAQFQQLAALPNYGAQVQAQMGVLPNHRTQFPAQVGSFPNLTAQVPAQMGAPQMRYLPNFGGQVPIQMGALPNHGAQAPAQLGAPQMGALPNFGAQVQAQMGAPQMRVFQNYGTQVLDQLGASQLRALSNSRAQVQAQMGAQVPAQVRALPNLGAQVPDQMRAPQMGAFPNFGAQVQAQMEALLNNGGHVQAQIGAPQVGANPMALPLAVLAQWMSLQQAAEFLGNRWMIPYIPVFHAPGVVPQAPAEQIPEAREDTNGNAELNENSQTPATISMSPETRPVTTELTLPHGIVQPTKNVTVSRTSATASAITGASYSSYLEDYEFESEEEEEVDKDEPCCSSSLRSYSDQPSTSDAQNSRKRVQVREEDKKNSKKTTKSKKEKKPTKPDETEIKIEDEEEGNETEPQVVLPRRNVNEPTQAELERIDPEILGVCQASHCILHEILPRLPKDDDVQWVQCDNRQCNKWFHSVCVRMTNSKFRDTTAFFCCGKQQTQHGKNAMSGAIYRRWKRTLAREGLSCRSEMLKEEARRKKK >CRE22467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:797084:799008:-1 gene:WBGene00066928 transcript:CRE22467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22467 MFDSLLCGGQTDKHTHRWVAYVWRDIDALMLEVPNSIFNCATMNRQNGNPAAPPGYPFPRFVYMAAPVQRQDPHVMHYYPFGHFPQYVAALPRPQFQFAPVFVPPAAYQAAAFANYQRVQRRLAEQYTFHRAQLAAMIPVAQVPEVVPEAVKEEPQEAGIADETDDEDEIIDVETISGPPSPAATDEVNTNGFAIASPLLARSPSSGVAPQSPVPMPVDPVVIKEEASPLNNGFPGFPVVSPFPSRSPSPGVAPRAQSPVPMPVDPVVIKEEATPSINRLSVTPPQPLIDDVPVEPERTVTSTNTADTVNDRPIVRPHWYETLREMSPAILSDISRSRHGHAHGHAVVLQRCARMRTINDRSAATSQAVIPRNANAPTRCRMVDPLAGINPVLRSRCAAGIFCILLEFYPRLTGPAQWVRCGNRHANRWFHSVCVRMQNSKFRKSTRFFCCGKAQTQEGRSAVTGAIWRRWKSTLAREGTLCRSEVMKKEARRKKK >CRE22377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:786748:790086:1 gene:WBGene00066929 transcript:CRE22377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22377 MLQNTSQFAKFEWSLLLSDSGGWKTYSEKEIAQMLEKDWGNDFVVAHLRRFGFSLSEILGSSSYFMKDADGWRAMDGDGRSLGDDYQKIINRDGDRRFNQLSLTSSSQQGHRGEGYVYSNIHHNHLQVSLPDIATTNSDRGGMMLSSRYNNGLNLLGADMLGLTQSRTLVQQDHQEEGYVYPNVHQNRLHQEKVRSPNVSTDSEAMMLTSRYNNDQKSIAWPFVQPTDGGNTSSENHQHLFQSPFLAQDQLGAELYSNFNIEQDNQHRVRSLNVQSDKGRTLPGNIYDTVLNGRGKRHQEFSTWPFRNENQQNLFQNNGLVQHDYHRGEDLQPNSHHNQINQRQASPMSSTNSNGGGMMLSSIYSNGLNALGTDRQESSIRPVYSHKNLFPYNVTEGRQLVAEFYSKLNHNLHNQHQASPPDMQSNRRDTTYNSGLSRVPTNWPFGQPSNGDNIRNENQRNLYQDYALSQQQNHRNDSNPNILLNQHNQVIPPIISSKNLNGGGMMSSGSYNNGLTALGGDMYPNDHYSHFHQEQVSPPNMSSTNANSGGMMSTSRYSNGGQHDHYESIAWPFVHPTDDGRTRNENHQDLVQNHVMAMQQDPQLESELYFNNQHNQHQVSPPKMQSNREGTLAGSTYNTGGGDNQQEFSTWPLVQPSNSDHIRNENQQSMFQNNALVESQPAELYSNFNQNQHNQYQVSPSIRATKNERETMMSNSYCNLLNGEVNGHDHQDSSTRQFTQTENGDDTGSYSQHMLFSNNVMAQENHQSDLDLYNNILRNFQQQGINPEGGSYDIGQLLNRGYECHVERTSSPRIENFCASDEPLQQFSSQTKDSPVLPHQTEPERHQEFRTDPTDLNYSNHAENQENDLDNDFFEKWEESSFNDNLASFDYELEQQSPTLSSISTPTTPSTSRQRAQARAASLPSLTGTLEELADRFGVKLPENSPDIVKPSVEIEENVKNFMAKFESMSITDQLHAHDELQDPDPVVVHARNVVNQVPRSHDLDKP >CRE22374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:775544:778607:1 gene:WBGene00066930 transcript:CRE22374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22374 MVKKGSASRNLNGGNSRGSNNNRRNQGNRPNGQQNGQGQNGKNQKNTPHRENQGNDNRNGPGYGRGQVNAPRQGNTENGNRDHYGYGREPMNGANRPVIRDENRPLHRNPLPPPSPLYDNEYDDIVEGVNPIVYPSRLRTTGSLNHELSHNSRPSHPQLFSMDSVPRSEDSNTQPVRFSPRTLVQSPMTLSTSVRTLFDKDVESSSERRNVKSSFPDWNLSDDSTRYDRCHHFPKDPKDGVSHLEYESQLQMPRFRRHSPVPLDFNEDPPASSGTFDRQSNLPIVGVSRMEEEKLAIIIKYLLSESKLPVRDEYHASRRNSILPRNRNGGVNHLESEAQLQAICYRARSPPPLQFNEDQPSSSENITRHANSSREDSTRYSHPHHIAIEKKDGAKHLKNDSQLPTPRFRPRSPPALQFNEDQGTSSGIVDRHTHSSGNPVPKIQSDFSRLSLEKAESQGNRRRHPFVVTFKGSVAPEFFEKHTSSLCSVTISVTGCKTGRFAAFPTDTITEFNEMNERIQEAMEYLKAIPPKISGELWQPGIGCIVRCSQNGWSRGMIVERKPDQEFLVYKMDLAVFRKVPLSDIWPLLPEFNDIAAFAANCCSGESETGKFIDGKEQIEKWGQSKIFVKFLDKKMRSNIIPRYKVEVFIETERGQIESLQRLSGQRNVE >CRE22373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:770932:772498:1 gene:WBGene00066931 transcript:CRE22373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22373 MSTPFNPVPAERVGDQSASIWTEFAALAVENKAVNLGQGFPDGSAPKFVTDILKKISEKPEMVDGHQYTRGYGHPKLVETLAKWYSYLYEVAVNASDDILITVGAYHALYYAFLAWINEGDEVIIIEPAFDCYGPQIKFAGGVPVLVLMKLPEGADRACDFQIDFLELEAKINTKTKMIVINNPHNPTGKLFSYSELQRIANLAKKYKLIVVADEVYEFHVENTFNVQGVWKMEMVRFASLPGMYERTISIGSAGKIFSVTGWKLGWAIAPKKLLAPLKAIHQNCASSCSTPTQLAVAEALEYEFPKYLFRPFKSYLAYKLPKEICKKCRKMSEMLKKADFTPIWPDAGFFMLADYSKIKNFPNFKESIQEESEAESDEPEPQVEVEDPADLMFARWLCREKKIAVIPLTAFYSTNEDKHANDKMIRVCFFKKDETLNKAMKVLDKLSQ >CRE22466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:769371:770314:-1 gene:WBGene00066932 transcript:CRE22466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22466 MYVCAEKVDVLSGLPSENLQQFIGTWTPSVGCPPLHPIQSTLTKEIPAIVLASSTLPSPTIAALDATVAPPPWSSGAPQSTTAQLKTPVSSTTMNTEIITRLTYDATEPTEISSAIEVETMIITPFTTTTSATTPMATKITTSMPVDVPKESPAEGEPWWRRFMNSHNFNWGVAQ >CRE22372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:767252:768574:1 gene:WBGene00066933 transcript:CRE22372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22372 MESKGGYPFSTCLVCFREGILTRHYGRVVCESCKMFYRRCVLKYKRYDCEYINMCYNGPPPLRPCYASCKDCRFNKCRTIGMQMQNETVPLLVAERYKCAAETIRDLIWNDDKRMNALKRQFSFDNPSLEEIVQSKGTCVQLVEQTKTHRLTPADWHFFFAYTTIVFLLHMDFITGMEEKDVITLIQENTVKTSLLFSSFDAMNRKFPSIRTPRGQHVVPESVREMFEKDPRYLYKTCNMLPNKFKELEVTYEEMLLITAIFFCKSETSPLSEETRKLLELHHDKFSSALLNYCKCAHSDTFQTRFEQLLELREILKENLKRIEFIAITTRIKLKPTDYKKLFDDILL >CRE22371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:764139:765723:1 gene:WBGene00066934 transcript:CRE22371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22371 MRIPVLIVLFALLVAAHLKCVCRSNEDEWNSYRIKVGETFRFINGQPLPGTKRCHDDADFFHLINGTWFAIPQKKDIRKWWAGLRLYKDNVVYTIYIDVVDRDWKPKNGIFKTPETTTIKMPKPWTRPTTVRPDSPTTRVPAVTGSTVKVCRPRVTPTWRTTKLFPTPTRMMPTSTSTQSPVSSTRALLVTPPLSTTRTLAPIPIPASYSTTTPTLSTTITEVMTPTKTTIMPSSTQSTSTTIPSTTGSPTASLSGSSTTTIGWYLDFEVSTKGDWQNFKPRKCEMETSFFSVERSTPPTTTPISSTPTSTSRSDSSTTAGFVVETTSTVETDFTSTDSTSTSTTATTTISAPTTARTEEEDMFPPGWLFGLIVCGGVVVGISLVVRCIARCRGV >CRE22370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:760099:761187:1 gene:WBGene00066935 transcript:CRE22370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22370 MPQNLPNEILEMVTNRLNIFDKIRLRRVSKRLRSVVVLDKNSYCNVDVLVADSQIILKLHGRLGGIVLTYRKCEDGTLIERSLGGGDKLIRGTNFIDVAQADIKSLISSKTTIHHLEVDQSMDDPEKIAHFWRSFCNWNVSKLKTFANNGFQVNAVLKVVQPTVLTDMKLEFVRSSTHTNAFSISQFVKSEQWKQLKMFKGRPRIRKSNLQHLLHLKKIDVISEVEREDVVRFCLGLSNSNVLESTVLRFTERLPVPGLVAAFRKTNTNIGRPSWKKILRIPIGEHLATLIKLTNNAAIVMRKLATDQDEKVIIEKIEKLSSI >CRE22369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:756432:757441:1 gene:WBGene00066936 transcript:CRE22369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22369 MLDKLPLEIFCMINEKVDIIGRASLRKVSKTLRKNMLHSRAHYEDVQLDLTKKRITLTVDSLKISWGCLPRVTVLEVHGRKWKTRPMNFIESAQMDMGILFSSRNTTIKNLTIYGFSPVELDYQFWEFFPVPMKVQKLFVAATGICHVQRAMEAVQPGVLKQLNVHFFVGHMRVPKLDVSIDPLFKLQQWKQLEEFVMEQGSIESSCFPFLAHLKISEVSGDPAAVDLVRLRDVSFCFKIVFFTIAFLQAVLTSETFQILKIDAHYNGLYQDYCAFDVLGGKNLVSDSETKKFINQIPNGGELLVKCSKKMCEFYRKC >CRE22465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:748777:750069:-1 gene:WBGene00066937 transcript:CRE22465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-64 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MEC4] MSPFLEYPATKNSARFGILDSNFSIFQIIMSKPFTVYLERVSIDTTTEILTIIQLIYGVPSLLLMISCFILISSGKKYGNSFYRLVKFDLFTNICVYLNTWIAIRIEMHPSMVFILKAIEETVPGSLTIFKYIPYFFFHLHFWTAALLTTHRLSSILLVHSYERFWSAWYWKLLIFTTLIICSHLPRYLWDGWLYEVYIINGELICINFPVALHQGYNVVAFFSIVYAVLNLTIGLSAACMVTKKFEGFFSILHLEGRIVLFSCFSGVSFSKASVARKLTKISITYCAAYTSEMLWSVFNSANTYFDILPAFFVEFNTNLLVFASDLFTLSLPYILLVYDSNIKSDLFQTIKSSNTGTIAVLSN >CRE22368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:741987:747534:1 gene:WBGene00066938 transcript:CRE22368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22368 MAKHSRSSSSESTPLNKRRRQSDQESTSSELLNLQLSPIPTNSNTSSSSPSNNSPLHAVDVTNSKARKIRKKTDEKWAAEFKDKIRDSSLLFEELGEKHAFWQLLDPKKSKQKNDLFRSDIYVILEKWGKDFDSRGIDESKVESFVIDRDQLKKRIGREQARLALRIFPEQYTRKLSEAFDKFPRNYRMFFEESLSVNVASDVIREFYDQALARLEEEEASRRTDESINSHPSGKSLDRREDAQQELDIEENGDFIQDDMAHPEADGDEIHEIDEDSDDDIQIIEPPPRRVFIAPIIRLDPDMFPFGWYQQAELEQPPIDIDDVLPPVRNIDRRFWHEDDEDDGDVQEEEEIRQEEPRREDALEDQEVQIIPPAASNRAADPDIQDLTLRTMSPPITGAEERRPVRINRDRSPTPPAPLDRVCFDSAPGRLPSTFVSKWTPSPNQNKGQSRTGRLSTQSPDSNKRQPSPRARDRTHPSSRSRNVSSSRGSSKSPSPKRSSLHAGAPLTSAKSSSQAHGRDGRRSIRNRSIFPVEIRTPGATTPSHQVPTTSSAVTANPPKLACCNIDATSLPYHTCNLRCDNNPDCRIPPDAEFWEFKGSKEQKVYCLKCYETINPNLKRRKFKKKKNENEAVEPILECQKCRRNFHLCCSFFYGEDLSKFVCEECSGVRVKKTIDGVEKCQLAAFMTKKMIDFLAARMGVVEHPIRICGYSEAKNAKTSTVFPPVLRDQFLKKYSNMMNYVSRALHVYQRVDEVDVITFAIYTQEYHFQKEDDKWCVIDYIDSLPYFKKFNGVQKKEIHRLLIHSYFEYMGGLGFRKAHLWSNPPPKGDDYVFNIHPFDQPFLDFTQLIDWYHKLLKDGKDKKILAKFVNFQEAKCQFVKPIDIPVFVGSLWSYVFQEAKKPTTMKIFKRELEEKKKEHGEDNFFIEIAPTTTRQPQSTHNTHEILGDREKLWETCVDKNWQFETIRRAKYSSVGLNRLREE >CRE22367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:732001:734272:1 gene:WBGene00066939 transcript:CRE22367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22367 MAPPITRAEKLRLARVNTERTPTQHAQQDRARPSTQAQRPARPSFPPRDRSVQSSTHHRGDSSGRGGTTSRGRSQPPTSSRDNAPRPPSTTSYRRGQSRVRFDTSPRRHSQRSPPARDNRRRSPSPVPHRRNQSRVRFDISPGRDAQRSPSVRDNRRRSPSARDNRRRSPSPVQYRRYPSRAGRLSTQSPDTKSRHSPDRNRRQYPPRRGDVTDSSGRSRNVSPARRSPSSKRSRTQPSTATQSSRQAHGRDVRSSDRNRSISMSSDENRAPRATTSSSMHAGPPSPLQGTSSSRNRNVSLSPDLRQPRSPISHRTRAQTRTRASSRNRSISMSPLRHRTPSPVRKIVLPVRATPTSPARPSTFSGARSSCQNRNFLTFPVRRGASSSIGRQTRSQVRASAPPPTQAGPSTSARGGSSGQRSSPSPIGRRTRSALRSPARPRHSRAQSVPAPKGPNRKWIAVDKELKKVVVSPENFLEGSRFEKLKELSDYQYRRFGRQIEKDRFDASKDIYCEQCDSYFRPSCKEHPVHRIEDAIVTLPLPRGRTKAEMTIPARYVYIANSRIPNAGKGVFAKELIPVGFLLGPYGGKKFNHRQDLESDFYAFMVETSPTTHFYRDATDPHHSNWTRFVNAPNSDEEQNLVALQINEEIFFRVVKAIEPHEELFVPYGESFRI >CRE22366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:728106:730927:1 gene:WBGene00066940 transcript:CRE22366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22366 MAPAITRSEQRRQARIDSERAPTQPAQHDRARPSTQAQRPARPSSPPRDRSVQSSTHHRGDSSGRGNTSSRGRSQPSTSSRDNAPRPPSTTPYRRAQSRVRFDTSPRRHSQRSPLARDNRRRSPSPVQYRRYPSRAGRLNTQSPDRQSRHSPDRNNRRQSPPRRGDRTDPSARSRNVSPARRSPSTKRSKTQASTATQSSRQAHGRDVCSSDRNRSISMSPDARDNRRRSPSPVPYRRNQSRVRFDISPRRDGQRSPPARDNRNRSPSSARDNRRRSPSARDNRRRSPSPVPYRRNQSRVRFDISPRRDDQRSPPARDNRRRSPSPVQHRRYPSRAGRLNTQSPDRQSRHSPDRNNRRQSPPRRGDRTYSSGRSRNVSPARRSPSPKRSRTQPSISAQSSRQAHGRDVRSSDRNRSISMSPDENRAPRATTSSSIRAGPSSPLQGTSSGRNVSLSPDGRQPPSPISLRTPAQTRTRASSRNRSISMSPPRHRTPSPVRNKVLPVQATPTSPARPSTSSDTRSSGQTRNPLTSPVRRGASSSIGRQTRSQVRASAPPPTQAGPSTSARGGSSGRSSSASPVVRRTRSAVRSRAQSLARGSPPKPRYNRARSVPAPRGPNRKWIAVDTVLKKVVVSPENFLEGPRFEKLKELSEYEYRKFGKQIEDDQVDETKDMYCEECDAYFRPSCKEHPVHRIEDAIVTLPLPRGRTNAEMTVPARYMYIANSRIPNAGKGVFAKDLIPVGFLFGPYKGKKIRHRRNLESNCYAFLIKTSDTRYYRDATDESRSNWLRFVNAPLRGVEPQLNAIQIGRQIFFRVVKAIEPHQEMFVPYGNSFRIPGVN >CRE22364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:720285:722660:1 gene:WBGene00066941 transcript:CRE22364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22364 MTSVQVDAQTPVSVPTAQIENSSNEQNQNPNKESDSSEPYPLLSMSQKRRKMDKMTPLRLHAVCGDNVLLNRTCVTACRDESNNKSAFVFTERAIKNNEKVWIRIMEVVPPADGSLTVGVTTFDPATLRLSKIPEDLTKLVMKPGYWNFKLDAANKLNNKSILAFWIDESDQVWCDNIDISKPQMCFQMDRKSEDVYMFFDMGETTRLIRVLGVSKVRINPADGKTVRRSSSANDLATRNRIETVETKKFIRPSTFRKTEAKSSVETPNVMTTPLSLGNKTVPQVTDPKSMTVLTSTTKLAVIKTVGSWNHGCNGMSRRIRKYYQRAEDRGTLDKFYSVLLEKSSNGSSQASTVVKSESANSFSQNLTMNQVTSTSGTSIVSFFSSFLSKKSCFAFQTTTTSRQGPPSKQTIVHGCIAMPRRIRKYHKRIGVRGTLDKFYSAVLEKIATESSSASTEAKNQMAEPHSEVSHITKGAPAVEHTVLQNLTAKQVEETLNVSFAKLLSDKRRFIFQTTMIIQENARVVRNPAHGCIRLPRRMRKYLKRTDIRGNLDKFYSTMLEETEPNAQI >CRE22363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:718485:719352:1 gene:WBGene00066942 transcript:CRE22363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22363 MSVPTNSNYLLVVESCSLKIIKREDMSNQYQEIHPITDEEYQKTGKPIPTNKYNEETDIWCPHCSKYYWKFCRRHPLYLVPNGSIEDGPEDKSRAMRSVPQEFVEVATSSLKGAGKGVFARKLLPKGYMFGPYEGERVEDSSQMKTPGYSYELDGKDGPYLIDASDENASNWLRYVNAPNKKEHQNLYAVQHNHQIYYIVTKAIFIGEELLVPYGPKYWRGK >CRE22361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:704711:707152:1 gene:WBGene00066943 transcript:CRE22361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-36 description:CRE-DAF-36 protein [Source:UniProtKB/TrEMBL;Acc:E3MEB6] MIIEQFWEFFLAHPVSVLTTLAILYAIHITVKPLNRIRRLGDVGLFFGKPELTGFYRERQLERLKLLRRVGEMPPVFPNGWYCVCESEKLAKNQIMEITVLGQFLSLIRSESGAVYITDSYCPHIGANFNIGGRVVRDNCIQCPFHGWIFSAETGKCVEVPYDEGRIPEQAKVTTWPCIEKNNSIYLWYHCDGAAPEWEIPEIPQIADGIWHLGGRTEHEVMCHIQEIPENGADIAHLNYLHKSAPAITEGSNIVKTDLSDPQPAVQHVWDGKWEVKSEADKHCGVMHLNQYMTLWGYRLPLTGSKLIAEQHGPGIVHMIFDFGFWGKGVVFQTVTPEEPLLQRVRFRIFSNIPWFFVKFFMTVESMQFERDVFVWSNKKYIKSPLLVKNDGPIQKHRRWFSQFYTANSPTMLKDGSLSNQAKSINDW >CRE22463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:694672:695942:-1 gene:WBGene00066944 transcript:CRE22463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22463 MRQRITFFIVSTEKLNIFDNATFHLLCTSSSFLIENPSNFLRSRKSEVGSRKSEVGSRNPEVGTRKSEVGSRNPEVGSRKSEPGSRNPEVGTRNPEVGSWKLEVGSRKSEVGSRKSEVGSRKSEVGSRKSEVGSRKSEVSKSLEIRDMRIGMKYMKSIIHRLETGSRMRFTLLALFLFMAFFAEIDGCFSQVCKLPWERYIGNDDSPYFRGRTLPPNYPGGNSSSEEYGPNAPPENDNSDDPL >CRE22459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:680755:681836:-1 gene:WBGene00066945 transcript:CRE22459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22459 MDDTFERSLTLSFYPILTRNEFSHEMVRGMAVGGTTGCITQCLTFPAEFVKTKMQLDGKMDAPRYQGNWDCVKQITRKNGVYGLYKGLPIALSGKLAAVSCRFGAAEYLKSKTVGETGVLSTQQKVICGFGAGIAEAVFAVTPFETIKVKYIQVQGQSKPCGIIQETKNILKKEGAHGIYQGVTATVLKLGVDQMIKFVIMDLLKDFYKNRNDTKEVPLLILAMTGVTAASVAVFMSTPLDVVKTRMQSFQGRDFKNFVHCVKTIWKDEKLMGFYKGTLPRLSRGCVDLALTQVLYGMLSEQYNRLSNRD >CRE22457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:674691:676236:-1 gene:WBGene00066946 transcript:CRE22457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-281 description:CRE-NHR-281 protein [Source:UniProtKB/TrEMBL;Acc:E3MEA4] MSATAIEQHEELEEEKEKPNTICLVCKDRGVANFNKVFSCAACKSFFTRTLRQSKRIKGSVCKKQWDCFDQEPPFSRKCAPCRLKKCIDVGMLLTSKIEEALKLRGLALNQVIIILSKKDYRIQEKLMTHYSVRDPTLDQVLADRDCAKLEKMTPGIKMTAHEWAYLDVYSRIVSYLEFDFINFLEYKDKKILFTYNSMRMLLLGGAMRTVREKRAMLMTPDGEDVYPEVVYQLFGGCKQVLMEICCELSGKLIDLQVTYEEYLFLMQIFFLNPSISDQLSDKAKATLAEFQDKFSYHLLLYCQHTYQQHAPSRYGNLLSLMTVVDRISSRLQCVSMLFQVMVPTFPFKKLVADTFVNIGTLPPPLQTDEVLVPVQQEFFNEAMENIEAVNDVKMEEMEY >CRE22356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:672418:673286:1 gene:WBGene00066947 transcript:CRE22356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22356 MISTIALLSLSVAVVLGHPPIHANIQEEIANLVNELDSKLTSKPMLKITKALDDMSVSAGEQVTLQCEVLSTPSAVIYWERNGVRVQGDKEINVKEKMLNMGNPVVESGIVSSILSIPCAQGQDSGEYRCVAFNGHSTGKSTAKIVVEGTSTCKSLRRSAPVISLWTDSRFEMEGNSATLVCRSSEFASHSWFFEDKEITADTEDYFIQGNGDLLIKNIAWEDMGTYTCVAANQYGEDKVETFLYPTKRKGN >CRE22355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:659223:665415:1 gene:WBGene00066948 transcript:CRE22355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22355 MPPKKSSKQRPTKEDTNEEAKVNEDSAVSNESSRPRRNARKNVLYNNSDYELGPLTVEPAKASSSKKPPVLSAEEQRPDTEPEQESESAEPEAKLDAALDATPDDVSESGRGSRLAYRPGPGLGLGPSNSRKRRVPMRYVETAKEPKMEKLKSEQKPEATKEHITRDEDMDVAMEFEIPHNDDGPPVLGPSAVYDEDMDYNGIDDASDGEEDITPPDLEKNPLTKRRGRPRLPDRPNNRIRVKLQRQIPRAPRIPPDAIVTRVTNRNQRIVRVFREELEITPEGVPYAVVLNGCMTELLRKERIIDMLVNADDYAQQFPTSKEIWFLPKPPRLPPLTTEKMCAVFYVDGQTCKNAKDISYDELRPWSSTHDSNTRSGNIKPNVRRHPVARLNGEFKIVKHETRLAELHLTEYSARLPREQRLRKKIFYLTRDNSIVGNVLIIYDYVREGNAPVPISLPHGNDLLRTAAMDDVDTGMETESPFEDDTYEGMRGGVYLKLRVGKLGWAHNKKLLMDYMYNRQHLFHQVQNLNRSLPDLPPLIEDVGVFVYFVPSEHVLNQLHHAADGLSPWTTATQGEGDDISRPSRVRSTKRPLHSEPDGTLTLIRDQKETSDINLIETMSTLARCKRVRKRVRYFQKFGPFTFSYLQVLYIQQKTSRLLGNVCYIYEFLSHGPLPEIIATDNKLHSSGTRYPITHKKKELESLEDMRAHMISSQESYDDDLQLIPDDDLMMSKDMMEPHHHQHVEEQLQYPDMLQNGYDAEFHDHDPIEKAENTEPYYDLVRELSTRHLYLTVRHKKIVTSLEHVMEWICNSNHVEERGVLNQTKPTHPPMVTNARSYAFFVAGTAIFPHDINRDDFSPWSHNGSGDNPTCYRTKVRKIGVIVDASTSLFIIKDNVDYKECPFHLVYLYSINPKDPRLRKKIYYMMETESKMVVSHALIVYDYNTSGDIVKLNSGVYKPLPKKLLRSLFQAAQFNHNESIDDILIQEENSVSPFHLSPQQAVDGTYYLEVIEPEFWNDRNRQIQYLVNEPNLVANLGCLNNKVPDLPPSITSRGMFAFFVNGEEVNYRSLTVDKLVPWSENSNSNPNVITKRPKSAKTPLQLNVHGQLRVQRVPALVNEPNGYLLHTYTATLPRCARLRKKVIYVQRNGRQVGHALIMYNYTEPGEAPQPLEKFLPLNQDWYNDLEEPVREDINLLSKSKTPAEVVKNIFDSHGVHVNPGYIYNLRNRGPQDAIEAEVEAPVVYGNEWTNADGSEPTSSAKQETVEEVVIEEEVPSAIMDHEMMVDGEVVIEHTEIIEAPFEPPRRSNFLEKNAPPAAGYVRGNQRYEAMWRIAQKQFNDTHIDDTFDKLFRLLYEKSEQRLLQAISQTFNVNIFAGEEHIIGEPMNFMQDDDQMMGDEMVGNHQLHPEDIDNQMIPEHLQDGAFVHPDDVAMNEQLDDNILPLNEQPRLQQKDAGHLVNDMNEEHVAEPEQLVAEEQMIQS >CRE22354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:655278:657629:1 gene:WBGene00066949 transcript:CRE22354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22354 MGSFKSKLFKKSEEEDRLKLIPNSPLFPTPEEEDIAFENNKLRFDGLIGREGCAILVRVISNSTSDQYVLKVECSSTSAAEIESEMYSKLYGAKGVPVFYTNWIENGCTVLQIDNYGVKLTDFIELKGGLSRVEVYKLAPQMIAILEEVHKKGVIHGDVKTSNFVFGMGHNAGELQLIGFNHAENAITHDEGFCDKFKRIPRGTGYYLSANLHRYCHGSPRDDLESLVYCLLEMLGIQLPWTMMREPQSFVDHQMMFQMKMGNTSGAGLCEFVPIQEMLGMCRALTCQEKPNYDEVKQLFSCPYVRAMREVKPSFPYDAWKIEKWEESDLEILKRFDIREL >CRE22353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:649505:651064:1 gene:WBGene00066950 transcript:CRE22353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22353 MELSERLNYSNIRFNSKEFTLNCVVGRGGYGLVVCVKQAVTNRYFALKIEHSTSKAGSKEYCAYIKLADVEGVPQCFGYWTENDFSVIQLELVGMNLLTYMHTKTGKLPIKDVFKLAPQMIDLLKNIHKRGIVHCDIKPSNFAFGMREKSGRLQILDFGLSESYFVHEGHSCCRYKRTPRGTTLYMSANQHVYFHNSPRDDLESLVFCFMDMCGVSLPWINFVKPETERDHSRWYNAKVGGESRKPLTQIPQFQYMLNMCRDLKCNEKPNYEGMKDLFKLNYFNHRVGDVKPRYSNVLPRIEPKEHELEILKQFDINNE >CRE22456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:641818:642935:-1 gene:WBGene00066951 transcript:CRE22456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22456 MTTLDYISKSPSPNENLIPYTKKSRKRKLKSASPKPKTEIKVEQPPAELWPTGYKPENLAVLPNFIGEKDSEDRDVEEEPLFNKVDDLDKKHNIKLKRLLQNINLPCEAVLTVWSMQGFKNMNGTAETCEDLVYPECIPHNVKDFMLANMQFEKYIEYQRAKLERKGWLAVMSRMMKGVVESKDMIEDFYGDKQKIGSNWKFEQQREKWSDLEDLEDLELQLKMRKAEITFVSRSSSGIDSSNSSTSSGKISRSVSAASSPTPNLVHDLKKLGHDLKTEIRLT >CRE22352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:639782:641433:1 gene:WBGene00066952 transcript:CRE22352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dsl-4 description:CRE-DSL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3ME98] MILKQLFLMLTMLVTNVKCSGNTQIYINSNSTVMIQLQNCFLKYYCHITETEDFDSVELMEIVSEEPFLYEVPFVAGIKDKGELLIDILYEQDTGEFMNCNNMFGETETLIYSERKVVPLSLEFYNFTIAYNNDSSIDFAIRNVCDEDYIRDACNFYCKPDKHYMCVNGNRTCTPEYTGYLCRTPVCPNACSGNGICVAPSKCQCRQGFIGESCNTCITRDNCTHGTCVSLSKHYILPFTCKCLDGYKGENCDEDDICYHARPCRYGKCIPNNDKLGFHCQCNEGYFGTRCDRHAMVFDCTVSTDCKNGGRCLFYKNRSRCKCRGGYKGKFCERKSNYNCNKKRCRAGYVCVMDNGVPICVNTVTPGPLVTVKQNASSVIYQIFYGQFHWKFHNSTWEVNKALKNAVNKSSVNIYYMQQNRGGTNQIGGGPGEDEEYEDYREAP >CRE22350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:631391:635546:1 gene:WBGene00066953 transcript:CRE22350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22350 MKYWLFYSLLLFLLGTHLVKSDDDDILEYKSDIGDCPCEVETYEADEDHGVIKSPNYPKFDGCDGRCIYVIRPHINKTVTIYTSQFEISQRASLIIYTLVEEKGQFIRIPHAKIKRPYSYYYNSGEETFPGFVSAKNAGYEIHFISHTTNYYTSFKMSFDRNYEYEDVCDYPIVDVGLEETVVQRKHQFRAASGCVYMLRANESNVDSDEDEIMINIEESDYNLVSVRSNDAYGKLKAGDLLVRGDAKKYKLLLRSSRARTILKSAYNIQILSHKSSRTDGNYKMTEITAKLIKKKCECGPLTYTIDIKNQSSVSLRSPGFPDLYCPNRDCKYTIKTVNYQYEQDKIGVLEVKIKSMVATRDSLVLRSEDKYYLESNKYKAVTDNIEEHFVIKQQQLFLDYSSYDEYDKRFFEVNVTQIMIDAECSCSFYKEKKIGTAVPAELDITFPAHCTFIYCNFDLKVGDSYRPERSTIEFQVIDAASRDLIVIKDKRHEEKYHGSMLQRKNRFEPIHDSSFTYYRENHASNFTTRLMFNYTVLSRWRTCNGGTEISNVDYDKPVIITNPGFPQGYDNDLLCKFLVNVPAGCRMALSIDEISLENFHDHISIYEGNSTEGKLIQVYTAHISHQVLNVSTTTILIVFQTDQSTTDRGFHITATAQLLLDEPGEDTNTRTYILIALVLVLIVIIVFVLFIYEKYYGSHRIERRNNTGTTGGGNTNGTTMDERDGGNGGNPPARENVFNFMN >CRE22455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:621653:626749:-1 gene:WBGene00066954 transcript:CRE22455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22455 MSDGDSDDGFVFIEDDLIGRNRPKHRSKPEEKDVVLVSQKPDDGNNVSKKPLVLESNNGEGDSQQFSANQFNLVHDTQSEIAAKRIVAAMELNSSRQSPATPSENDTMSEVKEESLPMENPARDTSDSHTVATNQSKTTEEEDAEYMRVVEAYHQAQLAKFRQKEAEEAFQARDDVSSSSPSRHSSRRSSISSSEIEQVQSDDDAAPVLPEEPEAPVQPTNPVNSSDVSPPGNRVNFLSDLCAAILKSKQREQVTKKTEEESEAKDDKESAETKGKEMSEKDNEEVTRVFNENRQSMLEQIRQGQEDYYGAPAVQVKAEEEPNPVENVNKATTEEDEDEAYMREVEAWHQKELEKSRKQREALSSGTVVSSGETSQIKAKEEKKTTVENEDEVYMRQVEEWHQRNLEKSRKQREAQEVSNGEASPDSANVQQQNTVMQYTSDELKNLREAAASSDNGTAAPPTQLARSIQVLPPSFLQAERHRQPETKEVLEGSDDVGCDIKKDSAEQKEIEQLRKKYKQNGGARGYVMTDAERKKVEELRKKECGRSEANAAPVQMFSQLSLGENASPKVPATASQPQTQYAVQHPDGKVYKIKCDPTPKDDVKPPQPRANQSEQLVFTKKADTPAEPTVKKSHRYDILTPETNLVPHPEYVGQWTTEEYARSYVKSDNPPPFVTVPPTIANPTKEPLPLRQGSDGVYRPYDPSLVVTKEYPAADTHPTGVVEKDQTQTLTLDAGYYRAAPIHTAYSHPIPPAYTPQQTAPVAPVAVEPKEETPEEAEARRAEEIRKSEEFIGLMGMAVVEHDAMIHLLNATNKRQAEQRRLIETGAATRELVSLNTEKEVDDLLEGRVKVDTLRNREYNINQYGVSTNSAYPVPAYPSHQSYAPPPVPVHTSTNYPVTTPTTNYTHPRYPSPPAPPQNTYRDPSYPVTHPYMEYAGKNQSQQCPSSSNYQSSSNYSSASNYPSGSNYQSGSSYPSSSNYQQPPSQSGQQYTDSSYRYFFFRYPALYPSNSQQNYYPQQTSVQSQPTVAQQYVEVEPRSFYPQNAHYTSTPGGSASGYPQPAFQPVTAEVSYGVSRNNTSHYVSPIDDTYRGFNKSNAKPQNHNGYSYQAPAYSYTAPPYPYTAPSYPYSAPPAQTSSCSTPAVYINDNSSREEIRSQMIKFITDHNKSGRKVYLSTFFKPPSVITYNFDVVMFAQMELKDIVNVHPCLTNDVQKEYLFELKN >CRE22453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:616132:618326:-1 gene:WBGene00066955 transcript:CRE22453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22453 MVVFSFLLLLTVCHVLAVDPGSAVRRVEVVKDQHFNDLTDSAKEQGKKNSNIRNIPCFSFSAFTKTLCGLLYGNENCGKSFLSTDGNIVQNPTDDENLDLVMDYVKDGSFNKTGQLRYASVPVVEDELVMDILNVIYGKKEYTLLLNDPTKEELSAFVRDMIRIPAQHVLKQQLLHKILESRASYEKFVSPMLENNKRQRLLDFAQHLLDSYQNGTLSTQDTVYLNEQLQNYAFFDRPEDKKFRAMVDKLMRVFGKSMNLADRDGLETGTDTEQLETTLTPSVAFGSDGNENSSNHRDFESKEAIKTTTGFATTTAFTAGPYTTGGSGTTGRPQTTGGPTVFTTGPYTTGGTTGGQTHHTTAPYPPGQRTTGPYTTGGTTGPYTTGGTTGPYTTGGTTGPYITGGTTGPYTTGGTTGPYTTGGTSGPYTTGGTTGPYTTGGTTGPYTTGGTTGPYSTGGTTGPYTTGGTTGPYTTGGTTGPYTTGGTTGSYTTGETTSNPNHRRALADEHYPTGKVAEYTMTTPFAEKVMADEVSSKDAVISFNNAPEQSVNSTTSNPKLTHDSEGYAKSMMTAETDEPLVYVQEGANADKVPGSAMKADIDDEKKTNSFLNMEMDLKSTRNMGGMVDEETAKPPSA >CRE22348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:611640:616025:1 gene:WBGene00066956 transcript:CRE22348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22348 MRWPHSVCVFWFLWLVFLFQSGNSGLPSEIISLQGEWLFWNSNKTVNGTGNIPGDIYSDLYASGIIDNPLYGENHLNLKWISEEEWTYQRKILLHREDLTPGYFLDITSLDTIATIYWNGEKVMHTRNQFVPYNVNVTDLVISGYNEIMITFKSAVKYSKKRAEEYSSVFGHKLPPDCNPDIYHGECHQNFIRKAQYSYAWDWGPSFPTVGIVDDIYLNVYRGHLFHDFTWKTIYVKGCILGKWHVEFEFETFHYGSRTVDYVVEIPELGIREMDYYRMSSLISMQSRSKNRLKFSIPPAKEPERWWPNGMGEPKLYDVIVSCGSQVKQRKIGFKTVELVQDFINPEKPEQGRNFYFKVNDVPIFLKGTNWIPVSMFRNKTENFEKTKFLLDSAVEVGMNVLRVWGGGYYENNDFFMYAAKKGILIWQDLMFACALYPTTDEFIKNAEKEVYAQVDRIAQFPSLLVFSGNNENEAAIRGHWWKTANYSENQQVKDYVLLYKRLGKITKSLTPTIPFILSSPSNGIQTEIEGGVSKNPYDVKYGDIHYYNEFVNLWRDDTYLIPRCASEYGVQSYPLKETMLNWIDKSDWEYTSKAMFHRQHHPGGIATNLLMIFQHLPIPSQCDGIALSDISSCEYVTSSSYMSRLAYFSQIHQAVALKTQTLHYRRFRNITTDEGLGNTMCAMYWQLNDVWAAPTWSSIDFDQNWKMAHYEAKRFFANTAVFSFADEADFNLKVFILNDNMYDLNNVTVNVQQLSWGNGLDPILTNEFNVDVVSAASSQIVETGMKFSKLNDLADFLYVTTLYNSTGAKIHEDVLVPDFLFEVDFNTFGDVRIGEVKKINDLTYEIAVTTDKVSPFTWISCKKPFVGWFTDNGFHMIQTLQKVRLVTKEAVDLQKTDFSVCNLKNCYV >CRE22452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:606835:609152:-1 gene:WBGene00066957 transcript:CRE22452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-21 description:CRE-LGC-21 protein [Source:UniProtKB/TrEMBL;Acc:E3ME90] MTKIWNFILPIVVFVGFAQGQEQNQVGAAANGPHIVNSPNALFQARATGSVQLDPCQYMLQLLNQTNKDLMQHEENTLEQCLYYFLAGESAKKLAKLNIPHPIASIPPNYISQQPVTINFAQFTLQHFELNEHLKDISIHGYLELNWHDDRLVWSQDTWKKNKLVVHSFHHVWVPLLGSQNPENHLKNGDAFEIRKVETTFQGNVTAKVAFSLRTFCDDTDFENYPNDVYKCCFSFEPQQDREVIQFTSSGLPIFTDPKNFRDYGWGVSGTVPESFDDPSEIAQLGFCLNLKRAHSSLKVELAIPLFITTVLFLLPPLFGSVKIQIYLKMFVMGLQFMTLLIFSTRIAPFLSSTASTPKPMRFLEIALVFNLISITTSIILFCCMQVKRTLPPWGRVTQFANFINGFLGVLNISGVEEYNLEKYDEQVTQTSYQKDWNNVFRAAHAVLMATISAIVIFVYIVYCL >CRE22347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:596850:605606:1 gene:WBGene00066958 transcript:CRE22347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyc-1 description:CRE-DYC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ME89] MPVRKKHGPYDIIADDVYDCRIPLHNELAYQHGIHFEAKYVGSMEIPRPGTRIEIVAAMRRVRYEFKARGIKKRPVDITVSVDGVKVVLQRKKQKEKGLSWDESKLLVMFHPIYRIFYVSHDSQDLQIFSYIARDGASNTFKCNVFKCSKKSQAMRVVRTIGQAFEVCHKVAQDQMQEKHEDEAAKSKISMQSEDEAGPNALDVIEERGGREENSRSSSPMEPPPVGGPLYGKRLSLFQPRKPSTASSSGGTCIDTTAIPENVLEIPNTSHPILQPKAPELVPQLQPQTALPYQQKPASLLNIQPQQFNTLPSQMPSTQTLPGMSENPGQSHNPRMMTVPPNMPYPSATLPHSRTWAPQIPSYPQSMQSLEQNVPMYYPQMPGMLPSSSSLPFGLSSPVMVSPYATLQLNMQSQQLDQPDHSGSQITMDQYNQQLMRSQLDQAQQSVQVAGCQVQLLRDQLTSETTARLEAQSRTHQLLSANRDLLEQVQNLVSRLQMLETKITSEIHHSSSQKFQPPQQLPSQPVYQPSTSSSLGPRMPLSIDHNDPRIPGSSSIRPNYPYQVQPLADLRAGSLPPVKESKERRKDEGTRTEPESNAEDTTDYSSSDQYEKTSNVMKPSHYNILMSNPLVDINVPSGAAMSRMEQLDLGMDTQGTSSTPPKKEKKPASGILRGENFSRMSFNPKLGREKDREQQLMFEDPLEDESPRSIPPSPPTKGKNTTIDSLFKTQDDPLTLADREPLQLPPQPIQPPINRKNTTVNLLMPSMSSMPASSSVVTAMYPPMRQPAVPVNKIQPKVDVFRKKTLKTLSMDIAEEPGPSDIDPNRNNLPSSTNSSIKRRGFMPPANVDVEIKEIEDYINRNVDRSKLPESSLLTRLTRQAQGDNSLGNLPNGYPQ >CRE22346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:583105:586252:1 gene:WBGene00066960 transcript:CRE22346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-25 MGTETDIVARFDIHLDAGEEPVFKGGELITGKLLIELRKPIIINAVKLQMKGRAAWLNDPLKKDDIEKVYFDQDFTLLERPPGKPEPGHFQWIGDFTYSLPFECPLPKGCPSTYEGPHAFIRYFIRASLVQEDEFEKIHEYYVKKAFTLVSPSEGHIVSGESAQTEDTAILGKCCCKSKLAAELSLPKTGYLPGEAIYGNLKIGSKHPKDILNHMEARLVDRVRRIGAPEISAASPWRTLFVRKLESTHESPNKAKGAIEQSGLFLLTVPPVCPSTKGDYEDPSKIEHILSPYGKLLESPSTATLRFRKIPFLKIEYGIQVTLGTDILLEIPIEIGELSTRDKSNTLESFVTGPQPVEEADENGKIAVNGPFVYTPVYPYPQSTGTNGKAAAVTIINTGPALPISEYEPDRTIVTTTRTIVTHSDGHESKTMEETVVETEGEVVRTVLESTVNDDGIKSERKETTTIDASGDEKTSVETSNTRVAESEELPEVIKEARERIEELVEHQTEHSPVKASAAEPTVETIQNENGEETIVSTEVIEDGDTRTVKTTTVTKHADGSESTLVQENTTSETVTTTVLED >CRE22345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:568196:574815:1 gene:WBGene00066961 transcript:CRE22345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22345 MNFDAFLPPMLVQNREEQRHTITRKRMERFSPGGQLLSVTDVKTTHFDDNPQQSTLPIGGMTGNRYYSNNRNTFREADYDTVHTYRDVLTEPRRVEPRREEVRISRAREPVEREYPIKRIDRVVPTQIIREYSKTEDPHSTPEWKTTDSYVRKTSILKTARRDQPPQSSIPPPYPAGTLQSSTQRVEWREPLDCLIDRINKIRHSVACPPLEPSVRLADIAAQWARMLAIRGEFRSDRTRCMNIWMGTRVNDSVADIWWDEAEEYGTRNHLDDQSLSWVGVASAFCEQHRQFIVVAVYE >CRE03314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:576396:576503:-1 gene:WBGene00066962 transcript:CRE03314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03314 MTIHDSHLCLEDSRIRRTTEESQEEKVIYFPYHLQ >CRE22451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:553583:561361:-1 gene:WBGene00066963 transcript:CRE22451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22451 MSTKLQDVQHAYSIAGFLHMKQLGGALALWRPRRRFYFAIDEIVEELVYHKSEVEFCAHREPLGTFPIASSVITLDENNHLVFILHTSGRSIEFEADNEESCRAWLNTFCNRYSEAERAKYQMMTHRKVKRASSLPDQESTPAATDRHIPFRKHSVPARNLVTTRNFIMPPPDIAEGHLPDEEMDDWVTHWLTNEKFHNFASCTDMTTPTSTNHSKVDTCSESERYENYLYCYVIINCFRAESEELDLLREVTNNQKKKIEEMKHEMNAMTMHMEQMRKRVDTVPGADRETLSAQNKFLNSEILRMSAKCEILSMQSNGSSKENKRLAEELQLLRCDYVYAIQSTIRIPLHDNSAMDVMSVKLLGGETHKSRLIQLMAEARQHDPSLPTLQSLLQGIYVDSFGFRINFKEEPNALHYMATKLNHFYLCRSKSAIEHKHNWKRFLEENDFIDLTPETKVMCRKGIPNSLRATVWRILINQQVEDLKNVYGKYYYRNLCNIQGGEDEKTYSDVHQKQINLDLLRTMPNNVHFMSANSKGVTQLLQVLHAFCLHNSQIGYCQGMNFLAATALLFVGPEDAFWFLIAITERYFDKTYFDSNLTGAQADQEVLKGLLEAQHPKIMMHLKSLDIDVASFTLNWFIALFFDSVPFNTLLRIWDCFLLEGPKVLFRFAIVLIGKHEEEIISRTDTIGIMRVSKAATKLAYDEEAIVNMAFHIPNLPTRVELKSMQIQYVNLLAEKLEKKTKRANDFVKSIANNLSNEKLISNIFIDTFTPNTGFIVSGHHLMGKIAGIRVVKDKAIMKDIDVEFDCRVMSICMVRKDMAYVSLISGYLIAAHVSTDNEWSILWELKLPDVATFLIHRENTLFAGLANGILTVFENAGERWPTSVQMWHMPLSSSPLTNAAVHDDTLVVATACKLITLDAQSLTNLSTTHVASSNVGSGVIYFDKNSDHLYDRINIWYIFNNRPLNTDSIMARHYLQSSLRYIIRPQNKVSQPVKMNYFSKPSLSETENSSYVESMLFNDGILWLGTSDGYIFIYNVENESGKEEVRYKLKRFAGEKRPSRRGSSARSQRLGSLPEDSDESDDSIPQFCAEKRASRVSISIDRETQQYSVASFQQNSDEQDFAGSSSSRLNSTSSKTMRYPMKKGFSADSAVSVFSSEPNELIEKCDENLLNVKKEKDSPRFRDNRFFSSTNSSCTSMEYDDTFELYSDEERSRRRVAITPHRKSIVPKQLNLHRKDLTFDDPYIVPVPESADKETSNDVEDSAVVSSVKLSLFMKLKISETSVRKIICSGEHHILTCSGEFGADEAVLLWRKDLTTNLWINDPVTHENQISTKTSSSTPASSRSLSFRSK >CRE22344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:552710:553397:1 gene:WBGene00066964 transcript:CRE22344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cytb-5.1 MADLKQITLKEIADHNTNKSAWIVIGNKVFDVTKFLDEHPGGCEVLLEQAGSDGTEAFEDVGHSTDARHMKDEYLIGEVVEVSYIYHKILKVFFIFQEERRTYSYDKKTWKASTDQDNKQRGGESLQADNIIYFALLAIIVALVYYLIAA >CRE15409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:454275:454759:-1 gene:WBGene00066965 transcript:CRE15409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15409 MGLGHLFFSCMSHSPAIRKLQKKKLNIWRAEGNSLAYKEASAILKNLLIMEERESVESKLVEGSSKDFYKFINAKLKPTDQVSILLDGCELITDDKAKAEFFASSFAKSIPWIMVKRLLWIPYQVPK >CRE22340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:538439:540855:1 gene:WBGene00066966 transcript:CRE22340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22340 MSKQKSKKKDDPDPKVEISVEEKLSQMVRLFSKIDTTESYDPLSIVLGCLSTAGYHRARLIHLTPFDRVIGGMQHILKILCPEVHKGYAWHFQETALPKTDKDRAIESVQILMALKKLDCPHHFLLGHMLEEKYELFAPVVDWCITQMHAAAIEHENYAEYSQFFGMDYESKEEAQKAFTLALRLPHLTYRNPSARMELFHPTIIRDIVANGSVVLNEYIHYAKTGQQIYPRWYSSDPAKYKPEDRKPVHPLVTEPDRVKLVAISRQYAREMTPEARRTMDSLLEIIDKMDIANIILDCDERLHAEADRYYESYRKHDELHDDYNMLQRRIDEFPSGNLDVMTKTFKNMNSRTDKIKSILAKEITATNEKIKTLNEKDDKGLNVKNCPAKKDEAEKLKLYCSDMTMRVADLSQKNKHLRDTYGKWKEFIMKLRALPPVNKSKKPDPNVPEFPEIDNGIADKLNALNNEVGINSLEKLTSLPRTSAKDDILLRDSYMAFTGDVILTLQDYYWKAKLMYDERREMCRYRDGLADHVKVLQQRLKSKREESPLLKDFTASLNKMKNALEMNEKVMAPVKTK >CRE22450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:535017:537712:-1 gene:WBGene00066967 transcript:CRE22450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22450 MASLTTAPPENSTNAQTDEITDEQLVFEKLILRGCFAPSVENVTSYHLIVCGLMRVLQGYCEHLTAETLQFEEVPDHDVQGKRKQCQVLLTALKDVKCPFNIKLPDLLEANIPVMKPVIEWVLRKGLLLECEDNVGKKQHANYFFKTFESEAEATTEYLKRFNEDKDEHENCMPSYDMFCDKIVQNKLGGTQTLGVYLLFNKIGCVNLLLNAKPEEGAGEVIVVFNNFKNQIFCFQSPPTPQVTLDDKKISVPTVEEIQAKDKGEILKYRNKLAERIEELDIDGIINSLEARLKKEAQAYFLTRKELIFIEGHVNTAEKNRPDKIDNQVASKMTENILALTNLIRVFKKGIDEDTKSAQSMVTRFKPKLNKKGKEIRDPKTYELYTKYQNFATLFQKVSFILKNFIYLHFQIATDSDSLIGRLADQATEMWKVKQLDKEVENMEDPPELTSQDYFVPIDGSIADDIIRINYNIHNAVKEYSISPPSIKGAKDYYQAFVSYVIDSMSKMSEIYWKAELMQHNRFEEKKQVEELRQKMRTIIREEVKSDILTELLNIVTLTMHTVDDDYDKLKKESYKLRGQVLELLNASDAEKKKNNKKK >CRE12351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig457:15191:18792:1 gene:WBGene00066968 transcript:CRE12351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12351 MSKPRTQPPLFYETAKCVALYLDPNLRFHLNQRCPSFRAIHRTQYMKIGKLRINHANFIMDEIQYRVGIVRKYYSGTTPQSVAIENERGGIQYDVDRYGIPTIPEGAQTREEVPQDEMIRASLERRLMQLERYEAGRHSPRYGIELERRNLELNSYGWRIWNQEPPFEQYLKLTVISGNKLLKEEFLSYNRNLKQANHYLLKKILGVDGKLLIWVLDYRGDSTTFTSFSKDLSRDFSRDFSRENLERNQSFDRLFPNFRSLTFRNRLTENPESVYVPLSDTTEVKVWWKETTDEEYCYRPFIAFIKVQERDPLQFKRNSRFQLYQRCPSFRTVHKVQAIRIKQLRIKYANFEMNGTIYRLGVLRKYQSGDTPQSIDMKNMEGGIQYDVDKYGIPTIPEGTQIDGEVLTDAEIKARLEENASQYEKTAGYRVRLTNFSIPLEKRKLEVRSYEMRMGNQDPPFDQFIQLTSDREKVEILNYQQTLTDARNYLLKKIIGTAGRVFIKSLIIEDHSYFFTSSLAAQESPLDHIWKPYRPSITTLDDWRAFLKGAVSLQTERLVIDGMIACYQRFTESMEMLKTVVSEDIVPLKFLKIPPQLITNDPIRDAVEFLCIRWTSSIGILITLPNKRVHLENSSLLKEESVIDLMIKWRQDGIPNGCYYSIGFKHPCYVEELLNAFRRISGARSTENPECVFVPLSDNTELKVYWEETSEEEGKYCDKPLIVKIKAQARQRANFC >CRE06856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:3701:4731:-1 gene:WBGene00066969 transcript:CRE06856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06856 MSSETIWYKSGARPVSSGNYTTVLEKRAKSGIAWEWMGRVDTSGQIDFTWKFYWDELRSQGVDDITGHITVSSTNNRFTATTINVKITEDNQEITKVVEGGYKSYNVYFEYFLTTHYAPVLEKPSDEEMFAPSEQNDSILVVEGKKLHVNKTFLSYHSEYFRALFSSNFKEGQMDEIPIGDVSYEDFALLLSNFYPIQVFPTDKTVEKLLELARRFLVSSATRSAEYHLLNVSRIKNEKMLWMADQYVMPTLLEKCIRRLDTTDKAKKMKQSEEFEKLSDKTKSIILYRLLDLI >CRE06857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:5191:6738:-1 gene:WBGene00066970 transcript:CRE06857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06857 MMGDAIEYKSGTYEVDYGTGALETNSQNGIKCDWSGTINLNSPQIDFTWKFDWDELKNQGVDEITGHITVSSISCFFEATKIDIKITEDNQVITQQVDAFFGPDDVNYEYTLTPHYATVLEKPDYEKMFAPSELNDTILVVEGKKLHVNKTFLSYHSEYFRALFSSNFKEGQMDEIPIGEVSFDDFALLLSSFYPIQVFPCDETVEKLLELARRFLVSSVTNVIEYHLLNNSKINNEKMLWMADEYVMPKLLEMCIRELDTLEKAKKLKQSDEYENLSDSTKAKVLDHLMDLI >CRE06858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:7417:8439:-1 gene:WBGene00066971 transcript:CRE06858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06858 MSSETIWYKSGARQVSSYSDVLETRTKSGITWEWMGRVDTSGHIDFTWEFDWSELRSLGVDELTGHITVSSTNNRFQAKTINVKITEDKQEITKKVEGDYNSYNVYFEYFLTTHYAPVLEKPSDEEMFAPSDQNDTILVVEGKKLHVNKTVISLLPFRIFPRSLFSSSFKEGQMDEIPIGDVSYDDFALLLSNFYPIQVFPTDKTVEKLLEMARRFLVSSAKRSAEYHLLNVSRIKNEKMLWMADEYVMPTLLEKCIRRLDTDKAKKMNQSEEFEKLSDKTKSILLYRLLDLI >CRE06859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:10659:11658:-1 gene:WBGene00066972 transcript:CRE06859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06859 MSGEAIEYKSGTHQVNIYTTVLEISVNKWITCAWGGTMDKYAVMHFKWKFDWDKLKNQGIDKFTGHITVSNSFNTMKIDVKLTEHNLVFIAQFECHNNYNNMFAPSDQNDTILVVDGQKLHVNKTVSKLVKFDVRAYINFLPKTRLNMCFQFLSYHSEYFRALFSSKGQVNEIPIDGVFFEDFALLLSTFYPNPVFPTDKTVETLLEMASCFEVSSVINIIEYHLMNNSRISSEKMLWMADKYVMPKLLEMCIRELNTLEKAKKLKQSDEYENLSDGTKAKVLDRIMDFI >CRE06861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:13705:14946:-1 gene:WBGene00066973 transcript:CRE06861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06861 MASGAIEYKSGTLQVSNYTNLLETKTNSGITCIWSGKFMRVNSYQIDFKWKFDWNELKSQGVDEITGHIYVSSRDNYFRRTKIDVKITEDNQEITKEVWGIYYSYNVYYEYSLTPHYALISEKPGYDKMFAPSDQNDTILVVDKKKLHVNKSVSLYFLCYHSEYFRALFSSNNKQGDAKPPKRQRKQIPDNKEGQIEQIPIKDVSFKDFALLLSSFYPNPVFPTDETVEKLLKLASRFMVSSVINIIEYHLLNNSKINSEKMLWMADEYGMPKLLEKCIRELNTVEKAKKLDQSPEYKKLSDSAKAKALDRLIKLF >CRE06860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:12028:12803:-1 gene:WBGene00066974 transcript:CRE06860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06860 MFAPSDQNDTILVVGKKKLHVNKSFLCYHSEYFRKLFSSNNKQGDAKPPKRQRKQIPDNKDGQIQEIPIGDVSFKDFALLSTFYPNPVFPTDRNVEKILELARRFVVSSVVNIIEYHLMNNSKINSEKMLWMADEYVMSKLLEKCIRGLNTVEKAKKLDQSPEYKKLSDSAKAKALDRLIKLF >CRE06863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:18878:19910:-1 gene:WBGene00066975 transcript:CRE06863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06863 MKGDTVEYKSGDLRVDINTNVLETKSQNGIKCVWSGKVNNSYQINFTWKFDWDELKKQGVDELTGYMIVYSRNNWFTPTKIDVKLTENNQEIIKQVQSRNTSDTVYYDYSLTPHYAPVPEKRDYDKMFASSDQNDTILVVEGKKLHVNKTFLSYHSEYFRALFSSNFKEGQMDEIPIGDVSYEDFALLLSTFYPNPVFPCDKTVEKLLEMASRFMVSSVINIIEYHLLNVSRINSEKMLWMADEYVMPKLLEKCIRGLNTAEKAKKLDQSPEYKKLSDSAKAKALDRLIKLF >CRE06864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:20534:21476:-1 gene:WBGene00066976 transcript:CRE06864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06864 MELHVFGWEESLFIKSISRGSSIGMNSKVRESMRSLDTSLSIQETIGFLQIKLIQIGGDYILYDVFYEYSLIPHYAPVLEKPSYDEMFAPSDQNDTILVVDGKKLHVSKAFLSYHSVYFRALFSSNFKEGQMDEIPIGEVSYEDFALLLSSFYPTQAFPTDKTVEKLLEMARRFLVSSATRSAEYHLINNSKINNEKMLWMADEYGMPKLLEKSIHGLGTTYKIENLKQSEEFEKLSDKTKLIVTLRELSTRFLNCPTFEI >CRE06865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:23453:24440:-1 gene:WBGene00066977 transcript:CRE06865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06865 MSIKYTSEAYQVNRDTNVLETKTNSGITCVWSGNMNSIPYQINLTWKFDWSELKSQGVYELTGHINVISLINSFTPIKIDVQLTENNQEITKDFNGVVPVFNYEYFLTPHYTPIFEKPSYKDMFLPSDQNDTILVVDGKKFHVSKAFLSYHSEYFRALFSSNFKEGQMDEIPIGEVSYEDFALLLSTFYPNPVFPNDSTVEKILEMARRFLVSSAMSCAEHHLMYISRIIRERMLWLADEYGMPKLLEKCIHGLDTTYKVENLKQSEEFEKLSDETKLRVADQISKLSHI >CRE06866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:24626:25538:-1 gene:WBGene00066978 transcript:CRE06866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06866 MVNQVFLRKKTIGIKLYSTFLFYSVWSGKINDDYDVDFECEFDWDELKSRGVYEITGHIYLKTADNRCRDINFDVKITEDRQDITNEVECGYSFCKAYFGYSLTPHYAPTPEKPDYEKMFAQSDQNDTILVVEGKKLHVSKAFLSYHSEYFRVLFSSNFKEGQMDEIPIGEVSFKDFALLLSTFYPTQAFPTDKNVEKLLEMARRFLVSSATRSAEYHLINNSNIKNEKMLQLADEYGLTNLLEKCIRRLNTEEKAEQMKKSEEFEMLSDSAKAKVLDRISYSSQFY >CRE06867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:26129:27142:-1 gene:WBGene00066979 transcript:CRE06867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06867 MSSGAIEYKSGERNVNRDANVLETKTNSGITCVWSGKINDDYDVDFEWEFDWDELRSRGVFEITGFITVYSASGFFTAKKIDVKITEDNQEITKEVRGGYDSFNVYYEYSLTPHYALISEKRDYDKMFAPSDQNDTILIVDGKKLHVSKSFLSYHSEYFRALFSSNFKEGQMDEIPIGDVSYEDFALLLSTFYPTQEFPTDKTVEKLLEMARRFLVSSATRSAEHHLMNMSKINSEKMLWMADEYVMPKLLEKCIRGLNTEEKAKKLKQSEEYKKLSDSAKAKVLDRVFSQFF >CRE06868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:27591:29984:-1 gene:WBGene00066980 transcript:CRE06868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06868 MSGGAIEYISEEYEVSRDSSVLKTSIKNQITCVLKGTMSNSYKVNFTWEFDWNEPKSQGVFEITGHISIKSSKNAFAPVKIDVKLTEDNRTITKHLGGGCYTHYFVTYEYSLTPHLVPPEEPPYHVIFAPSDKNDAILVVDGKKLHVNKAFLSYHSDFFRALFSSNFKEGQMDEIPIGDVSYEDFALLLSSFYPNPVTPTDETVERFLEIANRFLVSSAMSIAERHLMNNSRINNEYMLYLADEYGMPTLLEKCIRRLNIMETPKNFKRSTQYKRMSDSTKTKVLDRIRVPGAEKRTTPIKSIFTWKFYWDKLKSQGVDELSGHITVTSSNNRFTPTKIGVRLTENGQVVTSEIECLPYYVYVSYVYSFTPLLMPKFRRNRWITTMRCFCHLDKNAHDSGVSTERSFMLTKRSMSSPITSDFFHALFLIEFQRRTNGMKFQFGDVSFDDFALLLSTIYPNPVLPCDRTVEKLLEMGHRFLVSSVISNSENHLLRYSKFNTEKIIWLADEYNMPRLLDNCIRRLNTLEKANKMKKSDKYEQLSDETKLKIVDRIFKLSHI >CRE06870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:33150:34222:-1 gene:WBGene00066981 transcript:CRE06870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06870 MLGDTIDYKSVNFYVNTGTNVLETKTHNGIICVWSGKWNNNQINFTWKFDWNKLKSEGVDEITGHITFYSANGYFTAKKIDVKITKDDQVITQQFAHNYSSDTCYYQYSLVPHYAPVTEKRDYDKMFLPSDKNDAILVVDGKKLHVSKAFLSYHSEYFSALFSSNFKEGQMDEIPIGEVSFDDFALLLSTFHPNPVFPNDKTVEKLLEMASRFMVSSVINIIEYHLLNVSRINSEKMLWMADEYVMPKLLEKCIRGLNTVEKAKKLDQSPEYKKLSDSAKAKTLDRIMKLI >CRE06871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:38478:39485:-1 gene:WBGene00066982 transcript:CRE06871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06871 MSRGAIEHRSFNQQLTKGKHFFDSSVKNGIECVWKGTMHNSYKSAFTWEFDWNELENQEENELKIDKITGHIILKSEKNSFAPVKIDVNLTKDNQMIAKQVGGCYTSDIVNYEYSLTPHYTPIEKPSYDEMFAPSDQNDAILVVGGKKLHVSKAFLSYHSEYFRALFSSNYKEGQMDEIPIGDVSYEDFALLLSNFYPNPSFPTDKNVEKLLELGRRFLLSSVICISENHLMNSSKFNIEKLIWLADDYEMSKLLEKCIRGLNTVEKANKMKKSEAYEQLSDKTKLKVVDRILKLSHI >CRE06872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:39940:40906:-1 gene:WBGene00066983 transcript:CRE06872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06872 MTIVYRSSTQEIHSGTNVSERSIKNGIKCVWSGTMDNYSCYIDFKWEFDWSDLKSQGLDELTGHITVSSEYNHFTATKIDVKITENNQEITKQVGGYYVFDDVFYEYSLIPHYKNSSYNEMFAPSDQNDTILIVDGKKLHVSKAFLSYHSEYFRALFSSNFKEGQMDEIPIGDVSFEDFALLLSTFHPNPDFVTDATVEKLLEMARRFLIPFAIKVTEHYLINWSNIDNEKMLWLADEYGMSTLLEKCIRKITTVENAKKLKKSEDYDQLSDKTKAKVFDRLLDSI >CRE06873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:41396:42397:-1 gene:WBGene00066984 transcript:CRE06873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06873 MARDAIEYKSDIYYIDSSNHVMETASHKGIKCTWTGKCVNLTPYRFSYKWKFDWDELKNERVDKLTGHITVCSRNQWFPPMKIDVELTENKQEFAIEFECRNNTDTVYYQYSLTPHYIFEKPSDDEMFAPSDQNDTILVVEGKKLHVSKAFLSYHSEYFRALFSSNFKEGQMDEIPIEDVSFGDFALLLSTFYPNPVFPNEETVETLLEMGRRFLVSSAIRISDYHLMNNSKINNGKMLWMADEYGMPELLEKCIRELDTVDKVKKLHQSPEYEMLSDNTKVKVLDILIKMI >CRE17650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig624:302:977:-1 gene:WBGene00066985 transcript:CRE17650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17650 MLGAAIEYKSGTYHINGNTNVLETKSHQGIKCVWSGKIPNISVFSFHKINFTWKFDWNKLRNQGVDEITGQIIVRSAFNVFIGTKIDVKLTENNQEVTTDMGITNNTEKVYYQYSLTPHYTSISEKPSYEEMFAPSDQNDTILIVDGKKLHVNKTVS >CRE17651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig624:2060:3683:-1 gene:WBGene00066986 transcript:CRE17651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17651 MLGDPIEYRSGNLSVNGNTNGLVLESKSNKEIKCIWSKRILYYSPFRIIFKWKFDWSKLKNERVDKLTGYITLISVDNAFTAKKMNVELTENNQEFTNEFGYDFSPYDTVYYEYSLTPHYTSKKPSYEEMFLPSDQNDVILVVDGKKLHVSKAFLSYHSEFFRALFSSNFKEGQMDEIPIGDVSYEDFALLLSSFYPNPVLPTDRTVEKLLEMGRRFLVSSVIKVAEHHLLKMSKINNEKMLWMADEYGMPKLLKKCIRGLDTLAKAKQMKQSEKCVHLSDKTKLKVFDRLMDFI >CRE17652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig624:4215:5204:-1 gene:WBGene00066987 transcript:CRE17652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17652 MLGDPIEYRSGRYSGCNYIDELVLEPNLLNKGIKCIWSKTLLSPRRTIYKWKFDWSELEGVDKLTGHITVSSLYNAFTAKKMNVELTENNKEFTKEFNSNYTVSYKYSLTPHYTDVSKAPLYKEMFAASKQNDTILVVEGKKLHVNKTFLSSHSEYFRALFSSNFKKGQMDEIPIGDVSFKDFALLLSTFYPNQVFPNDSTVEKLLELARRFLVSSAIKVAEHHLLEMSKIDNQKMLFLADEYGMPKLLKKCIRGLDNLAKVKETKQSEKYDQLSDKTKVKVYDRLMDFI >CRE06886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:68103:69106:-1 gene:WBGene00066988 transcript:CRE06886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06886 MANGAIVYRSDYHSVNEHTNVLETKSCYKIKCIWSGRIYGNQYNFTWKFNWNKLKKQGVDEITGHIYVSNSYTVLKIDVELTENNQVITKEFGSSHSDGDVYYTYSLTPHYTPVSEKPSDDEMFAPSDQNDAILIVDGKKLHVNKTFLSYHSEFFRALFSSNYKEGQMDEIPIGEVSYEDFALLLSTFYPNPVFVTDATVEKILELARRFLVSSVIKVTEHHLLNMSKIDNQKMLWLADEYGMPALLEKSIRGLDTLAKAKQMKKSQKYDQLSDKTKLKVFDRLMDFI >CRE06874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:42853:43635:-1 gene:WBGene00066989 transcript:CRE06874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06874 MRGFLSYHSEYFRALFSSNFKERQMDEIPIGDVSYEDFALLVSTFYPKPAFPNDNTVEIILKMARRFLVSSAISSAEHHLITNSTIENEKLLWLADEYGMPTLLEKCIREINTVENAKQLKKSEKYGQLSDKTKVKVYERLMDSM >CRE06881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:58713:59712:-1 gene:WBGene00066990 transcript:CRE06881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06881 MTEEGFEHESGCFRVQSNTSLLETSSKKGITCDWSGTVANSQMNFTWKFDWDELKSQGVDEITGHITVLSKNNWFTAVRIYIELSENNQLITHKIPSPHNYDEVRYEYSLTSHYAPVTEKRDYEEMFLPSDQNDTILVVEGKKLHVSKAFLSYHSEYFRALFSSNFKEGQMDEIPIGEVSYEDFVLLLNTFYPNPVFPCDETVEKLLELARRFLVSSVINITEYHLLNNSKINSEKMLWMADEYVMPKLLEKCIRELNSMEKAQKLEKSPEYEKLSDGAKAKVLNRLIKMI >CRE06882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:60142:61147:-1 gene:WBGene00066991 transcript:CRE06882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06882 MSGGAIEHRSFNQQLTKGKSFLDASVKNGIECVWKGTMNNSYKSTFTWEFDWNELENQEEDELKIDKITGHIILKSEKNSFAPIKIDVNLTENNQVITKQVGGCYTSYIVSYEYSLTPHYTPIEKPSYDEMFAPSDKNDAILVVGGKKLHVSKAVSCLYSRKISINYRNFQFLSYHSEYFRALFSSNFKEGQMDEIPIGDVSYDDFALLLSTFYPNPSFPTDKTVEKLLELARRFLLSSVICISENHLLYSSKFNSEKLIWMADEYGMPKLLEKCIRGLNTVEKAKQMKKSEAYEQLSDTTKLKVVDRILKLSHI >CRE06883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:62297:63188:-1 gene:WBGene00066992 transcript:CRE06883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06883 MSSFEMSGFEMSGFEMSQFFIFYSVWSGTMDDSSYVNCTWKFDWSELKSQGVDELTGHITVTSDYNHFTATKIDVKITENNQEITKIVGSNYYAYYVSYEYSLIPHYENPSFDNMFLPSDQNDTILIVEGKQLHVNKTFLSYHSEYFRALFSSNFKEGQMNKIPIEDVSFKDFALLLSTFHPDPVFVTDTIVEKLLELARRFLVPSVIKVTEHYLINWSNIDNEKMLWLADEYGMSKLLEKCVRKITTVEDAKKLKNSEEYKKLSAETKAKVFDRLLDSI >CRE06884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:65056:66246:-1 gene:WBGene00066993 transcript:CRE06884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06884 MTDDAIEYKSVDYVVNSSTNVLETSVKNNIQCIWSGTTNDSELIDFKWEFDWSELKKQGVDELTGHITIESADNWFTKEIDVILTEANKIITKRVSTHDFDDVVSFNYTLVPCIPVESAKYRKMFLPSDQNDTILVVDGKKLHVSKAFLSYHSEYFRALFSSNFKEGQMDKIPIKEVSIDDFALLLSSFYPNPVFPNDETVEKLLEMGRRFLVSSAISISDYHLLNNSKINNERIIWLADEYVLPSLLERCLSDLDTVEKVKKLHQSPEYEMLSDNTKVKVLDILIKMI >CRE06885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:66568:67699:-1 gene:WBGene00066994 transcript:CRE06885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06885 MWGAAIDYKSGTYHINGNTNVLETKSHEGIECVWSGRNPNISVFSSLKIKFTWKFDWSKLKNQGVDELTGHIYVYSRNSWFPATKIDVKLTGNRQEIIKQIQCRNYYDDVSYEYSLTPHYAPTPRKLDYDKMFQPSELNDTILVVEGKKLHVNKTFLSYHSEYFRALFSSNFKEGQMDEIPIGDVSYEDFALLLSTFYPKPSYPNDSTVEKLLEMGRRFLVSSAISSAEHHLITNSTIENEEMLWLADEYGMPTLLEKCIRKITTVEDAKQLKKSEKYGQLSDKTKVKLYERLVDSM >CRE06887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:69625:70686:-1 gene:WBGene00066995 transcript:CRE06887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06887 MWGAAIEYKSGKCNMDSNTKVLESKSHKGIKCIWTGSIYYSFSKYNFKWKFYWDELKSQGVDKLTGHITVFSVNNECTAIKMNVELTENNQEFTKEFGYDCTTYDTFYYEYSLTPHYAPIIEKPSYEKMFAPSDQNDTILIVDGKKLHVNKTFLSYHSEFFRALFSSNFKEGQMDEIPIGDVSFKDFALLLSTFYPKPVFPSDESVEMILKLARRFLVSFAVISAEHHLMNNLKINIEKMLCLADEYGMSTLLEKCIRELNTMEKAKKLKQSETYDQLSAETKLKVYERLVDSS >CRE06829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:71095:72094:1 gene:WBGene00066996 transcript:CRE06829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06829 MARGAINYKSCTYYVHSKTNVLESKSHQGIKCIWTGSCSATSPYRMYFKWKFDWNELKYQGVDKLNGHITLVSVNNSFPPMNIYVELTDNNPAIAHEFESGHYMESVYYQYSLIPHYISKKPSYDEMFGPSEQNDTILVVDGKKLHVSKAFLSYHSDYFRALFSSNYKEGKMDEIPIGDVSYEDFALLLRTFYPDPVFVTDATVEKILEMARRFLVDSVIKFSEHHLLNMSKINNEKMLWMADKYGMPTLLEKCIRGLDTFAKAKQMKKSETYKLLSAETKLKVFDRLMEFI >CRE06830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:74294:83869:1 gene:WBGene00066997 transcript:CRE06830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06830 MIAATNFNGKKRNDVPDVEKTTDAQVASNIASIIAKVAEGKEEPKRRRRSQERKFKFSSEEEEKATQAAVASNIASIMAKVASKVKNGDSIAQETGIPEAKTPTVTRMDLEAESEIPAKPSGDKDRRPPSVMILEGAPEVVILDDDDEILQHPQVLEPDVEEYHRDFEILDRDTVADTTRVPVIKDKDRLPPGLKGDTVAKVGRPSHIVDIMKKATEILVSHLHDESTVVQEVSTLLTNLLGNRVETWKEKLVGAKPTPLPFTITRFFDDMDTVAEPEPPTVTRKRVSEPEPEEPPSKRGRVEPESQELEDLMVKIEEDIEVIGCLIKGDWDAETSCPACHKQFNSKSELGTHIRKTHNDRESLKNREIQMSQQENFPQSIISQPKKLKEACKVCGDQSYSSAFGVPACQACQKFFSRKTSIGQANYVCWTGLNKCPIDITIPRKCHYCRYMKCLEVGMTRERKLQNIPSASKTVSFSAHAGTVLQLLKEMNKNETATVSRAPHATTVTQGATVTQENPNMSHLDYMKSLEPLVPKSVLATVTQPTTARNLFHSSVVTITAPDTKRSSEGSMEPLRKISEISVHKSEQKLKEACKVCGDRSFSAAYGVPACHACQAAEVSEHRVFNIYVFTKKFFSRKTSTGQVKYICWTGQKKCPIDTTIPKKCHYCRYVKCLEVGMTREPHEGTVLQLLKMNTPVKLFKKDDMTTVPNQATVLNETTVPYSAGTETATVSHAPRTPHTATVTQQTTVTQENPNVCYEDYMKLLEPRVPKSVPATVTQPTIARNLFHSSVFTITGAPATKRNSEGFVEPLAKISEISEPAEQETEQKQVTIPEQLQQIAHNFDTVITMIVANHEKFLEKTSAIDYSDNIRHFEMTKTTKNDRIDVWNAYAPVLQNEMGQSMVYAMGMPSFNQFNSNDQSILFKTYGFLIYFLRCIRDITPQGLFFPNGLFIDFKYLKLIYGDLADEMIRFAQEFQEMEMEETDLAVFIVASFYQNLIPEFQKITGFKACKKLMGVCALYKNILEYQMVKQGEEVLQRVLELKMKLSAIHVTHFQKSFIVDIKDHVRLPDFLNEYMWLDGFQTSTQNPVAQEE >CRE06831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:85877:86890:1 gene:WBGene00066998 transcript:CRE06831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06831 MNSPSFPLYRLTEEAINLVLWNMELIEQIVFATLSQKSRKYVSSLKLSSEKTELRVERSFDFNITCHDHILRFEFVKYGEDLSRHFRRLKIVVPNVINFHITNTTTNGTKTHGWRNWSLDIRYFVGLCLHVLHRDNSIDELVVNYQIFAVESLQQAVEGLDIDKLLIGKATPRRHMKNVIKCIKPMKWLQLDHNPFTIRNDVCLSEVLIQNLNRLVISHSPHFSLDDLLLLNASNVVINNTKLKEEEFNKFLKHWIAGSNPRLEYLAIGVKNRAINMEVLWKGIRYWQEPESIGYKIRRKDGADAIVQTGSYKFIMIVS >CRE06889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:90873:92469:-1 gene:WBGene00066999 transcript:CRE06889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-194 description:CRE-TAG-194 protein [Source:UniProtKB/TrEMBL;Acc:E3MZQ7] MSEKKETFNLRKFLIDLASGGTAAAISKTAVAPIERVKLLMQVQETSKTIAVDKRYKGIMDVLTRVPKEQGYSALWRGNLANVIRYFPTQALNFAFKDTYKNIFQKGVDREKEFWKFFAGNLASGGAAGATSLCFVYPFDFARTRLAADVGKGDAREFKGITDCLVKTAKSDGAIGLYRGFVVSVQGIIIYRAAYFGLFDTTKTLFSPDGKQLNFFASWAIAQVVTVSSGILSYPWDTVRRRMMMQSNRKDILYKNTMDCLKKIMRDEGTMALYKGALSNVFRGTGGALVLAIYDEIQKHI >CRE06890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:94827:96619:-1 gene:WBGene00067000 transcript:CRE06890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06890 MVSFVQLVVQIREIFGQKMVDVDEVKKLMGSYKSNINEWRRFAIFDMNKYTRNLVDIGNGKYNLMILCWGPGMASSVHDHTDAHCFVKILDGELTETKYDWPKKKHTPLETIENKTYGLNGVSYMNDELGLHRMENLSHSNGAVSLHLYIPPYSTCNAFDERTGKKTQCTVTFYSKYGEKVDYKGCKEGK >CRE06832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:100705:104125:1 gene:WBGene00067001 transcript:CRE06832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06832 MVFLYKISFRFDCIIIRRRTVSQGYDRMPEDTNIHYSMTMWLSLVSTFLLFCGSTSSTTRKLLALKFFLKKSIILDGNFQLKDLYHKTASLSKTAEMLYLESQLLTGKVTPINLTQLLLERPIDETTFEEYSKIEIYSGLKEQKEISKMLKEAKKSRTTDEIRTAIGNGLKALEGYSNLKSIIEEIDSNAVISDIQKTEERSKVNLAYGFWMRDEKKYLLEIIGEIKEIIESLQSIAKENKELDGRNKNEVGIGLMDLHSMKHHIIKLSDHIMMTIEMNSAFFDSNTTSSFDTVKKVLDEVMGKLAEVKKLEGLIEKLNSMKSDMALLEKLRDSKAVSGVNTLEQNLKATTVLIERIRISKDKYEKYQKIDSISSVLQQIVIFSKSMKILELRSFKMSDDLKPFLKHFEDFKIPDRDLTTPFGGLHKCLEAFDFKVDFSTEKSSLEATIKQLSKIKAKDEKFKESWDKLVKYTDKKKYDGVINLLRDKTVSHEKLVEQLSKINVTELQQIELDLNVTINARIGEPMTYYEKTAREIQDLLVKVEYDKMRKETKAITDKLNNVKQFLDCHSDLNISIDETVALMEIPKETWNFNPLRMLGLVDVVSLFKDAYEKMVEIRDWKLESNPSIEKFPLDSEDVKAMYDGKEAFESVMRIHEYWEEMEDWDEEEFDGHDVEWKSIQDGVSGLVKSLGQLKSNSELSDVQELVATIQYPVDMQIDEFITFIRGDYEGSGRFIILKVLKDLEKLKTDFPDIAGKLEKMNQSIAKMKEWEEKEHPKTVDHKEPFEDCAVSHHNKCSEPLTLPDGSLEPLKKVEL >CRE06891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:104479:105210:-1 gene:WBGene00067002 transcript:CRE06891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06891 MIPATHYMIKSNDNKSIWISKGAARHCERVFNIFQANPQLVIPVTAGGNELKKVATWCEQYKDGYTHHPPTDWDRQFLAIDDSQLSDVLTAARKLLVPPLMGICFRALCERTQQKRLEEKQKNDGLCYSIQSEDGQVFELTAKAAKLSGTICTMISTNAVQINNKENPIRLELTAVPLAIIFKWCEHHKMDGTVGVMTSWDKELLAIGNQELMEVLCAANALGVKTLFQMVTDIIGQPGWGRE >CRE06833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:106300:107093:1 gene:WBGene00067003 transcript:CRE06833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06833 MNSTTTRISTNYMLQSTDGKSTWISEDAVKHCQNVRRAIETTRQTAIQVNAADAELKQIVRFCEHYKDGYTLYQPLTQWDQQFFSMEDSKMMDLLMAATELLVAPIMNICFQTLKNKTRQMSLEEKLKACGLCYSILSKDGQMFELTENAAKLSGFISSANPILLDVMAAPTEHYLEMV >CRE06892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:107396:109854:-1 gene:WBGene00067004 transcript:CRE06892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06892 MWLSLVSTFLLFCGLSSSTTHGNFQLKDVYHKIVSIPETTERLYLESQLLTGKMTPINLTQSLFERTIDETTFEEYSEMEIYSGLKEQREISKMLSEAKKSKTTDEIRMAIGDGLKELEGYSNLKSIFKEIDSNSVIIDIQKIEDRSEFKLDFRSFSKYDKLYLLRRIGVVKKIIESLQSIVKENKELDSSNKTQVEHRLKDLHQVTRRSIPLFDLLKMAIEMNSAFFESNTTSSFDTIKKALDEVMGKLAEVKKLEGLAEKLNSMKSDMALLEKLRDSKAVSGVRTLEQNLKATTVLIERIRMSKDKYEKYQKIDLISSVLQQIVIFSKSMKILELRSFKMSDDLKPFLKHFEDFKIPDRDLTTPFGGLHKCLEAFDFKVDLSTEKSSLEATIKQLSKIEAMDEKFKESWDRFLKYTESKKYDGLTNLLDDYKLNREKLAEQLSKVNVTELQQIELDLKEIQDLLVKVEYDKMRKETKAITDKLNNVKQFLDCHSDLNISIDDTVALMEIPKETWNFNSLRMLGLVDIVSLFQDAYEKMVEIRDWETRKEIRRFENVPIGILKDVKGDLSDVQELVATIQYPVDMQNKMSLKTFIKGDYEGNGRFIILEVLKDLEKLKTDFPDISGKLEKMNQSIAKMKEWEEKEHPKAVDQKEPFVDCAGSHLNQCKEPLTLPDGSLEPLKKVEL >CRE06893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:110643:112868:-1 gene:WBGene00067005 transcript:CRE06893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06893 MAELTDSRPALLFIPYFTVDTAPQTNFLFSIWRCSMIDKLSSACLSWSFNWQELKKLKGIDGLTGYILVTYYDKNTEQPPVRVEVDLTSEHQVIEKVIKNDTSFDDFSAKFEYSLTPHKYPEELSYEEMFEASDKNDAVLVIGDKKLHVNKSVSIVTREGLEQFLSLHSDFFSALFSSNFKEGQMDEVPIKDIFFKDFGLLMSTIYPKNVFPNDSTAEKLLELADRFIMPSVTRQVENHLVTVSQIGNETLMWMADKYGMKMLMEKTISQMDSAEKAKKLKMSNEYGELSNETKARLFERLVQIV >CRE06834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:114839:116540:1 gene:WBGene00067006 transcript:CRE06834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06834 MVYEIITYKSAEKTTNSLELIALDTSTTNGLVCVMKAAAGPIPYMSWAFDWEKLKADRVIGFTGEIIVKKPYELTVPVDIITEKPEVTHIFQEPTDTEKFQFEFSVLPILCTEIYDEMFLPSEKNDAILEVGGVKLNVNRTFLSYHSDYFSALFSSNFKEGKMDEVPIKDVSYEEIGLLFSTIYPKATFPNDKTVPKLLELADRFMMPSVIHHVEYHLLNNTKINNEKLMWMADRYGMKLLLEKMIKELDSMAKAKMLKDSPDYGELSDQAKGKILDKVMVIIDLVQRGVQQNVQQDVPRPRY >CRE06836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:118925:121600:1 gene:WBGene00067007 transcript:CRE06836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06836 MADDNHIKELLSSSDSLILKTVLFDSRKGQKCGAIYVEYPVDSNGSPISSESEITTQNTKRAGFNIFNKHQYLTAFYSLGQALKVGKIDQLTILEGFYPADFDAFVDDFETSGPFDVKHLKIVNLKKDSVMVFMKNISAGLETIYLDAEGTNDFPFDELMGFPVFCNCKTIRIDALLNTDAVPTLVKKWIENDAEIDTKFQYLAAGDHSVMMEKLVETFSDHVVFKTGSQARIRTNSDSKHILIQLFNRDSRNFVVCSIISSTTVDSEYDNDLSWGNEMGRNPKINQSDLSWYKKEIPENDADAKFWAEHGKGIRQAAFLMH >CRE12612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1046347:1050445:1 gene:WBGene00067008 transcript:CRE12612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12612 MSNDSGSLHFEEPLCKECVSDKIEVVKQIGEGSFGSVYHVKFGKKKQDWAMKQVSTDYSEILKTSKEVIQVAHKNVIDFFLCTWTKHENETGGHFLIFMELCMEKTLYDWITENTTPESRNLEDMKYWIKQILSALHWFHAIGLIHRDLKPANIFFAHNSVYGARGTLKIGDLGMIKIREDHENLKPDENGLFPAFEVSKHTDLYGTEDYAAPELLNKQSYTYNVDIYSLGVIAAELIYPYQEYKEENSVRKALREGKTPQLFESFPKEVKDFLSKATKPNREDRAFAGKLLEHPFLSNVPTLERELNKNYCNDVPQCLSVDEKFVRVGFAEKAFEDFKATQISGKDKVELVEQVEKMQLILAGSVDEAVDYEKCSVENVNDYLSDLIYYGERTKHRKVVCFGLETNSFDAVKASYTSAHVKLIPSFEVWVKDGCREWMKSMLEKNSDFAVSNGNLKLKWIPRDEELANQKSAEFKKENEDQLRVQLSKIKKSSDSPDNITEKLIGAIVKEGIPEDRQCLIMRIDSIADFMFDQKIKVPEDYQETKNIQDYLRLLLGLCHLKKEAMNQDIMGVKFYFTNTIEEVSSAIAENSFSIPTFKLFLTEPVEHWESWSEVMWVTWVTWFRYKLTFERKKTEDKIYNDVQRKFSYPEGFRYDGKIVRVGFLTDAMAHLAHQEVPKNCILKTLQQLLTGSYDNLGEEIYHYDTLHHYVIDLWNYAVRFQSQKILCFSLENASFDKLKNSYTSSHVKLLPSFEMWNIDGCKEFMDSVFGGTEQLVFSNGNQLLSWIKREEDSGSSPQESRQEIESRLTTLLDTISRMPPETIMSEKAEILLEGFENVPILAELEFMVFQINILSCFLFGEEMPPNSINNTDEITSIKAYLRFLLGACKFITSWGEEDFEINLLNDIDGFSGIYITNCPFVLPTFEVYRMEPIEHWESWSEIAWSAWWDENLEKSEDEAADEADKHNTDSTTPLTCQDILDSLPINMVAYLP >CRE12613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1050818:1052425:1 gene:WBGene00067009 transcript:CRE12613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-btb-15 description:CRE-BTB-15 protein [Source:UniProtKB/TrEMBL;Acc:E3M7X9] MSDDLIEYECVDNKVVTVRNRILDTVTTNGVKCVWTGAITNNRTTVMMSFNFDWNELKDQGVDTLEGRLSLQALAEFDPIPGEFHIDLKLSDPNQLVEKKLENEIWCEERFLIWKFSLKPYRLWIENFERMFAPSDKNDGVLVVEGKKLNINKAFLSYHSDFFQALFSTNMIEGKLEEIPIKDVSFKDFGQLLSVIHPDTVFPDGESRLWVSQIIAVKKKFPDKTSEKLLELADRFMMPAVIKIVEYHLLNNSKIENENLMWMADRYEMEMLLEKMIRELDNVVKAKMLKESPRFMDLSDKAKAKILDKIMLII >CRE12614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1053118:1054548:1 gene:WBGene00067010 transcript:CRE12614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12614 MSDDALIRAFDGKMGSSHNRQKAINWMRLPRDEKLKKIKKYLILKEKNKPKNWCHLPPEIKLEVIKVLDFPARLKLRMTARNERDLVDSLKINFKSVTLMNDGSQKWGEIEMTPAPGGSLPERFVSFRFNKKLYSSAVHFLAYALKIGKIDSLIILEGYSPKRFNQFLKILEKSAPFNVKSLKIKKLKKDAVMLFMKNLAPGLETIHLHAEGCESFAFDEFLTFPVFYNCETIKIESLATGDATSKLAKKWIENDAEIGTKLQCGLRANSDDFLLNFVEEFSDRIVYQNGKEARIWTNNSSKHILLRIFSRDGAYSMEEYLTCSIIPSVLEKSEYDLNMDWTFFEMDAYYCMDDRNGTALSDLEELESLRDISISYGSDFY >CRE12615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1055471:1056843:1 gene:WBGene00067011 transcript:CRE12615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12615 MLPDDVLLRIMEEKVRSWKNRQSAMNWMRLSRAEKLKKIKELLYFKKCKNWCHLPPEIKLEVIKVLDFPGRLKLRMTARNERDLVDSLKINFESVTLTNDRSQRWGVIQMTPSPSGSLLERFVSIRIFNRKLYASAVHFLSYALKIGKIDSLEILEGYSPKRFNDFLKVLEKSAPFNVKNLKIKNLKKDAVMLFVKNLAPGVETIHLDGEGCESFPFDEFLTFPVVGVEKCGTNVKKKSIIFQFYNCETIKIESLAIFDAPSKLAKKWIDNDAEICTKLQCGLSARSDVYLLNFVEEFSDRIVYQNENEARIWTNNDSKHILLRFFIQYEGLSGDEYLTCSIIPSNLEKSEYDLSTDWTVGXXXXAASKVHGRLFLRSWRVRSVENR >CRE06837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:135973:141301:1 gene:WBGene00067012 transcript:CRE06837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06837 MASSSSTEDGVEEIARRWMEMEEIVPIATADRLLERPCKLKHVCWKFNDTCNEIWIDGRLGVTFTPNQPTESGGTQTKVHYDVDIDKSESKTAFYAFDLAELARWHLAWLTDPNVWIDSATIDMEFDQKWMVEKRKLQVKEVNITVQTNYPSIHGWLAHMTKDVESFIYRGNGIMDANRFLQLPFAAKLRKLMLIYESTFDDRLLPQLGAQHLQISTDFATDAGVNELLHRWNDQNYPIRSQFVIYVQIKSSANVVKNLKVMQSQHQDSGVSEFLIEMNNPNTRMRVITRPSSVICTIFAIDNSQAPPPFVTPTIDDKQN >CRE06838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:144390:152206:1 gene:WBGene00067013 transcript:CRE06838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-42 description:CRE-PQN-42 protein [Source:UniProtKB/TrEMBL;Acc:E3MZH8] MLPLIPLLLLLHLHILPISTLHPIHPCSPSPSITFRGSNDVTRAGGPALIVFAPLQCANCYNYLRRLNDLAASRSYRISVVAPDFESNHIIQRTTTAFPNLQIDRAGEGWPRLGAKNFDAMVLDACSRVTDTFQWPQSDVTTSSAIQTALDSTSIKCGGGVQKCQVVTSSGSHSKKRVNAWIKEQQEAAEQLRRRSQNPQNPQNPQNLQYHYQNPQNLQNLHIPSNPHQQAYYPQNHQNQNPPHLQNSQNPQNPQNPQNLNSNSYQNHNSYNNGYQSLYTPPPPPVPPQVVPTEKATTTTTTDPSSDYDYYPESDSLPITTQSTQTQIPRPPSSLPNGNTWPTYSPFFQHPQNQWNAQYPYQQQNPYQKSGPPPPNMMDANLPCSAFTDDICHQQREQMGALVSKCCNKGIYLTDVCIPGKCSNSTTQLCCFQKFLQAKYSCCNDPTQDEGAPKMTNKFNKCCHNLFVTDDPCCPESAARRYWSTAYEVCMPTVTVDFSPVRFEVKLEDARKVHFTEGVRVLDLSVDRQWEFNCTYGVKQPQFAYLPSDHIVVADFIRGL >CRE06894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:154744:165034:-1 gene:WBGene00067014 transcript:CRE06894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vab-19 description:CRE-VAB-19 protein [Source:UniProtKB/TrEMBL;Acc:E3MZH9] MRDFGGAMSSASSASTSATIIKRNGNNNSTTSSGVSSEVSPNSMTSPNTSSGGEHDQNQNNKKCDCCPYGYHIDLGFVSFAQNVSNGFVSRQGTPTNMMPRGNGVDRQLMSPLLDNSIFSDSLENLMSDFDDVLAPHKEIEKTQKTVSDIRNRLQGGYNSDYTTYRRGGHAPHGPPPNHYPPELPRRAAPHFEPVKPSPISRPVNLNLGFTGSRSNTPTRFGATNGSANGYSTALAKTVSEIRARNAESPFPDSRVGTPIDLGLHQNSTTNGYQTPSSRFARYDDLPPTPTPTFRRAPSQGDLPRHYSHAPSSTGPGDFASLRRGREFALPPEQDHQHHSRVRFFSASPKVQRRTLQAGPSIDVESHFTYAQTQNGQKVLARTQSTSTSPPPPPKTSAFEPVNGVNGHAHNIQKPVVTRSIAVSTGPLPAPKPCGECAGLRKELFLAVEKIPPPPAETCHVSTSTIQLALTDQSVGSDPLEQCHVALGTEPTSTKEVGTVPDIVESLQLLHGETQTDERVTVDRGCSPVGFEPEVREVIKEVEKLVTVPTATAASSECQECVKRQDVFTRNVGVGACSISDKICVSCDKQVEEADENEAPGFKIDPKDDQKQREFEIAKANAVKKLLTNEQKSNFQRGTAVSKSARFDRKRSVDDLDYIVDKKNAVSSATGAHEAPPTIPDPSESPLKSKVILKKEVVIEAPPAKQLPDPIPARIPRPKISKWAVTSEEEAAETPDEQEEAQDRLTPLRSDMRQLGNRWQRGVNNAATNTQHLPYAGSAAAQQEDMSDSDAESDCSAHSDATFEVNDDVFDAAPFEVSDRLREALENFNSSILEPGSVPEETVEWSAKLVRHVWMTTAGNASSRYENVDRFVGELGNLGPQILHMVVNFCDQNVSRSKLESFGLLYFQGNTALHYAVSHANFAVVSSILDSGECDLDAPNRAGYTAVMLAALSQLDDELEKAVVHRLFQMGDVNAKASQHGQTALMLAVSHGKKTTTELLLACGANVNEQDQDGSTALMCAAEHGHKELVKMLLAENTCNASLTDVDNSTALSIALENDHREIGVMIYAYLNYGRLDISGPTSTI >CRE06895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:186267:202413:-1 gene:WBGene00067015 transcript:CRE06895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsr-1 description:CRE-TSR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MZI0] MDSMDTVCRAIDAFYNGGPDVQPAQQFLQQFQESTESWTICDQIIRLHSNSLACYFASQTLRTKILKKFSQLPPDQYEALRQSLLQHLDRHGASAHDSQSEATATQLCLAIADLYIQVPTWTNWIFELLNQCQTLEGDRTIMTLTLLQVFPEEVENIRGIGENRRIAIREELAGCEQPMITFLSHVLEKFHANADMLKRVFKCLESNLQNHQMRTDHFATSPLISSIFHVIATIDPAIPSSLHETATNCIVAALYRVEDIDSHGKLAEIIHRGVISLIGPFQQAQQVEDLDRLQLKINRFSLQILQNIARIFVETVESFYVQIVNEAHPDPHSVGSLACFDLLLLVAGHHDWSLIEMTFNVWYRITEELFKYDDDQYIGKFRPYAEKFIQCLYEHCKMDSDDVDDILDESSEFGEFRAKAVEALRDVVFIVNSDKCIQMMHQKLIECCHRENASWEESESALFVMAAVVQNLLPESESNMPEVLQLICSLSPVSPPALIATSLNLISDLNDWFELHMNLLGPVIPWILQFATDPRFAYHVAVCFDRVRNTAENPITAKCAGPMMQLLPQLFSLISVLEQTTTNGIKVEEAICSLTRAVSTIISKLPAEEAVVAMQQLCEPIIRNLNRSTDATEATHPPSSSSSTNNNNNSSSNTSNGANKENEGGLFKGKSYESWASLATRPILWIDRCAFVFKDIWSPNGHPSSPQQPSPLLPVAEQLIGALLKSCRKFEGTPRVIEHSIRSCRLIFRALGPQSRPLVGPVVEMMIETYPKHRHSSYLYMASVIVDEYGQLDEMRPGLLHMLDALSRHTFPLLENGGAVNNPDTVDDLFRLAQRFTMRATTIFFTHTISQMLFIHAISNLRLDHPDASKSITKFILEILAQLAAAKKTNYSDDGVTRAQLIVDNQAQHITSTALWMALFSHSGQIRRDMADVILQIGKHDQEKFKERIAVAVHSLPNDPMKATAQQLTDFVNNVAREKERHAVFNHTRDLAKLFI >CRE06897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:210602:212256:-1 gene:WBGene00067016 transcript:CRE06897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06897 MSSAITKSAWVNLYKQLQKEADKIPQYNYRAFYQRRIRDHFVANRSVSDVVQQKKLYEEGVQSLESLKRQVVFTRLYPHNKTVVEQKLGYWPKNERKCREKWYFLIETDGIFQKIEISSSNKPEVTI >CRE06839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:212606:217810:1 gene:WBGene00067017 transcript:CRE06839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06839 MAEDIGIEPCFEMEDPKPRKEALKKMKKPERSAPVKEIEEKMEKSMEIVGEGEEKPMSKTQKRKLKIAAAIERKEKAEKGEKDEKDDNEAPEVKKTKFEPKHKDKKHSFEAIEKKFSALLGKLSETTTNFKEGEVIIKKDVEDGKITVEEANTLYRVYNRNLRLKRLRNKLNATLGESAPLEAVKQKINDWRAAGKVTEADACLLVKRWKTRETRRIGRQEQKITGAACFHCREPGHRLADCPKRNSSQSDGVCFKCGSMEHSIHECKKKGVKGFPYATCFVCKQVGHISRDCHQNANGVYPDGGACNVCGAVGHLKRDCPELAAQKAGGAFNQKKHFTARATSDWKTQSADADYDPTETSGDIKSDDVASKKKFMPKKVAKHIKF >CRE06898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:217922:220843:-1 gene:WBGene00067018 transcript:CRE06898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06898 MLLFDIIFFATWILLLLGFFILTREAIYKYLKIENWALFGAFLGTVIILFEAILMLEEHKWRDDTLTNQLIRWAFGKSESSHWKWHVNPITVPFSLLGRIIVLAASVFGESTGKLMGRFIAELPIATQFLMVPFSFLCIFLGIFCLFGYQFDIGYGFLKMESSRNRWKSIFRRKESKNPVENSSRNCVSPIDYCVRRVNEGYQKAIRRGRS >CRE06840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:231227:235884:1 gene:WBGene00067019 transcript:CRE06840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06840 MSIPFLKLPTLVQIEVMKQLEFQEVFLLSLCSEKSKRVAQRLSIKPMKIKYTFHEESVRASVAFDQYEWIVHDVANLKFVPSIPPVKLGGISYKYVNGALHCLEEPAALELLQDHMNNVFRGQPQVQLHVHYIYSMYQSGIIRDVTDTSFKIDDLVTEELENYLTIHPNQNSLRLATKIIGPPFGNDSKLWDIKGLAFQRTYNEFDAILSREIDEKGSEIMRNFGGECLLMFHVVYDIKDWKYMIRSWKTKESYQNLKCLYTTAPKGTAIEHDMISEFNFLKWDGQRRPKYAEFDPKLVVITGIINIRLYPGGLDCGEWMDIQQDGGGKWASIKLTDKMSQEAEELIVNNEASSEMEENEQEPIEYSESIRNEYPEIVLMPPPDVDTIDNNLPRPKKYEGQAEFKKLDREYIPQSDEKAEAYNSPPSLPDAQLEVNPKRDEAKNAGANDAFGRVIVSLNNMDLDQKQIPISAYHALFPALGLPLRDKAPSKRKKHDRSARSGKLEAPRRETMGITIENDSIGLNGEFRLKENAGRLLNALLGEYDIKNLTVTDTRDGIHAKGSKQWIFDISQDRSRRYIVRNISFAGSMYYDQIEMFLGSCKEVMSVVVEDVMTFITVQKAAKEAIFTIKTVPDLSDIANLVNFFKEVPNIVSGYTVVLLVKNGAKKFDDAIPPSFPSQPSSWKYNCILSKTRWLKVTEEFKNPRCCIVQVFDREETPYPSKSIDWIFSYLSSSPSLVPDYCIIHQ >CRE06841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:243430:244664:1 gene:WBGene00067020 transcript:CRE06841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06841 MLGDAIEYESDNFYVSTDTNVLETKTHNGITCVWSGIMNFNSPQIDFTWKFDWDELKSQGVDEITGHITVSSTNNRFHATKIDVKITEDNQEITKEVRGELRYCDCDTVRYEYSLIPHHDPVLEMPDYDKMFAPSDQNDTILVVDGKKLHVNKTFLSYHSEYFRALFSSNYKEGQMDEIPIGDVSFEDFALLLSTFYPNPEFPTDRTVEALLEMGRRFMVSSVINIIEYHLMNNSRINSEKMLWMADEYVMPRLLEKCIRGLNTVERAKKLDQSPEYKKLSDSVKAKVLDRLIKLF >CRE06842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:246735:250897:1 gene:WBGene00067021 transcript:CRE06842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cct-3 description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:E3MZI8] MMRGAGQSPIIVLSQGTKRESGHQVQVGNINACKTIADVIRTSLGPRAMLKMLMDPMGGIVMTNDGNAILREITVKHPAAKSMIEIARTQDEETGDGTTSVIILAGEVMAHAQTYLEQKTHPTLIIQAYRQALEDMIKWAENKFSRPVDITDDNEIAKVVKSCLGTKMISHWMDLAVNISIEAVKTIRVEKGGHREIDIKRYCRIEKIPGGRIEDSQVVKGVVLNKDILHAKMRRRIENPRIVLLDCNLEYKKGESQTSLEIMREEDISAILEQEEHAIRKQCDEIIALKPDLVFTEKGISDLAQHFLLKAGITCLRRLKKTDNNRLSRVCGARIAHDTSDLREEDVGTQAQLFEVIKIADEYYTYVTSETTTACTVVLRGPSKDVINEVERNLQDSLHVVRNVMINPKLVPGGGALEMALSREIEEQGAKMDGVKKWPYKAIGLALEVIPRTLIQNCGGSTIRRMTELRAVHAQDAKNWTFGVDGTTGDLVDMNKLEIWDPLAVRIQVLKTAIETSVMLLRIDDIVSGTKKAVGGEKQEVMPH >CRE06843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:251305:259521:1 gene:WBGene00067022 transcript:CRE06843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06843 MDEWSILDEVDVIAKWPTEHKKAVLEAGAKWKERKEGLEALNTIIESNPRLATSSMSIYGELMDEIRKILDRESNIVVVTTAIRTVELLARGLRQKFGGYVGMVIPFLIKRAKDKKKNVRDAILAALSSVSDTTSSERLLKDIIDWFSIPSPESKQTLLSFLFSYWCRQFQTDIPFVKAVAPLVVKASTDSDVTVRDKACQALGALKRLMGDAISAFLAPISTDTPKMEKIQQYQEEATEEFKKFQESQPKSVGNSEKTEEEGGGASLSEEAEAAATSSATMDPWEIMDSEDVGKKIEKGVEEQLVDKNWKERVAGGESIKKSMDGIGRIEISERLHELLKILIKIIEKDVNVNVAALSAQILKETAQKSRFAFASLAHRAFPVVFDKLKDKKAVLRDALVEFCDEAAVTTPLSAYSEAVINALGSKNPQTRQQTALFLSRFYAKNDTKTVEIDVVKQLVEHILKATNDADKEVREASLRIVGSIQKSLGEAVAKRLLADVYDDKLKAEKIPSIIEELEKEYGSTAAPEILRLAKHYKIGGTAPPKPSAPPTKRATSAAAVAPPPRISSSAKPSGTVRPVQSAATRRAPSPKAPPPTATVPPMKPKPFVARPAPNFGGGGAAGLAAKPKATVAPARAAPRVAPSSRPTTSSRPMAPVRPTPIAPNVVRHTVPVAPGAPLARKPVGIARPQTAGAPSTGGPTRSRIAAPSGIARPGSSALPRPPSNSRIARPPSRPSSRNE >CRE06900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:259937:262122:-1 gene:WBGene00067023 transcript:CRE06900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtq-2 MSLPTPQYRLQAIRAHDVYEPAEDTFLLIDAIEKDIKEIRSREPQLVLEIGCGSGVVSTFVNQALGGNVTSMATDLNPHALDVTLETAKLNDIKIDVVRTDLFAGLENLVGKVDVLLFNPPYVPTEEEPSTNIELTYAGGRTGRSTLDRLLPRVPELLSPRGVFYLVALHSNDIPSLLKEHSDRMTASVSMERRCGIEHLYILKFVMKEKKLNNDCITAPLACPE >CRE06901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:268301:269993:-1 gene:WBGene00067024 transcript:CRE06901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06901 MGTVEVQTISVAIHNRQQQPTMTGPAGPVGITINAGNGEASQAENERPAEPLSPSSVFDARGPNLPVPPAPPAENASEMETVERGPQIPEEIIAHQIVNDAMNELFNNQE >CRE06902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:276893:288744:-1 gene:WBGene00067025 transcript:CRE06902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06902 MKITVRNLQKPIKTPKNSKNPLKMIENPPPPAENAQNPRGAAAAPPPPPPPQNNSLNAARDRLFHAMLVRIALKYSGRVSLRWRKAIEGSILFVALLLFASLIFIHFNFTRSPATCLDSMQADWIRKGIVRIEVVRNLEVLEEKENFISSYISDNSHRTCHFNPIDVFRFGPSVIPYSMKERQAAKARQMKIASPFRPMTYYSSVLSFLRPNLFFDEEEEETVKHYDSVEELEYQDPLEAEAHIAFYETMKDRPEPREYEYLYRVEYAMLYGVLRLPPDFREEHGIPTTWIRIDSKSRCFGDQMSRLMMRLFVGYEDTIIAALRGQAYNLSLVHPETHSMGYLHNLQTHDHYHFVANSLGKWSYITAAVLMIIFTFAISMLLRFSHHQIFVFIIDLLHMFELQQPLNPPVAPLITVVLALVGMEAIMAEVFNDTSIAFYVILIVWVADQYDAICCHSPTSKKFWLRFFYIYQFFFYSYQYRFSGQYGGLALLTSSMFILHSMIYFFHHYEMPLILYQDRVSQVLADLHAPPLIKLSLKGDTKEKNVQNSPIIT >CRE06845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:298866:302352:1 gene:WBGene00067026 transcript:CRE06845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06845 MSNQFSIILLRVQIKVLGLLLYFLLKFRTRAVRSSFQSFHNVELMICATKQRGPTTHTYVCHWRPSSSSSLTSKAHQTPDDTSKTSRIQRIDKEQSAKIGTLQLPPGVLQIDSLTLRLGIVRYYPDGQTPDWVCEENENGGVEFDVGDFRGLAQAHIFPRRELYRAPNEEEIEEKEAAEQRLQQIDNGSDQIAEEEKEKLQKIIEAFNYRVWISELKYEEYPTVLVENYLMTSGTEPEMWPFGPTMRVANLRAEKRFWNSRDMLLLRDALSLESNFPLKSLETRPRRSAGELYDHLAQKFIINEAPNENLIIKLKCPNVHFKDYTFDSIPFKIIFGILRKDPSKQYTFETSSPRCLEDLIQYCQTDRRLPEEVIVSGYKSQRYSIHFGFPLHGVIDLIGSVHVRKVDGVFHFIINIECQ >CRE18580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1255620:1256415:1 gene:WBGene00067027 transcript:CRE18580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18580 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3LJY1] MEQSLSHSSPCSKRYSRHEEFFDTNCFVGTVWRSVVPLQEPPLSLEAIEEVQQCRGEFNRSISRVFHGTDIVNPNAPDTKYNDAIEDPSVGIVVSIELYIHERLDIFFHAKKTQASKEVIPLHISIRPNEDAIVFNSLIRDKWDHEERRRLPFEIQSLVLIDVKFDYTGFLVTINDEWLKMYEYRYPVTSANFLTLAFVCEQSIPGIKN >CRE06909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:322761:326075:-1 gene:WBGene00067028 transcript:CRE06909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06909 MWLHFLVPLILLTTWTVTCHVIDETAGKMADYSGYTLHRFQVDTTSNTMEKLESYDMELDQTTQTARLLIDIWAEPSKQNPHADVLVAPEFLQKFKSLLTTAELSTLKMIEGDIQSQINAERRAMIHNTVRRRRKRALRSWHDFDTNAYHSYDEMVEFMRLLSEQKPDMVEMVKVATSSEGRSIYGVKIHPPGISPPEKPSIIVDAGVHAREWIAPAVGLFMIKKIVSEYGKTGEVTANLQKFDWYIMPQVNPDGYEYSRTNDRLWRKTRSKNVTVNRWCVGADANRNWGYRWGEAGANRTPCSNIYMGSHPYSEPEIKGLKEFFTWQITNPMVYISLHSYGQLLLSPWGYTNERTENYQDQQNAAKEAALAIKNTTGVSYSYGTISEMMYPASGTSIDFMQHRGVPYIYGVELRPTDNPNSFAFNLPPSYIRATGDEMMAALNAIGTHAVKVKRL >CRE06910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:328434:329380:-1 gene:WBGene00067029 transcript:CRE06910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06910 MTRSEDEVGQKIDRCFADSLLKVTGGVAIGIVASVAFFKSRSWPIWFGSGVGLGTGWSNCRHDFASPYVLHGKKIPAGQDSNGKPTYNIIPEQQKQ >CRE06911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:329622:334475:-1 gene:WBGene00067030 transcript:CRE06911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06911 MQNNQNFYRNGYPRYDSFPTSSRSYGVRNDRYERWHEEDGDWSTPRREETWRGDSRRNPGSRAAEERRRSDSWKSGSPRDFRLGRDRAPMPIDDEFLDRYGAKRLNNGNLVDRDGYTLRTRDPDCRAPSPPTFNPGREMRRSGDGKPPPGLANRLSEEDLDKKARQQIEMMNRMATTQQKDEEKNEKKQRNERKRKNPDEGEDEYEEVEEPPVKEAPIETSAPYCNNIKKALLTSPPSSSSESFHPSSNYLGKNPRNIPYRPAISQQKSWFQEYKQRVDTLKEQTYGSSPSLPAATPGYFFKSQPTLSTSSGASTTSPGAPFSSTSSPYYAAATSSSSYLQNPQNVNPFGVPRDVAIPDVVYPPSTPTPPGATSRYANQYLNGISTVSSATMNPFGVWSGNSNDGIQQLAHAPPPPPPPEDRRRDSSDSDSDSEDEAMTKLRQIIENYGSKR >CRE06912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:335007:338018:-1 gene:WBGene00067031 transcript:CRE06912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gba-2 description:Glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:E3MZK6] MATRLFLVVLAVCAFSLPTFGSDCTEKKFKSGTVCVCDVTKCDEIPNIDLTSGQAATFTTANSGARLHRDIVYATDSDPSRSNSCDYYFIIIFATVTCLHLTIDTSKTYQTIQGFGSTFSDASGANLRSLPDKLADTVIRQYFSESGLNLQFGRVPIASNDFSSRVYTYNDVADDYSMTHFSLQREDYQWKIPYMQMAQKYNHNLKFFGVPWSAPGWLKTTNSTSGYGSLLGSSQGTYHKAYVTYLLKFFDEYQKNGIDFWAMSTQNEPTSGGDKKTKAQSMLFTAETQRDFIKLDLGPALRTSSAAKAVKVLIMDDNRGNLPKWADTVLKDTETASYVAGIGLHSYQDSESDKHLQQTHDNHPKYFIFGTEASEGYKSKDSVDYGSWDRAEDYVEDILDDLNNWVAGWTERNLILDAQGGPSWVSDFADAPIIAFPALAQFYKQPMFYAIAHFSHFIKPGAVRIDHSLNVPNLDIQKSAFLNPDGSKVVVILNKNVLAPYSVSVKDSQRSSDHYQVHMGPRSIVTLYIQN >CRE06913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:338314:346326:-1 gene:WBGene00067032 transcript:CRE06913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-19 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3MZK7] MSGGAINLAIQKLRDDGFISAFDFEWVFVFRHIGLPCDLRPKNCYDLHDSRLTVNYTECDRSLGAAVGMEFMRNKKLDVVIGAPCQDRKLFCRSQSKSINNFVAMEVMATMATFYKTPLLAWGLVTDSKFTDADRYPYLTNIMANSLSLGFSLVKLLEMMDWDRVALLYETSAQDYPLSIINDVETAINEYETYGVNVVVKQALPSGDANDAQYISVLNRIKSRCRIIILVIQTATPRRKYLRMITEQNMANEEYVHILLGLRSIGFGKQSAGLTKCELGCLSSGMSPVWEVNPDDGWNERLKAASTRMLVMDLSTDVPDVNYLNTFTSQCGAQVVNPPVSCATPQCLNASTSPPSAFARSLHDVFYLYGLAITNVYNQNPANLGVGSVINDAMQVTFQGLTGEVSINANNTRVPKLMLYALNEKYDQASYMNLTYSVDGGASVSLAYTNEASLWFWYNGLRPLSVPTCGFTGTECPQSFVDQYGALVFSVGGVLALALLLLITCFFYVLRQRKLERDRIDAEWQIPLVKLQKPPKREKSQMSKRSLQSAPSNITDTSKMTFDNTFSNYSIFYLDKDPVLSTAHPASNLFRADYDTFVKLRKLEHENVNKFIGLSIDGAEYLSVWKMCMRGSLQDIIGQGNFSIDPFFMFCVIRDMAEGLKYLHNSFLHVHANLRSGTVLINESWQAKLTDYGLGNLAEEKKPMKRRQLWMAPEVIRGTLLPHQIEKSADIYSLAVIASEVLTRKEAWNMSERKDTVDEIVYRIKKGGPNPPRPDLDMDGVEINHNLLILIRDCWSEEPIDRPSADVICNLLKNMMPKKGNLMDHVFNILEDYTTNLEVEVEDRTKELTAEKKKADVLLGRMLPKQVAERLKQGQTVEPEGFDSVTVFFSDVVKFTQLAAKCSPFQVVNLLNDLYSNFDAIIEEHGVYKVESIGDGYLCVSGLPTRNGNAHIKQIVELSLDFMAYCKAFKIPHLPRERVELRVGVNSGPCVAGVVGLSMPRYCLFGDTVNTASRMESNGKPSHIHLSAASYTLLMKHYPNQYNTASRGDVIIKGKGVMETFWVFERNNQFMLSSSNSAYNPDKNKKKNDDDSSDGSEGSSTPPSTPPMHDEKPNSPVRHRKPPVPSSPTLSKRSVSPIMEAKARDIHNEETEALYRQFRRQETLALI >CRE06915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:358093:361123:-1 gene:WBGene00067034 transcript:CRE06915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gba-1 description:Glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:E3MZL2] MKSRFRTIFSFIFFIIFCLGRSQTFHKKILSNRAHFLAANADDCTSKSFKDGTVCVCSLDYCDEIPALDITMGQAAVYMSSHAGARMHRDVIYATDAEPFGTLHLTIDSSKMYQTIQGFGSTFSDASGANLRSLPDKLADTVIRQYFSESGLNLQFGRVPIASSDFSGRVYSYNDVSDDYMMQNFNLTKEDFQWKIPYIQAAKKYNQNLKLFGAPWSAPGWMKTTKAMSGPGALSGKAGDNYHKAYTTYFVRFLEEYAKSGISFWALSTQNQPTLGSDKKNKIQSTLFTAETQRDFIKSDLGPALKGSNVGKDVKVLIMDDNRGNLPKWADTVLNDRDAAPFVAGIGVHSYQDSESDKHLDETHKNHPNFFILGTEASEGGGAKDVSVDYGSWDRAEDTVSDILDDLNNWVVGWTERNLILDAQGGPSWVSDFADAPIIAFPALAQFYKQPMFYAIAHFSHFIKPGAVRIDHSLNVIELEVESSAFLNPDGSKVIVMVNKGSLVATEHTVVVQDTADNRNHYQFKLPHRSITTLYIQTSNF >CRE06852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:377272:378269:1 gene:WBGene00067035 transcript:CRE06852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06852 MSNVQLSSVIFIQEPAQGFKTIVKGSKKTRIFSQEGQAVGTQRMLMFRKTRKICQKVQEQSKDQLSRSVSNTGHRQDIQHCSKSRDRNLIDRNQKPEELGSQVKNLTVRSQEYLTVRSQEGKNYPSVKEVLPRRSAAMKMVAIHILQSQQSQRTPTGVKDQDKQRDKGTTQRRMRCHRRGSTSGMVYNYFPIMSIWKSSSFYPPSVASLAPSYYASDTTHRDTVTNSPKQAHYGTPTTLIQTTANVVSASPSPKHSLDAATHRKRM >CRE06853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:380660:387533:1 gene:WBGene00067037 transcript:CRE06853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06853 MGDQIELVRNGGNSSEQRPPLKAQLSRQTTLGTGHEITLGTDQDPYNKEELVNTLKGRELGLFVVFYICAVMFIVMTFEFFKPIFFTNNYPN >CRE06916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:387609:389093:-1 gene:WBGene00067038 transcript:CRE06916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06916 MFKFKSLTFYYFHKIHISETPMAIHSQFSYQCPPPSSLLARKIEIENLLLFSINIIPRPSTKNMTRLSISSINLTLLLALVTVTHSLTRQDLLDHLKYAQETRNTFDSRIRQGDYMKPIGYVAGKPIWPRVLDRVRSTGELFFDDDNIAYVVHDRNPAGMIRKMDKEKNFETVMQFLDKYTL >CRE16884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:43760:47330:-1 gene:WBGene00067040 transcript:CRE16884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16884 MILRLLVTFLWLILISQSKLDSCEQWIKQLGDLDFFTEAQIRMVCMHQKEWVKDRDEEAGRKFLEVTTDNQLKYLRHVEQCTRENCVRDARRKKRAPTKSIRKEIRMMSPSELRDLGIAMNGLKNRQIDNITAWDLHTLVHYPDSAPGAHWGPAFLPWHREFLRQFEIALQTEVPSVTLPYWDSTLDQGLPEEADSVLWTDELLGNGNGYVKTGPFANWDTNVLMPLSQIPVKKLYRSTGGREQDRLMTPKDANWIITRKNFSQLTFCHDKTFESMHGLSHVWVGGFMYVIRVSPNDPTFYMHHAFIDNLWEKFRQNSQTRDEREVQWATKNCNDNHGFDVQMKPFTIQNRDGLSNQYTDEWYEYQPVRHCSAEDATCDSPFYWCDMKLWRCRSRVVYGGNCTGYEGTQICYNSVCSQGKCVVPPRIRSATKSRIEDGNLSFKEKVWAKTVLLDKDGKGIEDDLSRIVITDLDTNQTQIVFHSGETEFPEIPGTVYLSLPKPRAGKMSRVSMEARDQFGRYCQAQCMNSTSERYQVCQPFLNISLNSEISSPVSFTHSISSRTFLNLDLSVHPRQMHPEMPYIVFVCSRKLVTSAIIKQAAEVVRAPTSTENYVFFRVAVFREETSNYQIEVSPYSDVGPIFSSSIEKAASAFDPNIVYVQAPNPELHKEGVRVRVSILSNNNRVQCQIKCTEKDGSMHECNGDVDLHSDSTLSQEDVFTSDPHSLPVLGWNMKGHPSFWRHKMPFLSFHC >CRE16883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:41915:43333:-1 gene:WBGene00067041 transcript:CRE16883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16883 MVVFCLPLLFLLVTSSLASLPDLGYPGWQCDASLYQKSQKVPTSAHSVRFSDIKIIGALGDSLTAANGAGAPKGDPLAVILQYRGLAFQIGGDSSLDEHVTVANVMKKFCPNIMGYSTGIGSANVWEVSKLNQAVPGAQAADIITQARALVQIMNSHKDIDIKNDWKLINVFIGANDMCRYCEEKENGVHSKANWKQNVITAIQILKDNLPRTIVSMTGMFDMRMLRQIDHDKYFCDGLHVFECPCEKKTSFTNDDVSQACHLYMDAQQEIQDSGMFDTTDDFTFVLQPFFNGITIPPLKPDGEVNLDWFAPDCFHFSRLGHANVAKHLWNNIVQPVGSKNHKVDLSDPTIPLNCPDTSCPFIRTTKNSVDCSKYLTN >CRE16882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:33275:34975:-1 gene:WBGene00067042 transcript:CRE16882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16882 MFYNMFCHFFLLLLMMMLVGSAYSKGFSKQISDSGRLEGQIIEEKVPCEMPAITPQLPTRIRSKIELIWQHVEKNGNCWIEMAQTRNVLLRLTSAEKSVLLRKSRKECKVPSVVHALPQKYQRKITDIWEKNINEKVKKEKDCWEQQRKTRLLLLNLPMGVKFHPPAFECALPHFYNRLEQSLQEKLRDIWKGYKKGSSCVEQIDRQIQLLESNDISLKSFQMPPPSMFRKQREVRRKLRRRANVA >CRE16881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:25022:27549:-1 gene:WBGene00067043 transcript:CRE16881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-89 description:CRE-PQN-89 protein [Source:UniProtKB/TrEMBL;Acc:E3MS58] MKTRRALSIITCLSVLLTAINAQYQINNQNQNPYQQYGQQGQNTNTNANTHTGFGSQSQSFGNANANSWGSGSSSNTQNQLQSGYQNNQNRGVFNNQAITPFGSANNNTHTNTNKGVTIQFQLRGYSNPSSALPNSQTCVCPAGYTCSFLKTSPKCYFAFTFIVSSPDESVRYQDTDFFYLDGNGQLPQSSQGQWSQNYVMNLPSKPAAIDVFAHHLGAVITQNGQLVQDDTLTHVDTFVVPLSDTLPAVEGVQNMNQQRTYQGKLLGTSLSMSFSISCTGSLIGPSCDLTCKASHVNANVAACQSNSTGFFSICNYISNGQVDNCKNCPWGIRDSTYCQDDRGSVLDPREAGLVGSGWQTATIILAILTFIFLLLLCALVVFTCLRNRRAPVEKEMITFQRTSSDREPLHSKPNREAATFRQDSTENSRRAMLPPQDAKPIRSAMRKPNYSPVNPNERDDSSFASDVPVRPSRSEVV >CRE16878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:17473:18956:-1 gene:WBGene00067045 transcript:CRE16878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16878 MSFQLSVAVNDAPFQKKNVTPNTTIGELNNDAILVWKDTAIVYDVTSETNEFGPATTMQQLGVTSLSMLYIYTTAFPCPSGDLRSIVPAQIRLISQFASAASSLFNQKGTTAAAPAPQRAQRPEATAGSADDEDEGMKSIFSRKILDSPALLKGITETMFFQLKNDPQGLARRLPELVERFFENKDQTYKEFEAFFRLFIEEEVHKEEIIKNNPNSAEAKMFLEAKRNKELINEQYLHSMTHHPEDQVPVTMLYINLTINGVPVKAFIDSGAQKSIMSMACAERCNLNGLIDRRFQSMARGVGGTEKIEGKIHLCDVRVEDAHFSCPFEVMNRREMDLLIGLNVLRKHACCINLKTQRLEFGNGTSTPFLQSFEIDTHLKEIMALPEEEMHVDEEGTSS >CRE16877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:15919:17148:-1 gene:WBGene00067046 transcript:CRE16877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16877 MAPKFELRNGQKMPKIALGTYLSHGQDLFNVVDKALSVGYRSFDTAKYYENEKELGDALKELLPRYDLKIEDVFITTKIFPYSGENALELMTKDINQSLENLGRQYLDLVLIHYPRPLDTGDKDSRNAMYRKESWLALEKLHADSKVLSIGVSNYEICHIEEMRDYLTIDPAVNQVEYHPHFQRKELREYCQINNILFQAFSPLGRGNKTLLNDSTMVRIANTHQTSVAVIILAWIMRGKNGVVAKTTSGDRAGENFKSVTLKLTDDEFARINELDLATPYVEDRGWEVL >CRE11486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:103373:105074:1 gene:WBGene00067047 transcript:CRE11486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11486 MVLIYAINFYFLLLILIPSTPTVSGQDTAPNSLWCVEGEKKLYSPVQCPAETTECFKFTCDGIEEPFVARGCGLSVLKSAAGLPNESCHQAQSICEQVGGVSSCHTCNNKHMCNISFPNFSVLIVALLSCLIFIAGVL >CRE24730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:269232:273692:-1 gene:WBGene00067048 transcript:CRE24730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24730 MRNLLLVFCLFSFVLLEEAPNIRQVTSQLSIVSRLTSGITIQNELLDSKLTEIQAMASMIHIKNPQSLESLKGGNVVGGANCTSSISDLQTSEASKKLDDLSTLLKPLEGESKVQKPGWLQKSLQVLSEFSNELETLNTASKTSLTDDFKAKALAITGGKVNIRETIVGKWPLSVLADSLSTIMNETMTAVPTEEKELFKLKNAILRFRFEMETYEEYIKKLKDYETELKTLFDYKDLKMDEVLKPVKIIKDVATRFDRTKLGGNRFLGWLTSLKEEADKLESTNIMKSQEQLEDLKKDLDALFPIVRDISAVRISPKPSQLAQIFKSDLSEKWFLDSFAEGDKKSIEKLKKSLTLLESFSEKATKITLGLNDFTSKYNRYQIFAFKRVFARVKNTVEKLKAFEEGSEKFKTALDSAHTCLSNTKLKSRRKEIDLELFEKSYEFAMKLYEKVTNLHDSIQKVLGIPEIENYDVLKKLNEETKGIKDDTLSNDEAWNIVEKMRKVADVDTLLPKLQEASTTLSETISSELDELLMETVDFDIIQTTQSILEDTNLIAALECLKNNAADFDTKHSFELMALGNQIRFIKEEDIQEAKGILESMEKIKTDLNSLDSKSKKTKRAAAKNETGEQELLKLEESKTIGSELESGVNTLNRLVAVIDQKESVLAATKFGEKVDKEIWSIRVPPMQSVWTPITRQKLKRLFEEIEQFEKMAGHYKTKGVESVFGIMENATSVNGLKLDSSLFKTYVPTSLSASKDSEVQAAAPVFEKLSSLDLDFAANHEALKTASLTFTPLRKFFDDFFGIDRTLKNAQAQNGTTGAGAEAENGPAASYLLLLAAVLIVFLVVLVAIIFCMKRRSRLAKLGRKETWRHLRFLSEGALTNNDGTQYTQLHLAVLKKRGEEVKRLVKNGAYVDVHCYGKVIETPLHTAVSNKAVDTVRFLIKHGADMDALDSNYETPLDRAKGNKEMLKIINGFKKKNFRKTLPQALPIDKYKIFIDEKVKGKKQFCDKFKQNIVSKIEKATHVIVKTGKDGLFELDKDDPSAIVYLGVVCSPKILMTSDWLTAAVQKKNNFRDDFKFQVKKIKFNGKTYKAIDDIQLNNSKMFVPYLTNAIIHFDQADLHTIDWTALKKVSTDLGAQNVDEFPVMQGMIPGKCPYYRDDLGHIFVIYIQSNADKLTALYPILKTEKAYTFLERDEFIAMLLSQEICHKKMKSTKKAKKSASTEGTTGTIGGTTGTACNTLCELNGSTATTLGITGTTGTTSGAE >CRE24678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:264549:268744:1 gene:WBGene00067049 transcript:CRE24678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24678 MLFMRVILSLSFCFTLCSAAELKSNHLESLRFSRQNGQSNLERSFEFSSFGISGSSAILQIANDFSILARLVNAISLQEGLSDGSANPDVVLAELLNIESSDTIRELVQMKLDTNEISSNLGKMLIDVGNLGANPLTNSHQVREAVNALNSMTGDATDLKKVIEAKTVNEFVDKAKKVTMTYNPAMSWSKFKEVAKHLKTVKDVEPSEKYVKSIIAFNDAIANIHSTDSTFTSTIEQFKNYKTTTSLEDLWEVKGKYKVLDPILKISKAAQAFDHNWGVYSTLSSKLKLLQNDAQTLNSLIQQNEFHSKLSNSLTPISNLVKGLHNLKNSDRVWTEGFPNGPSDISRIKDDLKGQWLKNKIAEGNDVTPLSSSFKLIEKFNEKLTTMNADWITFKKRDGTANLLSEFSTIFKVLADNGEVLAKIKNLEITVSALTGAMTNLNKIKGSPNFTKFDELYSYSSKISEYASQFESAFESMNKLNFKNAIDAFSQLKGITPNSKDDLTVSETAELAEKMKKVKGLDQLVAITTIALENLEPLLSGEYSTFLQNSPDWASIEPVQNSLEGTELTGALEKLSQQSIDLEGIDKMLDYGTKVRQIESGSIGSLKEVLNIIDKLRIGAKAVKSSIENKSKQRRDSGINVKKLKESKSVAFDLGKGVSLLRTLAEVYNGRELLVTASEYPDAVNTAVKSLPGLQSVWTDENRNALKQMSEKLLQLEKFAQENKDKKDFIQEGSVFGKASEIKGAPIDNKMIKETVVPALKIHSISTVTATAPTFLKLSELELDFSKHSTRIKAASMTLPSLQEYFDEVFGIKRTQMAGQSDEKKDEAQSGLSIEMLGIIAGCIVVFLLLLGGIIFCIVRCRKQRQYNARLLDPETWVLLSFTAENTPNTFGSGFSIEAHKHIIKNNYDSFKKCLKNGAYVDAKLQTDKQSNTMLHEAVLHDKHKYVEALIKHGATREILNHEFETPMQLAVRLKKKKCIKMFKKYEHKKFKIVLPEVFTKNNYLIDVDRAIPIEEHYHGDFFKKFGQYQHEHPKRPTHYVAKTDKDNVLHVKDHHLPMIFSATMIMGHRWLKACLDNPSAIGNNKEWRVTKMSFRGKEYDTLLAIKDYINRMNVPYMFGIGVSYNNHTLAQEWSLMRTVTTQLGVYNGTGEFPFMCPKPGHCYHRDDLPRNFFLYHRNDKAQVQLNFKSTWMDNPAFCFMNTDDFTHFLLDFKIVSKKIEKIDKKNGVVKKKDEKNKRKDKLVSEESCSVTDCSDSCASTMSVGTPVSRTPTSGTPNSRTPNSVTPTSRTPGKNRRH >CRE24728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:258083:259675:-1 gene:WBGene00067050 transcript:CRE24728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24728 MTISYSGNSFRLLLRWKGSIWRSVWRELFLFLILFYSIRFSAPHFFNWADPDETKGYRKIFKVMCNEFHEYTKMIPLTFLLGFYVSNVVSRWWRQFECLKWPEDFLSILCLLLPSKESRPARHQIARYLNLTCALAWRDVSTKIRLRFPSLTNIIDAGLLTEKEYEKLQDINEPSPGIRWLTPLHWVQQLIDAEIAAGRGSVNYVSVAMNELKAFRISFRRLYCHDWVCVPLVYTQVAALATYSYFFFCLFGRQDLNHDDFYSLDAFFPLFTVVQFLFFVGWFKVGQDLMRPFGLDDDDFELSYILDRNIVTSFTIVDSLQDDDPPKFEEDVFWKHHSEQQQMHQNMFLPKVPSSLKWGRIDLSRNAHKHPPKLHTYLEMKNQDPEEYKNRKNNKFIAW >CRE24727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:256553:257798:-1 gene:WBGene00067051 transcript:CRE24727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24727 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3N416] MNASSIYVIVSIIYGFPSVLLYIFSFFVIINFRKSFDSSFFNLYIYDGLFNLFTYFNGFVFLRLSSITCDTCFFASFFKNTSGIILNFVVAMTWHMAYVQYGTTVLISSNRLSVLLKYNVLEPASFSEITNVNKVYNFQVWRQFTWILVILVYFMPFLDTYRYFVHTAEMKYYEETEGYTPISSVPINDSFAYLIPFMIISTIGSVALNITSLLFVKQVKLRKKNEVESNFLIIMSITCTAQLFGMVLSVSRVILAGTKVANTLAQILPFVSDGLTLVQPWLLVVFCHSIRDKMRMTLGLKKSNVQAASSQILKDRSITT >CRE24677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:252826:256074:1 gene:WBGene00067052 transcript:CRE24677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24677 MAEAELEKLRNEYQDLTQSYEQKCQDIREAAAAGLKLLGEKDDLQKRLDEMQADLDSTRAEVEKVNQTLAEYRSQHQRSTRSELENEESLLEESSAKEEEYLQRIAKLELELKKKEQELAVKKEELERIEKQHSKEMDSGAVLEDERRKLRAELKETKEREQRLMAEYSELEEENIGLQKTVANLRGSQVDYESMKIDNNRLEDNIHILKSYNEESEMLRIIAEKQLEEALQTAQQERDQRLAMKRELDQVRAAEHISSLNDWICELEEGGTLPTPQPGASDLFSELQGSSDVKVRELEAANDGLLEEMKSKEKVFIEFVTALGDSLNIHRPTNELDYMHARQQKDVILDKLQNTARDTDRHEKEGEEKKSGILKADLRYLVLLAGEKNAQLAAAQDAMIQASDQLYQFYHQMTQNQGISTEKNVQEIVKKLRQLARDNAEDVPRVSLAEEGVESGTETDVNASRSIPLNSDRLVIAPSFAKEIEKKLASVKVQDVLSETDLRQRILTEGNPISETTDSLKKMLQVVKRTSEQAFNQAVMASGAENEIEMQNMKLRSLLSTKRDQISTLRTVLKSNKLTAESALSSMREKFESEKKMQMDITEKMRRELKQLKEDAATFASNRAMFNAKCEELRSEVEDLKADKAANEEEKKTLNQLLRLAIQQKLSLTQRLEDVDMDRDRQTYKRTSTKAPTREVTVLLEYNKQLIYFQTYQPPKAVRYPANNQSSAAPPAPSGGSRGGPRRGDNQQRRRTPTPEDPNDNQLDNGEEKSHVITTWPYDLKNEEEDPQLLIDLMMEEMRVEAEIERMRLKLKEEEGNQSIFADCQLVIFLFSLPPILIYLAVYIFLLSPMP >CRE24676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:246733:249027:1 gene:WBGene00067053 transcript:CRE24676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24676 MTGSETVSLIKPTKMATQSPTPVVNELWFIDTQAMFQNYANLRSFSKSNVTEVQTSIGGLVFGRKDRKQVIHVFFAYQEDLIESNLQFLESALSADIELVGNVNIDGQSTLIGNGTFTLQLSSKMLDNRNISEFLDQNVMFNNEHVLMEGASCVSKVNLYYMETDFKSDFLKIGFEWTLRAGREQEDARSAAERLSMASFRFSHLNAQHGLVIREHKKEAAKPKYLDKFTKGALPYKVIDCIENVFSIQVFFFQDVIEFQVMQSLTRDTSNDTEDQKLVPTVKVTKDNKHFTRLVTIGDVVFPAFFGDSSFDLYKRAREAFNRRSNNTMMVTVNGVRSGRGVTTTTSATYLPPGWVSLLHLQLPLKWTENEQRNYRIRLHKFFNLPSSKPCLRLSQSLALHTDSVRLTNKKLIREPHLSISNYQPVGVVTTVNGPYNYHHYMQDGIDDNGWGCAYRSFQTIWSWFILNGYTDKPVPSHRDIQQTLVNIGDKEQKFVGSRQWIGSTEISYVLNELLKLECRFIATNSGAEVVERARELARHFETSGTPVMIGGNMLAHTILGVDFNETTGETKFLILDPHYTGAEDIKTITSKGWCAWKPASFWSTDHFYNMVLAQPPHDAF >CRE24675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:244216:245959:1 gene:WBGene00067054 transcript:CRE24675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24675 MSDSSSNLNPDGTEFPDPNDVPPPSEDQLTEEDLFRMRSLTINSKKGLSVVGGASETADQSIISLADSTSNFDEHVGDDAELDYELEQAPEATEDGSVVNNPVCGGYHFGRKRALDFVPNVNTVTVLKWPTNLGIPQRNPVLNDSDAAWKQAMEDSTVYLIGTAHFSKESQEDVSNTIRAVQPDFVMLELCPSRISIISMDEARLLSEAKDLNSQKIIQTIKQNGAIQGILHVLLLSMSAHVTRELSMAPGGEFRAAHRAAVATENCRVVLGDRPIQVTLQRALASLSIWQKIRFFFHVAFSHREKITAEEVERCKQKDLLEQLLAEMADDFPQLSQIFVEERDAYMTHALHMLVLRNAIEKRAQWLRGTTGQPYQPLTIVSVVGIGHTPGIVNKWNTNIDFDPLMVVPPPSLGTKVFATGVRIVVWGSLGYLAYRGGRAIFNRVSTLIESRW >CRE24726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:241329:243410:-1 gene:WBGene00067055 transcript:CRE24726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-11 description:CRE-DNJ-11 protein [Source:UniProtKB/TrEMBL;Acc:E3N412] MTTGNLQVAIYGFTSPIRTYEPAGICYETRIIRDKITLGHCTLPIYKNSPVPQPITQEQRKKSSEEPAELRKELFDADNEKYEKYLMKLDPNDCKNQDHYKVLGLSKLRWQATSEEIRFCYRAKVLKHHPDKKKHRGIVMETEEYFTCITKAYEQIGMSDAKRQAFDSVDHKFNDTIPNEKSINPDNFYNELAPIFQLNSRWSSLKPVPELGKADASRQDVENFYDFWFNFQSWREFSYLDEEDKERGEDRYERREMEKQNKAERERRRKEEAKRIRKLVDMAYAKDPRIIKFKKEQQAKKDKVKEDRQRAIREKQEAIEREKREKEEAEAKQKEEADRKAKEERVSVFKYYLNGIVNKSIILQEREKKERDIAKKAMSQQRKRLKKLAEEAGHWTENPRDKLTEMERIERICIGFTVDQLRELCEKVETLSLHLDIQKALTDAERLKKESAGAKVTLPEDKNKENEKQVEKETWTSEEIQLLVKASNTFPPGTVERWVQIADYINEHRKDSKGLPPKTEKQVIKQCKAVQTMNVKLPATTQNQLGTALPDEDVWSATEQKTLEDAIKKHPASDAERWEKISTDVGTKSKKACIRRFKYLVQMVKNKK >CRE08618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2939:1072:1695:1 gene:WBGene00067056 transcript:CRE08618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08618 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NVR2] MVHIIENPVSYFQRLPHSNEFYFQPIPLATPIYEGMKAHSSVEIHGDVFQGPQGGFTVEFPTKNGVALHISVRMGSYGQNVIVFNHLARGRWHREEHHHNNIVFGRPFCMKIHNEHHKYSVHVDGHHIGHYHHHKCPKKIVALTVRGDIRVGKIHFENFKHHNGGGSSFILSFH >CRE17657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig704:3022:4074:1 gene:WBGene00067059 transcript:CRE17657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17657 MTFLVLFLLLIILIQPVFMANSSSFPTREDKEKIFRMMFEAQNKFRQACCLWSEKKNYKRTTSDCEHIKGDVILENNVDAISFQSLLDIREIQGSLVLRNTTILEFGLPLLERIGFDDKSRSKASLIIENNKYLTHLRIRKLVEIVKHSSQKHAIVENNPNLKIDAKQYEIFKKAANGSLDFEHFNPPWRRYIRGITYELWPYFLFGFMIIVVFTHHFIMLEVNEFRMKRKDKEMIDCLNKMRDSTIAAELL >CRE24670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:230955:232410:1 gene:WBGene00067062 transcript:CRE24670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24670 MSSAVAPIFGVLGSCMGCMIFVENIAKQEPAAMNLMTFSTFLFISTIGLVFTSKFFTVKNQIPLKGYVKTVSMFFIVNVVNNQALNYHVPVPLHIIFRSGSLLATLVLSVVLVGKSYSARKYISVIAITIGIVICTLATSSQGDSGLSMEEASKHYAEWSIGIAMLTFALLASAYLAICQQQMYEQYGKHPDEAMFITHFVSLPFFLIMGGDIVSASTKLSASAPYALLPWFPSLWVDLFASCVLQYGCIKYVYQLNSRVDSLTVTLVVTLRKFLSLIVSIVYFKNPFTAQHWVGAVLVFAGTLAFADIWGGQTSKKQVEKKKQ >CRE24669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:224725:229679:1 gene:WBGene00067063 transcript:CRE24669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drh-1 description:CRE-DRH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N402] MVRKKQCAALVKLYGREITRCLEPVYQEPEKGEYFEELLSLGRIEELIGEFENAVDFSKKLFQELSESPLTRDDEERLYKNVMTYLQACLPGSNVHKLLKCSDRTMRRSQFSTILNNLDGFLRYSDPETILRYLDCYPHYTDVVIALRREIEQNRNDETEDEDFIKKLILRTVPMLGESSAYDIMFSIHENTSNNLNEEVKTFIENVLQLKRGGFKAFYEFISADRRQCNGRIYICPIHESATEMLVRLPEFNDSRYRMINIRYDNIQAEESVPRLVIESVRHRIHLQRQLCLRGYQEELCRVALRGDNTIVTAPTGSGKTVIAANIIKHHFETRERLGQRYKALFMTPNSMILKQQSDSISSYLEHAYHVQIVQGADNVPVRSAIQSKDLIVATPQMIVNLCNEHRDELMKAEGVEQFFLSTFTIIFFDECHNTLKKSPYANIMREYHTLKNMGNMPEGHHLPQIIGLTASLGTGDGKNELGVKEHIASLCANMDVKELSVVTDNLEELQGYSPIIPDEVTYCERGTDGAIGLFTRWLCDMMREVENLITLALAQELIPVQDGQPGRPIDDRQFGPINEFQSAPNDKEHSGYLNWVCNEMNLVSSKKFNESRTKIVINEALGILKECYWTLSYNVNFNPEVALRYLKSEINLRSSNFTPEMTRIWDRYQNHLVTTGTADNPMITEVEKKIVDQNSDQNDSRSIIFVRTRYEATILNEILNKNERLRNLGINSEWISGLNKSTAGSADISASKQKQMEKLRKFASGEIRVLVATSVAEEGLDIAKCNLVIKYNYATNEIAHVQRRGRGRAINSKCILITNSIPLRDQEGANRDKENMMNKALLKIQSNPFAFREAVTAEASNIWNRILREDAERAQRIADQISQNVTYHILCKKCEVFLCTNWDIRARNTQYLVCRPEFWSLVRKVELSPADADRCHSTGKVKCLGRNCGAIIGRLIDMNSSELPCLAAEAIVLVDQRNTSKMITIKKWKQILSKYFTPVDLRQLDIQRMRDAIHARASLNFEFHRNGIIENINREI >CRE17662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig704:13192:16579:-1 gene:WBGene00067064 transcript:CRE17662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17662 YDDVVRRLDCGIEIIDEVNREEVDDAEKRRERELRKKKLILRSVPRLGPFAALDILFTIYESSSPNSNEEARHFVDSLFNFQDGQFFDHFSKIRPFSMNLRLYSFPGTVKEEQPIIVCDIDVLASEMMVHLGLDDPPNGLRSINYRFDRIADYSTRRIVNSSVNPSLQNENSINLRNYQVELCRLAVQGINTIVTAPTGTGKTVVAANIVKNHFEVRAREEKTFKALFLAPNTTILQQQADRLNHFLAHSYDLKICQGSDNSPTRQAVLSNDIIVATPQMIVNLCNEHEDELSEFSNEKFYLSTFSIILFDECHSTVNNSPYANIMREYHTLKNMGTMPDNHELPQIVGLTASLGTGDANNVEKVIQHIASMCALLDVEKLSTVCEYADELQMFSPIIPEKIYSFEKNKDGSSGRFAELVKQIMDNITMMLETAFVSREEYSKVTNGEKTPAKDHVAYLNWLSCLKRSVAEQNFQGNRNNINEALNMLDICYRSQSFNSNFNPRTAFKYFDEKVKEQERFLTERMKEVLHQYYPRLEQLGSDVPIENPMILKIEDLLTENHRENQNSRAIIFVQTRYDAITLKNILCDNENLLSQNIRTDYILGLNKTTEGSEDSAISRSDQVEKLKQFGSGGIRVLVSTSVAEEGLDISECNLVIKYNYATNVIAHVQRRGRGRANGSRSILITNDPSLEKQERANKDKEKMSKAALERINENLDNFLRLVSQENRLIWPQIMNESTKKRELDNSLRLKTSTYKIVCKKCDVQLCTSRDIRSRQDSQYLVCNPDFWRIVEMELYDDDEYSNGSIKHMAGNCGAQLGKLVAAPGFSEMPVLSAKHIVLIDEADGKRCTVKQWKQIRTQFFKPKPITALDVATMRNASPSNRTLTFEVHQPNGHVEIVEASRTSSNNE >CRE24667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:218279:219860:1 gene:WBGene00067065 transcript:CRE24667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24667 MPEYIKQVAITGDISTIGTDDDLIRAWCSEVAKEIYEQKCEFAVVHVQGLHSAENPDYAARVICGCITDNPEIYRAFDSSIAFFDTKLTGLGNLYLFKDHSEVQYYDRFSSTGYINLRSGHQHSIGNKECDGFIQNTFGQSYTFRRDFYNDDGSRDLANQRTGFLLARFRIHGKEVTFVNLNLHSVPFEDVNEIATKNASITKAAAKREDQIEMLLKELDEEGLRNDAILVAGSFNSQLHETDLLNYLAKTQMVQTVAKKDEDGNVESIEHVDRHGRRTTTVERTRFDLHSIHDWFFRLGRGQMVKRYNGELANIAFKGNLKEETCFFQPSRHYELNEKGKEEFQRTLCPAWSDRIMYNDRMNDLFRHDSFCASGLYYGLVAEEKFVGPHKPVTLHASICLK >CRE24721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:208134:210312:-1 gene:WBGene00067067 transcript:CRE24721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24721 MLIKVLLCLIVLLNAVTGLSRHPKLLLISFDGFRYDLLEEGLVPNIHKWATMSTWFTSGVKSQYVTYTAPNHMSIATGMFEEEHGIVGNYFFDAETKKMFDYFNSTGKDGAVNASQADFWFKSDPIWLTNERWETSRRSASFYWPNGESPFPYLPHRPKIAKPWTIVGDLKTWMQDADDVIDAFTREKEPVNFVAWYVAEPDHTLHGNGFHNKEIEKTLKKLDDLFLYFIKKFDDNNLGTEVNIILTADHGHAEIKAFSSDHKHVMCVKNFVSGAGFEMGDHMIYPHSEEIGKQIYTNLTEAVKKYGYEVNIHWKEDVPERWHYKNSSRIGKIVFEPQVGSAISFSCTSEQMEKQYGENGTTKFNSSTHGQDPDRPEMRAFLMMRGPAFSENYTIADIPSNVDLHNLMCHVLGITPSENNGTMEIVKRALKENRYLPMTHGFVFNQITDSWSFSLILIPSMCIVIVFFAYGCKKTVLKSDPNWGRSDTVQGYRPLSNRTNEGFELNDDEDEDEGDGLRSSGDIERGGRRNQAGGGALGSLSNGTVAMSGLLDEMSDDDI >CRE24720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:205305:207734:-1 gene:WBGene00067068 transcript:CRE24720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24720 MSAPNEPQSFTDPAYWKNFFAKRKSPFEWYGDYNSLSKVIDKYLKPSDKFLQLGCGNSELATQLYDNGFHNIHSIDVEPSVIAAQIRKNKERPGMLFSTGDAANLTMGDGEHTVVLDKGTLDALLPPAASDADKATVIKMFDEVHRVLASGGRYIIVTLAQPHITEFWIDHFFPLYAETLKIYNEKFSFFRKQYILRVQKVENKASGFPMPVFCFIATKMRAPMPNPLPLEVLRSSSIRTDRIESTDELKDAIRGEQELSQFIYLCSKKLDVEVSIDFQGEDSSSGPRYRVCVVDNPETKTIETFAAFVVPIGRDAEWLFASPKGRKALRSQCGRDRLAMIFLNRSHQYEKGMDGVKADIGHFVGMLDVRANDSGNYEILSVGGVDVKRTISTGRSEINGGWSVEEITVDGKNCRRLVFLNTMNLVQSEAFLKTGKKKQTIIDLDQLACDFHRMMIGSLALSSHQPLAKLDTPCKMAVLGLGGGLLTAYLLRHFRKVRITAVELDPEVAKIANAHFSFPHSDPRIEVVIQDALVHLQETAKKSEEEKYDVIFVDVSGSQNAALQCPPPSFLTPEALSDMKNSLKEHGMLSLNLVTRDSELGKSIKSNIIEYFPTLYTVLSFEDVNEVIVGLKAPKNTLKPVVPQKLINTVRKDLGSYDEVVGAISNIRMVD >CRE24719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:204488:204953:-1 gene:WBGene00067069 transcript:CRE24719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24719 MIRIISLVFLFSVLNVLVDSNSMPMRMMAVKPGDKLEIEYYNPTKISRFVKNAKGVEIEHVFRVCNGKNKAKCGYWENVKTKKKVARTTTYNKKKNILTVPKMKAADAGTYRDNNYDTVTVYVM >CRE24717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:198347:198672:-1 gene:WBGene00067071 transcript:CRE24717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hil-7 description:CRE-HIL-7 protein [Source:UniProtKB/TrEMBL;Acc:E3N3Z3] MPAVQKAKKVTKTKKVAAKPKTPKVKKIQASPKKAASPKKAAKKPVKKVAAKKSPAKKAAPKKK >CRE24715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:188376:189643:-1 gene:WBGene00067072 transcript:CRE24715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24715 MSVELAQLNKLDTTKLPESSVAFVKNASGKTLARETIIKYTPSLAYAVVQELDSTILGKDILVEKKPEDDLQLKLITIEKVKENRRLLPIAQQFHTVPDGRHAECHMTDVLSTHSLGTYNLDLKKNVTIDSFELTDSWRDDAGFIVTDRNIYIQGTYDVAKLLPTLLPSSQKVTPYTKTDFDLVSDFDSTVCGFSRDAYLTLLLSSSSTILLAKSSGSIDGIIVGNGSDRINLIYAETIEIAHALLKSYVEKTKVKQVILFTVQGIWECEPTKKRAVHRRHTRAVPSLIKWAKIYALNMGVHIV >CRE24663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:182251:185653:1 gene:WBGene00067073 transcript:CRE24663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24663 MSRINLKKSSAATTPTSPHCPSPRLISLPRCASSSIDRKDQASPMASPSTPLYPKHSDSLHSLSGHDHSGVGTSDKEPPKFKYKMIMVHLPFDQHSRVEVRPGETARDAISKLLKKRNITPQLCHVNTSPDPKQESIDLSLTMEEIASRLPGNELWVHSEYLNTVSSIKHAIVRRTFIPPKSCDVCNNPIWMMGFRCEFCQFKFHQRCSSFAPLYCDLLQSVPKNEDLVKQLFGIASEVEGPDRSVAEIVLAGLAPTSGQSPAATPDSSHPDLTSIKRTGGVKRHPLTVSPQAETAQLSPAGPYPRDRSSSAPNINAINDEATVQHNQRILDALEAKRLEEESKDKTGSLLSTQARRPHCYSGGHILPGARMNRLHPLVDCTPLGSNSPSSTCSSPPGGLIGQPSNVTGSTTSSLVAAHLHALPLTPPQSAPPQKISPGFFRNRSRSPGERIEAQRSRPPQKPHHEDWEILPNEFLIHYKVGSGSFGTVYRGEFFGTVAIKKLNVVDPSPSQLAAFKNEVAVLKKTRHLNVLLFMGWVREPEIAIITQWCEGSSLYRHIHVQEPRVEFEMSAVIDILKQVSLGMNYLHSKNIIHRDLKTNNIFLMDDMSTVKIGDFGLATVKTKWTVNGGQPQQQPTGSILWMAPEVIRMQDDNPYTPQSDVYSFGVCMYEILSSHLPYSNINNRDQILFMVGRGYLRPDRTKIRHDTPKSLLKLYDNCIMFDRNERPVFGDVLERLRDIILPKLTRSQSAPNVLHYDSQYSVMDAVMRSQMVSSTYIPPATAKTPQSAAAAAAANKKAYYNVYGLI >CRE24712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:156945:162383:-1 gene:WBGene00067076 transcript:CRE24712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-anat-1 MFGLLARGVSAFVASVSGHTKDDSDIEGSSQDDSRSVRSTNSSIRKKSDSIKSADMTANRQQFQVESVKESNLDEIVQFLIDNFAQTEAILASLKIDDDQLCLKELTVMIRDLVQDSLQCSSTCVIRDATTRQIDGIALACKTSIFDKQIDRLCAYEFNQQRVRDAVEFLKYVFNKLDVMYYLNEYHLFKPVFVALVCVRKELWGQGIGTTLMNHVKSAARSDSSDGLISLCSNERGHKLMKHYCPTDFAAVRYDAFKGEHLRPPIVMRPPETFSSVYAMLAKFS >CRE24710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:142112:143462:-1 gene:WBGene00067077 transcript:CRE24710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24710 MNSLTFIISVVALFSISNGFPATLFPNPEFQDFPIPDTVDELDPSTVVQLFIEFEREYNKEYMSPLDQAKARSIFQFNVENIIRLNQQYANTSLRFGINYYTDFSDLEFKEKVANLHVDSVSQDSQIGESAPNLENIPASFDWRDRENTVGGVSNQGYCGCSWGFTVSSVIQSAFSIQNSKFFIPSEQQLCDCAQGGNSGCSGGSVRDGFEYVKQNGIVLEENYQKHIEKHQEMYCVQQKDSIHVSNYKFIQPATSSVIQKTLLSNGPIAVGFKVSNSFRHYKSGIFSLNDCEISDNFLGWHSVTIVGYGTENEQDFWIAKNSWSPSFGEEGFFRISRNIDLCQIESKMPMFVQL >CRE24655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:138878:141691:1 gene:WBGene00067078 transcript:CRE24655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyci-1 description:CRE-DYCI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N3X6] MSTTSEQRKLELDRKKQKLAELKSQRKRDEESRVQNLLRAPTENGNAQNGASHRQTLSSDQVEEILREVGISAEPTIREESPAPPRGAHSENHLDPMSASASHPRISSLLSVDLEFSSVQTASTDNKDSAIYSKGTQTDDERISVGEFSLGSQEFDYDDDMIMPIDHGQFRGRAHSHDQDSPLPYNEEIAKLLGQTKHADKTLTRQDTEEKKSQHVQTVQPLSDEEKNRLTLDPEFLDSFNRSCKVIGRALNEDIDIFINYTKDPYEKAVTSDDLLHLAQVYYDETWTAKRLVTGMAFSEHHSDLLAVSYGPCDVPNEPPGVIVVWNTKSKRITAEFIVYCQSEIQSVAFARFHAHLLLAGCESGQICVWDNRLTSRKLPINRVSFESYYCDSKVIHFQSPLTTQAHTQPVSCLAVVGTKNAHNFVSLSRDGKICSWNVDNLTQPVDGKEMMAKDGKPASATRMSFPIGEMQTFVVGTDTGDILAASRNGADPTIIKTDVCYKGHNGTLTGIEFHRASGVVDFSHLFISSSHDWTIKLWSTKDANLKFSFESHPDLVLDVAWSPVHPAVFASVDADGNIFVWNLNEDVEGPVARLRAGAEGETVYMNKLIWSTDGKHVFVGDDEGRVHMYDVRESLYVLKSEEWNRFARVLSDMKESNEEAEKYNL >CRE24654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:134929:137362:1 gene:WBGene00067079 transcript:CRE24654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24654 MSASITWRTVLRRIENMDLESKIRMIRRYPKRRLAIILGVLLFIVFLFTRGTSSGSSFTKQQQCSAEKLKIWEREIEEFDIGLNNQSVEFVGNGYFGVDSLGQLRIQEKNRVLDVETNFYPGLKVDIDGSQPVEVTKMTDFKNGLYKVIRCFSMDGECACVTSQLYAHRIRPNYFVQIIQISNPTKSTVRLNLSRLSSNWWAHSKVGELSVNQRQVGGASYAIVCTDPPGKVIVSQKREESFRFTCSIVSKPTSEEASRDAIRLFQSGKDGKTLDSEHFEGWSKMHLSGFSVSNSKAPNTINGDRINATKYILLSSLRAPTMEYGATLETVKSLETLARKSELCYTGHSNLLFPSRLWQDWDTPTKLIELVNTWILTFQKRGCTNLLSTGAIGVSQAFTQSLTASSYHDSHLEVALDAHDLHREMSFYGVPVYSNMGVVGTIRVDIKLDKENRPYFMVSSSNQLFACDGGCLDSPVSLGKTPTQLPVKVTKPVTSLLYIAPSRRHLELLKNAIHVSEVGSAPAHEEEVIEMHRSGEATGGMTTFWVFVFVAVVAFHLVVAKIVWNEYRKGDMTPYNPYLRNRYSSLRPH >CRE24709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:132593:133810:-1 gene:WBGene00067080 transcript:CRE24709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-8 description:CRE-VHA-8 protein [Source:UniProtKB/TrEMBL;Acc:E3N3X4] MGISDNDVQKQLRHMMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQQQRQKIMEFFEKKEKQVELQRKIQASNSLNAGRLRCLKAREDHIGAVLDEARSNLSRISGDAARYPAILKGLVMQGLLQLLEKEVVLRCREKDLRLVEQLLPECLDGLQKEWGSTTKVVIDKHNFLPSESAGGVELSSRAGKIKVSSTLESRLELIASQIVPQVRTALFGPNPNRAFFD >CRE24653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:130911:132215:1 gene:WBGene00067081 transcript:CRE24653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24653 MKPAAVLDIFKKKTTRKERKRGNTKTEHSRKKNKDKGGKTIDEDLTMTVMAPPPAAPAAPPAPSAPQAPPTQQAAVQSHPVVGQWVQRALDFGVEKLRDEFRQMAKYTRPDMTQNAFNANCSANINETKNRYADVPCQDQNIVQLIKPPAPNDYIHANYVACPQIRFICTQGPLDHTVEDFWWMVVQQKVEEIIMLCKTIETGKYKCAQYWPLNPKEKREFRNGITVENMNGTVPLARDPDIHCTDLLVTNCGQSMKVRHLHWSEWPDRGVPPCKLTSMELLSTVRGSKLPVIVHCSAGIGRTGTIVAIEYILEKILENKSVPPMPELFKGLRDQRAYSIQTDLQYLYIHRVMLSYFLDKYRDRYSALLHPENAQKYEKFIKDYNLATGQ >CRE24708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:128785:130690:-1 gene:WBGene00067082 transcript:CRE24708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24708 MANSFFITEEVYSEEKKKELKRKRLNGDFSQCNISLILENGEVEKVDAFLINEHSEKYKTTGYIFSKRIDVSMLETGSVKDFVHWMYTKDIVVMESNVCNLLKTNMELIDIIMNRMKKNIDLLITSLNGITEAVITETPQTHFRPYSSLYHHSNQFISKRLIPLFCELEPRISMTEISKLNVTSVYVLMNSLVGIPTKVRLIFLAMDWFVFSFHGVGIKMCFYRIVMTNPSNQTMNGIIQSVIIEVGQNCFTHDIRYNMHEYLTNVLPISKLCVYMDGSSEIIPVFEKEQSVIPPNCVTHPIEVIPRVVRENTFLRKPTDLTRLRRKARIDNPSGRETICGFYQRIIIGENSNTKLSAIRSFSTADQVSNDVTNIRWMRGKWHCDGYVTLSSSISSESRGSVNLNESHRNPSNNRSQYLMDRGNTSIDGHKSYCFYDVMAVSKSNESFSGYSNNKKTKEEQIAEPSRELYSNYRNYKRPTEPTEGTSSNTNNTNQRSHQSTSSESNCK >CRE24652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:125283:128405:1 gene:WBGene00067083 transcript:CRE24652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24652 MKDFRVLFFRFILLFCFLLYCNSEIVSTSYGDLDGDHIGEFHLFKKIPFARPPLGKLRFQKPEEPEKWDGVRNAKEYGPACLSNSTVTTSPQKWVDEDCLHVNIFTSSKCLKSKDCAVVTYFHGGGLHYDSAVMFNDTYLLGTFVNQDVILVIPAFRLGIFSHFVVEDQSVAPNNLAIYDILRALEFVKSEIHNFGGHNKKVTIMGHSYGGALASILTFSNRINNNLSLFQRAISMSSGHDFDPLEFQIQKTRRFAKRAKCVVPEEIGKKLTSSQKDLYSMKCLQNKDSMELLRIQRELEEEGYPTYAFTVQREPLFQEVPYHQFMNTSKHIPILTGCTRYEMDHSPSDKPIGESVGYDNPIEVDMKYRKDLKEGNYDFGNHADETQAIMVQVKIRAERMIRNGVPSYLYEYTYPKHAKHTDDLFYLMGVHRFDKDENEEKLARVYEKIFMNFAKYGEPREGFEVANIENTSYYDVYWNEETGERPQMKNGFEEKILNYWLKHMVEYDRNLTASRKKESVKPAMRFYKESSKQHQLPYMFISLMLVSSIFMAGCLFGRCCCNGSRNRNLYIRIDGNDVPAGTLKSF >CRE24707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:123517:124036:-1 gene:WBGene00067084 transcript:CRE24707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24707 MPTRLLPLLVFLLVFPIFSNSIEIRCYAGLKYVVGQEVLQDTENCDAILGMGESYCYKFMEETSLNEVVKMGCSSFFCNGIRNRCMETDLLGMRGTLCCCNDRHYCNSSRLSVPILLSVFIVFVLLFII >CRE22230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1191:6717:9273:-1 gene:WBGene00067085 transcript:CRE22230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22230 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3NQV5] MEALTDSGSDPLLSAALRSPMHNPILSMDDEVDDSCDDVDEVSPTSIHDNHFIDFAEDLVVSERKLAQQGRSTIRSGPLICDNYATLTNLRPLPPISTVTSKKYQNTRQSPSPNPSSLNYYFPTSSTSSYELKYEEEEDDECGGLTHSSSSPSDFSNHGTEIHHPFSASSFDSFDVSTSSNTTNKTIMNSTDKFIARIQEADSVSEVLQILENKLYFQTTKSPKGTSPAALIMGHSEDDIDDGEELNTKELALQIASELKRYSIPQAIFAERVLCRSQGTLSDLLRNPKPWNKLKSGRETFRRMAKWLEEPEFQRMSALRLAACKRKEELSTSPTTPMIVPKKTRLVFTDIQRRTLQAIFRETKRPSREMQITISQQLNLDPTTVANFFMNARRRGHDLKQETPEREMEESNIHHVDHHSSSSSCASTSSSSSMQMDNYICDINTINILEEEESTPPRETLYSIQLHEHELDFPANILEP >CRE24703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:112890:114205:-1 gene:WBGene00067086 transcript:CRE24703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24703 MYSTDTVKNILKMTQVFWIIALVALVGPSVALDCVQIPKDQIIPRHFVTIPAGSNDTVEIPPNYSCTYLVTVPPMVYAHVRLENGLEGNNDMITVIDQQATRTLVASRSSKILNFYVFPNTTTTFQVVTKSVNMHSKFRLVIFYQNSTFFHKCHINSSISVLNPNVTYLGNSDLKYFILNDLQVNSYKNPQIMIGTEQISMAIAHSGWDADIFDNYFVIDGDFENPKYVYRMSRFQYSNYISTGNKLTVVGLDNRVSESSVVFTPLSQAQQFDSLTAFGTYFEANQLDINGKDGNKKRSAVNVIGMKDYTRILSVEKSSDPNCVLKAVEAPPSSSSEVYLDFSTVTSFPRNITHQSFSIIAENCSASFKLISLEY >CRE22227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1191:2902:4287:1 gene:WBGene00067087 transcript:CRE22227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22227 MQCIRVLVCLLSVPVSISFSSSGKASRVTYFIYFYHSECQTIQESQIFNGSTIYFPGNSSEPVELPANFRCTYTINAPLNSTNGIYAYVELRNGIRGVNDYITVIDTTGFKYRFNNRSERFYQFFVIPGREMSVDVITKSVLMGSKISITVQYRAAKFGSAKSMETENKMNFVNLGDLRSNYWYDKGFSSSVTYIGEEPIYLTLASPADIPYEYLCSCFLIDGNIYNQTNVRRLADFLYYETFTSTTNSITILSFWTEFGDDYGVVLNPLSESLQFASLVSMGSSTDDPNTIYLNQRNEKEAVEIIDFHTEEITMTSLKIDSLPGECSAYAVTGPPNNSSTIILDLTKSEHLIPYTFKMKYFSVIYQNCSFSFTIHSPFVPLKI >CRE24702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:109257:110639:-1 gene:WBGene00067088 transcript:CRE24702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24702 MIRLVILCSAILANVFALDCQQIPDTEIFAGDQFWYPYNSTNYVRIPPNFNCTYVIKSPVTKTKVLYGSVTLTNLLKGVNDYMVVTDSMGARSTLKYRSDSFLEYDIFPGKQISIQVVTKSVDMKSEFLIHVAYSSVKVGPTTQMKSGGFLNYVNLASIKGFDSVLQNSVTVQGNEPISMSLATSAYMFPTLYLFHSYVIDGDFYNQTSVHRLIDFEHATPFVSTQNKITLVTFQTESYYATAAVLNPLSEAKQFNPLSSQASVNGEIDRVGLIPEGQDQEACQVLAVDSKTIIMTSVSLGSNVLSSCVAQVVTGPPNNSSQVLLDLTKAQGLMPFTFNLKYFTVIAQGCSFSFTIMSPEH >CRE24700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:94221:96484:-1 gene:WBGene00067090 transcript:CRE24700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lip-1 description:CRE-LIP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N3W2] MATHQPSTSQNGEEISAEQFNRIFHERNIIILDCRSNGDSIKKANRFFCSLRLPALLQRRLMGGSMRLATVPDLKDLNNPPDLCPEVLLIPGDTEQDEQLSTALARNLKSNHYRHFILGESVNTLLSQFPSLRDAADENWNTTFQMNSMPGQGVGQQGSGGPLLNLNQLRLEGEDQGGKQRAEFPVKLTNFLYLGNAETAKNRDILNKHSISHVINVTSNLPNEFEEDPNMRYLRISADDNASHNLTKFFPEAISFIDDARRNGSACLVHCLAGISRSVTICLAYLMKTEMCTLDSAYEWVQKRNASIAPNFHFMGQLTDYEKMLGLNTNRVGTYPSSAPRSPSCAIEAAAVSQVGGLLTPPPTSCSASPQSSNHSAKSFH >CRE24650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:90061:92872:1 gene:WBGene00067091 transcript:CRE24650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24650 MDSESAAHVRAVCKLIPNICQYYTDGVFNSTFVPPHEWMQSEEFLNADVSKWVDKFRRDHGGDDSALLTLVPPGLYVTLNKYVFPFQFCFGVIGNVLNLCVLLSRNMRNEANILLSAMAICDIILLFTMLPGSLGVWYPMYMSDWFRTFIFKSNTWTIFLANFCSCITSWLILGVSVERYMGIRSPIHFRYHWRTSRVFFLIFSIIVGSLFLTFFHTFEYKYGYAMIRNGTKLYGSPVNVDKLIDVPTWVKKMINVFKVLQVVFGVVIPTIGIFIFNMLIVYMLRKSEYFNFRSAETKDENTNRKYSDLEIRQKRDIKVTFTVLAIICCYFITHIPSVLPFVLELFGLHPDWVKVYAIPIASSWLITGKVANFVLFCMSSVYFRRRLKEMIRGRFDVCGAKKKFSGVSSAQSIKSQAYRLTRFRDRDDIQRQSSCLTQVE >CRE16517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig825:10897:11786:1 gene:WBGene00067092 transcript:CRE16517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16517 MFYQLINSIITVFIMTFGLITNILVLTTVRKMNSMRSSFGIITKNQAVCNILMCFLFLIFVGPLQLSDFKPSYESSRFVGLTSMIIYESAAQLNLINSINRFFAVYTIFLYDRIFSSLNTYFMITIAYVISISICVTFYEILGCYLYFESEYWIFSYPESEHCTHLTWYCDFIFNIVLVVSTLILNLLAAYKARKLHRQITSLDQNMMSYQRQRDLNFIRQSFFQGLSMSVALIFYHITAPLINNKILLFLDASLWAFMLAFEG >CRE24696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:68746:70029:-1 gene:WBGene00067093 transcript:CRE24696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-19 description:CRE-SRX-19 protein [Source:UniProtKB/TrEMBL;Acc:E3N3V7] MMSVQLINSWITVGIMSFGLVTNILVWITARKLNSMRSSFGIITKNQAICNILMCLLFLIFVGPLQFTPLKLPYQASRFVGTVSMIIYEIAAQLNFFNSLNRFCAVYMIFYYDRIFSNYNTYCLRNFAIVVSVAMCVTFYEFFGCYLYFETEFWYFAYPEDEHCDSLTWYCDFVFNIGLVVSTMILNLLAAYKAGKLHRIVSDTTGIRMSKDQKKREINFIRQSFFQGLSMSVALVFYRITAPMITDKILLCLDANLWAFMLAFEGGIILLSNQEMLMAVKKKRHGSLVNFIQTNHSMLYFRTDIVSIRTGNLDYVVSNHG >CRE24649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:64612:67261:1 gene:WBGene00067094 transcript:CRE24649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tre-2 description:Trehalase [Source:UniProtKB/TrEMBL;Acc:E3N3V6] MSPSPVWCEGTLLHAVQLSGLFPDCKTFVDMPLKHDAEVTLAKWNALMALSPITNDVLALFLRENFDEPEGELEECAPLDWQPMTDQFGNIVDEDYRKFAAALHAKWPTLYRRISKKVRVNPEKYSIIPVPNPFVVPGGRFREMYYWDSFFTIKGLIASGMLITVRGMIENMIYLVETYGFIPNGTRIYYLNRSQPPLLTWCVKAYYEATGDKEFLRDTLPTLRKELSFFQTHKSFRRPEWSAPLYRFVVDTTRPRPESYREDLESAEHLDSFEKKCILWGDLAAAAESGRDFSSRFFAVHGPYAGQLASTRTSQLIPVDLNSIICGNMKTLSEMYVICGETDEAQYFYNEHRLLRATIRQVLWNEEHNCWFDYDLEEGKHAESFHDTNFFPMYCDSYHEDLDSQAIVDYLTSSGVVSFPGGIPVSLVNSGEQWDFPNCWPPTTWVLLEGLRKVGQEELALSLVEKWVQKNFNMWRASGGRMFEKYNAVSPCYKVKGGGEYVMQEGFGWTNGVVLDFLKNYGSQIRWKVYESCECCDVTLSRTLIKPTSPSPSSSSTARLFASDLTQTPSVVSLQSMLSDSSIQN >CRE24648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:58484:61193:1 gene:WBGene00067095 transcript:CRE24648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24648 MNAASKNAKKASREVDRIRGEVDSEKNEARRQLEAEKRARLEMQRRKQEKEQELARKRKEREEEERRKNFTIPKRDGGPSSSSSSVNTDAVRAVLERQRAEKEAKEKKAEVEKQRLMMLRMQANGGKATKKMGKQFGLDVIDLQTRFGGNNEHLETLQKRKWKEEEEADVEADRYRSGVYKALAAKQKNEGSLRATVQGPHRVSTSKSNSLAGLCSRHERTRDTFSPPKDGKKAPERREPVVEKKKIQAAAPIDFKSLMAAASSISEGKPVNLDHLKAKPAKSVQQQQKPSSSSSNNHQKNSLSTSDREKLREKERIKEKQERERENEKLRLKEKERERARAAAVAATSSQKSSSSKMSSNQASGASSSNGHPVPPKKEVRKPFTKGPTPPPLIPAAVPGKKYLPGDVRYKEQQKLIKAIAAAPGSSKSAPPSNGQNSKDRIQAVREAEYQREKERAKQKALKRARSEKDAKEFERNKKIQRRSDNPGPSSSSSYPTSSSSSKNSDKRSYEARRMAELREQQMREKERKKKKMMEKERGSRGGGGSFYKGGDDYSDEDDEDEDEYDDGDDFSDMDDFIDDTDMDMDDMNRKDFEDTLRMVNRKYDTDKWSRREKMISERDMHSDFRRIQTEENFSKRAGFMEDLQEASKGRSVRL >CRE24695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:51985:57974:-1 gene:WBGene00067096 transcript:CRE24695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24695 MKNKKKPQEKNWEDLPKSRVIKVLEKSVYQPSSAQNDYILLNSLERKFRPDYEQLLGQKAILFHKNASKNALSLYLEAEPQNQSPWKGTRMYIPGTFVEDMSLELEAESRFLWLLIRLCKDTKSLTSAGIFLLYECYPPDNMRVNFYLQSNKIFNSILDYLPKMASIFKQKIQIILKTIIQCDQIVRKKQNRENKNESTAEADLLNEETSDSSKQRRQEDLNFERFFDLCKVYKKKYSGIIPKYKIDVDSLNFNLMPYQTETVRWMMHREAEGTVDENLSWMFKCEQLPNNPSFFYYPCIGAITRNQLSQDEYCDLAKRYTLKGGILSDEMGLGKTVQVLSLISSHRRGDTLDTENNTKKKTKSTLSDYKIADQVRIAESSFAEMNSAKNNSTLITYNASDYKEGETIACSGCAENCSVSICGWDFEKFKDEEFYCPDCRNYMPRKPVKTTLVIVPESLIFQWFTEIAKHCSDNFRVMFYFGVKKHGYLQALEMENYDVILTTYDTLRKELIFTKDKEQRRSLRNGFKPLHLTSSFMHVSFWRVIVDESQVMPQSINSNLLQMILKIEGDNWWCVTGTPLVRTVADMSPLFSFLGLFPFNNADFFSHYVHPQYLSFALELQSREQQLDEQNLPHILLLEILARIMSRKTKKDVDLQINLPELTEIEKKIRFSEVEERQYKEEKERLRFVVEKAIGKAIDSAHLADLSCRDKVLQELRTLRETVLTGQNNSSDLGSAGFVYAPETVIFRLVRNKKIGIENHVRTYMNHALGLAGAQHLMLDPVNALSVYEHCLSKFAEVVSSTCMEDQIGPEVMLQLKAITSFSDSPRTNLFDGDDEVDEANLIDEKDSDNKDELDRIRKIAGIVRNVKQTLHKYTKKVSNPSTSTGNVENFEEKHEELTNDSDDLPGPSEAKRARFDNETENSDEKDVEMSQEALEPSTMEQSAEEANDEYEEHQRRKHANKMALTALKPIRMDATQEFHMFVNMRKIQNSLGVPEENRIPLSRVEMAANRCIKLEVFCTLFFINNLLFQKQAAETISNVLNELTDIWANDDKNMIHQIREFFEMMRAHCAIRKDTEALYERGTEVREEIKKDHFPNLPYVALYDTNKLKPNKIKHHTKRCMGRCSKFYLECEMFIGQPCLKLSDIINKTMNQIVKIDDRRKTEDVKLKSCIQIVMEMSDPNLLLDLIEKKEETKTAKEKRMLEILSCEHKLIKGTREQQGHVQQYYHTDHPCEICDTWCKLSLFFFDSGFSSYHGEIRPKSGVYEFATLLVNNYSPGRKEAQMFSKHYLRPFFERIHDMLKTLQNTTGIFIELVDRYKELSQAQTLLTDNQICAWLGDQDEMEIPMEMKREQYAASHLANRNDSLQAIQKDVKELRYLTNLVKKQFSDENEEFEECPICQSLINSFMVFTCGHRICPECFDRLKVISRHEPHGYGWTTDSIQCPSCRIRNRSQQIMLARSGYAERDSIIPGVVLSVKVTLNRINFKMFLNFQLSAAIQIMREILDTDSSNKIIVFTSVEPSSTTVWNYLQKIFKLAKLPFSATSRYNCGKKIVDFEVSEDVKILLCSLSLCANGLNMTGANHIIFLDPPHLQSVLNQAIGRINRFGQKRAMRVIHLVVEGSLDSELREIAKNTYRQEDEKKGWTIGDIRAMFNIDRD >CRE24644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:42630:45895:1 gene:WBGene00067097 transcript:CRE24644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-1 description:CRE-RPN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N3U9] MVATTQQKPEAEQKKLDDKKGTGKNEPKKEEMTEEDQKLEEDLNLLVQRLSEPDTSLYKPSLETMRSLIRASTTSMTSVPKPLKFMRPHYTKMKEIYASITATDVKKLCADIISVLAMTSDERTDTINYRILGSHEPIGDWGHEYVRHLAMEMSEEWKKEGTSDARKAELLQLTQDIVGHHMKHNAEVEACDLLIEIERLDLLITYVQEVDHQRVCLYLLSCAPLTPDPDNVILIRTALQLYLKFNRFLESVRCAIMVNDVPKVREIFAKASDPLLRKQMAILLGRHQIFLDYEGADDSDALAELNSNSHLYEYFHSLARELDIMEPKTPEGIYKSHLEHSRPFGNSSQPDSTRMNLAAALVNGFVNCGFGVDKMMAETEEASRWFYKNKEYGMLTAAASQGLIWRWDIDTGLAQCDRFLYINDDYIKAGTLLAIGIISSGIQDACDPASALLLDHVQSDRSIMRVGSILGLGLAYANSKRETVTKNEERGVIFELKKVLSDQKPSAIPEVKGLAGLSLGLILVGTADAEAAMEMLQALMDKSETELTDPNMRFLALGIALIFLQTQDKSDVFVESLRSLPDPFGAMVSTLVEVCAYAGTGNVLKIQKLLHLCSEHYETPVAEKKSTSRGGRGASSAAAATATPARAAAQGTNTDTTATPAPSGTPANVPGAPRRDNAPPAATPAAPGVAGAAAAAAAPATDATPAPPAKPDLSSQQAVAVLGIGLIAMGDDIGSQMALRMFGHLIRYGEPVIRRAVPLALSLLSVSNPQLNILETLSKFSHDSDADTAHNAIFAMGLVGAGTNNARLVAMLRNLASYHYKDQVSLMLVRIAQGLTHLGKGTMTLNPWHSDRQLLSPSALASLLSICYCFLDANNTVLNSRQHYLLYTLVLAMQPRMLTTLIEDEMKPGSLKQLNVSVRVGQAVDVVAQAGKPKTITGFQTHTTPVLLAHGERAELANEEYISVTPHLEGLVILKKNPEYQPVVVSSKK >CRE24693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:39483:41630:-1 gene:WBGene00067098 transcript:CRE24693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ribo-1 description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1 [Source:UniProtKB/TrEMBL;Acc:E3N3U8] MRLLLIVALLGAVLAEDDWKAVNVDRTIDATSQIVKISTLYSFENIGAGPQSKVSIALTKEEVAGLSHITAAIDGTKGKLKVAEKPVDRGLGIYDVDLRTPIAKGAKVTLRVNLRLTQVLEPLPAKISQSENQFVVLHTSAYVPSRYETVTQKTTIRTTQGGKLLSATTVSPSKQETERVSYGPYANIPAFESKPIKVHYENNSPFVVATIVERYIEVSHWGNIAVEEYVELVHKGAELDGPFSRIDYQMDRRGRRQPAFQQFTTVLPAQAKDIYYRDEIGNISTSAVRIRADSVDVEIRPRFPLFGGWKTSYIIGYNLPSEEYLYSKGNQYALKTKLFDHVFSDIVVEKLRTKVLLPEHVKRVKVVTPYVVDRRPDELKPTYLDTTGRLVVVLEKNNVVPDHSQFFTVTYEFNHMDMLREPLLAAAFFFSLFFVMIVYSRFDFTISSDPAKEAEERSQLSLEKLSKSVDSKQAAYDALIDAAQHYKSTKDEAELQEAKKIFNETRNQENSNLTDKISALKTDVGIAAAEKAAELLKYDKAVCDSVENYIKAVEKSTTKTAGPEEQQFVNKVKDARIRADNVLASI >CRE24692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:35839:38822:-1 gene:WBGene00067099 transcript:CRE24692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24692 description:Inosine-5'-monophosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3N3U7] MVRPEEFNSLELDNSLTDGETVHEMMAHKAGLTYNDFNILPGFINFGVHDVCLETNITKDLKIKAPLVSSPMDTVTESGMAIAMALYGGIGIIHGNFPKPEDQAAEVLKVKRFKQGYVMQPHCLSRDSTAFDMIQIKKKYGYTGAPVTEDGRVGSKLIGMVTSRDFDFITMDVAGQKGTPISDTNDVTPTTPITKIMVAVDQLHLGHINDAPELSQKKLKEHRLGKLPIVNDNGELCALLCRSDLLKARDYPMASYDSKGQLLCGAAVNTRGESQYTVDRIVEAGADVLVIDSSNGSSTYQISMLRYIKEKHPHVQVIAGNVVTRAQAKMLIDQGADGLRIGMGSGSICITQDVMAVGRAQGTAVYDVARYANQRGIPIVADGGIRDVGYITKAISLGASAVMMGGLLAATTEAPGEYFWGPGGVRVKKYRGMGSLDAMEAHASSQDRYFTAESDQIKVAQGVSATMKDRGSCHKFIPYLIRGVQHGMQDIGVRSLREFREKVDGGIVKFERRSTNAPVGRRCSFIAFVRKASLLTSDILNEEKKLLIFC >CRE24690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:31387:33094:-1 gene:WBGene00067100 transcript:CRE24690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ruvb-2 description:CRE-RUVB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N3U5] MATLDGISVKNIVKVERTSVHSHITGLGLNHRLEAEYVAGGMVGQVAARQAAGLIVKMIQEGKIAGRALLVTGEPGAGKTALAIAISKELGIDTPFVSIVASEIYSSEINKTEALTQAFRRALGLQIKEETEVLEGEVISLEIDRAASGLGPKVGKLTMRTTDMETIYDLGSKMVDACLKEKVVPGDVIQVDKASGRVTRLGRSFNRSHDYDAMGPKVKLVQCPDGEIQKRRETVHTVCLHDIDVINSRTQGYVALFSGDTGEIKAEVRDQINKKVLEWREEGKAKFVPGVLFIDEAHMLDIECFSFLNRAIEGELSPLIIMATNRYASHLRLSSSNILNLILFRLIEKVRGTDVESAHGIPSDFLDRMLIIHASPYTQEDTTKILSIRCEEEGVKLDKSALDLLVKLQSATSLRYCIHLIAAAEVIRTRRKAEQVTTDHISQAYRLFFDTKRSEKMLTETQGYLH >CRE24688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:26067:26953:-1 gene:WBGene00067101 transcript:CRE24688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24688 MNSSVFLLLIWTTVLGASITKGPEIVRFTRHHGPWITRGTRRPPSEVRELHQKRETFLFQNIGHFPSSSDPFEVVHFTEVTHPPEAESFKFSFPPKTRRTIGPYESFASKKELVHKLPTFAPGAFTHKWTLPPLGKKTFAPLDLAVTKQPHTHETKGPNKEELSHHVTFPHGAFTQKFTVSPIGKHTFAPVELAGVDEKSLISWAPADFAKVSKKPHITPPPH >CRE24641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:24001:25954:1 gene:WBGene00067102 transcript:CRE24641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-26 description:CRE-SPE-26 protein [Source:UniProtKB/TrEMBL;Acc:E3N3U0] MRFCNSGLTTNEKSDVAIVSKEGERFEVKLFESEIARELNYLGAVSPRSLQKNILVPFSSNTVKYLLGLVKVSDCIEEEDWFGLEKSLEEIAGFGSQPNYPKIRSTKEAICDHILSTLDDCNCFLLHKKFRQFDCQSHANKSLEYILYNLIRMVMIDGVIDVEFFRLPHEELTELLDNDGVNLDKEVYVVGVIDKWISADFRNREKFRPMLMSTVRVLSLDEEVLMNTSNSFSQYHLSKKKPRKTCDLIMIVGGWLHRQACDRIEWFDPDSKEWKVSQQRLPMPLAYHGAAIIEGMLYVFGGSNGVRTRCETWKLSSLTWKWEKCDNMLEPRNYISNSSVVYDDKIYVFGGQNFREITRVATRSRTGEVYDPKTNRWTSTGSLHDMRSDCAAAVFDNQIYVCGGFNGDNILSTVEVYNPIGDFFSRYIDLPFPITGHCLVTHQEHLFVVGGFNGAQRLNKIWMWNRKGEWQERSEKLVFGRSTSAACSYKGWIVSVAGYTERVESTCEILLPTPDAARFGSIPPIPRAKSALKVLHAQNWRRRLENRGVVEAHLAMSEDDYDDEDGETTSYMSRSDGTG >CRE24687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:23098:23503:-1 gene:WBGene00067103 transcript:CRE24687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24687 MSLKFILISCILIGSVSAGLSRKRRGTYEDEAVTPAGGGASVAPMAEEPAVTVAPEGAGAQISNVESSGYRKKRASQNSYGDEASAPVGGGAPVADVSEAPAVTVDNAGGSHSDVASSGY >CRE24685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:17345:19463:-1 gene:WBGene00067104 transcript:CRE24685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24685 MFQLKHLVTLSVLLIGVASRGCFDADDKEISGFCYKFVNQKLTFEDARDWCHYKDPVTQSYLAYIQNQFTANFLASYGKTIFGSTDATFWIGLSRERNWMPFTWDNGYQLGQSWSNFDGQIKQNYVAERVSNAKWTTFAENQTNYFVCSYDPTDPPTFAPKTPTRPTTTTTTTTTTIGPTTTELCSTDDRPEMKRSSYKHLHQTVCIKTDIRNFKIPLIESTMRAFQLVLLFAALLVISLAAPQRPTGEPASRRPPPPSSDSPKDLSNASRRPPPRGTGTPPPPPTGEPQEFIGDNNASRRPPTPLGTGTPPPPPSDGPRDLAAENASRRPPPPPRGTGTPPPPPTGEPQQVMIGNNNASRRPPPPPRGTGTPPPPPTGEPVELIEDGNASRRPPPPPRGTGTPPPPPSDEPKDLAAENASRRPPPPPRGTGAPPPPPTGEPSQS >CRE24684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:15003:17047:-1 gene:WBGene00067106 transcript:CRE24684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24684 MIKQFILLFIIFIVGVHTTCLDPNEKELKGICFHYVKQKMTFENARYWCHYKNPVTQSNLAIVQNQFTANFLASYARSAFGINDGTFWIGLSRAHNWNQWSWDNGTLLDGWSNFDSQHSKNYAGEKISNGQWTTFDDTEQQYFVCSYDPNSPPTFTPSTSPRPTTTTTTTTGKPTTTDEIHIKRKQTNSKLSLIESGMRAFQLVLLVGALLVTSLAVPQRPAGEPASRRPPPPPSDSPKYLSNPSRRPPPRGTGTPPPPPTGEPQELIEDGNASRGPPPPRGTGTPPPPSADEPRELAAGNASRRPPPPPRGTGTPPPPPTGEPQQMIGDNNASRRPPLPPRGTGAPPPPPTGEPVELIEDGNSSRRPPPPPRGTGTPPPPPSDEPKDLVSENASRRPPPPPRGTGAPPPPPTGEPQNP >CRE24683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:11139:13101:-1 gene:WBGene00067107 transcript:CRE24683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24683 MKLQMIQKDRLSLPFILKMRSNTVTKRKYFLITIILAFIVLLYIVRTPSNQLREIFGRSGKNVSPRNDDNHGYITEEGIIIDPTRRLDRVLPVSHVFITSAYYYPTSKSLGPNAIALNMVVDSVNFNVENATYNVVGSNGTHQEFSVATSKVEGVPSCRYTTAMAKTSTVANLKKLEMESNGVKVEVVYSHTPDQIIPVFQIPFKLARYSAPKPVIICISPQFVAEQWQMFLMHVHVANRFGGHLHMYITSMIESYFELMKVYERLGYLTLDYWLRMKFTTVETPYFEPNGNIEWRNQAGAETDCLLQYKEAAEYIAFFDMDDILFPASYPTYLEEFNAEWALQPNATSLLYGRREHEFIKARSMFEFSFVELVDSLRSSPTVKRGKVVVKPETYNHTWIHYSWHEDPTTRHNVSFPHLVHVQRPLQKNGENNITQLWKMEFGPLNETIRLEDVKAIEQDYWGIRNITRVFELAKRLPNEDYYLPIVFQCYYDSFYGFIFDGKRRIGPMQCPNADLCELPQRDEYRCVHSDAEYFSGPPMEPFTFHFSSNSFWSKDIGCFQ >CRE24640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:8845:10758:1 gene:WBGene00067108 transcript:CRE24640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24640 MFWNGEESYIQVSNRLNCDEYSILRRPWRHYIYFILTVILINIFLFYNFSTAWNLEIIFQNVSTHKSSESKDYLPSLQPGILEDPTGRLTRKLPVSHAFIVNAYYYPTSKSLGPNAVALNMVVDARNFDITHANYYVVGSNGTHEKLAKADSQIEGVIPCRYAPAMAKTNTLENMTKLEMESNGTRVEVRISIGNTLFTAKFQIPFKMARYTAPKPVIICISPQFVAEQWQIFIMHVHVANRFGGHLHIYLSSIIDSYFELMKEYEKQGYVTIDFWRRMKFAHSETPYFEPNINTELRNQAGAQTDCLLQYKEAAKYIAFFDMDDILFPMMYTSYLEEFDSEWTIQPNASSIVFKRREHEFVKAEYLSEFSMHDIISSLKSSRTIKAGKVIVKPHLYNSTWIHDSQHEDPKMRHRVGITTLIHVQRPLQKHSENNMTRLWQVKFGPLMVKFRPEDIRAIEEDIWRIRNISKVTKIAKRLPHTDFYLPIVFKCYYDVLYGPSNVEHTGIHSCPNAESCFMPQREDYKCIHSDAQYFSGPHMVPFTYHFSNNSFWSKDIGCYQ >CRE24639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:6318:8232:1 gene:WBGene00067109 transcript:CRE24639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24639 MRNKKNLFLVFIILILSLILIYSSMFSVEKQIRVSQEQSQNIKEYFENWDISEENAKTEKSEINLTEQVANASQGPLNDPTRRLTRILPVSHVFIVSAYYYPTSKSLGENAIALNMMVDSKNFNLKNATFNVLGLNETHREITVATSQIEGVPLCRYAPTMARTNTVSNLKRLGMESGGVKVEIPFKMARYTAPKPVIICISPQFVAEQWQLFLMQVHISNRFGGHLHIYLTSILESYFDLMREYERQEYLTLDYWVRMKFKHSDTPYFEPNADAEWRNQAGAQTDCLLQYKEAAEYIAFFDMDDVLFLKDYSSYLDAFNAEWALQPNSSSIVYGRREHEFVKAETLSEFNFPDLLESLRSSDEMGTGKVVVRPDRYNSTWIHYSKNEDLTTRHFVENVTMIHVQRPLQKNGDNEMTKLWRMDFNLTAETYNSEDIQAIENDIKRMKQLPNIIRIGSKLPREDYYLPIVFKCYYDIFYGPFNVEHTKRTQCPNAEMCEMPQREEYKCIHSDAEYYSGPRMEPFTFHFSTSPFWSKEIGCYQ >CRE24682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:3272:5511:-1 gene:WBGene00067110 transcript:CRE24682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24682 MPYEFIISKKVVSIGCLISTTCLLYFLFVNSLQQLNGSTYSPVRVEHYKRNYDEFIIADPRGSSKVKLNVSHAFITSIYYYPISKSLGSNAVAFNMAIDQRSNQMRNHTFNVIGTNSSTSLFSTATSQAEGVGNCRYTTLMGRINTVENLEKLEIESNGVTVEVPFKMARYSAPKPVIICISPQFVAEQWQIFMMHVNAANRFGGHLHVYLTSIIESYFELMKVYEREGYLTLDYWLRMKLTSTEFPYFDPNSNIEWRNQAGAETDCLLQYKEAAEYIAFFDMDDILFPKNYPTYLEEFNAVLAANPGTNYMFYGRREHEFVKAPTLSEFSFVDLVASLRSSIVVKRGKVVVRPEAYNSTWIHYSKHVTFMTRANVTSPTLVHVQLPVEKNGKRKNKSRDMWKISFGKLKETIREDDIKAIEEDIYRIRNLSSTITLASNLPSSDFYLPIVFKCYYDAFYGPAFDHKPGGFGCPNADFCQLPQRDDYKFFFRINCFSITKTFYNQFNLLRCLKHFCHPIRRSLPDLNLIPIVLSDMPLISSGIPKTSPCLHSISTFSFFFLLLKNLPIMMAEDEKIALLMDNWILDVPREGYEPTRYYTTLVIYVFIFFLVILLYFSMLPDKKLK >CRE05217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:6795:8622:-1 gene:WBGene00067112 transcript:CRE05217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05217 MRWFFSNKQRIILTLVFYFVVLLLISLRKESIYKYSSENYDLYNASSSFIISDPSGTSRSKLPVSHAFINSVYYYPTSKSLGDNAIAFTMAIDQHSHLMKGHTFKVLGYNSTDVVESVATSQTEGIGRCRYTTMMARTNTISHLERIEIESNGASVAIPFKMARYTAPKPVIICISPQFAAEQWQMFVMHVHAANQFGGHLHIYLTSIIESYYELMKEYEKLGYITMDFWLRMKFMNTKTPYFEPNGNVEWRNQAGAQTDCLLQYKEAAEYIAFFDMDDILFPKYYPTYLQEFNAVLAANPGTNYLFYGRREHEFVKASTLSEFTFTELVQSLRSSQTVKRGKVVVRPDAYNSTWIHNSKHVSFKTGVKVKTPTLVHVQLPVDKSGKRNHSRDLWKIEFGPLNETIREDDVRAIEEDIYRLKNMTKIAALAPYLPSSDYYLPIVFKCYFDSFYKDTFVTKIGVPRCPNADVCDLPQRDDYKCIHSDAQYYSGPDMQPVTYHFTTDSFWSKDIGCYQ >CRE05199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:9552:10196:1 gene:WBGene00067113 transcript:CRE05199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05199 MAFKSSQNTLSYIFWMSFYFSFPSFNLNVICQETLNIGDTGVTLATINDTLPALNFSCGANRFTDFIPQLFNSTQCKNLKDAMNVCCETHDSCYNTQVGKDFCDNTFCECLSKATENNLCSVDAAGFCAAVRLFGQTAYDTLGGLEPVSPTIN >CRE05218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:10439:15371:-1 gene:WBGene00067114 transcript:CRE05218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jnk-1 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3NE84] MEDRLSTTSSYPSHSGRSVEEDHNSLLASSSISSIIRGTRGHLNNFIESVGNVSFQKIFHLKSYLFFQWLVPSSSGRDDDVVSLDSCQSPYSPVRHQYNSGVGGGILMEPSSIHVPDNYYSVTIGEAQMVVLKRYQNLRLIGSGAQGIVCSSFDTVRNEQVAIKKLSRPFQNVTHAKRAYRELKLMSLVNHKNIIGILNCFTPQKKLDEFNDLYIVMELMDANLCQVIQMDLDHERLSYLLYQMLCGIRHLHSAGIIHRDLKPSNIVVRSDCTLKILDFGLARTAIEAFMMTPYVVTRYYRAPEVILGMGYKENVDVWSIGCIFGELIRGRVLFPGGDHIDQWTRIIGNIIMEFRKILRGIISEQLGTPDRSFLERLQPTVRNYVENRPRYQPTPFEVLFSDNMFPMTADSSRLTGAQARDLLSRMLVIDPERRISVDDALRHPYVNVWFDEIEVYAPPPLPYDHNMDVEQNVDSWREHIFRELTDYARTHDIYS >CRE05200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:33253:34784:1 gene:WBGene00067115 transcript:CRE05200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-12 description:CRE-NPR-12 protein [Source:UniProtKB/TrEMBL;Acc:E3NE85] MQPPNSTGQWTEIHCDWFAEMQSYQNSLYKINFDGSPIVPMYGLVCSFGALANFIVLLAFARTANLRNLRNSFIVNLAFSDLILCVVTAPVTLYTSLNLFWPFGDWSCKFLAGVQAVNTFVSSLTLAFIAMDRVLLTLCPIRWRLAATAPLLCYVFVWIISIMVALPYALAVSSKLAPFDPWSDRATPKMLTYCNRQVPEICAEMQEAWDNAIVSKTTYTFVVLAIQYILPLVALAFAYFQIGSTIQKRSKVSRTVDTTRRMQMQNRNRRALLLLFLLVLTYAVCWAPMNIYHVLNGLEIINYSQNMYIFCHLVGISSTCVNPIVYALVNESFRNALQSMILQFRPCYVTTTGTAATNVYAYSATSKAENVTLMRDPFSTTTRGNERADNV >CRE05201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:35319:37467:1 gene:WBGene00067116 transcript:CRE05201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05201 MKKLLFILLNLLFVFECSIIKTSFGRIEGNEVGSYRSFKKIPFAKSPLGKLRFQKPETPDKWEDVLNAKEYGPACISNSSSTTSPQKWVDEDCLHVNIFTSQKCLMSKNCPVVFYIHGGEMYYDSAVMFNDTILFDTFAKRDIVLVIPAFRLGIFSHFSVYDQSIAPNNLGMFDILHALEFTKSEIHNFGGDIKQTTVFGHSYGGHIVSMLQFSTKINMDLSLFQRAVSMSTAFYFNTLEAEIEKTNRFAEHSNCSVPSALAKKLSRNQQDTYIMECLQKIDGMELLRIQRSLEDAGFPLYDGLIVREPLIQEVPIAQLFDNPKNIPSLTGCTFAELDVYMAYHDVVGTLGFENYEECDEKFRTDKKDKNFEFKNRADETMAILVQTKRRVGKLLDKNITTYLYEYSYPKHATHTDDLSYLMGVHLFEKDENEVKLAQFYQEIFTNFVKYGEPGEGFERTNAENSSYFNINWNETSGERPEMKNEYESELVKYWLEDMVEFDNNVTALKNLNNSKQKSPRFYAIKTEDDQLPYMFISLFLIAAIFLSGCIFGKLFCPETRDRHLYIQLDGNDHDWNTVKNF >CRE05219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:37729:40276:-1 gene:WBGene00067117 transcript:CRE05219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05219 MTELPLKTVDFETISANSDGSVVIPEVAKHVTKQKRQDLQGIRGIAIISVLCFHFLPSEFPNGYLGVDQFFVLSGFLMCMLLRRSSTSLKSENFRSSVIPFAFDFYSRRLKRIVPLYLLIILISVLALFYIFPDTAYETNISSGKRALVFMSNRATTQEEDYFSMLAIAVDIFTHTWSLSVEVQFYLIIPILFIIGFKTLSDEFQVHFYVLLSAISLVYSYCFCTETQAFNSVFARVWQFNIGIILYLLTESKKLSTDEYISLIESENSPTYKKTIKYILLISIIWIVSYPVAWPSAILRPIVTVSTGILMFLSENDGILSSHTLTYVGDVSYSLYLIHWPLYAYWKLVLSDGSICNTYLLLVLLISILAAVLSYECYEKWYLKLSNKSLMILCGILLVLNAGTLFKDKLNFDSLSQNSTRLDGIINGKVSFELAEKLNHDWSINDFKNLNVPSCDYETENGPLGWCRHKELNGKYKLMLIGNSWAANHGRIIYEECRNRSKSILQGSSQGCDPLYNSPEATHCTGTVAKYRKGVVNEKPDFLFITTKLFNSVGDPMPTGVTQLKDDPIYRAMKRHTDILAENVKYKLFLLKPLPHIDGEKVKDIVGMVKRREDLKEFDKVLIKRSSDLARRRYEQLFKNCKKCVSIDYMPLLWNSSTDYWRLYDENNSGLTYITSPNHLSPHGLELVRNIYTEICRTL >CRE05222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:51564:52196:-1 gene:WBGene00067119 transcript:CRE05222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05222 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3NE91] MTKEDNQKENQKESNSKIGDKDKSSKNIECDEANSEASKVPITVDPEDAKFSNAGGKSEHMVVNFTTKRMALKIRCGNALFRVEPTHMIIEPNKCRQLTINRMPGPIQTDKAIVQYIEIEKDAQDAKAAFKAADAAGTKIAHIKIKLVAAASGGRKMSREVLDE >CRE05223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:53947:60214:-1 gene:WBGene00067120 transcript:CRE05223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prkl-1 description:CRE-PRKL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NE92] MSDRVRRRRLEASDQFAPQLISSRRAQGGLSPASRIRIAADAHRHSTSDDDSGCALDEYAWVPSGLKPNMVHAYFACLPENKVPFIGSAGEKWRQRQSRYQLPPQDSDVRYCEDLNSEEADTLRMFERTRKTECLGRWSCTCPKRLEEGEISVMATRTQKRYHPACFRCQTCDVLLVDLIYFAHENQIFCGRHHAEQIKPRCAKCDEVIFGDECLEAEGRSWHFHHFQCAQCNDVLADQKYMQRANKPVCLKCFHSSSSTISCTTCRLSFSSDTPHMSQGDLHWHASAECFCCCVCSKNLLGVKYSRVGESLFCGYQTCGGEDEELLDEDRLGSPHRKTTQKSTRVVRIPASPRVAPRLPHIVQQNQNFMTTTMQIQKPSVINKSQGQRPKPPQRAPPPPPSENIYETVLPCSSQNSPNFDKKYPHDMPTSPSHHNYYSKTPNKLSTGYPEMDGYSTSSSSDSEDEQLYISNIMAAASLSRAPVKNSSRKSKKNEPMMMSGGGVRMAKKKKSSRCTVS >CRE05202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:49691:51426:1 gene:WBGene00067121 transcript:CRE05202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05202 MEQSRLISVGETTLTENIFQKNDDHILMSSFKYVLVLVTFWIFIFTVGFLVGLKKELNVLIFLIFSLSLPLCHIEHWNNKTTTSFPFYDLYKYFAERKVTGSEGLSDGQLRLLTAFLYEEYIVITHTAQNPQGVGKVAYCHYYDCNRNELPGTRFESFVFPMTAVHCPRRSGVKYVSLSFENSDPPKEEPIPLLNRIFECKINLKKFFSNTVSHLDPPHEIGVCVGQIYGEEKKWLEIIEYVEHHKLMGATMFYFTILEMDEYSKRTIEDYQRLGEIEATFVNTEYEKINWLFHMIQVHVSDALLFIISRVVFIQECFFRSKFHSKWVIGIDIDERLVMTQMPLMSYLRQQSPDTCEINFGSRRIQKLYDDPEKYISTNQTRSSLSFYKYNQTTTHRWGAFKSIFKPAKVHVIHFHWTVQQHEGCRIKTAKRQEGYIRHYRTTDSSSLAGSWVSIFKPYTTTQMDPEFSKQLEERVIKRVEYLYKLHPVFCETIDQKIRKHFPHDLHCVNSTLVNTFDNK >CRE25959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig566:5312:6180:1 gene:WBGene00067122 transcript:CRE25959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25959 MTTAFPLLRLPYLVLMPILEQMEFMERIALSILSNRARMFLKLLKMKCEHITLELNDCRLKMTVIFENSEKLKVNILMIGYQEVDLRYGHDYISRWPCTLPPMDYVLPIMDVTHCKSIKQFIVFEIPQRDIFLAKLPKILKKLPKIDEVIAEKTYRNCTSDSPLFKVLRIVLPVSSAVTIFYHVLKPEDFQEIFKGNFDAVTLRKYWDISYFEMPNDDMKFSLERFDDDKR >CRE25961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig566:3339:4417:-1 gene:WBGene00067123 transcript:CRE25961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25961 MPILKQMDFFERIALSIFSKRVRTFLKLLQKKSKRSNLKLKYGTIEMKVLLDNWEELKLELYPSGYVELRYQEDILLWNTMGVPPMDYAVSIMDVMHCKSIHQFKIAEISQCDILPLLVNLPKIDKVVVYSNLSDVCPIESRLRRILRIVSPVSSGVTICHNFQNHKYLQEIFKKSFDVVTMENYWLKDEPIRKVKFSLNDLRTANAKTLELYNLTVNAKDMNRFFKLWMKNRCNPRLEYLEMRINGNINKDLLLKGLKTVPVTIKTKRTFQVLGDVKQPDERISWEFDITRADKRTATIRMSSYGTIYFYVWPETTNLDPNQSSFMRIFATVYNSCVEHLK >CRE10422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:2267:3409:1 gene:WBGene00067125 transcript:CRE10422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10422 MSDKYSTNGIHTFEDVVAHLAQREHQTHSLGRIGGFEWKLGLGKYTDTSFRSSLFCENDNSKVEVRIRYYLKVKNSNEILQEKFKQETLTNLKHDAFATSKPIPLLEVLNLKNGWLNDEKCTVEYGIQVEAVQGDDGIRKFNFYEELFDCQRKQNMISFCQEIDSNNKRYLHCHKQILSHNCPHYSDNTTESHAKLIPDHDIVLSDLEKCLQIAHGVRMKFSTYLLFEFARVAQSLYLTNASHFIEEQMIWKEYKDEGFIYYAIKCDLSRFLAVHLKKVTPEQTLKIIRSINEGHIDNSSMEIKKMIVAKVLYGRY >CRE10424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:5845:7001:1 gene:WBGene00067126 transcript:CRE10424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10424 MTTAYPLLRLPYLVLMPVLEQMELIERISLSILSKRVRIFLKLLKMKCKQINLKHEYGAIRMEVLFDNKEKLRLEIFRSGYVEFKYRQDILFCNTSGVPPMDYAVSIMDVMHCKSIHQFRVAEIPARDILPLLVSLPKIDGVVVEETCNSSYPDSMLKKMLKIVLPVSSAVTIPYHALKPKYLRKILKGNFDSVTVGNYGVGNMANFDIRFSLKSLRMTTAKTLDLYNFILNDKDLNRFFKLWTEKNCNDRLEYLRARKYGEGNKDLILKGLTVVSVPTETKREFRVLGNIQQLRSDEKITAEFDITRADGRQATIRFGESDEINFIDFYVWAESANDTTNREPNQSSFTRIFSSLTTFYNSCVERFK >CRE10425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:7715:8408:1 gene:WBGene00067127 transcript:CRE10425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10425 MTTAFPLLRLPYLVLMPILEQMEFMERIALSVLSKRARTFVKLLKMKCNYINLKLKDDRVEMKVLFDNSEELNVDMYTNRFKVDLRYGKDYISWWPGPLPPTDNVLPIMDVTHCKSIKKLIFPKVSEYNPNYNALIPLLKKLPKIDELIVEHTTSWGFSPDSPLLKVLRIVLPVSSAVTISDHVRKPKYLREIFKGNFDAVSVYRHKVSSQ >CRE10428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:11941:13092:1 gene:WBGene00067128 transcript:CRE10428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10428 MTTPFPLLRLPYLVLMPVLEQMERKERIALLILSKRARMFLKLLKMKCEYINLTLNYGTVEMEVFLDNMEELKLELYTSGYVEFRYGQNVILCNTMGVPPMNYVVSIMDVLHCKSIDFFKIAEISPCNILPLLVNLPKINRVVVHSDLSAVSLVDSRLLKVLRMVLPVSSAVSIPYFLLLKYHREILQGNFDELRVGNLVEGGLPNRKMKFSLNDLRMTNAKTLEILYVILNSKDMNRYFKMWMKKKCNPRLEYLRVEQKDSFNNDLLLKGLNAVPVPIETKREFRVLGKVQQLRSSDDEISFEFDITRADGKKATIISNGDNSIHFYVWPESTNDTKNIEPNQSSFTRVFSQVSTFYNSFIEGFK >CRE10480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:17221:18511:-1 gene:WBGene00067129 transcript:CRE10480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10480 MTTAFPLLRLPYLVLMPILEQMVFMERIALSVLSKRARMFLKLLKMKCEYTNFQLKYGTIEAEVFLDNFEVFKLEFYISGHVEFKYRQDVLLWSTMRVPPMDYAVSIMDVMHCKSIHQFTIAKISMECNTLPLLVNLPKIDRVVVYSDLYDVSPVKSRLCKVLRTVLPISSAVSFSYDVLNPKDLREIFKANFDAVTVILKDCDDDMPNHKMFCLNDLTCGLQTLRTSVDLLLNGLNAVPVPIETKRAFRVLGNIKQVPWYEKITAEFDITRADGRTATIRLKCDICDYIHFYVWPEATNNNTNLVPNQSSLISNFYSSCVHHFERLFLCFLLSCETFFFFFSNTFNWKRDHGVFFISLSLLIFLFVTLLFS >CRE10432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:21447:22732:1 gene:WBGene00067130 transcript:CRE10432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10432 MTTAFPLLRLPYLALMHALKQMEFLDRIAFSILSKRTRKYVKLLKMKSKNVNLKWNGNRIDMIVFSDLTRVLEVNMYIDEYQRNNFMNQYKPVYSWRDSSLLPVDYVLSIMDVMDCKFINKFIIVGISEHDCLPIIAKLPKIDEVVVQHDWPDVPSYEAYFQKERQLLRVLKTVLPLSPAVFITYQFQNRNHLREILNGHFDAVILMRSGNWITLNDLWITNAKILEIHTTKINMKDLNRYFKLWTKKICNDRLEYLEVRINDKTSVDLLLDGLNAVSVPIETQREFRVLGNVKRFVPGRFGTDRIAFEFDITRADGRQATIRIGTWGTVCFYVWPESTNPVPNQSSLISTFYNSCLHHFERLFLRFLLACESFFFFFSTNFNWNRDYDVRSICLYFLTVLLACWFLNSLF >CRE10481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:24738:25745:-1 gene:WBGene00067131 transcript:CRE10481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10481 MKCKYIKLRLKDNRIEMGVLFDNSEKFKVDILMIGDQEVLLIGYYQNLKCEQIYIRWLPGTLSPMDYVLPIMDVTHCKSIKKLIFPEVSEYDPGNDTTIALLAKLPKIDEVIVEDFTSYCFSSGSWLQNVLRIVLPVSPAVTIPYHALKPEEIREIIRGNFESVTVRKYRADHMPNHDMKFSLNDLKMTNVRSLKLTGPAFTLDDLIRYFKLWEKKKSNPRLKYLQVATRKSERSEIMRTFLKELNVVLICPTRTKDIKPFISEIEDECFPTECYISRADGRGATVRIGTEGTVCFYVCPESTNDTTNLVPNRSSFMRKFSWISTVYNSCIERFK >CRE10484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:30559:31560:-1 gene:WBGene00067132 transcript:CRE10484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10484 MTTPFPLLRLPRLALIPVFQRMEIIEAIAFSLISRKTHNLSKSLCKTISSHYIDLEMDINGLRMRIAVTNGSPLALYFYPDDPKTVGVFYRKRKLQWKNVGLSPKQSMERVFDITKCPSLNKLVVNGKTDYDVFSVLDVVPKVSELKIYPNCRNAFSSSITSFEPSFLNREEFQRVWMSNVDCLCIYDDDLSSVQFNLNDLLASNAVSLELSEVPMSLRDLNRFFSCWLNKTSNHRLEHLSVNTFKDINEDVLLDGLGATRFTENRTREFRSTNMFPKFTEFTGGFDVRRIDGKLAAITFGHTFWTTYINFDVRA >CRE10486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:36517:37542:-1 gene:WBGene00067133 transcript:CRE10486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10486 MTTPFPLLCLPRLALIPVFQCMELIEVIAFSLLSKRTHNLSKYLRKNTSFRCILEIETDCVCMRIPLTDGPSLTLYFYTDDSTMIEVMFPYKKIQWKNIGLSTEQWVERVLDVTQCPSLSVKLDAVPKFDVFSVFEVIPKVTVLEIWSNCCNALAKRALQVLSPVTSSINILKAPFSNQEEFQSFWMGNVEFLSIYNDNLSRFQFNLSYLLISNAVKLELNEVPLSLRDLNRFFSYWLDKTSNHRLEHLSVKSLGHFDENILLSGLNATRFSENRTRELLSTNTFSQFRVFTGGFDVRRKDGKLAAITFAKTFGRTNINFDVSP >CRE10487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:38000:39060:-1 gene:WBGene00067134 transcript:CRE10487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10487 MTTPFPLLRLPRLALFPVFEQMEEREIIAFSLLSKRAHNLSKSLRKLSATSIKLTVEKDSHHLTAYFKYRGAVGPLFYSNSTAYQYLVFQNETISREKVGLSVSEWIERIQDVTNCKSLKRVDLRGPPRLDICDALSSLKNISELYIHPGCPNSFAKKALEILSPVTTEINIWKIPFENRDEFSTFLMSNLNFLNFDSHGFSRFYFDSHGFSGFDLDDFLVTNALKVRLEEFVFFARVVSQFFTNWFHSKRKSRLEHLSLVTIGDINETCLPETLNAIPYPRDQERTFFYSKQLDTPSKTFSGGYDIERTDGKKATIVFVPPGDGGLTFFDFYVWP >CRE10488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:39802:40829:-1 gene:WBGene00067135 transcript:CRE10488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10488 MTTPFPLLCLPRLALIPVLQHMELIEVILFSFLSKRTHNISKYLRKKTSFRYIDLEIENNCLRMMFLTNESFLELHFYTDVSTTVEVFYPYQKVQWKNIGLSIEQWVERVLEVTKCPSLSKLKLDAVPKFDVFSVFDVIPKVTELEICPNFCNALAKRAVEILSPVTSSIIMHKVPFLNREEFQTFWMGNVECLTVHSNHLSRFHFNVSYLLISNAVKLELREVVLSLRDLNRFFSYWLDKTSNHRLEHLSVKSLGHFNEDILLSGLNATRFTENRTREFFSTNTFSQFRVFTGGFDVRRKDGKLAAITFGNNFWTTFINFDVWS >CRE10439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:49407:51110:1 gene:WBGene00067136 transcript:CRE10439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10439 MIPRGEPGPQQRAQASAIGATRRVTIPKLPKPDGLVAAVEYNILPPTEQLLKEIFRRCPWLQDQQFIEWFGKMRSHQMPKAEINEKGKGICGCCGSNIFGNPIKKHSMSECGVPLAVRAQFMAVNTRSICYACNGKSDSHDICVKKNDVCGYCEETGQGRRHHTPTTGACSIPYGCEHEQVTIWRKQHYRQVELESQKEALEIKLHNDSPLLNPPRKCKYPVIGHQAFFDERKMFGVIQYTEYATYPGVIPQTDKEQRKEIEKRIQQVRRNYYEIDQPNRPKPSTEIQHRIQQHREAMEQVTRLKNEGYQVEIMEENDSEEDPSNDDQDNQVQEGVRRDESVEHHDIQVDKERSQSYLTEIALWQTGQRSLKLNTNNSQYYLTNEETTEQFWIKAKQVAGYLKECPEHIKETWTRVAQNKVSVEKTETTRETPEKHKVNKEELKEQLGRAAASKYGNSLAWCTILQSQLTGMREATKRFNDGTDEDPTTEWTLGYTELIIRLATIIALSKAEVWTRLSTGNVRFSTYQPTGKEVIWIPDTTLYKALPTEERDAFFAIFTVNVANRLL >CRE10440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:51423:53264:1 gene:WBGene00067137 transcript:CRE10440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10440 MTEAQSSHLETMKKLICLHQAQLAEAVMSMKDTKLRGIGQHDWRALRDMQTTDLLLENYKSMDNAMNKIIRLVSGEEETQQQQDKHFKEEIRKFVSGTGFSALMESAGKTYEEMNSMIQSISTEEERWIREKLDVYQATIKPGKDIFKEVVLWIGSSKAADTKIFVAQAIKETAKIIGTKRIPLAGPDRCVICYKEGHTKDRCSDTTIENIKRTMLSWMRLRCLQTDHTKAIHNVEMYLERFKPWNIIPCRYCEDSTHSAESCGIPVDAKQMAVIVKKMCNQCLSDKHASKYCNQTIGCKYCKQAHYYRHCDQAPTTPEPNEQSSESQTRGKVREPEMKSGDQTATKQQTTKTEMTGGIVQSERQGNDKTERPVVKLTELTLNDSTAGRHPYMVVTWFTHEHGLQNLEAYIHHHQHDLNTMRKLFSITKMKRNGTISEDFDTCMIQITQNLKEMIEEIGGSPTDVVIVHQIPRGQTNTPKNRDLIHTELQGIQRWMWKWSRVPDHRNREGCKFNFAKKIAQRWIKANEKKAAKEQEKNSKSAGAKGQRCRGPQQIRSTCNKSDGQSTSQRRNDNWQRNHDQVGPQQSTSFLSVDFPAPRVSWIYTQDISPTFM >CRE10442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:58305:61065:1 gene:WBGene00067138 transcript:CRE10442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10442 MKPGLGKCTKTKATLTLKPNARPIFRKARPVTYSALPMVSTEIERLNQTGVISPVDHSEWAAPVVAVKKKNGSIRLCADFSTGLNDAIESNNHPLPTSDDIFAKLNGGNFFTQIDLAEAHLQVEMDPDSQKLLVINTHLGLFTYNRLPFGVKSAPGIFQQIMDTMLNGLEGVSTYLDDIIICGSTIEEHNERVFKVFGRIQEYGFRIKMEKCSFLMEEIKFLGFIINKQGRRPDPEKVLHIKNMPEPTNVSQVKSFLGLIQFYGQFVKQLFGLRQPLDNLTAKDTDFKWTLECQKSFDTIKEILQSDLLLTHYNPNLPIIVAADASQYGIGATISHRFPDGTEKTIYHISKTLSKTQRNYSQIEKEGFGLITAVTKEKGVPVYTANRLQRWATILLNYDFDIEYINTKDFGQVDALSRLIDEQNAEKAPEDFVIAQVELDPVDQLSQNLSFLPITAKTISFQTGKDTLLTDVLNSLKSGNWPKSEKGTEMWTMCNRKDEFSIVNDCIVLGERVVIPTNENVGSYVYWPGIDKDIEKVVKSCDECASAAKNPVKNLLYSWPIPKKPFERVHVDFAGPVDGMYYLVFVDSFSKWPEVYATTSTTTAATIKILAKVFGQFGNPETLVSDNGPQFTSQTFQEFTAANGITHVRSPPYHPQSNGQAERFVDTLKRALCRLRGEGNTETALQTFLQVYRSTPCASVPNNQSPAEAFIGRKMRTVLNLLLPHKPTPNLERNLAMETQFNVHHGARDRSFAINDQVYVIDRRSPNSSKWVSGVIERKLGQTVYKVRVGSQRWTRHANQLRQRTSPPSHYDWSDFLEIEDPAEEMPKPDKADTTTIPVLSPSTSIPPTTPVPLSRSTRNIKPVQPFQIQPKQKRY >CRE10494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:63008:67306:-1 gene:WBGene00067139 transcript:CRE10494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10494 MSLVYDSIDTLYKRDATRLIIWFNDQKAKVEILLSPIRRECDYKRDRARQLIKSSNNQKTFYYKQAEGSAGASTSVEWHFNKHEVDDYFISVVCEDVEAFVRNKMNQLTKLILTTLDDTYKDEQMLKTNINRMCQCLENSLKLRTEKLKVERFSLSVLEISQVVSAVNLLDREVLWLVTVHLPFDDQVFKAEDFIPLIEGQGRQRLDLRILLNEFSLQMLEEVRKLLTYTSKLNSITICYQTIDEKCIELIAETEHSSNGEYFFKFSIDHADDPTEEMATLTLSDNKRHLNIFEIPSIMSSIASHLGCRQIQLLRKVSRGIRHCVDYIKPDPHIRLYQITIRSISVVVSVDHGLFGQEIINIHQGSLEQRVVRPVNDFDLNTRYQNTCMELLVIGMDKWFWKIKEEEKESVMSTFFKGMRDVLTSRASPLKVTVLKLATHLQCLVMVILPYLDAESLEFIIIRKIEEIDEESTIDLDEISKTEQWSKAKKLWIKDLTVRMSIQEMNILNFEWIGITVETMSREDITIAVSLLSKKANNVSKIFHKLPFCSVDLIVETDHLDVRVGFGMWRQMGLKYYFNTENIPILVNVMFQQRAFTRENSGLPAAQWVERVLDVTNCELISQMDLKGCQQVDVCDTFATLQNIGKLYIVKDCTNIFAKKALEILSPVTTQIILFKIPFETREEFQTFLKSNLNYLNIHTSTFPTFKFALEDLMVTNALKLNLNDGKLNLKEINQFFKNWMENKCDPRLEHLELSTSEDVDEKNLLEGLKTVSLPRDRKRAFHYSKQVDKSSESFSGGYDIRSTDRKKATITLG >CRE10495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:70600:72807:-1 gene:WBGene00067140 transcript:CRE10495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10495 MPVVYDHTDPRYIRHANNIWFRFNDKETHGTLHPSNWTTCHYEHIGGSAGVSTVVTIDHSEHLVDDNFISVVCEDVEAFVKHQAEELEYLSLTISDTDGDQKMLETNINRMCRCLENSLQSRTEKLKVKHLSLSVLGISQVVSAINLLDRDCLGIVTVHLPFDDQVFTAEDFIPLREGEKRQRFYLIIHLHKFSVEVLEEVRKLLAYASQFDFISIDYETIDEKCIELLAETKHSSYEKSVMFSIDHSDDPEEMARRNLSDNKCPINIFEIPSIMSSIASHIGCFEIQSLREVSGGIRRCVDYIKPETHILTYHIRIENYSMVIINENMSRGCISDYRGSVEQQAVRIVNDFYLYTRYQKGCMDELYIRTDEETWKLKKEDDSALWKFFKLLRKVLISRKSPLKVISLNLSTNWQCLVMDILPYLDAHCLESIIIQRIRGIDEECTIDLDEISKTEQWSKLKALQIKNLTVRMSIQEMNILNFERIDITLETMSQEDITYCRKASCFEYKLNIECRQ >CRE28979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:53481:59440:-1 gene:WBGene00067141 transcript:CRE28979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28979 MPFAYDQTIIHPIGLQFRFNDQEAEGVIEDWHFETKYHYKQVRGPAGVSSTAVTVHSSENIVEDYFISVVCEDVEAFVINQQEKLINFDLTSLFTYEDQKMLETNINRMCRCLENSLQSRTEKLKVEHITLSVLEINQVVSAVNLLDRDSLDSVTVHLPFNDQGFTAEDFIPLIEGQGPQRLYLTIQLYEFSSQELEEVRKVRIFNIFNCTSKQLYSICIKFKYMDEKCYELIEEATFCIVELYYMLSEISFTVKGAREEKEELIMKRYSELNQLDNKIPINIFEVTLIMKTIASHLGCPQIQSLRKVSRGIRQCVDYVEPDPHILSYYMGLRNFPHVAIDGMMDEGIAVSYKGSLEQDAVQVVNDFDLNTRHQKSHMESLIIEMDKEIWKLKEEGDPALSTFFKLLRDVLISRASPLKVRKLTFVFHWQCLMMEILPFFDAQLLDSIIIQRIQGIDEECTIDLDEILKTKQWRKAKELCMNTLTARASIQDMNILNFKTIEITLETMSPEDITYCRKKLPMPFVYDHIHPSYKCHATEISFSFNDLEVQGRIIQPLGCTKFHYEHVGRSAGVSTAVTLHRREHLVDNLFILVVCEDVEALLRHQMNQLSHFSLNTFEVDQEMLEPIIYVMCQCLENSLQLRTEKLKVEHFDLSVLEISQAVSAVNLLDREILQTVTVRLPFEDQVFTADDFIPLIEGQERQRLNLTIQLLKLSLKMLEEVRKVSVFNLLTFTSQLISVTINYKTIDEECIELIPEAKYLSDGKTVKFSIDHAEDPMEGMTMLTLSDNKCHLNIFEIPSIMRSIAPNLGCHQIQSLRKVSRRIRHCVDYIKPDPHILACSFWLGNHLRFDIKDMMNEQVVARYKGFLEQDAVRVVNDFDLNTRHQKGCMDELYIGMYEEIWTSPLKVKRLTLSTRWQCLMMNVLPFLDGESLKSIRIQKAFKKDKEYRIDLEEISKTEQWSKAKELNTDLTVRTSIRDMNILMFERIFITLETMSQEDITYCRKNIPQSLVFKRFSLLIKNCSADDFLAALGDPYRIVNNIQYIWWFRIENTPEYLYVKFRQTRPRRLVFSKVHQDDTPFF >CRE14299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:21819:26439:1 gene:WBGene00067142 transcript:CRE14299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14299 MSITLDDIKNWEEIISTHQEDYEKFLKASFQALKAVPNPATEPQTPVQDFLAGYAVFMASEVETIKPKDELYKTQLATVLENIHMTPVGTLLTETAKQASIKINKKDREITKLVGDIEARMDKRHEHLNTLAATHKVKGLADTSYSIRPRRSQTNVELKTDFIRPPGNNIQVSSFTTETRPTMLQYKHVKLPTFNGNISEWPAFYMIFKPTVLENDEYTDVEKHNILRNHLVNEPADLIRAYDPDGTQLATAVKRLVAMYGSTEKQYDYLWNRLNEVPMARDSPRSLRILHNELHAIINSLSKHGSIETQNFQSVIKSKIPRNILIEVLRTKPKNTSAILEALDIIITIEESAQRSEMKVNEKEDRNVFSVRKPQQNRKCRFCQRTNHTSAECKTVASLEDRREFIKMNNLCFNCLNSGHRLSECKSSECRKCQVKHNQAICHRNSNVVRKNNFQKNPPTYKSQNENYRKNNFSNQNNGYQSSNAAAYQQRNQGTQGQGYQQRNQSQPVNQRIQNNGNQTNGNQSNNGYNKRNQGQSPPQKSLKTRNYQVNANKTSLMVVNAPIVVGEEIEKIPVLLDTGADQSFILSSFAEKAKMEVLERNVEIDLCVFGKDPTSIISNVVKFEIITNDDSVIKVEALTVPDITDLFEPINLTHEDKKYLENVNEKTVNITRPEKAVALLGLDVFWDLITDEGKKKLPSGKFIIPTHIGPLVCGKPERSTSSMHALIARIKDSQEEHFTENDFQEYFEISNIGITDKVFDPTNEEIIVEFENKVETNSETKRIIAPLTWKEGQREKLANNYDVAICRARQLVRTSKGTEAWQKLEENFDTMEKTGIIEEIDNDPNLGYYIPYGLVFNKSSNTTKVRTVFDASSKKRGEISLNNALHQGPSLIPDLQGILLRLRQGKYLLAGDIEKALKGLHHPAVSEFKESPRQVTPLDNQKQCKSVTRDVDKFHCSTSNSSNSIPHHSASSSTDFAATPIPDNPKYVYVPKRPGKNAIRDVTSGGPCTSKPVSSPRANMTLLVMNMHTTDEFTLWYQIEKNRDECRVWTSG >CRE28956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:182729:183785:1 gene:WBGene00067143 transcript:CRE28956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28956 MTTPFPLLRLPRLALIHVFQQMELIDVIALSVLSNRARILSKTSCGLSVTSINIAAINHSLNLDIVLSDDKKLQILLCLIPANYKEFVVVSVDNKTVIWRTLGLSTTECVHRILDVTNCESIQELKFYETDSFDELPILATLPHIEQIYISRDCNEVFVHKMLEMLSKVTSNIDMCQDWFRNLEQFQKVLMLNMNSITIYAMNLRDPTRVRLSLDDLLISNAVHLHLYDVMISVKTLNRFFKLWMRKKSNPRLEHLKFLTMEEVSPDVLLKGLNAIEMPQTTTRTFRVSDHTNSRCREKVVTGGLDVMRSDGTRATVAIEAKAGTTILDFYVWM >CRE10446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:105890:106657:1 gene:WBGene00067144 transcript:CRE10446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10446 MSQNHTEFVVVFVDYYTPVWRILGFSTAELIHRILDVTNCESIQEVQFCEAVSFDALPILATLPNIEQILISQDCSEGFVHKMFEMLSKVTSNINMWQDRFANLEQFQKVLMLNMNSITINVMNIRDPTRFRLSLDDLLICNSVHLHLFEVMISVKNLNRFFKLWKRNKSNPRLEHLKFMTSEEVSPDALLKGLNAIEMPQTTTRTFRVSDYPNSRCQEKVVTGGMDVMRSDGTRATLEIEAMPGASAVEFFVWM >CRE10503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:107854:111407:-1 gene:WBGene00067145 transcript:CRE10503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10503 MPFFPEPLTPRLKSCLKMISVTLHADTICLWLNFFCLSSGPIKIQYQQEETNTTVACKRNNFQAFMEDTDFVTVLREDLTDILEESEPELQELHIGFQESEGQMDRVLTSIQDVLKARKDKLKVKNIRLDVTKSEQLTTVLPYLDSETLKTVDLSLKGVVNLRDVLELDAWNEKNRLEMNVAMDTFSVMDLEALKENLIQQPTFDTVDIYYDHLHQYALESLHHNNQPLGISHNPNSHKISFSRAHIISPNVGPFSYFQKLFKANCFQVIPQMPSTSDSVSGVCGNYVIMRNILKYVGGVDIQSLRKVSRTIRNRVDFIREDPGIQKINISLKEHGKILVAYDDSKQIIYEKYGFGCSIGQQYIPQDYRSVFLNDFEIVLKNQRDVIKTARLNFSKDPSFMEMFKDHLKSRNQLLKVEALELEVSSQYEVLSILQFINPPTLKTLSLQASGYYPLPIGIDEVMKLEQWNYLETLIISSLIISTPVQEISFGNLVNAEILVDDVSMDDLFYLKEVEHETGLRKGKYLLNSTRLNKLKICFNFFTDSNNQNEQWPDFDQDVTGTWTFRIPNQNQFISVLYRPFQSVTFSRYEIPPEMDLMEMEV >CRE10450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:127278:128421:1 gene:WBGene00067146 transcript:CRE10450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10450 MSERYSRNGIHTFENVVAHLAQREHQTHSLGRIGGFEWKLGLGVFNERYFHAYLICENDNSKVEVLIRYYLKVMNSNKSLQEKFKQEDFTNLKHDKVVVCNNIPFLEVLNLKNGWLKDEKCTVEYGIQVESILGDDGIRKFNFYEELFDCQRKQNMISFCEKIDSNNKRYLYCHKQILSHNCPHYSDNTSEHHATLIPDHDIKLNDLETCLQIAHGVRMELLAYLLFEMIKIAQSLYLTNASHFIEEQLIWKDYNDERFIHYAIKCDLSRFLAVHLKKVTPEHALEIIRWAFNREFIDDSSMEIKKMIVAKVLYGRF >CRE10452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:130945:132101:1 gene:WBGene00067147 transcript:CRE10452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10452 MATAFPLLRLPYLVLMPVLEQMELIERISLSILSKRVRIFLKLLKMKCKQINLKHEYGAIRMEVLFDNNGKLRLEIFRSGYVEFKYRQDILFCNTSGVPPMDYAVSIMDVMHCKSIHQFRVAEIPARDILPLLVSLPKIDGVVVEETCNSSYPDSMLKKMLKIVLPVSSAVTIPYHALKPKYLRKILKGNFDSVTVGNYGVGNMANFDIRFSLKSLRMTNAKTLDLYNFNLNDKDLNRFFKLWTEKNCNDRLEYLRARKYGEGNKDLILKGLNVVSVPIETKREFRVLIKIQQLRSDEKITAKFDITRADGRQATIRFGESDEINFIDFYVWPKSTDDTTNREPNQSSFTRVFSSLSTFYNSCVERFK >CRE10453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:132816:133477:1 gene:WBGene00067148 transcript:CRE10453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10453 MTTAFPLLRLPYLVLMPILEQMEFMERIALSVLSKRARTFVKLLKMKCNYINLKLKDDRVEMKVFFDNSEELNVDMYTNRFKVDLRYGKDYISWWPGSLPPTDNVLPIMDVTHCKSIKKLIFPKVSEYNPGYNALVPLLTKLPKIDELIVEHTSLYYFSPDSPLLKVLRIVLPVSSAVTISDHVRKPKIPSEKFFKGEILTPV >CRE10455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:136593:137741:1 gene:WBGene00067149 transcript:CRE10455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10455 MTTPFPLLRLPYLVLIPVLEQMELKERIALLIISKRARMFLKLLKMKCEYINLKLKYGTVEMEVFLDNMEELKLELYTSGYVEFRYGQNVILCSTMGVPPMDYAVSIMDVLHCKSIYFFKIAEISPCNILPLLVNLPKINKVVVNSDLSGAFLVDSRLLKVLRIVLPVSSEVAIPHFYLYFKYHQDILQGNFDAVGVDNDWGEDDVPNRKIKFSLNDLKMTNAKTLKLYGVLIDVKDLNRYFKLWMKKKCNSRLEYLRVVKKDIVNKDLLLKGLNAVPVPIETKREFRVLGHVEQLRSSDELEFDITRADGRIATIISNGDNSIQFYVWPESTNDTTNLEPNQSSFTRVFSRLSTFYNSFIDRFK >CRE10457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:142475:143269:1 gene:WBGene00067150 transcript:CRE10457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10457 MDYVLPIMDVTHCKSIKKLIFPKVYEYDPAYETTIPLLKKLPKVDEVIVEDFTSYIFSPESPLRNVLKIVLPVSSAVTISDHVRKPKYIREILTGKFDAVSVQLLGDWRFPLNDLRITNAKTLKLDRVAFKVEDLNLYFKLWMKKKCNPRLEYLSVRQEGNVNKNLLLEGLNAVPFPIKTKRTFRVSGNVQQLSWGEIIVFEFDITRADKRQATIRIGTHGIVCFYVWPESTTDTTNLVPNQSSFMRRFSWISNFYNSFIERFK >CRE10460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:150136:151292:1 gene:WBGene00067151 transcript:CRE10460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10460 MTTSFPLLRLPYLVLMPVLEQMELIERISLSILSKRVRIFLKLLKMKCKQINLKHEYGAIRMEVLFDNNGKLRLEIFRSGYVEFKYRQDILFCNTSGVPPMDYAVSIMDVMHCKSIHQLRVAEIPKRDILPLLVNLPKINEVVVEETCNSSYPDSLLQKMLKIVLPVSSAVTIPYHAVKPKYLRKILKGNFDSVTVGNYGVGNMANYDIRFSLKSLRMTNAKALDLYKFILNDKDLNRFFKLWMDRKCNPRLEYMKARKYGNGNKDLLLQGLNVFSVPTETKREFRVLGNIQQLRSDEKITAEFDITRADGRQATIRFGESDEINFIEFYVWPESVNDTTNREPNQSSFIRMFSRVSTFYNSWVERFK >CRE10461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:152012:155355:1 gene:WBGene00067152 transcript:CRE10461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10461 MTAAFPLLRLPYLVLMPILEQMEFMERIALSVLSKRARTFVKLLKMKCEYITLKLNGDRIEMIVFFENSKELTLDMYVNEHPQISLHYNYSIAWWPGPLSPMDYVLPIMDVTHCKSIKKLIFPKVSEYNPKYNALIPLLKKLPKIDELIVKHTTSWGFSPDSPLLKVLRIVLPVSSAVTISDHVRKPEYLREIFKGNFDSVSVSLFGNIDTRFPLNDWKFTNAKTLKLDGLGFKVEDLNQYFKLWMKKTCNPRLEYLEVGTRRWLSSEVMHLLLDGLNAVQVPIRTDSQLQELGNIKQLRFSDEKITSEFDLTRADGKNATIRISNYGLVSERLYNFCIQYASFFRIGLSLLSKRARMFVKLLKMKCEHINLKLNGDRLEMTVVFNNSEELKVNMYINRNKEIGLKYGHDYISRWPCTLTPIDYVLPIMDVTHCSLIKHLTIARVTEYDTLSLLAKLPKIDEVHVKYVWFNFNPDSQLQDVLRIVLPVSSAVTISVYADKPKYLREIFQGNFDAVTVREYRVVVVPNRDMMLSPNDLRMTNAKMLSLPCTVFTLKDLNRYFKLWMKKKCNDRLEYLEVATGRWVKTIKINKLLKGLSAVQIPIRTDKTFRVLGNKKQFNSEDSNEEITSEFDITRADGRQATIRISDYGTVCFYVWPESTKDTTNHEPNQSSFTRKFSRLSSFYNSFIERFK >CRE10462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:156359:157565:1 gene:WBGene00067153 transcript:CRE10462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10462 MTTAFPLLRLPYLVLLPVLEQMELKERIALSVLSKRARMFLKLLKMKCKYINLILDSGRLEMKVFSDKSEELTVVMYIDEYQLSNMIYRYEGYFTWCNGGPLPVDYAVSIMDVMHCKSINQFIVQDIAEHDCIPIVAKLSKIDEVIVEHISPPNFLSIEALLRKEKILLKVLKIVLPVSSAVTISYHALKPEDLREIIRGNFESVTVRKYSADYMPNHDMKFSLNDLRMTNVRSLEIAGPAFTLEDLNHYFKLWMKKKCDPRLEYLQVATRRWLTVIEIRNLLLKGLNAILHYPIRTDRTFRVLGNLKQVFSEVEDETPFWELDITRADGKTATIRIGSHGTVCFYVCPESPNNTTNLEPSRSSFARKLSFISTFYNSCVNRFK >CRE10463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:158689:159879:1 gene:WBGene00067154 transcript:CRE10463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10463 MTTAFPLLRLPYLVLMPILEQMEFIERIALSILSRRARMFVKLLKMKCKHINLILKDNIIKMIVFHDNSEEVNVQFHIHRYQNVDLNYGYGWWRGRPSSIQYALLIMDVTNCKSIKKLIIAEASEYDTLSYEASKKFLTNLPKIDEVVVEDTNSQSFSPDSGLQTLLSTILPVSSTVTKSVHVQKPEHLREICKGNFDAVTVENYWKEYEPNSKIFALSDLRMTNAKSLELPGRALEIEDLNRFFKLWMKKSCNPRLEYLRVVTSTWAKSDILNLLLKGINAVQIPIRTDRKFRVLGNIKQFISEIHFGEDEILASEFDITRADGRQATIRMGTHGTVCFYVWPESTDDTTNIEPNQYLFTRKFSRLSSFYNSCIERFK >CRE10464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:163987:165137:1 gene:WBGene00067155 transcript:CRE10464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10464 MTAPFPVLRLPYLVLMPFLEQTEFMERIALSVLSKRARMFVKLLKMKCKHINLRLEYNTVRMNVCFDNDEELKVDMFTDRYKVDLRYGKDHISWWPPGTLSPMDYVLPIMDVTHCKSIKKLIFREVSEYDAEYGTTIPLLTKLSKIDEVIVEDFTSYIFSPDSPLRNVLKIVLPVSSAVTISDHVQKPKYLREILKGNFDAVSVQLLGDWRFPLNDLRKTNAKTLKLDRVAFKVEDLNLYFKFWLKKKCNIRLEYLSVRQEGNVNKDLLLKGLNAVPVSIETKRTFRVSGNVEQLGWDQRIVFEFDITRVDGRQATIRIGTHGTVCFYVWPESTNDTTNLVPNQSLFMRRFSWISNFYNSFIERFK >CRE10465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:167949:170012:1 gene:WBGene00067156 transcript:CRE10465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10465 MTTAFPLLRLPYLVLMPVLEQMEITERISLSILSKRVRKFVKLLKMKWKYVNLRLDDNRIEMKVFSDNSEEFAIVKHILNDQFNYLFYQYQGYFTGCTGSPFPVDYVLSIMDVMHCKSINQFIVAEHSELDSIPIIAKLPKIDEVVVENRWSSNVLFDKTLFQKRKKTSQSSENCFASIFCSYYLPGASKITSPSENSQGEFLHQYRVAVVRRGLTTTEPDIFIDPPHLYFHSSNRDDDCISSSSSSVPCTYACLGTNGIYGKVSRNFCFVYYEFAYSVQGKIARCSGLHCRFSPNVPRMFLKLLKMKCEYIKLRLKDNRIEMGVLFDNSEKFKVDILMIGDQEVLLIGYYQNLKCEQIYIRWLPGTLPPMDYVFPIMDVTHCKSIKKLIFPEVSEFDPGYDTTIPLLAKLPKIDEVIVEDFTSYCFSSGSWLQNVLRIVFPVTSAVSINYHALKPEDLREIIRGNFESVTVRKYHADYMPNHDMKFSLNDLKMTNVRSLKLAGPAFTLEDLIRYFKLWKKKKSNPRLKYLQVAIRKSERSEIMRTFLKEFKVVLMNPTRTKDIKPFISEIENERFPTELYISRADGRGATVRIGTEGTVCFYVCPESTNDTTNREPNQSLFMRKFPFISNFYNSCVERFK >CRE10509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:173339:174623:-1 gene:WBGene00067157 transcript:CRE10509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10509 MTTAFPLLRLPYPVLMHALKQMEFLERIAFSILSKRARMYVKLLKMKSKNVNLKWNGNRIDMIVFCDFTRVLEANIYIDEYQRSTFKNQYKRVYSWRDSSLLPVDYVLSIMDVMDCKFINKFIIVGISEHDCLPIIAKLPKIDEVVVQHDWPDVPSYEAYFQKERQLLRVLKTVLPLSPAVFITYQFQNRNHLREILKGNLDAVILMRSGNWITLNDLWITNAKTLEIHTKKINMEDLNRYFKLWTKKICNDRLEYLEVRINDKTSVDLLLDGLNAVSVPIETEREFRVLGNVKRFVPGRFGTDRIASEFDITRADGRQATIRIGTWGTVCFYVWPESTNPVPNQSSLISTFYNSCVHHFEQLFLRFLLACESFFFFFSTNFNWNRDYDVRSICLYFLTVFLSCWFLNSLF >CRE10510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:175426:176555:-1 gene:WBGene00067158 transcript:CRE10510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10510 MTTAFPLLRLPHLVLMPILEQMEFMERIALSIYSKRARMYVRLLKMKCNHIDLRLEDNRVEMKVFFDNSEELKVDMDTDRYKVDLRYGNDHFFWWPGTLSPIDYVLSIMDVTHCKSIKQLTFPEVSEYDPKYDALIPLLTKLPKIDEVIVEDTTSWSFSPESPLLNVLRIIFPVTSAVTISGHIQKPNYLREIFKGNFDAVSVSFYLNNDEKFSLNDWKFTNAKTLKLAGPAFEVEDLNRYFKLWMKKKCNPRLENLVVRTRENVTKDLLLKGLNAVQVPIRTDRSLGVLGSIKQYHSDEKINWEFDITRADGRIATISISNHRLVCFYVSPFRQNQSSFMRRFSSFSSVYNSCIEHFK >CRE10466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:186218:187188:1 gene:WBGene00067159 transcript:CRE10466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10466 MTTPFPLLRLPRLALIPIFKEMELIDVASQRNNEPVELRFNTATVSSDETLKWLERVLDVIDFDSIKEVNLHGSPRRDDVCEALTALKAVRGLCIRSNCRSRFAKKALKILTPVTSETVMLKIPFKNRKELEIYMKSSTKSLTIFADRCSKFKYSIHAFLVSNSLRLKLEEWSLSARSINQFLTKWLQNEHNSPLEHLSINNDKSVNILHILEGLDAVPFLEERTFHYSAQLDTPPETIYGGYDIRRMDGKKATITYDFYRLDFYVWP >CRE10516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:189542:190689:-1 gene:WBGene00067160 transcript:CRE10516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10516 MFVFPECTSAALRSCVAVSPPTPPDIDSSFHLYPLSAAKMTTPFPLLCLPRLALIPIFQQMKLIDVIAFSFLSKRTHNLSKFLRKKTSFRYIDLAIKHNCLRMRIVFTNVSHLSLYFYKNVSTTVEVIFSYKKIQWNNIGLSTEQWVERVLDVTKCPSLRKLKLDAVPKFNVFSVFDVIPKVTVLEIWSNCCSALAKRAVEVLSPVTSSINMLTVPFSNQEEFQTFWMSNVDCLSIYNDHLSRFQFNLSYLLISNAVKLELREVKLSLRDLNRFFSYWLDKTSNHRLEHLSVQSLGRFDEDVLLRGLNATRFSENRTKEFFSTKTFYQLRYFTGGSDVRRKDGKLAAITFGDTFWRTNINFDVWP >CRE10517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:191026:192179:-1 gene:WBGene00067161 transcript:CRE10517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10517 MLVFPERTLAASRSSVAVSLIYRPDIDSSFHLYPLSPAEMTTPFPLLRLPRLALICVFEQMEEREIIKFSLLSKRASNLSKSLRKLSASFIHLLVERDHLDIRVRFEMHQVVGLSYYFCPENAPNSANAVLQKMTFTHGNIGLTATQLLERVQDVTNCESLKRVDINRVPRFDVCVALFSLKNIRELHIGYNVPNSFAKKTLRILSPVTSEITLCKIPFESRDEFQTFLKSNLNFLAIYSYRAKISFKFSIDDVLVANALKLKLREVSSSVKGVNQLFLNWLQNKWDSRMEHFSVNVYEHVNENNLLKGLNAIPCLEQRTFHYSTQLDTPPKTIEGGFDVRRDDGKLATIKFEAGVEDEIIDFYVWP >CRE10467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:198197:199353:1 gene:WBGene00067162 transcript:CRE10467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10467 MTTAFPLLRLPYLVLMPVLEQMELIERISLSILSKRVRIFLKLLKMKCKQINLKHEYGAIRMEVLFDNNGKLRLEIFRSGYVEFKYRQDILFCNTSGVPPMDYAVSIMDVMHCKSIHQFRVAEIPARDILPLLVSLPKIDGVVVEETCNSSYPDSMLKKMLKIVLPVSSAVTIPYHALKPKYLRKILKGNFDSVTVGNYGVGNMANFDIRFSLKSLRMTNAKTLDLYNFILNDKDLNRFFKLWTEKNCNDRLEYLRARKYGEGNKDLILKGLNVVSVPIETKREFRVLIKIQQLRSDEKITAKFDITRADGRQATIRFGESDEINFIDFYVWPKSTDDTTNREPNQSSFMRVFSSLSTFYNSCVERFK >CRE10468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:200068:201209:1 gene:WBGene00067163 transcript:CRE10468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10468 MTTAFPLLRLPYLVLMPILEQMEFMERIALSVLSKRARTFVKLLKMKCNYINLKLKDDRVEMKVFFDNSEELEVDMYTNRFKVDLRYGKDYISWWPGSLPPTDNVLPIMDVTHCKSIKKLIFPKVSEYNPGYNALVPLLTKLPKIDELIVEHTSLYYFSPDSPLLKVLRIVLPVSSAVTISDHVRKPKYLREIFKGNFDAVSVSLYGNYDTRFPLNDWKFTNAKTLKLDGLGFKIEDLNQYFKLWMKKKCNPRLEYLQVATRKWLSSEVMHLLLKGLNAVQMPIRTDSQLQELGNIKQLRSPDEKITSEFDITRADGRRATIRISNYGTVYFYIWPFEQKNSSFIRLFSLISTLYNSFIEHFK >CRE10469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:202292:203452:1 gene:WBGene00067164 transcript:CRE10469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10469 MATVFPLLRLPYLVLMPILEQMELMERIALSILSKRARMFLKLLKMKCEYINLTLTGNKIEMIVFFDNSEELKVDLYINRKREIGLKYGHDYISRWPCTLTPMDYVLSIMDVTHCSSIKQLTIASVSVYYTLSLLAKLPKIDEVHVKYVWFNFSPDSLLQEVLRIVLPVSSAVTISVYADKPKYLREIFKGNFDAVTVREYRVPIMPNCVKLSHNDLRMTNAKTLALPCNFFKVKDLNRYFKLWMKNNCNDRLEYLEVATGRCVINIKIKLLLKGLNAVQIPIRTDRTFRILGNKKQFNSNEEITSEFNITRADGRQATIRISNFGTVCFYVWPESTDDTTNLVPNQSSFTRKFSRISSFYNSFIERFK >CRE10471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:205576:206760:1 gene:WBGene00067165 transcript:CRE10471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10471 MTTAFPLLRLPYLVLMPILEQMEFMEKIALSVLSKRARTFVKLLKMKCNHINLKLKDDRVEMKVLFDNSEELRVYMYIYKYRVDLRYGKNYFERIISWRPGTLTPMDYVLPIMDITHCKSIKTLTFPKESERTPEYNALIPLLIKLPKIDELIVEHITSYVFSPDSPFRDVLKIVFPVSSAINVSHNVQKPKYTREIFKGNFDAVSVSLYGSYIATFPLNDWRFTNAKTLKLDCSGFKVEDLNQYFKLWMKKKCNPKLEYLQVATRRELSIEEMNLLLKGLNAVQMPIRTDRTFRVLGNIKQFNSNDSKEEITSEFDITRADGRRATIRTSNCRTFCFYVWPESTDETTNLEANQSSFTRVFSRVSTFYNSCIERFK >CRE10472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:207522:208762:1 gene:WBGene00067166 transcript:CRE10472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10472 MTTPFPLLRLPYLVLMPVLEQMEFLERIALSVLSKRARMFLKLLKMKGKYINLYLTDNKIKIGVLFDNGEQLRMDISMISYQEVSMIVYYQNSKNEQCYIQRWHGTLPPMDYVLPIMDVTQCGLVKQVIFPKVSENEPGYDTTISLLTKLSKIDVVVTSAVIIPYHALEPEELREIIRGNYDSVTVRKYSADYMPNRDMKFSLNDLKMTNVRSLEIAGPAFEVEDLNRFFKLWKKKECNPRLEYLQVATRGWERSKLIKRILKGLKVGLYCCFSADQSFLVLGDIKQFISEIEDEWLTWETEITRSDGREATIGIGSHGTVCFKVWPESTTNREPNQSSFTRVFSRVSTFYNSCVDRFK >CRE10473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:209347:210536:1 gene:WBGene00067167 transcript:CRE10473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10473 MTTAFPLLRLPYLVLMPVLEQMEFLDRIALSILSKRVRMFLKLLKMKCEYINLILKDNTIEMKVLFDNRKELKVDISMIGYHQGLKCGHDDIRLWPRTLPPIYYVLPIMDVTHCKSIKKLIIAEASANDPGYDTTIPLLTKLSKIDEVVVDDFTSYIFSPDSPLRNALRIVLPVSPAVTISYHALKPEELRDIIRGNFDSVTVRKYSADFMPNRDMKFSLNDLMMTNVRSLELAGPAFKVEDLNRYFKLWMKKKCNPRLEYLQVATRMWERREELNLLLKGLKVGLVCSIRTDQTFRVLGNIKQFISEIEGEWLLDSFCIRRVDGRRATVRIGTRGTVCFYVWPESTNNTTHLEPNRSSFMRKFPFISNFYNSCIERFK >CRE10474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:215803:216903:1 gene:WBGene00067168 transcript:CRE10474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10474 MTTAFPLLRLPYLVLMPILEQMEFMDRMFLKLLKMKCEYTTFQLKYGTIEMEVFLDDFEVFKLELYMSGHVELRYGQDVLLWSTMGVPPMDYSVSIMDVMHCKSIHQFTIAKISVECNILPLLVNLPKIDKVVVHSDLYDVSPVKSRLCKVLRTVLPVSSAVSFSFDVLHPKHLREIFKGNFDAVTVILKDYYDDMPNYNMFSLYDLRRTNTKTLEVFGEVVKVEDVNRYFKLWMKKCNPRLEYLEVTSRRELGSEVINQILKGLNPVQIPIRTERTFRVLGNIKQFIPEDSYEKIAAEFDITRIDGRTATIRISNYTKVCFYVWPESTNDTTNIEPN >CRE10475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:220478:221814:1 gene:WBGene00067169 transcript:CRE10475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10475 MTTVFPLLRLPYLVLMPVVEQMELMERIALSLLSKRARMFLKLLKMKGEYINLCLTDNKIKIGVLFDNGEQLRMDISMIRYQEVSMIVYYQNLKCEHYYIQRWYGTLPPIDYVLPIMDVTHCMLVKQLVFPKVSELDPGYDTTIPLLAKLPKIEEVGVEDFTSNSFSWDSRLQNVLRIVFPVTSAVTIPYHALKPEELREIIRGNFESVTVRKYSVDYMPNHDMKFSLNDLKMTNVRSLEIAGPAFEVEDLNRYFKLWKKKKCNLRLEYLQVATMGCERRKLINLLLKGLKVGIYCYFGTEQTFRVLGNIKPFISEIEDERPTWETEITRSDGRTATIRIGSHGTVSFYVWPESTNDTTNREPNQSSFMRKFPFISNFYNSCIERFK >CRE10523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:225679:226964:-1 gene:WBGene00067170 transcript:CRE10523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10523 MTTIFPLLRLPYPVLMHALKQMEFLDRIAFSILSKRTRKYVKLLKMKSKNVNLKWNGNRIDMIVFSDLTRVLEVNMYIDEYQRNNFMNQYKPVYSWRDSSLLPVDYVLSIMDVMDCKFINKFIIVGISEHDCLPIIAKLPKIDEVVVQHDWPDVPSYEAYFQKERQLLRVLKTVLPLSPAVFITYQFQNRNHLREILNGHFDAVILMRSGNWITLNDLWITNAKILEIHTTKINMKDLNRYFKLWTKKICNDRLEYLEVRINDKTSVDLLLDGLNAVSVPIETQREFRGLGNVKRFVPGRFGTDRIAFEFDITRADGRQATIRIGTWGTVCFYVWPESTNPVPNQSSLISTFYNSCVHHFERLFLRFLLACESLFFFFSTNFNWNRDYDVRSICLYFLTVLLACWFLNSLF >CRE10477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:229173:230290:1 gene:WBGene00067171 transcript:CRE10477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10477 MTTAFPLLRLPYLVLMPILEQMEFMDRISLSVLSKRARMFLKLLKMKCEHISLELKYGTIEMEVFRDNFEVFKLELYMSGHVKFKNRQDAFLWSTMGVPPMDYAVSIMNVMHCKSIHQFTIAKISVECNILPLLVNLPKIDKVVVHSDLYDVSPVKSRLYKVLRTVLPVSSAVRFPYDVLNPKELGEIFKGNFDSVTVILKDYDDDMPNYNMFSLYDLRRTNTKTLEVVGEVVKVEDVNRYFKLWMKKKCNPRLEYLQVATRRRLGSEVINLLLKELNAVQVPIETERTFRVLGNVNKFIPEDSYEKVSVEFDITRVDGRTATIRILNYTKVLFYVWPESTNNTTSLEPKSSVMA >CRE10526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:232917:233927:-1 gene:WBGene00067172 transcript:CRE10526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10526 MTTPFPFLRLPRLALIPVIQHMELIEVIAFSLISKRAFNLSKCFYKVSPGSIELLVGPYAIETKIDDLTMFFYGNVPEWVEILHHDKLMRWKNAGLSTKQWIQRVLAVTKCPSLDKVILNEKPKYDVLSVLDGVSKIFNLHIKPKCCNALAKRAVEVLSPVTSSITLFRVPLSNQEEFLRFWMGNVECLCIRYDDLSRFQFNLNDLLASNAVKLELRKVPMSLRDLNRFFSCWLHRTSNHRLEHLSVQSLKNINEDVLLKGLNATRFTEQQAIHFQSIRVVCHPEFTRGFEVRRIDGKLAAITFYTTFGTTYINFDVWS >CRE10478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:239095:239891:1 gene:WBGene00067173 transcript:CRE10478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10478 MTTPFPLLRLPRLALIPVFQQMKTGELNDEPLELSFNTVAVSSDVTLKWLERVLEVIDADSNEEVNLHGSPRLDVCTKSLTIFADRFSKFKYSIQAFFVSNSLRLKLKQWSLMEHSINQFLTKWLQSEHNSPLEHLSIDNDENFYIPHVLEGLDAVPFLEERLFHYSAQLDIPPKTIYGGYDIRGMNGKKVTIRSGFYRIDFYVWP >CRE10530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:240768:241798:-1 gene:WBGene00067174 transcript:CRE10530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10530 MTTPFPLLRLPRLALIPVFQCMELIEVIAFSFLSKRTHSLSKYLRKKTSFPYINLEIETDCVCMRIALTDVSSLSLYFYSDVLTTVKVFYPYKQIQWKNIGLSPEQWVERLLDVTKCPSLSRLTLDAIPKFDVFSVFDVIAKVAELEICKNCCNALAKRAVEVLSPVTSSINMLKAPFSIQEEFQSFWMGNVECLSIYNDNLLRFQFNLSYLLISNAVKLKMREVVLSLEDLNRFFSYWLDKTSNHRLEHLSVKSLGHFDKNILLKGLNATRFTENRTREFLSIYTFYQFRDFTGAFDVRRTDGKLAAITFAKTFGRTFINFDVWP >CRE10532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:244144:245180:-1 gene:WBGene00067175 transcript:CRE10532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10532 MTTPFPLLRLPRLALIPVFEQMEEREIIKFSLLSKRASNLSKSLRKLSASFIHLLVERDHLDIGVRFEMYREVGLSYYFCPENAPNSVNAVLQKMTFTHGNIGLTATQLLERVQDVTNCESLKRVDMNRVPRFDVCVALFSLKNIRELHIGYGVPNSFAKKALGILSPVTTEITLYKIPFESREEFQTFLKSNLNFLAIHSYRAEISFKFSMDDVLVANALKLKLREVPSSVKGINQFFLNWLQNKWDSRMEHFSVNVYEHVNENNLLKGLNAVPCLEQRTFHYSTQQDTPPKTINGGFDVRRDDGKLATIKFEAGVEDEIIDFYVWP >CRE10535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:247489:248465:-1 gene:WBGene00067176 transcript:CRE10535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10535 MTTPFPLLRLPRLALIPVFQQMKPSEIIAFSLLSDKAKSIIKILWKKSVNSINFLVDSNHLSILAYLSNYDKPLRLHIKTKTVNGTISLSKWLGRILDVLDSYSIFQINLHISPGLDVCDALAILKEVRHFMANCPNSFAKKALEILTPVTTETVLFKIPFENQEELEIFMKSNKKYLSIFIEGFSELKFNMDAFLMSNSLRLSLREKSLSARSIKQFLSNWLQNEHHSPLEHLTMIIDESVDRLEVLEGLGAAPFSEERTFHYSKELDIPPKTFSGGYDVRGMNGKKATITFEDGYQRTRIDFYVWP >CRE10537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:250425:251455:-1 gene:WBGene00067177 transcript:CRE10537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10537 MTTPFPLLHLPRLALIPVFEYMELIEVIAFSLLSKKARNLSKIFRKLSAISMNLLVENDHLYIKVDLRGGKSVPLYFYTESAPQLVNVMSQERRFTHENSGLSASQWVERILDVTSCGSICYLELKGSPQLDVCDTFGKLKNIRKLYIFNECSDNFAKKALEIILPVTREITLFKMPFETREELKTFLKSNLNYLNVQTSTFQKFKFTLDDLLNTNLLKLRLREVKLSATDISQCLTNWFHSKRNSQLEHLTLCTWEGINETCLPEVLKAVPFPRDQERTFFYSKQLDTSSVSFCGGYDIERADGKKSTILFETFYGGTFIDFYVWP >CRE10541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:260899:263057:-1 gene:WBGene00067178 transcript:CRE10541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10541 MPFVYDHINTSDKHNVEGIHFSFNDLEVQGRIIQPLGCTKFHYEHVGGSAGVSTVVTSDDREYLVEDYFISVFCEDVEAFVRHQTKKLTHFSLTILDTDGDQKMLETNVNRMCRCLESSLQSRTQKLKVDRFCLSVLEISQVVSAVDLLDRDCLGIVTVRLPFEDQVFTAEDFIPLIEGQGRQRLYLTIQLYKFSSQELEEVRKLLNYSSQLNCITIDYKTIDEQCLELIPVAYHLPDQKNVIFPIDHVDDPIKEMAMINLSDNKCHLNILEVPSIMSSIASNLEFSQIQSLRKVSRGIRQCVDYVKPEPHILFYDILLGHSLAIVTIQGMTSEKIITTYFGSLEQQADQIVNDFDLNTRHQKSCMDHLFIDVFEGIKNLKEEDDLVWSKLFKGMRDVLISRTSPIKVEKLGFSTQWQCLMMNILPYLDAEYLECIIIRMFKIDEEYTIELDEISKSEQWSKAKELNIKDLTVRTSIQDMNILNFEKIEITLETMSQEDIMYSRKNLPQSPVFQKFKILIKKCLAADFLASLGEPYRVVNNCKYIWYFRMENTQDYLHVTLEQFPLQTGGRLQFIKVHQNKTPFF >CRE10479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:265314:266465:1 gene:WBGene00067179 transcript:CRE10479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10479 MPFAYDQTIIHPFGLQFRFNDQEAEGVIYWHYNTKYYYKHVRGPAGVSSTAVTVHSSENIVEDYFISVVCEDVEAFVRNQQEKLINFDLTSLVTYEDQKVLETIINRMCGCLENSLQSRTEKLKVGTFSLSVLEISQAISAVNLLDFLSMVTVHLPFEDQVFTADDLIPLIERQGWRRLGLTIQLHKFSPQVLEEVRKYCGTTDMNK >CRE23736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig237:65136:67685:1 gene:WBGene00067180 transcript:CRE23736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23736 MKFHYPIHQSEIIACRISPSDSFSSPPFSPFTFCLVYRPPDTSRSQNAALISHLDQILPLNCSLLAGDFNYPSLKWDSPHTCHEFLSFVNSKNLFQHVTFPTRSSSSTANILDLFMTTKDLPVSKISKHPPLLNSDHFSVEFDLLPYPITLPPNYNIIPQSSKPRLNYKQCNVKDLNADLASYDWDLAFSTHSSPSSKYSFFIELLSQLILRHTPLSQVHKPYRPSNLINKLRRTRHRYAVLLNSASSHASQISKLKSLLTTIKHKLKKCNFRSESLILAAPHSRAARSLIKKRVRARSSVPPLSINNRLVSSNSEKATIFAQTFAKNFSPNNYLPHLSSLPSLHPVSPPISEIFPPWLIEKTSKNLPSRCGYTSHLANYFILKNCATSLALPLSIIFSDSLLTSEVPDSWKHATVIPIPKKGSLSSPENFRPISLTDPFARLFERVICEYIKLHFAHKFSQNQHGFLAYRSCTSSLVHSISCYKSSLSSNNSLDVIFFDFKKAFDKVNHKLLLQKLALFGIPHLFIEWFSNFLSGRTFSIKIEDFTDTSITQIPSGVPQGSVSGPLLFLIFINDLLLDLALIPSLQVSAFADDIKIYSSNPVAVQKGIDLIETWASSNSLPLAHTKTSFLRLGSKNISFPYFIAGQPIETSKSVRDLGLITDSTLKFKSHINKTIASALLRTKQLLKSFKSTSPQFYIFLFNCYVLPIIEYCSVVYSPPPASKLSLSLETPLRFFTRKIFQRCNITYSSYSDRLAQLNLFSLRHRRLKSQLLLLYKFLSGTSYFPHLESYIRFSSSTRRPMNLICIKPKCSDFFSHTIPIWNAITSQSSYFLSPSEFNTLISSSITRY >CRE23735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig237:61860:62207:1 gene:WBGene00067181 transcript:CRE23735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23735 MQKVYGLKTYTKSGNMRAPAMDTYLTWIVDAWKSLPTELILKSFKGCALTTLLNGEEDHLLHCFKPNGEVPDGLEELKKTREERAMDELENLVEEVDLAQDEYGDEDSDESLISN >CRE10546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:289561:295285:-1 gene:WBGene00067184 transcript:CRE10546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10546 MVRETKAQADKKAADVERRRANRKQEQSKSGGDSQEPRKKQAEMSQEEIREYKTQNKRSNREKQKSLQNSQLSACSQETPLSELPKKRSEMSQEEIREYKTQNKRNNREKQKSLQSSQLSACSQETQLSEGRKKIKDMNPDELRNYWRENREAGRQKGRTSTTSDMEFSQRTSTSEFNAQHNSQFDMESLHSEMSDVFDQPTSSNAAAQIGRKRMMSPQEEDEEDDDDEMPSSQMSTYMPNWTVKEKEEFKRVKVRYNTRSKKALMSQSSYGSPDDDQFSVATCDVAEPQELPEQQFNCKIRFALVDLLQVETDCIVFPFCGDLDLTKRTKIYSQFWRKMCREVDDQEEYKEFLEDQCQELEEGDIAGFIWQRLGRSKTCFHIREPISEKNKYTTVYEAQLRAAIFKCLYQADRNGHSSIAFPIFGHVENRLKATAITLQTIWSYFQVVRRSNLKLVYLATKIAPLYDLIGRSMSYIREIDLSNWNRQHFFQFEQMLFDKVKSEVIYSTVPGTDMAMRAFKFSLESKKVKDQKEKLKNLHAEMCSMTGLPASDFVLARDSGRRLPHQYISDVTISDDESPELISLYFPMENVCGSTSVLRKLWVVSYYYMYYSDRLGDSFDFAENSLEHKTRKEMFNKLKNLHREVLLQWKRTMRNVCYKCKCQKPDGYHENLSYFNTQMSHPDIVFDQWILLDKCILVDGEDVMNLQNLSIQTSPQKSEEKEKVMLKLASEQEEVVKEKVLEWFKNVKEYTRNRTRNFRAIIEHLDLNDIRTGIDDDPAVFDDLEKYDEFLDRKGDGGEENDALTEAEVIYRMAFGMEEIQKAAEEVNRCLRDVALKRYFEHEINEGHLEKSLRHSNNFLRVVGFLITQFSGMVRKNKYTLSPQVVELWKTENNRTCTVDEFIAAEMKEMGKKELDLPQHIDTTLDDTFRMFSRYPKPIDLGRRTDKCYHCGALSFPRERLKSCGKNGRFWINPVKKIPPAITQMFQEKFRGCLISANAAFSMASVNYNRQQQKAHGVQSMKVQGVVTFLPSAIHPRETAKARYANFIVLEHDNETIASMRFESLRVKNPLLEKMFLDIQEYLDANNSLYKCFKSMAQLEKEELQRRGLSQSDASNEFIRFTILSPTELDHQDKLVAHPGVYAQKKRMPKHHVAVAISMNPEDTSARPRGLTIYPKNPSRGKPQQAISIYSDLCDTMGYPLLFPDAQGGYALHKYPRRTAKDPKPSYEQNIRNHIEELLSNEENPEDYYNLGPEFNEMLESLNQPSTSASGMEVDDSDL >CRE07703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:593:4590:-1 gene:WBGene00067186 transcript:CRE07703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07703 MSETQWILSVGAILIAFKCLLIPAYTSTDFEVHRNWMAVTWNRPMKEWYTESTSEWTLDYPPFFAYFELALAYAAKFLGFDECLEISSTPKMSRKILIFQRFSVIFTDFFYLAVCALYSFHSPRLVERIPKKLRRNGREACFVLLATLQALLICDSIHFQYNSMLTAFFILSMYFVDSGRMLMAALTFSILLNFKHIYVYYALGYVFFYLVNYFHFSSENILCNVPKAISLAIALLSPFIFSLFPFFHVDGIEALQNIATRLFPVSRGLTHAFWAPNFWALYNFTDLCLYRVLSLLKIGKFEAPTYTSGLVQEYTHSVLPNVTPIGTLFLVVVSSVIVLTGLIIRWRRDSRPVDFSLFAVFSALSFFYFGYHVHEKAIILITVPMTIFAIKDPKYHRHLVHLSCVASFSLFPLLFTPFEILLKYAICLAYFLLQLTFLK >CRE07704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:5262:9312:-1 gene:WBGene00067187 transcript:CRE07704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vgln-1 MDNGHIEETNNIEIDPATGGAVMSFSYASDFPTLPDAAPATAPTAKQTNVWSRPALSKTDVTVTFHLAPDERSNNKVKSFGNTSEESKKAQAIASATKTRIELSESKDGELTVVVKGERAKVEEARARIIRDLQTQASREIEIPKEHHGRLIGKEGALLRNLEADTNCRIQIPNRDGPSTKITITGPREGIQRAVRHIMDVSERESKLATEHIVCPKNLVPFVRGPHNETYERLTGDGSVKINIPPPTATNEVISVTGEKEGVARVAAEIRKIVESKKNVSSIQIQVARQQHRYIIGQSRSGIHEVLQKTGVVVEVPSEESGSDQITLIGDAADLAKALTLVIERASSIVTQSIPAPQWLHKHLIGPKGATLSTLVPNRNSVKIEFDDSNQIFLEGSPEEVKAAFEPLNKEVARLQMELSIEKVKVHPTLHRHVIGRGGSLISKIKEQTGVQISIPNEETNSDEIVVEGKKDGVKKAVAEIRSIVTKIENEKSRDIIIPQRLHKLIIGTKGSGVQTIRDAHPNVSVVFPDAKSKSDVVNIRGDKSEVDVVYKKLTTISKEFAENNYQQTVAIFKEFLKHIVGKGGATIRKLRDETETRIDLPESGSDDGKITVTGKQANVEKAVAQLNKIQEELANVSEETIEIPQKVQSRFFGNGRRLISDIEEECGGVHIRFPSEKSESTKVTIRGPAGDVTKAVGLLSALAKDKEENYIEDTVKAKAEFHRFLIGKGGSKIAKLRDTLNVRIMFPKEGDAEKETIHLLGKKDDVPKAKAALEEAIKQLSETVDIKINVDPKYYKNFLARGAALVKEIQDQNGGVVISFPKNGSDSNEVSIRGSKQCVEAARARIEDTVEDYEKQITDTVSIPAQFHRGLLAGRGAKIHELQSKYNVSIRFPNNREEGAEGSDQVTLSGRDTKVEEAKEALLALVPISKVIQLPIDMHRSIIGRGGETVRKLMQDYDVNISIPKDNSSEDITVTGQVENVDQALEALRGKLSEYEAQAEDRKLKQWSMTINVPTDYHQKIIGQRGATITALKEKYGVNINVPRDEGNEVITITGYEDKAKECAAAIEEMISDLRSMFTQEISLDSRYHPRLIGQRGKNLKKVMEDYRVEIRLPRQGAEDPNLVVVAGKDENDVYDCIDHLRSEEESFLLDNVERTQYMSPRQQESNNVRPQAGTMRVQTMGAPWQLDLGSSEQFPDMGAQATPTTAVGGAWGSSRRW >CRE07666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:11930:13709:1 gene:WBGene00067188 transcript:CRE07666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07666 MEPRSIRNPYHGIDDLQSDFGDSEEPVSQTKSKRKGDFLDKVQPGDFVKRKRADGRADFEEEHGKMHRRMAKMMSMNAYDRHKEMINLYYLSYPGATQLLNRKVQEEKTDLDVLKEHHKFVWDEEDNSGAPVSWEKRMAKKYYEKLFKEYCIVDLSLYKNNKIGMRWRTENEVKEGKGQLICGARKCQETAGLASWEVNFAYKEDGRSKSTLVKARLCSKCSDKLNYGTQKRQVKQKKRAVRRWEKERKRGKRGSDDNEEDGDGDGVKTVKNEEKDQPSTSTSSTLSASADIWEGPAPAETEKTVDDEIDEFLDDLFL >CRE07667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:16656:19043:1 gene:WBGene00067189 transcript:CRE07667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07667 MSQKFWIFVICFWSGCLAQNETGYGDLKLEFVQTLWRHGDRAAIDELYPIFESNWTFGGGGLGELTPLGMSQMNDLGTLFRKIYVEDKEFLSHRYTGKEIYIRSTNVNRTIISAMSMLYGLYPPGAWNIQGVDYPNSIDWQQGFTFVPVHVDGDNHCAATQQCKCDRDDELQDKMAELPEVQTVNARMLAMNLRMAALFNVTEDPDTFSDYPDAWKCQRNWFNDTLYEKLPFYNEALFQESQRTFAPYKHMMEGHFVEPAILDGIDIAHEIRVLQSGVMLNEIYERVRDKAECLKSGENCTGFFKGLKFYGYSSHDLVIYAILVSLGAQDSVKTIDGWPDTAASLTIELYSNPENQYFIKFLYRDNSVDDFSDVTSKVCNRAEYCTIEDFRKIAEMYKPLPDYKTLCQTPLSGSSSLFSYWISILFFVNFMNFL >CRE07705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:19194:23885:-1 gene:WBGene00067190 transcript:CRE07705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-4 description:CRE-UNC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MZR8] MIGGLQASVDAEPVKIINDIWNDFWKTQLNSVLLSCSDGSETSPISDNDKSLSSREQSVSPDEETLMEEDAVSLDDDNDTGETAAKRRRTRTNFSGWQLEELESAFEASHYPDVFMREALAMRLDLLESRVQVWFQNRRAKWRKREQNRHGGSEPKKDADGVMMEPKPLPTFPFSIDSILAVSRVPRGRRPNAKYPRVQACKNLSPFMIPLFPITQPGGNVIREKSPPPSLPVCVTPTISTVAELLKSV >CRE07706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:28616:35403:-1 gene:WBGene00067191 transcript:CRE07706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07706 MILLWILVCLSSINSQSTPSIDCGWLRDSFQFMNSDEFNQSYNGECCNSESVDFMDSYNKTKKWRTVSVSNRAAYINYLIRNKKCADFTTTTIPPTTTTTEDLNCAWLDKPFIYTFFLTSMYYDGKCCNQEAIEELNSMRNGDEWRLTTDLSDKYLYVKTLRGKNLCSESTTPSSTTPATTVPPTTISSSTRSLSPTKPTTISNSNCYWLKTPFNYSGVYNNGNPFDFSYNGACCSEIAVRALLDIGEWALNPDQWNRWSFLSNFLYCVDGACTDPPLWNNCSMSTTSSSSSTSAQTTTSSKRTTSSGQTTDSTTTSNDGETSVTTATPSSTSTFPTTAATKASENGNNNDETTTMPMTITGSTSETKTTTDVDSSSTTTSTQLSTEGTKETGSTGTETTTPTTTTATSGGSTATGETTTSTTSTTSTPTTGTTGDIVTTSEGSTSASTSTMSTTPTASHTSFTYGSTDTTESTMATQTSSIKTVTEGSTAPTPPTTESMSPASTISGQTTQSTPTTEVTGTTTTSTGTSGASTTSGTTGITIGNQTSTPTSTTTSGTTTNTDGVYVSCPISSSTTPMTTPEQTTTYNWPTGGTTRILLSGEIILSESLIAYPNCTTVLKQLIFNPSTNESRTETTTDEYGCRTSTTPLKTSTTPMTTPGTTPTQSNTAKTTTYNWPTGGTTKILPSGEIILSESLIAYPNCTTVLMQLIFNPSTNESRTETTTDEYGCRTSTTPLKTSTTPMTTPGTTPTQSNTAKTTTYNWPTGGTTKILPSGEIILSESLIAYPNCTTVLMQLIFNPSTNATRTETTTDEYGCKPTSPTVAQSVKTTTYNWPTGGTTKTLPSGEIILSESLIAYTNCTTVLMQLIYNPRTNTTRTETTSDAEGCKATSSSVYITTPMSGGATTPSPSDATTTFQWPTGGTTRMLPSGEIIISESLIAYKNCTTILMQLIFNPSTNTTRTETTTDANGCKSTSTGARSGSPGVTSSMATPTTTETPFTFPDSKTTQILPNGEIILSESLIAYPNCTTVLKQLIFNPATNTTRTKTSSDAQGCKATSTTKPSATTRIAIAFSTPIPPTTTTKSFTTTPVASNTASPVTTTTPPPTTTTSPRRSTRAVPVTCPFPSNLNLINTNRPTPEEIKENYAVGERIIHICKKYYIQELSKQPLRIYQCGEDGKWIGTLQKCVLEPGRTEL >CRE07668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:36828:39299:1 gene:WBGene00067192 transcript:CRE07668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07668 MSDKTTLKQSTLKVDDDRMIVVETQMSVKERRKKVKKFTKLIASSVKVEDETRLQLGELTAKCSEEEADAILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACANAAQVQVEKNLLTECWNEEKEMLGEQIRKFQREKEVAEAQVSKLEKALKQLRKKLEQEERKPKGLWDEAQGSKSWYERVENWDFERSRKRGGEDAFSRKTSSQSGSSEVNDMVQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTESDDELVAILEEKFLKGAAKSLFKTLPKRFERSIQSLFEEFEQKLRKRQGDSKIEALNEFEGLEKRSDQKMWEYLVEVEKWSRKAFPEVKQETLSQMRTTKLMKAVRGDETLHKMLIMKRFELSLEEQYDHLKDIVLQQENEQRRGNCQKSGYSEGWKERLKAENDGEKDVAEKESGENRYWREQKCFSCAGVGHLAHQCSPKPVQSVEVRGKGEGVGIVAVETVMMLGQDRKVVIDSGAAVSVMSTGAWNGLKKGCRNWMEVVKRLGKPSFEVIDTSKKKMRIIQQIEVPIQVRDRKAEVVFQLVENDAEIMLLGTNAFESIGVSVKWKQERTDVQQKKGKRDATSSEEKKVFMVGNLGIRVENTKPSGKTAERSKEIAVDTVTEEKKEGMKPKKTVIRESKILITPRIGVKGKSIFEYRKSALDTWKNRFDFANVESIVFLLELTEDEETNQKLGNLVRKLAEEVKEITIIPYKMDWAKSGLVESWKRSWITAGHVKWSDSAASADEKFKTWEQLLEFLEARTTENVVVAQLRKESVTSEPRINEDKWSHH >CRE07709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:41360:49439:-1 gene:WBGene00067193 transcript:CRE07709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07709 MKPLLFMIVLAIIAQVFGVESKSGLKGDSGKSGSNGNSGNNSESTTTPSTTLSTTVPTTSESTSTDPISTTTVALNCGPLANTSCCTKEIIQCLMGTDGTDLSRFSSPDDHEMELAKCNCVLTTTSTTTRTATPTTSSNSNCSWLQTPFNYTDSQNWPPNNFSYYGTCCSEKSIDTLNENELDWLLTVESVAEWNKWDSLVNLLYCVEGACTDPPRWNNCSMSSTSSSSSTSPQTPTSSKLTTSSETDASSTTSNSTSTFTTTAATTETEKGDNNEDTTTIATTTSGSTSETETTINAESSSETTSTQVKTEGTTETGSTGTETTTPTPTVETTTSTTITTDTSSTPTYPSETTATGSTVTTSEDSTTASTSTMSSTSTASQTSFTYGSTDITESAMTTQTSSIKTVTESSTAPTSTTIETTSSASTISQTAQSPPTTESTGTTTSSTGTSGASTTSGTTGITIGNQTSTSTSTTGSTPGTTTNTDGVYFRNTTSEIFPNCTRVTVELIYYINGTGLRNVTYDQNISGCSSTTQMTTPEQTTTFQWPTGGTTRILPSGEIILSESLIAYPNCTTVLKQLIFNPSTNETRTETTTDEYGCRTSTTPLITSTTPITTPVTTPTQSNTAKTTTYNWPTGGTTKILPSGEIILSESLIAYPNCTTVLMQLIFNPSTNLTRTETTTDEYGCRTSSSTVTSPKFSTTPMTTKTSKTGTTASTSMKTTTYNWPTGGTTRVLPSGEIILSESLIAYQNCTTVLKQLIFNPSTNETRTATTTDEYGCKATSSTSSRTTPMSSTTTSKSTTPATTTFPWPTGGTTRTLPNGEIILSESLIAYKNCTTVLMQLIFNPSTNTTRTVTESDKDGCKATSTTVAQSVKTTTYNWPTGGTTRTLPSGEIILSESLIAYKNCTTVLMQLIYNPSTNTTRTETTSDAEGCKATTSSAYITTPMSGGSTTTSPSDATTTFQWPTGGTTRMLPSGEIIISESLIAYKNCTTILMQLIFNPSTNTTRTETTTDANGCVSIFCMIQKSQSGSNVFQKSTSTGAVSGSPGVTSPMTTPTTTETPFTFPDSKTTQILPNGEIILSESLIAYPNCTTVLKQLIFNPATNTTRTETSSDAQGCKATTTTKPSVTTPIPPTTTTKSFTTTPVVSNTASPVTTTTPPPTTTTSPRRSTRAVPVTCPFPSNLNLINTNRPTPEEIKESYAVGERIIHICKKYYIQELSKQPLRIYQCGEDGKWIGTLQKCVCKY >CRE07711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:51054:51782:-1 gene:WBGene00067194 transcript:CRE07711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07711 MKTLFLILFLPILAYFQSDEVLDCDYLSDTFVYKDFANVYRNYVGGCCTSTCLNRLEKYYPYWRLPTEINPVSWYVSIAYDSNCCKDTPSIAVITETMSTITGTTPVTPSKANTIPTTTVVANTIRTTIKNQNLNCGWLEESNGGLCCTQRALNFLQTWDANWRKSNDIGYRTQIINILKSKGHCDSKVTPLATTTVTLPSTYSTKSVLCNLMGVGCAEESTTPIMVSTTTVIATSSKGESF >CRE07712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:55752:59516:-1 gene:WBGene00067195 transcript:CRE07712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07712 MIVATIVIIPDVHSQNDATDSTKTSSSPSLNCDFLNDSFSYKDMTYNGECCNTDAVEFMDTEHPLWRFTTLQWIQESYLDELFARGDCNISSTSTVEQSTTVLTSTTTVPETTTTIATTESSSTTLMTEADLKASRKYVATTIIIPDILSQNDATDSTTSSPSLDCAYLNNSYTSVGGMTYNGECCNTDAVEYMNTYNRGWRYLFKTSYFTELFGEGLCNISSTSTVEQSTTVLTSTTTVPETTTTEAFNCYWLKDLLRNPVYYGQCCNRESVAYLNREYDSNWTTSYNYSKYINELRENNICGGTTTSTTIDTTATTESPSTILTTEVDLKASRKYVVTTINPNVYCLSTPTKEPVNCYWLGIAWNDVSYYRECCNLKSVIYLNTTYGASWITSSWARTTYFNRLHDEGLCDEIRNTFFFFFYFILHLQFCHLLKMNLLLILAPVFILSVFSQNTESSPTVSLNCDYLAESYTFSSGISYDGECCTTDAVEYMDKEHPRWRKNNSQLQQYLSELYQNQLCAGSTTTVLSSTTTVPQTTTTKSFLCSLLGMGCPKDSTTTVIASTETSTTVIETSSEGGFF >CRE07719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:70128:72182:-1 gene:WBGene00067196 transcript:CRE07719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07719 MLKVFSICLVLGYVAGQTVKLEFVQSMWRHGERASQIDLYPIYEKDWIYGGGGLGELTAIGMGEMNELGRIIRQRYVNSLNFLKPKYASREVYVRSTDFNRTIISAMSLLYGVFPPSLYDIPNVDYPFTPFKWQPGLTFVPVHVDGPNQCAASQNCPCPRYDLLQARMLALPEVLPKFQQVVLLNRQVGPYYNMTSGIDTFYTYPDTWKCQRAYFNDTMYAKLPWYNEELYYKSQTVYAPVKGFLEGNFENSAISNGLDVALEMKKVRAGVIINELYTRASEKFDCADLGQNCTGYLKQLKFYGYSIHDNNVYAVLVALGIPHIADTIDGWPAYAAGIFLEFHRNTQTSARFFKVLYRSNADSGIADVTTQVPMCNGAALCPFSALQTLAETIRPLPDITTLCNTAL >CRE07669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:75656:84304:1 gene:WBGene00067197 transcript:CRE07669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07669 MRPSGSGGNYRPDPNRSVQGRPNQPPPHEACHFDTWERPSDYYAPTAPPEVTSDRLGYGPFLEKYHRQQADQERLLALNQVRQRNRVRPPPPPPVPVEISQPSRVRKTHLTSRTVYGNGWQRTESRTYVKLVRDQNQTVVKSPNPIPLISSATTSQRAVDYADFWGNGNDQEEGPSTSNAYRSSPPEPVERDYNPWGLGEEKKTPEISTRSVSIENNGFYQEPSSSNGHISVAPKPVEDSLETKAQVSNISSDSCVFSAAEQKSSSEPVVPDEECYDSDDSEENFWRTDRIHEVCSRENEPSTSTSHQPNSQSVIVDYDDFWGTTTENEEPPTKNDVHDIEISNLKEYYENWQSEDDDEIDKTSEHNQSFDSWDSDYDEQVERKKEKEKEKLNMKTEPSAPQKPSAPQSSNTSLIPQKVFISHEDFWGPAPTTTTTTSDDSWVTPTTSNPYDAVDTAVVQHVQNMRPRIIVGGAEKMPTFKLPTTVPEPKKPSPASSSSSNATKELESSMKKMDLKEPTTAKTPTPSQLAKPKVEKAPPTLPAKSKKPMAPTKIEKPYLVPSSVQKLAIRPMVKVVKTPPISFSLPKLAETPKTGMERLTSSSSKPDVQICQAPISSSQNPLKQSESNIDKPEIPISFIQNPFEPSSKKREIPTLSSQITSESSKSEIEKIQPPRSSNQMPSEPAKLEAVKHQLPTSSSQNPFEPSEPSRKAPAPTSSSQITPEPSKLEVEKIQPPRSPSPNPSETSTPETVKPQKLKASCQNPFDTSVPELKNAQPPATSSSQRTPNPSEPSRTEEPTISTSLNQKTPNPFEPKFEVSQIPTTSSQNPVSPPSPSPPFQSSLPEPIAETTIWSTPVPKRHNGPRLSVVSAEASTSYLKRDGSSKEEKAAKMVSPIEHLTRLYNLEDDQNVFSQFYTKSSPHQRSSRRSGTKTLKSYKHQDALEFAAMTYTWRDSSERNLPVLPSLPHIIMPLPSSYPGSDEICAFYRVLSEQEQKYILIACHVNVHGYHRGDLRFIEVTSRTRLRGVEGSNPIGNLFPGDIVAVTELVRSTNTQSFQSNISVSSVSQDTVCYWIASKIILYPRANQSSQVTFSFLKNRMAVVKGKDEPMLVTVDDWDAVRPDLIYAGQAFEPQKLEINFTEDYQKKKKNNITSKITKISSYPNSFGTIFEFKECKDKNKQFKIGINAFSEDTDLDLEKREEIVETCSLMGFSAANTLFNGRFDCRAFHMHDIYKSGSIVKFRIDNPPSQPTLGLWNNGNRVMFGGPLGDVNASIETVISDNEALFITARISRDCPKGMDFTEGDYFVSQREMTDHYFLHDGYFQVLEHDSNGRRIIETLYGGKPIEKLTEPVERKTLDYGIEYARPFDLNPSTSTSKPKKSSNSKHNGQYYFPSVPQKIALNKYQNEYVKMLLDGNPLIIGSSPFGCGKSMTIITAALEIYKRNCETNILQNQTQQLLITQSNYASVNLIEIAKRICSSGDSSLQYLQFVRYVSEKNWNELPDNARTDYDMPHLMNSTFAAWATGRIPENDRRLKRLQNNHFNHMLAYVLKNHVVSIHELSGTARKCYDRSGEFRTPFNTVVTEAFFSIYQPDLIMTTADSSKNLLTVLKEVCTVQIDEASQLPEYTLLGLLKTFPRANFGLIGDIHQLPPYCDDTLDGRLKDYGIGNTMERAIQENLFPTSVLRCVYRCHPKTTKLLSELFYDGVLISGVEEDQRKEFVTKRSDLWPNPEYPIMIIDNKGSSFKMGTSTGNDTEKTLVGKLVNDLTTHRKNPMNPLDIGVISFYAAQTSILTEHLRGSGVKCGTVDAFQGTEKEVIIMCCTNEIVSDFMQLSNRLNVAMSRAKQATIVVGNLDGLRKAKYWKTIVKRVEEHRNVVGLDGRCNLTRIAPPPTQSPPESIQMLDDDDSSSKKKSKKSLKINPNIVAQIENLRASSSGVPNGNIEVFQGNWDSETYTYTRPPK >CRE07720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:84517:85501:-1 gene:WBGene00067198 transcript:CRE07720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-psf-1 MNSNDQSRGGVADKALQLVLEMKRNPDMMPPYNTDLMRQCQQKIDELFQKNAAEVQKISAGLPHDPTLLHPRLGAMIHIRRCMLAYINERKNRIRSYRWRYGGALPVSVRNALCDAEIQFFNEYSGTLARFQQGLGEGGVNLLLHTAPPKTLFVQVRSLEDFGEFETMDGNLVQLTKDSLHSLPRQDCEMLIRQGVLELVH >CRE07670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:86247:87067:1 gene:WBGene00067199 transcript:CRE07670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07670 MSWFRPPPPHTQLRPWVPDAIFIPISRAVERVGVFFYNRVLNKTEIGLFDKRWNKNVHGPYCHWRYYGKLDTKLMDVKLGELPAWIARRDKTPSAFYNEFMRNVWRVHNLYYSGPVYNNTVKVIFRFIFAYSFLNWLVKSHRYVDFQKTMYHW >CRE07671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:87292:93208:1 gene:WBGene00067200 transcript:CRE07671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dtmk-1 MAAAQKRGVLIVFEGLDRSGKSTQAKRLVESLNKRAEGSAVLQAFPDRSSPIGKLIDQYLKKEIDLDEHSLHLMFSADRFLKNQMIRENIAKGIDVICDRYCYSGVAYSLAKGLPEQWVRSSDVGLPKPDAVLFFDVSPAVAAQRGGFGAERLETATMQQKVAAVMPTLRDDSFWKTVNADGDLDTVEKDVFRLYENLNREKPFESLEKI >CRE07721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:94024:102990:-1 gene:WBGene00067201 transcript:CRE07721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-43 description:CRE-EGL-43 protein [Source:UniProtKB/TrEMBL;Acc:E3MZT8] MSLDTDFLKNVEVREDDEHGTVLVAVTQISSGRNIGVIDKSTPNNSNEILLLNLIKEADVGEDANICMTQVEFKTHFKTSRIIDIGERLLLQRLSEEECDEEDQDEIENLLILKDDDRPDSTQSFNKSSSEENGLISGLEEYIREHGELAPGQTPPDGSHKCGVCPKSFTSASGLKQHSHIHCSLKPFRCHLCPKSYTQFSNLCRHRRVHSDGWTCPSCQTSMPSQAALTKHRPICEMAALYKPLMAQLAGLSTGGSANAMAAMPYWPHILQMASQVPHFPLAFLAANPEAYAKMMQQTTSCASPDAECSSSGHASESSPPSTTEPVDLTATPKPPSTSEMESKSDDGEDRDSIGDSGNDDDDDSEVGAHDELIATTTSNKKHANPHSISAILAASQQPQLGAQPLSPTQILAMLQRPFSYNPSAASGHPFLTAMGGVKASSSPNSSVNGAGKDRYTCKFCQKVFPRSANLTRHLRTHTGEQPYKCQYCERSFSISSNLQRHVRNIHNKERPFRCRKCERRFGQQTNLDRHLKKHEMCSEETCGGSSGDDDCEVATSLATSSFTDIPRLAAAFLNIV >CRE07722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:117519:119089:-1 gene:WBGene00067202 transcript:CRE07722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-8 MDHTYTIEFDQPNAIYVPGQLVRGTLTIYNTCALNALSLKICIHGEVETFWKKFASKDRVKKAYPNDNTHGGPKSHCYYYKYSDHTRYTSKLNVLTAVSQPWSSIQNPTNKIPIGANIFPFVFQLPVNCPPSFEGTHGSVRYHVHVELNRPWSLDVEAKRSFTVIPIIDLNMIPKVLNPMISNACRHSGFLSSKEVKIKFSIPKSGYTPGEVIPISIFIHNHAKKPIYYAKAKLMQHVHYQAQQENTHMLPDEHCHKHCEHKTAESVISEMEKSFEIKCCSEGEVQLALVLPNPLMPTFQTAILEVDYCIIVEVEEDKKLRCEFPVTIGTVPIGRVMGLARAPTYSIVEGDIPLLVEAPPEYQERVEVVMASAPPPE >CRE07723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:120867:122638:-1 gene:WBGene00067203 transcript:CRE07723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-3 MDRFEIQLDHPAATYFPGQRVTGTLIFNTKKSQNVEAINIRVNGEISAGWKHYNQLFQEHIMYASKMVNLDGLEQPVIEKNVIPQGDHSYPFSFPIPADCVPSFEGKNGAVRYKIHVEILRIKKSKMEVEKVFSVLPYVDLNNIPRAGLPLEDIMSWLPKKNTEVKVKFYIPKRGFVAGDTIPVSVDIENGSSSKIKEITMKLIQINHFEAQQQTSHTAKEFFRFNRKNIEEEISSIQKKCEVKEHLETLRFELPIPKETRPTFKTKLIHLAYKVAISVGNDKKLECELPIILGTVPVQPRPPSYSVAVGMSGPPCYEE >CRE07724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:123392:125528:-1 gene:WBGene00067205 transcript:CRE07724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-7 MLNFQTKISHENCYKIVIFCLFVVLSGCPNIPSSLSIHLHLCLSVCTTVCPNFVTFPLNFPFSALKMDDLRIEYDAPNGVYFPGQAVTGKVVIQNREWIKARFLKICIHGGAHTSWGDTERRHRMDEKGRSEHYNEHVTYNATVNYLSGESIAWKSTDGQNRLPAGTNVFPFAFSLPFNCPPSYEGCHGHIRYSVHVELDRPWKFNKKSKKCFSVVPAYDLNITPTAINPMVNTASKNTGLIFKKGMVSMTVSLPKRGYVSGEILPITINIDNGSKEPVVAVRSKMSQLSHFHASHGTSMHSTTHTHHRNDEKCVAESRRNVSVAPRSKSQVVLSMKIPSIVPSFQCPIINVDYCISVKLDTNATFGGTLKVEFPLIIGTIPIRQLAPTGAAAAPAVMPTVSPSAPPYPVIGGGVSGVSVYPTAPSAPPASPGPMGPPGGSGFGGIGAGAAVAAAGASAPPPSYEESMYGVGKVEDSEVSKDTGEIRKLKEFQPFAPRYPVYNNLPNEVPPSPVGQAPALPEKVGF >CRE07672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:126723:129036:1 gene:WBGene00067206 transcript:CRE07672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07672 MSGVENEKENKKQTKSVRKPVNNGSVRKTVSASKLVRSPKYKLKKKASNTENLQNSEQRSENCTLDEAEAADEKEEKTVSIRKAVSIRKTVSSKAIVQVPVPEAPPTHFLPVVIQIDATTWSKFMEHVDINRNLLITFVRRPLMSSFMPGDQITIVDGSPFWTLESLKDYIGDTLLRGRPTVAITVIRAWNLSCLSKEQIDKIAPLPEEKLHYFSVKIYCQNEDAGLMLCSDRKRVLVQHLRAKTPASHVFLVGDQILGVNEEIYETKDKRPLRKQIKKQIEQSAKKNGFAEIIACRQIGARSSPAPSLEAELAEKFPEYAIGVEKGINVEKKREDTVSLPLEADALEISMRELTWLKCYEGRDGFEIPICDRGEDMDLPFTQEEEIEQMELPGLPPQTSSTNVTPSSSITQLAEPTPVPLAKSGSNTSTLPTTTISEKLIDPAKQETSKSEPPVVKPENEKSVVGKVENKENPENKENVEKTDKELENSMDCLPIADTPRKRSLFKKEAEPGDRTVTIKDIPETNKITSDIPEEDEMKKCDARSGMIAYIKNIFK >CRE07725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:129639:134872:-1 gene:WBGene00067207 transcript:CRE07725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07725 MSKNNSEENKENKKIGKEKQIRSTAKPSKNVSVMEEIPKKLPPSEPDKKGLTSKFKLPPLPPKNTNLSLTYHSPTCGVRLPFAYHSLASGPSATARLPLAYSALPVRLKKKANRDQVTSEQVSETMDVTEVTEEDKTDSVALPLTVTTSVILEPYLQTPTVPQGKPPPTHFVPVTIRMDKGSWPKLNDSLDVNQNLVIISVKTKLMAEFMSGDLISDVNGVMMWDLEDWKNLIETTSAGTDDFAVTVIRVWNIRCWPRGLIDNSVKPLEEARQHFMVTMYSDSGTGGLKMGGTAKHITVQQVRANTPVSSVLIAGDEIHGINGEFLTGISKILMIKQAYKLIKMSIASKGSVEILASRRIAARTSPAPSLERELGETFPDYTLSAKKMKKQPTPDVVLNLPIAADALEIALRELTFLKQWVKPDVEEVRLEEIPYDKPDPIYDETTYTPSEQLTDSKSPVTETPTANTPAGPTPRDSIMMAPPVDTTVPTPVTKSSISVIPKPPNVPNAKTTKDASDKTVMAPPKSFGLDGSAKDGTSTEELPESDNVGGELRLDGGIKHTSITSDIGEEADLKDCNEKSKFVSFFKGKWPGVKPSSNAPSSETPPSKKKNE >CRE07674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:137768:139327:1 gene:WBGene00067208 transcript:CRE07674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-2 MFANLVPVPEVPPPMSISIRFANPSKKYFPGETVQGKVYLKANEPISARFLKIYWKGVAKCGWYGIESGANKEYVSNYVMAWISEDGKNEIPTGIYRYRFSFQIPADAPPSFSGTFGDIQYSLNVELNRPWRWNIETQEIFKVASKACLAEMAPEMMVPSRFVMHKNSGSIFKDGVFSIEINFPKRAYLAGETIKVSVTMENHSSKPITSMEFELIQQSHFHSRPQKTHCSLNDCLTDCPVPSKYSRDCESVLQTGFHHCYVAPHETKKVTVEVDLPWKLQATFESAMISMGYLVGFTVKNASWTNNKAVCNARIVIGNETNIDGEKGFKALEAPPPYSP >CRE07727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:145083:147393:-1 gene:WBGene00067209 transcript:CRE07727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07727 MSASSETTVTSVSSLGNDMAVDHEKEERRYGCLGGNRYIVLVIALFFLSFMLSSYVFFGFRLYFVFFQVLFSRIICYNASYVSMVNLHSSPYWDDFIKSNKSIDEINWNDPDLPLSQRRFAFDTAQKSLGFAAGFLGAIAAVVPMSRLTARFGCHKVMTLSGIFGTVLVFISPVVVSWSFPVFLVLRVLQGITLANLFTTAGVVVNEWAAVNEKGLFISVLSAHVEMGAVFTMPVSGALATSAGWPWVFYLHGAILGALTILWAVYYRDRAVKHPFVQRKEWRKISFGKRLNQVGKGANDTPIRKIVSSIVIWGVWIAVIGNFLVSQFSISYAPIYLRGVIGCTPTEAGLLTLIPMACLVSFFKLVIKFSTGFFSDRIKSISDLTKMKMFNSCALLGSSIFFIILACSSPGRNKVLDVILLSIPMALLGFSSGGYSKCAVMVSGQYSPFVMSIVQIIACSSLMAGSFLVPALTPTDSFSEWQRVFMVYGAVLAITNTIFIVFARAEPAKWAQQKGDLTLAEAVMGCEQKPVESVTDPESGLPRGI >CRE07728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:148231:151190:-1 gene:WBGene00067210 transcript:CRE07728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07728 MCGAQKNEHMLIGQPVNVDINLPPLQSYEKLARLHVPEEATLSFKISLITMKHNIQITIKTFDKMLSHVVFYAVATVGNLKENEEKKKSEPAKVEMQLHCALTLLLFLGTIWIPEARKLEKILKKVGRRYDQRVRDVFFPMNPNSPDEHSHNRTAKLVATVLQGQGSVKNEKVEWSGIVLSELVRAGKFKGGICGDKDMNYYQFISYLKRVRKNYLKNDEEPLSWKILPAQKDHLSFMVSFKTHSTWGYSATPTYLVQMELIVERGWGHFDIIGIEEVGACTEHGTVQMDQTDVGVNLSETEQKDILQFMRFLDYYDKMPEGVISSDWLMFIAKDEEFAGSVCIDGNQVELKREEMMNWWKNFVKMYHPPAGWKNYTTSNLIGSEEKKHTFRINMIVQIGDKESHPLETFDFKLLLNHNLGKFGNIDRFEVMCKPTPISEQEKMTNHAKAYKEVIGRRLQKIMKSPEMWYKSIDTLKDLASPKGYEILNCAGKRTALDEPKITTNDFAKVEHSFWEANKETNGRMEGYDLESPNSISIGIREEIKVEIWIVWTPFKENEAHESEWKFDLEWDKRLQFFYIKKVDFGCPKTGHATKYYNSLRKHLLCHSKLCNYNELEENGSIFKDI >CRE07676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:154567:156466:1 gene:WBGene00067211 transcript:CRE07676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-9 MQLTLTPDNIEFDGNQERFKPGEIVRGTVSLNAPRDFHVTAIICRFKGEARTRWNGMPRAGYWHYFDKEKYLFYALSQQTHMAAGSHRFNFTYRLPDNIPPSFWSRFGDIKYLVELSVVRPGKTTIRTQKVIKVIGGLDEFDWRDLQNRRSQRYSLRVNGSLFGNLGRIHYKSSLDHSYLIPGKEVNVESFIENQSSRTVEKVKVELWRKVQYFTSARFCHTTDVSKVLQVSSHRLTIQPGINRHVSHQLTLPNTIYSSFNSEIMTVSYFLCVIISTNGLLTPNVDFNMAIDIIDEAYIPTPVPQVSAPLEFPTVEDPRNIGQILNVAPTTLWAPRCRNNRRALQIYNPVFNAHEENEEPPTYAEIEDMEDPPPRYAEVNQARER >CRE07677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:158305:159458:1 gene:WBGene00067212 transcript:CRE07677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07677 MSLSLPIITFDQTDKPYYPGDNVTGVITINNENPLEARSIVVSWRGKSKVSGVNLFELPCVKYMFNKTQVTWVAKEAQNKIPAGNHKIKFSINLPKDCLPTFHGLLGKVDYKVKVVIDRPRKFDLKAEGEFQVTRKLELPMRMGINDWFFKTDLRSGLIFSNGPVTMKVTLNSLVFLPGQTIDLTFHVANNSSEEIRRIFAKFYKRTHYHARVQQSPCKEFYNHTCPLSCFQQANVRDKVRNAEKMKISLGPYSEQTYSMPFTFPDNETTPSFHSGLITHGYFMEFGFRSKNSVDKAMMCTLYMGEMGDEMLLGADKISEEKKPAVGAPPAYEE >CRE07678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:160443:161842:1 gene:WBGene00067213 transcript:CRE07678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07678 MSFFFKPTITFDENPKKTYLPGDRVSGVVTVDNAKPLKARSVIINWSGTTKTWGIKLLHPSCCKTLFKKSEMVWCAKDGENELPAGKHEYPFCFVLPMDCPPTFKGTNGENKYKVEVEIDRPWNIKNRVVERFIVNRDMEFVFANDFPWTYRCRFNSGIIFQSGPVIVKCHMAKQVFKPGDPVNLIFDITNNSGSRVKTLFAQLGKRTHYHSRSQPSACSFTRDSCPLSVDHQLLKKTYYGKPIQLPIDLAPHSEGTYNISFNLPVRATQPSFESGLMSFGYFFGIGIRVANTLSETVTFRVSIGDLDEERLAERNKEHEALGLEFPEAPPAYAP >CRE07679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:165600:167201:1 gene:WBGene00067214 transcript:CRE07679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07679 MAEPIITFDEPDKKFLPGDKVTGKVTLELAKPMKARSVQIYWMGFSRTWGVKFISYPNSKTIFYGTEMVWVAKDGKNELPAGKHEYPFSFTLPLDSPPTFVGLNGRNEYTVEVVIDRPWRLKKIVDKEFCVTKDMKHEFDIWETGYDWEFTRKIDSGLIFSSGPITLDVAIPKLAFEPGEKVNIEFKITNHSGSAVRQIFAEFNEHGHYHHRCQLTPCKDRFSCPLSIEEFKNARKEMASEKRKVYVAPHSESTFIMPFVIPPEAKTPNFKTGLMSMDYFFYVGIRVENKILVDGLVLGAYIGDRVAKKEEPSEVVREEKSKEQEVSETSAPPAYTP >CRE07680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:167676:169252:1 gene:WBGene00067215 transcript:CRE07680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07680 MVKPVITFDQEVYMPGDKVSGTVTLELTEPLKARSVFVNWWGYNRSVNVKFPSLKYHTWINDEKMLWVSKDGKTPIPAGKHVYSFSFVLPKDCPPTFKGSNGDTCYRAQAVIDIPWSLKTRVKEEFTVTKAVEEEIVSKSRNREKWFHDHLFSSGVFFSSGPIKLRIIMPHRACKFGEPLDLEFLITNNSGVAVKEIYADFFTRAHYHLCNQHTPCATFFRNNCPLNDDQQKNCSKRISTNKMKIRVEPHSEGTFTLPVVIPEKAKTASFATGLMTFGYSLLIGMKVENTLLRNEFFLTVIIGEIDDKKALEIKAKELEVSETAAPPAYEP >CRE07681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:171805:172974:1 gene:WBGene00067216 transcript:CRE07681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07681 MIEPVITFDKDVYQPGDKVSGKVTLEVTKPLKARSVMINWWGFSRTMGITLTQFSRRSFVLGTEIAWVSKGGEDKIPCGKHEYPFSFSLPKGVPPSYKSLFGKTKYRVKVVIDRPWKLKTKVKKEFTVATTADKEIVKKFRNGVKWFTNYTFNTGIIFSYGPVKLSVYQPQNLYTFGESMDLEYRITNHSNVAVSEIFMDFSSFGHYHARSQLTPCKSPGLSCPLALDQRYNQNTKIGGSKLKCRVEPHSEGTFTLPFVIPEKAKTPTFESGLMTCGYFMEFGFKLENSSVRNKTMPLYRAIGNLPEEKTEEIKTKKQEVSEAVAPPAYQP >CRE07682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:179009:180924:1 gene:WBGene00067217 transcript:CRE07682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-5 MATCLVEFDKSPTVFSPGDKVTGNVKMQIPEDEFKARKVRMEMIGKAYTYWEGNVKNNHKIKNGDIARVRHNCADHKGKIVYCRFETIVWKSDGSKNDMPSGQHIFPFSFQLPHWAPPSFEGDLGFIRYFIKVEIDRPWKFDDKFITCLTVLPSIDLSLIPNSLVPSKKHICEQFGVVLCKSGLVKMEVRLPKQGFVCGEGIPVKIVVDNKSSKTFSKISLKVLQRVTYTGFRDGFVNSQSHPCIGNEKEKVTYATRLNAQIRVEERKVLEEIVEIKISKNEKDEVDKSITIPPLPPSTKTCEIIDVEYFLKVKMSTLGTLKSAMKLELPFIIGTVPIDKDRKFDYKKSVFGYAATVLKNRDVQKFAPLYPVYKFE >CRE07729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:181140:184764:-1 gene:WBGene00067218 transcript:CRE07729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07729 MNTPPKPPQFYRTHLTKEQLLHLITTISNQFKKLHRGENAPKTRMVTTLAASARRDIVFEVFINLIKLYKSADRMVSIEGSQTNLKCLLVSAKRLSSFFDTTFTKLPQNQIEDVFDEFYISHNLTQNDVAVSATWRMISNVAISHHEHHLEVKKSCQEQKAFRESFLKTQTDFDIDFEDHEADQETQLLSIEDAVLIIQKLERKYQAISRRNYVRFVRERAAELHGQKVEIDATQAAIRIQARTRGYFARKWVREMRERELNILNMTPESYIRKRCAERERKQKESVVGGVTGVEKIEQVEDFPDDLETALELLKTNFFHYTPDPPEPLKQPKIPTQTNPDTVYRDACFRGIVSPLAQIELSELISQRELCKIDSRSFLFDFRSFLTLTVCIPLSLATWQKPKEQKVPNKWLLLSGAPDSGKTTWARAVARACHVTHVHVSKNVVNMGKRRIYSVIKKLAKQERRLLVSIDRIDVLKKDPKNKGYTHRQMLLRTFLSDLSNIRTATVIGMTKVTLEELDSSITDLFPLQAVLSNPRNATRYDLAVDCLSRNWEQDRLPKIPHRIFDIEKQTKGVSRGKAAKFVTNRWSAANNKTNLS >CRE07683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:188075:189872:1 gene:WBGene00067219 transcript:CRE07683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eyg-1 description:CRE-EYG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MZV8] MASQQSLSQEQLLHFWGAHNTMGKMTRRNSQHEPGKPPGNSNSGGAGTKPKVATPQVVAKIEQYKRDNPTIFAWEIREKLISEAVCTTPPSVSSINRILRTRAAERAAEELQMILSAQHLARPPQMRPQQVRFPPTFPFPLPFVFPGLLPNPAQLSFLINSGALSLPVQGAGGALIGSGGGSSGQVSVESNPSLSEDDSTLGANARRLSRSTFSNEQLQSLEEVFLREPYPSQTERADLVKRTGLPEARIQVWFSNRRAKWRKTAANDRDESRAERSETDDAMSNFSQSPSPGGQGSNSEDGGKKKTVTLFKPYE >CRE07730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:194078:196264:-1 gene:WBGene00067220 transcript:CRE07730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-13A6 description:CRE-CYP-13A6 protein [Source:UniProtKB/TrEMBL;Acc:E3MZW0] MILVLLTAILLGIFTYSVWVWSYFIRKGIKGPRGFPGIGMLIQTIDHENPPFLKYREWTKQYGPVWGFTEGPQQTMVISEPEMVNEIFNKQFDNFYGRKLRPIIGDPEKDKRVNLFAAQGKRWKRLRAMSSPSFSNNSLRKVKTTVQECGTEILWNIEKKVASGEDIDMLLFYQEYTLGVISRIALGQSESKMFQNPLLPKVKAVSYFLFSFISSHEGNFQIFNGSFHLFLLTGVFPPLAALIRKMSKSLPADFVPAFKIFDLIETAVEARIAQREADAKNGIEPGEPQDFIDLFLDARSDVDFLGEKNDDFSKSVAMKVNRELTFDEIVGQCFVFLAAGFDTTALSLSYATYLLATHPEIQKKLQEEVDRECPDPEISFDQLSKLRYMECVMKEALRLYPLGVTANTRKCMRETTIDGVTFEEGMNIQVDTWTLHHNPRVWGEDVEDFKPERWENSASEHLEHNGSYIPFGSGPRQCIGMRLAQMEQKILLAQILKEYSFRTTRNTQIPLKLVGKLTLSPESVIVKLEPRKDLL >CRE07731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:197121:198930:-1 gene:WBGene00067221 transcript:CRE07731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-13A8 description:CRE-CYP-13A8 protein [Source:UniProtKB/TrEMBL;Acc:E3MZW1] MLLLLVFIAISTYYIYHWTFWKRRGVPGPWGIPIFGKSGTMLDDRVAPPLVLQQWTQKYGKIYGFTEGMQKVMVISDPNLVQEVFVKQYDNFYGRKHNPVQGDPDKDKDIHIIGAQGFRWKRLRSITAPAFSNNSIKKVLSTMEDSSHEFMKHLEEKAGNGQPVNMHLFFQEYTMDVIMRIAMGQPDSRMFNNPILYDCKGFFENSRWPIWMFGGAFPFAVTALKYIFLKLGKFGAGPFIRIQKSVTDAVMARIAQREADKKNGIELGESQDFIDLFLDARVDNVEHFGETNDEFHKSTVYDNRQLTTAEIISQCFVFMVAGFDTTSISLSYVAYFLALHPEVQKKVQEEVDKECPDPEITFDQLSKLKYMENTIKEALRLFPMAAFANSRHCMRTTKIGDQLVEAGVDVQLDTWTLHHDKQIWGEDVEEFKPERWESPLNPHQAFLSFGAGPRQCLGMRLAYLEQKSVLVHMLRKYSFVPNMQTQVPMKLVGRATSRPESLILTLKARH >CRE07685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:202835:205047:1 gene:WBGene00067222 transcript:CRE07685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07685 MSFIILSSVLTGIIGLLSYFLYHWSYWIRHGISGPRGYPVVGVCNITYNGDYPPYLRIKEWGKVSYLSFEFFFKHYEFQIYGPIFGFTEGIKKTLVISDPEIVNEVFVKQFDNFYGRKLNALHGDPEKDKKASMFMAQGFRWKRLRTISSPTFSNNSLRKVTGTIEECSLELLRHIENQTSDGQQIDMLHFYQEFTLDVIGRIAMGQIGSQMFQNPLFPHVRSIFGESRQKIMMIGAVSPAMVDLLKWAIQKFGRFIPTKTDPSLIWKTVEKAVQKRIEERSKDENYPKEPQDFNDLFLDAKSDEVENDISSKDYSLKYSHAKISKRLTKDEIISQCTLFIIAGFDTTALSLSFTTYLLTNHPEVQRKLQAELDRECKDSEVTFDNLSKLKYLECVMKETLRLYPLASPANSRTCMNDTVIGDGIRVEKGVHVVANTWAIHTDPKIWGDNANEFKPERWESPPNSHQTFLSFGLGPRQCIGMRLAYMEEKMLLAHILRKYSFETGSKTELPMKLVGRATTQPTSVWMHLVARE >CRE07686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:207317:211102:1 gene:WBGene00067223 transcript:CRE07686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-13A5 description:CRE-CYP-13A5 protein [Source:UniProtKB/TrEMBL;Acc:E3MZW4] MSFSLLLITTFFVGFLSYYVWMWTYWMRKGVKGPRGYPFSGVTHLFRNYEYPAVRVWRDWTKEYGPVYGITEGVQKTLVVSDPEFVNEVFVKQFDNFYGRKLNPLQGDPNKNKRVHVFASQGHRWKRLRTLSSPTFSNKSLRNIMKTVEESVVELMRHLETGSAGGKVLNMLDYYQEFTLDVIGKIAMGQTDSMMFRNPMLPKVKSIFMEGRNYLFLITGVFPILAPLFRYVFSRFPTIQPAFDIMNIIEKAVKARVDQREADEKSGIEVSGEPQDFIDLFLDSRANVEFFEEEAKDEFAKVQITKVDKHLTFDEIIGQLFVFLIAGYDTTALSLSYSTFLLATHPEIQKKLQEEVDRECPDPEVSFDQLAKLKYMECVVKETLRFYPLASVVHNRKCMKTTTVCGMVIEEGTNVQVDTWTLHNDPKIWGDDVAEFKPERWESGDEQFFQKGGYLPFGLGPRICIGMRLALMEEKMLLAHILRKYTFETAATTEIPLTLVGRTTTAPRSVNLKLRPRHSD >CRE07687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:214487:218718:1 gene:WBGene00067224 transcript:CRE07687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-13A2 description:CRE-CYP-13A2 protein [Source:UniProtKB/TrEMBL;Acc:E3MZW5] MSLGFVITASCSIILGFVTYYLWIWTYWIRRGVNGPRGKPFVGVLDVLLDHEKPGLLQLSEWTKKYGKVYGITDGTQKTLIVSDPSMVYGIFVKAECADNFYGRKLNPLQGNPETDQRVHMLAAQGGRWKRLRTISSASFSNASLRRMMGTVEDSALELLRHIEEKTAGGKPIDMLKFYQEYTMDVISRVAMGQRDTQMFKNPLVDLVRKVFCGDRKNILLFCQVFPTFGYMIRDLTFKFSGKIPAFTLYTVVRDAVFARIAQRQRELEMGAELGESQDFIDLFLDARSETKEMGLTEEEEEKEFSKRGMKVTRVLSTDEVVGQCFLFLIGGFDTTALALSYVTYCLATNPEVQKKVQEEVDREFGDKDVEFDKLGRLKYMDCVLKETLRLYPLAAISNARKCMRTTTVNGMEIEAGTYVQVDTWSLHYDTSVWGEDAMEFKPERWTTDNPLEHKGAYLPFGLGVRQCIGMRLAQMEQKVLLTHILKKYSFETGPMTSIPLKLVGSATTSPENVYVHLTSRHL >CRE07733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:220757:221290:-1 gene:WBGene00067225 transcript:CRE07733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07733 MGSSSVALMIATSLMLLGVASTQELPQKSKDLEKLVEVLRCFKALKESGQRTYVSYVGSTMRLYDDDGREVSCDAEKQLEIRQRSDRRCIFSPIHCLFDRRLQTAKLLRNVGNKRLFGEKRRK >CRE07734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:227786:236853:-1 gene:WBGene00067227 transcript:CRE07734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07734 MGGFLSNLKPENSLEVLNASCGPVRGNIYRHDEKIINGYLGIPYAMALAKELRFTKPVAAEKWKEPRDCYKYGPGCPQSGDKISTLLPPGHRKFSEEHCLSLNVFTPSWKSEDFENGYPVMVYFHGGGFEIGFSSNFDDYSLSGTLPLKEVIVVTVNYRVGPLGFFTTCDDVALGNYGLWDMTLSLHWVQKHIKSFGGDPNCVTVFGSSAGAIGASYLALSPHSNKLFHRYMSMSGAAWWDTAIRPKKLEAEICERFAKHHGYSGNDSESLLSWYKDQPVIKFLETTGVETKASGMGHFTPVFDGDFFPKPFDQLQKMAPKLDVLVTVNEYEGLGFLGLFPNRTSDLVVIASVFGPDVVKNPEEVQRKVLDFYMKDVDQKDATAVDKRLIEFISDSYFNFPALETVRHATRAGNNAYLASFDYYNMDAKDPYADWFPFRAANHNSELKYMLGEGMGKFEPIEEEFKVMDMVQRLVTNFAKYGNPNGKPSEPQTWQKFSFDKPFSYYKIDYPKSEMKDNFQNGRLKIYDEINASGEKNRGILYSIQKEKEEKFYYLWTWTYWVRRGIPGPIGWPFIGVFLNSLDENFPGPLQVMEWTKKYGKIFGFTEGLMKTLVISDPDLVQEVFVKQYDNFYGRKRNPIQGNSEKEKRTNLFSAQGFRWKRLRTISSPTFSNNSLRKINSTVEDCALELMRHIEEQTSEGQQIDMLTFYQEFTLDVIGRIAMGQTDSQMFKNPMFPIIKKLFQGSYSRLFLIGGVLPPFLVQIVRQILLKTMKMGSFRKINEITMNAVNSRVKQREEDEKNGIEPGEPQDFIDLFLDAKAGDIEHFGEDNEDFTKSTSYTNRQLTTEEIVGQCTVFLIAGFDTTALSLSYSTYLLATHPEIQRKLQEEIDRECPDPEITFDQLSKLKYLECVMKETLRLYPLGTFANSRKCMRTTKLGNVEVEAGTMVQVDTWTLQKDQNIWGDDAEEFRPERWESGDEHFFQKGGYIPFGLGPRQCIGMRLAYMEEKMLLAHILRKYTFETGPKTEIPLKLVGAATTQPASVWMHLTPRN >CRE07735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:239146:241286:-1 gene:WBGene00067228 transcript:CRE07735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07735 MIIFLSITTAIIGIISFYLWTWTYWRRRGISGPAGYPFLGSALEMLDAQNPPYLQLREWTKEYGHVYGITEGLSKSLVISDPDLVQEVFVKQYDNFFGRKLNPIQGDPDKDKRIHLFAAQGHRWKRLRTISSPTFSNNSLRKLKTTVEDSALELLRHIEDKTAGGKPIDLLTFYQEFTLDVIGRIAMGQTDSQMFKNPMLPYVRAIFGEPRKAIFLSGTVAPFTGPILRKVIFSLPQVFKNPAVHIIRQTMEAVENRIAQRMADEKAGIEPGEPQDFIDLFLDAKSDDLEIENNEDFTKTGIKVTRQLTTDEIVGQCFVFLVAGFDTTALSLSYSSFLLATHPEIQKKLREEVDTVCRDPEITFDQLSKLKYLECVVKETLRLYPLGALANSRRCMRATKIGNYEIEEGIDIMCDTWTLHSDKKIWGEDAEEFKPERWESGDEHFFQKGGYIPFGLGPRQCIGMRLAYMEEKLLLCHILRKYTLETCQKTQIPLKLIGSRTTQPESVWLNLKPRDDN >CRE07736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:242512:246871:-1 gene:WBGene00067229 transcript:CRE07736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07736 MTYETISVRMNRSDRNIRWGFSVRQQADGLIIDRVEAESLSDKAGVKHNDKVDQINGRSTRGLDASTANRLIDDSFNEVRLSLQRFVTSHTCLPWTLNEKDNKMVVEDMKPGFGSGFGSGFGGNSSFNQNTFGSHFGTGSGVNRNITNTNTSSSNHQSSTFHSSSHNTSGNFGGAGGFSPSSHHNIPIRHSPAPPFSHNLASNNSYSSNIKKSTDNNILPGSATYNPGSGSNYNSTPAGFGASAGGYKGGYSNGAIPAPAPTQAPPSTYSYNNGATNQSYGQGAGGTPLNQLTFINTAPAPGGGIGAQGGLSPSKVFYHSPSGRNRNDLSPGASVHHLQYNSPMNLYSSEATAEQLYQQTGLTPEGPVPHDKTPAYLTSETRKLIEEEARGRFQRGKSPSSQSSCFKRIAHAVGADH >CRE07688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:250071:251173:1 gene:WBGene00067230 transcript:CRE07688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-10 description:CRE-AQP-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MZX0] MDPASSEYYFPLYSALGYFALVFGLGEVARILTAKYVSSRGNSQLFLYELIGTIQMCTCVYENGIIFKNYGFPAIFLCVGLLLTAGGIFNRGAWTNCAPIFEQFIFGNLGSTKFITILSAQLIGATFASKFAYLIWNLTAPYSSAHLENASNLDCVLQYKQTAGIVIAFEIVGAFVVRVVVAQLLARPALIRLIPFAISAYLTLALYIVGVPGLNPIVATARLYGCQGIDTSSFMILYWFCPVLGWLAGAYVVGQKGPAKKTSKEAKAEKKLKAAAKKAQ >CRE07689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:251634:252708:1 gene:WBGene00067231 transcript:CRE07689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07689 MDFLGEGLRPLYVCIVYTVFVFGVAEVSRRILDLLLKNEETIMFKLKCNEFISTISMCACHFSEAVLFKNYGYIAMFIAIIIDMTVCRHLNRGAAENPMLLLEEALYKTMRETDFTSVVLTQYMGAACAMAYGVFMWRFAFYSTGTVIETAGCLYLYKLPPPLVALCEFFSCFFLRLILGLFAAPQRQKYIPVVFAVFFVAGQYIIGVPGAHPMFGASRFTSCAFVQPDSFTIYCVNYLFATYAGWMAASLLCTTPLRSMWRLKLERETAEKEEAERLAAAASRNRGRRNNRRNY >CRE07695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:261333:262628:1 gene:WBGene00067232 transcript:CRE07695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07695 MIDDDDKVDIYGLLPEESWMAIVFLLFGTFFLAVFTGFCRKLFSYCTPKLRDFLYEVIAAFSYCACQYSQEHILKYLGYPGLFIAILIQTFIFQHLNKRHCENWLILMGEGHKNEFPISLIFSHAVGMLSFSYLLMLYKSLLPCQLEHLFFKNDTLQPIMIIVVLCEFLSGAALHILLRQFNGNDGATALVYAIVFTITRRAAGVPAAHPLFFFFRFFSCVLKMPVNEGVIALVVHVFLPYIGWIFVPWVSRSPSTLKSTWLLKYERKQEQAVRAQRALEEEQRNKHYNLRQRNNRGGGRNRGR >CRE07697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:265016:266172:1 gene:WBGene00067233 transcript:CRE07697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07697 MATRHLIKGNEKVIHFSDLATIESNEALSTFALHTTVVIVFLFGSRKVFFSDFSAKQLNFFRFFQLASWFRPSICDFLNEFIAMLAYCACFYSQGSSLSLKMYLFKISDVMLAHFGYTGLFFAIFCHMYICHSLNEGYGENMVILMDEGLKNTENDRYSSYLLSLIVPAHTATLIAYFLLLNLFDMIPTQPFCEISVLEPFVLVVIVCGFFCGAVLHAVLRRFHRDDKYHDVVSALIYAAVFTFSHAVIGIYSAHPFITLSRLLGCMKAININSWPIPFTIHMALPFLGWIFASKMLRTPPSKYESIWQQRYDEALEDEMNERIDLQIEQGNHGYNLRPRNNR >CRE07698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:266749:268137:1 gene:WBGene00067234 transcript:CRE07698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07698 MDEADEKFNVLEFAYNATVYAAGMRQEWKDTLVSCLVYNLILILAVLFFRKIAQFSMKRDYFYEIIAAFSFGVCHYTEELMFRAFGYYGMFPMVVINQVIFQKLNRRHGENAMIVAEEFVTGRVGDEDCLAVLSLQFAGALFCSFFFIVTAQDVFLKTKPLGCLFKYTKPLPIVMLCDFLGGLALRVLLELFQGRIISIAVIYAFLFTIGHAAIGVPVAHPVLSVAKAPECWTMVYELLPNLCLHIFSTLSGWLFLPYACQIKTTLRSMWAQKFEKDEVKRIAREKAEKQEQDAKLKKALKAEQQAIDAENRRRNQELRSRNSRRK >CRE07700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:270181:271773:1 gene:WBGene00067235 transcript:CRE07700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07700 MTTGSPDQGDLYQKTIQPLILAAIYLGLLNFAAFFSKMIAEKLVRREGLQRTFLIQFIATIQICAGFYEEPVLKSVGDLGFFVFNFLNFYTAGVVPNSLSAIERFTVKRKGNGEKMLTFFFAQVIGALIAPYMAVFIWSVMAGQTNRPELVGTHAKLLGTGLCHWKFESFYGPLLTQFVIAAVMRFLLTSKGIRRRSLAVPLVYAAYFTFARTFIGFPGFDPIHSLARVSHCWLRREIFVKWTLCYVFAPICGWLLFSGSSKHVENAPNETKRPNRRLRPLVDPRPPFKSVEVAKSQEAPVGKVAKEAEEEKVAIVRQNRVTRQDREPQRKFSNHQGRNHKKP >CRE07701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:273831:274958:1 gene:WBGene00067236 transcript:CRE07701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07701 MTYSGIELDVLFARLDKPTIPENIDLSDDSLLVNLDESSIRSLNGIRVAEQLLKLVPNRDTFCQSLRAIKLWAKNHGVYSNAMGFFGGITWAILVAKTCQLYPNAAPSKIIQKVFFVFSTWKWPSPVVLKQFGCVDSKLANLKSTLHIIQEEMKSALEICDQIQKGNATWNDLFEEINFFSRYKHFVALTINEDTNIGFFESKIRQLVQIIERNSQVKTAHINPRKLKNIRNESTWFLGLEFTENAKNLDLTSEIEGFKRNMARQQSKGIVGQIQLDATYVKRSDLIKFISLGDLKRGRFVKSKTAANRKRPASSGDSTETKKPRVIDMSAVDSMLLKLKSNH >CRE07737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:275228:276334:-1 gene:WBGene00067237 transcript:CRE07737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07737 MCSTIWLEVTVYAEIIAFVLSVTLNLILQCLIYEMSKKTFGNYKYLMFSFSVLGIYYSCCDYWSKPNVHISEVSFAIFNVRKVTGFNKYLGVTALSIYCGCYGMMLVLLTTHFYYRFLSVTIPSKLSRFAVRNFPIWALLGLMSYLIWFGLTYFVNGSSSMKDQVLIPEFERDYCMQPDEFSYVGIQYYYTDRLTGETKMHIPSLFSSGVYACFMTLTSGFMTYFGVKTYAHLNKLTTMTSLDFRELQNQLFRTLVIQTLIPWVFMYLPVFCTFSFPLFGLTSESLRNFLPISVALYLCFEPLVAMYFIKIFRKRIIGIQDFLGSKLLIVDFQKL >CRE05182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:808:3167:-1 gene:WBGene00067238 transcript:CRE05182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05182 MAGTADVQEHVAKPRRPNICRVLRRTGYGKCLMCSLLLALCFFYATYCHVKHEAYSGSQPLLIYQHGPCAQGYNFVPIVFGLMLYIIYLMECWHSRTKVISMKKIRVEDALNYIAVLRTSPPIVWWKSVCYHYTRKTRQVTRYRNGDAVSATQVYYERVNSHQAGSMFIYDTCGFRDISKSILDVEKFHVTRVRLTRSFVFANMQAATEFEQQRSRFFNDNETKDDYMEVREGMDLTDVGFVEEILVFNRPTPPWFLHPIVFWFFSIFVLSWPLRIYTEWRTAVLSFQVVKLFGTHYLSPNSINYTGPLTRTSTMDTVELEALLRREQHFVVPSYSEVMLLQNTIANSNTNYPNIRLLDSVVLPRQLVNATNEHMVLRNYGAIETENSLTEPTTTGARSLLRVSQSMTFAAQRNDENLSVLENGRDRAIPQSRRGLPLRSLSIGGLSAWTNGYMEMSSNMENSQLLTEPDEPPPPYEVALQMCAPLYERLRRSISSRLASISQSSSKDFKSLTLKNFHATSTNNNNSSVNNNRNNNNEDRGQP >CRE05183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:5794:13542:-1 gene:WBGene00067239 transcript:CRE05183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-23 description:Receptor protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3NAV2] MYRGCRRVYGNLEITWIEANEIQKWRNSTNQTVDADVDYLKTVNFFDHLEEIRGSLIIYRANIQKISFPKLRVIYGDEVFHDNSLYIHQNEKVNELVMKELRVIRNGSVTIQNNPRMCFLATKVDWNEILYDSSRQKVETTNSHKACWKNGELIASCHESCKDKCWGKGDNDCQKIYRSVCPKPCSQCFYSNNTQSYECCDSSCLGGCTNHGPDSCIACSKYEMDEMCIDTCPARKIFNHKTGRLVPNPDGRYQNGNHCVKECPPELLIENDVCVRHCSEGHHYDATKDARECEKCPSSGCPKICTVDGPLNNKTLITLKGCEQIDGHLMIENKFEYEELKVLETVKIVSEYITIVKQDFFDLKFLKNLQIIEGRKLQNMKWALAIYLCDNLAELNLNSLKLIRTGSVLIKDNHRLCYVGTVDWESIIQSKGDQGKLNLKADGNRDHKLCIQEEEICDPNCNSRGCWGRQPEDCLECRTWNNMGTCVSKCDAIGYLRNQTSMKCQKCSPECETCNGLGEFDCLSCRHYTLYNPDFGNRMECVSDCPINTHFPTTKNVCEKCHPTCYENGCTGSDSNLGLGGCNKCKYAVKYLNGTVQCLKATGTNSVCTENHLEHFHIHPMDIDGVTDSHCEECHPFCTTCSTYGRNKNGNGCVCKQFEYHPNDAEEICVDKCPINTFLIPDGNESGYGICKKCHEECDQNLPCVNELATGCKKCKSYNVFNNDVVECVSECPKNLPFTNEANGECLSYDIAGRRKKTRMIIIGTVLIGFAVMFLFIMLIYWRCQKIGKKLKIAEMVDMPELTPIDASVRPNMSRICLIPSSELQIKLDKKLGSGAFGTVFAGIYYPKRAKNVKIPVAIKIFQTDQSQTDEMIEEATNMFRLKHENLLKIIGFCMHDDGLKIVTIYRPLGNLQSFLKLHKENLGAREQMLYCYQIASGMRYLYHQRVVHRDLATRNVLVKKFNHVEITDFGLSKILKHDADSITIKSGKVAIKWLAIEIFSKHCYTHASDVWAFGVTCWEIITFGQSPYQGMSTDSIHNFLKDGNRLAQPPNSTPDLYQELLRCWMADPKSRPDFDTLYQRFKEFCKVPQLFLENSNNISETDLTAEEKFQTEQLREIFDGNIDPQTYFDQASMPSSPTSMATFTMPNGDLISRMQSVNSSRYKTEPFDYGSTPEDNSYLIPKTKEVQQSSVLYTAVTMEDGQTELSPSNGDYYNQPNDSNSPSTSNAYYNQPNIDNNKNEATEKNEDTVEYQNETIAQKETCL >CRE05170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:18484:32186:1 gene:WBGene00067241 transcript:CRE05170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-4 description:CRE-TWK-4 protein [Source:UniProtKB/TrEMBL;Acc:E3NAV3] MHPRGKFLAGHLSAFYITPATTAALISRNHNDWAHLQLLRRTPSSDAGNMNQVHFGTASARRRNAMILENNSGDGDDDDAPKEGFVFLLFFIVPIVSFVGQTIHFSSNFELSFLSGFLANLRLALFHLVLIFATVAYIIAGAYLFTKIEHQAELDRYQSYHTIYRGFVENLFYQSSNRSSTDVENLIDTFTLINFRAFKEGLKPTDFLVPQETSRWSMISAIFFTTTVLTSIGYGNLIPISTGGKIFCVGYAIFGIPLTLVTIADLAKFVADMLIMDPTEDPKTGRQLLVLVFLLGYMTISACVYTVLEPMWSFLDSFYFCLVSLLTVGFGDLYPTGTVEYMLCSIVFIFIGLILTTLGMISFGIKIKICFSAVDVSGSVGIAKMHSIGRGFDAMKMLNALRVRKKQRLLLIRVSDSNYDENTKLVVTSFYGPRRQEDANNNSTSSPSTTCTSFHNISTHFVNITPDDVIKFFDEHPTQSYIFSKGPEPIRHVLIPLFVRNERYVPHQIHQYYDINHLYYQNVDEDQMEEHESEQNLDDVEIAKSILELGDENLQKLQRTVQIDYRPAPILEEHNLGIDGDNVVCRARGLPWQASDQHVAQFFAGLDIVPGGIALCLSSEGRRNGEVLVQFATQESRDLALKRHRNFLLSRYIEVYKAGLDEFMHVATGSSIEAMEFVSANAVIVRMRGLPYDCTDTQIRAFFEPLKLTDKILFITRTDGRPTGDAFVQFETEEDAQKGLLKHRQIIGQRYIELFKSTAAEVQQVVKRCNLINSSPAVTNAVEAPEEKKKDCVRLRGLPYEATVQHIVTFLGDFAQMVKFQGVHMVYNNQGNPSGEAFIQLISEAAAAATAAGVHNNFMCVGKKKRYIEVFQSSAEELNLQHLVHQQPQLPPQPALGFLGHLPPPAQVPQPQQIWSSYPSPPISPIVPGQVTQLIIYGIHMSIGVPELVANFTTPEHTVDNVLFTRWPTHLCPGEAIITLRSRVAPPPQTAQTSPLSQVSQISAYSHHHHPTGLPHNFPLQPILME >CRE05184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:32930:35806:-1 gene:WBGene00067242 transcript:CRE05184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05184 MEPNTMLAYVTAARGLYMKNKSSIEAFATVTLQGKGSLRSRCETDHTQTEGDCRWDECFEYKLSDKFNTVVVSVYAKAKIGSGDVIGRCELDLENARALHGQTNWLRLKKRTSDEKYRGEVQVRFDFNYEKPSMSVSSLSLNQLGNEAKEEKSGGGGLMSKMKRKITQVKNGHKKAEDTMSMASAWSATSKVSTKSNKSKIFGKIGSKLGIGKDKEKAGTLPTGTFMTPMDNHLDTTNHGLYETAHEGGLASRNSSFNVFDNVHNNSSRPPSAFSGSLNPFSDSMSPEAPPLSSQTVNGRRQSNTPLSTGGGAPRFGGGIGAITGAATLPHAMTSPVMSQHHNDMFRRSGSIRSTASSGFGGSTKNQQNRIIDPTNSDDLLAVIDSLKLELQVKDSRMKDMQGYMDLLISRVMERNPELLAAQNATQKQPRMRFF >CRE05185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:37884:45348:-1 gene:WBGene00067243 transcript:CRE05185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05185 MPSFFVKTTGKKKVQGGRKRKQIGGKSDGKSDELAAKMTRRQKLMAATEIHSDEEDPFARSASGSEAGSDVEYEDVHERNYREAKNLLEKIQSSVATEEEVSEKLRDDALAKTGTLMKRVADVVELEDDLEISYKGHRLTPLCVAFSPDANYVISSGKESSIVKYNVKTRKVVGVIKRTKKRAGAPEEPTHAHYGVIYAVAVSPDQKFIASGGYDQIVKIWNFDSLDHIKDLSGHRGPIFSLAFQLRTNNLFSSSQDRSVKMWDIDQLGLVDTMYGHQDGVQQIGVLSKQRVATVGGRDRTARLWKVEDESQLMFTGLSNCVSLDCVAMINEEHFATGSADGSIALWSFWKKRPIHVRKQAHGQETSGNGRWIVALAVLPYSAVQTIENSVEKLRFRPENGRFLRTVSITDLLASGSNEGELKLWKIAENFRTLTPFFSYTIPGFINSINFAPNGKSIVVGAGKEHKDGRWWVDREARNQVVILPIRYTDESDDVVTNGEMASGTNSMSDGVKGIESGDSDDDEVVSETDYEEDGEKEV >CRE05186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:45557:49103:-1 gene:WBGene00067245 transcript:CRE05186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-thoc-1 description:CRE-THOC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NAV6] MDEAIATRNFDDLRELFKAEKKLVKDDEFVLIKIDGLLKKRGYKIIELPSEQKEDFARQHYHFVMSCMKLGYCSKSTPILTLQDLLEMSSIEECKQLFGLVEENMNEFKQPGFIETYQNTILRLCNDLLRRLSRTAETSFCGRIMFFLSRFLPLTEKSGVNFMGHFNTLNITNYEETETTAESLLTAASSRRPTPVDGEEMETGELEEDQAKEINVTSEMYRQFWSLQKFMSNPNLLYEKDKFAMFKKDLSAVLSLMTANKLEKLNQEEESEYTENKQKKVSNDVFFTKYLTSPKLLALQLNDSSFRRYFLIQTIIIFQYLNAESRFKPPAKKMVLNEEQAKYVTDHEDKCYRLLSDTMPRGAVFVAGLKKIIQREQEWNTWKNSNCPDLSEKADKGAMQMYKKRQRIPFNPQSLDLGTPELTKLWTNEPDVLQACKSDKRKFIPTLANFIRDPLDEMDPEQQVEEQYKSINDISFQWRAARLLMHKSPGYVSRTDIKSEPNSNMRDYLERNIYNAAKTIDEFKDGIAERERKEAESRKEKEAEARRKVEESLKRKLDTKTVKKTDVVSSPNPYTDSPLSDENLHKLAQDLSKFSRKLAKLLGVDDTKVQKSMTNSEEKEFCFRILKEWAEKNSRSGRSLLNLLIGDLDLIEVAQVTMKVLKLCNLTEINYNYFQKIHESNA >CRE05171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:49801:50700:1 gene:WBGene00067246 transcript:CRE05171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05171 MASQAVKFAYNGTIASTLRKFAWTNLWGGREYGLQFHDTYFEPAPEVTEALRRLNLQEPHVFDQRKIRLSRAHTLALHGEKLPKAEWTQWSQESWYLKPYLDEIEAEKKARAESSGLIPPYEMKQH >CRE05173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:69852:71462:1 gene:WBGene00067247 transcript:CRE05173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-11 description:CRE-NLP-11 protein [Source:UniProtKB/TrEMBL;Acc:E3NAV9] MNTLVLLAVGVAVVFAAPKSAIPGVPASVNDADYVAAVYGYEAPSPLFKNAPLLQSKRHISPSYDVEIDAGNMRNLLDVGKRSAPMAADYGNQFQMYNRLIDAGKKKRSSMISPSYQFEDALGLSDALERAGRR >CRE05174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:74477:75011:1 gene:WBGene00067248 transcript:CRE05174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05174 MAKEEKTEDIEEIQTKMMSEYFRSKAREHKKLKKVMDKVEKNKKSVVETRQPFQPVTSPTADPCDVLHSIETKLMDNQNDSELIGIMDFLIPVVIGMFTSSPHWLVRDKAIDCLRSINRKMGRSLIDKHLNTVSKKQKVVLENLL >CRE05175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:75460:77425:1 gene:WBGene00067249 transcript:CRE05175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-2 description:CRE-CUTL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NAT5] MILFLLIICGISLNFSQCDIIALVSGEPQIRCAPTGITVTIETDSPFKGALFLRGSADKRSCKADFSAQPSQNISFEFGFDDCPSRRKRQIVAPRGMTMSSVLVVSYHGSIITHRDVAYQIDCFYREENSKVETMLAVNAPQPRILSDEPKLPTCDYRVEVTGGKALAGGVVTSSLSESSQVANVGDSVIHIWTCSGDAPSDVYCIQVYSCTAEDGGADTVKVVDENGCTTDGELLSPIKYKEGSMRAAASSHAFKFVDNHIVYFKCNIRITVKNPAGECPVSDINFFMRCIVYFQINNCSPGGSNGSVSRKTRSSNMFDHPFILFNRKSKVNQHPEIFAEYNILVTSGEILVEEEHAKNHILSTYRPDKEFLFSHKKGRYLPIVNRGKKNWSLVIPFINLVLTILLL >CRE05176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:88087:91205:1 gene:WBGene00067250 transcript:CRE05176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mab-3 description:CRE-MAB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NAT6] MLTEDSVPEICKATGVDVLAEQEKNYYCQRCLNHGEYKPRKGHKPDCRYLQCPCAECTMVERRRQLNNMLSKKKVHCAPNTQTRDGKRVRDPHCARCSAHGVLVPLRGHKRTMCQFVTCTCTLCALVENRRMLMAAQIKLRRSQQKTRDGKEPKIRRCRKIKDMNIENLNTLMMVVTTTTEDQKRTGTSTSPSPSSTTDTMSPSQSVSPPSSPSPSVHSIHQNTPILAAPIPVYPPISMPNLQQLLQQQILLTYLQNIAPSPPPQQAMPFSTLAPSNFVMSEQSLALCNMYLQGCLKI >CRE05188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:94073:98823:-1 gene:WBGene00067251 transcript:CRE05188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05188 MATSSSAFDDEFPMEEGMPELLDDEDVPSTLPSLVEQNVDTAPKGADEFKLVKRKRKAENAVDVVMEDVSQPDDSSTPAETTEGEPKSAKRSKGAKGEFRVVAVPKHRYTPLKDNWVNIFTPIVKNLGLQIRFNLKKRQVELRNPADREETTDLQKATDFVRAFILGFEVNDAIALIRLDHLFLETFEIADVKHSLKGDHVSRAIGRIAGKDGRTKLVIENTTKTRIVVANTKIHILGAYQNLKLARNAVCSLILGSNPSKVYGNLRNMASRGAERL >CRE05189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:99078:102781:-1 gene:WBGene00067252 transcript:CRE05189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05189 MTEPIKEIAAARSVEAVYTGGTVRWSANGQKLFSTCTNVVRVIDLEDNSARFVKIDKFLPKTEFFSYTIGDSEDELRITCTAVDKNRNRLLVAYNNQVIREYTIPLDPSTTKPELARTWKTMHTAPILVLEFNEEGNLLATGSADHVVKVWNLVEQQCTHTLKGPSVVSAVVFGKNEKLVVGYLEGQLHLYNIMKGAPKKHVNTWNSHNSHITALLQVPDSRVVIALSRDQTMSIHETETQETLRVLPLYESIESGAIGHNGNLFTVGEEGVVKEWIIETAKLIRKKRISSAQIDDISYDVVSNRFLAVSAEQNLIILDFENLKITRQIVGFHDEIYSCCLLDKNEGFMAVGSNTSEIRLYNTKTLDCQLIRGHTESVLSVVSPSWDTTLLASCSKDNSIIIWRLVTTSEDSATLIPLLAATGHANTVTALAISNSAKAPFLASVSSDCTIKLWGLGDLGKMKDVKIDAERDLVEQLPKLTCSSTMVAHGKDVNCIDISESDALIATGGMDKLVKLWQIDTHKMQLGIGGTLSGHRRGVGDVKFAKHSHKLASCSGDMTIRIWNIAEKSCLQTISGHSCAVFRVIFARNDTQLVSADSAGIIKIWTIKTADCESTIEGHTDKIWSLSKNRDESEFVTAGTDGRIVVWKDVTEEKQKIEDEKRREAIEQDQTLTNLLSQKRYSDALIFALTLAKPFCAFKVINALMSEDSPEELSSSIRRLDMRQIEVLLQFCVKWNTNSRSSPVAQRVFYEIIHIIEPDRLVSMPGAYGYIESLLPYTQRHMDRLDRAKQDVSLFDFVWRQMRSAV >CRE05178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:106330:107754:1 gene:WBGene00067253 transcript:CRE05178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nos-3 description:CRE-NOS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NAU0] MFQQMNQYGMVPHGAPPPHAAMMNQMNAMNQQQPQQQHQQQYPPQFVQMGPGAGVPPHMPPHQLAQHMQQQRRQGNVEPLMSAAPYPMPPMGGPGQGRGAGVFQQPIGYFNAPIPRGGGAGRGGRGGGRGGNSNYQHSRQNSNQSWHSSQNQGPRGNNFETSHYQPTPDQQVEEITNRIEHLQPVSSRTGSPNKQMLQLVDSKVEAGDGGAQDQPNSNENSRSSTPRHRKKKDLRQKLEANEEEKKQPVEEPQKEQTPPPVEPVPAPVVEAAVEAVEQQEPTTGFHCFRCAYYDMSKEEIASHNIRKENGDLWCEHMKKIACVHCDAIGEKGHHPLVCPKKKEEERIEKSRESSQNRVATPQTKSKDPQEINDDSDLVGDAETSTTEPSTTQHHPKSRQNSENRSERGYHRGGYNSSYRGRSSGGNGARGGGRGYSRGGRGSYEVCLDNFLLEIMYFWL >CRE05179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:115435:116859:1 gene:WBGene00067254 transcript:CRE05179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05179 MPVHLHTSYLISLLILLLVSLNIQQSLTKMIQGPPVPKRPNLYRWLAQNSYICDPTLLNKRSHRFLTPRESSTLRCSCGDPLVTKKACGRKNRRTKEKPSCFRLPEPCFREYAVIFANGGNRLTKPLPHRTKPKPPKTKTLIVKKKVARKRTPRIVTTIPVTAFLRVRKAKIP >CRE05180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:122994:125807:1 gene:WBGene00067255 transcript:CRE05180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05180 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3NAU3] MEFYLCSVWLYIIYFVRKNAFPENIMRATFAQVQTHYVNHTSSNGVQQLVPKTGYVDGMNVLGRLLCPSSLNYVIPGIIVFCIVMGLVISKIGNEAKPLANLFHALDVVITRMVMIIMWLGPIGIPSLIAQKMLEVSDLWQTARMLGLFVFTVILGLAIQAFITLPLIYFIGTRHNPYKFLKGLGQAIMTALGTSSSAASLPVTFRCLNKLGIDPRVTKFVLPVGAMVNMDGTALYEATASIFIAQMNGLELSIGQIVTVSITATLASIGAASIPSAGLVTMLIVLTALGLPANDISLILAVDWFLDRLRTSVNVIGDALGCGFVHYICAEHLNADVAEAEKNHAVVEAHGVNFDELEKECEQENNNQQKQKTVEHV >CRE05191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:126623:129848:-1 gene:WBGene00067256 transcript:CRE05191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wee-1.3 description:CRE-WEE-1.3 protein [Source:UniProtKB/TrEMBL;Acc:E3NAU4] MDETEENTSIDSIEVGPASPHVLVTPRIPIPVMMRETPLSTKRERQAITPRFRRPAPRMIKTVPQTRSIWAVAKDTTPRLVTPHGPKPLESPKYDRNNSQTFFEQVFQIDEIIGRGSFGEVFRARCREDSQMYAIKVSIAPMRQHSISKYREAESHMIIPPHKNLVKFYRAWEETDHLYIQTELCDQSLQQYCTVNHALPENDIWNIFVDLLEAVHHLHTNDMIHDDIKPENIFLTKHKICKLGDFGLVINLKNPNDVKSAEEGDSKYLAPEVLNGKPTFASDIFSLGVTILEAATDLDVPSSGDSWHQIRNGEIPERFFVGISTDLRVLIEQMIDKDPKKRPTSRVLRQHQSIRKRREKRSWFIMSMDLIDNFTSFMSSILVWCMAFLSVVFHPIACFSEAIKNRRSEICAQFVNNQQHTPIHTPETSKAYSESLTGVALRQQATAPVSPFDFSDDENPPHSQRRPLTAVSRRLNFDENLDDDDDEQATCSSSNSSAIESVDDSISPKRNPVIPVRGTPKSARRLLSSYRSQNVPPHAGSGDGFNNNSLREKERTERYLRMFQAEKHLDWNDHSNMIDEAPPPMSCPPRIRRSVRDTRVPTLNFNLLDEPKNTEENVSIVSPKNSPAGIRQRPLRIGGKSLRSRLMSFQGSSGDEI >CRE05192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:132060:135183:-1 gene:WBGene00067257 transcript:CRE05192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mop-25.2 description:CRE-MOP-25.2 protein [Source:UniProtKB/TrEMBL;Acc:E3NAU5] MLKPLFGKADKTPADVVKNLRDALLVIDRQSSNQNERKVEKAIEETAKMLALAKTFIYGSDANEPNNEQVTQLAQEVYNANILPMLIKHLHKFEFECKKDVASVFNNLLRRQIGTRSPTVEYLAARPEILITLLLGYEQPDIALTCGSMLREAVRHEHLARIVLYSEYFQRFFVFVQSDVFDIATDAFSTFKDLMTKHKNMCAEYLDNNYDRFFTAYAALTNSENYVTRRQSLKLLGELLLDRHNFSTMNKYITSPENLKTVMELLRDKRRNIQYEAFHVFKIFVANPNKPRPITDILNRNRDKLVEFLTAFHNDRTNDEQFNDEKAYLIKQIQELRV >CRE05193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:135962:139907:-1 gene:WBGene00067258 transcript:CRE05193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05193 MEPTYYGHETENTRQRSNSNAGGVGDEQNPQFGMQAQLGKMMWEAGSKQVQDTFQSYGRIDLFRPYFDVDPAEVRTRLIRSFIPRKPAQIQVSPDLYGPSMIILTMVALLLYNMKTSGIIIQNGTLMGTAMFTCFGSWILISGALWVACFLLAAEQPFLTILSSFGYSLTSQCLVLLLTSLFHSSHDHLFFFVLLASFCIPSALRMGLIVCNQSRLPANRIMLIGIAIAAHLLLIIYLHFGFHVVLEELDEMVGDAIVDVNAIKETRSTHMSVISEHQVQLSSFDPIEKCVKSTCPGCKSSRKYFCYDCRTPMPGVFTPNVKLPCLVDIIKHPMEKNSKSSALHCKIVAPDQTRVFDYPDVHDYSQDSEEERLSTVIIFPSKSAISVEEFVRTRGPIRRIVVLDCTWFQVGVMQKTPQIQGLQHVCLQSYRTAFWRPQHNVPETGLATIEAIYYSLREYQELGLGTKYTGEFDDLLYWFFHTKHFVDGKQLEYLKRKEEENEKK >CRE05181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:142463:145539:1 gene:WBGene00067259 transcript:CRE05181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05181 MSLSKILSVTRNFTNGPRLPTKLLHAKPGFVPKYVSADEALAMVESNNDVYVGNHASAPNVLLEALCRRVDSAGLNNIRMSHLILSGNCPQFDPKYHGKILNNSLFICPGNRKNVNLGAADYTPIFLSEVPSLYTSGALNVDVALITVSPPDELGFCTLGVDIDCTLAAATSAKKIIALVNSTMPRTRGHTTVHSSHFTAMVQTDRPIAFRQGNEEMSETEQRIGKIIAENLVDNGATLQLGIGAIPDSALAAMKQHKDLGVHTEMFSDGVTDLIDRGIINNQKKAFMPGKTVSSFAFGTKEFYKKIDNNPEFYFAPCDFTNHIDIVRRNSKMTSINSAIEIDLTGQIVSDSIGRNFFSGFGGQVDFMAASPHGFDGLGKAIIALPSRTTKGQTKIVPFLTQGSGVVTTRAHARYIVTEHGIANLWGKSIRQRAYELIQISHPDDRETLEKAAFERFKVMPSA >CRE05194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:146428:149238:-1 gene:WBGene00067260 transcript:CRE05194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05194 MSTSSGATTIPQENGGGAGAVSNTNNQEEIDINLEDPQVQDAAKKIQNVFRGKRFGAKAAAPVVAAADKQ >CRE05195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:155065:156717:-1 gene:WBGene00067261 transcript:CRE05195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05195 MALEIVFYTSCMVFSSIGIYLNLCYQRATHRSTAYPRYCGKNLRFLSIAHIVLACSNILATAACWMSRVNRTKKAESFFSTFLSLSRAIPQVTILWTTLERVMFKMSPVIRESPKFWHTALFRVVQIMASLITEVCIRTAFMNSEEQSKISLANAALEVANTEELAIKSTLTTNYMFDYYHGGVLICCLVVALVMFNSARASHHRAGLLGGLRNDQKIQNLGGQMKFFKRSYIPLYFACLSDYFIQLIIKRGLDLEDSLIDQLYLNARCYLPIVYPLALIALNKNLRADYFANFILCRKARNLHKESKVKVIATRESQESIIANLKMIGILSDVDTGQNGPSAAGLAMMGMGGAMPTRPVSGMGQRVSIAKDPTSITSVVSMPKTSTASMGGGMMMFHTRPKNSVPSVGSVG >CRE07009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:151076:155429:-1 gene:WBGene00067262 transcript:CRE07009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07009 MHFLLKIREFFVSQKKNKTDFLALKSGISWFKDLLPISERVSISWPVTEQFLEHTVAPFISYWPSGRIEDVSLSAQVVGVDTTYGFPRTCDQTPAVRIFPVDIYGLTPESAENKTIHLKAKCFEATITVTKHVERCPWCPDPRFQSVSAPPRLKAGIEFRIQGRVIATMSECLHDVVFKDMCADCGKDLREKGGRAGQWKEQATANVSMIHHVPELIVSESLAKKIGSADETNLITTRKLVLLVDLDQTIIHTSDKLMSADAEKHKDITKYNLHSRVYTTKLRPHTTEFLNKMSAMYEMHIVTFGERKYALRIAKILDPDARLFGQRILSRNELSSAQHKTENKALFPCGDNLVVIIDDRADVWQYSEALIQIKPYRFFKEVGDINAPKHSKEQMPVQIEDDAHEDRVLEEIERVLTNIHNKYYEKHDLKDADQALLDVKEVIKEERHKVLDGCVIVFSGIVSAGEKLERTEIYHLCLQFGATIVPEVVEEVTHVVGARYGTEKVHQAHRLGKNVVTVQWVYACVEKWMKADEKQFELTKKSTPPVGRPLGSKYVNNLSNMDTIGEAALADMNNEVDEALSDEDEDEGDNDDDEDDDGPENREDDKVQRDKRDKIADKEKIYQEDEKEKKNASGRNEQPESSDAQGKGQKRKHCPEMDDGEEESDSKDDDDEAPMSYKALLSRSRKEGRIVPESDKDEKQFELTKESTPPVGRPLGSKYVNNLSNMDEESEEMAALIERQIDEAADKKDDNDME >CRE07008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:145067:150418:-1 gene:WBGene00067263 transcript:CRE07008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-8 description:CRE-TAF-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NB25] MIGQFVRRPVAPQRTYYAQGYPTQQFAAPQQGFQPTTSNFSQKPAESRAQKQVGANFLPSVVNEAYHNVLEQVVTAMCYKSGFDDIEEGALETLMLLFHSCSFFSQKKGFIVKFPDIKRIGEQSRLGCEVAGRTIVSPGDVWFALINMGISVKELSDFQQDQIVSSLTVHPPEIIPPEAKTQALRIGTPRPHPNYVFEWLPPLPDPHTYIKTEINEDIDFSYERVRDAMAKKKRNATASLVNYMIRNYPSLCLFRNFENIIHEQVKKTFNEESEKKRMEEAFIAFRDLLQTKDIEEVENVGKMLFNKGLINKHEMESGAEVVCMCSDGALIDQSGKRVHLTNSTLIDLEERDKISKSKTRMSACGDGAESLRYHWPVDEMLDELIELDSCTMDLGDEKPDEMKYMQEKMTFQYLPEWCHVLVPSFEHRAYLVSDDVKADMESRREREKEEKDGNDEEKDGEKKTKEEENTHSNLYLYPPIPDDDDDFMEVVMEEPKTEEPDLIE >CRE07007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:138904:144872:-1 gene:WBGene00067264 transcript:CRE07007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arc-1 description:CRE-ARC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NB24] MNYNLPPQNLGNLIDVEKRVEFVRARGITEKPKILDSDDIPESWWTSGCTYRQIYLFSASAPRSFIPLYENLPEASNKSKNSKKEPKIEDSDDDVIVLDEIEIKREPGTQKVDIRDVNGYLRAAKDGNLEELRRFLKKKIPIDVTDFYGWTATMCAAGDGNFEICKYLLENGADPGVRDKNGMGILQIAQKNRKETFVRLLMDYFCNGSAPSTSAEPEKLTYCELCNTFVDEKKINDHISSITHQLNDKSKPGVAPQSGIHLGPSNIGYRLMCASGWTEQQGLGRNSDGHRFPIKTILKRNRTGLGMENLPKKVTHFGPLDRNSVRNEKSKKQPVTKKDLERKKRKEDRITKKFRADFGDNDSVYEYFNTSIMNEYGCNVCNEEYSIQNPMKCPRVLTGCGHTICYKCAMEIAGGGLQILCPFDRTSTLIPGGDLKTLKKNFALLELLERIADGGGILENAEGGGLKFDRYSKERLLNVKCDEDSEHVAVLFCTVCDSNLCERCSEDSHSTNVLSKHRRVPLTEKPAPQVHCRLHSSYIVEFVCKEVSCDNESPLMCLMCRDYGRHKGHCHVLIEKEVEDLREKIREHLGELKTQSDIVGNALDSINSTIHELTPGYDDGSLEETKQEIRDHFQRLHSTLDRDEDTALDTLNRYAHNRVENLQTQRERLEAVNSKIGTTVTTLQKALVMEKGNILDMKDDLLALAESTTAERTEVPDRSQLRTRIAFSFSSDRKLHIGDFIEARVVLLGLDGAGKTSIVRRLKKVRADAVMAPYPTSGFNIETIHYKNYRLNVWDVGGLPKLRHLWKHYYSNAQAIFYVIDGHAVERFSEAVRELSKVMSDPLVSTCPVVVAVNRKDGNVLNGHMDALMSQLESLPFQHHLHFCDAANGSGIDQIIDQITVYLSQLNGTCPV >CRE07006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:134055:136874:-1 gene:WBGene00067265 transcript:CRE07006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-13A10 description:CRE-CYP-13A10 protein [Source:UniProtKB/TrEMBL;Acc:E3NB23] MSLILLAIPTIFIGFISYYLWIWTYWRRRGITGPLGYPIVGSFPKTLKSEYPQYLQIRDWTKQYGSVFGYTEGTIRTLVVSDSDLVHELFVKQYDNFYGRRLNPIQGDPEKDPRTNLFSAQGFRWKRLRAISSPTFSNNSLRKINLTVEDSALELLRHIEEQTSVGEQIDMLQFYQEFTMDVIGRIAMGQNDSQMFKNPLLNFVKAIFGDNRKHIPLIGGVFPSFAQLFRFFMLKLPFLGAANFIHVNNTMIAAVNNRIAQRENDAKNGMEIGEPQDFIDLFLDARADDVEHFGENNGDFSKSSSYSNRQLTTSEIVGQCIVFLIAGFDTTALSLSYSTFLLATHPEIQSKLQEEVDRECTNPEISFDQLSKLKYMDCVIKETLRLYPLGTLANSRRCMRATKLGDVEVEVGTTVQVDTWSLHTDPKIWGDDAKEFKPERWLSADSDLIFQKGGYIPFGLGPRQCIGMRLAYMEEKMLLAHILRKFTFVTGVKTDIPLKLHGRATTQPESVWMHLKPRH >CRE07005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:131592:133255:-1 gene:WBGene00067266 transcript:CRE07005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07005 MKILILSCLTFSAILAQQTSAPGGNLCSYSPVGDESNQKAVPIQDFRSSGLDFIRPNWCITHCADRKSVKVAARFQFANNSTKAATYKVRRTFTRASGMKVHAELISGEPTHRDDNPDNFCMDDSVSAQIDTIVSTYNDLDTMAEALNYFINKPGWAYIIYDMGPPPSIIETDNVKHDMNYCEKFSQKVRFVIVSGCPHIFCLQKMPDGTFALYQVFAGLIKADSH >CRE06988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:127885:131209:1 gene:WBGene00067268 transcript:CRE06988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06988 MSTLLLILAYANAVIKEEVQKKPKLERRDQKLADRIVGLLRQHEEGELDIVEETSLTTDADEDLDPEYDVELNLETNEHDFKGIGSPHMVLFGKILVAIEKVWEAVKWYRLHTTARNGQPKRRSSTAMFNRFRFLKTDRDLKRLAEYESAGEVKPDHQAVIAFISAQLYERTIESINSGHVLHDTTLRAMISEIKDEFKIESSFYGSHNWLNGWKRAHRISSRSITTFVSRKRFSEKHLLTEKMENFVKDARKKFEEYDPLRVYNLDQSGFQKELYTKRTLTNTGETSVTTVEIITGSATGVTHSYTVLPMLRLDGTLHPKLYVVFSEPSGAFPTNPPPYNPTNLVLRAAKGHIMGKDLMADFFKSVAFTDEVEDDILFMVDSWGCWRNVAVIDSVRPAHKNLETIIIPPGATGQIQPLDIGIFRTFKKFMKNITEYAQRMYPEFKPHQRDSIIKVLFPIRSFIMFSDFQMLSQVYWLLCCPVFQEWRQYAWYAGGYMDTPPPRCDKPVDVCFNTHVHGKCQMAGCNNLSMIHCVYCSKNICFEEFIVNCHRCILQKMESQWKDVSIRYIPFFLGAVMKESGNRPPAMLPAKSLMMMRDLDRTAKFWDIPLTQPPKFMEWIKKYRTTGAMKVLLVLEEQDKNLMLRAAREMWLRLWSRSEKIFEDGDFIEVLKAVGVKNPEEIVAKSKEEKYAKILTENTKNGVDLSAYGAPWINVVTTDGNEHSFFGSDRFHLIADLLQQPQPLPDKLMSSQKSKL >CRE07004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:122687:124030:-1 gene:WBGene00067269 transcript:CRE07004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07004 MSATKSAAHILKRVLMVPPKHFTVEYAINPWMGGVVDKKKAHQQWDSLKSAIEKAGVQVLTMEQTEGLPDQVFVCNSGLVYDNKVYLSRFRHKERSGEQPLYLEWFKKNGIATIGEGYEEIFEGGGDAVFSDPKTLWAGYGERSSKSVYERIKALGSFDIVLCDMVLPNFYHLDTCFAPVDETSALYYPPAFSEATKKEILRRLPNSIAVSEAEANAFVCNAITIRDTVISPIGVSQDTKNALSKRGKQVEEVDMSEFMKSGGACQCLVLRLL >CRE07003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:121450:122414:-1 gene:WBGene00067270 transcript:CRE07003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07003 MRKLTVLASFLFLVDYSEAELFKSQPTRVKRNDFTNNYGYGPFGIGPTNGGFDSYGFYRDKFYNSGLPPLYSFASYRNYYHEISFHKNKYGQPAPTDFEVLQQERFGPYYDGVWGYADHSKNWFGKR >CRE07002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:119972:120418:-1 gene:WBGene00067271 transcript:CRE07002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07002 MGVEISLDPPVCPIQANGGTSKHKMINHTDRHLAYKVKSSNNSNYSVNLIYGILKVCDVKELVITRKPGKPQADKLIIQYCQVNDENADPKALFANGVPPGELSGETIIKLSAAE >CRE06986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:117242:119831:1 gene:WBGene00067272 transcript:CRE06986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06986 MGERKGQNFYYPPDFNYKTHKSLNGYHGTHALRERAKKIDQGILVIRFEMPFNIWCLGCHNHVGMGVRYNAEKKKCGMYYTTPLYEFRMKCHLCDNYYVIRTDPKNFDYELVEGCSRQELRFDPTDIQQVGAVDRGFAQKLAADAMFKKEHEAEDKEKAAGEEGRVDKLEWIQERMRDDFSANSYLRAQFRNEKKSLNETRARDANLREKLSIGTTSLLPETEEDRRMASMLTRYKDAKSHDDFLETSRDRIESKRIFGRSEAPDTPSTSSGSSGAPTPSERLKATMKAERDKRINATFSAGSSGVNFLGIKRKSTTSLGIQKKKVVPTTTDGADTKNSVKPEAPPPSNPVSLVAQEYGDSSDSE >CRE06985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:114422:116901:1 gene:WBGene00067273 transcript:CRE06985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqv-8 description:CRE-SQV-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NB15] MNSLPIFVYFLSLLLPKMPEISIFRIRTTKKNNSCVIILIPLSRPSFEHNSTNFSGINRPNVDYLNCRSSYTMFPSRIFEKWWLRAFIALVVFFVWQICYAINRVQGLEEEKTILQATIEVLKRKSDGLRTEIHEKERALTRLDGRIEEIDTQIRDHISLLPRVNRTTPFIYFITPTHFRAAQKADLTRLSYTLSHVPNLHWIVVEDSDELTASVAEILKRSRLPYTHLNARTPPTQKMKYSDPNWTLPRGVDQRNSALLWIRNQLAGVKNGVVYFGDDDNTYDLKVFEEMRKVEKAGVWPVGIVGGMFVETPILAENGTIIDFNAVWKRERPFPIDMAAFAVNITLILSNPNALFSFDVPRGYQESTFLENVGIHRYNMEPLAEKCTKVYVWHTRTEKSKLSKEMIEKLTKKRGFNELEAHALGVKI >CRE07001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:102624:107689:-1 gene:WBGene00067274 transcript:CRE07001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fzr-1 description:CRE-FZR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NB14] MDEQVPPPNTTFPVPFQSPMPVRRTLGPHNSPVKSMSTNSSAHTSPRVTPTKGANPYGDRFMPLRQSNFDWNARFNSINAEEEGNFKKPMGSSCTRHINGIPNTSILSSSASSSHASTSSLSALGSGSSSSVSSITTAIQQHIHTTATSPAYQEYYQGVQSSSGNTSPTAMSSCLDDSRNGEAVLRALLRNEMLKDRIDDVKSQIQTSEETIASFSLAPVVSAYPNPVPEGSTPRGHIPQLERNAYGGSVDSVFPVMSSEGVNDVTRISSSSHNHPNPNTSNNPNHTNNAHGHHSNVHGAHSPTMAQMMEPRLRAGGDSAIEIPLSPAASICAAAPGTAETPTKSLSLPLSPVAPKQSPARALFAYSAKTTPVKYGVTAPVQTTSPFGGPFGVDSQRLLRTPRKPIRKVPKNPYKVLDAPELQDDFYLNLVDWSSQNQLSVGLSACVYLWSATTSQVIKLCDLSVTNEQDQVTSVQWCDKGDLLAVGTNRGITQIWDVTTQKKVRDLAGHTSRIGCLAWNADTICSGSRDRTIIHRDIRCDDHDTGRKLTNHRQEVCGLKWSPDKQLLASGGNDNQLLVWNLRRPEPIQTYNQHNAAVKALAWSPHHHGLLVSGGGTADRCLRFWNTLTAQPMQCVDTGSQVCNVAWSKHSSELVSTHGYSYNHVIIWKYPSLQPVTKLVGHQYRVLYLAMSPDGESIVTGAGDETLRFWHVFNKGNPPTITRSKLNLHSTIR >CRE07000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:95066:96623:-1 gene:WBGene00067275 transcript:CRE07000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07000 MSDTSKPPSFRVSTTVVEFPFNTPYTHTILVTSLEEQEVNVDMFYSLQPCFKLDPSRNYYVTPIEINVSYTPDPPTPYVLPTKPLRIVFEKAPLDKIKVYEEYTVDIRIVDSIQEAEEEDNGPNITTQLACKICCLFFSKENQDQMPKKLKACGHIICQKCYEDIQNEKPFVNRIVCPFDGKETRENTNGGHRNLSLADVLRPSPPVDVNAYPAPRKTQIDFQNYVQNLKDVEEAVVLPELEKAKIQRRTYYSEKHSNNIEEIEKHFEKLKKAAVSKYIAFRDSKKGEIESEINHIKSLRTSMNGIRETLEAHLQKGTVPESIYNLRQEVETALNSGVRVQANFPILTDFDIPLDMARSPELKRRRIEE >CRE06983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:91205:94661:1 gene:WBGene00067276 transcript:CRE06983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06983 MSEEVKKAPNFSPLECTICCNDFDDKIADLTPRILTACGHTICEKCASKLLKESKIVCPYDRKVTSLSSKNITSLLKNESLIAVIQQHKAENAKAQQKSDAIEKENSTNNENACIENSKHEAALYCKQCEAEFCESCFSEVHKSKIMSVHSKLPLKDKPFKLPKCTKHPNNTAGFFCKDPNCKMDEKKMCHSCLLTGEHKSHEYELLVDQVSMDVKKLKNMSDRLKDSVKKHNTYLEKFEACIKTFDDTNPSYLNLLNNITEQFENKKVEALKNLKKFVFDSSARLRAGRNEARKNLYASRKNLARIMDRLEIKHDLCNDVNIVPIGKPPLHFLYLQNGNINSLLKNFALIEVIKELKRIDASRPTKKHVQFEDIIDDDLNTENRCFENSSHEATVYCEKCDAKFCESCFGTIHKSKVNAGHPKLPVPEKPNRLAECTKHPSRTAAKNNLFFRIIPSCDMPSVMIEDKDCSSSVLYSTTENRCFENSSHEATVYCEKCDAIFCERCCSLLFSPHFNSLFSCFGTIHKSKVNAGHPKLPISQKSNKLPKCTEHSSITAEFWCKDPNCVIEEKKICHSCLLAGGHKFHQYELLTDQVSNNVEKLKDLMRSTQETVDKYQDRVKSFDVCIATFGYEHPLYLAEIEKITKQFDAKKMQVLKKLEEFTGNSQSRLREKKKQIEAYIDNSEVLLLKIESILKSKQKLYDDTKILDTAEAQLRISAFNSQNDFMKVFDDQNYSLTEEMELKIMDKNR >CRE06980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:83426:86183:1 gene:WBGene00067277 transcript:CRE06980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06980 MALPVIYSSTDVLEFKRNKEASMEFTISSASILEMKHNLPLCFTIDPIYSTIQPPSNVIKVTYYPNSPFHFMMTNRTMKIDFSAKSAGSDNVVMLSVVVLVADKIMQEQEEMEEEKAKVNLYEQLECKICARKYSEDIEDLTPIVLNYSARNLPKNRVIIDMLCDLKRMVLSQTHGTCDDPLLPCYENDQHEATIHCTTCKEDFCNKCFASTHSSRILSAHQAVPVFEKPLGPPNCTIHPDHEVRYVCTDIKCQIMSKLFCEECKIGEHVSHTFEGVEQLIENNAKDVEDAVKKLKEEEAKMLNLKMEKVKKVLKSFDKYGNEYVLYVNAITQYFELKKASALKKFEAFVDSESAKTEQGMLNIQTHGDSIAALRKKLEKMLCRRQGLFDVKDEIDLAAKMSNLENEVNDDFNSLSHFCISYEMVTKPMLHPMFAKRERAPKQDDGVVEGKTPRKRTRAADDHEIEEITLE >CRE06999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:79263:80465:-1 gene:WBGene00067278 transcript:CRE06999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06999 MLRDFKFILLLLLVSTPVFAESRSKYGKLEELLKTLGKRYTNSGVFKNDILISQKQMRNWMNEPDEYIAKVKLETAERITQHIRWQSSISDVGWSDHAAILMELQDDRMTAEVCGAKEELNKVKFNSHLKRLRQTFDSIQDIATYSFEVEPTAKDVLKMISRVELKSQWGYTITQIWNIDAKLLCREGECVYRFFHIKLGGGCTEYGIVNHNYTEMNNRKEALKQLKQGCADRKISEFRTLKNVRVSKFRNFGISELSEFQIFGISESRTIPNFGFSEFRNFGIVRISDFRTFGMSEYSDFRTFGIFFSTDCPIYPYRMLKTVFLFKKTLRKDENSKIPPENCEKKSVRDPS >CRE06979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:75481:76578:1 gene:WBGene00067279 transcript:CRE06979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06979 MSTSTSSSSSSIKREIHRAWTDTALPCAFTSVANVHRHVRQAHPSLRYEQVESALEDLESFTLHRPNRKRFPRARTQAAGVYTDLQADLVDMAKYRQKNDEITFLLTVIDCYTRVLFVKPLTSKSGAVVAAAFEQIFTEMGTTPTILFTDDGKEFYNSVCRKLFDEHHIKHVSPKNDVKCGMVERANRTLKTRLAKYMTHAYGHRYIDELPHVVHAINHSVNRGIGKRPVDVRLGDFPIPLPENARRPSFKIKFAVGDHVRLASKRGFFDKGYEQGWTTEVFVISEVAPGRPVTYNVVDTNGEPVQGIFYSQELTKCTYNATGTYRIEKVLARRTRGRRKECLVRWEGYGAEFDSWIPESSVLQL >CRE06997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:71129:72831:-1 gene:WBGene00067280 transcript:CRE06997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06997 MSDFYITLPSSVPNSQFPNTSSRYVTRLPDVLTLERDKYRVAATDIIYPYSFVNVGKALDFWIHYRPAASSSPPRIPRRIDQQKPAAAPPPEQKDPQKPAPPEKKDQEKPAAEKKDQQKPAAAPPPEQKDPQKPAPPEKKDQEKPAAEKKDQQKPAAAPPPEQKDPQKPAPPEKKDQEKPAAEKKDKQKPVPTEKKDQKKPEEKKDQKKPAAEKKDQQKPAPTEKKDQKKPAPTEKKDQKTPAPAGAETKKEETIADWNRQFESLSGGTPAGGETTTPPESVADLNRQFMSLSGKPKPAPPENQPTQQPAPPIVQKKGDGDDDDSVASWNRQFESLGTRGETIDAWNQQFLSLEKQRAEHDEAWPLYAASSMLLLHNKSTDPTTYQQQVEEFRKLRAKISTSHTSTSRGLLHFSNVGDQIKIEFLDGDISFVEFDETCAYFLGFTDPIVTKSQPAHKKVDYFGNVSTLYLYCDVVDPIIVGNTKSSLLSVIPCRGAYGEMIHHTVTHPRYLPLMNSTIDSIRVELLTEFGEPIDFNWGSTIIVLHFKRIE >CRE06996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:68824:70158:-1 gene:WBGene00067281 transcript:CRE06996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06996 MLCRMDPKSHDVIVDELDFSTMPGTQSGVLNSRWTPIGLKNNFQEAGPFEFILTNNSRSYLNLKRTYLVFTFGITDAAGNYVTMTPEVANSQVYAPINNIAHSIVRNFSLHINSQLAFHNSSNYAYKSYFEHVLMYGQEIKDSTLTAAGFYHDTAIGDVSSLGFQKRCLSVHGQGDVQVAANISIDLMNQPRVLLNSCNVKLTVYPNNSKFLIEAFNRDPDTEFRFKIKDVYALVNEFDLADGLSNALEAAVIEHKLIQYPMISSQVRSFYIEPNRLDAPANTLFTSKMPRRIFLGLVDAEAYNGSYEKSPFNFQPHGITDIHIDYCGMSVPGRPFSLDFKKNKFIEAYIQLQETLGHTRNNFSCNSISTKMFKEDGYTIFGFELSPVAQDNSLFELVRQTNISVRLNFRDKTPEGGLYCVVYAEFDQIFSLDALRNPIIDSIV >CRE06976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:65298:66207:1 gene:WBGene00067282 transcript:CRE06976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06976 MLRELKETESTENPAIYEDPDVPCFENKNHKATCFCITCKEDFCQNCFNSTHSPKIFSTHQSIPLSEKHCEIPKCPIHEEKEIRYFCTDDECALSTKEFCDECLLTEHKSHWYESIETRIKKNEEVLQDAVKNLKERETKMLQSSDAIIKCLSTFDEKKEGISQKRKAIESHFDNMKREAVEKFDNWLTGRKKNLEFKKESMQNNLKVLTATRREIEKTLLKKGSVLNVKELIEKSKRSVDSDIKASTLPYNDYIVPDDMSQEPYPKNSSNV >CRE06994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:62762:65048:-1 gene:WBGene00067283 transcript:CRE06994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06994 MLRDFKCILLLLLVSTLVFAESRSKYGKLEELLKTLGKRYTNSGVFRDAILFSQKQMRNWMNEPDDYIAKVKLETAELITQHIRWQSSVRDNLWRDHASILMELQDDRMTAEVCGAKEELNKVKFNNHLKRLRGSFDSIQDNAQYSFEVEPTAKDILKMISRVELKSQWGYTITQIWNIHAKLQVSIGCDEVFNFLIFQCREGECAYRFFHIKLGGGCTEYGIVNHNYTEMNNRKEALKQLKEGTNTDFRDQDKNELPYVWLQGLDTNADSLKIYVCHENEEVTPRYTREQFRSWYGRFMQMWYPKNGDTDYLSMQVLDVKPNEIAARITMRLQIGVYENATIHEWNMKVATKFNEHADNKWYITRVEVLCQPDIRLMDEHYLVYRDVVGATFMSYIKDADEWYTAVDFGKRFRKGVVELNSCGTRVRELEDLPNTFFINRNLWNATITGYLTLEEQSKLPAQENFSFVLRVAFNPITPVDFKYKLWRKIFGSDWTFHIRYDKMDQFYYIYKMNFDCPRWMETSGEWIMSPIFYQRHSWIRFGKK >CRE06993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:59112:61253:-1 gene:WBGene00067284 transcript:CRE06993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06993 MLRAKIHINAKQAKYEQVKRRKPPRRVLDPTAALLATETLDSCEDQDIDKEHDTLIHVLKNAQDAAVTMPNNHSRNRLTDNTRLLLKKRRCTNRSDPNFKTLSKECRQAVKKDHENFTKDRLLNAANQKRSLKKVARDINEYQSYIPCLISGETGEKLTSREKMEIEVRRFYSNLFATKKPSATTTLPQQTEALPPFLPEEIQHSLNSFQNGKAAGEDKISADFLKSCHFTVHKLLAKKFSRYLKEGKVPTKWRSSKTTLIFKKGDKENLENYRPICLLPVLYKAFTKCILNRIRNSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITIIFIDFKKAFDTIEPAALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLETAFSRMSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINANKTKVLRNKFASSHQINIRKNNTMTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKDLHREDIRKMSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLRKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE06992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:54230:57375:-1 gene:WBGene00067285 transcript:CRE06992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06992 MTSSFAGGTTFFFHQGVSSNKTFVLNSRSRSGLEMVHNLPSCFKLSPPAGIVYPPINIKVTFTPRPIVRNVENEPLFIKFTDKNTPISSTNPITYHLVVLDSKNKPPPPPRSSQSKEVKKQMPQKKIQDKVFDALDCKVCLQPFSEELHEKVPRILTACGHTICDDCASTILRLSLDQKIACPFDRKLTDGPVSALLKNFAILDIVRERAQSSSAIYCDEPANPCFENSRHEATCYCLSCKADFCESCFTSTHSSKIFSGHRQVSIDENPFELPSCPIHPDNIAEFVCKQENCPAVGTSFCKACQPIAHNKHAYKSQEEEMDRNHKVLTKLHKDLKRAEAFATSKLEEAMNCERSFDPSNQCLLDAKNTVTTYFDDLKKGALKKMDSFYENKKKKFDKEMSSIENDLIAITETKHDVEKALKNKKFLYDNSKKLIGKAEEQRSVVVGRDADFTAFSFPSLYEIICEDKNQVPKTTPAPSNHFFKGLLTSSIPRYLGEKKK >CRE06991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:50584:53298:-1 gene:WBGene00067286 transcript:CRE06991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06991 MQQQRVPDVDINVTPRVLNFPKNGRTSLVLKATVSGRDEAYPWSFSLESQLPECFTIVENPIPMDGHFVVTYNGLLGTNLKTLPDITVVAELGNFRKYQGVKIQVGDQDEFGQIRTNALQCLICVLQFTETGDRMPRILSGCGHTLCDCCIKEIGDGNRIACPFCRIITNNFYPPNFSLIQAIEEKNNQIAVSELSAPKCCDDPTVECSENRMHEATGHCRDCLKDYCLICFAATHPTRELNSHDFLPIKFKEIQIPKCRCSRDQASRVCVNPVCTDPEKFLCLRCFVFHHKACGDSEIAKDHLEKHYDQIEAFLKRLQWNQAHFTKKAEVIGDSLNRLKVDSKAHLKTVKYWKDKQEVKKHVQKEKERLTAKKEQALSRSECVRPLIRKLEKCVARKTDLHRVQEMIQEAQIAKSCKIEDLDKYRASHRFDNILKRRLPPVITIDDGDDNDDVVIIPVKDLAPGPSRAPSRAPRYAPRGELSTRQLRSAMKREQD >CRE06989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:42892:44308:-1 gene:WBGene00067287 transcript:CRE06989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06989 MPPPPAATTGAPLTHQDQVNELKPKYQAAAIARMAFGDRVVMDDTHSLVEMFNQKIPFSIEQLEFTENKIRMNGEALVIEYDGDQILVTSKDQIIRFLDSMEDIRRNIIRLYLGRVGTTVESLVILDPKLLENLMYGFEVTFSMSIKGQLEPEHWEKLKLLTGNLQFCTILSPVTPEIFEIPIIAGSKGITLYGEQQNEVIFASNNFYFLNKDHVPAAKQIQEIYEHWKSIKRQEGMFTFECTPEVYQDYKTQFKTWGRNYKKVLLSRDGKAFRKLNCLDLHTDKHVMLFSQAMDNSENLRVSMAIHPKDIRDAVAAMFQRIFG >CRE06975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:35513:42413:1 gene:WBGene00067288 transcript:CRE06975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exc-7 description:CRE-EXC-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NB31] MTMEALLTPKHDNMPTGPVQTQTTYTSTQRYCTAPNVDIGESKTNLIINYLPQGMTQEEVRSLFTSIGEIESCKLVRDKVTGQSLGYGFVNYVQEADALRAVSSFNGLRLQNKTIKVSYARPSNDQIKGSNLYVSGIPKSMTLHELESIFRPFGQIITSRILSDNVTGLSKGVGFVRFDKKDEADNAIKTLNGSIPTGCSEQITVKFANNPASNNPKGILSELEAVQQAATTLVPLSTILGAPTLRAAAGGIGPMHHTPMTSKYRYSPMGAITAVSQPTATLNADYLTTSALLQMSQLNALAGLTSFGPATGVPDFTASLIAHQQQQQAVAAQQQVQQAGSPPAQNGAGLAAHAQLSALSASVAATLPPSDTAGYCLFVYNLAADTDDTLLWQLFSQFGAILNVKILRDLTQKCKGYAFVSMSTYTEAYNAMVSLNGTNLAGKTLQVVFKSSTPYRA >CRE06974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:10205:16124:1 gene:WBGene00067289 transcript:CRE06974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zfp-2 description:CRE-ZFP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NB30] MNDLLIHDPCSMVIYEEEVTTAHEIPSTGRHWSDEKSVGYELTDTKCYKTPSGVQKTATIQREQLSTSKEQMTEQIVEMDGEYSIEGTDMLYVPCLPSTSTSPPSNDPTVSSTSQHFSHQPEPLRRMAIKIGERVLRFKVINATDAPEAPIDPSDGWISDPKPLTAPKALAGLYHCANCKTYFGNKEVYQRHVLEVHGDARPFRCFNCGMRFANKTSMTHHLKDHSLLKPMYSCDYCPRIFAKLESKMRHHKLHFTRSTCQQCMRFFTTEEALRNHQSTAHPANFDEGPPSEDLLPNGKTARFSCNYCNLRFHFKKDMLVHERVHTGEKPYECGYCMKSFAQSQALTAHIRTHTRETPYQCRKCTKSFRDNSCLRKHELSAHTDTPIVRAIPPEYTEEIEKQIDLQRVKKHQQMMVDRHPNFRM >CRE06973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:7185:9207:1 gene:WBGene00067290 transcript:CRE06973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06973 MANHDICTKTMWALSVPLCILLILFVALTAYATYSFHIFVPLAPANNIDCEQMFNTTSETYLSYIENRTLLEPSQDDRNCFQIRRRRYLPADMPKSMAGNHNMFFIRVVSKDYDFVEEVLTMMHSPIHFFCFALDITADALFKERMFRLGDCQVNVLVPRQLFNTSTAHGMLSAHRKCLKEIDEFEWRHAVITAEHDIPLHSTKFLSRRSRRLRDMVEINGVHFKEETLLSADPNDTSSQLYQVSRNWIQHLCSVATISHSQHKVLYDYLMKTPDFDLPNDSHRETSVVEKNCDTKVYDVDGSCLYAMEDFPAIRNTTRLFIRADPHYDFGFVQCVHEVVFERTFFDPISNKNANNYLYGSHKNLIDDYRN >CRE06972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:3617:4193:1 gene:WBGene00067291 transcript:CRE06972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06972 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LQ92] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE06971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:601:3286:1 gene:WBGene00067292 transcript:CRE06971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06971 MWELRVHGGIRRGIRRLSERRNKRRAVFRRLDKNGKLIIFSKENAKVYIFQISCIAPSITSLSLPDCFISSLEFTKLCFNFATLTKLDISGSGVKKLNGIWFLHNLEVLEMICLEFEKSEDVMDLFELKKLRVLNITGEGLSNNLQCYLSCNKVLPELRFLDCSCNVIDSNNLELLVRTHTKLEMVALFGTGLERTPQLEIPNRTIELLTVENISRCIKSANYFLKASEVNMDFVRQIFSELYNYMNNSEISDTDFKECCMFYISVIDRWNWYDLRDFAFYNLQIIRSRPHLFDFNEKQRLVQVIVRSLPEYNESEPHVMSIHASAWYIFNKQEFYMNSNDTIAAICEEAAKVVMSCNNLQNNTPSFCVCLIEMSLGWYIKPEPPSLLLGNHYLKMKLIDSVRTFAPGSMSFDQLFTIIKSVCILTYHDMDNCLSAESIFAAEIFIASVVGLVDHFCEEWMRDIFLYLINHYISSIDTSLFKVIFDQSIAEVFLPILLDRQSGEQCHVIYYLFALMKESEARNADNDEKYYIESEIKEIQKSIESFERMEGTDHMEVFRWLCRTSESKDVVKWARWCLKTSGLELLEGEEKVDIEEKERRVNKSNENEQEKDEQPDAKRRRVE >CRE04202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:341:1291:-1 gene:WBGene00067294 transcript:CRE04202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04202 MTTPFRLFSLPYLPLKQVLDNVGPEALLILSMCSRRSKSIVVSYRGPSKDVRLTLKFGLGDRLEISDEFYVLLVVKTDLPIDRNTMKTVSIGSFGKVPVRMELLYQDGDKQGLITYWEDRITGLTAIGDYAREVFNRDISEVMIGEKEADDDHRRAAEWVTKSQTPIQVIHCDFRQQVDTNFDFILENFNYTHTLSLHVIPSKHFCPARMPNFQVDSLHVLFSFWIKQEHLLTINCRYILLENSKLSSRDFNVFLKHWMAGGCSQLKVLRVYVEEPIDYRVVFDGVEFTEREGDVERVFVE >CRE04147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:1583:2551:1 gene:WBGene00067295 transcript:CRE04147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04147 MSTVFHLFSLPYLPLKRVLDNVGPEALLYISLCSRRAKNVAVSYRGPSKNVQLNVHFGHKNCLSYMEGVDIYWLLNVQKRSKLSYVDRNLATVRIGNFSGIPVEMGELGMNTYWDDCIVGITEIGDYAREIFNQEFYEVCIYDKQEDDDHRRAAEWIKNSQGKIQSLKYIVLQDSKLSSQDFNVFLKHWMAGDCSKLKLLRVDVEELIDYQIVLDGVEFEERERDLERVYVDEEEHHHTIRGGFDAKRPSDNVKMTITNGGQISKFFLMIVWPDSAENSYED >CRE04203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:3779:4898:-1 gene:WBGene00067296 transcript:CRE04203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04203 MPHPFSLFSLPYLPLKQVLDNVGPEALIILSLCSLRSKSIVVSYRGPSKNVRLQLNFGLGDRLVDSDELYTNVLLVVKKDIPTDHSTLETVRIGSFGRVPVRMELLYQDGDKQGLITYWEDRITGLTAIGDYAREVFNRDISEVMIGEKETYNDHKRAAEWISKSQKSIQAIHCDFTQQVDTNLDFLLGNFNYTHILSLHVKPSEHYRPAKMPNFQVDNLHILYSFWIKQDHLLTMNCNYIALQDSKLTNQDLNVFVKHWIAGGCSQLKKLDVDVKEPIDIEVVLGGVEFTERGDNVERVFVNEDRIPYTISGGFDVKRSNVTATVVDVGQQSKIFWMIVW >CRE04205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:7348:8458:-1 gene:WBGene00067297 transcript:CRE04205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04205 MTSPFRLFSLPHVPLKQVLNNVEPEALLILSMCSRRSKSIAVSFRGPSKHVRLSLNFGLGDRLEGSDKRDVLLVVKKNLPMDHNTMETVRIGSFEKVPVRMEFLYQEEGEQCLITYWEDGITGLTAIGDYVREVFNRDISEMMIGEKQDEDDHRRAAEWVKNSQGTIQILHCDFKPKIDNDLDLILENFNCTERLSLYVKPSEHYSPARMPNFKVDSLNILFSFWIKQDHLLTMNCKYIVLQYSKLTNQDFNAFVKHWIAGGCSQLKKLWVTVEEPIDYGVVLDGVEFTERARDVERVFVDERRTSHIITGGFDVKRSNVTATVVDIGQQSQLFFMIVW >CRE04148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:8671:9851:1 gene:WBGene00067298 transcript:CRE04148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04148 MLVSLLVYRFIQYSSVSYPMTTPFHLFSLPYLPLKQVLDNIGPETLIILSLCSLRSKSIVVSYRGPSKNVQLKLHFGSKGSLEGSYGSGESIRTYMQLTVEQTGKLTMDETLETVRIGSFEKVPVKMDYNFMKGKHIKTYWEDRMTGLAAIGDYGREIFNRDIYEVRIGEKQAEDDHRRAAEWVKNSQGTIQSLNCNFKPKVNEDLDFILENFNYTKQLCLSVEPSEHYSPAELPNFQIDTLDVFYGFWIEQEHLLKMNCKTVTLCYSKLTNQDCNVFLKHWLAGGCSKVKDLSVGVSELIDYQIVFDGVDFVERESDLERVFVDDDGTDYTIMGGFDVKQSNVTATITRQSSSHFWMIVW >CRE04206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:10178:11355:-1 gene:WBGene00067299 transcript:CRE04206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04206 MTTPFPLFSLPYLPLKQVLDNVGSEALVILSMCSLRSKNIAVSYRGPSKSVRLKLRFGSYDYLEDSTYFYLTILLMVEEKGKLPIDYNTLETVRIGSFEKIPVVMEKHSIKGVNLITYWEDRITGLVAIGDYAREVFNQDIYQVRLYDKEADDDHRRAAEWIKNSQKTIQSLRCDFKPKIDKDIDFILENFNYTEKLFLFVRPSEHYSPSRMPSFQVDSLHVRFSFWIKQDHLLTMNCKYIWLENSTLSSHDFNVLLKHWMNGGCSQLKVLRVDVEELIDYEVVVLDGVEFTQRADDVERVFVDEVDAHTLIRGGIDIKRPTDNAKLTIYNGGNHLKHFWMIVWPDSAENSY >CRE04149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:13684:14803:1 gene:WBGene00067300 transcript:CRE04149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04149 MTTPFHLFSLPYLPLKQVLDNVGPEALIILSLCSLRSKSIVVSYRGLSKNVQLKLHFGSKDSLEGSDESEEIIHTYIQLRVEQTGKLPMDETLETVRIGNFENVPVKMENNFVKGKNLITYWEDRMTGLAAIGDYGREIFNRDISEVCIGEKQAEDDHRRAAEWVKNSQGTIQSLHCNFKPKIDKDLDFILEYFNYTKKLHLAVKPSQHYSPAKLPNFQIGTLDVFFSFWIKQRDLLTMDSKTVTLYGSKLTNQDCNVFLKHWLAGECSKVKDLSVGVSEMIDYQIVFDGVDFVERESDLERVFVDEDGTDYTIMGGYDVKRSNITATITKQSSSHFWFIVW >CRE04207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:15892:16434:-1 gene:WBGene00067301 transcript:CRE04207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04207 MTGIAEIGYYACEVFNQDIYRVVIQGKQAGDYTGRAAEWVSKSQKSIQHLHYVSLEKDYDLDFVLENFNYTKKLSLNLNPPSTYCPAKPPNFRVDVLYLYVSFWIKLCHLLAMDCKIIQLRDSKLSSRDLNVFLKHWMAGGCSKLKLLHVSVKEPIDYAIVLDGVEFTERARDVARVYVE >CRE04150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:16906:19892:1 gene:WBGene00067302 transcript:CRE04150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04150 MTTPFRLFSLPFLPLKKVLDNFGPQGIIILSLCSLRLKSIVVSYRGPSKNVRLTLDFGLQDSLEDSDESNSRILLRVEERKELPMDHNTMETVRVGSFEKVPVKMGDRFGRGKNLIIYWEDRMTGLAAIGDYGREIFNRDIYEVCIGGKKEDDDHRRAAEWVKNSQKTIQSLDCNFELKIDNDLDFILENFKYTHTLFLCVKPSENYIPAKLPNFQIDDLRVRNSFWIKQNHLITMNCKTITLYDSKLTNQDLNVFLKHWIAGGCSQLKDFYVAVQEQIDFDVVLDGVDFTEREIDLERVYVDKDGAEYTIMGSFDVKRSNITATVVDQPDVFWLIVCTSRLPVIPPSLLASPLFYYFIQSSSVSYPMTTPFHLFSLPYVPLKQVLDNVGPEALIIFSLCSLRSKSIVVSYRGPSKNVQLKLHFGSEDCLEDSNESCKNVLLRVEETRQLPTDHNTMETVKIGSFGRVPVRMEFLYLWDDNLWEDKQCLTMYWEDPITGVAAIGDYGREIFNQDIHEVRIVKKQANNDNSKYKPKIDEELDFILENFKCTGKLFLYVKPSEHYSPAKLPNFRIDTLDVHYSFWIKQKNLLAMNCKTIYLRDSKLKSQDFNAFLKHWMSGGCSKVKDFCVIIQEPVDYEIVFDGVEFIEREEDVERVYAGEDGYDFTVSGGYDVKRSNVTATITSQCPSHFWMIVW >CRE04151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:20339:21421:1 gene:WBGene00067304 transcript:CRE04151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04151 MTTLFPLFSLPYVPFKQVLDNIGPQGIMILSLCSQRSKNVAITYSGASKDVKLQLMYCDNIGSLAYNSTDILEVIDIKELEDFIFPTLSNGHFRDVPYTMHEGCLVIFWEDTLTGLIEIGNYAREIFNRDINEINIGDFHFESESTSDEDLDYVLENVKCTGLLSLSAKPSENYRPAKPLVFNLDELKINFSFWIRLSDLLAMNSKTVRMRGSKLTSHDLNVFLKHWMAGGCSQLKDFFVDVEEAIDYEIVLDGVEFTERGDGVERVYVDKNRNPHTIRGGFDVKQSNVTATIVGQYRYTFWFIVW >CRE04208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:21971:23094:-1 gene:WBGene00067305 transcript:CRE04208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04208 MTNPFRLFSLPFLPLKKVLDNFGPHGIIILSLCSQRSKSIAVTYSGPSKDVKLQLMYYNGIDLCHDFTDLINVDNVSYLEHLEDPILPTVPIGKFRNVQYEMDGDCLVTYWYNELTGLTEIGNYAREIFNRDISQVSIGGADMDNYKRLIKWAMDTQGYIRDFYFEPENTLDEDLDYVLENVKCTGCLSITAKPSENYRPAKPLVCDLDQLAIHYGFWIKQEDLLTINCRVVRMEGGSKLTSQNFNVFLKHWMTGGCSKLKLFHVSVEETINYETVLDGVEFIGRGDDVKREYVNDENAVDIMTGGFDVKRQSDNITATITESSKRFYMNVWPDFAGNSY >CRE04152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:23451:24321:1 gene:WBGene00067306 transcript:CRE04152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04152 MYGDSFGSLANDFTGVWEAIDIKNLGGVRLKTLSNGKFRGVQYKMNGDCLVTLWEDKLTGLIEIGNYAREIFNQDIYLVSIGDEHASDYRRLIEWTMDTQKSIEHFHFVIKKTLDEDLDYILENLKCTGSLSLSAKPSKNYRTAKPLVFNLNDIYIRKSFWITQRDLLGMNCKIITMYNSKLTSEDFNVFLKHWMTGGCSKLELLLVFVEESINFESVFDGVEFIERGDDVERVYFVEEDRTPHTFRGGFDVKRSNVTATVVGSAGDYFCMIVW >CRE04209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:25416:26346:-1 gene:WBGene00067307 transcript:CRE04209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04209 MTTPFRLFSLPYVPLKHVLDNLGPHGIIILSLCSRRSKNIAVSYRGPSKNVKLQLMYGDSFGSLANDLTGVWEAIDIKNLGGVRLKTLSNGKFRGVQYKMNGDCLVTLWEDKLTGLIEIGNYAREIFNQDIYQVNIGDEHAVGYRRLIEWTMDTQKSIERFYFGIKKTLDEDLDYILENLKCTGSLWLFARPSKNYRTAKPLVFNLNDICIHKSFWIKQRDLLGMNCKIITIYNSKLTSEDFNVFLKHWMAGGCSKLKLLHVSVKELINFESVFDGVEFTERGRDVKRIFVE >CRE04153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:26620:27759:1 gene:WBGene00067308 transcript:CRE04153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04153 MTTPFRLFSFPFVPLKKVLDNFGSQGILIISLCSQRSKSIAISSRGLSKDVQLLLMHSDTAYLADENTTIWEARDIQAVRGSPLPTLSSGRFQGVQYKMDEELLVTFWEDELSGLIEIGSYAREIFNQDIYVSIQGKHADDRRRLTKWTVATQKSIEYFQYYDHGKTLDEDLDYMLENVKCTGYLELYARPSENYRPAKPLVFNLDELKIIDSFWIKQEDLLAMNCNIVILRYSKLTSQDFNVFLKHWMTGGCSELENLCVFVDDPINFEVVLDGVDFTEKGKDVERIYVDEEESHYTIRGGFDIKRPEDNVTATIRDGSEDRRRFWMSVWPDYAGNSY >CRE04154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:29676:30796:1 gene:WBGene00067309 transcript:CRE04154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04154 MTTPFRLFSLPFLPLKKVLDNFGPHGIIILSLCSQRSKSIAVSYSGPSKDVKLQRMYYNGIDLCHDFTDLINVDNVSDLEHLEDPILPTVPIGKFGNVQYEMDGDCLVTYWYNQLTGLTEIGNYARQLFNRDISQVNIGGTDMDDYKRLIKCTMDTQKYIENFHFEPENTLDEDLDYVLENVKCTGCLSITAKPSENYRPAKQLVCDLDQLAIHYGFWIKQEDLLAINCKIVRMEGGSKLTSQDFNVFLKHWMTGGCSKLKLLHVSVEEVINYETVLDGVEFIERGDDMKREYVNDENTVDIMTGGFDVKRKSDNITATITESSKRFYMNVWPDFAGNF >CRE04155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:31392:32195:1 gene:WBGene00067310 transcript:CRE04155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04155 MILSLCSQRSKNVAITYSGASKDVKLQLMYCNNIGSLAYNSTDILEVIDIKELEDFIFPTLSNGHFRDVPYTMHEGCLVIFWEDTLTGLIEIGNYAREIFNRDINEINIGGEDVDDYRRLIEWTMDTQTYIKDFHFESESTSDEDLDYVLENVKCTGLLSLSAKPSENYRPAKPLVFNLDELKINFSFWIRLSDLLAMNSKTVRMRGSKLTSRDLNVFLKHWMAGGCSQLKDFFVDVEEAIDYEIVLDGVEFTERGDGVERVYVEKV >CRE04210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:33222:34357:-1 gene:WBGene00067311 transcript:CRE04210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04210 MSTPFRLFSLPFLPLKKVLDNFTPQGIIIISLCSQRSKSIAVSYRGPSKDVKLQLMYYNAIDLCHDFTDLINVDNVSDLEHLEDPILPTVPIGKFRNVQYTMSEDTDEDCLVTYWYNQLTGLMEIGNYARQLFNRDISQVNIGGTDMDDYKRLIKWTMDTQGYIENFHFEPENTLDEDLDYVLENVKCTGCLSITAKPSENYRPAKPLVCDLDQLAIHYGFWIKQEDLLTINCRVVRMEGGSKLTSQDFNVFLKHWMTGGCSKLKLFHVSVEEVINYETILDGVEFIARGDDVKREYVNDENTVDIMTGGFDVKRQSDNITATITENSKRFYMNIWPDFAGNSY >CRE04156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:34618:35731:1 gene:WBGene00067312 transcript:CRE04156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04156 MTTPFPLFSLPYLPLKQVLDYFGPYGIIILSLCSQKSKSVAVSYRGPSKDVELELTSNDGFHLCHNFTNLIDVVNVSDLDDIILPTVSIGKFRHVQYEMDGDCLVTYWYNELTGLTEIGNYAREIFNRHIDKFSLISEDMNNNKRMIKWTMDTQGYIEDFHFKSEKTLDEDLDHVLENVKCTGCLSLTAEPSENYRPANPPVFNFHKIYIQNSFWIKQEDLLAMNCKIVRLYDSKLTSRDFNVFLKHWMAGGCSKLKLLHVSGEEDINCESVLDGVEFIKRGDDVKRKYVNEENKYYTMTGGFDIKRSSDDVHMTIANGWKRFCMFVWPDFAGNSYH >CRE04211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:36393:37532:-1 gene:WBGene00067313 transcript:CRE04211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04211 MSTPFRLFSLPFVPLKQVLDNFGPQGIIILSLCSQKSKSITISSRGLSKNVQLLLMHSDTAYLADENTTIWEARDIQAVRGSPLPTLSSGQFRGVQYKMDEELLVTFWEDELSGLIEIGSYAREIFNQDIYVSIQGKHADDRRRLTKWTVATQKSIEYLEYYDHGKTLDEDLNYMLENVKCTGYLELYARPSENYRPAKPLVFNLDELKIIDSFWIKQEDLLAMNCMSVILRYSKLTSQDFNVFLKHWMTGGCSELENLCVFVDEPIDFEVVLHGVEFTEKGEDVERIYVDEEESHYTIRGGFDIKRPEDNITATIRDGSEDRRRFWMSVWPDYAGNSY >CRE04157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:38288:39374:1 gene:WBGene00067314 transcript:CRE04157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04157 MTTPFRLFSLLYVPLKQVLDNLGPHGIILLSLCSRRSKNLAVSYRGPSKNVQLHLMYGDSFGSLANDLTGVWEAIDIKNLGSVRLGTLPSGKFRDVQYKMDGDCLVTLWEDKRTGLIEIGNYAREIFNQDIYQVSIGDEQAVGYRRLIEWTMDTQKSIERFYFGIKKTLDEDLDYILENLKCTGSLWLLARPSKNYRTAKPLVFNLNDIYICNSFWIKQRDLLGMNCKIITMHNSKLTSEDFNVFLKHWMSGGCSKLKQLLVFVKESINFESVLDGVEFIERGDDVERVLFVEEDRTPQTIRGGFDVKRSNVTATVFVSSGNHFCMIVW >CRE04212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:41338:42646:-1 gene:WBGene00067315 transcript:CRE04212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04212 MPHPFPLFSLPYVPLKKVLDNFGQRGIIFLSLCSLRSKSIAVSYRGPSKDVRLTLDIGLWDCLEDSDESYMRILLTVENIWNLPMNTTLETVRIGSFEKVPVKMENNFVKGNHLITYWEDRMTGFAAIGDYAREVFNQDIYEVCIFDKREDDDLRRAAEWIKNSQKTIRNLCCNFNSKIDNDLDVILENFKCTEKLFLYVKPSEYYSPSRMPSFQINRLDVCNSFWIKQNHLLTMDCKCILLKSSKLSSRDLNLFLKHWMAGGCSQLKELWISVEKPINYQVLLDGVDFVERERHVERVFVDEADTHDTIRGGFDIKRSSDNVKVTINNGGENSRLFWMIVWPDFAGNSY >CRE04159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:43907:45708:1 gene:WBGene00067316 transcript:CRE04159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04159 MYPSNKFWIMSDHMEFLVLWLINLRVWKAIDIKKLGGVKLPTLSCGQFQDVQYKMDGDCLVTYWEDNLTGLIEMGKYAREIFNRDIYQVSIGGEETDDYKRLIEWTMNTQKSIEYFQFENKKTPDEDFDYILDNLKCTHSFFLSAKPSKNYRSAKPLVFNLKEIAICNSFWIKQRDLLGMNCKIITMHNSKLTSEDLNVFLKHWMTGGCSKLKMLHVSVEESISLESVLDGVEFIERGDDVERLYFVDGDRNPDTIRGGFDVKQSNVTGTVFGQNLQNLKRFWMIVW >CRE04213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:46125:47264:-1 gene:WBGene00067317 transcript:CRE04213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04213 MSTPFRLFSLPFVPLKQVLDNFGPQGIIILSLCSQKSKSITISSRGLSKNVQLLLMHSDTAYLADENTTIWEARDIQAVRGSPLPTLSSGQFRGVQYKMDEELLVTFWEDELSGLIEIGSYAREIFNQDLYVRIQSEHADDRRRLTKWTVATQKSIEYLGYYDHGKTLDEDLDYLLENVKCTSYLALCATPSENYRPAKPPVFNLDKLEILISFWIKQEHLLAMNCNIVILRCSKLTSEDFNVFLKHWMTGGCSELKNLCVFVYGSIDFEIVFDGVEFTERGEDVERIYVDEEESHYTIRGGFDIKRLEDNITATIRDGFEDRTRFWMSVWPDFAGNSY >CRE04215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:51741:52885:-1 gene:WBGene00067318 transcript:CRE04215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04215 MTNPFRLFSLPLIPLKQVLDNFGPNGIIFLSLCSRRSKNIAVSYKGPSKDVRLTLDFGPGLESLEVSNTNTLLRVDKTANIPTDETMETVRIGSFEKVPVRIEKGLGEKKHLITYWEDRMTGVVAIGDYGREIFNRDIYEVRIGEKQAEDDHRRAAEWVKNSQKTIQSLDCNFKPKIDNDLDFILENFKYTHTLVLYVRPSEQYSPAKLPNFRIDSLYIYISFWIKQDHLLTMDCKYIVLEGLKLSSRDLNVFLKHWMAGGCSKLKELRVITGESIDDRIVLEGLEFVERGRDVERVYVDEDESHRTIKGGFDTKRPSDDVKVTINIEGENSRFFMMIVWPDFVGNSY >CRE04161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:53561:55154:1 gene:WBGene00067319 transcript:CRE04161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04161 MTTPFRLFSLPFIPLKQVLDNFGPHGILILSLCSQRSKSIAVSYRGPSKDVKLQLTATYSNIYALANDFNDIWNAIDIKDFKNIELPTLSSGQFQGVQYKMHEDCLVTFWEDKLTGLLEIGKYAREIFNRDIYQIYVGGKDADRYKRLIEWTMDTQEYIKDFIYIENEHTHDQDLDYILENVKCSNLSLSAKPSENYRPAKPFVFNLCKLHILNSFWIKPEVLLGINSKIIKLEDSKLTSQDFNVFLKHWMTGGCSKLKLLHVSVEEAVNYETVFDGVEFIERGEDVERVLKIEGEHNISMIGGADIKGPGDNITATIKNHGENPKHFGMIVWPE >CRE04162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:55917:58505:1 gene:WBGene00067320 transcript:CRE04162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04162 MTTPFRLFSLPFIPLKQVLDHFGPDGIIILSLCSQRSKSIAVSYSGPSKNVQLQLKYCNRIGALAYGSTDILNVIDNMDIELPTLSNGYFQHVRFIMHDDCLVLFCDDIITGLIEIGNHAREVFNRDIYEVTILGKAGDNYKPLIEWTVETQNTVDNFQYIEHEKTRDEDLDYILENVKCDYLSLSAIPSENYRPAKPLVCRLHHINISDSFWIKPEDLLGMNCQIIKIEDSKLTSQDFNMFMKHWMTGAWSHLSLLEIDVEEDINYETVFDGVEFIERGEDVERVLKIEYSKRETTLFCRVHN >CRE04216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:60927:106418:-1 gene:WBGene00067322 transcript:CRE04216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dys-1 description:CRE-DYS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MYR8] MLFSGASTAKPKKDEKKDKKSDREEKYELQEQVFIRWANHLLGTDRLTDYKSLQDGSNAIFVYQAIIGQTMAVLGNPSDDWPNILQYVGDSKTNPQEVMDGQQKAVLSAWWQLVQFFWKNHAPLQLREEKSGAPAALESSGVPAALKSVGVPAALELAEVPALSEAIKQWCIEVMSSYEEIDVYDFTSSFRDGHAFNYLIHSYDKKLINLSKTAEMSAIDRIENAFGVAEKTWNVPRLLSPKDLHSEHLDSHSVLCYLMNLYLAMISTSKIENELEAQQIQQQKAAAALLAAHKMQSQPSTSSSSALQIPPQTPPISTAQQAMLDRGKSFEQQSVESEVRSRKSSSSSQKSGKSKKMRREEQLAEFKSCIEQVLTWLLEAEDELATLTQMPRVQLASVRSQFSDFESFMSSLTDSQDTVGRVLLRGQMLSNKSENEEEKEAIAAKLHLVNTRWEALREQAMQEQAVLQQQIHLLQQGELDTISQWLDATELEIESFGPLASDSAQALRQIELHTKFQQKLNDFQETIDKLESFVAVVDEENDASVATLEDALSGVSVRWGHVCEWAEKRAAKLDGLADLIDRTNEVFENLSDWLSARENELMTGLKSAHHLESEQEVAQQVRRLQKTEEQLEQEHSSFVRLSQLSCELVGRLDESNGAAANTVRLSLDSITQRWDNLVARIEEHGKTLVKSGKADVKQVMAQDTGEPVVTSSEGLSTDTEGEEQKNQLVDKFLLHISKLSHELEPLQEWSERFEVSRKRDDVRKMMNTCQEKLIQIKEQEARVNRLQLELEHLHVAKLNAKQLKRANDAFEQFAKGWARIVTKISEAMNVLTGQEANGGPNGEEAAVAAKIEQWIEAVDKVINELSQLPVAERLSRIDKLEQQLQVQEKNVGFVEKDLLKKAILKKGLEIAGKRLAALKIEEKLVEEVEAERHVKFTEEKMVESEHPESTQSPISEASILEELDGAWSPVGDVISIEQDLLRAQKAVEKARNSNMSNETVEKAETRKAEMEEKRRVTMSARSKFQIAEETVEEIERSLDRLQASDLEIADLVRGLEQESTKLADRAALRKEAERTAEKMLSMDDVEIPAEIVIKTKDSIEKLAKRWNQLDLDLEDNLRKARKDQDVFIQKRLREGEEALNEIKSAIESKRESLDAETAAESLDHLESSLDNISSLFGEIGSLPMDENSRQKLTKLAEAKDEIAAKANEALAHLSRTVSECEDFEKQIMLFQNWSVRIGFLLQARKSADISAFDIPHEYHEDLGNEAELIPKLSREFEEWSAKLKEMNSLAMEKEDAVRMREQLDHANDSMTELRRKFNEFKRPKGFEEKLEKVLTTLSNVEMGLDDTTGIDGAECGGALMEVRALVRMLDGAQEKWKDLTETREQLIKDHILDEESSRETLQKLQYAKTKSKELYERSSTCIERLEDCVEMYQRLKMESDEIERFLEEMETRLDRYAASDRPEEAEIVDELISEWNRNEAAMNNAAHLQRQLNERAIKIADDVLSLKRLRADALKNRLNSWCRTIQEMSEDDESALLEIDELHHTIEKELQEVSDKEPAKIAEKLRFLRADRDRLSSRTRKLAAKNPRLAATSSDVLEALNQKWKALEEKSSRDKAPEQPLEHLELRDAELSTDAPFDKRVEELFDLFKNLETHLDFNGASPVATVDEYQKRVDDLDLYLDEYRPPLDDVIEEGRKIAQTGRLELQTHAAIEKLDELANKIERVETELDRHREKVAPLLEQHEQLKKDIDSFLLVLDVFTDRNLDDVDIAKSTRKELAERDSHITSLTSRATAIHCALPGKGPQLHDTTLDKLRNRIESLEARLATTEKRAEPNEPKPTEPAEPEAEKSSPDRTSRSSLQLAMEAYGTATEDDSVISEAATTVANKDTVAKDTVASKEAPDTPTLPVKQLKDLEEEKRTIILPDETEKVIETTTKVVLKAPEAPPTQKKTTPTQEVAEVSTSEVLQGKPAQESIERTVREVPVDVYEETANISSGDELDTKLSPPVPDSDTEIASMFEVLDSIEDAHTNFEEFPFDYLDNAEHDLKKTLARLESCERTLAQNEMTINIVQAENARRGLRAAGTPADISAAGAPVDSSAAGTPADSSLILILQLILNADSLVDEAERYESDQIAQMDRKSAPHVLGELRKRVSAAEGPVIDLVKKLSQLVPRMQDDSPKSQNIRRTVYGIEDRFRRVSQAETAAVSKALSSALTEPELKIELAEMMKWCELAEKEASQNVNSLDGDGLEKLDGRLAQFTKELQENYNLIKIEIRVVQVLTETATTIADCHDLPTYLIDEMNDSGGDTTESRSTVVEMTPVHAKQSSSSSSNKTPSAGGDSENSENEDGHTLNGDDEQSEEDQKIYSRESSSTLPRSSAPQTGTLDPVAVQLTHTRHWLHDVERDASNTVDLAEWQPARELWQNIQGIIDEIRLRSVQVTGAHDASPNRQVRQQAAQLLTEMRRTIENCEKRCLILNQISDVARQNESARNEMEAWLKSANDVIGERRVEELAEDVVRHELQVLERVVAQLNERKDKMQDINTQAHKIVDTYTKDEAHNLSHLLSRLNMSWTKFNDNIRIRRAVLEASLRSRRDFHSALSEFEMWLGRQEENCSKLAHETMNAQAIKDTSKRKNWTTNYKTLNAELNAHEDVMKSVESMGKMLVESLESGTEKAELQKRVGETSRRWAAIRKTTNEIGERLEKAEQEWEKLSDGLADLLSWIETKKQKIVEEQPIGGSLSAVMQQSAFVKTLQREMESKNGVYKSTVADAHSFLMQHDLRPKLHSPHVLDDDYEEGELADLEQRRRGLEINANCEKLKKNWAELGVEVESWDKLVQHAMQRLQELERNLAECQLHLTSSENEIETMKAVEKIHLEDLKIAREETDQISKRIDEVRLFVDDVNDAAARLLAEDLKLDEHAKGQIEHVNKRYSTLKRAIRIRQAAVRNAASDFGPTSEHFLNQSVTLPWQRAISKSNLLPYYIEQTTEKTQWEHPVWVEIVKELSQFNRVKFLAYRTAMKLRALQKRLCLDLVDLPLLEKAFVRLKGLSAEECPGLEGMVCALLPMYEALHAKYPNQVQSVSLAVDIAINFLLNLFDQSRDGIMRVLSFKIAMIVFSNIPIEEKYRYLFKLVSQDGHATQKQIALLLYDLIHIPRLVGESAAFGGTNVEPSVRSCFETVRLAPTISEGAFIDWVKKEPQSIVWLAVMHRLVISENTKHASKCNVCKMFPIIGIRYRCLTCFNCDLCQNCFFSQRTAKNHKMNHPMQEYCEKTTSSDDARDFAKMLRNKLRASKRQKGYLPIDVAEEGIPLTCPPAKVTNQSTEQMNADTAQMTAHLAKLSAEHGGGAEHMEPVQSPLQIINQVEQLQRDEMDQMLHRLQVENKQLRKELEWKRGAASTMEIDRSSKRQVDRRSESRGGTLPLRNGRSVVSLKSTQSQNDVMDEAKALRLHKQRLEHRSRILEQQNEQLEMQLQRLKKVIDAQKQAPISTNSLLRGSHVQWTPERALSTARSGSLGTIDRNPNPVTDTAAEDSDDVPRGSSVGQMQNLMNACDDLGRAMESLVVSVVYDSDEENED >CRE04164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:111201:111995:1 gene:WBGene00067323 transcript:CRE04164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04164 MVVPSAQSVTSVALCPPSICSFHSFHPNPRSAPVNSAKDEKRAISLDVFMDADRFSMIVNKKHRSVVNNVLLPWLSEDDEENFWKCFGNNDEVVPPKTSETPARLIKKDISDKDEKKNQMVTLKLITPSSISIRNYPIHPSKTARIPAPLPKDQLEPSANASSSLPLTIDTSIPPPSFLTPPTSGPASPSLPAKKPSFVFNVGVVNAPEPGVKIAKKHLLDSEDAFEMYVDKSKPKKIKMFSPEELKKQSSLVDEWKRKIQESL >CRE04165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:114419:116370:1 gene:WBGene00067324 transcript:CRE04165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04165 MSRFLAGTLSAISGIVILGSLVVAGVLFNDINSLYFDVMDDMHEFKLLADDAWNKMITVQQNAPGNNLEDLFRRGKRQAGGSCNCGPQPSNCPAGPAGPPGEPGQPGDDGAPGQAGGNGHDGIPSPIDPYAQHECIKCPAGAPGPQGPDGDAGPAGPDGQPGQDGSRGNDGAPGAPGAQGDAGAAGQDGEAGAPGPAGKNGQRGAGAPGPQGPEGPAGAPGQDGAPGEDGAPGQAGSEGIAGAPGKDGEAGPDGVAGENGIEGAPGTDAAYCPCPPRTVGYGEVEQPQAEQSGYRRRAARRL >CRE04218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:118536:119330:-1 gene:WBGene00067326 transcript:CRE04218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04218 MSPSAVTSGFVTVIKPCLFHSFHPLGEDLSVQLPAVNEEKRSVGLDVFMDSDKFSLIVNKKHRLISNTTLFPWLPEDAEGSVWKCFGNNEDYKEGDAVAVKQEPRRQKENVDKDEKKNTVVKLTIYTPSSVSTKHYPVHPSKTAGKPAQCSKDQLEAAVNALSPVPLLIDTSVPPPSFLTPPTSGPASPTTTAEKPSFAFNVGVVNAPTAGVKVAKKHLMENDDAFEMIVDESRPKKVKIFSPEEIKKQESLVDEWKRMIQKSL >CRE04219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:121400:122200:-1 gene:WBGene00067327 transcript:CRE04219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04219 MSPSTVNVTSGFVTIAKPCMWHSFHPLSQDLFVQLPLVKEEKRSVGLDVFMDADKFSLIVSKKHRSIVNEVLFPELPEEVEESSWKCFGSNEEVNEANVVPVKKEPKAQKEIVDKDKKKNQVVKLTIFTPSSISTKHYKVFPSKTTEKRPAFSKDQQEFDAIASSSLPLDIDTSVPPPSFLTPPTSGPASPAFISEKPSFAFNLGVINAPNAGVKIAKKKLSDNGDTFEMYVDHSRPKKIRVFSPEEVKKQEVLVNEWKRKIQDCL >CRE04220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:123711:124430:-1 gene:WBGene00067328 transcript:CRE04220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04220 MSFYIVTSDFITIVKPCTLHSFHPLAEDLSVQFLSIKEEKRDISLDFFMDADKFSLLVNKKHRRVVNDVLFPELPEEVEENTWKCFGSNEDRKEADDIFPRKTAEKLPLLSNNPQDSAANSPSSPPFFIDTSVPPPSFRLPVFIDTSVPPPSFLTPPTSGPASPSLTAEIPSFAFNMGVANAPKPGFKVAKKKHSDSGEVFEMYVDTSKPKKMKVFTPEEIKKQESLVDEWKRKIQNTL >CRE04167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:128554:129708:1 gene:WBGene00067329 transcript:CRE04167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04167 MSVTLELNSYTLVIMIAFTAQSSPSSSGFVAVTNSSQVVALPLLAPSVCSFHSFHPNPHSAPLKSTKEEKRAISLDVFLDSDKFSMIVDKKHRSIVNDVLFPELQEDVEESSWKCFGNNEDCNKDAVQVKEQPKSQKENVDEDKKKKDPVVKLTIYTPSSISTRHFKIYPSKTDEKTAQCSKDQKETAATAPPSLPFCIDTSIPPPSFLTPPTSGPSSPSPTIEKPSFVFNMGVVNAPKPGVKTAKKKLMENGDTFEMYVDTSKPKKVKIFSPEEIKKQSSLVDEWKRRIQDSL >CRE04221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:133225:135138:-1 gene:WBGene00067330 transcript:CRE04221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04221 MKLPTFLVFFFLLAIHRVYTDTYSPYSPLSYVDRPCGTDLSNLWLDVVLVVDNSHGMTNEGLANVSSSILSIFGNGTRIGTNLTEHRTTRVGLITYNAEATQIADLNVLQSFFNLTNHVNSSLAEVSNSTWSFDKVGLKAAYDLLQNQSFPPNSRSHYQKVVILFASDSQAQNSEELDPYPMDYQLKDAGVKIVTVGYGNETLLERLSNISSPEYAFDGYDKGVTAKVQAALLKINCFCPPTWTQYTSSYSNSSSYHYGLCIQLMPTLTPWRTAQLNCSSYGNHSNIVTEYNKAKHDFLLEAVKNTPEFSPPYQYHIGLNLVNGTWTWDQPSNGSLPLLSWSNWILGFQEDTEATRVINIESENLKEGTTGWLNINDMKIKAGYICESYACDTDNYCLG >CRE04222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:136091:137449:-1 gene:WBGene00067331 transcript:CRE04222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04222 MKIANMKLHTLSLALIGVYACSADYDPASYVDRSCGTDLSNLWLDVIAVVDNSRGMTNKGLSYVASSIISVFGKNTRIGSSSAEPRTTRLGLVTYNSVATQNADLNQYQSIEDAYYGIYGALSTTVNTTESYLTTGLNAAVELFSRQSFRSNRQHYRKVIIVYASEYNGRGEFDPVPIANRLKASGVNIITIAYEQPGSAGLLQGLSQIASPGFSFSGDNIAGNLVKEIQTALLQSNCFCPNDWTQYRGSYSDPTSYRYGVCLLPVNLPKVWASAKMACSMKSNRTHLATEFTQAKHDFIFNLAQHSNFKQNPYTYHIGLNFVNGAWIWDQPAGQSPVNLKSWSNWQTGFPIGSPASQSVVSNIQNGVTTKWNNIAMYTAAADYVCETYSCDTDNYCDADFVYDN >CRE04169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:139595:140874:1 gene:WBGene00067332 transcript:CRE04169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04169 MKLIYVLLFLIGSNVRSADEECGGTVLDLWLDIVIVADNSQRVNQNNSVVDIQNSISNIFEIVPIPINRVGFVTYNSLATINADLNKFKSWGDLSQGVNDSYNNMNLSSENTSFIGTGLITAGELLQVQGSAIGRVYYPKVIIVYASAFNGTGLLDPLSVANTLKSAGITIITVAVDTDNNGVIQKQLASIASPGSAFSLDPDDDHWPVREALINANCFCPPGWTQRQEFYRNKFKKYASCFQFIGTPSAWNFAYFRCLILWSDGSYLAMENEPSKQKFILEAVRNNSAFQQTPLQYHIGLNMIGEKWVWETSDGPQQELGWNDWMDSYPVISSSMTSVMNVQNGASSGWQNIDPDEVMAGYVCEAKACSASRFCYIKTSNT >CRE04223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:141141:142464:-1 gene:WBGene00067333 transcript:CRE04223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04223 MRIFIFLIFFLVIQYVYADHYTPYSSLSYVDRPCGTDLSNLWLDVVLVVDNSEEMGSQRLFDVAANIIDVFGANTRIGSNSSEPITTRVGLITYNFNATLNANLSQFQSYDDLSNGVFHSLSNVTNSTDSFIGTGLAMAEQLLRRQNFNTTRDHYKKVIIVYASAFQRNEDETPEWIADRLKGSGVKIITVGYGNSHGLIKSLSNIASPGLSFNSSGDGNLINQIQTSLLQGKFQCNYIFYISISANCYCPSTWIQYTSSYSNSSSYHYGLCIQPVPTLTTWRMAHYACIFLGNHSSLATEYNQDKHDFLLDAVKDTSGFSPPYQYHIGLMSNSYYWGWDPPTGSSGPTLQSWSNWILGFQGDTEARSVINIESENLKEGTTGWLNIDDTRTKAGYVCESYACDTDNYCHS >CRE04224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:143074:144397:-1 gene:WBGene00067334 transcript:CRE04224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04224 MKLHTPLLALLGVYSCSADYDFASYVDRRCGEDLSNLWLDVVAVVDNSQGMTNEGLSNVAADIFSVFSSGTRIGSNSSEPRTTRLGLVTYNSAATQKADLNKFQSIGDVANGIGNALSTVVDTTDSYLATGLILAAKMFNEQSVNTNRGHYKRVVIVFASEYKGIGELDPLPVANRLKLSGVNIITVAYQQAGDDGLLQGLSQVASPGFSFVNNPLNLVTNVQNALLQSNCFCPSGWIQYRTSYSDPASYRSGVCLQLVGIPASWAAAKNGCRMKSNTNLATEFNQAKHDFIFNAVQDINSGFQRNPYQYHIGLNFINGDWVWDQPAGQPPVKLQSWFNWGTGYPNSPSSQSAVSNIQSGVTTKWTNVAMYMSAANYVCETYSCDTDNYCDA >CRE04170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:146121:147456:1 gene:WBGene00067335 transcript:CRE04170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04170 MKHHSLLLALLGVYACSADYDPASYVDRRCGEDLSNLWLDVVAVVDNSQGMTNPGLINVASDIYSVFSSGTRIGSNSSEPRTTRVGLVTYNSNATQKADLNKYQSIDDVLNEIYDDISTVVNTADSYLATGLQLAEKMLIDQSENTNRAHYKRVVIVYASEYKGEGELDPLNVANRLKLSDINIITVAYEQKGDDGLFHDLSQIASPGFSFVNNASDTGNLVTNVQNALLQSNCFCPSDWIQYRTSYSDPASSRYGVCLQAVNIPSNWLGAKMSCSHRWIKSNLATEFNQAKHDFILSVAKITDGFAPPYQYHIGLNYASGSWVWAQPTGLQQVPLQQPLMWLSGYPQLASDKSAVMNQQSGLGTGWQNIATMTGNYNYFCETYSCDTDNYCFAQN >CRE04172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:151315:152635:1 gene:WBGene00067336 transcript:CRE04172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04172 MKLGLLILLLLVSVYADTYSPLSYVDRPCGMDLSNLWLDVVVVVDNSQGMTNNGLDNVAANILNVFSSGTRIGSNSSEPRTTRLGLVTYNSNATQQADLNKYQSINDAGNGIFDSLSTVVYTADSYLATGLTLAEKMFIEQSVNTIRGHYKRVVIVYASEYNEDGELDPLSVANRLKLSNVKIITVAYEQPGSVGLENGLSQIASPGFSFSNNVVNISQEIQNALLQSNCFCPSNWIQYHTSYSDPASSRYGVCLQLVGVLANWVAAKNGCRMKSNTNLATEFNQAKHDFILEAVKDNSGFQRNPYQYHIGLNYINDDWVWDQPAGQPPVKLQSWFNWGTGFPNAPASQSAVSNIQSGVTTKWTNVAMYTGAANYVCETYSCDTDNYCDDNY >CRE04173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:153164:153709:1 gene:WBGene00067337 transcript:CRE04173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04173 MQLLFSRRILSYVDRPCSTELSNLWLDVIIVVDNSQGMGIVRLTNVTSVEFYKQIQNVQVAANILDVFFNTSIGSNSSEPKTTCIGFITYNSNATLNVDLNKFQSSDSLFVDRVFNFLSNVAYSRDSFMGTGLPMAEQLLGRQGFGIGRDQYQNVIIVYASTYQNNKEFDPESIADRLKKS >CRE04174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:154500:155844:1 gene:WBGene00067338 transcript:CRE04174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04174 MKLLNLVLALLGVHACSAQYSPQSYVDRQCGTDLNNLWLDVIAVVDNSHGMTNGGVQSVAANIASVFSSGTRIGSNSTEPRTTRVGLVTYNSAAKLDADLNKFQDLDGLYNGVFKDLSDVVDTTDSFLATGLNAAEELLQSQSLNTTRDHYKKVIIVYASEYKGSGELDPVPVANRLKGSGVVIVTVAYDQGGDEGLLRDLANIASPGFAYSNAPNNAGNLVGQIQDSLLQSMFLCIIFIFSSYLFTANCFCPNDWTQYRASYSDQKSFRYGVCFLPVNLPAVWNAAKMACRMKSNHAHLAAEFDQSKHDFIFNLAQDSNFKPNPFTYHIGLNFVNGAWVWDQPAGQSPVNLKSWTNWQSSYPKSPASLSGVSNIQTGLTTQWNNVALFVGAQDYVCETYSCDTNNYCDANIVYNN >CRE04225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:157061:158408:-1 gene:WBGene00067339 transcript:CRE04225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04225 MKLLSLLLLTLLGVYACSAQYSPQSYVDRQCGTDLNNLWLDVIAVVDNSHGMTNGGVQSVAANIASVFSSGTRIGSNSTEPRTTRVGLVTYNSGAKLDADLNKFQDLDGLYNGVFKDLSDVVDTTDSYLATGLNAAEELLQSQSLNTTRDHYKKVIIVYASEYKGSGELDPVPVANRLKGSGVVIVTVAYDQGGDEGLLRDLANIASPGFAYSNAPNNAGNLVGQIQDSLLQSMFLCIIFIFSSYLFTANCFCPNDWTQYRASYSDQKSFRYGVCFLPVNLPAVWNAAKMACRMKSNHAHLAAEFDQSKHDFIFNLAQDSNFKPNPFTYHIGLNFVNGAWVWDQPAGQSPVNLKSWTNWQSSYPKSPASLSGVSNIQTGLTTQWNNVALFVGAQDYVCETYSCDTDNYCDANIVYNN >CRE04175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:158905:160233:1 gene:WBGene00067340 transcript:CRE04175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04175 MKLCLPILLFSVSVYADSYSPLSYVDRPCGTDLSNLWLDVIAVVDNSRGMTVAGLNEVAANIASVFGSGTRIGLNASEPRTTRLGLVTYNSVATQKADLNQYQSIGDVFHGIFDALSNIVDTNESYLATGLELAERMLIDQSVNSTRAHYQKVVIVYASEYDGNGELDPLPIAERLKLSGVKIITVAYGNAYGLTKSFSNIASPGFAFSNSDNQGKLIGQIQGSLLQANCFCPSDWIQYRTSYSDPAAYRYGVCILPVTTPQVWRAAKMSCSHRWNNSNLATEFNQPKHDFILSAVRNTQGFSPPYQYHIGLNYASGSWVWTQPSGRQQVPLRQPLMWLSDYPQLASDKSVVMNQQNGHETGWINIASMNVVANSVCETYSCDTDNYCDAQYFKNQ >CRE04176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:160803:162112:1 gene:WBGene00067341 transcript:CRE04176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04176 MKLGLLILLHLISVYADYSPLSYVDRPCGEDLTNLWLDVIAVVDNSRGMTVDGLNEIASNIASVFGFGTRIGLNASEPRTTRLGLVTYNSVATQKADLNQYQSIGDVFHGIFYALSNTVDTTESYLATGLELAEKMFNDQSVNSIRAHYQKVVIVYAATYQTKGEMDPESIADRLKMSGVKIITVAYGDAYGLMKSLSVIASPRFALSNLADSPGNLIVQIQISLLEANWLSAKMSCSNRRSNSSLATEFSQAKHDFIFNVVQNTTGFSPPYQYHVGLNYVSGLWVWTQPTGRQQVPLQKPFMWLSGNPQRSSTQSAVMNMQSGHGTGWQNIATMTLSANFICETYSCDTDNYCGA >CRE04226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:163456:164976:-1 gene:WBGene00067342 transcript:CRE04226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04226 MNTDRLKDVAANILIVFSSGTRIGSDANEPRTTRLGLVTYNSVATQKADLNQYQSYFDANSGVFDALSIVVDTTESVNSTRGHYKKVVIVYASEYKGDEELDPLPIADRLKASDVNIITVAYQQPGSVGLLNNLTQIASSGFSFSDDDSELGILNGKIQSALSQSNCFCPNNWVQYRNSYSDPASYRSGVCLQLVSILATWTAAKNVCRMKLNTNLATEFNQAKHDFILDAVKARFAPPYQYHIGLNYVSGSWVWTQPTGRQQVPLQQPFMWSSGYPQQSSTQSGVMNQQNGLGTGWQNIATMTSGYNYVCETYACDTDNYCDAQSIKSY >CRE04177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:165445:166767:1 gene:WBGene00067343 transcript:CRE04177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04177 MKLGILILLYLFGVYADYSPLSYVDRPCGTDLSNLWLDVIAVVDNSRGMTNKGLSNVASSILSVFGENTRIGSNSVEPRTTRLGLVTYNSVASQKADLNQYQSIADAYTGVFDALSTTVDTIQSYLATGLALAERMLVDQTVNSTRAHYKRVMIVYASEYNGNGESDPLPLAERLKLSNINIITVAYEQPGSVGLLQGLTQIASPGFSFSSEFVAGNIVKEVQNALLQSNCFCPDWIQYRGSYSDPASSRYGVCLLPVGVPVVWAAAKIECSNRWNNSYLATEFNQAKHDFIFNAAQGSYFQQNPYQYHIGLNFVNGAWVWDQPAGQPQVNLKSWFNWGTGFPNSPASQSAVSNIQSALTTKWNNVGTFTTPASYICETYSCDTDNYCDAQNMKNQ >CRE04178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:167569:168895:1 gene:WBGene00067344 transcript:CRE04178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04178 MKLGLLFLLYLISVNADSYSPLSYVDRPCGDDLTNLWLDVIAVVDNSRGMTVNGLNYVSIFSLTMPSSLFTKFQIASNIASVFGFGTRIGLNASEPRTTRLGLVTYNSVATQMADLNQYQSLHDAFNRIFDDLSNTVDTTESYLSTGLTLAEKMFNDQSVNSTRAHYQKVVIVYASKYQTNGESNPESIADRLKLSGVKIITVAYGNAYGLMKSLSIIASPGFAFSNLVVSPGNLVGQVQTSLLESNCFCPDGWVQYRESYFDPASSRYGVCVQLVSLQANWLSAKMSCINRNSNLATEFNQDKHDFIFNVARNTKGFAPPYKYHIGLNYVSASWAWTQPTDRQQVPLQQPLMWLSGNPKPASTQSGVMNMQSGQGTGWISIAEMTGSANYVCETYSCDTDNYCDENMNKKQ >CRE04227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:170092:171382:-1 gene:WBGene00067345 transcript:CRE04227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04227 MKLLYALLFLIGASGKQIVTIYPLTVCSAEQCGGSISDIWLDVVVVVDNSQRVNKRSFVSSTRDTINNIFREASIPRTRVGFVTYNSQATTNADLNKFKSYGDLQQGVYNSYNDMNLSPEKTPYIGTGLIAAGELLQIQGSADGHVNHPKVIIAYATALNGTGLLDPLSVANTLKSAGITIITIAVDTDDNGVIEKQLAPLASPGAAFGSNYKIGDIQSVMILLNCFCPPGWTQRQELYYNKIQKYTTCFQFFGAPTSWNTAYQGCSNLWPGSSYLAMESDYDKQQYIQGSARNNSAFQQTPLQYHIGLKMSQGKWVWNTPYSQPALSLSWSNWMYKYPVVSSSMTSVMNNVQNDTDYGWQNIDPMKVSAGYVCEAVACSARNYCDAYWNNRHIS >CRE04179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:172858:174149:1 gene:WBGene00067346 transcript:CRE04179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04179 MKLLYVLIFLIGANDNSQRVHQYNFVSDVQNSIYYIFKGVNFPYTRVGFVTYNYVATVNADLNKFKSPSALSQGVYNSYNLDNISPEKTSFLGTGLTTAGDILTVQGSADGRVNNPKVIIVYASVLNGTGFVDPLLVANTLKSAGITIITIPLDTDHNGVIQKQLVSIASPGFAFDYLNPIYSDPVRNALRDVNCFCPPGWTQRRESYNNETRKFISCFQFIGAPTSWNTAKQGCRNLWPDNSYLAMENEPSKQDYIQGAVRNNSAFQQTPLQYHIGLNMMQGNWIWDQPYGLPRKILNWDDWMPNYPVVSSSMTAVMNVQNSTSSGWQNIDPIKVSAGYVCEAVACSVNNFCDANWNTKKQT >CRE04229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:185356:188290:-1 gene:WBGene00067347 transcript:CRE04229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04229 MKLAIAVFTMVLLFYGTSADLSYTDRECGTDLTKLWLDVVAVVDNSIGMTNEGLDTTAAHIASVFSAGTRIGTQSSEPRTTRVGLVTYNVNAQQNADLNKFQSVDDLFNGVFADLKTVSTSAQSYLSTGLAAAESLFEYENFGTSRSHYKKVVIVYASTYVGEGEMDPLPVAIRLKTSGVNIITMAYVQNGDGFMLKQLAEIASPRFNFSSTDNNGNTVGQVQSALLETNCFCPSDWIQYRQNYTDYNSYRYGVCLQTVSLAANWRAAKMACANRWTNSYLVNEYNQQKHDYVLNVVQNSSGFFQPYSYHNGLNMVGGVWKWDQPSGWPQPALKNWYNWDPGYPITSSSLTAVLNQQNNEEVATGWQNIDYYKTAVNYVCETSSCDTDNYCSSAGRNGE >CRE04228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:183698:185027:-1 gene:WBGene00067348 transcript:CRE04228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04228 MKLLAFLLALLGVYACCADYSPLSYVDRPCGTDLSNLWLDVVAVVDNSQGMNNGKLNEVTSNILSVFMSGTRIGSDANEPRTTRLGLVTYNNVASQKADLNQYQSIADAANGIFVALSSTVGTSESYLATGLEMAERMFNEQSVNTTRAHYRKVVIVYASEYKGDGELDPLPVSNRLKLSNVDIITVAYQQSGDDGLFESLSQIASPGFSFINDNGQGGNLVQKIQGSLLQSNCFCPSDWIQYRNSYSDPASSRYGVCIQAITIPVSWFAAKLSCSNKRTNSNLATEFNQAKHDFIFNVAQNTTGFAPPYQYHIGLNYVSSGSWVWTQPTGRQQVPLQQPFMWLPGYPKPASTQSAVMNMQSGQETGWQNIATMTGSYNYVCETYACDTDNYCDA >CRE04180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:177544:182748:1 gene:WBGene00067349 transcript:CRE04180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04180 MAKVHILLGGTSADLSYTDRECGTDLTNLWLDVVAVVDNSIGMTNEGLANIAANICTVFSAGTRIGTQASEPQTTRVGLVTYNVNAQQNADLNKFQSLDDLYNNVFADLSSVSTSAQSFLSTGLAAAESLFEYENFGTNRSHYKKVVIVYASSYAAGGEMDPLPVANRLKTSGVNIITVAYDQTGDGLLLKQLAEIASPRFNFSNTDNEGNTIGQVQSAMLETNCFCPSGWIQYRQNYTDHNSYRYGVCLQAVSLAANWRAAKMACANRWTNSYLVNEYNQQKHDYVLDVVQNSSGFFQPYSYHNGLNLVGGVWKWDQPGGWQQPDLKNWYNWDQGYPITSSSLTAVLNQQNNEEVATGWQNIDYYKTAVNYVCETSSCDTDNYCSSMKFLSVLLAILGIYACSAESYVDRPCGTDLSNLWLDVVLVVDNSQGMNTDRLHTVTANILSVFGSGTRIGIDETYHRTTRLGLVTYNSVATQNADLYLYQSFDEASDGIIDATRTAVDTSESYLATGLEMAERMFNEQSVNNVRSHYKRAVIVYASEYKGDGELDPLPVANRLKLSGVNIITAAYEQSGDDGLFESLSQIASPGFSFSFDYGLDGNFVGNIQGSLLQSNCFCPSDWIQYRESYSDPASARYGVCIQPVTIPASWLAAKLSCSNKQTNSNLATEFSQNKHDFIFNVAQNTTGFSPPYQYHIGLNYVSSGSWVWTQPTGSQQVPLQQPFMWLPGYPKPASTQSAVMNMQSGQGTGWQNIATMTGSYNYVCETYACDTDNYCAAE >CRE04182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:192654:194224:1 gene:WBGene00067350 transcript:CRE04182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04182 MDFKTRTFLQSTCRNERDRENQIYSVEKVADSILVKFSEESAPKTYSILVLPYIFSAVVVENFNFNFFDWKDGKRFPKVEKMIKKQQRPLRIQKFKTCGLNKFNIFFLRHCWNQIECIELNTQFWEISAGLAEAVKLEAVSIRALLHSFTTARMMRFSALNVMQGSFLFEKLIELDIAVGQQFKIANCLAGSRYFNPFPIDLRDRKWRSRVVARHKYTLLRIRTDNPDRHIFMATDPFESGFAYCTVIPAVLRTNQLKDYLYWA >CRE04230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:194518:198167:-1 gene:WBGene00067351 transcript:CRE04230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04230 MESYPEPKLEPVENVTVKIEPLEHPIPLQEPKLEPPDEMEWTQPKIEPVDDFETNYQPPNEYQEILEYHFEQKPGIIDESQPSTSLQDCKTEFGHVHHLVRSETKICTLCSATQQRDKMRAVTSKMQRTILIVARLLQSLITMKEAKEMMSETHNVVCESHFEESVGIFDVSYGKKELRSLVKELRPGIKFGDFIQAIQRFQGKQGEKMQHVAKIKPVSIPSKTTHKSPQKTEGHCSLCSKCQPLSIMSKIPNVDHILVIIIGSILRQTYTIGQAQIFLQLVDTCYICHEHFSSACQEICKFLGIEDLRLVSTCEMDRLVELMQSVNRVFPSYSDAKVEEIVISFYETYKKVIEATPGPPATVPANPTPAIAKKPPNAHYLQCALCSEPKSRSEQKKVFGGDRLVIIVGHVLLGIYSIPQIKEMVEEKKEIIVCHSHFSDALKGILETLAVDSVENIWKSPMSRIRCMMETVTALSHLSQASHLYFITFLEKFKLKYSDILSK >CRE04184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:202604:207933:1 gene:WBGene00067352 transcript:CRE04184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04184 MSLSKPFPLLRLPRLPLFKVFNDIGVQEQFYLSICSSKAKYAIKFYNSRQKFSVTFRFTNNFEFSLKAENSYDKFQIDIQTVTPIFGPMWTFLSSFDVEAPTNVQRLLLFVMDVYNTPTISLVFEERPHDYVSGFINFIQSLKLKIHYLGIRSHNEEDVKFVLDSCREGFSKLHLNCPMFHTEFEYLNKPLTPMFSLDKLIINYAGWVTTRHLTKLFINCKQVLLDRCSLENINVKQLIQTWIYGYSQWNRTWLTFDSIDFSLADIMRGKPSTVVPTEEIGWAFRFLGELTIGAYRIQQQKTGVEAYVISYRETLNRKQWTIGYRDAERRELQRISEAFLYSGSVFRIRLSAILNITLLVKVFCTASMDFTVFISVGLETTVPYFKNGLAKRSSIRIISLVEHTISKMVNELKALKAPITGFLQCTKWIRERLENLTTMEFADSLESLKDAFDQQKLNNREIQKFKENVDRCFTRQSEVSAADYQQLSDFSERRTADLDTLMAYVRAAQTELDWISQREMVEVSRDWSDMDQLDLPMLTKSYAQLLHDMAVHENQRDDVRIQGSVLLNKGHPDVRAIEMYMKSMQTRWDWLLALCKCFDYHVRDAQNLKMLMEKAAEAERWIEDRSSQLMKYDN >CRE04232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:213968:214948:-1 gene:WBGene00067353 transcript:CRE04232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04232 MSLSKPFPLLRLPRLPLFKVLNGIGVQEQFYLSICSSKAKYAIKFYNDKQKFTVTFHFTDNFAFYMRTENSDDEFQIDVQNHTAVFGLMWTFMRNVEASSFDPFAKNVKRFLLFLVDVFNTPTICLNFEERPQYFVTELICFVHSLKLKIQSLNINSSKMEDRIVTLVMNSCRAASEVYLNFPTTLEFDYLNKSLFPKFNLDKLTMHYAGWVTTWHLTNLFMNCKHLDLYNCSTVHINVNQFIKEWVNGSSQLKYAKLTFVDYPMIDILEGIPSTFVTTTGTWWA >CRE04235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:226921:230530:-1 gene:WBGene00067354 transcript:CRE04235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04235 MKFLSTVLIHLLITYTMITTEKLSRKENEERLNTCGQYESNNTSQPSLSRNWYWLSYFLIGPNDGNHESAAIVISKRHILTLSLPVLGDGMKWNIDGSEFNGKCMEGTNHTNVPQHILKKFSVQPLHCYRFPQNPGCPQTPIQPVRAYILHICNKLVFDRYSFSYTPMILDLGEGYDLKIHPPCLGDTDIRDQGDSAQLYRFNLQRGRDIYTSMLNITYSHEYKIRCSMYAPNNPKSYGSPLVKIVNGRETIIGLSTPNDPAYLHGHQETTFFNVSFYRNDLCSLIGVCGPSVGITTVPGPKTTTMTLPAITTTPPEIQMTKTLTDPSEESPRKLDARPKSRWDRMSDEDIWLYYVYRNVEKREPEKSGGGVNVKPLSVVLLAYCLVFFK >CRE04186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:231743:234514:1 gene:WBGene00067355 transcript:CRE04186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04186 MESSQCVSSKYMCHPWRTVTHEVNCPVRVLPTTFNDSFNRFEIAHYIHAANRVAETVPVGGPMSCLMKIPQNIQLLFELNVPTTLERKSIGVVIGVNHDYRHIFIGFRSTNDLFQFIAQYVVFTMGWMEDFPLGGKMVAIYVQIYKDILNFGFDASLEQAVSLFPTYSLLVTGHSLGGAMATVFSLHVALRYPLKPVSLYAWSGPRSGDETFVRMLREHLTEQYRVVRDGDPTPDFPLRVSQTVPTPHHNTFEVFYPTHMTTDNYKICNQAESETCLKGSWWKTFFSHIFMFDMNFLNHQRMGYCNE >CRE04238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:244767:245844:-1 gene:WBGene00067356 transcript:CRE04238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04238 MSEVSKPLPLFRLPAILLREISRYMDLQEILLISVASKKSAYIMRSLLPKNWFNLKLLFCTETKIVLGAKGRWDPVIVNGGKVGDLIKLQITQPSGVISYQWMGSNMQESVELLLTHFATVFKPTISINFEEDCNQYFVMGVLKYVKQQNFMITSLKISPYISPENYKYILDEYREVPKLRLFCKTSLDFEYRAGPDFRVNDLYVSDGHWVHLEDFSNCKKVAVRNCCGKTNCCGKTNYCGKNKQLKYANLEVPRALIRKWIESDCRLEYFAVSSFPIKFNFRLVLHGLGFRITELGQAFHTVEITRRCNGKKATVACSEYEFVLEVID >CRE04239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:246406:247307:-1 gene:WBGene00067357 transcript:CRE04239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04239 MNAVSKPFSLFRLPGVPLTKISRYMDLREIFMMSLASKKSAFIIRYLLPPKLFSLKIEFSVESEATVGAKGHWNDPLVIKRMGVYGRPVAKQFCYVCSQWAKGDSVKSLLSHIAIVFNPTISIDFGKICDQEFVINVMNHVKQLNLVKTSIKLSFAVSSENYRHIMDECRDNFKLWLHCETSSDFEYRAGPDFRVNDLYLSDGHWVHLEDFVNCKKVVVHNHHHHQQPKCANPEVLKAFIRKWIESECRLQHLEVYGGFILFHFREVLSGLEYRSVLMPSLQYS >CRE04240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:247810:248852:-1 gene:WBGene00067358 transcript:CRE04240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04240 MSKIFKALPLFRLPTILLKKISRYMHLQEILLISLASKKSAFIMRYLLPLNWFNLKLLFFSGTTKVVLGAKRLWDPVIVKSRNIGDLFQLQVTQPSGDVSYQWAGPQLQELVKILLTHFATVFNPTIYIHVDKGYSQEFVMGVLKHVQQLSLMITSLQIYANISPENYRNILDECKEVSELSLFCEVAAHFKHCVGPHFRVDDFLVSDGHWVHLEDFTNCKRVSVWNSTGHKQPKYTNPEVLRAFIRKWIESECRLEYLEVYGGRARMDFRVVLSGLDYRTIEQTEYSHDVEITRRCDGKKATVKCGTYHFEFTVIY >CRE04189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:251286:252364:1 gene:WBGene00067359 transcript:CRE04189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04189 MREVSKPFPLFRLPVVPLTKINRYMDLQEILLISLVSKKSAYIMRSLLPPNWFDLKLSFYSNYSEYIIKIVLRTKEPWDPVITKGRQLEKFYKLQVTEPSGDVSYQWAEPQLQDLVNITLTHFATVFNPTISISFKKVYSEEFVMGVMDHVKQLNLVKKSIKLPAVSMSPENYKRILDECKEVSKLWLYCEVTTDFEYHLKPDFRVDDLLISDGHWMHLEDFSNCKTVTVLNSSEHKQLTYANPEVPRALIRKWIESDCQLEYLEVRAYWVGIDYREVLTGLEHRPTEQTEHSHSVEITRRCDGKKATVKCEKFRFEFKVIG >CRE04243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:255485:256562:-1 gene:WBGene00067360 transcript:CRE04243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04243 MTEVSKPFPLFRLPAIPLKMINRYLNLEDILLISMASKKSAFIMKSLLPPNCFHLEFHLSEKSEISLCTKGLWNPMIVKCEKTGQIYELKIAQDNGVITHWCTSPDLKAIVIALLSHFALVFNPSITINFGYFCNQEFIMGVLNHVKQLNLVKKLIYLTPVFMSSENYEHILEQCKEVSELWLHCETSLNFEYRAGPDFRMGYLYVKDGHWMHLEDFMNCKRVSVWNSTGHEQPKYTNPVVLRAFIRKWIESECRLQHLETYGGRVRIDFGEVLSGLEYRTIEQREYTYSVEITRRCDGKKAIVTFGTYNFEIVIN >CRE04245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:259998:260982:-1 gene:WBGene00067361 transcript:CRE04245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04245 MDLQEILLITLTSKKSAFIMKFLLPMNWFNLELLFFSETTKFFFDAKGPSDSVLVEGQNAGDVYQFKIAQHNRDITHHEEFMMGVMDHVKQLNLVKKSIILSQVSMPSANYRHILDECKEVSKLLLFCKVESGFEYRAGPDFRIDYLHVSDGHWMHLDDFSNCKKVKVFDRSEHKHLKYANPEVPRALIRKWIESDCQLEHLEAYGDWVGIDFREVLSGLEYRKTEQREYSHSVEITRRCDGKKATVTCRWNYFELKVID >CRE04190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:261393:262465:1 gene:WBGene00067362 transcript:CRE04190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04190 MTEVSKPLPLFRLPTLLLRKISRYMHLREILLISLTSKKSAFIMRSLLPTNRFFLKIKFSGESNIFLGVKKGPWAPIIFKGEKTGDVFELQIAERNGDIIHRWTSHDLEAIVKPLLTHLAIVFNPTISITFGEICHQEFVMGVMNHVKQLNLVKKSIKLFPRSLSSENYRYIVDECRAVSELWLFCKVESDFEYRAGPGFRMNYLYVSDGHWMHLDDFSNCKRVTVRNCSEHKQLKYANLEVPRALIRKWIESECRFEYLDVSSFPVKFDFKLVLQGLESRTIEQTEDFHSVEITRRCDGKKATVNCGLYHFELKVIY >CRE04246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:262636:263349:-1 gene:WBGene00067363 transcript:CRE04246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04246 MGLRESTMVRIQSYCRKKQRTGRCLPFQRRQCTVPQNVNDYGGIEYLRNITIGTPPQPFLVVLDTGSAYLWVPSFPVFRGAAINVPGGVFTYGGLDTTNCGDVIAYQPLSSATYYHIVATGFALGSYSISKKYQMISDTISSYIGGPKAVIDGLANALGATYHSDDQYYYLPCSTAKGTFDITIGGNVYSIQPVNYIVDVGMGDTCLFAAFSYNNFGFGPS >CRE04191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:264899:265803:1 gene:WBGene00067364 transcript:CRE04191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04191 MSKVSKPLPLFRLPTLLLTKIIRYMNLKEIFMVSLASKKSAYIIGSLLPPNLFNLEIDFSVESKATVGAEKHWIDPLVIKRMGVYGRPVAKQFCDVCSQWAEGDSVKSLLTHLANVFNPTISIDFGKICHQEFVMEVMNLVKQLNLVKKSIYVSCAALSPENYKYILDECKTIFQLSLFCEISSDFEYRAGPDFRMVYLYVSDGHWVHLEDFTNCNRAIVHNHHHHQQPKYANPEVLRAFIRKWIESECRLQHLEVYGGFILFPFREVLSGLEYRSVLVPSLQYS >CRE04192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:266408:267495:1 gene:WBGene00067365 transcript:CRE04192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04192 MNEVSKPLPLFRLPAIPLTMISRYMDLQEILLISLASKKSGYIMRSLLPLNCFNLKLLFCTETKIVLGAKEPWDPVIVNGRKVGDHFKLQITQPSNVVSYQWIGSNMQESVELLLPHFATVFNPTISINFGEDCNQYFVMSVLKHVKQLNFMITSLTISPYISPENYKYILDEYKEISELCLSCETSLDFEYRAGLDFRVDDLYVSDGHWVHLEDFSNCKKVAVRNRCGKTNCCGKNKELKYANLEVPRALIRKWIESDCRLEHFTVSSFPLKFNFRLVLQGLGFRTIELNHSFHGVEITRRCDGKKATVICSEYEFVLEVID >CRE04193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:272966:274064:1 gene:WBGene00067366 transcript:CRE04193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04193 MSKVSKPLPLFRLPTLLLTKIIRYMDLQEILLISLASKKSGFIMRSLLPRNWFNLKLLFYIKETEIVLGAKGPWAPVRVKYENGGDLFKLQITQRLENVFYQWAGPQLQDPVKLLLTHFATVFNPTISIYFGDICNQEFVMDVLPLLKQLNLSVKVLKFWDAQISPETYKYVLDEYREVSELWLFCKISSDFEYRAGPDFRVDNFRVGEGHWMHLEDFVNCKEVAVYNHHQHKQPKYANLEEPRALIRKWIESECQLELFTVSSFPDKFDFRLVLQGQGLRTIEQTFSSHSVEIIRRSDGKKALVTCKWGFFELKVID >CRE04248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:275355:276339:-1 gene:WBGene00067367 transcript:CRE04248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04248 MDLQEILLVSMASKKTAFIIRSILPMNWFNLELSFFSGTTTLVFGVKRPWDLVLIKGQNTGDVYQFQIAQHNGDITHQWTSPDLEAIVKSLMSHFALVFNPSISIHFEEVFSEEFMMGVMDHVKQLNLVKKSIILSQVSMSSENYRHILHECKEVSKLLLFCKVESGFECRAGPDFRIDYLHVSDGHWMHLDDFSNCKKVKVFDRSEHKQPKYANLEVPRSLIRKWIETECRLQHLEVRGGRGGIDFREVLSGLEYRTIEQTVDSHSVEITRRCYGKKAIVTCEEYEFELKVID >CRE04194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:276772:277987:1 gene:WBGene00067368 transcript:CRE04194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04194 MTEVSKPLPLFRLPAIPLTKISRYMNLQEILLVSLTSKKSAFIMRSLLPTNRFFLNIKISEESNIFLGVKGPWAPVIVKGEKTEDVFELQIAQHNGDVIHRWTSLDLEAIVKSLLSHFALVFNPSISIHFEEVFSEEFMMGVMDHVKQLNLVKKSIKLSSFPMSSENYRYILDECRAVSELWLFCKVESDFEYRAGPDFRIDYLHVSDGHWMHLDDFSNCKKVKVFDRSEHKQPKYANLEVPRALIRKWIESEGRLENLEVHGGRGGINQRKVYFEMNETPGCFKATSIPYTFDFKLMFKGLELRTTEQTVNSHSVEIIRRCDGKKATVTCGWNYFELKVID >CRE04195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:279050:279998:1 gene:WBGene00067369 transcript:CRE04195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04195 MSEDSKALPIFRLPTLLLTKISRYMDLQEVLLISLASKKSAYIMRSLLPRNWFNLKLCFYSDETEIVLGAKGPWAPVRVKYENGGDLFKLQITQYSGDVSYQWAGSYLQDPVKLLLTHFATVFNPTISIYFGDICNQEFVMDVLTHLKKLNLLVKILKLRDVYISPKNYKYVLDEYREVSELVLFCKVAEDIQYRLGPDFRVDDFRVREGHWMHLDDFVNCKKVAVYNHHQHKQPKFANPKVLRAFIRKWIESECQLKYFKISSYPAKFNFELVLQGLGLRCL >CRE04200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:291412:292477:1 gene:WBGene00067370 transcript:CRE04200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04200 MSEVSKPLPLFRLPTVLLRKISGYMHLREILLISLTSKKSAYIIQALLPPISFEMQLSLYSHIYIALGNKDSWDPVVFKAQHSGSFSYRGDEKELEKVVKFLLSHFALVFNPTMQEVSFDEGCSQKLMISVLKHVKRVNRVAATEIGEISISPENYKYILDEFREIPRLALNCKVASDFRYRTDRHLFKVEDFIVDDGHWMHLEDFTNCKMVTVNIENHMYPNISVMLRTFIEKWIDSADWRLEQLEVCSYLAPINLSEVLVGVEYSTVELNEQSETIEITKKSDGRKATVKCGEISFEFKVID >CRE04251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:296130:311687:-1 gene:WBGene00067371 transcript:CRE04251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04251 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MYZ1] MFKWDPCGLVCVCMIYFLMAYADYVILIWMLLPTFGHSIWTVIHGVVFNALLLTTLVAHTKAMTSDPGVVPISQSKSQKPSSPNGQEYSSDDEDESDEEAAFHSDHRFNRPSATEWTMCTRCDSLRPPRAHHCRVCKRCIRKMDHHCPWVNNCVGEYNQKWFLQFIFYVGAASLYSLFILCICWVHHDAYGSTGIKSVHGETAYHAKVYVFPFKRVKVMLAMESALFGLFVLAVSCDQLGAIFTDETAVEACQRRGRNYLASSRRPRNSKVAMLKQVCGPGPPCAPHQNTTILYAYSTDIDYVTYSYAALNFNNNAYLYATMANVRFDTKVEEEIEYHKNYNSLNASLLAHQPDPKLGYGDTTTGSDVYNVLKKFLNNKQASICGAEIYIAVKRYPTDLDVSDIIAQLRANHIIVYIAVDTVSSGGGTSAAILYDTATKTNGLCLFATDYDLSEGFYYMTWMLEEPYQFIARNYLGSGTGRIDLPLFKSPTPAGHLERGRVAITIQNHALDADFISVNYTIASTDGDIAYQYPGFQDKKLYGTQQTDYVIFNSSLTYRWTIDYKYNGGAQQVIQCRMYSNYYHDFVTLPNY >CRE06539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:374450:378404:-1 gene:WBGene00067373 transcript:CRE06539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06539 MFTIETLMNEGNTQADQPSTSTAPPPVPFNYSPPALTAPLLKALLTKAASNGPSSNGHPLPGAAQNRGPSSSSSSSSSSPSHSVGPISTQTPSPPRFRPSPSVQTPVIISGPATGKYAHPHDGSGSSTPSCSASTSAPTQADLSLVRKFMRKTSRVQPLQNGVNHVAPRVTPPARPIQVIDIDAPGPSAVRAPAQNSIPSTSNGGTTVSNDISSVVAVLSKLPSAKLSSIRPTPTASSVSIEEAKLFKLFMNTVFGLLKSKTFLSNSLEIQMNLCDILMVRHYYYLKKNIFCFFQKFDRLSDDGREMVRPHLCSELCQLIFSLTLLVRDLKADLSRRPSPSASTSIAVQTDPEIIVTETTEPLDLTVSRTSPSDQDQQEDQEFHAEKSVDQEIDRMWADLEAQIRSKYKRKLVDVHDCYIDEVEKKGVVVGHLIEKRNFKRKRTMSEPSNFSTKKQRRD >CRE06540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:379047:381585:-1 gene:WBGene00067374 transcript:CRE06540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06540 MFGSSGITQVTDASAHKPALTHVPFGTLAQTAPKLSITSSAQGNAGETGFTISEVLDKPADLEFSATSNGNACPIAPLKPRKRTGRPVKLTAENVKTEFAEMVKTWNNPGFNVPMSQIRDVIFAFFFKMNQLSKSEKRKIGEFGAVELGLILINVTFQNEGLQGLVSAMDTTENDMKTATPQIVDPKTNTIDQILEPPMDDELKTNLQNRLEELEKELSIQKMMLEEKDKMIEELQENNISITKKLREEGQMIRKLQSGIDVFVELGSMHARDQEEMKSSHQREIEEKVLEIIDLDAKLVEERGAFLQITKRLEEEKMLQANKLVDMEERLKGKRETLAKLESFIRNQLACKVENMEEGGSGENQDVTIRQFSGSRPSGRRGLKIRNKDKGSSDIKKTRKI >CRE06353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:619550:626477:1 gene:WBGene00067375 transcript:CRE06353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06353 MIVLFVIIGLLSAPAFSNGSSKEPSDLQLIAEDFQILARVTNAIALQADAVRGQLNARDAIAEFLSIEKHDLDGIIGFDPQPFIPYLQKVEEDIKNFENSDKTWMEKIKNFENVSTEFEAWKNTDVNLSAPTSLPGDFLASLQTLIESDEAKDTSCKTTVLSPLVSFLKLGEKSEYSDDDEFLATGALTLIKNQPDAYVNCIKLIIKVQNSLNASLVFQHYEDYLRIKKVISNVPTVLEEMKSEITNHKAGLATSSFMWNSVTGNTSGKPMSEQISRALDAHILHSKRLDPPLAGLTVAFFRAADLLKVSDDLNSPWFEKHIARGASVQNLTHALEPFNQVAKDIQLLDKPWKEFNRLDDEHRTKYKKVVAHLKYLEGYTLESLRSFAELADSVNSSFHNCVQKRNSTFMNIYQKFQEQQNVTGELMRNFKDFQEKAGKFVTGHLVRSDDQAIESYHCFNKLQIDTEPNKNNMIALITKMKKDFSECLEQNGNKTDMLQVFISFESVRNNMEEQYSLIKKFNNDKGEAKVKLTEVLNASGVEASLKCLRDGPYKLEKFDDLKDVNTFLRTLNDIPRPIEDVKATIEYLQTISKIKNGLENVEKEIKSVGSRQKRATAQSEPVDNPVLALNGSRLVAENMAVCALSLWDLVMIRENRNELLTVGNFDAKTKNDLSQQGLGEFMEPEIAMKKLLGEVEAINIDAKTLRAKSLLDMAQIFEEVAKIRGILGRREILWKMLKKPEYAHNPGGDTKKLQLLVELNLDFQTYESRTKDGVFTVKALISYFDQIFGHVVKPPTATVIIEKTSHYSLFVIVGVSIAICLVVFIAVIVAYGLTKKGKERYKNLWLYYFGKPADFERRWRYAKFMDSKDDVNALLDATRETNKTNLVIALKKGAYVNAYNSSGNTALHFLTKFGRYDMALELVKNGADRTLLNYKNLTPEEMIPKDYKSLPPPEDPSSELAPKYEDLAKMYKKYANKKFKQRVPEAFPSTSFRIWYDERTDEDLSNKFYEKFSSITSTELTVSTTHCIVKTDERGVLVTDDINLLIPIFHGMIIVRESWMADCLKDEKVIEEDARYLVGTVKYKGVEYNTVQMWTNAIAKAEMPFLVGTFMVVLDLKYANLAMLTRLMKSLGCEMLSEFPNLDQFNVGARPYLHANLGPLFLFHDGSKDLLQYKTELFSHFTEEQLIVFLLKREINRDTRKNPPDVVREIPIE >CRE06542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:390496:392273:-1 gene:WBGene00067376 transcript:CRE06542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06542 MEIVPIPEKSKGPTGHCSTIKRNHSMVTQHKTFGLRDFIIALADNHDDLQIETLPEEYEGPERHLEMVGLKCPRCNKDAENTRDLVAHLVTHQSEQIQCIYNSTGAVTKDVNEQLKYATALQAKNSIFKKKIENRLLTISVAMSSPLFPFLSMRKLKIHMDQPPNATKSSANSDLIKKDLVRGGNIHSLFFDWFDMQKVYEKIKAGMKLKQEKQEMKYFESICEGGQVMYQDLVHKKRTEEETMCLASALNLPIDFTQEQLVDSMRIMADDKAYCPETGSKRRCTKNKSYKYDV >CRE06330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:394306:394813:1 gene:WBGene00067377 transcript:CRE06330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06330 MDQVVNIPDVEPMEEALASPPPAVELNDVVDQDQEQHAAEPAPEYHDLIAVVHHHDDVELQELFQQVYGNYWPIEELEYLANHLHNIDVENDPPPSPEGSIGDLQVEEHDQNGWFGFFFLEGIEILDLQDSHKTK >CRE06543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:395088:396264:-1 gene:WBGene00067378 transcript:CRE06543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06543 MSTDQKIVNKLKRAMVGEAPEFKKAAEEGIKEKKKYMVWERANPGLAAARPTAFEKMDAKIKRLKKNEGKKVVEEQRAGVEQESYLHLLAIMSKVVEEEEHLPQRGDGPSSSTAAATAPPTAPPGAMAGPSAKVAKPQ >CRE22995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:73055:73324:1 gene:WBGene00067379 transcript:CRE22995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22995 MEPTEPKPMAPVTMEKVEKTQKSWLWTKISTFFSGEKKNGTIKRSVEVQEDEEEDVEPQSKRSRGPGGPGER >CRE06546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:402130:410599:-1 gene:WBGene00067380 transcript:CRE06546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06546 MSSGLGCERCSNNEEWRINYTQQLDTVVADGMSIFETKTKERFENLCKVEAEKHKAEIAIWESRCHDLEDELQKRHERNQDLLFDAQHKNMEFAEEIKKMLEESKESERFLKQKISYLEDKLEQPALQKSQNAAQVDSEIKAYKKNFEAEMYAVKYENEKLKEEIIKISDNSEKNEEVMMQEIDSLTEKLEKAKHDFRVQEVTADRMIRENQQFEEVEVPKLQTEIKKLKKEVNRLNDEWMKSTLALADKKNLPKQTVDEVQKQTIEKCQESMKLQVEQYLKQISDLKNQLDTTEKKFKAQTIAKKYEHDVMEREMKEMESQLQQEKRASDKDLSEYFKRIKELKEEMREQETLLSATQRENETYQNIIHSLQQDLEDKETSVNKIQKDFEEQIIFQRALYSFLIEYTYSHITESLTSQKHVEIENLNRENAKRLEIIADLKAKLKNTDDVNYVNSKFDKQTPKAEPPSLPDFEMHEERISEQNDDANDVEMNELIVENVPVKRLFSEIQLNHLFFHFAENLELDDFEKLKKENAENVSIINTLRTQFLVDNHQFEEEIQSHQDEIEQLKSQLEGVDSLKEELREYKTKMQQQTDEMKRISKESEQLKEEKEMNARTVLNMQNKLKEAEVNIERLKLDILELEQPTQQKKADSEKLETLSGTIQDLQTQLKEQEGVIAELREKIAAQEELAAQAKVDFEKVKRERAEYYVQTICDLENELRTKDEEHAAALRDLTEKPVRSSRNDLKMLREENDNHLKTIQMLQGQLKKNFEASDLAMKELREQMVTQQKLDSQKQMENEEYLQLLKVQMKEDEDSASVLIRKLQGQLIAAEDSECRRLQDIQQLKQENSLQLETIRALDQKLLEKSVADMVENNSETLKVKNEHLLKMTQNLQETIITKEANANTTIHELQQQILAQKNLIVQTAEEMGNLKKENASVTKNILDLQMKLEQSEAADKGDIDDRIVVELKKQIQVQQSQISEKDSDYEKLSNQVTHYLDTIHCLQNRITNDKANSDGVIRDLEEKIVNQQKQADDLERMRNENSRELDNIPSLSDENSEKTEREELERIVEDHREQIGRLMHQLEHAGATINQLRQEIEEIQQRFRQEILASQFLNDENSRQLSEKSAEIEALKNHMGENARLLKSFQTIEKQLSELTIADSKMKERIQIQQAEISRKESEKNQLWSRINQHTNTIKNLENSLISIRGEAEATIAQLRWECAQKERRLLSEIKSLADQLVASDKWAEEQEEDLNDTIRALEARLKTVITQNSNSTAVAHTDDKIWALETKLNNSNNDVKRLTNDLVKAKSWVSELKIQHEEQVKMMQDQIQKLGGASINEEEMVKHELAAEKF >CRE06547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:411977:413565:-1 gene:WBGene00067381 transcript:CRE06547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06547 MDKTEEERMDHMKELCQEVQQHIATKKDNMLTKLREQIVSLKSLGDGKDGLVKKIEKEILEQEKKWAEQYATMEATVADLEEDVENLSSQLSVKDESHQTLVRQLRDGSERLKIELAQKRDKLQAANEDSVRDKKKIQELDEEAGPLRTEFATALLASQDAELEKNLKISEMELKLENAENSAAAAKDQVIKQMKKTAEENAKELQDLKEGEIEMQRKSEVVMKELKERLEKADLEKNLKISEMELKLKNAEKTVAEKDQVIKQMKKTAEENAKEIQDLKEEKIEMQKKSEDDELVMKRLKELLEQAEANEKKRKEFGELEKVRRRERFEERKIKKDRKMKRKLEKHEKKWGPIKMIKTDDEKEICDPSDSG >CRE06331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:426992:428328:1 gene:WBGene00067382 transcript:CRE06331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06331 MYAPNRLLSYQSSKCVLQYLPAALRFEFSHRCPGIRSTDNLTPLRIRNFRISSLTITVDDVRYKIGVIKQYHDEHIPMQCDKRNSAGGFRYVVDQYGLLVNKEPFEQCQDEIIFSNCGKETNLLEGQEMDAFNIRSKVKQLMSHPYPDYELIKALNENLVPYNLRREGIIPPYSVYVQLQISFGHFIKHVECLEYKQYVYNAMKYLIWKFFTGRKTILTQNFGFYCEDVVRLPREMRIHTKNLHFKTSEINTIRQLKPFLVPECFPLDSFKTNGRNIEMNNDIIRTSKLLLITDTKDLNLGQIEHSNIRLLDMMADRSEFFALLRHWLENAPSVGTSFSLRMVFRYLAEDVFKELERSPVVTIRKIARRRRLHFPLQALILLNDYSEVKVHCKSGRNNRWIQSINMKVQETRRPV >CRE06548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:429096:431512:-1 gene:WBGene00067383 transcript:CRE06548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06548 MNGKRVRDQSNGIPSYSSASRISNDDDDIRVVYDSNEKDQVIAGLKEQLEQKDARIRQVEMERHARIRQVEMERDAEIGVLMNAFEITKGKIQAKDVQIQKLEKERETEVGDLMKVIDALKEEVTSKNMQIASQTVLKSEMYEIIKSKEAVETENLELKDREAESLKAFENMAIKCKAFENISSELDRHLFQRNAQVLELEKKLVDAEKTIESQKNEIEELYNIFEP >CRE06549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:432800:435885:-1 gene:WBGene00067384 transcript:CRE06549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06549 MSRQNGASKRTRPTTFEEISDLEVAGQRVPRNPEISEIQNLEREVQTLRREAVNRNREVRRLQDENVTLKEMMEDREQECEAAADLRNKAESRAATLQEKLDRRGDKKEVDRLQKEVEAWSIKYDAREEDYDQAIKLAVEVQNELREEVRVLKMRIDEGADAETLRLREEVGRLRQELQNKDDQSGPAFREALEMVNAAKAETKRLQVQLDDQRARNTEEMRNSGSKMKEIEEEGRRRFEKFVEDEEKKRKAREDEYVEGLKMARRMVDEANAKREEAERTAAVIRKSAQEEVDAPLKLKYELKSQEVKDLKEKVRSMSKKLSNPEHKKQEIRELRENLATMSEELSNLKRENLALRTDRDGVITVD >CRE06332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:438407:439592:1 gene:WBGene00067385 transcript:CRE06332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06332 MPHHAFPLFSLPYLPLKKVLDSFGVHAILYISMCSLRSKRIAVSYRGPSKNVKLTLHFGYKHWLSLVINTLCMEEGLSTYWLLSVENRSKLSTVDRTLATVRIGNFCNIPVEMGRFGLSTYWDDCTVGITEIGDYAREVFNQDIYEVMLGEKQEDDNYGRAAEWVKNSQETIQSLHCNFNPEIDNDLDFILENFKYTERLALDVNPPPEYCPAKPPNFNVDHLHILFSFWVKQEHLLTMNCKYIALQDSTLSSEDLNVFLKHFMNGGCSQLKEFNVDVEEPIDYEVVMDDVEFEERGRDVARLYVNEEEHHHTLRGGLDIKRPSDNAKVTIMNYPKHFLMIVWPDFAGNSY >CRE06550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:440475:444712:-1 gene:WBGene00067386 transcript:CRE06550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06550 MDRKSCPGCARTEFICSSHAKKMKTTFKEKEQQLEEEIKERDILIQEMWRVNQEQENGDLNKENEELKQEVKELKEKQTFAYVNYCEERRISDREIQAFERKVKNYEKHFKEITIVVESTIDEHVKKEQDLKDEIKELREKYIEEKTRFNEEKFDLIWKNAQLTEQIGRQPLQAVQDVSHFENQFRILRTEFERRETELIQEKERLEWKVYDLESELNTQQKREREWQLEKLQIDKSMCILAIELNAVIEKSEKIMLKKIVDQNEKINKLESTLQLTGFKLTESHVQLKNYENREERHRKQNDGMFDTIQRQELDVIQLKSQIEQLETKMSDESQQFENSLEQANSQMKSLEEQLRDAESLLIEEQKKSAHTQELANQLWESDQWIDEKIEEMNTEKFATEEKLNKVKTHFENYKKSAANYIQKTAERVAEETGELREEISSLKKKYNSVSREYDEAKRLLSRHKMF >CRE06551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:449666:451578:-1 gene:WBGene00067387 transcript:CRE06551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06551 MEDIKYAETIKNMETIIEELKAELAEKDKKVAEKTEKKNILITNIYQEREIAAEDVEKLQGEVIGEEKVLKDVEDFKDKTNRRLEWIREKYRQKNDNLEYDCNKKKNDWNEKKYELMIRNTQLVVQLDEKDAAIMVLKEELETIRENFKREEEAKEQLAEKNAELETEKNSRLNEAENKRNGFQEQIGNLQKDCQRLEKEKTDLATSKRPLDIEKLKRLEFLEKQKKQMMEYRRKDELERAEEKKKTAFLEQENLKLKAELQTKNEENKTLHDRLENKISMSSCEPNESVRIGSLQKRIRLLEKELRKREEKEEELGWDKDSDYEEEIRSDKETLNEEKKRMNEEKLELMKEKEAVKKDQFILKNAFATHEKDAKTFQRQKQEFQKDKEIHEEVKKEFDHKKQVFLKERKDFQDSLEKRIREEYAAVFNGNKHKMDLINSLAKDIVKVTQHGHPEVNMFKLYLENQEARTSQKRRAEKSEEEPSKKKKE >CRE06552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:453570:455054:-1 gene:WBGene00067388 transcript:CRE06552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06552 MNRAPRTLPFPYQFCTYPLYPAHFRLPSPTPASGLLAVLPEDFNAPWFIEHQIALETANKNFQNSVAAMNVSCLRTNKSPDNKDTENRQLKEQLNVLTQKLQMFTSRKVQDDRQIQHFVKCSTDQVAERNTLIQKLQEEKHVLKLEHEVMARKIKKYEEMLRNDVEKRRIERENFNSQQKTSKLDLLAHKRHIEELKNEVNDLRDFISIQEKTITHQQTEKDELSMRIENYIRTQSNLKKGFENILGETRNVRLELEKTRKHQREKHFLHYQNISEIFSDNLDKEYREALKRTKLDNDTLIKSNEKKNEEIVRLKAVVATTPTKSVVVVRNCQKRHCEDDDEWTPKSERKKRKVKEEQDH >CRE06553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:456470:457681:-1 gene:WBGene00067389 transcript:CRE06553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06553 MKFLSFPWLVQDHIQKFMEPTELLFLSFCSLRCRNLVSQMRHTPTYSVFGLEEPETMSYALVKDLKRNNTTMLTWTWKRHVWGIVPEERSWLKSKDIDFHCKIIFEPDSTALLWCHTENQSSRKRFATALHSYMCEVFRVEPEMQFKLSLDYMDELPYTNTVRDVTLFDTSVNSNMVDEFLERFQVTRVLFSKSMWPNNPLKNSNRLSNLNNLFIHSAPWLDGSKLLRWNFENLVVSYTGLWENDLINFVNVWLNGNNTKLHTFFHLVSGRLNNVAIVDQFELEPWNPEVDQLEYKLPVRDYCRLILAEIDRTEMGRTGVLVRQSDGLRAVLRASMDYFLFHVLHD >CRE06333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:458331:459535:1 gene:WBGene00067390 transcript:CRE06333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06333 MKFLSFPWLVQDYIQKFMEPTELLFLSFCSLRCRNLVSQMRHTPTYSVFGLEEPGKMSYALVKDLKRNNTTLLTWTWKRHFWGRVLEGWSWLKSKDIDFHCKIIFEADSTALLWCHTEKQSSRKRFATALHSHMCEVFRVEPEMQFKLSLDYMDELPYTNTVRDVTLFDTSVNSKVVDKFLEKFQVTRVLLSETMKPCNPLYDSKRLNNLNNLFICSAPWLNGSKLLRWNFENLLVSDTGLWENDLINFVNVWLNGNNTKLHLFFHLAYARLNTVEVVDHFELEPWNPERDILEYKLPVREYCEPFIAEMNEATMRRTGVLVRQSDGLRAVLRATVNHFHFHVLHD >CRE06554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:460208:460794:-1 gene:WBGene00067391 transcript:CRE06554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06554 MASIEALATEPPAENCEVENLKNQLKEVREEARAQRATIVDLRNEIDRLKRKYLFLNAELNAMHGADRGDAETESRWLDMQLRVMRQEAEQAQVDRDRYRRLITIQEQMNQKDELIRNLQRQLEEATATTQNN >CRE06335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:463245:463657:1 gene:WBGene00067392 transcript:CRE06335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06335 MNVLIHLFPVENVKKELAETKELLEQSRAELYYLRLGVIDLQMSVYRTEIDVQVEQLRNDIAALQERNAQREQLVTDGLARDAEGEKSNFKFLKATEIFQKLSKSSVEFKNSCSPPLSSF >CRE06555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:464651:465166:-1 gene:WBGene00067393 transcript:CRE06555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06555 MAENQEIQRLRTELEEALNRERALRESEEHSRAHIELLRNIINRHHDISRFVEAHLNNQEQELLDTIQGANELQQQVVRLDAELVVCRQVIDHLRHQLGLRRN >CRE06336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:467161:468676:1 gene:WBGene00067394 transcript:CRE06336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06336 MKCSTTSNKMIGAPNQLALTYTDVQRMLSEMKKEKDSAEKEAQKCKTDQDLAFNEIVKTKLETKKMAAEIEELQRREEETNHFIRILMDSVRMNRVKTEDSDTSIQGGNREYFSTSPTNNMEFLRHLPEVISKIRLELENSKRIEQEVLTKNNALGDFLENTKVQTMENKSRLFKTIENLQRELRTEKTEKKESEKARRNHLETIQSLQNSLVLKDQQIAQMIEDMKLTNMRNTVLEGLTKQHEGIIAEISLDNRRLKHELNDETDARRRENADHKQEVTSLQKKFTSLQRSATRKLGDLKEENESLVEKNKAAAFIQKVQTTSGDRAPRKRLQIMSDSSLNRQVRTSETEKEKGKLDMLKVKIEQEDESYSCFDTAFVTRRSSPKRRRLQSSPAVSPKKSKV >CRE06556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:469884:471465:-1 gene:WBGene00067395 transcript:CRE06556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06556 MKCSTTSKKMIGAPNQQALKYTDVQRVLSEMKKEKESAEKEAQKCKTDQDLAFNEIVKTKLETKKMAAEIEELQRREEETNHFIRILMDSVRMNRVKTEDSDTSIQGGNREYFSTSPTNNMEFLRHLPEVISKIRLDLENSKRIEQEVLTKNNALGDFLENTKVQTMENKSRLFKTIENLQRELRTEKTEKKESEKARRNHLETIQSLQNSLVLKDQQIAQMIEDMKLTNMRNTILEGLTKQHERIIAEISLEKRRLKHELNDETDARRRENVEHKREVTSLQKKFTSLQRSATRKLGDLKEENESLVEKNKAAAFIQKVQTTSGDRAPRKRLQIMSDSSLNRQVRTSETEKEKGKLDMLKVKIEQEDETYSCFDTAFVTRRSSPKRRRLQSSPAVSPKKRKV >CRE06557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:474192:474753:-1 gene:WBGene00067396 transcript:CRE06557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06557 MADRQAEIEALQRELDATRAQRDALQEQLDRAEHRIAVHQLETRELEADVRAAQHEAARHREENHRQREQIHFLQRQLEDQLDAYMHLRQELNAARQN >CRE06337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:477724:479354:1 gene:WBGene00067397 transcript:CRE06337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06337 MFKIMFSVIICYFNALLAILGNGLLILLILHKSPRSMGNYKYLMLIFSTFGILFAIIDVTNQPMLHFHDGAYIIFSRNVLGLPRNISFCYIALNCSCYGMIMLLLVYHFVYRYLAVCKPHRLELFSYPYFNILIFIFLIVSAEWWISGVYAAGEDPEVEDHIKQTMAENYGLSRLDYTYASSLFYRTNFITGEEYVSVRDFLFVASLAVQIVSFSLDFRLYLRLLPIKFGHKYFRLRESISGTGFSIIIYCWLKLRRELIRSARELQHISRRTLEMQRQLFRSLVAQTLFPTFLMFIPAGILLCFPILKTNMGPIEVILIPLITTQPFMDAIVPMYFIKAYRMAVLDFFGKKKGRSTLVSSLNDDRSGTNSRVFSLRH >CRE06558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:480642:482415:-1 gene:WBGene00067398 transcript:CRE06558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06558 MKQSNMEVTKVLSISHVLENILSHVEGGIIRNLNLRLVSKAFNTGALRKIQKDHIKMKIEYIGESFNGLNLNDTDPNVAEWIQLYHNTDFRVFINNDKFKLTEIDGYFKFIKLLENVKIERITVKNIWKMTTSMQRNLHDSIVNSLIGNNYSNLLSLKGLDELCYGCSSCANILKQTEKCATQTSFAFLSFHEHFKSLVVSDTVIQSIFEYSQRQSRSKEECLYNFHRFTKSFITCDHLVLNLSEDKLLVNEDSDIEDGEAWNAPLDHHHLPRELVETMIKKWEVKSIGIKFVNETRSQIHTNLEDKKNWVTKLKLNAPSDSVKTSELGLKFERVDVDLSDSEKCAVGITHNSSDWDHYKNLIANIRKEFPTDEISITFSHWMQKSQVDIQEIFNNIVRTVHKEEQKDLKVFIRYYADVKSFSHVNPLTTQDELIEFSSSIRFKSRQFEFSVDRHPMHFSVRGLSDDGNSFIEKKKVGRRCNIVDSRSNCIIHLDVFIDEKDITALKSMVKSNQITFFQKLFRSE >CRE06338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:482846:484111:1 gene:WBGene00067399 transcript:CRE06338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06338 MFNGAVEYGSDKSARIKGIQHGSSSHFFLFMSISNHSLCLIVIQSIFDYSQRGSRAKEDCLYNFNRFIESFISCDRLILHLSESKLLLNENTDIEDDDAWNAPLDHHHLPRELVETMIKNWKVKSIGIKFINEARSMMQINLEDVKNWMTKLKLNAPSESVKKSKLNLKFERVDVDLSDSEKCAIGITHNKSDWDHYKNLIANIRKEFPTDEISITFSHWMQKSQVDIQEVFNNIVKTVHKEEQKELKVFIRYYADVKSFSHLNPVTNEEESIEFPSSIRFKSRQLDFSVENHPMHFSVRGLSDDGNSFIEKKKVGRRCNIVDSRNNCIIHLDVFIDEKDITALKSMVKSNQITFSKAVSL >CRE06559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:484522:485556:-1 gene:WBGene00067400 transcript:CRE06559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06559 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNPHRSIRKMAKGMKISSRSMGRIVKDKLKLTCYRVKKAAILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVVQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKKTLVKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE06560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:486446:487009:-1 gene:WBGene00067401 transcript:CRE06560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06560 MSRPTQEDWERIRRRISNLDATRQALIEERNSFTVFLWQIRHTRLRSYALEDIIMNMRAEKENRIAEVRKQIRQARMIEDIYRMIEEACRTIDELSAILNSFDITTTEENKTSMS >CRE06562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:489842:490551:-1 gene:WBGene00067402 transcript:CRE06562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06562 MTDREKILEVKLNVEEMKRENAERRAVELEMRLRQSNADNIQRTMEFNAHVAQLQKQLHDAEQATSRTLGDKEREIANLLRQLDRTRQQHEIASIDHQVEILRLERNLLAATRGAHNGTSDCNNG >CRE06563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:492396:493519:-1 gene:WBGene00067403 transcript:CRE06563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06563 MSTPMEVLENQLRNFVLTDGEGRREENPIVVLQEENQQLRQLLEISQQQVASLEENLKKMTGEKTELDSLKVQIEDSLHLEIQNNEIARTAIENLQGQVVWLSTQLQEIHYRLLPNQ >CRE06339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:500421:501991:1 gene:WBGene00067404 transcript:CRE06339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06339 MNSNQLNSFFFPSTIPPMPQEFPSNGAPAFRNYQVKNSKSLGPRGQYKPRVKKVTITNPPHYRQGRIVEKKEKMDNKIVEENAMLRRELELSRNENKQLKENYENLQTMHSLELQMSGMQVTHQSVAQEPPLVPFSSPPPSVLASPVDQIPFHFQHQPAMMPDPSQCLPPAHYPPFYQDAGCSSWFQPQLSQHLHWNQGFNSVNFQLNQPSTSTQPFLQNHWVQPMEETLDEMEGRIGNSGFSSAEEAKKYLERKFGSDDQLDLDSTGYLFDPNMFSVMKQEVRRPKPRDIYRMNVNNGGQSSDWELMNAFEKDPWFQKAKKLMERQAREEEVGLIVILHDGEDEMSFDEYI >CRE06564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:502662:507267:-1 gene:WBGene00067405 transcript:CRE06564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wago-11 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3M1J8] MSFRIPKKVRTEDAAGAPSGAGGASGSGGSSNPPNRNNPPIRFTTPSSSGSSYAKTFTPIGKCEVQTNVFKVDITKLPFRLTRLSMETTLCGGKVDVNLTEGHQAVSGGVNDSERRLALHTVFLKLFQRHPDIFGTDILQYTYDCANTIYGFETAYKGGDAKLEDKIERKDFSDKEWEMISRILRRQSMFFKVILSANGFVYSDGPNALSNENRQELTRVVENCSSEVLNTTDYLQYGSQTFPMNEPPVFKPDATTEIRCGFDKAVRLAEGLAGTPEIVVTIDTKLSPFFSATSTLKFFCSKYAEFKGVSTGSQPGTSRGNRPGDRGGDRRGFGGRDPRADQRRRSRSRSPIRRDEKPVQDDWNYNEVKEIQSAYERGTEKNLLGRIEEALKGLLVVPIHLPKDKNRNIIVSRIAGSNAMNSIFELNKGKDDARNISVADYFKEKYNYQIKFPHLPLVVSGRLKNETFMPLELLNIVPGQRIKIQKMSANVQASMTGQNATLPRDHVLRIREILKGHLKIENNPHLKKFGIHVAREPIQMEAMMLSPAQMIFANRAIVVPPPGTVQFRQNKGQKYYKPAKINNVAVVNFDGAVTNLEIFSARLHATCLKNGLEMRKSHQEWLKYQWNSNDASYIKAEMVKMRDAQITIIVAITPEKKPDVHDVLKYFEASAGLQTIQIHINTADCFVRDAGGAQTVDNVMRKLNLKCGGINYLVEIPQSYDHKVVCSNVSFVQQKLFAKTQFVGFEMTHGPSRTLYDRVQGTFDGEPTVVGCSYSLKAVTDLGGFHFLQERNEYKLKNLDSKITVCLEQYKKSAGALPEVLLVYRTGAGEGDFKRVEEEVQDMRKALEKVGSKSKLVVVVVQKTSHTRIFPKEIKGNKPVEQNVKSGTCIDGQITSAGRQEFVLVCQSALIGTVRPVKYTIVANDPAWSKNEMAHLTYFLAFGHQVSYQPPAIPHVLYAADNLAKRGRNNFLQHKKLGLLTKSIKKVLAEHKDLAVEGHEAELDSLLVESITDAMNKMAVKNRSFWA >CRE06340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:512256:518378:1 gene:WBGene00067406 transcript:CRE06340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06340 MDRSFGFSYAKIGILVLSVSCVAIYTVTSTEFLALFRPNFDMTLSVERKAFVYEKMIEALRNGPSTCAEDGLYCKRPETQHVDCGRVLEGDKTYLQTLTGDNRIPLITDPILNMSCSAINSRIRPRHLHFEPLKLGGTAFARIVYTDYEFIEKQVQVSYHPQNLFCFAIDKKAPREFHDNMKSLSECLPNIILLPATESYDSNGHNNNLAHYRCMKAVVSRRDWSYLMLLQNHDVITKSVYELDRIFDLLGGANDVSVSPEMKRRRTKNLKWDPKSLKMFKNESEVDAIILNSELTMASGYVQGSLSRAAVEWLTQKVDLTNYINQWNLSRYGGDEQFIPTFQMNADLGMPGHFTDECIRRGEPGAIEITRMTHWWDGTRESCASKTVRNEICIFGIEDFRAVAAMPSLMFNKMIPSFDYSIVECTAELIYNRTFLGQVNYDFAQDYYENLVPIRYHKHHKEPGYVLNCTSDYERFKYQDYIN >CRE06567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:530043:540274:-1 gene:WBGene00067410 transcript:CRE06567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apd-3 description:AP-3 complex subunit delta [Source:UniProtKB/TrEMBL;Acc:E3M1K2] MALRKVRSNIDRIFDKSLTDLIRGIRNNKDNESRYITQCMEEIKQELRQDSIYVKANAIEKLAYLQMLGYDISWASFNVIEVMASTKYTEKRIGYLAAAQSFHDETDVLMLTTNLIRKDVNSANMYESGIALGGLSCFVTPDLARDLAADIVNLLACSRPYTRKRAVLLLYKVFLKYPDALRPTFPRLKEKLEDPDPGVQSSAVNVICELARKNPKNYLTLAPVFFKLMTTSSNNWMLIKIIKLFGALVPLEPRLGKKLLEPLTNLINSTSAMSLLYECINTVIAVLISISAGGDHTASIQLCVQKLGVLIEDSDQNLKYLGLLAMGKILKTHPKAVQAHKDIVLRCLDDKDESIRIRSLDLLYGMVSKKNIVEIVKKLMEHVEAAEGSHYRDELLSRIIGICSWSNYQYITNFEWYISVLVELTKVEGTEHGAKIAEQIQDVTVRVESIRHFSVSQMALLVENAHVLLAGSAQQRSNMCEVLLAAAWICGEYSQHVRNQQGVLESMLKAKPSVMPGHILSVYVQNIGKLYCSLMSQAEEEDDWDQIDSLDNLMLSKLPQFELSEHLEAQERACNLMTIIRIIENHHQQRQKMGAELQKLYDGELNPVATKAQRKVPVPEGLDLDAWIGEEWASSGESEGEEESDLEEMFGAPITRPKMEIGGGYEEEEEGTIEEKGKANKKKTKKSGEMSKEEMEVRRKQREQEIENNPYYVKGSATAPKRPTRFGNPIERTEATEKEKEIQSPLEIPGVVGLHRYMEQQDSTLSWKKAKEEDAAVGKKKKTTKKEKKSGKKGGKKRRTTSTSSEEEDRIIHKVNRNDGEMPEGAKSTDDEDEKNAPTDEFRALDMDLDAPLRPDEVVKAPQAYTRTYPSQQKPGPLVPRPPTTFEPKPVIDEVIPTTKPVKEKKKKAGKKTTGVAKLKKKTLPESSASKSNLFNMDDWLEGNGNVPVEETTKTNSLSVEKPAKKSKKSTKKVREAYEETSGVCTPSIPQQSSPIDSETPEYTRLAANKAICVDFVARPNYDPLDHGRLTVHLRIKKLPSGEKIQKVELTMVDTMSAKVLRDSEEPILLADELDSDATEAAFDVQVTATNVSRMMRGTFTYFLVDDEGTRDDKLDLRLPLPSTVFVVPNSTIRKDDYSSLLASDEVDFGASQSVKTGSDVKLKAVLTQISQKAHFKIVEETPKAASLFGRTVGGQPICLLVKKTSDGVQIDGKAGDETLIQSVIQDVSEICARL >CRE06341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:542441:544955:1 gene:WBGene00067412 transcript:CRE06341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06341 MHILLIFCTLLLVGTTIGEHVTVTYHYWEKNDKIVERVEWFTKFVSNSNRFWTSNLVYLQQNDKVDRIQISSESWKSATVEDTITKIDNLDSFFVSLFQKFADKLYTNLALDSLPVFDPSVFQKSYHAYENYEINAQSVDTFKFDYWLKISDEGGQRGNRHVFALLTRKESFEQWEAIMTFVDSLKRSNQKGYIVDCGKENTVCPTTENTDIPVLYVFRDDEIQLVYDGDFQKDHVYDWMLTIKQPEIKLLKEEIVPQYRSGIIPGFDRPRDTVTILFIHTKKSKIWQNYVKFAKENYGKYHLTALISEEVKKWSIYPAFITMKPQDDYVKAFTLHKDIDWNRMVEYLEDGVHPGCHPMTCASEFLFATSTSKPLVVYFDPLNTKNATAFKMAASQEQTGVRSAHFAAVTGFDLFGLYIMSVFNVNTPSYVVILRQEKGWCIFSRDIENDTFSSIRTWVRNLEPTICPDFIEDFKFPITRLNLLERYEDVDELEREHTSVERNRDEL >CRE06342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:545405:547701:1 gene:WBGene00067413 transcript:CRE06342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnc-3 MDINQSEKRIADIEEILGIDDLITTKSIPSQIESLQSKLRDDCKANLLMSIPVKKLEQLNKIATETAGPYGSLVDKVESIKFAENLINERAERIKTFSEALEPCLDTELFGKVAELQPELDAQIEKYEKALEDWAKTYGDFQTLISERTMINRRITEKVLELQKKIDLKTSSKA >CRE06343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:550265:556381:1 gene:WBGene00067415 transcript:CRE06343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-45 description:CRE-LGC-45 protein [Source:UniProtKB/TrEMBL;Acc:E3M1K5] MPRHNIFHILLFLCLLTCLDSRRRAGQSSRAFEDKKADERHFDYKSAPGEAIPDESEDDEKPKIVLGGGGGGSSTVEPPSTSTEASKKEEVEEEATTQSTEELVKKLLEGVFDLENLTKIVEEEHADALDEATNRTVLWIDTSYKALDYNLSIHEMEECATWQKYWNLSAEAKETKDKNVSGSMNETEEVEDFGKELKEMEDLLKQTELVNSKSRLKALGLNPELLVAYKAVGLVYREICGNHGRTLWYRTREDAALIGVDSFSPICEPFKEQLNPDESTLSQLALKLNELIQNVTDGSQPALKRGGSNATDTDSEIPNTTMAAEKELKAVTMEDSTDTIELLPSSSAPEATPVPRHHHHHHHHHHRHHQNPQKPVTEQPSAESHDSMTSESESVSSATTSHPNRHQDLDYEILDEEHETKEFSSGVPPEGMTSTTTTSSTTTTEATTTEASSEIEVYDDDVWDYKDMEKRFKVRHQVVFDGNEIGEDDLDSPSFPGIHMASARKRRRHVILKTKVRRRRRKREASDEEEEKKEENVVDIHQESDENEDDWLNVRQVIKTTDGETTVAIIEERSHFLDGNSTDVRAWIEIDASDIINPTLLISSSEAVAALGLEVDTTAFQRFENVGLWLPGICSEYVPKAIDEFNSSSFEGIEIEGPIGVNISALELAGVNLTSLADKLRNDTEVDEILSRTNGSTKNLGGSFILPVLNKNQYDPFSAPIVFQGSAVVVRFGIYIESMSNFQTSTMDYDMDIYLMMSWRDARLVNPYDKPILVKEEDILEKIWRPDPFFANAKEAEFHEVTFLNFLMRIFPDGLVLYETRVKIKPSCNLILCKYPHDKQTCDLLIKSFAYPVETVRFEWFTRRKDAIDKNPDVKLPELYIDRYETTTCANERKSGAFSCLRAVFRLKRDVGFHIAQTYIPTSLALMFSWVGVWLPEEFMEGRIGVAITVLLTLSTESAGAREHLPSVSYLKAIDLWFGFITGFVFFTLLQTLFVIGFDKRANQLKKWAGRKTADITEEIREALLQKATRYHKTGRYLDNFCRVFYPLSFILFLLMYYFVFTEGRQDDCMNRR >CRE06344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:557545:558251:1 gene:WBGene00067417 transcript:CRE06344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06344 MKSSVLVFLLCISSALAYVEVAMKCPDGWDWFKRSRGGWCMKVALRKKFSIYGLIQVFSGPVTQGEGETKCKAEGAVLAGVQNGDEVKWMGESLVKVAGGGSLWIGAKRSIPCIPIRGLTALCTPLTSFYWTDASTTGFLGFKKWLAGEPNNYGGNQGCVQLFSASGLMDDAACNIYSTGYVCGKVASF >CRE06345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:559587:560320:1 gene:WBGene00067418 transcript:CRE06345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06345 MQKSSTFVLLLCVVSALADCPAAWKTILNAQREESTSNGCEADWKFFKRPSGGWCMRVFPGYYEAQADAEKACKTVDATLSGLQNKKEGIYIQSAILAQVPQTSGSLWTGLQRTKKCMGQKLTATCNNLTSFEWTDNATTGTEGFLFQDAQPDNKNLDQNCALFLASKAASIVARGTYFAGTYEDVNCVTGFNSENIARKTFGYVCGKKASTE >CRE06568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:561327:562032:-1 gene:WBGene00067419 transcript:CRE06568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06568 MKSSVLVFLLCISSALAYVEVAMNCTDGWDWFKRSRGGWCMKVVLREKFSIYGLIQVFSEKINQGEAEAKCNEEGAVLAGVQNGDEVKWMAESLVKLVGKGNIWIGAKRSIPCRSVSGFTALCTDLTSFYWTDASTTGFLGFKKWLVGEPTNWGLYQHCIVLSSTARLMDDVACNIKATGYVCGKVASF >CRE19277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:734189:734958:-1 gene:WBGene00067420 transcript:CRE19277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19277 MFKIIPFLGISIIVFFFISSPRGFKPASTEENLSPDKYRLLQRHARSVYGTDDRIDGISIIISLISNPGGFKPASTEVNLSPDKYRLLQRHARSV >CRE06570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:581074:581844:-1 gene:WBGene00067421 transcript:CRE06570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06570 MSSFWSLLILLNFLVIAVSSGKTNGHCQKGWTKFTRPSGDWCIKIFYETLVTQPEAEAKCQAADATLSSFQNQVESLWVAATSVAHIYPKTGSIWIGAKRTKACLKSQLTEKCTRFNSFEWTDKSANGTDGFLWDAREPSNTRSIQDCLIMTIGSTGYVSGYDIQVGTLNDNKCDLKLNSKDPQQIQGFVCGKPPGC >CRE06604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:787963:789633:-1 gene:WBGene00067422 transcript:CRE06604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06604 MIDFSVPNWLINYYHVVGLTSAILNSFGLYLLMFQCEKLGNFRYFLLVYQIVCFLTDIHVTFLMQPIPLYPIFAGFTVGVFGEWFNIPAHYSMIIVMFLIVTQLEFLVICFEKKHQAISASLDTFRINKSLEFFGYFLSVFCNFVMCIWFHLERLTKEDQWNLIRTNHKEYLESFQIISHFEIYVKTSSFIMLMIFTLCEGVFLVFLFFICIIHILRMMVLLKTKISAINYQKHKEAVQSLMVQLATATFCLTPPCLLMIFIMFELEKGQLLTEMCIMWFSLHSSLNMISLFLFFPPYRNFIFRKRFII >CRE06571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:583859:584939:-1 gene:WBGene00067423 transcript:CRE06571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06571 MNFKFPTLFFLIFHHPKMPRHMPLTVFLLLNVLLIPVFSMFAPVNSGRSCIDAKSYFAVSEDESNEQNEESEDDFFHVENLEVQQDQQNNKNRLVSVVQSDVSQAVTCPKVANGNCEEGWKNFTRPSGEWCMKIFYENSVTQPAAKERCKAQGALLSGLQNQMESQFVFSTVTAHIYPETGSIWVGLERRAECRDVQWSWNCTQTTSFEWIDKSATGTDGLAWACNQPDNSRNRTQQCATLTASYQGSVLGFQTGQLDDVGCDFDYIKMNKKARDIKAYVCGKKPKA >CRE06347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:585892:587102:1 gene:WBGene00067424 transcript:CRE06347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06347 MDKLLIFLCFLVTVSAIFLPKEEPCDEEEEQPSNCPKVANGNCEEGWKNFTRPSGEWCMKVFFENFITPSAAEKKCQEVGATLSSIQDQYENFYILYTVLDKIYPESGTVMIGMKRTETCRNSGISETCNWATSFEWTDKSANGTEGFSWGNEQPDNSRRQTPGGQACALIYATVPLYLDKGPMRSGTLDDVGCDYDFVKDGYNPRTPKAYVCGKKPKV >CRE06348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:587524:588716:1 gene:WBGene00067425 transcript:CRE06348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06348 MDKLLIFLCFLVTVSAIFLPQEEPCDEEEQPSNCPKVPNGNCEEGWKNFTRPSGEWCMKVFFEKFINQTFAEKKCQEVGARLSSVQDQYENFYIVYTFLDKIYPETGTILIGAKRTAACMNGGISATCNGATSFEWTDKSANGTEGFSWASKQPDNSRRITPGGQACALIYASATLYLGPMRSGTLDDVACDYDSFKEGDIYNTPKAYVCGKKPKV >CRE06349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:589056:590087:1 gene:WBGene00067426 transcript:CRE06349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06349 MMLINSFTIYFLKFLQCFLCNLEMTHFHRQATVLLFLNALFIPTFSIFFEKSSGKSCIDTKAYFAVSEEDDGGKNNDDDFFHVENLKETSKLKSDVSQAVTCPKVANGNCEEGWKNFTRPSGEWCMKVFFEKFITPAAAEKKCQEVGATLSSIQDQYENFYIVYTVLDKIFPESGTVMIGMKRTEACRNSGKNAKCNWATSFEWTDKSANGTEGFSWASKQPDNSRHMTRGGQACALIYATVTRNLGAFQSGTLDDVGCDFDFVKAGYTPSTPKAYVCGKKPKV >CRE06572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:590147:591025:-1 gene:WBGene00067427 transcript:CRE06572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06572 MTRISSISLLLFCLSITVYAGYYGDSSEEYNSRRGHRHHDSYGGRRYDDENYPPRPPPKPRCDEGWKFFQRPNGGWCMKGFPGTLDQYSSEAQCVSQGAVLSGLQNVAEINYVVCEPPGPSFQSSPKKNSASALAVISPQVSGGVWIGAKRRAECIGSGQTAMCTKTNTFYWTDNSATGIDGMIFQQNEPNNGNNPRGNQNCALLTVAHTPAIRAVSVYWSGQMDDITCSTSASSYWPSGTLPRANRAYVCGKRAN >CRE06573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:591266:593020:-1 gene:WBGene00067428 transcript:CRE06573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06573 MVIFQGEVNSNEKCINMGTSNGTLCIALCIRNESCLLALMTNEDCFHCNYGKVSSVTRSGDSSKYIALKMDYRFPSLLKETDWWLLDIPQYFTRDGSCPSGTFHLKTCKDGWEMFRRDSIWYCLKVVYNQNPMNQSAAKLKCSNEQYGAKLSGLENMNETRFVAENVEREMISTDSASKRWDIWIDGERRPLCTSSSNVNKISDCKGINGFEFSDNTLKKHGGYDWSEGEPNGRGDIQNCVVMMITTEVNTKNGKKNGKLDDIQCDALKRGVLCGMEAQ >CRE06574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:593779:594926:-1 gene:WBGene00067429 transcript:CRE06574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06574 MVLFQGNVDSNKYCTDMKTNNATSCIALCIRNESCLLTLMTEERCFHCNYGKVLTITRYDSNHYIGLKISFGLSTLVKNAIPGSVLPFISPDHSLNSFSYNNNNTCTIGKFELKKCENGWEMFRRGSIWQCLRVLYSNEQMTQAEGKQNCRNVSAQLSGLESRNETRFVAENAEVEMRADNTLRGKSDKNGWAVWIDGERRPGCTEQAVINSTAECQGVQGFNFTDTTLTAKLGYDWSENEPNGYLNNQSCVVMMLNTNSTDKRHRKLDDTSCIDTASFLKRGVLCGMLAK >CRE06575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:595275:596317:-1 gene:WBGene00067431 transcript:CRE06575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06575 MPSPAFLLLLTFLSHVASAAHPGHLPPPMELAKDFFERMMTAVDSRNDVAIKKFFSPTALADPETSKIYETISDELAGYSRTIDHAFYDVYKQPKKHTWILNCTVFFRGPHPTYSRKNYDLTLENQYNNPQTIPEAWQVKSMHRKVYSRKISKMDNFNSADAEQMLSHLLSEFMNSIMTRDIGRLERIVYMKDEFSISPTGMQQLFDLFNGNRVEIRSYRIVSSGEVTSTIVFTNQATQVANLFWMVFKNQFSENPFEWKITDMKLMFNDFGPPRDFAGILNFSH >CRE06576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:597070:597604:-1 gene:WBGene00067432 transcript:CRE06576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06576 MRLPILLLVSILGFVSVFGAPTDPDAIEISHNFFKRFMKAINSGDLFQVLAMFTPQRGDTNVDAGHLISELKGLRVSFRSANFVGADVNVSCVFRPPRTEKAAKSAEFILEKNAEVDTWLIKSMSDVKGPKTAQLHHFYPPLVMG >CRE06350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:598631:601723:1 gene:WBGene00067433 transcript:CRE06350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smu-2 description:CRE-SMU-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M1M1] MADNPSNLRNEDFRKLLTSARSDRPASSAFAKPADPKSNDEKPASFKHKHLKPAKFKKPQAPGQAKGKKEKTEADEDEAHLKNILKNYRDRAAERRKAGDEKEDTTKLTAAYRAVPGDARSALDQADLRKQAILESKYLGGDLEHTHLVKGLDYSLLNKVRSELTADDDEEDDDIEAFDDKKSAKPSEVTSLTQELAQSHSENRMVRSLHRVLFKNEVPLRNDLFAKGRMAYVVELEDEETDIPTTLLRSLHDLPRAESAQSIQANNLIISKLSHVLAHLRSEPKKKKKEEFRTSAASSAPSAPKGDDIYDDLDDYVPSRKPRDSRDNRDNRDRDRRRDRSRSRERDRDRYFEKSANARREEEQKRREQQRERERAERERLREKEKEKEKAKEKERERKRKEMEASSGYDECYPGGLVEMGGSWDSDEEADYSKMDAGPKKNQAVNRWDFDTEEEYATYMEGREALPKAAYQYGVKNGEGRKNKKQSAVSEAKRLDRELNEINKIMDKRKIGGEGGGGDYKKPKY >CRE06578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:602760:606401:-1 gene:WBGene00067434 transcript:CRE06578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06578 MQQHPETRKEWLRRRNQPDLRIVQRDFRGFRQPPVRRASIEIGEDAQRVQQLEAIRRQQMVECQLCCEFFPPDNFRELTNCRHSFCKNCTRRHITYCIVENRIEVPCPGCAEEIHPEDIKQYTKVRSPNLYAKYEEFSVRAALVRVPEARWCPAPDCGFAVIVPNGERCPKIKCQRERCGVEFCYKCKKEWHKGRPCGEKPKPSEDFYACRPCPRCSTLIMKEDDGSCNHMHCTMCRAEFCWLCLKEITDLHYMSPTGCTFWGKKPWSGRKRLMWQLGSLIGTPAVVVATAVVSVPLIIGLVPYSIGKKVYKKMKNESKAKRVISTAAAVTGSAIVSPALAGVAVGKVAEGNSI >CRE06579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:607256:608405:-1 gene:WBGene00067435 transcript:CRE06579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-71 description:CRE-COL-71 protein [Source:UniProtKB/TrEMBL;Acc:E3M1M4] MGSQTCHEDDDPKTKAYRLIGYAAVTFSAVSVLCFCVTMPVVFTYVQSVKRQMSHEMATCNVNARQIFDDVAALRAGFPFASSGNRTARQAGYDTHPSKPTGNYAGDAEVKGSSPGAAYEDKPFVAVGVEDGPHTATVTADSEGTCHSCCLPGPPGPPGPPGRPGPNGKAGANGLNGNPGRPPEAPCEPVTPPPCPPCPAGPKGPNGPAGYPGADGQPGVQGDNGEKGPDGGPGEKGRCGSPGKVGEPGATGEKGENAENNEPTPGPRGPPGAAGPQGPRGTPGHPGEDGEPGAPGAPGENGTDGENGEDGVPGVPGHDGKQGRAGERGICPKYCAKDGGIFFEDGTRR >CRE06351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:609139:610623:1 gene:WBGene00067436 transcript:CRE06351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06351 MSALYYKKLRKQQHPDVSSSEDSDSDEEIPKKKLKPQTKPQEYEDDDDLFVVDRTGNGEAPKEGDFHTLDLLGSNHLEPYEMDKDLKEILEKSVVGPKFEKDFQPQSRLLGRNAAARLRKAEREKTKGANWFNLPATELTDEHKRDLEFLQMRSTLDPLAHYRRNDRSVLPKYFQVGKVVDAPEDFYSSRMLKKERKKTMVDEILHNEESLSKAKKKYAEIRQKEQTKRRGAFQRFGNRKSHKQQRESKMKNKKK >CRE06352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:610754:618122:1 gene:WBGene00067437 transcript:CRE06352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyh-1 description:CRE-CYH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M1M6] MYSTSTQKREWTYTPEKLAAVRLEINITFRQKYESVMKPEELELFVTPEEELRMQRSIEDAALKFADKFRPHIWPSVKWTALSFFKRAFLVWVPSDTSIRMVMMACFYLAMKIDEFYITIEDFVRNMNVGDPRQNAERILKLEPELMKCLNYNLTVHCPYRPFEGHLMDMKTRMLLLNFDLESIRRDSMRFFQHALQTDVLLLYPPSQIALAAINYGLHAQGKSDEILREFLRKLIGIEEDSWAARDARPEDLEKLEKTVTRVNQILKEVEKQYVAVTEQERESHKSQLSRIQALIPELDERRKAEWEAGGRIGQMPGAVTEQPVDSDDE >CRE06580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:618276:618696:-1 gene:WBGene00067438 transcript:CRE06580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06580 MVHVASLAILAVLCLSLAQASIGTARLKTGESFLIREAENAGALARNVASGHQKMEFSGRNRGKWVDDKGRVVNSSNFRLYRNGSVLMKHARIADAGTYQKDPNPMIRIGDMGYAPPILIIQVDN >CRE06354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:631116:636537:1 gene:WBGene00067439 transcript:CRE06354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skpo-2 MRKHSHLLVLFLLAIGTFHITNAEEENEVDASGESPPGTSGDSAPGSAPGGATVEETKSTTDDANVDKSCKDRHDLCKFWSSIGECNTNKNWMEDHCPVSCDVCNGVTTCIDRHRLCGFWATIRECETNAVWMLSNCPKACKACKGRSVTLGGTGPGGTFKEDDCTFITTNEDTSIRKTLSIRDVRDSNANFNCAPTQETPNCNRNLCYHLRYRSFDGTCNNLERPMIGSAFTALMRLKKPLYDNGLNAPTSSFLRSRPSARDASRLLLSSSTQILKLKFQIQHHSNALLMQWGQFIAHDLSKTTMLNNQECAACTSNKGRCTSVFLSRSDPTYAYNFRENLIFYFFRFGRFMCLPVARSTPVCGTGVTNFREQFNENTAFIDGSMIYGSSDRDQFLFRQGAFLKTKLINNRVFPPVDKNNNVVAGDDRANIFVGLASLHVLYLRQHNRIAATLQRVNPHWDQERVFHESRKIVGAMIQRITFTEYLPKVLGVAFEERIGAYPGYDPNTDPSVANEFTSCAFRFGHGMIQEFYPFLNEKFQHVGGIPFNDGMFKSTHILTNGIDPLIRGLMTLPAKMPQRLTPAVTERIFGNSDLGSINIQRGRFVYISGGDSDLFTVWIFRDHGVPPYTVWRKFCGLPEVKDFEDLRAVISNQIVVDNLKVVYKHVDAIDMYVGSLLEDPVKDALVGPTLACIIGEQFKRTRNGDRLWYENSKVFSGDQLLQIKKITMSRVLCDAGEHFPMVPRKAFSVFKPTASNLVKCEEIPDIDYNAWKEELTV >CRE06581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:637628:642820:-1 gene:WBGene00067440 transcript:CRE06581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06581 MRFKLLFFLYLLTFPRELGSKSFSQVTKDHVTVGNAEKGYKLCSDVYNDPAGTAWNVGKQVARDKIPGVDPILTGFDEIAEGKFPAEGCKQVVDLAPPGMLPVGGKTALKAGIGFIGDVWNGENVFKAAGKQVLQLVNPVNIVKNVVNEVVGPIGKAVSGVFSFFGGLFGGLFGGGVPDIPAILDPVVQGKAAFDKVMSGDTAGALETLKNFGGTAEEYEKVVKKFEEAQDAAEALNKFENFIAKNVPLVEEITEKVTSVVDMDDDDITDQLKNLDVFGDKINAAKDWADKFKAKLPNGLNKLLKDVASAENLLKAGESALGFALPDGLNGFMQDPFGGIPGYRARIRPXXXXCPYPQQALQNVRDAVKKIRTCLELHLILVRMFSPRSDSFLDNSKVCLIPSQEWRTRCNKCKLHRIFLVNWVICIQLLIRLALYLKFHNARKFVTGMKMPFDNLQQPPEVVNIFNVVGNSGPAVGSVSNFFNSIKIGGDGCKKKDLKPATEILDDIVDLADNIDEVKKNKDIDKLFKLWEDAKEFGKKMKEYEKAYKEFFDEIQESKPDRQLLKQVKHMEPLLEKSITGIENLKDLNQINELRTEVQTIVKSEKVITEVIKYVKDPTQRVVLDIVWKDFRKTARNLTRISRKIKKLETILSEMTEKTVINQTELLESNETTEIKEFIYVYIKAAEMNFTESVDLRSYVESLRILDNTTRSRRDVREVYESLEKVYEATSSINWDRIHYEFLEMPGVIMQTKGEFQAMKVDEEEDNWWLIYLIIGIICSLACSSCCFLAFLFYKRPIDDEEPPYVCTDPRYSYVQMQDARLEMNHMDSRGRTELYQAVLDKKWYKVRDLIENGALVDATCGPYLRTALFELVLEKDVEHGKELLDAGAFILQPDVSGKYPEQWADANGFMLLFDQYSKENSRQRVITPNLPRPYEILVVNGDYLPRKERKKLPKKIRKKITWGYKPGMDLDKFTHIVVPQKYAKKENTLALTDDDLFIWKCVASLSNIMPESWIDAILRSPTYIEDDHAHMLIHMDYAGNEHEEALLKLKTDLHMGRPKFLTNAKVTILATKDPKETENKKEWEEIIKSFGAEISSKPVADNENTLLPYNSLYPYRKADKKVQNSCWILKYDDSRIENIWKSDPEKYTVAPFRFVLECIARYQILPIDNTVVPLVGSRSAESKSKETLNDDRSDKGVTESKSKETATASKEAASKETASKETASKEIVSMEMATPSKEPIIRSVRL >CRE06355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:643305:656283:1 gene:WBGene00067441 transcript:CRE06355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06355 MRLKLFLLLFATCVPFSSLTDKRQVPGIADPGGGTIRIVLPKEEMINAQSWLIENAYNMSQYDLMKFANWINNEGKDPFPLSTDKLSSFQNWMTSKSKSLLGLSSDELDAAQLWLKDNTENLAPNDLTTFQNWLKSGGNGTIPLPSADLLGYKDWMKSQLGEMIGDLGGNIGSDLGKQLGNQFNRVENILSNPPSDIQNMKIPEALVGLEKGINAIEKLGNGEKLYEVVEEVFVEYVSPLKLVNDVIGGVVGDLVSDVADGAFNFFDGLSSSFFGGQLPSVSSLLGSAKQGIGAIERVMDGNFETALGDIISIFGKDDNDNGGSLFDQFMNKAKEVHSAADELIDFKNSIPGIKGAVDHIKPLFSKDFDSKSLTKQLNPFKDIVGAFTLKDVPLSLPAEMIDALKLKEKGFDGLLKNLPFNPKEFLEDPYKVDGLYGADGLYGVNGLFGIDGFYGPNSLTGPYGPNGDFGPNGNYGPNGVHGPNGLLGPNGPFKNAKKTLDKLKTLALPTKLNGVSLLDTPFGPSGSHGLNGLFGPNGPYGPLGEFGPDGLFGPDGPYGPNRNKNLLSKIKNIVRRSAPSEIRNPSSPPAAWDTVHPEFSTTKSTTLPVTSTTLPPDTTHHDQPISEEKNMSWTTGNPWDTIHPEIIENPNNDSWTTGGGSWDTTHPEFSTTESSENTEQFPGPVPAPPGPVPAPIPERSQERPLGTTDLPHPDTVHPELTEWIPDNGNGGADKPIGVERPDERAGPRNSPDKPISIDRGPENHDRWTTGGGSWDTVHPEWMTTAPTHPELTEWIPGKGNSGPDKPVERPRPEERDDTRNSPDKPISIDGESENPDRWRTTGGGSWDTVHPEWLTTELPRNPVPAINQPSPSEERSQELPGGTETEWIPGKGNGGADKPVERPRPEERAGPQNSPDKPISVDQETRDHDRWTTGGGSWDTVHPEWMTTELPRNPVKFPEINPPSPSEERSQEVIPDNGNGGPDKPVGVPRPEERVGTGNAPDKPIFVDQETRDHDRWTTGGGSWDTVHPEWMTTELPRSPVPAINPPSPSQERSQELPGGTETEWIPGNGNGGPDKPIGVQRPEGRAGTGSPPDKPIPIDKDSEDHDRWTTGSGSWDTVHPEWVTTESPGIQFGGPDKPIFNPPPPRGPDHDRNAGGPDKPKGQRREESGTPEKLPPGPGTQGIPEGNPGTPSNPESPSNPGVPSNPGSPPSPGSPPSPGSPPGPGGPSPGSPSQGSPPSPGSLPSPGSSPSPGSPPSPGSPPSPGGPENPGVPPSPGSPNPGSPAVTPKTSRSNSNPSDPYLASSTEDPKRGGVIPIPSSPGLPPSTGSTPRPGSPPRPGSPTKNPKDNDVETTTETSDHGVGVLVPGGQSNPRGPSNPGGPSNPGGPSNPGTPSNPGTPSNPGTPSNPGTPSNPGGPSNQGTPSNPGTPSNQGTPSNPGTPSNPGGPSNPRTPSNPGTSSNPGSPTKKPKDNDVETTTETSDHGVGVLVPGGPSNPGTPSNPGGPSNPGVPSSPGGPSNPRTPSNPGTPSNPGSPTKKPKDNYVGTTTETSDNGVGVVVPGGPSNPGGPSNPGGPSNPGGPSNPGGPSNPGTPSNPGTPSNQGTPSNPGGPSNQGTPSNPGTSSNQGTPSNPGGPSNQGTPSNPGTPSNQGTPSNPGGPSNQGTPSNPGTPSNQGTPSNPGGPSNQGTPSNPGTPSNQGTPSNPGGPSNQGTPSNPGTPSNQGTPSNPGGPSNQGTPSNPGTPSNQGTPSNPGGPSNQGTPSNPGSPSNPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPRSTTFLQSYQEYHLPPVVPGIVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPHPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPPPPVVPGVPHPPVVPGVPSSPEVPKDCLNPLGCSSSPSAPNPSSTNSNNNPTNRDDPTDTDEFDDDPETDPEDTETEDSPEEEKPNDDDGPRCYKKPPEFTKLYQDMPMTETFRDILDDRMKQLFDNGLDGLVKGLEGAPDMFAGLEDFPKLEIPENPLIDLFDLFKERNRMKKPKKRKNKDRTTVAPEDSTSTTEIETSTTEEMTTTDNSTESTTEYTTTVDLQGGGETTTPSGKQEAQTTTPVQQNPTESTTDSSKNPTTAETTTQNSGGNNIYSSSTTEPPQIFFKYATTTTEPPEDSEDSEDDDDDEDLPEKFKNELKNLTDCLEIPKLPGLDVIKKVEKFPDLFKNLVKPFKKIRKSIKQIKNSPNFTENFNKIRSVYNTIEKVANGNFDFLKNNKDFEQVSDFLNGMKSVFKGLKPDKNLMDIIERIKKGASEITKIKKFMKEVKIGDDKCKDLVEKVKKLKKMKKLLEKLEKVKRKRVVKKLKEAYNETDHLYNEMVLLNQTFVKYMANVTESYDIQRFHEHFKHSTSLLMESVTTIKTFKELNKIVQLRKEVRTIIKSEHIMKEVIKTVQDPVKRIVMELVWNDFNETAQNLTILTEKLERLEHILKFKNETEINTSKTVRSHKESKVIREMIFVYEKAAEMNFTESIDLRGFRDSLVILDNSTLDSKVVREISESFVKVERPTSTINWETIHTEFVRMPMVLRSIEADILAFSAKNEVVDNWWIIYLIIGIGCSLLCSLCCIIILLFIYIRRRREKPYTCLDAFFKYSYVQMQDARLRINKMDSKGRTALYKAVINKNWKKMRRLLAKGALVDATCGPYLRTALFELVLKKDAAHSREILEKGAWILQPEVTGKYPEQWTDQAGFTLLFDEFSKQRKRVIPPHTPRPYNILVVNGNCLSEEQKDGLPKRIKNRITWGYKPGMDLDKFTHIVVPPKYTKKENTLTVTDDDLFIWKCVASLSNVMPEIWFKAILESANYIEKDHVHMLIHIEYRDNVHQETLLKLKTDLHMGRPKFLTNAKVTILDTNQKGKGKNKKEWEDIIHSFGAEISSKPIPDNKKTLLPYNSLYPYKKSDKKIQNSCWILKYDDSKVVKPVWKSDQEKYTVVPFRFVLECIARYQILPIDNKVVPLEVGKSSESTKKSKETLNGSNEATQSKSKESFTKTTSGSKTQITP >CRE06583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:662509:667460:-1 gene:WBGene00067442 transcript:CRE06583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-11 MFSCRMPFGNDPPDYVHLRSNESQMQLITISENSDTPTPSGSPCFNAPARDEAPAVIFIPGKKFQGTFRWWKTRTTMEKFLLPILLLFCLLTAVLLAVIINTDKRIEAMKADHSTQTHSSFGGDPTENPTKAPEDPRVPPIVPDSEAPTTPQPPVVTSSEKPKEPEVCSTPGCVRAATHFLNAMNTSVDPCEDFFEFACGQWNDQHPIPDDMFGFGTFAYAREQVRQQLRVLLEQETISESESINMARATYKSCMNKTQLDELKTGPLFETLTELGEWPLLQENWNKTTFNFTNLLVNSRRDYGVDVFFQLYIYADSKNTSRNTLFIDQSNLALGRGTRDYYLNTTLFSSHMTAYRKYLRKIAQLLKSDGNLTRSETEMNADIEKIIDFEIELAKIIVAEDERRNNTRLYNKREIRDLYSLLPQVDWVPFFQSIAPSDLTYLFHNDTEIIICEVEYLQKISELLEKTDVGLLTNYVLWRVVQSNVRYLDERFEDIKQVFDFLKVMTGQQQSPPRWKDCAQVPSTVLPLAAGAIYVQAHFQESDKDEALRMIMHLRHSFSDLVKKNDWMDEETKAVAIEKANSMINNIGYPDVTNDIPMLDKQYVGLSISESDTYYYIMKKSVVWMQSREFQKLTKPFDKHEFDISPAVVNAFYSPEKNAITFPAGILQPPFFSGTFPKAVNYGAIGAVIGHEITHGFDDQGSQYDKDGNLHNWWSESSLNAFDTRRRCIVEQYGNYTVPKTSFRVNGKLTQGENIADNGGVKEAFQAYQNYVHENGEEPRLPGLQQYSNEQIFFVSYAHFWCGKKKEAAAMQQVLTDEHSPEVFRVIGVLSNMQAFADVYNCPRNSPVNPDHKCIVW >CRE06585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:674448:681705:-1 gene:WBGene00067444 transcript:CRE06585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06585 MSLKDKCSNPLFSLVIFLIVFIIALLATVIVLKVNSKPVVIQGSKEALVSPDESTRSTSGVTYSRPTVSAFENHARVTSATTEKAETKTEPVISETTVRPNIPTLKPRNVCETPECITLAHQLLNWHDPSIDPCVDFYKSACGKYNEHTTVYGTRSQKKNLIVASLIDEYLIKNTTSKTKTENNFKFYYNKCVELQKNTEAFQSNSEQALKDIFDDIKTIGSWPLLDKNWDKSKFNLNDMLFKIASFGKMEFGLFEIAISDSLIISPVGERIEPDDSLKKTIKEILKENGIKVSDKTLNKDFDEYASFIQTLDQYGFDTNANATKFSHLEGKLPSIDFSKIIESWMNPKRTNGEVKDISEKIKNTTLVSHQKLFFAKRNNLESIIKKTPERTLANFLIFYFIELAYDDLSIDSDTVESRECAELAVGAFPEASLAAFVNNYFDKENLEIASGMVEESKENFIEMVRESTWLHEKTKEAAVRKLEKLKKIVGYPDQFKEKGSLDKTFENVSLSVTAGSWEFLIQLNLLSSDSFYKIIRKVEKVRMELVIDFLGLDTEFNPLAIYLDANAQYLPHKNLLTLLVPFLDDPLFDSTYPKYVKIAVTGNTISHEMGHGFDTEGRTRDENGDVKDWWAKEDLVEYDKRVNCLVKQYNNYDDPDFGKNLDGKVTLDEMIADGLGVETAWRAFKKLDLAKEQKIVGFGEDYSIEKLYFRVAALDYCTVRSISNLEYQLTAPHPTDSFRVNGVFSNMKAFAKTFNCPVGSPMNPTKKSLMSKKGKCSNPLLVLVIFLVIFIIAIFTVVIIIRSKDRLTVPGSREALTVVTSTLKPPPATRNYTSRPQIIVTSKPRNVCETPECITLAHQLHNWQDKSVDPCVDFYKRACGKYQEHTITEGTRNNKKMVIVTNLIRDFLLNKTVSTSKSENAMKVLFEKCEMLKEQYVFEENGKSVLKELLIDLKSIGPWPLLEDKWDESKFDLNEMLINLAKRGKMQFGLFQIMTPLAPLLEIGPLYYREPPHDYFIKIISHILKANKIKRDKKVLAKDFEEYVKLGQRLDKHPYEMGRPEVKFSELKKQVPSVDFEKILENLINPARRNILKDKIKIRRVGYRHSLFFDKKDNLEHIIKSTPKRVMANFLIFNYLENTLHALSIDERAVKLRECDLVVIGFLPRASLRVFVRNYFDRENIPIVTELIEDIKTSFIEMIQESNWAHEKTKETAILKVQKMKKMVGYPEEFEKPGALDKMFETLHLEKSDSYYVMMRKIERFRTEQKMEYVALETELDPAEMILDVNANYNIERNLLKKIETLEILIPFLDEPLFDSTFPKYVKTAGTGFTLAHEIGHGFDLFGMERDENGRKRDWWTSEDSKEYKGRMKCLVDQYDEYDDPDFGRNLKGSQTIGEMMADRLGSATSWRAFKKLDLSKEPKIIGFENYDIEKLYFHIHALNFCAPRDTSSLDEAMGWIHPTDSFRVNGVFSNMKAFGEAFKCPIGSPMNPNRKCELF >CRE06586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:682302:691704:-1 gene:WBGene00067447 transcript:CRE06586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-3 MSLKDKCSNPLVCLVIFLVVFVIALLTTVIVLKIDSEPIVIQKEPLVSPKENDNGTSQARPSTLKPSASDSGNVEEFKSSPDIVIGSVSTTETLVTGTESVAPVTVKPNIPTLKSRNVCETPECITLAHQLLNWHDPSIDPCVDFYKSACGKYNEHTVVEGTRNNKKSIIVQNLIEEFLQTDKNPATKTERTFKLFYKKCEELKDGKVHKFSVTQNAEIQYFQAMKRNSKQALKDIFGDIKSFGSWPLAEKRWSKSKFNLNDMLSNIARSGELNFGLFQMNTAGNKHFSISPIFERQQNENLKETIKSILTENGIKVFAKTLNDDFQDYEDFIKVVDQNDYIDEEKDYKLPHLEKKIPSIDFGRIIENLVNPKKKTNTTFLKKIEDMTYPTDQLLFFDKKKNLEKILKKTSARTLANFLIFHFINLSSQDLSIYPTDIKSTDCAETAINSLNEAALSAFINNHFDKENLEIVSEMVEETKENFIEMIQESTWLHEETKKAAILKVEKIKKTIGYPKEFKVPGSLDKAFKNVCTHISSLNILPSDSFYTMIRKVERVRTELIMDFVGLETELDPSVDLLETNAFYDSADNSLTILIPFLDDPLFDSTFPKYAKIAGTGNTLSHEIGHGFDMIGRTSDENGVDKDWWAKEDLVEYDKRAKCLINQYSNYDDPDFGRKLNGSTTIDEIIADGLGVETSWRAFKKLDLSKEQKIVGFDNHSIAKVYFRIAALEFCRKRDTSSLEEQLEKEHPTDSFRVNGVFSNMKAFGETFECPVGSPMNPKRKCELF >CRE06587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:691895:693899:-1 gene:WBGene00067448 transcript:CRE06587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06587 MVSKIAKIGTLDFGLFQFYPVLNHLIIAPSSRGVTEMSGIEKVCFQALISNSPLFFKFQMISTFLDVNDLDVDENLITDHVKEIYEFDVKLKKLQFLDYPEKITYSDLKSKISTVDFDRIIHSLFLPGRKEKLWAIVEKRVESYAHPLFAGDVENVKMIEESKKVFIEMIQESTWFHEKTKKAAILKVQKMTKMVGYPEEFEKTGALDTLFENLLILESDTYSILLKKIQRFKVELALENVASELGMELGGRLYAANAFYQPSINQLRVLAPFMDDPIFDSTYPEYVQIATLGKIINHEIGHGFDPNHRGKDENGEERDLWLPEDLVEYEKRVKCLIEQYNEYDDPDFGKKLNGSVVISELVADGFGMDVAWKIFKKLGLASQAKLIGFKDYPIDKLFFQTVAVNLCNQRSKKDVDFAVQFDTHPTDSFRVNGVFSNMKAFAETFNCPVGSPMNPKKKCDLF >CRE06589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:695204:698109:-1 gene:WBGene00067449 transcript:CRE06589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06589 MVLNLESKPSKEKVPKPKKEAKPGKKLNPLKKEKPVKQKKIKAADPKKGGVVKPKDPKQGKVKTPNLFKKPKLLKKDEDVKVYNASPAPGQAARAPEPKNKKSYKSLLIHGVLVCIILALSLALLLVLLLSGKDKRNVCETPECISLSQQLLNWRDESVDPCKDFFQYSCGKYNEHVAVQGDSLDKHNRIVHGLMRGILESTGAYIGQSQIDFGLFTLQVASASHLVITGSRYKLPHPDVIKANFKEVYGYNPDENQLTEMVACNEEYIQLFLTISLELSEYSTLKDSIKSIGFDKIVKGTLKSRSKSWEKVEKMIMVDKNFVENAEDINNFIQQKKRQIANILFYKHFETSFRDLRSSPCHSMVAERLPLASNRMFVRNHLDKKNIEDAADLLENSRDVFIEMIEDSKWLDDKTKKNAIKKVKAMKAIVGYPKEFEKTGALDEIFADLDMNPNLSYHTMMRNIQEFRIHLVTSFGTSESTIDPTLYEFSTNAFYSSLGNSITISTNIIDDPLFHHSFPEYAKIAGVGFIINHEIGHGFDNGGRLFDENGNPKNWWTEKDVKEYEKRMMCLVEQYEKYDDPTFGKNLNGSLTLREMGADQISADVGWRSFKKIDLEEEQRLVGFEDYEIEKLYFQIGALNWCSPRPSHSLQMQLVDDHPVNNFRVNGVFANMKQFADTYDCPAGSPMNPKTKCEMF >CRE11372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:261188:267510:-1 gene:WBGene00067450 transcript:CRE11372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-46 description:CRE-TWK-46 protein [Source:UniProtKB/TrEMBL;Acc:E3N0K7] MGLEMFSLHTKVMNGMEGRMRESNMRILIGLGVAVVYLFVGAIVFVRIEYPLEKIERETYLEYQNQWRERLLQLDIDESEIDKLFINIREAALNGIWMDRNLSSDPNWTFGQAFFFAGTLISTVGYGRVSPRTEHGKLFTILYCVIGIPLTLALLSAIVARMREPSNKLRGILNQRLGHLFTVNHIQLIHVGVVLAGLLIFVFAIPAWIFSSIETEWTYLDAFYYCFVSLTTIGLGDFEPGDDPNQSFRGLYKIGATVYLMGGLCCMMLFLATLYDIPQFNLTSFFVKDDEEMRFNEDEGKYGTLDSNVVENGFYAHQTRGAKLMEMKREFQIFKAFRKSLTGTQINEIWYRKCE >CRE11371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:257396:259227:-1 gene:WBGene00067451 transcript:CRE11371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11371 MNLIFLLSLITLTSAISYSSPIQRAGSLRAKLLKNGKYPEFLAAQKKLRAATSQPFLDYSDDYYRVKMELGTPPQAFTVSLDTASSFLWVLDVNCKSKDCKGDHYEKDTYNNSDSTTFQCGAGTFVAQFDGQPVTGYLAKETFTLANSLNIRNQDFGSAQTVPDLYLEQPVDGVLGFGLPAMAVNGTKTPLDTLMPLLDLKLFTIWMDKITPKSMAGNAGLITYGALDSVNCDSKINYVPLNVMGYWGFALDGFAIGTFTRQRKEQVISDTASGWIGAPPTVISTIVKATGAKFDWNLELYTVPCSQMTTQPDLIFTINGINYPVKSVEYILDLGLDSRQCALTFFGMSASSFGPAWVLGDTFIRSYCHVYDYGNMRIGLAKAHSSV >CRE15002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:83257:85191:-1 gene:WBGene00067452 transcript:CRE15002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15002 MKQRNFELLCAAMLGFGQLCIMVGYDSESFILESVIHSIHERNPEKVSQYAGYYGQAVIFAAYMTTCLFAPSILHISTSKSLLIFSAVSYTLFPLGFLFFNIYFYFFSAVLLGIGTAFYYLGMGNYLSQHSTRETIESNVSISWSVGCCCLMIGAGILAGITSFTVPTTPTVTQNSTLQETFHQHERRYSDNEIRMLSAAFSGMSALAIVTFALMPSKSVENSLESSEKKHGFVESLKLTCSTVISPKLVQLLPLTILGGFNVSFWLAIFPTAMNFTKSNASLIYIPAIYSLGAGLGEVLMGLLISALSKRIKGFGLKPTMVIGALSVCIYCGLVHISTPFEAPMRPTSQDSIWIQQGYPLIFIISFFCGISDCCINGVRSVICALVLPQRRAQSYAVTRMYHAAACMTCFFFSPIVPLYIYTFVLPVLSICSTFLFFRVVDNTVTMERKMTEQMNIVKMEEVVQ >CRE11369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:251937:253357:-1 gene:WBGene00067453 transcript:CRE11369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11369 MSKHSKNNSHIWDHMMYQPTIRRNPYYLNTCHVPHDTTGSSISLVEYSRQEITVVSPRIKCPVKPRRIEKPRDAYVTNREREQAEPPKQLAVWKFSPVRKVKYNPHDVSNAFEAYQHRLLVKKWAEPKRTHTHHTHHRTTHKKQVTVNETATEIIEDKCPKYQKFSLTNQRNDEVQIDKYSSAKVVSEVSEDVEKHDDVVRIQKTSTRKPGFYGTIEAELSAEQAATHLSYACENLERLQFVTDAVYPQNSDHLKNLREIEDDVKDFGSQMRRRRVKVPTSAGTATQVAHFIINDN >CRE11323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:249489:251693:1 gene:WBGene00067454 transcript:CRE11323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-reps-1 description:CRE-REPS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N0K3] MTENDKKSSYFSNSDFEKVAADIISSPRRLKHASPADSTSDSLFKITPKQQEYYTKCFRHLIKTTQGAVDLSGALCGADQRIVAFFKRSALDMGSLSKIWSLADVNEDGWLDLNEFSIAMHLVVLKVKGEVPIPDALPIFARPPITEPREREKEMEKEKPAVSPAVSLGTVEISDGPVKSWTTQPIIKQFSDTPPLLVDSRPTAIKHSALLALKSPLGPPPAPPARPQQRGHNRSASLDLKLIAVNSKNGGKVSDAPPNTLSLWSSHSDPPQPTSVSTTTTTTTFASFPATPDSIPPPIPQRITPSPLPFQRVIEEEKRITTDASTQTTGIYYDEEGVKSFFSKIGAQIDDLLGEEQAATDGQGMERWNKRCAALRIQNAELEAERARLAQVRIQLEIRVQEFEERSKTACSSATL >CRE11366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:244788:246338:-1 gene:WBGene00067455 transcript:CRE11366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tgt-2 description:Queuine tRNA-ribosyltransferase subunit tgt-2 [Source:UniProtKB/TrEMBL;Acc:E3N0K0] MVKFSIEKKTLLGRLGKIESWGPVEVNHHTPSCMTYLRAGHIPHLTWDVAENQLKLEQTPIYQMTLPSLVTNAKIIEKFGKGAAKFCGMPGKNAIHLTPFDPLGKLPGGYNDSKSIAVWTANGKVSLDVKTWRSIVNSFGCSSFESLVDYDTPKDAGQKKLVKAVDRTKTFHEQLFLQDEKVSGERVVALGGGFSKYHRRKCAVDVGLAENTAAYTVEFHEFTEGKETDEKEMKELLEETFSPLPPTKLRCISGPFNPKTVLFLVQQGIDFFDSTFPIKLAEEGHAFCLADDYPTSSKYEVVDFNNQKFADDFTTPFEGCACYTCTKYTKGYLQHLLNTRELLASILLVIHNMTEYDRMFKLIRKSLENSEGL >CRE11365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:243847:244591:-1 gene:WBGene00067456 transcript:CRE11365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11365 MQSISSSSANVRMDRRFDWVGPPDNVSKIRKIMLRRVANESELERQYRTAREELNQWNSDFWAEHNQLFDRQKSDFVEKKQKALGRLEHVSANELSEFYRDFLNDRHVAMMAYNKEWYRRNLQLIWPALKVNVIRFFRMAKK >CRE11364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:240619:243674:-1 gene:WBGene00067457 transcript:CRE11364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11364 MVAGKRTGAAKGSRHNKKYWRKGTNIDEIEDAIHNKARQVATGVVVEDMKDEELFVVDRTASTKKVEEPTRTRKQQAALDKIAKNITKEQVSLPKPIGKTLKKPAKLPRGNAILMNKKKVGKAVKKAPKFDVWTTDLTPSIPAEKLNVPEAAEHFLKVVKKKQPKTPGKSITTLLPAVEVAQGGASYNPESKEYHDYVMKIAGEEQKLIDSEQKIKRGIEPQWEKVTTEHERFLEMAEGLRIHPKFGKDDEEHPATEGTATEETVKSEPVSCERMTKEQKKKKAKAAGLEKEEKRRLDEKAREQDSHNVFRTKQIHKELDEEEKQRHEESEDRKKKKILNKLTKRQKLGRGKFVDAEEPFLLQEELTGNLRQIKPQGHVLDDRMKSLQRRNMLPIGGNKAKKLKTSLKHKIVEKRNVKTVVKGSRVI >CRE11361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:234214:236941:-1 gene:WBGene00067458 transcript:CRE11361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11361 MGNTLGGEYLSTWDDADVKKWQETARNMQQCTYLQFGGSQFHDMTDLTVELRVTKICYAIYGPPIEEADTLEEAYSTEQRAFGRKCYEKILEVWKKAPEPRFKLGFIFVFCKEGDKEYQFQVPLFRLLWEKKGNTDTNRYIDTSLRVYDSARDWKDNNHMPMMKYCHPENLFYTCTNDWSYNFDEKEEVVLGFNTSPACDLTARIVRVADVIITTVGMTVGVVAIFTPAGFISGPILLSVGAGSAGWGVDRATQRLIDKGTHGESLTDLESALLWLSLAAAPLNLLNGFVGAKLAAGAASGRIFSQTQRVLATLLMFTVVGVDSFSFLLNLTNLIDKAIKDQLTTLDVLQFSVSTLFFGNMLIQPKTASGIIKKAQQQQIQHVAEKMTDADAKVAFKKYLDANKGKGGIHDGAKIVRDLLKMEDPNAFFNGVNHDQLGIGVNYSEKRQSGTPSVQKPAKLKACLGGKDYKDHQHLGQLNDQQVGRLNKVFGGAAKYDEHVVNFATKIANEMKMTNNPDGFMSIVEMVAAQAKQDSNFVRTGDVKNFTAGIQKDLKIVTEIGNKNQLKFSDPYKALYHYRKHGSEFMNKCTPKFYLGELPGQIQDRGQLADVCKVTSDLPSGGTEMFTRKTYLRKDNAMLVVIEKDNMKTISTMYKKPDCWAEYTKQFPEVKYPTPNANFANLAYVAGLDAVQLQLRSSSFFFKNHNITERDPNYERYQAMIGILAQDMANCLKHDSEE >CRE11322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:227599:233900:1 gene:WBGene00067459 transcript:CRE11322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sws-1 MKLAENHYKYVFQTVLSIISDAVDSETYLEDLTAIGGLIKPEVVATVCHRRQLPQLFFKFQSFQLMERGKVRELTTPRSEIRQKPRIFEDFQEKPIFDWRSEWELRRPKRKKASENFRHLQRSFVEVEHAKRKESLQFFYPQVHYCPCRYFQVSVLEKQVDWICVHLLAYYFSRSFGKMERIECKIEIIEDEKVTEIRKDPVSVAAEDVTSDSSILNHIFPQKRVEKQIYFVRKMPPRNKIVEEQTGPSSSNILIKEPKAEPIVLHKSPNRASNKMRVSRRLNWNNRRNRQLIALQQCQTVNKVVKDELIEVKEEPIDDYEQECNSYQRAASLPVNSHPRLKSINPTWTEDVPKVDIPVFKTEKPTSVDEPPVDRIFLGAEEPMKDVPDNKIEEISRIAQSTVKRFTKSQLLPFGKRPALILQDGEVMNREARSRSVVLIDEVEEPKEEGQSEDELELDILKLIDSKKAKLYESVRQKQAKAQKLIFNELRVTEYMNSEIFKKSIKPPDDSSSFQKVVKAPHALSKYPAYSDSLSRSSSLLFKNLERVQEMKRKEKYGTIWDRNYKAPKKRDHSPVQFKYPILPKRKEVQLTYLASETIEMLEISWKGKTGKVPEFIQCHLCNGTMRFGVRSRRFRGEVREHPTYRCFRKGCQTYCSVKKVVNMNHWEAIPDDPKEFIIKEVGKLKSKPPEIVASNDKDSEIRAKRVTRNKLDYLSKDFICGSSASEIARFDDEDDEERLGETIGYWYTTESSESSEEPMGEDAEKEDDYSDHVEAGMPFPTISCSKRETTPFTGRSFTGRSLCFNLSICLMFFITMGNTVSGEYLSSWDDEDVQKWKKTARNMQQCTYLQFGGTQFHDLTDLTVELRVTKICFAIYGPPTKAAETLEQAYSKEQREFGMKCYEKIREVWREALEKRMKLGFIFVFCKEGEKEYQVPLFRLLWEKKNGQDTNRYIDTSLRVYDGFSDWKNNNHMPMMKYCHPKKLFYTCHSEWEYKFDPDEEVAVGFNTSPACDLSARIGKSADVIVTTVGMTVGVLSLVTPAGFISGPILLSVGLGSAGWGVSRATQRLIDKATHGESLTDQESVLLWLSIIAAPLNLLNGFVGAKLASGAAAGKIFSQTQRILATLLMWTVLSVDSFSFLMNLTNLVEKAINGKLTTLDVLQFSVSTLFFANMLIQPKTANGIIKKAQQQRIQDLINNMTDADAKAAFKEYLEANKGDGGIRNGAKLVRDLNKIEDLNAFFKSASGFKDVKIGTQYTESTQSGTPKVYKSEKLKACLGGKDYKNHQHLGQLDDRQIGRLNKVFGGAAKYDEHVVSFATKIANEMKMSNNPDGFMSLVEMVAAQAKLDPNFPQSGNVQQFCAGIQQDLNSIREMGNQFTFSDEFKALYHYRKHGDEFMRMCTPDFYLGELPAQIQQQGQLADVCKVTSKLPNGSTEVFTRKTYFCDNDSILVMIENGDLKNISTMYKKPNCWTEYTQRFQNYFSPDSDFSKLAFFAGLDAIQLQLRSSSFFFKDQNDFSKDPNYEKYKIMIEILAEDIANCMEHDDDK >CRE11360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:225553:227363:-1 gene:WBGene00067460 transcript:CRE11360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-35 MHRLSHISTRSRSTLADKLRSAVGGSDYGKSKQALAAGSIAAKDENGEDFRELYVMPKRKLRGQIQLEQNTGRAEIKTRSRFDLMDRLAERPPRSEEMDPEKHKWSDVWPAARTFAASVVPLPVRMGSRPNVEKRAPFKKEGNLELVKIPNFLHLTPVAIKQHCDAIKKFCTPFPPELIATPSLAATCLPISVQYSTYIHQGTNIRDIRSRVVTMIVDVESLKLTENAQEKFIRLAGKRYNKESKKLTIITDRCHTRKQNLDYAHYLLTVLYHEANKVEQWAELKERSDNLQVEFEGSETKTKLVDLIEKAKTAGLKPDESSITEFGEMWKSYRNSEETVDKTREYGRQMKKLLGISGNN >CRE11359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:220725:224867:-1 gene:WBGene00067461 transcript:CRE11359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11359 MFPLKGRLFLATLSHAIFSQYGEIMAACLNLMNVPIKEFFRESLRKNYGVESDQSFETIFSACASFMFIGLAISFAVMGKLMDGLGRKETILLRSFLGILGSVCMLTSLLTNRFELYVIGHLIAGMLQGLRVVLIIWIAECSPDSKRGLTSLFINSGGVIMTLLVTPLCLPTVWGTQHLWFLLPCITALLATIHLIVTIFLPQSPKHLFIQQNDEEGARKALRFYYGDNETKEIDGAIREMIHENKQAGKGKTSVLDILQHRTHRFSLFLVFICSLVPVFSGLNIKSQYLVEILISYGLSQSNSTIAIMVISAISLPVSFIAPLIIEKYGRRPIFVLLTWLCAFEWVGLAIPEMANNFGWLFETRWIFACLAAILGQSAVNLGMLVMAPIMISELCPHNIRATVGHATQVPPIFIAVLEVFMFPTLRAHFGFSMFLFLATCCAALATILHTQMLETAGLAVDEIVARLGGEPTLTRSNTSVGWMHYGSLWEKEPEPTARKATKEQLIF >CRE11357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:216018:219389:-1 gene:WBGene00067462 transcript:CRE11357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11357 MTAHKRKSGQNTLLIHRFSAAIRMEPSSSQSSGEKKQYVDEQGHIYSAEPIQYEPIYYEENGSALVYEHSYQPLTHEIYHEEIVEDQEYVSLISLSFDVYRXLHLPPHQPQHRLNQRWVHIRTSTVLLNKIKTLEKLENGHVYSEIWKNEPVKRHEEEKKVATINENGSILNRNIPGMSNLFKVLKESAMKKSEDMLKQEDDEQEGTEEKPVLTTVASEDVGHDSDTPPPMERLGDFDEQENQTVFDQWPLYSNKAIDFKESCELLIGIQEIDDEKVCKTIPLQFKHEGTFLIDSRQIRDINKDDNGGWEVPSGKTRFYKEMPDGNLVRADDGKGRLNAWAKDFTYKIVLKRYENRVTRNADDGRGSFQKKIYTGFKGTERLPFTIITYSWSSGRPWNFVPPIEGKTKQNWEKKSFEKVNMTSMPQMMSAYYEGFNIYSHCVVTFDEAAAIMLGATVVDANKLCSSVPLGYRQNGTFVIDLKRMGHCTLELRRDENGLWTKPSGFSRFYKFVANGDAVRVDKTGKLPPGTDFDVKVSSKRYEHTQVDKKFVRKIYTAKGKNTESFPGSPEFAVIVYYWKGDPIQFEPAYKQNAVRTEVKEYARYQQIADEEQEFVETSGTMGSRGIEEDLGVRMMEKDIQPPPLKRARFSNEHVEAQHANVLEMKMNLIRREMENQDRFSALLDRAEGMLTRMEDRFGMIEPVYAPQNVVQQWNNGEEQIIEEEVIEEY >CRE11355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:212877:213861:-1 gene:WBGene00067463 transcript:CRE11355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11355 MCIGFIKVATSPDEKYKLIILNNRDEDLDRPTAPIHWHDGILSGVDEKDVARGTWLGIDEHGKVGMLLSITQPVDSKHTNAPSRGGIVNEFLKSGSDSSQFFENLKGKAESFNGFQFVGIERNPKSGLFEVRSLTNQLVDQIEITKWNDKFHVYSNSPPHIPFKKAEFGLKIFSESLEKTDELDIDEIQRRLFEIATCRTHCFPDDQIRVQTGFPGDVYKPLTSIFVRFPESRRYGTRSHTLIIVDKEDNVTVLERRMEAAQKVEESTWHDEKIQFKL >CRE11354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:206461:212464:-1 gene:WBGene00067464 transcript:CRE11354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emb-4 description:CRE-EMB-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N0I7] MVTKRHQDVVVTRGAIENDTISAVAAKYWAPFTKETHEKFDAKLIDTIYENEMLKTQFNPRKIMMLEFSQYLEGYLWPNYKAVDASKAYNLSIVVMINEKFRERNLDSWACFTKNATEFSAFFKRILELSLQDDGLSPSEHCALLTFLVNAFGSVETPIVHNETKKLVSIEIWSGLLESQREDLFKKQKKLRKIWDNVVKKMKPETTFHREYLWNLIEKFKKTLKNFDGSEGEEEGEDPVDSIKYCERFIELLIDLESILQTRRFFNSVLHSSHLLTNCLLSPLISTDAGSLFFQLVQLLKFYARFEIDDLSGRQLTHKEVSEQHYQNVTRLQKAAFRFFKENMKEFYLLNVSGVDTRRALQKQFGDMAHEEVYRFAEYLHLVPPFGEDSAQQSDLLTRFPHNHLVETITLHCERRPNQLTQLNEKPLFPTEKVIWDENVVPYESYTGEGVLALDKLNLQFLTLHDYLLRNFNLFQLESTYEIRQDLEDVLFRMKPFQHESRNETVFAGWAKMALPIDHFQISEVAKPLVGEKSPAVVRAVVTVNVGRRQDIRQEWENLRRHDVCFLVACRSRRGASGLKFDVRRPFAEQIEVLSVRGCDVEGMLDQDGHLLEEYTSYEKKTKIPGDMRKFRLLLDPNQYRLDMEQGNKDDIYDTFNLLVRRDSKTNNFKAVLQTIRDLLNTECVVPDWLTDVILGYGEPDSAHYSKLSSAVPELDFNDTFLSIDHVKQSFPGYKIELSGDANAMVPPFKLHFHELDRRQDVETKPADLRTITVTPLIRKKNTPYSYAVNKNQVQFTPAQVEAIKSGMQPGLTMVVGPPGTGKTDVAVQIISNIYHNWPNQRTLIVTHSNQALNQLFEKIIALDVDERHLLRMGHGEESLETEKDFSRYGRVNYVLKERLTLLNSVEKLAKALKVVGDVAYTCENAGYFFRFSVCRAWEEFLAQVTAKGAAKLADGIIPEIFPFAAFFKDIPNLFSGNNSDDMKVAHSCWRHIEQIFEKLDEFRAFELLRNGKDRTEYLLVRDISD >CRE11321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:205249:206397:1 gene:WBGene00067465 transcript:CRE11321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11321 MSNRYSFSRDFQEKIQILGKFFQKRKFLKKKDKAVLCKQTGFPESFINNWFIQRRKILRRESRSSIDSTSSDLTEVALMEFSTPSLPSEAMGLTPVFDAPLSLETESTFGEEAPLLVSCSPDTSIDDPGARPLISGGSQQYQGLLMIAQPQNQMSTAFMSPPTSSDSSENSGSPSPKTEVITPFQPPQFDALDNKDYSFTTQETTSAPPGLSDQETIKTLINIVCAYNLQVQSLLDNQAMMNNQLQAYANALVDLQRQQPHSGPIIYYSKDQEEKLREQFKKKPYIKFEERKKLGDEIGLTEAQVMLWFRENQSSDEKGVQKLTDVLEELNAMGPPKDSANPNTNSEFQ >CRE11320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:204265:205042:1 gene:WBGene00067466 transcript:CRE11320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-51 description:CRE-CEH-51 protein [Source:UniProtKB/TrEMBL;Acc:E3N0I5] MMFPYPSGEYYGNPTVSSTSPAVSPSYPHPDMSMCTPMPSPFFPQPNQPAPGQVSPGGYQRPGGHSQAVQQYLQKQMEESARIAQAQAAYFTWIQPFHYQQAHFPHQHPGAPNSQQMLYQHPNAMTPQDIQQAFDVAGDKYKRGRIPFTQEQVKMMEARFSKSDKILIDERRTLARAIGLTPNQIKIWFQNRRFKLRKAEKEKERLETELMDKTGKLTIMKNDEAEEDDFQENDESKDSFD >CRE11352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:197682:199970:-1 gene:WBGene00067467 transcript:CRE11352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wars-1 description:CRE-WARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N0I3] MANPAPENVEAKVEELQVNGVAHQEEEEDDDKVTPWEVTTTKATGIDYDKLIVKFGCRKLDDDVIARFERVTGHKACPMLRRGMFFAHRDFVAILDLKEQGKPFYLYTGRGASSGSLHLGHLVPFMFTKWLQEVFDVPLVIQMTDDEKFLWNEKMKVEDAKKMARQNMKDIISVGFDPTKTFIFNDFDYMCPPFYENIVKIWKVVNANQARAIFGFTPEDCMGKAAFPAVEAAPCFASSFPHIFGEKGKKNDIPCLIPCAIDQDPYFRMCRDVAPRLKAAKPSLIFSSFLPALQGAQTKMSASEPNTCIFLNDTPNQIKNKINKYAFSGGQQTVEEHRAKGGNCDVDISFQFLRFFLEDDAELARIREQYTKGELLSGELKARATLEVQKIVAEMKERRDKVTDETVNEFTKIRSLAYQY >CRE11351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:194976:196275:-1 gene:WBGene00067468 transcript:CRE11351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-42 description:CRE-NLP-42 protein [Source:UniProtKB/TrEMBL;Acc:E3N0I2] MKMQIVGLLAVWLTIMQLTQAASLYLTGLPSESERPMKRSALLQPENNPEWNQLGWAWGKRSVPGSEIPRRLVRALHPVKKNPDWNDLGFAWGRK >CRE11319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:190444:194130:1 gene:WBGene00067469 transcript:CRE11319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-42A1 description:CRE-CYP-42A1 protein [Source:UniProtKB/TrEMBL;Acc:E3N0I1] MGIITASLIVLTITWIIHFVFRKGKFIYDKLTVFQGPAALPLLGNFHQFHFSPEEFFEQSQGIAYMMRKGDERITRIWLGGLPFILLYGAEEMEAVLGSPKMLNKPFLYGFLSAWIGDGLLISKPDKWRPRRKLLTPTFHYDILKDFVEVYNRHGRTLLSKFENQSETGEYKDVFHTITLCTLDVICEAALGTSINAQKDPDSPYLDAVFKMKDIVFYRMLRPQMYLTPIFKWFGPGAEHDRCVKILHEFTSKAIYARKAKVDAAGGVEQLLAQETAEGRRRMAFLDLMLDMNSKGELPMEGVCEEVDTFTFEGHDTTSAAMNWFLHLMGANPQIQSKVQREIDDVLGEADRPISYEDLGKLKYLEACFKETLRLYPSVPLIARQCVEDIQVRGHTLPAGSAVVMVPSMVHKDPRYWDDPEIFNPERFISGELKHPYAYIPFSAGSRNCIGMRFAMMEEKCILAIILKNLKVKAKLRTDQMRVAAELIIRPMFGNELKFEKREFGDYTPIID >CRE11318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:182343:186101:1 gene:WBGene00067470 transcript:CRE11318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-230 description:CRE-NHR-230 protein [Source:UniProtKB/TrEMBL;Acc:E3N0I0] MPRSSTSPSSSQEESCELLCSVCSQPARGKHFGAVACRACAAFYRRADASKTTVKACKKGGKCQSLLNNNGWFDCKWCRLQKCYQVGMNTANFQFDRDPISTKEVVVPKSMENFLGRPHCVIFVEPDRKVLVKKDLIDCSDLMEKANKILTEGCETPLHAKSSLLKLAQALQNIDLAHTPTAPVKVVTKYGKEEVLSFFEMDFLKATTWFSYYEEFAKLNIEERLELMQAIWHVFARLYKLSTAAMGKRRQMCEEQMLMISHDTEYTAMDLTKIEFDYSWCTKYSNEQMQHFIDNTENSFLYKLVDYMVDLKPSDAELSFMICQACLQYAGQRFQGKTMEICEKFQQKLANELHDFYVDEWRMPNYSGRLSLMLRINNKIKEDIWKCRKKQEIADIFDVYCIEYSHPEIFRDI >CRE11317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:173795:177263:1 gene:WBGene00067471 transcript:CRE11317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-65 description:CRE-NHR-65 protein [Source:UniProtKB/TrEMBL;Acc:E3N0H9] MELEASSSSRPQKCNVCDDTGNGMHFGAFTCRACAAFFRRAAARKSESPTKKCQNHMKFTLKCRNCRLQRCYEAGMSSENFQFCRDLIGAKPVSPRKNIYRSIEQFVGRPYFVLSCNPEVLVLRKDVIDCVPLLEKASGVLSMGSESPLFSKNRLIKLAQGLQQFQDAPSGQVRFVGKMGKEEVLSFFETDFLRASKWFTYLDEFQFLEKEHQLLLMQGIWHVWSRLQKLSISAMGRRRGICDENMVMVSHQNEFAVCDLNKIEVDMSWCTNYSNEQMRYFLDTSHDSYIYQLMDEMISIKPNDVELSYMLCQLCLQYAGQRFQGEILEFCEKVLGFLADDLHSYYVKQMKMHNYAARVARLMKINNKIREAILRTRQRQHIADVFNVWTVEFSHPNFFVDA >CRE11316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:170637:172482:1 gene:WBGene00067472 transcript:CRE11316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11316 MEIESSSSTSSASSASPNNCVVCDLPAKGMNFGAFTCRACAAFFRRAAHRTSIKKCGIHSTYLLSCKKCRLQKCYEVGMSTEKFQFYRDQIIVRKDKKDFYRSLEQFVGRPFFVLNCDPEISVSGKKVIDCMPLMVKAVRVFAMGSESPLFSKNRLVRLAQGLQQFQHPSSALVEKFETSDMMSSFEAEFLKTCKWFTYLDEIQMLSGDNKLLLMQGIWHVWSRLQKLSISAMGRINGFDNAQFGLWDLNEMDIDVSWCTNYSNEQIRYFLETNYDSYLYQLLDEMVALKPNDVELSYMLCQLCLQYAGQRFQGEILEFSEKVLGLLADDLHSYYGKQLKMHNYAGRVAKLIKINNRINKAILETRQRQHIADVFDIWTIEFSHPEFIVDA >CRE11350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:167441:169646:-1 gene:WBGene00067473 transcript:CRE11350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-243 description:CRE-NHR-243 protein [Source:UniProtKB/TrEMBL;Acc:E3N0H7] MLLPTTSSSSPESSICSTSSFESSIKLCAVCDLPAKGKHFGAYSCRACAAFFRRASTQTDGIRVCKKNNNCKLFNGNGWFQCKKCRLEKCYKVGMSVQNFQFDRDLIRTKVQVMERNMPATIEEFIGRPHFVISCAPNMLKMNPYEKIIDCNEFVGKGRNILFGGSETPFHFSNTLQKMALARRRMEGRHNTTVKVITTYGEAETMAFWENDFLRAAKWFTYFDEFQRLEQAEQLKILASVWHVWSRLDKLAITAMGRRKKLCGDFVMFSHGDEYSVMDMKNMEIDLTWCARLTNQQMQFFYDTSETNITYKYAQEMMDLNPDDVELSFMLAELVLTHAGHRLKGETQEICESLLERLANNLHEYYVNEKETPRYSGRLAQILKLNRKLREEIMQIRSKLQLAKVFDVHTVEFSHMEFFEDL >CRE11349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:157685:163817:-1 gene:WBGene00067474 transcript:CRE11349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-22 description:CRE-PTR-22 protein [Source:UniProtKB/TrEMBL;Acc:E3N0F6] MRLPTAKYEVHMRKFFYKVGFFIGTHPRKCIAALLMVTAFSCLGFLRFHQINNARVTFTAHDSPSHREGSMFFEFLRQNGTLHMIEVLLQASDKGNLLRPAYRHQLLGISKEIVEDLSVTTSGHVQTYGDMCEPYCEKNDAFFALMDIFESNSTDSFELTYPSTEILGHKMLLANNLYGVKTDPDTHQIISFSSVILRFFLTNPTIKPMTDFENEIVKLVYDSGKYRLIHGQVASDNLVAKEVKRLGNETAPWLSVALAILCAFLVVCSLRYRRSESKPLEACLGALIPVLSGLTTVGMVSATGLAFQSIIVSTLFLVIAIGIDDVFIILAAWHRSDKNLEIPERLALTVQDAGCSMTVTTVTNLVSFGNGVLSTTPVLQTFAIYSSVASVVCYIYQLVIFPAIIAITAPKEYKELGKMEEEKTFEFIGRLSEWSEKMWHQLAAIIGTYWMRILTISILLGYWYLSVYGIFTMETDLSIQKMADQKSRIVKYKKEADIIMKEMQSVAVLVKQPGDLRKPENLENLQNLIKDFEAAKYSYGKESTICWLQSYLDFLAFYEDSEEDFDEVNSGNISTSDSGATHKTVNFTYTDLPNFLNSASHFKPMIRYSEKDCEKNLPSCLQSFVFSTGFTTVVRYNEMYPVVSDWRRIAAKYPQLEVYPYTERSNFVDQTVDMVDNIWNTVISEVICMGLTFLIFIPDVVSIFAAVFALFSVNFGVFGFLSLWGVGMDPVSTASLLMSIGFSVDISAHISYHYYQVDKPTARQKLEHVFTHIGWPTLQGGLSTMIAMSPIVIAPSYLGLVFLKTVVLVCTFGLIHGLIVLPVFLSFFEEVAGSCRTKSVKITDSTTSSTHHLPTTIHVKDIGYHNNNDEKF >CRE11348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:155106:156606:-1 gene:WBGene00067475 transcript:CRE11348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11348 MVTDYYKYPIGVNVDLKPPEYEGLYSTANQISSVAGFYDCRFKVYMSRHGDSCAVSATVQLGGANEAIIRSRCCVEVVNRDEEESAFIEKECALELGKEYNLMDSFILLDIFDEKERWINNGKLMIKFGIYAYAICKQNVSYFNFHKSLFDATGTQQSILLAKRDSDEKLQCNKQLLVIHSSAFAKCRPYTNSRMKLLPDIDMDILYICIQMAHGVQMRCDATTLNYVIQMAQYLKLRNVQIYCERQLIHEYSHIKVTTKQILFAFRHDLYRYLNLNLQKLESFKDFQEVLKKADIQMMSTESMKLCIKYFVENEKWE >CRE11346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:151269:152805:-1 gene:WBGene00067476 transcript:CRE11346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11346 MTTDYYKYPIRVNVELKPANYQGLYHTTFPIPSIAGFHDSQIGVYLTRHGNSCVVSGKIRLVGSKEALVRTRYCVEVVNRDEEESEIFEKEYAFELGKEYNLMDSFFLLDIFDEEDGWINNGILKIKFGIYAYAIYEQNIWFFNFNDSIFDAKDAQQSILLLKKNSDKNIECNKQLLVFHSSRFARCLPYTDSRMTLSPNVDMNLLNICIQMAHGVQMRCKSTTLNYVIQIAQYLRLRNVKIYCERQLIHEYSHLKVTSKKILFACRYDLHRYLNFYLQKLESFKDFQEVLKKADIQIMSTESMKLCIKYFVGNEKWE >CRE11311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:142472:143263:1 gene:WBGene00067477 transcript:CRE11311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11311 MSTESFDQLSDVSDISSHHSDSDQDQMMVLVRRQKQLERERDAFVLITMILLAIYLVTVPILIRYNPNQTTEIAPIITNTTNTTDTFNPTEYMKTLGKRRMMRTSEILEDHEKVAKAQRVVESKGWRRIGDVPEYYWDKFMPDITRFEGVETYLYKTKQNGTRVEEALYFHPIKFWKVSEDGFINTLFEMFNLRSAIESGWPSLNHGIFGMVNVGSCDPKTECLQGKYKIEKGDLVFELTFTMEGGQKMIVKTVYYVPAETFI >CRE11305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:121413:127714:1 gene:WBGene00067478 transcript:CRE11305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-33D1 description:CRE-CYP-33D1 protein [Source:UniProtKB/TrEMBL;Acc:E3N0G3] MLFLIIVSIIFLYIFHELYWKRRNLPPGPTPIPILGNLLELNKLKPGYEAFGKWRKQYGPVFTFWMAQKPFIIIASYEKLKETFVKDGDTYVDKQLAVSEKQRLGQNYGVLDTNGEMWSIHRRFALTQLRDLGLGKDLMQEKILMEVVELFKDIDANSGKEFDLPALIDRSVGNVINLTLFNKRFERNQGDEFAHLKSLIDDIRDVASKFRYFIQFLVPWTSRVIPGPTLSEKSREKRNKLDDFFYSQIDEHQKEIDFDSHESFDFVEAYLKEQRKREEDGDMETFSRKQLCAMCFDLWIAGLLTTTSTLTWGISYYLHDPKIRKNIHEELDRVIGNDRLITTADKNNLPYLSAFLNETQRCANIIPLNLLHMTTRDTVIDGYPIAKGTGVIAQISTVMLDETVGATRYNFFELSEFQVFPEPFHVNPDRFLENGKLKKVDEVIPFSIGKRQCIGEGLARMELFLFFANIFNRYEVLTGKDGLPDLDKSKDNFVIPRKFNAVLRRRYQD >CRE11304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:116255:119520:1 gene:WBGene00067479 transcript:CRE11304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncs-5 MGGAMSRESRRGDGTRRRSTHLQDQYEQTLYEQWFDRAVHKAYSEPPQIFTSSSILDTLYHRIYWSIRALQFKIFYKNEDMDLDEVVDKWELGVQPPSLEQLTIKTQFSPKWIKYMYAKFKNESPTGKMKEEEFRNLLASIIAPEKATDQYISRLFSAFAGKDKKTITFEDLIDSLSHVHPQTAETNAKWTMRLITGGEGDCFGYTAFLDFTQSVFQLNEGKGGNEEINKESVQQRATTIFTELDGDRDGLVTYEDMIRFFQRNKK >CRE11302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:106410:110129:1 gene:WBGene00067480 transcript:CRE11302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11302 MSSISMPLLTFIQPSMLTEEQIYLEEWTNSSRLLFYSVLSIAFFTLPVLLTSFCWIRCKKRQNVHFLPYLCAILGANMVLLGTLMASVITENTDYVYDLIPGYLVCKLTAFLVNSSSCFIHWAWVAMYAERFCYIFFPLRFRNHSSCRTRCILLTILVMSMCIQLWTPIFITGRRLDSQFDNIYCGEDPQYESFTHTQLIMVLEVFATFFLPLILTIFADISVLTWKSAWGLSIHLVSQDEIRSKTSDNGVGSRKHSHMKIVSSNSMRNSQKQRSNAIRRCLISATITLFLNLPNYSLQLLDEFFNLRESSSIDVRRIFLRIDAFVYILYLMQFPITPIHIYILTRTKKRKES >CRE11301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:97119:98874:1 gene:WBGene00067481 transcript:CRE11301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11301 MASNAPPPLPPPLPAPLPAHTESNLELAIRKCIPKELIPETWQCGHMDHKDNVARLLVEGLKLLGMFESIDELYDTIFDFMDFPSSYEHFQMVRRDRVERFPYVAKSVKGKPCIYQNDIHKLFDHLHLCPYLPEISMFSEGILDDCWEVLRGNKHHKMIACSYEPAPPTFEEEDHKKLDEQISTTGFMNLKSDFKDMAAKTQGTIGGVIFTSMDKLTEQLKVGNLEVTTVVAWVGFVIGRVAKLIQESNIHLPPINVLLNPEGEPIKQVPVIRLFSIEKNHFVMVHELLKILEEHGVKPEVSEKLALIPENLRNQKLSTITFRDALGVLKEVGDEHLKEMEFAKMKSNLLMFNQRPIPTHDGGYCILAVDALYELLMDIVVAKKVFHTFEERDWLVILEFFKSMESHFDVSRDDFYFMDLKKVETIKKEWEKVYNEHLKSPSSFPRLVIVRDKDTFTMNTLDKELKRLKLDTCFGNDGNLMQYAYPIYLKLKEKSLSMSNLHLAIAQCQINALVRKVPKMLEFIKKQQACNRMNLVNLEIDEVTEGVAKLKTSDKTKKKNKKQGKK >CRE11345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:90836:95085:-1 gene:WBGene00067482 transcript:CRE11345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11345 MEATNKSMDALFKVGEKFVCLYENTTPYQAKVTAIRVVRGVDNYNVHYISYGKRHDELVPFGEEEGKMFKGTLEDYQRNHNIPPAEAMKNIIIGNPPKAGGAVEKAGPSSRKPGRPKKGKEGEQESTDPCEPSTSTEEPTHSVCLKVELPPGLLKVLGEDHSLLGKDFIPELPVTHSIDTIIREYLAKMEEDEQRELTSIKDGDSATREKKKVLVKYAARKGAIRSLVEYFNASLNNFLLTEKERLQHSALLRREATKKNVRFKSVLDIPTDTVRFSEHYGIVHMVRMLTKLDELLQVSDWNDYFMEKFMDAVHDFMGFLEDNYLKYWKAEGGYRTLTAEYYRQWTTAGDE >CRE11299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:81347:84239:1 gene:WBGene00067483 transcript:CRE11299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11299 MDVYQSKRTKLTRPKTRKTDLRICTFNCRSVATDNRLAELLEETNRIKYDIIGLSETKRSAEVHMTNRDGTGVILGKRNDSSVSGGVGFIINKSLMPKIKEIKIVNHRIGYLTLQVNKNQYTIIQGYAPTADYEEEDHSNFYENLEDVYKSCKSRYKMVIGDFNARIGERKGNEVFIGPHSMENRNDSGERLATFCEVNRVFHMNSQFIKPTHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHRMLRANVHINAKQAKFEQVKRRKPPRRVLDPTAALLATENLDSCEDQDIDKEYDTLVHALKKAQDAAVTIPTNHSRNRLKDSTRLLLSKRRFTDRSDPNFKTLSKECRQAVKRDHENFAKDRLLNAANQRKSLRKVARDINEYQSYIPCLLSGETGEKLTSREKMEIEVRRFYSNLFATKQSKATTIIPQQTKALPPFLPEEIQHSLNSFQNANKFSRYLQEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPILYKVFTKCILNRIRKSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINASKTKVLRNKFASSHQIHIRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKISQVKDHLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE11298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:70857:71085:1 gene:WBGene00067484 transcript:CRE11298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11298 MVYMVENIPRNHRMWIQNSITWSPNLILFPYVAWLAYDWRTLSVVISAASVLSFFALM >CRE11339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:60590:62678:-1 gene:WBGene00067485 transcript:CRE11339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11339 MKTRGSFTWDIFLISSRFTYCVDSQGGTVNFTINNVCNIIVAPNTFVPPSVRPPQEDIPTYNNHQNEGASRSSGNEPRGPDESTQTAETTEAQTAAEITTDAETADAPMGNAETADAGGAQFGVSQLVAVQLTDVQEADAPIEDGDAPIGGAHIADTQLIDVEMDDAPIDNAQLIDGQLDDIRMGGALSIDVQMDTTPITDGLLVDELLADTPMGDTSSTNVHLAQNLDSMTPTFERDHLAGPTNSPQTSQNNDVVVAEGSGSTLPVTRSVPVTPSIPRQNRKRRAETDDGLCLPTPRSVYSTDVFINSIPTYTFSYTNVYLTDPQDVSILESEARKLNVTISNVMTEKMPVGQNRYIQHFQVQNRSEKECNKLDWKEKWRLSEIIKNTATPFFAHHITLEVKLRFIMYTTKFPERESYRSFYSKSQCKMHVKECLSDNDLIREIEKNLNEKGGRISTTLSARRSNILPETPGQ >CRE11337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:55464:56312:-1 gene:WBGene00067486 transcript:CRE11337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11337 MLKQLIGAINAVQTLQNNDVVVAEGSGSTLPVTRSVPDTPSIPRQNRKRRAETDDGLCPPTPGSVDSTDVFINSIPTYTFSYTNVYLTDPQDVSILESEARKLNVTVSNVITEKMPVGQNRYIQHFQGKNRKEKRRISKECNKLGLKETATPFFAHHITLQLPLRFIMYTTKYPTNESYNTVLGNSQCKSHVKSYLADESFINEIEMILNEKRKKDFHDIIRTTIDYFARDAATAVRLANRFLQSK >CRE11334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:37022:42606:-1 gene:WBGene00067487 transcript:CRE11334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11334 MNQHTFHVDSQGGTVNFTINNVCNIIVAPTTFVSSSFRRPQEDPSTYNNHQNEGATRSREHEQNDNGDAPNRTTNATTLPEGHSYELPPPRENRSPPNVIILPYLVDNEQQDVIPVEHRLLLSNNPLSVATQGEISDAEGRNAEDLRGPDGSTQTVQISEVQTPVDETADAGGAQFGVVQSTDARIAEMSMNDAILTDVEMDDTPLAETLITDDETAAAQTEEVQTADGETAHAPIIDAVAAETAETQTSAADIVLIDVTESAAAAGIANTQTAAAETSDTQLIDFQIDDIQLTDVDMVNTENDKDLEAVAVGLERDRRIGGINAVQTLQNNDVAVAEGSGSTLLVTRSVPVTPSIPRQNRKRRAETDDGLCPPTPRRKTTASRFLLIQYHPSLLRSSINVTVSNVMTEKMPVGQNRYIQHFRIKDTATPFFAHHITLQLPLRFIMYTTKYPTNESYNRVLGNSQCNTRVKSYLANESFINEIEMNLNEKGKKDFHDIIRTTIDHFARDAATAVRLANRFLQSTRVMNQYTFFVNVHGEHVNYTINNITNIIVTPNTFAPPSFRPPQEDTPTYNTHQNEGTSRSSGNEPNDYGDAPSTTANANTVPEGHPDVENEVPPPRANLSNQQDIIGMPAPIITEGNRSPPTTNEIIPLNSHSGVGTLAEVSGIEGKDAEDLRGPDESTQTAGTTEVQISVAETVAAAAATELAETQTADTVSTDAERSVAETSVAETAVAAETAEIQTSAAETTDILLIDAAETAAAAGIANTQTAAAETFDTQLIDFQIDDIQSTDVEMVNTENDEDLNVVAVGLERDRHFGAINAVQTLQNNDVVVAEGSGSTLLATRSVPVTPSIPRQNRKRRAETDDGLCPPTPRRKTTASRFLLIQYHPSLLRSSMSP >CRE11332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:20224:24387:-1 gene:WBGene00067488 transcript:CRE11332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11332 MADITTGDQIKQLTEQINALVGVVSTLAKNQAQFQNSGNTETSTTTTNDTKIFDSICARIPMFVYDAEEEKTFDNRYTRYEEVIIKDGASLAEDLKTRIVLSKLGQKDYALYTNRCLPKLPNEISYTDTIKNLKALFKSTTSIFRKRQEFLRTEFGGGSLEEYTGTVLRRFATSEFKKMTDEQTCAMVWIAGMRDPSYQDIRARALQVLEQKPNLTLLELESDVKRLLDIRADARAVGGSVATTSDVNAVQKFQKFKKNTPEKKDSKQPPSACYRCGGNHWVRDCEHKKVTCSYCKKPGHLEKCCRSKNRENKSPKVKTVYIGAASIDGAERIYRQVQINGHCVKMLLDTGADITLLSQNDWTALGRPKLEKPTIKVKSATHEPVKIFGSLHCKYMMNGRQESGVAFVSNTDTLLGRDWISKDKELWKFLQNSEKINRVSVTEPACNYLGGTRERLIEAIGTKYKEIMKPGLGKCTKTKATLTLKPNARAIFRKARPVTYSALPMVSTEIERLNQTGVISPVDHSEWAAPVVAVKKKNGSIRLCADFSTGLNDAIESNNHPLPTADDIFAKLNGGNFFTQIDLAEAYLQVEMDPDSQKLLVINTHLGLFTYNRLPFGVKSAPGIFQQIMDTMLNGLEGVSTYLDDIIICGSTIEEHNERVLKVFGRIQEYGFRIKMEKCSFLMEEIKFLGFIINKQGRRPDPEKVLHIKNMPEPTNVSQVKSFLGLIQFYGQFVKQLFRLRQPLDNLTAKDTDFKWTLECQKSFDTIKEILQSDLLLTHYNPNLPIIVAADASQYGIGATISHRFPDGTEKTIYHISKTLSKTQRNYSQIEKEGFGLITAVTKFHKFIHGRKFTLRTDHKPLLTIFGGKKGVPVYTANRLQRWATILLNYDFDIEYINTKDFGQVDALSRLIDEQNAEKAPEDFVIAQVELDPVDQLSQNLEFLPITAKTISFQTGKDTILTDVLNSLKSGKWPKSEKGTGMWNMCNRKDEFSIVNDCIVLGERVVIPTVLRQKVLKTLHRAHPGIVRMKMLARSYVYWPGIDKDIKKLVKSCDECASAAKNPVKNLLYSWPIPKKPFERVHVDFAGPVDGMYYLVFVDSLSKWPEVYATTSTTTAATIKILAKVFGQFGNPETLVTDNGPQFTSQTFQEFTASNGITHVRTPPYHPQSNGQAERFVDTLKRALCKLRGEGNTETALQTFLQVYRSTPCASVPNNQSPAEAFIGRKMRTVLNLLLPHKPTPSLERNLAMETQFNLHHGARDRSFEINDQVYVIDRRSPNSSPWVSGVIERKLGQTVYKVRVGSQRWTRHANQLRQRTSPPSHYDWSDFLEIDPAEEMPKSDKADATTIPVPSPSTSIPPTTPVPLRRSTRNIKPVQPFQIQPKQKRY >CRE11292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:17581:18840:1 gene:WBGene00067489 transcript:CRE11292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11292 MSLINNTVNVSGTLHQNIDITQVNLHNVNVQFNLNVYPTTSPPFTSGEPTPPPTNSSNGPHPGKPATSVGNATLGSKTMDTFPPEASPCDNVESSERIDIPAVIPLPDVLSIGFNGSPAPRAESDVPQDQQDPQDRSAFAIQGGHVAEEPLIILPASDAPDLPIHNRRGSSNENEPPSPNSLPVHFASPRNPAASSSNGITESPAPRAQSDVQQIGPDTSMRSARPETFAEQLLRNLLARNTPNEPSEVMRRESDQLAVVVPTIIPVPGQPIPIDQSSNNRQASNDDDNHRPPPGTNVASSSILECQREHHN >CRE03518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig570:7904:8322:1 gene:WBGene00067491 transcript:CRE03518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03518 MVKPKKPIGTTAQNTDKKAAESAIFADSEGRIGLRIHAKPGAKKSCVVAIGESEIDVSIGAAPREGAANEELISYLMAALGLRKNELQFDKVLGLMGNDKNK >CRE30133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:221839:224990:-1 gene:WBGene00067492 transcript:CRE30133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30133 MHTILEKNGLAVFDLTKGEIIHRKLLPSKGHAIGFLSGKTSDDLFVIHGSSQNSNTSITKVNPRRRETCQTGAHKYHQLLSPCTNMIYLKRATRQEKVNKFGDHFVAIRDRNRRRLKRRVKDNQKHYDMQEERDHAQTQMIVVGKSVRLSDFNKKGLIGKGTYGIICQYESKRSGRKMSDLSQKFERDGFVVIENVFNDHKIEEMKGAIAEFVDDMNLTEHVFSTYDEEKEGVVDKNGELTVPKDKNLHKIGHGQHFLDPTFKKPSSKYDIRSLKSFKVCMYIFKQQKIGRAVTDHVDSTFLRVDSIDHLTGVWIAIDEASVENGCLSFIPGSHIYILPRT >CRE30132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:205653:206143:-1 gene:WBGene00067493 transcript:CRE30132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30132 MKNSTARPPRDVISHVSPKSRKIRKSTSAERREKEKVTNRLRQLVAAEEDADQYELVLATIAHIRELQAQLSGKENSLPAGFEHFFTSSASVSPSTSRPDTPASSPESSPTSSV >CRE30129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:165107:165849:-1 gene:WBGene00067494 transcript:CRE30129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30129 MFTQTIRCVSHILSNSLKLLIIMFLIIYPFDRTLTKNLLATNGFYIGAMYNFCHYDVREESGMLVVYDKLMQIDDEDYLWWARIQPPSKFGLDYYNLMTNPQVTMEQKLETYIPSSVALTLQFAGKGLRLVNKAAPIVFAVYIIQDATESYTKLNCNAVGTQIIFSKIAKYLVTWNGAKLGALIGTKILPGVGTLFGGLLGGVVARSQVDLEEYTKIIAMIFHNRLKILC >CRE15804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig786:2662:4161:-1 gene:WBGene00067495 transcript:CRE15804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15804 MLKFQNLICSQYDGTIKFPVFIRSFRCRMHDLNEALDDLRAVIPYAHGGSVRKLSKIATLLLAKNHIIMQVMQTNKIKLDFDS >CRE30128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:157514:160342:-1 gene:WBGene00067496 transcript:CRE30128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30128 MCIFRCRMHDLNEALDDLRAVIPYAHGGSVRKLSKIATLLLAKNHIIMQAKAIEELSELVSELKKKTSSKNSNLNKESSKKS >CRE30127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:149117:150661:-1 gene:WBGene00067497 transcript:CRE30127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30127 MENMSSKMSSTEPGVRLSINLRERCRMHDLNEALDDLRAVIPYAHGGSVRKLSKIATLLLAKNHIIMQAKAIEELSELVSELKKKTSSENFNLDKELSKKGSL >CRE30126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:141219:142595:-1 gene:WBGene00067498 transcript:CRE30126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30126 MLLTALQYDSLKTVLQYIEANKRFCLFLRIPAIHFAEKAVPLKIDYLQFGDYGVTVNKTSYYLRVYRNFHQGEEIVDYFQKENDEGGIRFDLDQYGFQLPTNMTDLVPGEVIFGDVRVANHNFALMFSTEFPWSFQQDDQKMKRYYEKHLEIYKIALERRLDRGEPGNLGCPSFPFPVMFPVFTEDELLAMSGSVLQELTAEERESKLVFLSRVPTWILEKAISRLSYCFQPFDCRHNNRPLPFTPLIQLIIKKEGQEKRIERYAYTMKLHEAVRKLNGLIFGGRKAVVQAHTFPFIAESVALRIPQGLKIRVRELRFKGNMNGRFEALNNLIDESSYPLESLSILHQEEDIDYFAHPALTSAQRIYLVGAAPLDIDLLLNLSNKIVHFKYIWSPDFSTEELMTFARKIITAGSPVGVQRTFRMSHEDSIDNILNRVGIRTNR >CRE30121.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:133958:136361:1 gene:WBGene00067499 transcript:CRE30121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gln-3 description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:E3N626] MAQSLRSQFATDKAVLQKFLNLDQKGMYQALYIWIDGSGENIRAKTRTFDFEPTDPEKLPIWNFDGSSTGQAEGADSDVYLKPVAIYPDPFRQGKNKLVMCETYDNKKKPTATNFRQRCKEVMEKAADQHPWFGMEQEYTLLDIDGHPFGWPKNGFPGPQGPYYCGVGANKVYGRDIVEAHYRACLYAGIQISGTNAEVMPGQWEFQVGPCEGIQMGDQLWVARYLLQRVAEEFGVIASFDCKPIKGDWNGAGCHTNFSTDKMRNPGGIETEIMSAINKLSLVHPQHIAYYDPHGGKDNERRLTGLHETASIDKFSYGVASRASSIRIPRSTDDDGYGYFEDRRPSSNCDPYTVTGALVRTVCLEGAERKLSMMYVPSQAPNIQKH >CRE30121.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:133867:136361:1 gene:WBGene00067499 transcript:CRE30121.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gln-3 description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:E3N626] MAQSLRSQFATDKAVLQKFLNLDQKGMYQALYIWIDGSGENIRAKTRTFDFEPTDPEKLPIWNFDGSSTGQAEGADSDVYLKPVAIYPDPFRQGKNKLVMCETYDNKKKPTATNFRQRCKEVMEKAADQHPWFGMEQEYTLLDIDGHPFGWPKNGFPGPQGPYYCGVGANKVYGRDIVEAHYRACLYAGIQISGTNAEVMPGQWEFQVGPCEGIQMGDQLWVARYLLQRVAEEFGVIASFDCKPIKGDWNGAGCHTNFSTDKMRNPGGIETEIMSAINKLSLVHPQHIAYYDPHGGKDNERRLTGLHETASIDKFSYGVASRASSIRIPRSTDDDGYGYFEDRRPSSNCDPYTVTGALVRTVCLEGAERKLSMMYVPSQAPNIQKH >CRE30124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:87850:88278:-1 gene:WBGene00067500 transcript:CRE30124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30124 MNAAPILVPDRLHIRHVILFLFLSNSKITEIEERMVEVYKDNAPQRQAISRWVYRFKNNDFSLAEEARSGRPVELDIYRQREVVESDPFQSIRELATVMGSTHSAVERGLGALGKVKKMEDGDHTNCLTLTLSAEWTCHCIF >CRE30119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:30992:31198:1 gene:WBGene00067501 transcript:CRE30119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30119 MHDIRAVILYAHGDSIRKLSKITTLLLTKNHIVMHTTLSKLFIGKLVVGSGKSKYETENVLILEHVSK >CRE04478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2531:5367:5924:-1 gene:WBGene00067502 transcript:CRE04478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04478 MNKQPHANVPIIPIPYVSEQITNLVRSSLKKVGLEARVIELKGISLRNTLIKNRIFDKRCVRRQCRVCPQIGEGACARRGVIYQIACSCGEIYIGESGRPLAERYNEHSRAAEKPDCKSYASTTWAKHSKEKHHGKPLNLELKVLDCEGDTVRRRILEGIHIKRFNPSLNTKEELTDMVENLGVT >CRE12439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1776:5:349:-1 gene:WBGene00067503 transcript:CRE12439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12439 MGVTGLCPSSKVSSDDGPADDVPPTNQPNQQGNAPGPSAVVPVAPAPVEEAQEPVEEVAAPVEDVPIEEVHEPVDQDAAQPIEEHVPEDPVPRQPPVAPAQRVVRQAQYFHALFP >CRE30120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:53297:53584:1 gene:WBGene00067505 transcript:CRE30120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30120 MHDLRAVILYAHGGLIRKLSKITTLLLTKNHIVMHTTLSKQSIGKLVVDSGKSKYETENVLIYVFYPILTFYFFSKNIIEELRVIVSQLKKKDSF >CRE30123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:13677:14606:-1 gene:WBGene00067507 transcript:CRE30123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30123 MRNNDEIEKVAHEFVRDCKQEMAQFPLYSVLNADQTGIQKELYGSRAHAFKGAKNVERLVQAKSSLTHSFTFLPMLFMNGTLGPKAYVKLAEPTGRIPPSRPIPAGITNLEVRAGKSHIMTKEDMCDWLKSCVFHPSLPKKLYLLLDHWPPFKDHDTIRKCAPPGYDITIRNIPPHATGLIQPLDVYFNLPWKNLLKKFTNYVINFHPEFLIAQRNNELCMVSALYHQISAREFQSFLQYPWKKSGYMDRDANEPEFTTPAEYCMGKATPEDCYISGCGELGCLKCARCQNWVCFDHLVVSQIHLCPLP >CRE13561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:477:3928:1 gene:WBGene00067510 transcript:CRE13561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13561 MSYDGDESRLNDYLNLTGKIVVYAATPFFGSPITNSYLKNCPESVKGVKSTKLQATARDPYKFTIAFENSNCKDYVTEKFWRKAGRYKVVPIVMERKIYKNLGIPDDMYIAIDDYPNLTDFVEHIQKISENETEYIKYHKWRENYRIVDTNEDNYGFCQLCQKLGKQMTKKKKKSYENLAAWHSTLNCDNSFANRYLK >CRE13562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:9201:12876:1 gene:WBGene00067511 transcript:CRE13562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fut-6 description:CRE-FUT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3N187] MSSYLQQKTGGATCTWRYLGRFVTVAIYASVALFLWYTLMPTRHKEHAAPLSLNNPDPRAVAPVSTKNVVIYAATQFFGHPITTERFLATCPDVQNYCRITQDESESDNADAVLFHNADYRGPNEKFKRMKFQRKPGVPYVLWSLESPSNDNFRPESHMINWTMTYRTDADIWAPYGTMVKRKAPVEIDLNAIWDSKKKSATWLASNCFTPNRRFDLIKKMIDNGFDIEIWGNCGKQAPQCTGVDNQESPCVLELIKPYKFYISMENSNCKDYVTEKFWKALNDRMVIPIVLARKYYKDLGVPDSAYIAVDDFATFDEFMTHIKKVNKDKDTYLSYHAWRKDWQIIIGSGFSGWCTLCDRLQDKEKILKNPKSYKDVAWWHSFEMCNNQIAAKYL >CRE13563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:18595:25366:1 gene:WBGene00067512 transcript:CRE13563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-27 description:CRE-LGC-27 protein [Source:UniProtKB/TrEMBL;Acc:E3N189] MMVVQQRSLLLLVLVTVVVTAAYDIDCKWKSNISDIEDVAHHKYQVLEECLFYKLTSEADRMQDKSNALMMLPPTVAAGETLEVQVLDGSITEMWMNEVFKEMNINGFLKLSWKDRRLRWNPEEWKTENLRLKSMGRLWSPDINSDKLQTGSQSTDFVNYHDIQSNYNGNVTARLEFRMKAQCTIDYTEYPNDRKHCCFNLQSTLYKRYVKFIMEHGDGHEMLNTKNIRTNWHVDPSWTWIMKLNQDNDNRAERLEVCVGVVRKSSTLAVELTLPVLISALILLLAPFFGKFNQQIYVKMFALLLQFMSFQFLSEKTPQLGFGDNIPKIYIFYAFTIGMTVLSLIATVMISAMSRVKRKVPPAHRYTLLASLLNANLCCGSEEEPTTDGTSSKMSQDATSDWLQVHSALNNISSILLIFIYIIGAIVIAF >CRE13564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:26681:29015:1 gene:WBGene00067513 transcript:CRE13564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pcn-1 description:Proliferating cell nuclear antigen [Source:UniProtKB/TrEMBL;Acc:E3N190] MSLQAMDSSHVALVSLKLEVGLFDTYRCDRTINLGLSLANMSKALKCANNDDTCMLKYEENEGDSIIFTFADPKRDKTQDVTVKMMDIDSEHLGIPEQDYAVVCEMPAGEFQKTCKDLSTFSDSLNITATKAGIVFTGKGDIGSSVVTYSPSSNTDDESEAVTLEVKEPVNVNFSIKYMNQFTKATALSDRVRLSLCNDVPVVVEYPIEENGFLRFYLAPKIDDEENME >CRE13565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:33263:51446:1 gene:WBGene00067514 transcript:CRE13565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-che-10 description:CRE-DYF-14 protein [Source:UniProtKB/TrEMBL;Acc:E3N191] MKSAGSSEDVVRVTEDLSECRNRLDAGIEENRRNRQVIQDINDQLQRFRQRANAESLESFNTPSPDVALLANPGLTHLHNQTNISMPSLTIDIPLNSSALIHSSRTPNYAMSSLRNRHKSLGGNRYRSISPLGDYGRHRSSPRVLAHYNLDGAEFGGEENMDEIFTKLKEELFRNNTLEEVNEMLREENDAALAANEHLRVDATNLSKELQQLQQQQHTESMRFRSENTRYRNQMETQHRKLISLWKEFSAVKRQLHELRTTTANDLDRQLTEFTRCATLMRKAIRHAEQKHQDLKDQMKREKDDVLDETLRQLNAVTENYMKAEEKSNERQRDLKRKEDECRKLREHNDELQDTLESLSKMANEMAGGRRQSQSPSRNSGGVVETSIALYKDTPMDVARKMRKLLTNKNGEIDESREAARQAEKERDRAKKDLEKEEKRRKDDREAERKRSSVYSQREHDLKKLEDDLRKASEKIRNLEEQRESQEKLTTSVQNSLNEAHRQHKTFIEELMIRHREELKEREDTHDEILRARETEEKSRFEKDRAEREKFRKESDELRETQRNLKGDVAAMKTDLDDKTLRLDMVETERDELRKKLDSERAQADQRDLEISECRTKLDEMQEKEAELRRELAECQATITAMEGEGKLSEEQFLESKNEMSTLAEQLEGLNNELESKNEEIRNLQSSIQEKEVHIQNVRTSSHQLTATYEEANGEIEILKGELSRLHEQLNERTRQISEANEKFDDAVRKNDALAEDSASWQEKCEVLKNELEELRKRGVEKEREEAELRGLLDDLRVNFDKLTNELKQKGVTVDGLNEEIGSLKEQLSKSEKERKEELMRMEEMEKKNEEEIKEEYEAKLQLVEKDRQGVERFGKECESRLNELTKIHEMLMEEHDQLKLDHLHTEEEVERLKEKMKKELEKMNEQNEGDRQEWSSERSRIESSKNEAITELQEQIMKLEDSLKDKEDKEIVHKRDIEDGLEKSRDLEEKLRKLELQDEEKEEDRKKEVKTLHEEKMKLMEQKEEAMLQMTKHATAIDQQVRRISVLETDVEKLTSGIVERDSSISALEANTMELISKLEATEAELEKYKDDVAVMLKQNSELKNGKEGLSEKWNEERRKIQELADQLREANKVVHSIRMKNVNLEEKKNELEQNVTALTNKVKSLEIQLMDKVAKNEVSGDLLRKMEHDAQSMLKQAQNEQFRLTDLEKVRKALQEENQRLFNDLATVKAAFEVKRETSKSAISDILEKYRSAEEKASKGELDNQKLRSELKYRDPENFSLKLERQELKAKDADSRLKDSQKRYEEIQSKLANLQRSAVESLQNREWTHRHTDRAFPFSAIPSNSRQNRSIYVDIPRAASSIGLNENSEDVPFRRSPSVRFADSSQNMQRAVDSMDVSSSVGVTLRFLKERIEQLEADNAELLDALEKAKDELRQRNEKLADRQMVIERVERQLVHITEERNTIENRMTSQRQMYLTNEESARSKDHEVRSMKARISTLELHLREKDSKLAHLRKEIEVLHSQLHDAIEGKEKATGMVGVQDSRHRDLEEQLDRANREREAAVGKHRRTLAENENLFRKLEQLEKEREQLMREITDERRLNDKNRGMLEELRASERTWKSAVSTAKKTVEEQERAVQEQRRWEESHHEMSTRNTALTKECDRLRVEMREQLNRMNGINLRSVDFERKNEELSGKLVVMQNTVSALKKFEEEWKRLEAEMRAELKVLRKEKLVQTAEIEDLKRRSLRSDTEKKEIEGIRVRLEREISALKRHVDAVSLLEEEKGKTEKAVRETMNERRAIDKSLASMERENQQLYRNCAQLQAQIQNLERDAGNRSVSKLAKEHSLLEARIAALIEEKRQLQAMLDQKDANYSHKRKLLESQIQLLREQLEAERRKRAKGVVATGPTGGRRTVQHTSAFRHTIERHRSLSQSSERHHILQERYAEYVYSGDRTPQIPMILQTHNTPPISPLSHSDSFNSGTLIM >CRE13566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:52594:55629:1 gene:WBGene00067515 transcript:CRE13566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13566 MKIKTWIILISAISAQSLPREKEPPEISSLDNGGWNYSTDASHRTSAAVAFRRRFNRDVNDANLETNVEYLRMVARITNGMSILAKSMHGALETEQFISEVLRFGDITPTQIDALDGAKLNEFLNNLKTLPTDLMPTAAASNDTVEKVEKVFDGLKKILDEIDGVGDIKEWKGGKEHFFREIERLGNDGVPLAPIYTINAVNAIWENQSPELLKNDSKSKPRDLRKIKDALGDLKTSSSNFDADTKFWSFANFTNAVDGISPVMKAAEGVEVYDKVYKTLKMDDKDENDYVEYLKLVVSKLKGPLARMDYVKVCGDRPFSWSFSAKNLFFSFQIVSELLTSRAEKVHQRKLRDTFGLANGALDLASLSTDVENPWIQKVIKTKSLKTSFSHFERISELFKNVEQTFKEDLEGFKKMLHFLDSISQILPEVDATKTDIHQAWNCKRTVADDIDVNSFTQFYQSLKTIDDKLSGLKNETQKLSTLLKIPGIAEMCDEVIAICNELKDDGSNLKDVLKEFREYPKMNNLTAHITELRKVTSYIISNKLDSYETETAHIVNNTKSLNDYQDRLGHYTAYFNCLQDQDKLKSVFKALDGLKEIRGWSQNTDFSKALSDGSDVMNGVIGVKGYLSELKKSVESLEQLDSPEAKMLKEFISAPTHSENIGKAVQALAAMSLALKNQAGIQKVVDNIPLISSHKAELTDDGDVANLDELMKSSSEIGQMLTSLSTFKKTVVPFVSSETFAAQSEIFENGKKVTGVTGDFSVMSETVGKLKKTVKGQDTSKLNDVEAGLNTMDSLNLDFASFKTDLDASKKSLSELDLFFASNFKASKVTLSPGNTPSGNQANPTTLGGQQVWTNIGVNEKKELKVDLLAGIAAGVLVFL >CRE13567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:61819:67142:1 gene:WBGene00067516 transcript:CRE13567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13567 MPIFETWIILISAISAQSLPRGKEPPEINSLDNSGWNYSTDASHRTSAAVAFRRRFYRDVNDANLETHVSNLKMVARITNGISILAKSMHHSLEVEQFISEVLRFGDITPTQIDALDGAKLNEFLNNLTTLPTDLMPTAAASKDTVEKVEKVFDGLKKILDEIDGVGDIREWKEGKDLFLREIERLGNDGVPLSPINTINAVNGNWADQSPELLKNDSKSTREDLIGIRDLFNRLKTKSQSFDADTKFWSFANFTNAVDGISPVLKAAEAVGVYQSVDMDLDMSEANGNDYIGYIKSVVGKLQGSLTRMDYVKIVSELLTTRAKKVHQRKLRDTFGLSNGAADFASLSTDVENPWIQKVIKTKSLKTSFSHFNRISELFNNIEQTFKGDLEGFKKMLHFLDSISQFLPEVDATKTDIHQARNCDGPRRIDINTNSFTPFYQSLKTIDDKLSGLKNETQKLSTLLKTPGIAEMCDEVIVICNELKDDDSNLKDVLKNFREYSKMDKLQSHITKLGKVTADIYSKKLNSYQTETAYVVTNMKDLNDYQNRIGKYATYFNCLQDQDKLKSVFKALDGLKEIRGWSQNTDFSKALSDGSDVMNGVIGVKGYLSELKKSVESLEQLDSPEAKILKVKFNWFEKKLFLQEFSSASAHSENIGKGVQALAAMSLALKNQAGIQKVVDNIPVVSSHKAQLTDAGDVANLDKLMKSSSEIGQMLTSLSTFKKTVVPFVSSETFAAQSEIFENGKKVTGVTGDFSVMSETVGKLKKTVKGQDTSKLNDVEAGLNTMDSLNLDFASFKTDLDASKKSLSELDLFFASNFKASKVTLSPGNTPSGNQANPTILGGQQVWTTTGVDEKKELNVDLLAGIAAGFLVLLLLVILIHGLLHKYKRRWLVDHYCIKWKATPEILFDIYYEHFKRKFDALRLDETNLKGHMDAVVFKYFTSAYETDKCDAIDFKKKDAIDSDCRYPTELWAENAPVLKGYDGDRFKNNFFHANMCSFYTRKEWMLTEAPQMKSADKNCTISKLFWMAKQNKTQSIVMLCDLEEKNKPVCDRYYPETPESEALSFGDLTVRCHQMTRPCKGLEIRLLFAKFGDEEEFSVAHYKFTGLKITGFPKSMAGLMQVYNALERDTQPPIIHCSKDNRRTGLFTFGAMLYDDFKKTQKVNLKTNLIKIRKLRVGSVPNAEDYSYGARICWEMLASKVKLDDLRKQKYEAYKAMELFAEGGTTYYRLMKTPGDQN >CRE13568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:68433:76050:1 gene:WBGene00067517 transcript:CRE13568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13568 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N194] MTREATYEPYRSECWHQNNLVVAPGNSTIVQNGCYTFFDQATPPKRKSRFFTDDDVMSRSPGDGKVALKKQLTSISKDLAIHGIHVDLLAIRQEVRSGRLQWKTVVAELLDLNKRNMDALENGSLGGLSKDLEGLVTKVGDTCGDKGVNCGGLDKITTGITAMTTIDSTALNGIEAAAKDGSSHQILLKIKRTDPGKLKATLEEFKGYIQNPPSNTADTRTMDEYRNSIKYDPLDLIEGSKMFSTKFTPEEINALSEKSLKEATDGVLMAQPVGNLKGTDGKLDLMKGQLTLLTKATTDLLTHFSDDRLKSIREKIGNLSQTMDRPKLGFDEKTATHGFPGGFEDLKNVPVNAKSSFVKDSGTNEQGEKILKELVAFASVLEKEVEPFLKVSHPDDAVEKIQLATKFVEILESAKSEVSKLADLSQLQNCVNVIDGFVEAVDLNQWEDEKIQILAMKNESMTYAEAFNKINPELTETKKFGEFMKTTDTSASDFKLNYPRSTELLKELATLEGLLTPIKIDFFSMKSQLENLLKNLDGWMKTNKLEDLRGCFKPSSTLKAAKKSIGDRSTMSAVLDINSGNKNYAGALGLEQLALDFSNAWKQKIAANTAIQITGDVPMLPDSLKVSHDANAAIKYLRDLAAAAEEQFNLEEFQKNEKAILASFDTLKVAPKQEYTALLTDEMLRIKNLLKFLDEANQIVIKVPHHLSDYKEVFSALGNLSGLSKHQLSELMDACVQSGSPFPSKKVLDRLKKLDLDFSNGQTVMKNGLVAFNSTIPYFVEPVSNMVSIAPNQTSATTSAPDESSNAAIYAGVGIGAGCVAAAVAGGGFYRNKKQAERDKDVLKKLRAVGNGDEADMLMLLEDDNVLDDCDRYVGATVEGVDDIPVKNRWSGHQMASVGREMLRLQKEQDEVQVGYNMLPVGIDENNKPPYRFPYISETDVATMRLFPVPEVTVSNDKCTPVFHPCEKEYSVGKGKDVFESERREAENKVEDSVEVSKEKEKRKAEADKSTKRVDNSFKKHLDVYTVSENKKRETAGTDGQPSNSKEKDIESQTGGIFGCCRSEKKENEKKDYNLLTEETYADKLERALESAVPDYNFHNYDAYLKMYRHKPSGRPWAIPLVGDPVETAVENRLRCDDNHNHAADRLGSNNFRTTGENGQLELNVDKLIFCMLGKKFIEYDYAALNLDKPLPKISYHFRPDELEWIIKKAMEQFARDREQGHPIILLRREKIVVVGDIHGKYRDFCYALTSHFMNDKVTFVFLGDFVDRGPRSMDVVLLMCLLKIAHPRRFYWIRGNHETPATNMSYGYLTECRSNLGHWYGTDFWKNSNDLFKTLPLCAILQNKVFAAHGGIPRKVESRNHLISFLREQPRTKEEVVFQNHFLWADPSVKLSMDPKKPTAFIVGGGRGTPGVEDFTAVGIKYVLGLIDCQIMVRGHEVQKEGIKFFAGTTVATVFSSTNNTKGNKAAHIIIDHDLNINIVKFHNKVEGTMNDEKTERPQNPRKKTSKSR >CRE13610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:77701:80894:-1 gene:WBGene00067518 transcript:CRE13610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-puf-2.1 description:CRE-FBF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N195] MDRDTFSNSRRARHFGRSTQNFSSSINQPGYGRSDNYNHRKNLREAYLNESNSADFHNVSSFFGSPKSNINIQRKTPDAMIHPFFDESSSDLSFRLSGLGIDENNNRRMGTSTSSGQTESKYLKVNRLPSLPTWALDENREIRSDLTLRKVVDEGLVLMFSMDKSGCHFLQSNYYGENTQNVEPYIRDRVSREVLGNKEVFLTICKNIFGNFFLQRVIEFSNHEEQEIIMRYIVSDISALCLDKSACRVVQTALETLDPIYGDAIVAAIPRKNRLMAICTDQNANHVIQKIIKKMALPRWEFLITYLCKTEHDNLLDICQDKYGCRVVQTIVEVLADDTDKCNIEEKARCLRRLMSKILMKCQKLASNEFANYVIQHIIETPGVLSPYRNAIIETCLLRSLLSMSQEKYASHVIERAFVYAPLPHIAEMMEEIFDGYVPHPETGKDALDILIFHQFGNYVVQRILHICVDCVIGDRPTLVDGVDYFEKFKSWLSKLYVKARKDRTRLTRFSSGKKIIDLLEKVESTFHFNPGYDRLPSPYSPTHDVLAALCPSSFFSPPGTSSVDWPSRTTSLSSEHPIDGFEYTFPNNYHQKF >CRE13569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:81824:87002:1 gene:WBGene00067519 transcript:CRE13569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13569 MGITRETGKQSRPSTDVTMNNKKNSKTPTGKTPGLFSSFRGKRPTSTGGELNSKKSRTKINSNTDKKASTALSGKTRNNHNHKEKSIRRKVKVVAMKCFANGEMIDGVNQYKVSNQIRKSTKHLDLDVYAVREVEQNDEFRMKVARQELQILKIEAALLRKLEKVPGDKCFVSMAEYGSIPKDKLEFLIVSPFGATLHEIMKKTLQGASLSMDCAIAVGHQMLKAIRDLHSFGYVHRNIRPAAFNVGLGADEATVYLQDFRAVRKFEENKKHVTARPNVKMFGTGRFSSRACQSMKDQGRKDDLESWLFTLFYIMDNSSLSWKKENNQGVVMAQKEAFMIGDGKEQFARAPRALTQLLTLVGGMDFTSAPDYDVFKNCLDQIQTSQNLNRKACDWAGKTGLEEIAGDTDRSFDCKVTGERDGAVRAVAHKAKKPNRKKLSPGDMILGVGASAGWKVINLLGSGGFGDVYKVHRDNQPETKCYALKTESEEGEKRYLRLKIEVTVMMKTAEKKKEGQFKNFIEFVDRGKCEELKCKFVVMGLVGPSLEDIRRKYLLCAFTKATSFNVAIQTVTAVRDLHSIGYLHRDIKPANYAVGLGELESTVYMLDFGIAKLYVNDNGDHKVKRKKVKFLGTLRYACRACMMQLEQGRKDDLETWIYLVADLIDEAAGMPWRKMCEPKEILKSKNGFFTSCESLGIREEKKSVQGAPDFADFNFAVDTLKVNSTLRSFKDLIVYIDKMQYETTPDYEYILTFLKTCASDNKVKLTKKLDWIGKLTKKEFDSDSEKSEKRGSGDDDE >CRE13611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:89467:90790:-1 gene:WBGene00067520 transcript:CRE13611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13611 MKLYILTIQFKHSAENRLLQNNPFYLYGKRVQDSSPHALFSSMLFDHLVVEIFDRKLQRLSLESICPFVNVTLMILLIVVRWKQIKMDQNSTSTLINAMFLTSSIMIIQLFIMAQQYADYPTRGGSNYDNYSFYSFYTSFLWIASVYISNSDFIQNWIQTNKKVENSNERPMFSIYVLDSKV >CRE13570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:93367:95923:1 gene:WBGene00067521 transcript:CRE13570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13570 MRHIALLFAILPAILASASPYCTNGFSMVNNKCLRLFTSPMVHKDAVATCSSYAGTLVTIKNAIDNRAITTFVGSSIKHTWIGLFCFQSDPTNCYWDDESGTSADYSSFAKSFPYTDVGNCVYYSVSGTLAGQWVSGDCDDDLRPFVCEMPSTFYDNCDYNYNGYCYFPSYGMSYPRYTFTQAQSVCESLCGNLVSIHSGNEMRYIKNYYAARSTESIYIGAITVSGKYNSWTDGTAWDYNNIDNSQSWQATSNCMMVSLSSNGTQTNDAWYHTSCDTARPFMCKRKVGTECSSDYSTTTQDSTSVDPSGPPITPTCNSFLMSRGTFFSPGYPGNYYNNLNCSFTLATLGAYRIRLSFNSFQTESCCDRVKIYDGDSADSRLVAQLSGAQNTPLYHESTGNMMYVTFTTDGSVVQQGFTANFLSLI >CRE13613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:97005:98411:-1 gene:WBGene00067522 transcript:CRE13613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13613 MLNENMLNFMLDKTRQNETRRCWIQLEFSQSAENEALASFVGDGVSSVWLGLYCFEGGTRNCLWDDASGSIEGFSNFVYNSNAYNIYKCATYKVTGSWRGRWFCGDCSYDLRPFVCQIPTTYEDDCALNYNGSCYLPSENFTSPQTIGIDSARQWCLTNCADLVSIHSANELRLIQNYYKTKDHESILVGAVTNSGKSFLWTDGSTWDLGHFVGSGQSFGTCVKLALKTSGSRVKGSLYVTKCEESNYYMCKRPAGISCVGEPVAIVEALPVTSHCNESLMNSGSFSSPNYPETYSDGENCTYDLGTLRSDRIRVTFSNITVKDREDYIRIYDGDSVESPLALIITGNHSETVYFESSSNRLFVTFNSVTNNDTEPARTGFKANFVTIV >CRE13614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:99734:101138:-1 gene:WBGene00067523 transcript:CRE13614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13614 MWRHVFLLLALLPSIQATQTITCTNGFTLVGNKCLKLFTAPTSRRNASKTCAEYAGNLVAIQNQEENDALASFVGDGVRSVWLGLYCFGTSNCLWDDASGSNDGFSSFVYNITSVYDIYKCVTYKVTGSWSGRWFRGDCSYDLRPFVCQIPTTYEDECALNYNGNCYLPSENFFSSQVIGIDSARQWCLNNCAGLVSIHSANELRLIQNYYKTKDHESILVGAVTNSGKSFLWTDGSTWDYGSVVGSEQSSGTCVKLALKTSGSRVKGSFYVTKCEESNYYMCKRPAGISCVGEPAPSGETPPVTPNCNEFLMNSGSFSSPNYPETYSDGESCTYGLGTLGSQRIRVTFSNIVVKDHEDHIRIYDGDSVESPLAMIITGRHSEPVYYESSSNRVFVTYNTADNLDAEFARTGFKADFVTIV >CRE13571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:101816:103711:1 gene:WBGene00067524 transcript:CRE13571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13571 MRHILLLLALLSYIPVTQSITCTNDFTLVGNKCLRLFNTPTSRTNASRTCAEYAGNLDNFLLSAFVGNATDDDIWIGLYCFDKNVTNCLWDGGQGSAEVYNNFVSSRSEGNPFFGFYKCVYYKVGDPWNGHWLSADCKWLRYSFVCEIPTTFDDDCALNFNGSCYIPSEELINSTQSLGLDSVQKICQENDGDLISIHSANENRFILNYYEGLGLDSVLLGAVVTKHFFWMDDSHWDFSNIDHTNDEYGTCLKMALQNNITISKGSWYITPCDGSHYFMCKRPAGNSDLIHVPKVAKTPPSQCNKVLLMSGTFSSPTYPENCMYTLKTLDGQRIQITFQKFHVTNGYIEIVEEYQGAEVKQKFSGTNKNLRYKSLTNELKVLFITEDLENMDSEFVAKFFSVFENE >CRE13572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:106269:107705:1 gene:WBGene00067525 transcript:CRE13572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmg-11 description:CRE-HMG-11 protein [Source:UniProtKB/TrEMBL;Acc:E3N1A3] MSGETGSNECLAPPAEVAEVAEEAPEDVTAEVAESDDEGSGSEDGAEASSPAEATSSKAKRGRGRPTKASKAKISKKPASELGQRGRPRKDGSAVGSSTAAATKKAAKPTPIPKVAREPERKSERARKATRYVDEDGDDSGDEEQVKAKKSPAKAAAPANGAKKGRGRPKKN >CRE13573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:108066:110765:1 gene:WBGene00067526 transcript:CRE13573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13573 MHSFQSFSITFLSFLVVFSVSDPICNNGFTLVNSAKCLRLFTGPVRHRAAEASCAVYGGTLVTIRNAVDNRAVSTFVGNTPGLSFWIGVYCLTNDPSTCYFDDDLGTASSYNNFAKGFPVVDLGGCVYSAAAGTLAGQWISAECEDVEMAYVCEIPTTKTDPCAHNYNGYCYLMSHENSTFPTMPFTSAEDSCHQNCAELVSIHSRRELTYIQSLYSTPNISAVLIGALTTSPLTPYWVDQSRWDYGHVSPRSGSTGSCFQMAVKTDGTWYQVDCKTSQYFLCKRPTGVTCNSTPAPPVIVTPAPTNPTGCNSTSLFDSGRITSPNYPSAYPIPSLCNYRLSTLGAYRIGLYFTGVSTYTNYGYVYVYDSNGARLAALTGSAGANTYYSTANTMTVTFTSGTYGSGYSGFAANFLSF >CRE13574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:128511:130347:1 gene:WBGene00067528 transcript:CRE13574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13574 MSRNSNNDAEEKSEKTISSDRPFLLEIFPEKLEVPPEGLLEVTIKNPTAHEQNLTCRFDSFYFLVDFKNANWSQEQEGDTPSAAIAYHTLKPGETYTLTIGYDNGNYPKWPLPCPTCNNERRIVRKKDDTKKQRLDPKDNIYYNLKRPEGVLKITYDNKKTYNDYISKKMDIYLIEETEKYRMLKEVYLKIRLDQKRRKRWGETLQTRGCITYRSFKLDDGKSEYDLREFFGGYNEESRFKSDYISPEVFWEKVLANRCTPKNLEEFDSLSNEEIMKIKRDRRAQYILGQFILNENDLQKEVEECWGDQCLCEMPRLLTRKEFEKSMEPYAKPEEKSVQKVVEVEKPKTIKEPKDKSKKSLKKLPQSEKSAPSSKFDNVESVHKSSEKLKQEEAKAEEEKKTPTTPQVAPIPKEKKAVVQEKKNKKKKKGNPCCSVS >CRE13622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:134715:136608:-1 gene:WBGene00067529 transcript:CRE13622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13622 MSAEYPTEKCLLTMPDVVMNKLLGKLDFPAVQCLRKTCLTLRNFIDDVKPNSALIILEIKVEPNCITSLYYLEDFVEIDENLIRIKYCKTPEGCSVEWDKRDYRQQKKLLESENFVDAASKDIISVLANQKSVMESLNVEAVSPPDDILEEHKELLHQVTDQFLSNLESYLASKPKRLSVKTFETNVGDETQILHLLPHIDVGDLTIWNPNRYSDEVLNIQELIKLEQWKKLDSLHISGFSVDLRIEDLVHLKWCNVQYETVNSDMIEELKEAFRTSSHFESFRIICKPDIQQFMDPRYGGPHIVSQRYNVTNSWFFSVSTPERVLKVSFSRSQVLFQFDVLGNVPEGATIN >CRE13576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:142653:145880:1 gene:WBGene00067531 transcript:CRE13576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13576 MPLLLEMPDLVMRRILEESDYVSIQSLRKTCHHLRNFIEDVKPESTMSKIDVRASTDFIRSSVSFDGQQFTIDYRNHENGCLVQWSQTNKKVLENSDFLNVALRDIEFILESKNSTVMDFIIVDRWQQDFQSAQKFLKGFRKILEQRTSLVRAKSFNMTSNTPDETLQILPFMDADYLKQITIEHPDNDPKTDFKLAELMEFKQWKQAETLYLFNISLDIPVKNYIHFKKIFVDIWDVSIDLVIELKESFSTLSHLDHFEIHFHRCDAQNPFVNKFGPPYQDENRAKEPRERWFFSIPGDPDMIHSIIFYKKVIIFNRFEINRANEEEDDEYNDVDTLPNDAIIL >CRE13577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:146126:147932:1 gene:WBGene00067532 transcript:CRE13577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13577 MPAESLSENCLLTMPDVFMNKLLGKLDFEAVQCLRKTCHTIRNFIDAVEPDSALIKLQIRIKPDHITTMYSFEDENVITIKYSRNGEGCLVEWYGRDYRSHKKLLESVDFVDVASKDVISVLTNQKSVMKCLNVECSLDEIREGQQELLYQLSEKLLSNLEPCLASKPKKLQVKTFQTKVVDETQILYVLPHLEVENLTIRNGRESSNRDAILTMQMLKELEQWNQLDTLNIFGFCVDLKIKDLLHLKRCFVKYETIDSKMIEELKEVGVLDPLTLKITKFQTFRTSSHLEFFRIEHDIQDLMDPSYAVPFIGLNPFGDVFKRWFFSVSTPEKVLKITITPYQIVLDFDLLVNVPEGVTVN >CRE13579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:150868:152670:1 gene:WBGene00067533 transcript:CRE13579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13579 MSSMVTRWFLVFLWWIRLANPQFLGSPLYGALGGYNDNDMCRYISCPFGQYCWNGNCLSSGTTSMMGSRALGYGGAGMMGGMPGGLGALTSAAALYGGAGGFGAPGFGGAGLGAASRGIAVGANVPTGVTPYGANANPFAAGPLPSSVGGMQPCSLVQQCFNGQICVNGYCSRSNVAFQGSQVMPTETTCMTGATCPVGQYCIGGVCVQNPMSTTFACHNGISCPMGMICQLGRCLPNGMPMSMMMMNQFYG >CRE13625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:152821:155339:-1 gene:WBGene00067534 transcript:CRE13625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13625 MIFLVANSTQYFFPIFLINYKNNFDLLAITILIIECGGYTLGVIMTIRGCYVIFNTRVFHWNMNFYLCGCFLHWFEILVGRLMVISYHKGWIVLEGNAPNKTLSEFYTTDVSEMPKVSSLWDYPFLFIGMLLITHYYAFSLVSITGLIMERCCATYWINDYETKQRPKIAISIFLFLEIFSISVVYTGINLLFNVYYWILIGILVLTFNFLLFGYIWYWNIRVHKILETIQVIPAEYTLQARFQAKENARSLNKPHFLYNIGDDLYTTLACTVFQAAPFYTRCQKIYIED >CRE13626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:157106:159110:-1 gene:WBGene00067535 transcript:CRE13626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13626 MIFLVNNQTYFFAVFLMNYKRDFGALSIFLLILEIFGFLWGAILTIRGCLVITQTRVFNRNLNICLSGILMQWMENMVGRLMIMPYQKGWIQLPGNDPKKIYSEFLTNSTSEMILVKNSFCDFPFFSTGSILLSHYMVFAILALTGITVERSLATFWINDYEKKPRRWIPIFVLLALQTTSWLIAFSAINVLLNIYIWLVIGVLVLITNFVLFGYIWYWNIRVHRVLDNSIFIPSKYTLQARFQAKENARSLEFLKVTVFTVSLVLLLQCLFFILQSSNVFQDYEVILYYLVDFCNAGHPVVLIPMAMISVPVWKKKFFGHLHCVKKVCSRKTLPMVDVVKQPISLKMETDQYFSQFNKAWN >CRE13627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:160145:161590:-1 gene:WBGene00067536 transcript:CRE13627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13627 MTTSSKPLFFGPSKCVALYMDPNKRLQLYLRCPSFGIAHKNEAIRIRDLKVRPDNFEINGTIYSLGVITQYTDTPNPKSVVLDNAKGGIQEHVDIYGLPPRETQDEEENVQADKAEITRLREEISIIEQGHARRAIPGKPGSRIRIQRLDLKAEAYNMRIKNTPPPFRLYLQLTISTGESVKMERVVYDKQFETAKEYIERMVFGNKKIQVENLQIGGDTYLHDVDNRDGVKYGPARHEPLFEYTSQADRVRPLLSIRSLQVGVLKVTGILTNALASLRPILSQTPLKELRALCHEDAFPEDPIVNTTEFLEIAKGSSINVLSNRPNNRIHIGFTYNDNGEELTNLVNEWKKRKIPIGTYYSMGDRYDYLITEIFKTFINLPGAKIGENEETRSTNYPECIIVPMGNDTELNVYRSEPVNVERDRYSSIVTMKWEPRGYARADGV >CRE13582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:175461:176626:1 gene:WBGene00067537 transcript:CRE13582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13582 MHTKLFGNRDKIITVKNLKINLENRVLRFPQDTILKIENLEVSRWSAPHFECLKSIIDPPSFPIQQLKMESSPRSPDFRHTIVKEANSLVIDNRKFGDASWTPILLNLNNRRGCLMNENRRDPPNNYMDLIEDWLERGRPVGTTFSMGLKNNDTAKQCLDTLRQREEVLGSSEKQKTVFLLNMIRYCDSTPDFRHSIVKEAKSLVIDNHSFGNASWTPILLNLTNRRVCLENENQRVPPNNYTDLIENWLERGRPVGTTFSMGLKNEDTAKQCLDTLKQRENVLGSSEKQVQLRINASLILNVSYEMIEQPGRLPRDYQSKWWLRLKVIRRRSE >CRE13583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:177227:178689:1 gene:WBGene00067538 transcript:CRE13583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13583 MPLQYETLKSVLLYMEPNIRFRISLRMPSISSLEKRIPLKIEDLKFSFFDTKVNKFSYRVGLYLDYGSNEIPFNAYGSNASGGSYKDIDQYGFTIYPGLNDVLPGDVDLRRGELYISSNDTDRIEQNLVQVLRIYKMELAERLNQQYIDDDETRRIAVGPWDELIGASTRERAAKKSVEDIQLAIETTREDLMPFNNRRNNWTPPYTACIQLSVKSTKGFQFQRVLYNKYLHEAEKAIHTRLFGNRREIISVKNLKIDLDDQVLRFPEGTILKIENLEVFGWSSSHFECLTNIIDPSSFPIQQLKMESFLSSLDFRHSIAREAKSLIINNDTNEIDSWTPILLNLTNRRVCLMNENQRDPPNDYVDLIVNWLERGRPVGTTFSMGLKNENTAKQCLDTLKQRQEAQGSSENHVQLCINASLMLNVSYDMIDHTGSFPSEYDSNLWLRLKVIRRRSE >CRE13584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:179232:180700:1 gene:WBGene00067539 transcript:CRE13584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13584 MPTVPLQYETLKSVLLYIEPNIRFRISLRMPSISSLEKRIPLKIEDLKFSFFDTKVNKFSYRVGLYLDYGSNEIPFNAYGSNASGGSYKDIDQYGFTIYPGLNDVLPGDVDLRRGELYISSNDTDRIEQNLVQVLRIYKMELAERLNQQYIDDDETRRIAVGPWDELIGASTRERAAKKSVEDIQLAIETTREDLMPFNNRRNNWTPPYTACIQLSVKSTKGYQFQRVLYNKYLYEAEKAMHTKLLGNRDKTIFVKNLKFDLDNQVLRFPEGTILKIENLEVSGWSSSHFECLTNIIDPSSFPIQQLKMTSSLSSPDFRHSIAREAKSLIINNDTNEIDSWTPILLNLINRRVCLMNENRRDPPNNYVDLIENWLERGRPVGTTFSMGLKNENTAKQCLDTLKQRENVLGSSEKQVQLRINASLTLTVFYVEQPEDSFPCDSESKYRLRLKVVRNRSE >CRE13585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:181394:182866:1 gene:WBGene00067540 transcript:CRE13585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13585 MPTVPLQYEPLKSVLLYIEPNIRFKVSLHMPSISTLEKRIPLKIENLSFSMPQNEKCLKVNEYNYQLGVYRDFGHMDVPSEIQLVNDRGGCQHDIDQYGFSINPGRNTILPGDVDLRKEDLPLTVGSYCEVMEQEYVKKLRMLRRLLAERTNQEYNEDDGFPRTFGPSQIFSRRCFEDDPVESIEYHIEDTQYRLMPYLHRQNNTKPPFTCWIQFTVTSPNGRQSRRFLYNKKLFEAEKNLITALLGNRKLIISVKNLKIGNDNGVFRFPVATKMSIENLQVRYWNAPSFEAFGNIIDSSSLPLQQLKLGSSIHSADFTHRIVKESKKLVIDNQLHEHGSWTPILQNLTHQNVLLERENEHDPPNNYVDLVENWLEIGRPLGTTFTLGIQEEETVKQCLETLKQRHDVYASSERQVQLRINNCSMLNVCYKDTNRPTSRFWRHNPEWLLSLTVVRATSY >CRE13586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:187252:188739:1 gene:WBGene00067541 transcript:CRE13586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13586 MVTIPLQYESLKAVLPYLDANIRFQISLCIPYISSLEKRIPLKIENLTFSKNGTTVNEFRYQVGIYRDFGSKEALYYFVPKNDSGGEPSDIDQYGFPIYPGYDTLFPGDIDLSGEFFDMDLDYSEEKEHKLIQKLRIYKILLAEFQVPAGEDDICIESVPWDASLESNIRDYAECFEPDCEYIRSEFKSEVQTIRETLAPYIYRRNNTKPPYTPLIQFTTRSPKGSTTIQRFAYNKHLFEALKVLNNKFFEKRSSVISVKHLNIEFNEDIIRFPTGCMLRIDGLKGEIRNAFQFESSFPLNKLEIFGLHVDSADFSHQIVREAKTLVISAFTLEGDLWSPFLRDLPNRRVILKNSMVWESRENYLNLIDDWLERGREVGTFFSMCIRNEDIAEQCLDTLKQREEVIKFSEKQVQFKIGAALILNVYYEFTGKPAIIYNDYDSKWVLHLKVSHARSD >CRE13631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:189809:191079:-1 gene:WBGene00067542 transcript:CRE13631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13631 MPVIPLRYESLKAILPYMDPNTRFQISLHIPSVSSLESRIPLKIENLTFAGSFTEVNEVSYRLAVYRDYGRNETPLDVVRMNQRGGSFNDIDQYGVIIYPGLNHVLPGDLDLRTTVQLDVPVYTEEWEQGHAQQLRILKMLLAERLNQEYIEDDETRNAGASNETFRQTVLNDSVESIQDQIQSLRDRLSAYNNRRNNQIPPCTSWIQFTTSSPKGVTIHRVAYNKYLYEAKKAVHTKLFGNRDLNISVKNLKIELHDRIIRFPAATVLKIENLEVLFWNSLALDRFKQFIHPSSFPLQQLKVSSSVFAADFQHTIVREAKILIIGNITSENGSWTPILRNLTNRIVYLENENRLNPPNNYIDLIENWLETGRPVGTTFSMGIKNEETVKQCLDNLKQRQEVQGSSEK >CRE13587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:191494:192899:1 gene:WBGene00067543 transcript:CRE13587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13587 MPVIPVQYESLKAILPYMDPNTRFQISLRIPSISSLEKRLPLKIVNLKFSKTGIEVNGTRYRLGVYRELKDKNVPSGVQMSMLPMSHDIDEHGFAISPGINTVLPGDVDLRKGILANSQNDTNRKEHLLVQKLEALKKIFADRLNQEYNRYLALRTRYRWKDSSVSLIQNQIDVLQTALEPFKHRRDNTKPPYTNSIQLTLTSQRRGLIQRIPYNKNLFEAMKILSATLFGKRRSIISVKNVIIDFHNYILRFPVETKLRIQSLDILSWNASNFQEFSRIIDKSSFPLQQLTMKCGARGSRFEHAIVKEAKSLIININKISRRPWIPILRNLTNRHLYLETQSRQESHEDYVNFISSWLENGKRPVGTSWSGIKKEETVKRVLINLKMRPEVVAVSDNYVQLRVNASSSLQVFYLPLKTSDFYSKWLLTWRVVRSQLE >CRE13632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:193792:195271:-1 gene:WBGene00067544 transcript:CRE13632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13632 MPVIPIQNEILKAILPYMDPNKRFQISFRMPSISSLESRTPLKIENLTFSKVHTEVNKVSYQLGVYRDYGRNETPYNVLRMNQGGGSLSEIDQYGLIIHPEVNIALPGDIDLRTTAQRDVLANTEESEQDVVQQLRVLKMILAERLNQEYIEDDETRNAAVGEPANAFVETYREITLKASVETIQSRIQYLRNLLRALKNRQDNRVPPCTPWIQLSMRSSTGVTIQRVAYNKYLYEATKAVTTKLFGNRGSNISVKNLIIEPHSPFSNHILRFPAGTILKIDNLEVAFWNSLVFERFKQVIHPSSLPIQRLKISSNTLSADFQHTIAREPKRLIISNDINENRSWTPILRNLTNRRVYLENENARNPPNDYMDLIENWLERGRPVGTCFYMGIKSEETVKQCLDTLKQREEVVGSSEKQVQLRINAATMLEVSYEMAVRRVRLLRDNQSKWWLRIRVLRERSD >CRE13634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:196523:197676:-1 gene:WBGene00067545 transcript:CRE13634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13634 MPVIPIQYESLKAILPYMEPNTRFQISSRMPSVSSLESRLPLKIENLTFTIIDTKVNKVSYELGVYRDYGRNETPSDVVRMNQCGGSSHDIDQYGLIIFPGLNNVLPGDIDLRTGVHRDIPVYTEEWEQGLVQQLRTLKMLLAERLNQEYIEDDETRNAGASNETFRQIVLNDSVESIQLQIQLLRDRLSAYTNLRNNRIPPYTPWIQITVRSPKGVTIQRVAYNKYLHEETKALHTKLFGNRDSNISVKNLKIELPNRILRFPTATILKIENLEVAFWNSLAFESFKQVIHLSSLPLQQLKVSSSVFTADFQHTIVGEAKRLIINNVTNDNRSWTPILLNLTNRIVYLENENRLNPPNNYMDLIENWL >CRE13635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:198900:200356:-1 gene:WBGene00067546 transcript:CRE13635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13635 MSVIPVRYESLKAILPYMDPNTRFQISLRIPSVSSLESRIPLKIENLKFAGPFTEVNKVSYHLGVYRDYGRNETPSDVHHMNQRGGSLNDIDQYGVIIYPGVNNVLPGDIDLRTEVHQDHWFNTEESEQDHVQQLRTLKMLLAERLNKEYIEDDETRNAGASNETFRQIILNDSVESIQRQIKSIRDRLSAYTNRRNNRIPPYTPWIQFTRRSPKGVTIQRVAYNKYLYEATKTVHTKLFGNRDSSISVKNLKIDIFDRILRFPAATVLKIDNLEVTFWNSREFERFKQVIHPSSFPLQQLKISSTTIPFFDCRHIIAKEAKSLIIDNNPLEDDSWTPILLNLNNRRVCLMNENQRDPPNDYVNLIEDWLERGRPVGTTFSMGLKNENTAKQCLDNLKQRENVLGSTEKQVQLRINASLMLNVSYGMIDHTSSFLSEYESNLWLRLKVVRRRSE >CRE13588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:203049:204457:1 gene:WBGene00067547 transcript:CRE13588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13588 MPVIPVQYESLKAILPYMDPNTRFQISLRIPSISSLERRLPLKIVNLKFSKTGMEVNGARYRLGVYRYSKDNNVSSKIQMSMLPIPHDIDEYGFTISPGINTVLPGDVDLRREILRNSQNDTDKKERLLLQELEVLNKKLVKHLNQEYTNHDEFKNARCVSLIRNQIESLQIALEDVKHRRDNTKPAWTNWIQLTVTSQRRGLIQRIPYNKNLFEAMKMLISTLFGKRRLMISVKTVTIDFHNYILRFPVETKLRIQALDILCWNASNFRAFSQIIDKTSFPLRRLTMKCDSNLSNFEHKIVKKARTLIIDKITTRESRPWMVSRPWIPILRNLTNRHLYLKHQSRNESHVDFVDFISSWLENGRPVGTSWTAIMKEETVKRVLINLKMRPEVVAVSDNYVELRVNSSSSLQVFYKALQPSDFYTKWLLNWRVVRSQLE >CRE13637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:205137:206699:-1 gene:WBGene00067548 transcript:CRE13637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13637 MPVIPVRYESLKAILSYMDPNTRFQISLRIPSVSSLESRIPLKIENLAFTGLETKVNEVSYQVRVYRDYGRNENPPDVLRINQKGGPNDDIDQYGLIIFPGLNNVLPGDIDLRTGVHRDIPENAEGPAQHLVNVLPGGIDLRIRFLLDVPANTEGQEQHLLQVLRALKMILAERLNQEYIEDDETRNAVVGGAWNALVETYRRMTLNYSVETIKSRIQSLRDSLRPFNNRRDNRIPPCTSWIQFTRSSPKGLTIQRVAYNKYLYEARKTVHTKLFGNRGSSISVKNLNIIHHDRILRFPAATILKIENLEVTNWNSREFERFKQVIHPSSFPIRRLKVSSSVFTADFQHAIAREAKYLIIDNAFANAFASWTPILLNLTNRRVCLKNENTLNSPNNYMDLIENWLQQGRPVGTCFYMGIKNEETVKQCLDTLKQRQEVIGSSEKQVQLRINAALMLEVSYEAIEQPGRFLRNDQSKWWLKLRIVRRRYD >CRE13589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:207122:208413:1 gene:WBGene00067549 transcript:CRE13589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13589 MPVIPVQYESLKAILPYMNPNKRFQISLRIPSISSLESRLPLKIKNLTFSQIDTKVNEVSYRLGVHRDYGRNEPPPDALLINQGGGSPDDIDQYGVFIYPGENNVLPGDIDLRIRIQQYDRFITDEEEQNLVHDLRIFKILLAERLNQEYIEDNETRNAVVGGPWNAFIEIKYREIVMNRSVEFIQSWIQLLRNRLSGFTNRRNNQNRSYTPWIQLSVYSPNEITIQRVAYNKYIYQAKKAVHSKLFGNRGSSISVKNLKIELPNQILRFPATTILKIENLEVVRWNSLAFERFKNIIHSSSLPIRQLKVSSIISTDDFRHTVAREAKILIINNLTTENDSWTPILQSLPNERVCLMNENERVPPNDYMDLIENWLERGRPVGTTFLLGIKNEETVKQCLDNLRQRQEVIGSSEK >CRE13638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:210408:211875:-1 gene:WBGene00067550 transcript:CRE13638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13638 MPAIPVRYESLKAILPYMDPNTRFQISLRIPSVSSLESRLPLKIENLTFSNIDTKVNKISYQLGVYRDYGRNETPSDVHHMNQGGGSFNDIDQYGVIMNPGVNSVLPGDIDLRTGVHRNFLPNTEGQEQFLLQQLKALKMILAERLNQEYIEDDETRNVVVGAPFNGFVENYRRDTSVESIQLQIQSLRDSLRPFNNRRDNRISPYTASIQFTRRSPKGVTIQRVAYNKYLYEATKAVHTKLFGNRGSSITVKNLNIDLPNRIFRFPTATVLKIENLEVAFWNSLTFERFKEIIDPSSLPIQQLKISSFLRFFDCRHMIPREAKSLIINNNPTENGSWTPILRNLTNRRVYLENENARNPPNNYMALIENWLERGRPVGTTFSMGIKNEETVKQCLDTLKQRQEVLGSSEKQVQLRINASLMLNVSYEMIEQRGRILRDNQSKWWLILKVVRGRSD >CRE13591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:212870:214161:1 gene:WBGene00067551 transcript:CRE13591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13591 MPVIPVQYESLKTILPYMDPNTRFRISLRMPSISSLESRIPLKIENLTFSCEDTKVNEVSYLLGVYRDYGRNEFPSAVLEMNRCGGSPDDIDQYGVFIYPGKNNVLPGDIDLRTAVQEDVPANAEEWEQGLVQQLRVLKILLAERLNQEYIEDDETRNAVVGGFFNALLEMQYRELTLNESVETIQLGIQTLRDMLRGFNNRRDNRIPPYTAWIQLSTRSPKGITIQRVAYNKYLYEATKTVHTKLFGNRGSSISVKNLKIDIFDRILRFPAATSLKIENLEVAFWNSLAFDRFKQAIHPSSFPIQQVKIGSSIRAPDFPHTIAREAQILIINNDTYEFASWTPILLNLTNQGVVLENENRLNPPNNYMDLIENWLERGRPVGTCFDMCIKSEETVKQCLDTLKQRQEVIGSSKK >CRE13639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:219838:221300:-1 gene:WBGene00067552 transcript:CRE13639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13639 MPVLPVRYESLKAILPYMDPNTRFQISLRIPSVSSLESRLPLKIKNLTFSNIDTKVNKISYQLGVYRDYGRNETPSDVHHMNQGGGSLNDIDQYGVIMNPGVNSVLPGDIDLRTGVHRNFLPNTEGQEQFLLQQLKALKMILAERLNQEYIEDDETRNVVIGAPFNGFVENYRRDTSVESIQLQIQSLRDSLRPFNNRRNNWISPYTASIQFTRRSPKGVTIQRVAYNKYLYEATKAVHTKLFGNRGSSITVKNLKIDLPNRIFRFPTATVLKIENLEVAFWNSLTFERFKEIIDPSSLPIQQLKISSFLRFFDCRHIIAREAKALIINNNPIENDSWTPILRNLTNRRVCLENENARNPPNNYMDLIENWLERGRPVGTTFSMGIKSEEAVKQCLDTLKQRQEVIGSSEKQVQLRINASLMLNVSYEMIEQRGRLPRDDQSKWWLILKVVRGRSE >CRE13593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:226208:227140:1 gene:WBGene00067553 transcript:CRE13593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13593 MYGQEIKDSTLTAAGFYHDTAIGDMSSLGFQKRCLSVHGQGDVQVAANISIDLMNQPRVLLNSCNVKLTVYPNDSKFLIEAFNRDPDTEFRFKIKDVYALVNEFDLADGLSNALEAAVIEHKLIQYPMISSQVRSFYIEPNRLDAPANTLFTSKMPRRIFLGLVDAEAYNGSYEKSPFNFQPHGITDIHVDYCGMSVPGRPFSLDFKKNKFIEAYIQLQETLGHTRNNFSCNSISTKMFKEDGYTIFGFELSPVAQDNSLFELVRQTNISVRLNFRDKTPEGGLYCVVYAEFDQIFSLDALRNPIIDSIV >CRE13595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:229143:229927:1 gene:WBGene00067554 transcript:CRE13595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13595 MPVIPVQYESLKTILPYMDPNKRYPTNLVCTVITPSSLPIQQVKIGSSIRAPDFPHAIAREAQILIINNNTNEIDSWTPILLNLTNQGVVLENENRLNPPNNYIDLIENWLQQGRPAGTTFSMGIKNEETVKQCLDILRQRQEILGSSEKQVQLRIDALLMLEVSYEMIKRRERLLRDDESKWWLRLAVVPGRYD >CRE13596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:232655:234483:1 gene:WBGene00067555 transcript:CRE13596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13596 MSVIPVQYESLKAILPYMDPNTRFQISLRIPSISSLEKRIPLKIVNLKFSKTGMEVNGARYRLGVYRYSKDNDVSSEIQMSMLPIPHDIDEHGFTISPGINTVLPGDVDLRKEILRDLQNDSDRRERLLVQELRGLKKILAERWEYTEQDEFPTVPSYPEYRADCEIHWKKSSVRLIRDRINSLQTALEPFKHRRNNTKPPFTNWIQLTVTSQRRGLIQRIPYNKNLFEAVKILISTMFGKRSSIISVKNVTIDFCDYILRFPVKTKLRIQALDVLSWNASNFQEFSRIIDKSSFPLQQLMMKCGARRSRFEHVIVKGARTLIIDNIATISRPWIPILRNLTNRHLYLKQQSRNESHSHYVNFISSWLENERPVGTSWTGIMEEVTAKRVLIYLKMRPEVVAVSCNMHYVELRVNASSSLRVFYEALQPLDTKCLLTWRVVRSQIS >CRE13642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:236867:238728:-1 gene:WBGene00067556 transcript:CRE13642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13642 MDPPDFSEVRGKAIVESLAITFGLNLPSSVTLNDVEKRWRDRIALMIRCAETGEGIIREKDVLTRHDCEYDEEDLDWDYEDEVVEEKSKEITKQMMDAAIKFYRSCKKKINYRGQGTRSLKSMTRRFRWITSNRVLQSVITYGATGCYSDNTIHVFVGKSHIMSRATAEHFFKNVLFGGKVPATLLLILDLWPIFRDHAFIKSFAPPSTDLHIINIPPGGTSICQPADLSYNHQLKGIQKRLTSLVMFRDIRYRVSERDNLLKFASQAHWVIGSPRFKSFIAYGFYKGGFIHSKPPPFDGPKQFIFGAGISKPCSCSQEGFTVCPRCEESFCFECFWDKCHRC >CRE13644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:240695:244340:-1 gene:WBGene00067557 transcript:CRE13644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13644 MPVIPVRYESLKAILPYIEPNARFQISLRMPSISSLESRIPLSIENLMFSNIETKINEVTYRLGVYRDYGRNETPSDVFGRNQWGGSSDDIDQYGAIIYPGVNNVLPGDIDLRIRVLRDVPTEEQEQHLLQELRAFKMLLAERLNQEYIEDDETRNAVVGARFNDSITSGLASSVQQSSEQSDFSIYASIQFTRRSPKGIIIQRVAYNKYLYEATKTLHTKLFGNRGSSISVKNLKFELSNGILRFPAAIVLKVENLDVAFWNSLVFERFKQVIHPSSLPIQQLKISSFLRFFDCRHSIAKKAKSLIINNNPHEDDSWTPILRNLTNRRVYLENENSRNPPNNYMALIENWLERGRPVGTCFHMGIKSEETVKQCLDTLKQRQEVLGSSENIPPIGLPPQPTLIDFYAFFSAREMPVIPVQYESLKAILPYLDPNTRFQISLRIPSISSLDKRIPLKIVNLKFSKTGIEVNGARYRLGVYREIKDNDVSSEIQMSMLPIPHDIDEHGFTISPGINTVLPGDVDLRKKTLRDLQNDSDRRERLLVQELRGLKKILAERWEYTEQDEFPTVPSYPEYRADCEIHWKKSSVRLIRDRINSLQTALEPFKHRRNNTKPPFTNWIQLTVTSQRRGLIQRIPYNKNLFEAMKMLISTMFGKRSSIISVKNVTIDFFDYILRFPLETKLRIQSLDVLSWNASNFQAFSRIIDKSSFPLQQLMMKCDCHLSNFEHVIVKKARILIVNKITARISRSPILRGIPILRILTNRHLHMISQSESPEDYVDFISSCLENGRPVGTSWTAIMKEETVKRVLINLKMRPDVVAVSDKLVSRFVRHFSFYYSSYVELRVNASSSLRVFYKALQPSDFYTKWFLNWRVVESQLE >CRE13597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:244982:246445:1 gene:WBGene00067558 transcript:CRE13597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13597 MPVIPVQYESLKAILPYMDPNTRFQIYLRIPSISSLENRIPLKIENLAFSLIDTKVNEVSYKLGVYRDYGRNETPPAALLINQGGGASEDINQYGVTIYPGKNNVLPGDIDLRRHVLPNNPANTEGQERHLVRELRVYKLLLAERLNQEYIEDEETRNAVVDGPLNAFMETSLRELTLNASVEFIQNWIQLLRDRLSAFTNRRNNQNRPYTPWIQLSVYSPKRITIQRVAYNKYLYEATKAVHTKLFGNRGSNISVKNLIIDLPDQILRFPAATILKIENLEVAFWNSFKFERLKEIIHPSSFPIQQLKVSSTTADFPHTIAREGKILIINNDTTEIASWTPILQNLTNEIVLLENENTLNPPNDYVDLVEDWLERARPVGTTFYMGIKNEETVKQCLSTLKQRQEVLGSSEKQVQLRINALLVLKVSYEMVQRRLLRDDLPEWWLSLRVVRGSSE >CRE13599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:248513:250101:1 gene:WBGene00067559 transcript:CRE13599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13599 MNTDDADLLEKADDEEKNSTCSETGYYKYIPTPIELFFKAKRPEFKRLYPNIGNRDRRKKMRLAWKNASDEEKEHYIAERNRLKEEAYGSETANEEKVTKKVPILQVGRSKKRNEELTAGFFIFVEEHRQKNLKHFNKLHSLKIGYELKQQWGEMVPSDGQNVTFANVSKLVWPMRTRNDQRDIREDGLSESIQSLLTKEKYVEISQACEEKAAKFI >CRE13646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:256036:257980:-1 gene:WBGene00067560 transcript:CRE13646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13646 MAERLIIHLDFLNKVLKKRSLIAIATDKEVSILVEIIANLMKTKYVPLGKVDADCNKAKMIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNILKTFDELVKNYEKTPNLKQISEAKSPVDEKYYDEGNITEMDDTQKSYTSYEDESDSDMSTTISSAIPTPEQPSTDTPLSSPIATKPITRQTVKQTTGQQYIVPVKPRIKPTRLPAIPIFRKGKADVSRHKKHNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVVVALEKIISKFKSPPIYVYSDFGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVDVLSKVVDGINRSVNRSIKKAPIDVKNGDFSFRRRGRRVRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEVIDGKFYYHEMIRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSAEFDSWVNESEILDIQQ >CRE26921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2324:195:1889:-1 gene:WBGene00067561 transcript:CRE26921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26921 MDDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYENVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSVPDKSPGKDSDNASHDEVLVGALTGGSAPDKSPEKDSETSSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSTSESKTSSGNSEDTPHDKPLVGALTGGEQGSEDGPERDSDNTSDANSLVKALKGGQTDSEILAFLLTFILLTIWEKKERDQIVEALKSAETGGVKENDEKKEDGNDVLKKILEKHFVELEKLHGVDSSLQEAIRKMTDNQTAFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDSSVVKKAIDALKSQLINNSKYEYKKLIGAIEESRLDELTSLRSAMKPKNDPFIGNLINFKVENDVLKVQFKDSNVLFIEFDKECAYFLGFHNCIVKNNETASSSIDFFGNISTLYVYCDVVDQTIVGNSKSSLLTVIPCKGKYGEMVQHTFPVPRYLPLMNGTIDSIKVQILSEFGDRIHFNWGSTIITLHFRKIA >CRE13602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:263263:265993:1 gene:WBGene00067563 transcript:CRE13602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13602 MKRLRITAKMLFDDANKKANRQIEVNEGEEKDQKWESERRPKSRCKETELVCQHFNTDLHENFQVYGEAADTEEFGNQLDRSNVWKTNCSKMLLISARFWSLLSSQDILRVYFLLTTTYSKAAVNRYFDVELLENEHLMKTLIFQRDHIFQVTICAVCAEEENQCACGEVKSLSTVVFSDWKKLLNTLLTLYGYSILETQADVIRGRSTTSPMNHGTLKETLMGHIKAGELSIHFMTGFDGIKLHSRGRLKCWPFTMIPLDLEDRERSSLRSVLTCALYFGSKDPSSKVHDRIVEWVVSEMATSVVWNELLVKCWIASGVHDDQVNSSNYARRKVYGLRSHSSRGSCPYCLNQDTVCKINDRFTISRSDVKEDVPEDLEDGLINSYTSFHELIPMYWSPIDIFHVFQEGIFEKILSELSGSPKLRIFENCMIDMSLPISLPSNFRQLSGRLKDITGSERATVRIRCNNIIKNMFQIFQTIALLEVYIGNFPAVPSAIIFTVYVLFRLNCDPGFITDPSLCQKVSKSSDLLAYLIETYAPNMIRGSKVHQVLYHLADSVKRYGPLLPLSTQAHEHSYHALKRHLCPEITNGLGLSLMRNSISIQELHSEVVKRLDGNVEEYCNDIHGQKMMKILNISRKPGLAKSYEIPQEFARFQTSNDQYYKSHYFNGIRYSSIKNGLSDDRIVMYNSNGKTKYGIVQGFLINSEDEERCRVIIQQFQLSDIHIQSLASKMFAFGIPRHTCQELIDICCSSKFGAIVVGKKNPTTFPLSCLIGHAVFIEKDSRQIVIPFSHRVMLS >CRE13605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:272825:273897:1 gene:WBGene00067564 transcript:CRE13605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13605 MAIENSGKGTTENANNLTAVLSNHNPKSNDRHKKNHKRGIEPVRLFCKERRREFRKMYPEANTTEITKQMSIAWKNLSEVEKQPFQTKYMRLKAEMKSNPPESSMTSLDAELLPPPVTSGRSTPKYLDAYQSDHSELPGPTSLVVTPPFNYFSRLSSPIESLWTPPSESISTDYYRTTSFSCEPHSIPSSESRDYSSLEPFLDFSYEDNKSEIPDDLKDIHITLPMIPEWEKILSQF >CRE13650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:276642:279862:-1 gene:WBGene00067565 transcript:CRE13650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13650 MPHNFISKSQGADPNLTAFSLFCQDMYRGVSKYYERRKGKTYKVVWENMTDEEKEPYFEKEKRLKEERDAEKPPPSKKQKRVFPSKNNIQKEIPPVIHNIFKERKKKRIAEIVQEVKDKLAIDEGIPAECGNPNVIEIEKPEPAKIVSTKSVNENSAPLTIFEEYEKLKASLPPELPKNKNLNHEKCFQPRKCIPKFFEYERTDTIGFAERDRIRKLELFSSLLEKLEEEHARNAEIDISWLTPCFAPEEPLVAPPSNKSSDLPATASTTSESSSSSTIPSISPSETLSNPVDTPSEASSNLESGTTSESNFSTAFTTSESFSETNQPGIATKSTFTTLESSNLSTLPLSISPPEALSNPVDIPSETSSNVESFESDVLKAPTTFESSPVTNQVGSVSTLQSISQSEVDTPSETSSDLECGKTSESDVTKALTASEYPSESSSEPDHPEFLSTTVTASETLLSSLDYFSDLNTLDVLDDLDCIEPTISFQGIFNFQNSSSTSTFSSVEFLHSTHPQSSFTLPSISPPEALPSLDYFPEFNTSNTLDDLDCIEPTISFQGVTSSQFSEYPSFGSFPSFYPAMDSFDIDIPDYFANVPPYTSLFSYPPESFPIESSLPSLSSETYAPHIPDDFADITPTMFFSPGFSEWEHFYL >CRE13651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:282462:284153:-1 gene:WBGene00067566 transcript:CRE13651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13651 MSVEIPTLSPFILFCSATRKSLADRYPTLTATDIQKHLEVVWSTMYDEEKRSYLEEYNRIILQSQPNFVDDFGRVKRPRNGFLVYRNAKPYGSSENLAKIWREMTVEDKRPYEEEAKRVNKLHKELHPNYKWNSKKEQGCRNPSKRPPKSYSLFLKAKYNEVMKSAEVKSDSEVTKIIKEIWKKTSKEDRQPYIQEARRLSNEWYKECTNCDHLNLPSIPQGPSHLQSSFDMMNFEVSQPSAPMDLTVGMTDLTLSSWTSQYPSSSQP >CRE13652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:287689:291041:-1 gene:WBGene00067567 transcript:CRE13652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13652 MHLNFFEAKKADGPKFDKFDFRPGLSLIQIPVTSSYLVLTSEPECTFNHSEITSKTIEFFPLCKEVCGILVLNSNLDISEFHLEELFKPMQVLYGGIVIENTQLTSLSFFTINNFFGEFNFFCEAYGFFIRNNSQLTDISIVRKFYLWEDGVSSDACEFRVENNPRLNIEQFYSGVTRYLDIRSYGNLKEFGCRGDEITSSSLPFYQNCTVLFGGLKLYNLSDDFDPTQLSNVRKIIGPIDIQNTSLKDLSFLKDVDLVQISNVGLKGDGVTINIQNNLYMTKLGMPNMTLSYAWRGNRNANFQNLHPDFCLTIGEMNKLSDMKFVNIDAIYCDETEKVFGGKLCRSDDLEKCTYFWGDVIIESEEFDYLQKNLTHIFGKLVIQNTKTKNLKFFPQLTHIISLDSTSPAIQIVGNQDLKNAMFPKLQVRLFSWNPSDNCCFQSVVARSGVRVMIENNNPSLLNSSDALFPPPSARYIYTVGEYIEPPV >CRE13607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:291237:300629:1 gene:WBGene00067568 transcript:CRE13607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13607 MAFFNTAENECYHPRCIFEHSEITSETVPFFPKCEEICGILVFNSNTDLSEDQLENVFKNMKILNGGIRIENSRLKSLSFFTVSKKEQRFGFDCKSNRLIIRNNSLLTDVKILWKFYYFQSDTDECEIVIENNTKLDVSTLCDYGYLHSITGIKVTGNMRDCGCYNTDLNKCQVLFGGLRLINTTILPTLSPIMEIRGDILIQDTNFEDLSFLGNLQRLVISDAGKKEKMTINIRNNRQMTRLGLPFLKQIKNIWNSDIFANLENLSPDFCMTIEEMIIFLEYKINFVNLHAKFCDDPVNLHNLKLCHFESMKHLEQKCRYILGDLMIESGDEEYIHKLGTVTHIFGTMTIRNTNLQSLIIMHGLSYMVSLKGTPIIRLESNKNLSNTLFPSMKHIITTGGLYVMLSDNNRQLHCDKLSLFQSSFSVPVGCFGGNRGCPSQKMNALEMKFYQNCTTLSGGLILTDTSSDLSKIETINGAIEISNTSFKNLDFLESLKTVNYMDADGIMINIHSNVKMTRLGLMSLTFMVFRLEQFTRRSVSDLFSLVDKYSTGHKECDTSSFYLKIVNFTLTSHDTFTMNLEKLHPDFCVTISEMVVFLESSVVFRYLDARLCDFNPSELTPKTCRLTNLSSLDSNCVYLIGDLRIDSGDEEYVEKLKMVSVIIGSLTIKNTAVTDLSFLGNLRKIGNLNESTSIIQITNNTNLLTIDSLKIDNFQFSKIIFHTMRYLFLTTPKPGTSTERWLGKESGDYYFYNGGPVENWDTRPDYIFSEETKQKTIASTELIDHIVSFIGLFLNLIHFIILTRKALRQNVVFIIIIGICVCDMQIFLTSITERLCGYRARRAPFEGFCETPKEYRYYYCEMSSKGLQTYGRFASAFLALSAAIIRILSASFPMSSLVDNWILNTFTGICIIVVTWTVCGYYYWQNYTDLYVQIDYDGGCITFPEQKYMDASIYDEGYFEYFLTALFIIVTFILLVTLGITYRRRMNLGIDKGSSTSMLVVMMAMSFLISEPINNIKFTMDDRYRFENGNKVKTQIMDMFEYVTKIVLHLIAIFHCFICFFLSSEYREVKEFTKPVTTSMGTSRTLRSSRS >CRE13608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:301495:307992:1 gene:WBGene00067570 transcript:CRE13608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13608 MRYLFLTTPKPGTSTERWLGKESGDYYFFNGGPMENWDTRPDYIFSEDTKQKTIASTELVDHIVSFIGLFLNLIHFIILTRKALRQNVVFIIIIGICVCDMQIFLTSITERLCGYRARRAPFEGFCETPKEYRYYYCEMHSKAFQTFGRLASAVLALSAAIIRTVSVLFPMSSFVDNLLKVRTGKRIFLVTWFACGVRYWQAYTDFGFWTDANSYNGRCMVAPEFGSKTSYIYQEGYIVMFLTVLYLIVTVFLLVALAMTQRRRKKLGNDKGSSTSMLVVMMAVSFLISESIYSIRFLIDNRYDLENQNKVIEQIIDMFNYVIKIILNLNSIFHCFICFFLSSQYREVVWKLLRLDKMKKKTFTEPATNSTAGPEKGMDSNDEWSDFDIDSDDHDNDVGKENEKSILTLSDIQEAMQSQIADIQSVFGMSNGECRVWLQKFNWGKEKVMEHFYENPDFKDSKESSGGPTEDQICDICCEETQLIGLHCNHLACLECWKAYLTEKIKEGKSQIGCIGSDCKQIIHDEKIQEFLEDPKILEGFVRNTVNAYVETSRCLIWCPGTNCGNAIKSLNQDPHHVTCSCGTRFCFSCGQNPHEPVTCALLKIWSKKCLKEQDNISGAEYSSDKETLHWVLSNTKDCPKCNTAIEKNGGCNKMTCRSAKCRYKFCWLCLKDWAVHGYGYCNVFIQDTEETRDSRADLLRFLFFYNRFKAHEQSLELEKKLRSKVSSQLEDFEGISCSDVKSLEKTIDILSESRHTLMYTYIFAYYLEQNNQSIIFESNQKDLEMATEQLSGYLEQNWDFTNKSDFHKILDKSRYVEHRRNVLLEHCAQGDGLELNTTGVSKRGRRNNASGFKRRRPWRMVVAVLAIVVLFIINFFLWRHIFYQSTPSEIEIEHMQTPLEYSSVD >CRE16380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig306:68089:69288:-1 gene:WBGene00067571 transcript:CRE16380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16380 MAKLFLGHLFQNLRILLVSNCVADAVYAVSRYMILIPLLVAYLFNTEISNISYCWFSKSLHHWVQAVHGLTFFVVVMERSLASFLYRTYESESYYQSGFILTGFQWSYALLVVVVNQVDLMGTPRVYPRLPCQIEYSTGRAIAGFVVAGFSLNIVAVLTFRKMVKFNRTLFRQRSFKLTSLTEIYQVWGL >CRE13012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:276738:279646:-1 gene:WBGene00067572 transcript:CRE13012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13012 MSSEYSLVTIPDVTLDKILEYCHFRAIILLRKVCHRIRNYIDQTVPDIHLTSLETSVYNKECIINYGSYGKVRYKDHEKGCEVELEHEGEPILVENGNFLDLFLSDLKFALSHQKSTLNLFNFVQGVEIEFDMIQFLERVSQILKEKPHGLPTQEFHMKARNQEEIMTILPYLKPKLLDTIWLFDALHLDPVETLKIDKLTELEQWKEAKTVGIPTFFISPSELEHFVHLEMFLLFAETISQDDLEFLRKSLIKSPSFDNFNLSYKNLKPEDLLLGPPFVDDSQDVWFFKTSDFKRILAIVHEKVDEPHFSFTPVDESKVPEAPDACTPRTNSTILYAYSNDLDAYDVNMLQSDIVFFNRDIFYGYTTFANVRFDVKHEEEIQYHSDVYSFNASVTAHMPNSSLSYGDSATGSDVFNVLKRYLENDQATLCGSIVYIVAKRYPNQVDLTSLITQLRANHVFVYVVAHAVPSGGNNPHALFEVASKTNGFCVFNSGANFWISVNDLGGVNYRKYQFFSQNYAVTGSGRIELPLFTPNPTKYSEQMLVVITVADHKIDNTFISLNYTIESVDGSFVWTGPDIGSGYPRFGTGILAQPQLNGSTDYKLTIDYHYATDQQQVIETRLHSNFYHDFVPFAN >CRE13011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:273029:274549:-1 gene:WBGene00067573 transcript:CRE13011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13011 MPPDQQMCKVCELPAHGMHFGVMTCRPCAAFFRRFVVLNLDYVCLQDKEKCNLINVRRNSCRQCRFNKCVQVGMTAENVQLNRDAHSNNTRMKNIKTQDLKSEEDTICSNKPSTSLMPVVKIQDKLYTKSILSEIQYDNLYKDMHEVFMSDTPSLTHGYFTSLTPLYRFVEGLQLIRKLQEKDEIKFENRLTMETLVPHWRAQAKRTATLTMHSMAFRTLPLTEASRIYKTVWKNIYRLERIQMSTEIFGGKCVSEKKLAISCERAIHLDSLFFDIEGMSENDCKLSLEDYKTFAERCVEEVAKPLSQLYPSIEEVAFLILMFVLHNEESIKGESLEICDQFRDSIADDLHKYYQKNEVVNYAQRIAKMMEIIIAMKKIHYEDRGGGFITNTKNSKLGITNKPTGCIY >CRE13010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:267850:269142:-1 gene:WBGene00067574 transcript:CRE13010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13010 MDFLIGNTKLSSGMLSLNTTNGSEDALAEYMEQLNDMIVPLLTILIILGLFFMIIFLLSLGLIPSFLRRHRGNRENWMKFAEFPIINHSYHVIRTYYVGYVGSMFIIGPILGFAFVNFNIKMWPLLLVAFVLAIICFVCCYIVQLFTQVYLVTIAYELVGLCKNKDDLKDKHIEHEQRVKRSWIKKLYLIFIARDFLLMPALIIYDVVHIYQEMATPGLTMENVFVSQIVGMASELLNNKHNSFKVQIISAIIYLMVPMATLYSIIMKISSNEISEISENPLRRLMFVQGVVISSLIMVRFTNQKYFNFKLQIIIVAFGILFIMDHRRSFNILIYGFQATGLMLPLQIQMTTLIVCKKADSEASANQIAPIAPPAYSEKSNNDLI >CRE12949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:265457:266254:1 gene:WBGene00067575 transcript:CRE12949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12949 MALRKSCHSLRSLIDVIKPDMRFTVIKLEICSQNVKLKMLSTRGNFWIHIKYKNVEKGCTVLLYYNGNLYETLLENEHFMSVFCVDFGTILYFQKSIIEKFKVRLDHHPNYSNEWDSELNIISDPFLVWFSSLLKSRSQQLKVKKIEFEIRAPAEIMSILPFIDSKSIETIWITSDKETWNGFKKDKLDITEIVGLDHWKNAKEVDIDHFLLPVSSHHFEHFVKANFFLNNSNQIIVSLVITLR >CRE13009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:263230:264474:-1 gene:WBGene00067576 transcript:CRE13009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13009 METPDPVDSYDYTYSDDYYVYVAPPEASENFICYSETINFFLQCIAILVSIFHVVVLLQKSVRAASIFIIMAGICVSDILNFSIDLCLDGAWFPKIYKISTIDCLREDYTIFNLTYEFLKGILNSTRPIPVFLAIFMENIRLLTLMFPLRPWSQKLTSRKVTVFQIMFIVVFWIAYYFWNWCFLSSLWYPNNLCNDTELRMYSKNVTEYVIALPTRISDLVETRESMEHWVRIIPTIFYPILTAQLIHELKVINKQRKSKHFHEDHEHDNLPKLIFFMTISFMLSEGVDGVRTMIMVGMYNWKDEFPVVRKVLLSSHNIVKTLRCLNVISHPFICYAMSTQYRNTVKRMCGCKVATVKITPKAELRNNTSRSSLGSTSRTIRP >CRE13003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:243264:246446:-1 gene:WBGene00067577 transcript:CRE13003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13003 MAHSQLRLAHVSSDEDNRPPDIRGPARRFPPRHVPPRTPDIKEVNIKYETFFGVTGGFTWNDIRRFVVLESDWPVQMLPRSIFDGSSLRLFVWAGKRPTPTKHWEQVVIRLGPAFNHPDIQSFFLRYARAVLEPSKKGLSRRCPLCASMILCFAVYDHGMKECPIRKLSTVLRFRFLCVNSVAFCQRCNSRSTTHYECTPLPCQRCDSNQHTTATGFCLPDAPPELESVDEYRIRYNAQIVRRQHYTSVRELARSGLLEYRLTSDTPYTEFLGAQQPLRGEIRGLYLYVDSVPPEFPPIADWAYTDEIVEYPSMVNPEFHHDRQDRIPRFDFESVRYLEAIGEVVNALRANPDAERTIQLPNPPAVDRIPTFQRRIPAPLEMNQIPPVQNQQRVRPAVEIMPHPAPMPEPARPPPAVSLETHNRAAALGIQTTPRNGARANGNGRGGSQQRHRTRTPPPPMFDHPDDQPSSSNQVGFRARGSDLDIYPSPSAIFQRETYEATSGQWSDLMNQRDEEENRANSVLQFNSIASQHASSEVTIREPTAPAGRQQGPADIQALTDQIEHPAVSIQPEHSSLEETNGRISSASNGSNNTGHSGNSQDSRENAETQLSNQRESILEEEVKATAVTWCHEPMRVKGVPVRPTLQIKAFNSILDLGETQGIPALVVRIRTLQFVLTAQEDTRMEVFSQCSITTLRGYYQMLIDIGKVLVHAPLIVAKLEKTSKKDIFAKPKPGRDILSIPTLDLWLNGVVANTMRVIAGTHEFGPARAIHTFRPAERRGWFSLPPLATNNGDSEQQIAEEDRCFRTYQPVPASVGHDVEQMIAAARERLPTSLQALSRRIDWLSNFLSSDNTMESYEITNQNKINYVSLLRAVLNIKIYILVNLKKKVAVNGYYCAQELAAGAALGHAIVVPTPSLFAEFQWLQWQAFLQLAWQQVVDILMEETQECDCNTPVLQEGPAQ >CRE13002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:234135:241276:-1 gene:WBGene00067578 transcript:CRE13002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13002 MSSYVSIGRMEIEIEVLDLLAYEFALRSSSSGPMTSSAARNVSLMEYEYEDRPRTETVAHRAQIWRLEQENRRLEREAAQHLRAQHEDTVRRLAQEKQDLKYRESLQAELIRAEALDRQCARRLQQMIVEREAEERANKTLPAAQTNMIEKTQTTNVTQSKISPPGAQTQIVTVSKPPAETAPIAAPIKQQQQRSMFSTTNFISNPIVDAYHMHSQPPVVTQNIDLRHVLNAVNNIAEAQVASERNILQQTTHMVQDMEQRLGAQIHERAESIRSRATSRSHPQSSASSESSFMRRYERRDRSESETETEHSPPPRSQRSRRCNAENRSESPRRQGDGLKVDTLIRFLHKFDGSGDLELFQTLYNKFIMSNRDLSAEVKYAVLLNHITGPAQKCVSRAQDTVLAIATTFASLNKVYGKVNSKHNLLQKLQQLPFNQSNPEAMRLDAAAMSVVIQQMTDRGVPADDYMTMWTIAAKLPEDLRKSLARFSVKMGDSLTHEMVLDRISRDIETLAMEQIYTSQVNHHPLNELPTSYASVNFANANSNSSSRISPANIPQNTLTQLPKQNWKATMPQGQKAYISKSFLVHSPIQRRKIRNAELVMENTTKFVVHYQAPNSEVNVNKETSVPTVPENTTSLNVAHNTVVDTVMACITWEAAHSKNTTETRRTIPPKPNRLKRFFVPTTSTNLSRGITAGTESNVPNSVSQADLPTALLKNPLIKTWSVRSTNPVSKNCSSTTIRGIANPKTIEYFKNLIKNDYPEEPDSDKLALLLFTKFLARSQPHQVHFTHARDDFGRLTFVCLETARGQPLLALVDSGASLSLILEASARKLSLTILQETQLTVQSFNSVSSSKTNIYALEFSLLVPKTPLSIMIVGSPNLPNTKFAAPIFSPEDSLYLKNSSIDMSRVLSSVHHNGQKIDMILGNDMLSWISAQPDYHKHILPSGRALEQTQLGIIVHPVPRLILWHKSRVPPLYEEYQLSINIATVLMDSSEPEDAMTKLTHQIAQFWRVENLGIENVTVSESTKKATIDLLQVFYKTVKFNKEGKPEVALPYNGNELRLADNYSVAYKRFISLVATLKKGKNLLNIYNGIIVGQEIAGFIEKVTTAMMKTKGPKYTIPHRGVVKEDSLTTKLRIVLDASSHARGELSLNDCLHAGTNMIIPIYGILLRMRCPRYILVGDIEKAFHQVPLQEEFRNVTMFIWLKDPSRPADDDNIQLYRFKVIPFGVSSSPFLLAAYIVFNLDNNPHDLNNEIKENLYVDNCLFCTNDKSEIASKIKGTKLIFQKMGMNLREYIVNDPDTMQSLPPAERAQSSVIKLLGYRWDSVNDTITIKIAKLDIDHPTKREVASKLAETFDPLGLVTPLMVPFKRLMQKVWLKSDTNWKDKIPKELLSDWRTLCNIFIDREIVVPRQLTTNYENSELHLLLFSDASQDIYGACCYAYFAVNGKPPTVTLFTSKNKIRPSKNENWTIPKLELLGIQCASNLACAVVAELKVKVTSIKLFTDSACAIYWILSEKNTRLWVANRIKTLQDNRNRMKECGIETTIHHCPTKENPADLATRGMSTTELQNSKLWFEGPSFLKEDPSDWPCMIEGKVTCPAEFQELVYAEIIDPVTKKKKKPLMEKKTAPAEKVPEEIDPAETVMAANATISRPGSFIPYTATNSLPKLCKIVVQILKTFSKTLKSKSWDSYVMKQFHSADCPLHQLKVARLLIISEHYKDCEFQGYTFPPDIEYHTDNDGLRRVHRRIESPVLPQEASEPILIHPRHPLAKLVALETHEINGHMPETYTASAVKTRYWIPKLGSILNNIIRECVQCQKVNNFPFAYPYTKTLPRCRTTPSKPFSKVGLDYLGPIVYKKDDNRKTGKAYILVYTCLTTRGVVLRVVPDGTSQMYILTLKMIFHEYGVPKTIFSDNASTFKLSGSMINRDIREATYSHSLVEFLAAEVIDFKFITPLAPWQGGIYERVVKLVKIQLTKECGTRTYDYFSLQYIVSSAQSMVNNRPLIPHSRSPKDMIALRPIDFIAPGVMLEVPAGEANSGALPQSTEATVRAHLNKLEQAVDRLWEIWSTGYLLHLRENVHKKKRSSLLRPAVGQMVIIVTKLIKRHKWPLGVIVHVEKSQRDGQIRSAIVKCRGKLYSRAVCQLIPLELNPLNRPNIAAEDETDDAQDDSPHELPAPAVLTNPDMTYAPELFPSKDLPNIAEAENPIQNSDPNNSNQNIPLNLNIDELENLDDTDFELNQSRLVDGGIYTDPQTVIPPDVTDEDIAELPTGRVREFLSRKAKSKPINYVHVAEVQSPAVTSPPGSVAKDPPLGTPLLGYQSQSDGPLWFSPQN >CRE12946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:223619:224983:1 gene:WBGene00067579 transcript:CRE12946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-33 description:CRE-SRSX-33 protein [Source:UniProtKB/TrEMBL;Acc:E3N163] MISEIPPPLIPIALFYCLCATVSLAGNMIMIICFFREPKFKSPCHYLITLTCAADMLHLCGHFVFNFQLFFEGPGSQELCFWLLLPSCIGLCMSGPLLLSLGIDRFLACQFPVIYRQLCSRSVTYLLLQLAGPIGYTIYITMSSYTQRDSKTLVICQVPLALSGSSFEEFNTGGFIINVGVVIIYFVTFLKLRSLSVHESQLKVVFKSILYTVIFVIFGWCTVTLVNILCIHLVADIDSQHIMMIYAGIGLNMACASNVFVFYKINSDYRLAIQKLLKIKILDKVYPMTSIIGSVTNGDSQKY >CRE12997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:217055:218710:-1 gene:WBGene00067580 transcript:CRE12997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12997 MPSAAASEPLTSNRRNRMHQSGQRRPRSDISPIEKYLKKFGYGFLVLLICGFLALGLATILWPFLENYLFQSGFLSTTTTSSSQPVLIFTSSTSIPSPTSTERPSATPSITRRPFTASSPRSTNSPTIFPCNTRIVGFYDGSSDRDVTDSQLRKLTHIVFGYIKMNSMGSLYFASYQEKEKLDNIVKRARFLKPALKVMVSIGGAIDYQHIPVVAADEGKRKYLARSIKEFIKHHKVDGVDIFWKWPTKNENREAFVLMLQEIRNVLDEISNPENPYLLTAVTPNYDWPWYRDTLDLNGVIGLVDFVNVWSVRYYGFFDSKWGAYTGPPSPLYSGLGEYENSNVDWTMKLYTCTSKQPGKVNMGVPFFGAYWKNVEGPIDAKGEMWFTAKPRTEGKFDFDGDYISWRDMEPFGWNLSSTKWHQETKTPYIWDPEKNEFLGFENPRSLKEKAQYTIDKNLGGITIWSLEMDDDGDTLLDSINTKDFCSNRRTNTINYSCDNK >CRE12995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:212870:214391:-1 gene:WBGene00067581 transcript:CRE12995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12995 MSGAQQVRYMNIASAQDAPPAYNHCPPEESLIMISVEKEIRAVSLRHTRNLLIKKYTTGFLIGFLFCVIVFLISGALWKKFETTNGSPFCNKRVIGYYHGGNNRKITEKQIKKLTHIIFDGVYVNSYNTMEFESDEQRLSFLDMKNKVRTMKSDVKIMVSIDYRPALITDSEKRRFVFNSLSYKVFLNFSEWIRSITNFILEQQLDGVQLTKGTPKSPQENENYIFFIRELRYKLEKLEKDSLRKIPYIVSVMAPPFSQSQLIIHDFFKYVDFLELEIEDPLQLHEITPPIPPLYSTSSNRSIDWTMNAFSCETGEPSKLNIIIPFRGIHWIQTSKAVVTENDEIYRIVAKNGASYEVPWKNIEIFGLNETNAKWHSESMTPYISEPENRELFLFENERSVIEKMKYVRDQNIGGVIIDKLDYDDDWNTLLNAVSSVDLCGGGEQKSDKDKVMYNC >CRE12945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:209341:210692:1 gene:WBGene00067582 transcript:CRE12945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12945 MASPGNYLIWITIAHLVPQTGFFASISLGVILLVLNFCGAQKMFGSYKYIISAFTLLGMMFATMEIMVYPNLHNYKAGFIYFTFNKPFGIDNLSWRSFFLGSYTFFYSATVALLSVQFIYRYRAIFDVEKLRFFKGVYWLIWPVFCTFFGLQYALGAYCFLKMNDISTEYFREELLIRYDTNVSEIPSMSLWNLMGIANIFVIVNLQYGIMIHCGWSMHTQMEDKIANFSDALKKHHKQFFKTLVLQITAPTLILFIPISFINFVPIFNLDISLPSGALLCCFTLYPAMDSIIVMCVVSEYRKTAEKIHKAVRRMLEDICGSGNGTGAVTTTNTTSERPFSRRSAKVEQQPVGLQN >CRE12994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:207120:207940:-1 gene:WBGene00067583 transcript:CRE12994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12994 MHFNTSSQYSCERKAIGYHTGLKNHLLSNDQVELLSHIVFNGIHIENNGRIVIQNDQSRSLLLDLQFKVRALKSNLKVIISTKYELDYLTEMLKNPARRKVWIDFIFWFINEYEVDGLEFSSLVRGTKEEKENFDFISRELNLEFENFQKQTKREEPYLISLVDRNSNSFMEEASLPPIFFTRSNGTIDGTMAAYVCSDTALRQILFTNPVVGYPEIGHNSSAFIFSYVKYDFSNMRLFNKFV >CRE12944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:205527:206821:1 gene:WBGene00067584 transcript:CRE12944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12944 MPENVMLKISEAVGFPSIFTLRKTCHDYRNFIDDKIPNINLTGIVIYVHPQRIYWCYEISGDKVFILYNNHPDGCVFEHKPHEIFLRDEDFISLFLRDFELFIKHQKSTLEQFHFNSGCLTNEEEFHHFISPILDKTMKILKSRSCPLKVKGFTMSAFRQEHVMSILPFLDANLLKSISMEHTDYEAFENNETVMKLNEIMELPQWRNATNLEIMHLYVTEPVENFFGFAKVAIWKKSVTGNDFLSVKEKFLSPNNQTEEFIIFYEVFFDGQILGDCITDDCGDQHWYYRTENKEKLLTIFKNNLHKKLIVSFIGRSDVPENAVVLA >CRE12993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:203560:205140:-1 gene:WBGene00067585 transcript:CRE12993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12993 MNFYSDYHEERNEFQREPFDIFWETNSHGLLSIKDCNRPMRPLYRRGASPLPMNRRQRKISFLNSCLVGAGIGFFLFVIFISGWYLYRHMGFIEKPNSTSPPILMSVDSLFKVPDDKPAHNPQNRIVGYYKAWDSQVITEYQMSKLTHVIFKYLVMNSNQTLAFKNNLEEMKFFDLMKRRSQSPELKIMVSIEITGGRKKEEDFNTVIKSIVSFILNHQLDGVDIDWRYPTPVDIPRQVDFVKSLREAFPNPQSYIISMTASGLEWKYKYRIKLKELLVHVDFFNVLSFDYYTSSITGPPAPLFSGVGEYRGYNVDRTMKYFACETGAPGKLNMGVPFYGTLWRNVGASPIDNLDEMWRVANGTSHIPWRLLKKGILKASWNKNAMTPYVWNAKHKTLLGFENQKSLEKKMVYAKKKNLGGIVIESIGGDDNENSLLAVIAEQRFIVERNDVNFKC >CRE12992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:201816:202805:-1 gene:WBGene00067586 transcript:CRE12992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12992 MDSFTIAVALAIGAFALYLRNFFSQPSIKPKPPILKKDFKKDTVYLYQYARLRKCPHLSPFCMKIDMLCRVYNIPFEVVVCTSERSRNGLLPFIELNGEHIADSSLIEMRLKSHFKIQPLQGELEAQSVALSKLVDTHLFLKNYPLIFSFSILVRFKIAVQEYYEVLYSCIGLPAFLSFIMIPILKSTIGKSVYTKSAGAIGEFEWDELDQFLHKDLEAIQTLMKGKFLLGDNISSADITLFSHLATVYYPLRCHVSDVLEKDFPKILEYCERVRKEVYPNDFTL >CRE12991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:200728:201608:-1 gene:WBGene00067587 transcript:CRE12991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12991 MTGIKETTQNFLNITSLPSNLLTMIGFLTILAFTTSGAFAIIADDFSCSSGGVYTSGATSCSNNMADASCAVFYKESAPGVGFPAAGNSVQRPFACYTTGNAPGPINEDLRKMAITNCPKTCGLCCATSAYNCTNVQFPRINCATIQPSQCLSSIWRETIAQDCPSACGFCNEGGCVDAVTDCAVSMCNQIGFQEFVNQNCKRTCARCGASPSNPSNPPAPCNSYPVDTSSSCAAWANNGFCKNDFYKDVVRQYCATTCKVC >CRE12943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:199640:200306:1 gene:WBGene00067588 transcript:CRE12943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12943 MLAFLSLFIFYPPQALATIVDDFSCSSQGVYTFSATACSNVWPDNICDSFFPETTTGAGYPQAGNSAPRPFKCYSGTEIGGTESQALKKQAIASCPRTCGMCCLTSAFNCTNSPSPRLNCETIQPRQCVSPVWREVIAQDCPTACGFCDYYSPPKVTVDPNVPCLNPDKTDACTTWAALGFCTSELFTYAQKKEYCSNTCKIC >CRE12988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:192841:194088:-1 gene:WBGene00067589 transcript:CRE12988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12988 MPENVLLKITEAVGFPSIFTLRKTCHDYRKFIDDKTPNSNLTGIFIRVHPKRMYLYYEISGERQQIVYKKHPDGCVVNHNAHEMFLRNEDFIPLFFRDFELIIKHQKSALEHFHFHSGCLTNEKELQQYISSIFAKTMNILKSRPRPLKVKEFKIDDCEHEHVMSILPFLDANLLTNISMKFDYVTFVVDGTVMKLNEIMSLPQWKNATNLDMSYLYVTESFQAFFGFKRVVICKQSVTGTNLLPVKEKFLSPNNQTEEFSIFYLTFVDGQILGDSITDHCGDQHWYYRSKNNGKILKISKIIWTKTLTVSFIESSDVPENALVLA >CRE12987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:190900:191981:-1 gene:WBGene00067590 transcript:CRE12987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12987 MLKITEAVGFPSIFTFRKVCSDYRNFIDDKTPNISVTGITIHVYPQRMYLYYKISGNEKTLILYKKHPDGCAVNHNDREHVMENEEVLSVFLRDFEFFIKHQKSALEQFHFHSLYLPNEEEFHHLISPILHKTIKILKSRMKLKELLVHVDFLNILSFDYYTTSITGPPAPLFSGVGEYRGYNVDRTMKYFACETGAPGKLNMGVPFYGTHWKNVGASPIDKSDEMWRTANGTTHIPWRLLRKGILKASWNKNAMTSYVWNAKHRILLGFENQKSLEKKMIYARKKNLGGIVIESIGGDDNENSLLAVIAEQRFNVESNDVNLQC >CRE12986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:189192:190185:-1 gene:WBGene00067591 transcript:CRE12986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12986 MDSFTIAGALAIGAFALYLRNFFSQPSIKPKPPILKKDFKKDTVYLYQYARLKKCPHLSPFCMKIDMLCRVYNIPFEVVVCTSERSRNGLLPFIELNGEHIADSSLIEMRLKSHFKIQPLQGELEAQSVALSKLVDTHLFFILVRFKIAVQEYYEVLYSCIGLPRFLSFIMIPILKATIGKSVYTKSAGAIGEFEWDELDQFLHKDLQAIQTLMKGKYLLGDTISSADITLFSHLATVYYPLRCHVSDVLEKDFPKILEYCERIRKEVYPNDFTL >CRE12985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:188104:188984:-1 gene:WBGene00067592 transcript:CRE12985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12985 MTGIKETTQNFLNITSLPSNLLTMIGFLTILAFTTSGAFAIIADDFSCSSGGVYTSGATSCSNNMADASCAVFYKESAPGVGFPAAGNSVQRPFACYTTGNAPGPINEDLRKMAITNCPKTCGLCCATSAYNCTNVQFPRINCATIQPSQCLSSIWRETIAQDCPSACGFCNEGGCVDAVTDCAVSMCNQIGFQEFVNQNCKRTCARCGASPSNPSNPPAPCNSYPVDTSSSCAAWANNGFCKNDFYKDVVRQYCATTCKVC >CRE12942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:187016:187682:1 gene:WBGene00067593 transcript:CRE12942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12942 MLAFLSLFIFYPPQALAAIVDDFSCSSQGVYTFSATACSNVWPDNICDSFFPETTTGAGYPQAGNSAPRPFKCYSGTEIGGTESQALKKQAIASCPRTCGMCCLTSAFNCTNSPSPRLNCETIQPRQCVSPVWREVIAQDCPTACGFCDYYSPPKVTVDPNVPCLNPDKTDACTTWAALGFCTSELFTYAQKKEYCSNTCKIC >CRE12983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:183891:185088:-1 gene:WBGene00067594 transcript:CRE12983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12983 MPENVMLKITEAVGFPSIFTLRKTCHDYRNFIDDKTPNINLTGIFIHVHPKRMYLYYDISGKKRILILYNSHPDGCVINHKSHEMFLRNEDFISLFLKDFELFIKHQKSTLEQFHFYSGCLTNEEEFHHFISPIFARTEKILKSRPRPLRVKEFKMSAFRQEHVMSILPILDANLLTNISMDHTDYGAFEKNDTVIKLNEITELPQWKNVTNLDISHLYVTEPVEKFLGFTKVTIWKKSVSGNDLLSIKKKFLSPNNQTEEFTICYQVFVNSQILGDCITAECGNQDWYYQTDQYNKILAISKTNWCNTLAVSFIERSDVPENAVLLA >CRE12982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:181944:183272:-1 gene:WBGene00067595 transcript:CRE12982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12982 MPENVLLKITEAVGFPSIFTLRKTCHNYRNFIDDKTPNSNLTGIFIRVHPKRMYFYYEISGERLRQQIVYNKHSDGCVVNHNAHEMFLRNEDFISVFFRDFELTIKHQKSALEQFHFHSGGMANEEEFQQYISSIFAKTMNILKSRSRPLKVKEFKIYDCEQEHVMSILPFLDANLLTNISMGFDYVDFVVDETIMKLNEVMSLPQWKNATNLDMSYLYVTESFQAFFGFTKVVICKQSVTGNDLLSVKEKFLSPNNQTEEFSIFYLTFVDGQILGDSITDHCGDQHWYYRSKNNGKILKISKIIWTKTLTVSFIESSDVPENALVLA >CRE12980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:178954:180065:-1 gene:WBGene00067596 transcript:CRE12980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12980 MPENVMLKITEAVGFPSIFTLRKVCRGYRNFIDDQIPSINLTDIIIHVHQQRMYLYYDIPLNKKLILYKNHPAGCVVNHEGREYVMKNEEFLSVFLRDFELIMKHQNSALEQFHFDSGSMTNDEEFRQYISSIFAKIKKILKSRPRPLKVKEFAMSIFRQEDVMSILPFLDANLLTSISMFHPNYGAFVMKETAVELNEVVALAQWRNAKHLNISYLYVTEPVENLFGFTKIKICIKVVSGNDLFLIKEKFMSPDNQIEEFLISYKELVDTQLLGECISTEHGDQIWYYRTYHYNKILTISKINWTKKITVSFIDRSAVSENVLIQ >CRE12941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:177912:178897:1 gene:WBGene00067597 transcript:CRE12941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12941 MVSESYLMNIPELVMNIILDKLDFKSVQTLRKVCRDLRDFIDDQKPDPRIEEITVWALESGDLKFSYKNLQAEVFLCYQTRDGGESVLVYEDVARILKGEENLLKIAFKDFETILKHQSSTLKVLKLHSNHFDNVLDHLKTVLESRNHRLKVETLVTDQVMKILPFLDPDFLLELNLFGSTDHPIRTLEILEIDELAELEIWCKIKELSIWDCYVPSVSLQSFTHFSKCSIDVELVHLEDVLLLKETLNFI >CRE12937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:165074:171704:1 gene:WBGene00067598 transcript:CRE12937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12937 MEEELPVDIFRRIVSFSTNSRTILNLWELNEAAQETIKKEIRNLSKKSAIPLDIRQFFSESNPFNWEFFDFENKEHKKRLHDKIRVLARLLEKLLKTGNMFQIENLNAAALGFQAESDEQYLPRAPFMLQKKLMHILSNFQSPSISISPVFMRPSRMIRTFRDRFDQITNLDLGNMKSLAACQLRSQVLPSLVNLQNFSWSHANHSILHKIPNKENLKSLDLTFHIIGRPRFPEFDFLKEFINLEKIYLGFTVPHKPSRMEMDVLLNLYQAIWSRKKGNLLENYPNLTEIGFWNVPEKIFQQLSKRGLPVETLNFGAINQSLTKLCSFDSVLQAFFKFEELEQALEPPRIKNLNMNLHTMPAVGLDYYLPNLMNRVNQLPDLRTVSLLFKCIFHAQMTSSWSMETKKEKSSRRRPLIDAHYTRFELHSEGLCGLIDLDRQLPPSLESCSISLNLPAETGLKVMPSVMDFIEKLGSFNDFPELVEFHIQILGVKCFEKLVHKIGDHLGPHLRRVSIYAPLKFTEKEAAKRLMTRIAELFPQATEISLSTDLFKILLTEKSICSPQWPQKIAKLARKYEFNMEKCRISTGRLPRNSMNFVCKGEEPRDLTEEEEERIIEELEETVMEGGVEMLEDNDWIVTDDEECDDEEEDTGLENTTIVYESEEDELDDLERKLEKESDRRHSKWQKKRNQVAAFSDESDGDEDEDKENQNEEEEEDHEDVVDWDKLSEDGEEEDEGNRHGMIDDEAIESDGEESVEDEEEEEEGDELESLDDSDDERLFENGPSRKRQIERQKLNAKRRKIVISDDEDEE >CRE12936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:163312:163797:1 gene:WBGene00067599 transcript:CRE12936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12936 MPVYHSVVVEADGKDNGKTLYIMPRDKPEKPQKKKRLGTFAKVLLGGAIVLILIFLIASLGQYLYEAFGPEPDKSMEKKTFENTHKEFQPNEKSEISRFLTSKFTIQAVAVVLLAILGKFFWGEDDD >CRE12935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:154228:159425:1 gene:WBGene00067600 transcript:CRE12935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12935 MRPVFPLFLVLFGPCLAVKPVWKTPVSEPNPNANVDELKGHSNVAKRAQPNYYTRPEQVSIPDYRSPRQRLPSSPIHQKYQQVPPHQITSGGSFRPFAWVGPQPKAGSPQTSYYEKAPNKGQFPQGLPPLPPNWQQYPVQMIWIPDTPGQTTGPYPYYPGPGPVDCSSGDFKSFFLFSGTLAHSPAVNPVTSTIPYEPPNPYGGRQKEVSPSSTPSDSGTYPSAPTSYPSTEDTTNPYEQSRSTGQDHTSSNNPTASIPLGSSFGKTVNPTIRPGPQEPSGGGGTTVKTRLPLDADETRDKELESNGRNSEKTKPTKATPIPTRPNSGNSGNTPSTRTAGIPATSSRPQDSRDKETTLFEMTTNPFGETIGGKTVPPIYLGSDEEDEEPPEDISKVTETPESSEHTQIPGSPGSQSTPGGRVTESPESSESGDDDRERETSSSETATPTPQEEEDEMMKNFFPRPVSSQAYQPPGHQPEDFTSHINVNFKKPKGKGSCVTPPCRGVDTDKISLYKPDRDNEGSGPTLVISNAAKDDASRAGYKPAPPPVNPYIPPPPPPHPEEEYATPYTVPPGKQPFPPFGGPATPPRALIPAHNFPPPSTFPPDEDYHETTADTIHPTAQTIATRFVAPTTTTTPRPVESTTTEEDTPEYVPPAIVHMTASTPRGKNPPTYVPLHPETSASTQNPTTPRERGETQTPASITRQPEFPSRATPPAGGNFPPGSTTTVSNPTTNGFITEVYTDRERTDSGESFLTSTPSSSNSETPSSGAFSTSSSTPAQTTTGGWIYTTTESSSTTTPESDYSTASKSLLIASLLLLVNPRSPPRRLLEYSLPPQTNLKPSPQRIASDHLNSLRRRQRLRLHKLHKLSPPAFRQVKAPPQESSRTPIRHVETHMPLQKAHQEFPIVLLVSVSVYLSVYIHANFLFFPGKPRILCLEDGISFEVKTILPLSGEVFANDRKRIPECQKTFTEDAKPKVFLPFSTCGVKNVGEQVDSRAQYHMQVVLIIDQGNGTNTLQSFMAQCVHQKVNYNKQVLPKRMEEALEELRLVPSKLEQKASMPSVQMQIVVDEGHHKLGAEVSAADIGMPLALKWSMVPESDAYGMHIRNCKVVDAVGKIDHTLIDEQGCSADLQIIDHPHYDTYHDTASAHMWAFKVPDMSSLQIKCDILICSNIKSSVTNTTSCEDIPSPPFCADVVTSPPNSILSDASSFIKHRRASVTSSEVSTSTQSVRTSICLSKTCRPDFSEEVRICVNTQLATTSTGLSVAFLLFAISFQIIARTKQP >CRE12934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:150974:153506:1 gene:WBGene00067602 transcript:CRE12934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12934 MRTLSIFFFACISSSVLGQINDTTRQIVQEALFEALNSVDSAFSSEPSVADGEIVSSQIANEESKKLQFTGDVLEVATQLLVQKYGVGILPAANQVLEELNAGETVARKKRSVDSDEQEDSEEAESFVGGLRQKRQSRGKRQGGGGGGRGGGGRGGSGDQDGRKKRNSGENTSGGPGSTEYSNPTSNQTCQGPPKSCSDPVHDRIRSITGYCNNRGKPTQANSVTSIRRLLGTTSYTDGLGTIRSKSITGAALPSTRLISNKLHDEGSTPNFSPSVNHLHMQIGQFIAHDIIFMPSSTAKDGSSLNCTSCSSPTTVSTNCAPIPAPADDKYFKPVSSSEARCIRLTRALNGQSGFGVRTQIDQNSHYLDMSSVYGSSDCEARTVRSFSNGLLKTNTASGYVLPPQAPNDTNCQSKNPYYCFTAGDFRNCLHPGLLPLHVIFIKEHNRLAAKVKTAQPSWNDEQIYQFVRKIMIGQWQHIVYNEYLPKLLTDKYLTDFNLKTMKPGAGAFRGYDAGMDAALSGEFAAAAFRFGHSQSRQDFARQDAANKTIGAYDLGYNIFYSDQVYQKSLGGWETMLMGLIKTAAMTVDRYFSFPIRNQLFEIRGKNASGVDLIAVNIMRGRDVGLMPYVKYRSLVGLPAVNTWNDMASTFSAANLAALKTVYADPADVDLYSGLVMETPLAGGQLGPTASWIIAEQFRALKTGDRFYYENQVTNTAAFTPDQIDAIRRVKLAKIFCENTDIITSINTDMFDLNSSQVACSSIPDLDLRLFF >CRE12977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:139081:143222:-1 gene:WBGene00067603 transcript:CRE12977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12977 description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:E3N129] MSFEHRDRMLEGIGWKARGDRVPEFPNPRPFFPRLKHSIKLIPLFVRVALHTFVEWWNGREAFIDIFNVFRHFTYTGVPLGGIGSGSIGTDFRGGFNRFSIIPGIKEQTETQKCNQFIASVHSKKTFELIYQSILSCAEFPATVLPKWDTTIPAEDVRYRGLFPRGWQEFRLGSSGITVVIESLSSVIPGDYSDSSLPLANFDFHVFNDSPEEVEVSITLAFRNGTGNRKWNDEHLCQSQKVQRDTMVVRTLSHTVKGMPVTYAIGTEEKNGAKVTTCLFDPNGTGGRLWSDLEAYGHLSSYDHLPPKPKELGIAVCSSFFVPPDGSHTTQFSLSWYMPQVHFGTAERFYNRRYCRFFNGPDADEVAASICRHGLQNISKWQEAIEKWQTPVINDEKLPDWYRSAIFNELYYIVDGSTVWFEYDPEWKTDESLISEHTEKHFKEYGRFGYMECEFFSLVELRTLFFFLTAWEYFMLNTYDVHFYSSWAILKNWPQIEMSMQLDFADQVDRIDNGTATSLADGEQMSIKSYDRIPHDMGHPTKYCIQEHRLSVADPWLHTNAYILHDTGCWKDLNLKFVISCYRDWKMISNGDQEILEFFIGKCTKIVDGALESWDKDQDGMIENDGFADQTYDVWKMTGTSAYCGSLWLAALTCYIQMLKKAGSPTKFYDEKLLMAYEAYTTKLWNGKFFKFDELPDNSKIVMADQLCGFWALTAMDEPVQVSEEKMKSALETIFKYNVQMYDGGKCGAVNGYLTSERVDGSSIQSEEVWAGITYALSAMMIEKGMDEMAFKTSEGLFDSIWNRFPLQFQTPEAITSDGMYRALGYMRPLSIWAIQHALEKRNRK >CRE12933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:137783:139016:1 gene:WBGene00067604 transcript:CRE12933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12933 MESSRPLAYESLKNILKHLSIDTRNKLRHNYPEIRTAESSAPLKIERLEFHNGEIVIDNRKYRFYKSICPMNENPASSKNRQDFDYDDIDEIGEMENFVDSMTPGDVLISTHRPNLARRIPDLFSHYIKYVFENLKTGYTNTKYLKNTRVRTCLKNIAVKMLGGRSSTIQVKNLVNGVHDGVISLPENLKLQVQNLSTNYAGFIEAFAPILDPDFPLESLNLGNVFTDSPWLQHNLTKKAKILKFGPLMRPHHEGLPPLSNYGIDLRAPWNVSNAEDVIGIVKRWMKSDRENGSFFKTTICRANHETVREVLKSELGAISKIIEMHEVNCPEHQTVECQSLQINELLSLIVYWIPLEDQFKGDLHIKVVDAV >CRE12976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:134670:136402:-1 gene:WBGene00067605 transcript:CRE12976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cysl-4 description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:E3N127] MSRQTMVADGGETIGNTPLVLLRNIPKGTDARIAVKIEYLNPSCSVKDRIAKSMVEEAEKAGRIVPGRTVLVEGTSGNLGIALAHIGKIRGYKVILVMPETMSVERRAMLRAYGAEVILSDPMEGHPGVIKKVEMLCEKLPNAICLDQFSNPANPAAHYRTTGPEIWRQTDGKVDMVCFGVGSSGTLTGVGRYLRQQNPDIKIYPVEPYESSVLSGFPRGKHRIQGIGAGIIPGNVDRSLFTEVLRVTSDDAMTMARRLADEEAILGGISSGANVVAAMQLASRPENKGKLIVTTVNSFAERYFTTELYSNVLNDVSKLKWSNDDEAVAIAKKYLGI >CRE12932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:132750:134221:1 gene:WBGene00067606 transcript:CRE12932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12932 MSCRPLMKEKLYNLAVNNIISNSITVGLRLNLFKTLSQISSQKNPVLPEQLAEAAGCKERYVREWCNCMACGEIIEVNEEEKFWIAEENVEDLTNGSFESVINGMMATLLEPLDQLIECFKKEGPYGLEYSQFSKFQEFMGVMSQALHEKHVIPDMIPAIGNGIVEKLESGGVRVLDVGCGGGFHSSLLAEQYPKAHFVGLDIGADAINQAKKRKTKSGGIFNNLEFIECDAGKMPEIWTDSFDLVLIFDACHDQCRPDLCIQEIHRVLKPNGTFAMVEVLGSSNVFTDKATMGPLAAMMYGCSMFHCLPVGSNCQDALCFGAMWGRKRAIELLNKCGFPEVRIVDTPYFPINVMYCVQKSN >CRE12975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:126648:130554:-1 gene:WBGene00067607 transcript:CRE12975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12975 MCEMFSTVPMKEKLFNLAVSNVISNAITVGLRLNLFKTLSQISSQKNPVLPEQLAEAAGCKERYVREWCNCMACGEIIEVNEEEKFWIAEENVEDLINSSFETVMIGSTPTMLEPFDHLLECFKSNGPCGLAYSEFTKFQYFMGKMSQTLHEKHVIADMLPAIGNGIVEKLETGGIRVLDVGCGNGFHSSFFAEQYPKADFVGLDIGADAINQAKERKTKYGGVFNNLEFIECDAAKMPEIWTDTFDLVLIFDACHDQCRPDLCIREVHRVLKPDGTFAILEINSSSNVFRDKAEMGPLAALLYGRSMLHCLPVGSNCQDALCLGSMWGRKRAVDLFNKCGFPNVRVIDTQYFPINVLYCAQKKLSSFLNRIDGNKTEMINITRSEEGNSTKYLSFRLSPYSRLGNHLFELSALLGVSRLLKRTPLFFMNNKLSPKMLKNTKTAMPGIFKHIVVVNDTVIQIILRTQSNFAQVPTIARSTFFNQRCCVYEDPRILLSIDNQYLHLTGIYFQSYKYFQGMRNELTSYLKEANISNLPESDKNTFVTCVHIRKGDFLAHGLAASDSVFTKAALEFIRKREEEKSEKPRKVTVIFGDDLKFMKSLYNGSVTSNEQQKQVCFFTSFFRKRSQIPIKNATHFISQNSPSDDFVYSKKNCDVVLISAAHSTFGWWMGYFSKGNKVYYMDIRATNDKSYISGDLHEIDYYLPHWIPLRLSADNKTVIQSSRVL >CRE12929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:95536:96927:1 gene:WBGene00067608 transcript:CRE12929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12929 MDDFRFGLPSFFNIIDGNKTEMINITLSEEGNSTKYLSFRLSPYSRLGNHIFELSALLGVSRLLKRTPLFYMNSKWSPKMLKATKDAIPGIFKHIVVVNDTVPPKARSTFFNERCCVYEDPRILLSIDDQYLHLTGIYFQVITKQFNQCFKTNIQSYKYFQGMRKELTSYLKEANISNLPESDKNTFVTCLHIRRGDFLKYGLAASDAVSTKTALEFIEKREEKSKKSRKVTIIFGDDLNFMKSVYNDSVTSNEQQGQSATHFISQNSPSDDLVYSKNNCDVVFISAPHSTFGWWMGYFSKGDKVYYMDIRATNDKSYVCISLFQEFMSADFQITGDLHELDYYLPHWIPLRLSADNKTIIQSTRMI >CRE12974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:122952:125453:-1 gene:WBGene00067609 transcript:CRE12974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12974 MRNEQLLPEMITLNRNSKYFFVVSLTILWIFLLRRLDNIKMFAPTMETVMFDEQYCLGYNFLRSQKSYVIYYKKIKTDQNFRFREDGLEPVTLTTHGTSGIIEEIEKTAYRWDGPISFALFIDFHSKLALEYIADLHRCDQKFREKVSVHIALRVSPYQMFCQPIQSPKSLRSCEDFILNQKKYRSEIDAPFELYPFNIMRNLARKGAQSDIHLLMDADMITSNGFATKVKKISNEMIDGKNKNALVIRIFEFHHKFFFVGHRIPDIQKWFNVSIETEKVSTWEIPYLGAQWETPVIIHRNDVYNADYFPARIRDVQSLVRVYLKVVQFQQFFFQIYKLCRANYTFNLLSHVFSVHEGVKIDDTIFSKAVSEHSRKYGRNKAFTRYVKEMDDLYPHTTERCGKFQM >CRE12973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:120193:121907:-1 gene:WBGene00067610 transcript:CRE12973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12973 MRQAKLIVLVSLFFVFLIFVKLDDSRKQSYTEFLQKNLQQEVLLEYQINSSFPITFYHNAYVDHRTNPPRLRIYSLNWCIKKSDFLLVELFYEGVRTEEKIKVYGGSLEGPCPSGYGPAKPCFYVAHTFAVIHLGEHRKINLSVKEIHNPTDKGITLCLQPVYYYSQWQNIVLYVEAWRAQGATRFIVFYHSATKQTRRVLEHYQSLGLIEFRSWPSFGSLPSKIAGRYPRIDDSTFIFSYYLAMNICILDIKTTFGSVADFDEVMVPRNGTMLDYATREMSGTNVGALSFANNYVSMDPSIYTSDFSGLTNLSFYAKGGPSKYIFDASVIDVCQVHWVRSFTNKTKKTKRGDGAVLHLRFNAKNLEKKVSKPFQFFPDNTETHIKNIDEDVKTIFGESPPVESLEFVNVLNKCVGEKTKGGGKCRSTGGMCKADMDAVYDWVYDKTEGIFLSGEN >CRE12971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:112636:114221:-1 gene:WBGene00067611 transcript:CRE12971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12971 MMNKKHVQKCSPRRYPAILIVTVFIMTATLYIQSESSFNSKTKLSKLSDSQRQDLKDIYVKTYKGLVSMDELNNNVYKKFGYEIVEPTLPVPILRMIEEPTCAEVFSDWQAISEQPQPEFPPKTIPWYRNDKNSKQGEKPRNWDKLSEMITWPKKKLGGLAYGTDGVSMYNAMKFHRLDEKNGVVIGSMQPWVEISALVNGAAKVLTVEYNDLDIQEEFKNRMSSILPIELVKHWQAYARKSIPKCNIPVQIRKYGDPIDPIGDIREVLKIKCMLKQGGLLFIDFPLGTDAIYYNAHRTYGPIRLAMLFSGFEILGTFTGNSEMPETLTSERLQSEDNFKYNQNTIVLRKL >CRE12969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:103696:106049:-1 gene:WBGene00067613 transcript:CRE12969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12969 MKRSSVQQGLVHHDPDVDAVYRLLNADSNSGLDVKFNKFAPPITLSVGTYSPWNSQNTLFHKSAFHTLFLPTTVSFRTTDIWRSFISQKILHLSGLTVSFVPTNAIQFRNAHDYLKDFKDEKQVYEDSGKIIDFLNGWNCLKVINLEDCINELLEDLVENNLWGEDDSKLMKLFLNDLKSMGFKYPDLIGEKYEDPYIASDNETDRNVNCRRMNLEFELIDPKKYDQENIRKAEQKINYFGDLVDWCNETGYSNLSKSFPSAKQLSEKHEESYVLQQDKNSVLIAVNNFPWKYGVGLIQRLYQPYFAAVIFCGSWYSDEVVDVDNYTSTLNPINYIHMNPAEIHKGYFAYHCVTLVKEMRLNNVNGYFLMADDTIFNIWQRIDYSRVHHLMGPVADYGYNWWNLEYGLRAAKNMVLTIKNNTDSKIEKAWKQFTEELKTYGYMKENHTAFDEIASGKGKSVSDFYYIPTSQSEYYAVLMRVFYENQFFLELAVNKFVKSVDHQVARYGKNGSYLWKNRNQWNVLYHKELVAMHPIKMSQFRETSENRKQYCESVLQTWSDIIFGGSQNFTVKADDDPDRTVE >CRE12931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:106234:107736:1 gene:WBGene00067614 transcript:CRE12931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12931 MKSVKMYHNIPIKRSPLEYVQIPVKNGGLGVLSPRFTCLISFLASTLFKLWSDDEYISSIHKKALSRITAKVMGLKTQTATLQEQCDYLNTKKAITKGGYNLFSRMNEASRTLSVNLGAPLKSMQFIPENGEIALEVQASENSQIKVFSKADSMKLVTKLKDLVKSAMLKNFLENKKVKSQVVQVLQHHPQSNKFVNDGKNISISSQKFVHPARLRLLVCNGNSYGKDHPKDCRRCGYECESQAHILQHCTYNFSTGITQRHDRVLNRILHEVIKGRKNNDYYDIMVDTEPGPTRERPDIIMIQKDGPEVLLADVTVPYENGVVAIEAAWEWKIEKYSHFIEYFARLGKRAVILPLVVGSLGTYWPDTSNSLKMLGLSDGQIRNLIPDISMIALESSKQIYWRHIFGDSYRIVTELYCTKNKQEARFGDEPMENVQVSDRFQPFKTREREKKSEEEKKRRSKSKKGKTWRGSKKQTDSRQSGKSYQNQGFQRSVGQGGSR >CRE12930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:99531:102589:1 gene:WBGene00067615 transcript:CRE12930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12930 MTVSIVSKRRNPKRNDLQGIRGLAILSVLGFHFYPNYFPNGYLGVDQFFVLSGFLMCMLLTRSRKLPIFYIFTEFYTRRFKRILPLYFLFIFATLLALYTIFPDSAVYQNQFSAEKALLFVSNRPRTDDEDYFEKLSVAIDLFTHTWSLSVEIQFHSFVPLIFLIGQQFKESSRYGYYTVIATLSFIFHFFSSPTVSFNSVSARIWQFLIGMLTYFISNSQLVTFDKNESSEGEKVEVEEDEARLLEQNQNEMKKENEEEANLYSKYIVLAIMIFMILLPTEIPTEIARPVFTICTGVLIVLTVKDLVLSSRFLIYCGDISYSLYLIHWPIYAYVKLTYPNNFWIITAALFVSIMTSIVVFETFEKWYLRQSNKVVAVIIMSLFISNILYIHKDEIQKGMTKQEEVQLTSGRKYPRLDGITLNMTTLDDAEQMNAYWNKVDHRGPEMIEPNCVRRNSKHKWCDFKMNFLENGTEFKFLLSGSSYVKNHHKMFIQECKHRATMISMDDVIGCEPLASPHKPVENDGNVSWTKVCAGKLKEFVDFVKETQPDYVFFFSRWFAVGEPYDTNENDLGNDTIYKEMKSQLKQFLPNIKKKLFILDSFPRVYTKKIKDIAKDLKEGKKTMQEINKSLYNPSSFERGRRRHAELIKKECGSKCELIDYVDAFWNKTMNAFQYFDLNGFSYFTTGHHLSAHGIEHVRHIYTKICNNL >CRE12968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:97233:98712:-1 gene:WBGene00067616 transcript:CRE12968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12968 MYKQICSIRLYPVILIVMVFIMTATLYIQNEIRPSYISSGIRINKLSELNLKEVYVKTYKGLVSMDELNNNVYKKFGYEIVEPTLPVPILRMIEEPTCEEVFSNWQTISEQPQPEYPPKQIPVEQQNEFLLNNYSALYPWYVNDKNSKKGEKPRNWDKLSEMINWPKNKLGGLAYGTDGVSMYNAMKFHRLDEKNGVVIGSMQPWVEISALVNGAAKILTVEYNELDIQDEFQYRMSSILPIELVKDWQVYESKFDFAASFSSIEHSGLGRYGDPIDPIGDIREVLKIKCMLKQGGLLFIGFPLGTDAIYYNAHRIYGAVRLAMLFNGFEFLGIFNGSSEMPKNLTSERLQSKGDLKFSQNTIVLRKL >CRE12928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:89831:91533:1 gene:WBGene00067618 transcript:CRE12928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12928 MNDFILDKLDGVVRTFFSTDTSDKTTGFTADVSVFQTVTPSGMPPHRLRLKVKAQVVLLRNLSVEQGLCNGTRLTVEAFGNDVIFCSVNTPTSKSPKTVFLHRMIMCPTGNGANSCGFRRLQYPIRLAYACTINKSQGQTLSRCGLLVHSAVFSHGQLYVAMSRVQRAEDFRMWHTKRVTEGYDNIVGGGILVRNVVYRDVLRDEPIKTTLESMKTTADTLLVTRTSPTKSIDSETYDETNMAPKSSKITKSSKSSALQRFFKIFNKKPVQKSSDVVSNVGNNSGAAPFAPQRLDLPYLLLDTDGTDCFINTIVNILYNCPEVREKYVNCQHPNRPLGNILGRIFRKETFSAREWRQTLAAEFHTGQQDLVEVFDMLMRALAVEDGTTIQMEHAPETKCRSCDEEACYGNATAATHIEVQMSEDANFEDLFNDIYEMRHLDTPCTKCNAKDMWTEPKIIINGSQIFVTVIPNMKRFWDLNVNTVVSMFGEFYQFQAFAEYSSSDGGLSGHYQAWVRGEDGMVCISDNKKKHEQYDVDLENYVATLLAFVKI >CRE12927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:81647:88907:1 gene:WBGene00067619 transcript:CRE12927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12927 MPRKSKRAEEKMEKDRNQKRMQREKKKKEEENIKPAKPKLNKKSMTKEELREYNNAMQRKNRAKQKLVLGIPAKFKPNLKSMTEDERREYNSARTKKYREKKKLAASAKPPVSDEAEMESIDMKSENGSSMTGPPEIESINDISMNTVSDFMDIEPNEPVLEPIEPEIESTNEDVADIEPEHKSRKRNASDAHCFAVPQTPKKTCIKEKDEESIGRDIKKCKVRIGMINIFEVETDAIVVPTYAEKLSKEELNFEIFQRTFAKMAPEKYSIFENSFNNDWEDDLNNYHSRIFNWGVPKEAGSCWKTIHVKPPVVKNDKFTILSEQHLRAAYMSCLLEADKSDTCQSLAFPILGHGACFKKSVAIGLQAIFAYMQAVEHTNLQLIYIVTPFDAVYDKLGDFLSYIREFDLTHWLKKDLYFGYEKYLFDKIKTEVHYATIPGTDMIWRCFKLSTHQKKSKETNEWLLKIHNSMLKQTGIETGGFTIYKESKRRGRVLNTKMIKNGAYKEKVVSPHILMNLTFEMDHFCGSNAILRKLWIMSYYQIYFQDNSLAVIDLASSSSAYKLRKRIFDNQKRMHGALLNEWKKTFNHAPYVCSCTKENGYHENFMVFTTKFSHPDLFNETWLLDRRSFVFSYSDGLSLESIEKYFPTFMYKEDGKFKSEAVRNYEAMNSLLDDRISSWMDVRAEILERQHQRFQNVLSDHNDVIVGNEAILHDYDPVQFDVELDAGRCDDKYRNHLIQHLRDADDAVRENQSSKNLKLQKYWEIALDINRYELHMTRDAEVERCLRNRLFRMDLSDNLEIDYPRLSTMDQDIDQDSENQDSAGEENSDWYIDDQVVSVDVFIVRFLEKMGYAGQMIPPIRPVLFTDQKKDWPKLLDIGDRTNLCRFCAAYLFERETKMPCCQAGAVNIPPLKLLPKEIQAIFQKAFKTRVISTNAAFAMASLHMDRQHQAPGGINTMKVKGMVTAHPSALNPKGAPRYANFIVLQCSNKEIAQQRLETLPGKVQKRLEAIFIDIQTYMDKHNGLYQVFKTMKEIEEEFLKDNNYIGYLNNNQMIFRIVSPGELDDDKFKELNAHNGVYARPSRMGDYVAVAYTHDAGKTTMLPKGFDVYPRNPADLKKPLRPITSYSDMCDLMCYPLFFPDGVGGWALRKYKRFVGKKSDRLVYEQRFKQQIEDIEERGEHPEDYFDFDDPSTPIDLRELYRRVKSKSQIEEENENLESDATDMNEIGSDESDILENEDPLRFFSNLYKSPSPLLFRYDDEQILAYGELEDMQNDPTGVETGRQANITRDDRDGEWYARIDRDQREVDIPLLDIDPFGSDDDRNSDSTEEAPYRSRYDDGTDNYEDAPSLSPIHNSESSDNPVNEPSVTDENANISFADRSINDDSDNGQNDDAPFNFEGPIADDMDNMDDLDVPSDDEGMNRQSVVNEIDDGNFGDFEMEDVCYGDEHAAESNALGRHNDGRRVKNLGQRTHTSISETVYYNIQDRPGIESRYQGKAGSLGQLWVIDTAFRAKEMRMNAIAYNRLEIPRATNKSAMMKTLAKMVKENYRGLLEIGSLVTIPSSVPGSSKYQRELVMSAVTIANRLGPPDLFITYTGNPEWPEIKRATLMKACKWADIPDIIVRVFDVKSEVYFEDVLGKQKKMSSMNGKVVREVGMFGQVRWHNYSVEFQQRGMPHIHQLVCLEVSITTAEQVDEIISAEVPDFPTDTNSPNYEDVSEFLKTIYTNTIFQDLRYYNLVRDMMTHAPCEHDNDAYCMKDKKSHWRTCTKGFPKQFSDETVLCDNEYPKYRRTRKNVFIFMRKGRRVIAGSDYVVPHSRKLLMKHGCHINVEIVSSLKSIKYVFKYIHKGADRILLEASEKNVKGSKASDSMTLDGCVFVPKNLNQAKVRERQEQAIRTMKAAGVKVTENHIAINDCTYMLDLSAMTAPEAIWRLSGRHMHGSSHIVNQAFIHEENKEPMYTVRGVDAAKAGRMCQEKSKGMMNAWFEANQNPDQITDDISTTDLTLSEMSSYYKFDTKAQKFILRERDYSHRIIGRIQPPQPRFLERTATRILAEAVRGPTCWEDLRSYRGTVYESCLEAARARGLMNGDTEWDLALTEIAELRIPVECRRFFASILLNCAPSDPKNLWTLHWKDLINTNNTWSDAQRIAHALRHIQFLLARHRMELSDFELDKEYDKDNLPNFRPEDDVDNPNIVHLNRNEHKEKGREMFKKLNKKQEEFVTGVLKLVNVVGKSRMVYVGGAGGTGKTFCTKPFTTF >CRE12967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:77278:78844:-1 gene:WBGene00067620 transcript:CRE12967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12967 MKLTHGLLFVTILFAWSLVLFYIATNEVKMFTPTMQTVMFDEQYCLGYNFLRSQKPFREDGLEPVTLTTHGTSGIIEEIEKKSSSWDGPISFALFIDYHSKRALEYIADVHRCNKKFQERVSHLRLELEDHTYCFQVSVQIAFRISPYQMFCQPIQYPKPLRSCEDFIRNRNKIIKTILSNNFAEKKYLKEIDAPFQLYPFNIMRNLARKGAQSDLHLLMDADMITSDGFATKVKKISNEMIDGKSKNALVIRRFETNRKVIPRDNEKLKAGFENKTIFEFHHKFFFVGHRIPDVQKWFNVSGKTNKVSSWEIPYLGAQWETPVIIHRDDVYNADYFPARIRDVQSLIYKLCRANYTFNLLSHVFSVHEGVKVDDTMYSKVVSEHSRKYGRNSFHSICQGDGRLVSSYN >CRE12926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:74319:76063:1 gene:WBGene00067621 transcript:CRE12926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12926 MNSLFNFLFYLALSFLAISADFMRDELKHCESHELKCIFKHPEINSKTIKEFPKNCRSVCGFLKITDNSDVTYSQLKKAFKSMLEIHGSLTIVNTNFTDIQFLSIDPIFDILNFASYGGKVPSSEIRKIGFSDGIVISNNSFLTADNVIRDFYIFPGINENECQFRIENNGMFDGRFICDFYSSPPVNMTVKNNLKDCTDKYPPTTTAATTTVDSKVILNGLNISDSTFYTFGLEMYEVIDGTIEIQNTKYEDLSFLRKLTMITVQNTASPEMSNINIHDNNDMKRLNFPVLEEIVNTEMGPIIINLENLHPDFCLTLDEIIMFMEQKVSFRRIDAKLCEPITTVDPRKRCTFYNLTFLESGCQVIFEDILITYEDDRFVEKLTEVEFLFGTLKMENATLEIMNYLYNLKYIVNLKETQYIIQYLSNNNLVAAPLRNLDNIVTKSQTKTVFIQGNPNYADSVLPRAMNKPADCSMMMETTFRTTVDYVGENCVAENSGIVARHTIPVIFVLVVCALELF >CRE12924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:63608:64884:1 gene:WBGene00067622 transcript:CRE12924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12924 MIQLLWIIAFSAAIKASIALDCVQIPPNQILPLHGVKIPAGANETVEIPPNWSCTYSITTPPMMMARVYLENGLKGNNDRITVVDQQGTKTLVTGRSSWWIPFYVFPNTTTTFQVVTKSVNMHSKFRLDIFYQQRKCPRFYRIWSLSLFLVPDPEVTYLGNSSLKYFMLNDLKGTQYNAPQMMIGTEPISLAIARSGWENEVFDNFFVVDGDFQNPKYVYRMSRFKYTDYISVTNKLAVVGLDNRVSESSVVFTPLSQTQQFDSLTAIGTYFEANQLDIDGKNGNKKSRAVTVIGMQNYTRILSFDRSYEPGCVLKAVEAPPRSSSEVFYDFTTITSLPGNITHKSFSIIVENCSASFRMVSLD >CRE12923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:62267:63026:1 gene:WBGene00067623 transcript:CRE12923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scl-17 description:CRE-SCL-17 protein [Source:UniProtKB/TrEMBL;Acc:E3N108] MQYTLIFCLVLLAVGSYAQITQAGKDAIISAHNTLRSKIAKGTYNAHGDIRRAAINMKSVGWNDDYAIDAYRSIAQCPANIARPTNGRGANIKVVDVSGTQVADDYAKQAVAAWENQFQEKGWPVNNTVTADLATKGLAEATQMAYWHAGSIGCASQKCGSKVATVCHYSAKPTEGQQIYTMGPTCSRCPDFYVCNQATGLCNYQNTG >CRE12966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:60399:61747:-1 gene:WBGene00067624 transcript:CRE12966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12966 MKTTKALKTTTEFFDEDYFIYGHRNDYTEDFFFEGFKDIDFRSNVAGALMLFEQIYDVAAYVNLFINFPHLIILLQKELRTNLVYIIMFGVCICDLMHSIGKIAAISMTWDIFYTYENCPTGLPYFHVVINILSKTLQVTTRRCSAFLALFIAAFRSFSVIFPMSNAVNFLMKAKSAYLIVLFFGLISSGWGNVYFYLSNIDHERECKGGVRPVYATYRFRTGEKWEVKYRFANGVISVVIGFLYIFVTAALVIALIAANKRRKNLKNEKPSNTSMMVILMAVSLFCSECAYGGIFIASLLVFNDYAEQSYLEDMEVLALTFSMVNSATHCLICFLMSSQYRNTVKRLLHIGVKEKEVKVKVAVVESSAHPTTVDTSNTSGSSKKAY >CRE12964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:57016:58406:-1 gene:WBGene00067625 transcript:CRE12964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12964 MTTKKPRLPTTTEYSWINDHSFTGYDDYYPSVDPKSRKYFFSNFNDYVLIIIEISFKVELIYHILTIIGFFLNLIHLLILTRKELRSNLVYIVMIGVCISDIMQCLGTVPEMTQIWENVNLWDSCVGSLPYFRIVIMIISKTIKIMGRRCSSLLSLYIATVRAFSVIFPMSTVVNKLTKPGVGIIVMVIIALISTSWSLIYFFKTKIVEDKRCFPVFVEPKPPEYISYQTVKSQSWELKLFSIDGYIAIAISVLYIILVAALVFELNRTQQRRKNLKNDKPNNTSSLVIAMAVSTFVTELLYGMKHMINVFLFDGCEERLYFNDLDNLVLAFIIASSALHLFICIIMSSQYRDTAKRLFWREKKKEENLKAILVESSVHPTTIGTNKSSETSNKTY >CRE12922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:53709:55041:1 gene:WBGene00067626 transcript:CRE12922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12922 MSFVTENVIISDYHFTNSTRCVEENWFEVENNLIRQFFCIFHKLLIRCSTCLSFNIALIRTLVIKYPLNPKIDVLSKQKSAFFVIIGILLFSAPINIFDIYKYQIILFNDNYKCEEYPEYRIYFYGTALSELFQTKERIAMRIYKTVDAIVSKIAPCILFPIITFMLIREIRKTRVRQQKLGSSSAAAKSKNTSRLVLFLTLPFFIAELPLGIVFMISPIDFFQLTFGFSFLLDGIEKFFTCVLSGTTAIHMIVCVFMSAQYRDTALAIIRCGFPVIEKREEKVTQMQLTANNV >CRE12960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:46117:47420:-1 gene:WBGene00067627 transcript:CRE12960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12960 MKTNKLARTSTMDPYDYFTDDFIYSDPFGRGYINNNDYLTENFQQMAGYYASILGVFHQIYDIAVVVNFIVNIPHLFILCQKELRSNLVYIIMIGICLCDFIHSIGKMAKIFMTWHIIYQIEECPSVYPYYHIFIDVFSNSTQIMSRRCSAFLALFIAAFRSFSVIFPMSSAVNFLMKARSGWLIVLMVGLICGGWSAVYSQCTTIEKLLMCPGGYTPSYVMYIHVTTGKAENLFHLIDGCIVITVSLLYILMAVALMIALAAAKKRRKNLKSDKAFFGELEVVALTLSIASSIAHCFICFCMSSQYRDVVKRFVWKEKKEVEVKVAAPESSIHPTTVDTTKTSNSSKRTT >CRE12920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:43573:44154:1 gene:WBGene00067628 transcript:CRE12920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12920 MLQKFNLDISEISGGAFVAYDVTGSIRWFNISLTIIMTCIMAIQYTVIIYCAIFMYIGMEEKLEILSLSMRNLHKQFFKTLILQIVTPTITLFSPVMLIIYLPLLDPKCDLPTGILLCAITLYPAMDAIVVLCIVSAYKKAAIKLTIQFLDKCRKLLGTVETEPSTRLNNVNLPNVIN >CRE27916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig404:17187:20963:1 gene:WBGene00067629 transcript:CRE27916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27916 MGEEKLAFAKELTETLRMFREQMVASAEIQQQQMADNRRLHEEIAARAAAGSETETLENMTVQTPRARNNARLMGDLARRLPRFVFTLDEPDSFKKWFSRHELVIVEDGKDLSSREQVRLLLGALDESAFHRYVDSQREAADVYDIPFKDTVEALGRVFGSHRSLMMKRQECLQITRASGMFHDPLEYSNRISEAVLDSKLATMSTDDWSVFLFLRGLDGPGDAAAKAFLMQWAEQCERKKEKVTLALIHDEWLRFLQLKQQTKTVAASSPKQPLSVNKVEKKPVRNTSNHKTETKGHGSESQSKKEFTCFKCGEQGHCAPQCPQNSGKKKTGKRWEKKGAKKTQSVRVDNLDGNQSKSVKPSMWVNVGSQMLKFQLDTGSEITLISEKSWKAIGAPELEEVPHRIACANGTEMIVKGRVLVSFELKGVQYSEYAYVRQEFTNLIGMSWLAHSPEVREALDVVVSTVTTAVAEKDSNQLRMSLQTEFPKVFEDTLGLCTKEKAQVRTLPNVKPTFKKSRPVPYGSEKPVEAELKRLENMGVIERISHSDWASPTVVVRKKDSGKVRICADFKSSGLNTALQDEYHPLPTSEDIFGKLKGKIFSQIDLKDAYLQIELDPEAQKLAVINTHLGLFKYKRMPFGLKPAPAIFQKVVDKLTNGLPGVASYLDDIIVSAETMHEHEHILKLLFARFEEYGLKVSLEKCAFAKSEIKFLGFIVNGNGRKPDPQKTEVIRGMESPKNQKQLASFLGAICFYSRFVPKLSDLRGPLDRLMKQDVDWKWTNIEQNAFDRLKNSVADATMLSHFKEDWKIVIAADASQYGIGGVLSHINPEGQEVPIAHFARSLTETEKRYSQIEKEGLALVYTVKKCHKFVFGRKFSLQTDHKPLLAIFGDNKDLPVHSQNRLVRWAITLLSYNFDISYVSTAKFAKADWLSRMIQNYPRDENDVVIAEIRNEDDFEDEFPKAELYPVTAEDIRAASETDPEVSTVMKLVRHDSWKPKPHSDVEKYWHRYKDRLKILQHCLLLDDRVVVPRKLQNAVLSQLHEGHSGIIKMKQKARAFVFWRGLDREVERIVQHCSSCQEQAKMPIVAPLNPWPAPEKPWIRIHVDYAGPVDGNYLLVVVDGLSKYAEVKMTKSISAVSTVDLMEEIFCVHGFPELIMSDNGSQFTSALFKSMCKNHGIQHTTTATYYPRSNGAAERMVDTLKRGLTKLKGTGSITKQLLSRFLFYYRNMPHARLMAGHLRRSQNPCMRTTMSLLRPR >CRE12918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:30530:33125:1 gene:WBGene00067630 transcript:CRE12918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12918 MPRSVLRFYRDRDYGVVSHQNLVEGSSSSEEESTELDNFVVDEMDADSFESIENEESDMFTVLKTVPDEILTFVAASCFERISDSGFRRFLSSQNEDFKRDAMKLWKNNLSEFSKHFICNSCIEVQNSKTWYCIQNRPRFHNAIFSCGAVIKYIRIGGMSQLVDLVAQYIEKIREVRRNLKTGSDTTHNLNSSHFKKLWKNEKGNMMIMTLLASIDGVSLSGNTKNKLWPLTFSLVDLPTAEMQRATNMVLHGIAEGTENPSTTFWNKIVPMVYTDVEGSRHAIGNATIQFMIGSWIADQPAKRSLFGFKACNGESSCFYGLCSGTHHKKQGPNRETERPDELIILDATNGTNGIGTIPPRIVDFVLPYDTVLDLLHNAAEGIFSIVIRGKLKYITEIEIVIFSESLASGSSQPRSDLFQNDRSVYLKFCDEVIVPSQFEVKNITNCSEKLLFFRVHFGLAALSNDSLKPEARITICSLMLILNILYTNAPSSSNRFFAHLTGAARRALEQASPTYMGTKTHEFLCHLPYVIEKFGNVAPLSTFCFEHFYKHSLKGFSAQKTTGFTEAAVSRVILHSSIRREIVLRSRQNPSQTLSNFLLATPSMREFEISWKNPIKHLEVEDQMAELQNFELYASLFLSVGRLQSRYKQKYPNKNEILFAKNGSGIHCCYRFIAVCVSGSTTKVIGERIRDHNPSTTYPLLQKVRDEMSHPLNTYGHNVLNQFKSFNGIVHGRPSGERELLEVNWIQGVGGVLPNGPDSYFLQLNGALVHH >CRE12916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:27664:28332:1 gene:WBGene00067631 transcript:CRE12916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12916 MLQKFNLDISEVSGVAFVAYDATGSIRWFNISSTIIMTCIMAIQYTLIIYCAIFMYIGMEEKLRILSQFMRNLHKQFFKTLILQIVTPTTTLFSPVMFILYLSLLDLECDLPTGIFLCAISLYPAMDAIVVMYIVSDYKQAAKSELTYEYRLFSVHLPELIIQFLDKCRKLLGTVETEPSTRLNNVNLPNVMNKCKGFCHGLAIQFNPFLLIRTMSVSRSEA >CRE12956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:21488:22736:-1 gene:WBGene00067632 transcript:CRE12956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12956 MSGAFESKSFTIYVKIAQLIAQFGFISTTFFCYILIFLTVFGVSRSFGSYKYLLILFPTVGIFFATIELILYPNVYSHNAGYVFYSTSRPFGMSQDTVTFCLCFYTGVYASTISMLSVQFLYRYWAIFDKSSVMKLLSAYYFSSHMLQKLNLDISEVSGVAVVAYDATGSIRWFNISSTTTMTCIMAIQYTVIIYCAIFMYIGMEEKLQMLSISLRNLHKQFFKTLILQIVTPTITLFSPVMLIIYLPLLDLECDLPTGIFLCAFTLYPAMDAIIVMYIVADYKKAAKSELTYEYRLFSEHLPELIIQFLDKCKQLLSTVETEPSTNQTGSKNVNSPVVMN >CRE12915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:19307:21152:1 gene:WBGene00067633 transcript:CRE12915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12915 MCISICFFVYIFLIPFSLGNYDKDLKHVLDAYKKNPDCVFNYTHVNSTTIKFFPQCEMVYAIIVINSDTDLSGAQIKNGLKNMNTLVGGIIIENSNITSFSILTSEKTRGYVGFYCETCCQISEISSSAQNCSATYNGIQLLNVSVNTSHFSNIKLVKGIINIQDTNLQNLSFLKSFQYWTVRSDKSIILNLQNNPEMTRFLLPKIWNIENNAPDGITLANFENLHPDFCLSLSEMMFLLELNLPFVNLQAKFCEDPGDIGELILCRFSSMYDLPNNCDIVLGDLKIEKGDEDDCTKLNNMKFLFGALIVQNTELTDLDNLAACKHIVSLNDSYPVIQFISNKKLKNLELRYIYSITTRGKREAIIQDNDLFKAELGNQSCQLFQSYTEYSEYVTRLVYTGGDCGARVDFKQISTISTNLSSILVTVLIFFIF >CRE12954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:12077:15303:-1 gene:WBGene00067634 transcript:CRE12954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12954 MQYNIDFSEPYWIVSCYHVTGIISIFLNSLGIYLLIFQCEKLGTFRFYLLAYLCMCFLTDIDFTFLMQCVPLFPFLAGHAVGILTEWFGVSLHYNVVSFRQTDIFKLISDSQIIASSIVSLQFESLTLSFIKKHQAIAIILKTHIVPNFLLFYFYFCCLITPLLVTVCVNVVYEQKDEQFSYIAEFYPEYLPNFQKLPHFDLYIKNSTYFFIVAIVITFLSLVCSLLALIIMDLFRLMRVLKLQISSGTFKKHQDAIRSLIVQATTTILCVSPVSLVVAFIVLEFRYAQFVGSICLVLFTAHSSINIISLFLFFPPFREYASKKMTFLRFSTCLKLGRKKLPNPIDFPDSKTKKPKTTTPPMPRDYYIYQDHYEYGSVETTTEPFWKDYYFDPGYDYITDKFQEDNTIFNGVVETIKFIYDISSFISFFVNIFHLIALTRKELRTQLVYIIMIGISLCDIFQSFGNITQVVMMWNIIYKIEGCWGGVMYSHLIINLLSKSIQIMSRRCSAFFALFIAVIRAFSVLFPLNNLIAKMLKPKFGTIVMLLISICCATWSSYYFYNKKFEKVKYCEYDSKTPPYIPYHLVAVEKWERKYLAIDGFMAMFISCTYFFIAIILLVAVNKATERRKKLRSDASSNTFWLVLVMTISVFISEIIYGVYYVTDYFYVQYYKEQEVYQELDAFSYTLLIANSVTHCLICFLMSSQYRGVAKRLVRRKRKRINDGLVFVVRSTIH >CRE17206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:448792:449421:-1 gene:WBGene00067635 transcript:CRE17206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17206 MDNQQRTKMVDSILEGMDNYLDTIIENLDKIKSQTTDLKTFLKRFPVGGSSLNGEDTRNEVGSPSEQSVDFEEEEVDSVIYVSDHKLQSTVMIAPSKDNVKSRLLSSQPTQRTSTPCIFCKQFHYSDLCPNVIEYEERQSIAKKRNICMICIHPTSKNHRCIQRKKCFYCEAKSHHCSLCYRTKNVWKKDAPIKNLNQMDRRTIVWDNK >CRE12953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:1448:6114:-1 gene:WBGene00067636 transcript:CRE12953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12953 MWTPSSSPKGPSTSTHDEDELNYWLSENLSPIPTMSDDEDDDFWPPQAGSGRPIPPPEVEEFIPNMHFPTSEEIEYLDTFVQYKDATFEELNSRFLFRKLNDRLVKLDENGFELINLDKVDGSFEQHLAKLFDIFIRHMIEKAGGSLEKTRFWFELSHQSEVKQHFYLQHVKYANGTGHHLLNRIALHMQSNKEFKLDEHVYVSMFIFKDKDTRGGCRGEIPDRIKDLLKIKKKHTVLCDSHCLPISLILGKIQADMANLAKDSVERKQLYNMERTLTSDKPSTKSNQLVEAKKFLKQCGLDENVGLHDKNDLDKMAEHLADYQIVVFIGSGTKAIVKSPDFPHYNADAKKMISLLYHNNHYEMFNLANQSLAVDFYYCDNCCKIVEASIGKRQNHKRTCTSLCRSCGVINCPPPSRQDLDEKYKQRCDVCHVFFYSRACYEQHTQFNPSDARTPTNQCMRYYYCETCCKKVSRNHECGKMWCSVCCAMASKNHNCCHALPSRKQRDACLKKQENCRYFVYDFETIVVSQSTLPIARSTSQGPAHKANVVCGQFMCHRCVGKDFCNYCQGQVQFTYADEEKQGPVVRQFANFILTDPRFDNCILLAHNGGKYDHSFIIAELVEMTGTTPELLMNGNQIIFAEMVLPGKKKIIFKDTLQYLPMALSQMPKAFGFEEMTKGTFPYMFNHPDHYHKEWPTLPDRGYYEPDLMKPAVKEKFNVWYEENYNTPFNFDKEILKYCEDDVKILAKAVSKYLEICTGIFNNWNPIIQTNTLAGFVMFMMKFEHFQEGVVGYIPENGFRGEGRSNSVFALKYLQWLNELDPALRIRHALNGGEKIIKGDSGCYYVDGYSKTHDRVYEVSINGCMWHGCPDCFPARDQKCPCRPEFTFEELYMHTMDRQKDIESHGYKVTAIWECQIRRELKASKEMRTFFEHCRHTHNLVPRESMFGGRTQPFQAYANADENHTIEYLDYCSLYPWTNMKGAFYPKGQPKVIRSDFEKVVAEKPLSYRGLVFCDVLPPLNLEFGVLPFRAGNKLLFPLCRTCAIQSNGKRCTHNEEKQRYLTGSWVTEELNLAIEMGYKVKRIHEVWHWDDSKWFKGGFFESFLAPLLKLKHEASGWPRPDMSDEEKQKHIDAIFENDGVRICAENVKNNPALRQLAKLFLNSAWGKFAQNPQKTEIKMFHIQDSDGIFAFFNQALYEPTGLVEFGANHVIVSREPLKEGLVGAKFTNIVYGSITTAIARLRLFEAMRLVGQENLIYCDTDSVIFKQKIGEDPLKELKGDGLGMLTDEIPAGTRITEVVTVAPKCYALKMENEIGKVSYTIKSKGMTLNCATMEHVSFEKMKKMVSFYNFFSKIKCPTLQMEDYVAGVNVTPLYGTKMSMKRPAKRPLGEMTSSILTKRMRPVTDKGVLADGWTLPYGCLDSDTQLVENYPH >CRE12913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:306:1361:1 gene:WBGene00067637 transcript:CRE12913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12913 MDDDIKDAYTNEAHPCAFTSVANVHKFLLKKYPKLKYEHVESVLEDVESFTLHRPNRKRFPRLKTVGVGVYTDLQADLVDMSKYKTQNDSITFLLTVIDIYTRILFVKPLKSKGGAGVAAAFAEIFDEMGNTPHIVFTDDGKEFYNTHVQNLFKKHEILLVSPKNDTKCGVVERANRTLKTRLAKYMTHAYKHRYIDVLQKVVLGINNSVNRGIGKKPVEVKLGDFPIPIPNDQTFKIKFKVGDHVRLASKRGLFDKGYEQGWTTEIFVISKVMPGRPVTYNVVDTNGEKVEGIFYTRELTKCTYRTGGTYRIESIISRRTRRGVRECLVRWEGYTASSDSWVPESTILNL >CRE23262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1717:2273:2452:-1 gene:WBGene00067638 transcript:CRE23262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23262 MCSIETSAQYYGYASSYYPSYYGGYGAYNGYYGGAYGYGSAYGYYGKREAGFGQGHQAN >CRE23261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1717:1269:1540:1 gene:WBGene00067639 transcript:CRE23261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23261 MNAKFLLCVVLAVIMCSIETSAQYYGYASSYYPSYYGGYGAYNGYYGGAYGYGSAYGYYGKREAGFGQGQQTN >CRE24146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:945:4199:-1 gene:WBGene00067640 transcript:CRE24146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24146 MSQSSKEGSKVASWCKKYLLEGQQLDEKHAEKVLNFPVNPTFADYILIKYRKFVAVLIPFCIIHSIWWATAFKHDFFQYYPDYWHMPVTMVVGALISGMTAEGAGAVAFPVMTLVLHLAPSIARDFALMIQSIGMMAALVCVVFMKVKFAERAVIFGIAGAIPGFIFGVHIIDPQFTGAQKKMLFVSIWTSFAFALGILNSQKKRPTFVKIPEFCAWKAAILLLTGFVGGVFDAFAGSGIDICMFSTLTLFFRVSEKTATPTTMILKGIVSMFGFYYRAVQMGDISEIAWKYFTCTIPVVATMAPIGSFLGSHLHRQVIAALIYILEAASLVGFLLTKPTWFLIFMSVVIIACGFVFFQVMAKLGEMLMKYIDPKIGEDAKQEIEEKEELHLELEPTNLSFA >CRE24147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:5650:8984:-1 gene:WBGene00067641 transcript:CRE24147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-4 description:CRE-SPE-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N426] MLRQIYHEFRRSSQLQWTLFSVIVNMSLTLSIWIGIYQMQVNSELSKLYFLDDSFERTTGNTTLDGLINGFATILVLGCVSFVMLAFVLYDFQRVVKAWLTLSCMLILFGVSGQTLYDLFTQILDQNDENQYILTVALTVIPTTIYGVLGIYAFFANGSLALHQFFVISNCSLISVFYLRAFPRYTTWFVLWMVLFWDLFAVLAPMGPLKKVQEKASDYSNNILKFLMFAAEDKRETAGIDATEDSSENLNDERKLRKDVKQLIELYSKKEAQDDEFLRKIRQRRTAINPDSALTETSPVHTEPSDALIQLKSKNSDEEHTDDESDTTESSTTTSSSDVTTSEVSTAEEVAPEEWNELMEQQEKQAEFDQRYVPVTAADALNDGETVRLGFGDFVFYSLLIGQTATGGSALAVACSALGILFGLVATLTVFSSGESTTPALPLPVICGTFCYFISKFVVDQVYG >CRE24132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:28935:31979:1 gene:WBGene00067642 transcript:CRE24132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24132 MNFNDMENSVYGNLEEDAELLAEQAAIQAEVNGENTRSAPTATRAAPSAPRRPAPGGRPQQAATPEVPGVDPRLLAAALSDNPGNDDDEVEMDEELLNELHGLVGGGSPIKQAPPVPVRVAPPSSGGPSGPAPSAPAPSRLGNENNSQLNHLRQLHAYYVKAQKSAEQGGESAKARRYKRAVDKLVELIRAVENGKKIDESEIPVAPPNFSSEPLAPAAPTAQPAHHPPAPPIRQAAQATPTSDAPPPIPQRKVSATPSTTSTTTTKEPTDPKKAAIYRILQHRRNLHVANEKAAIAAGDKDSAKESVGMAKAFDQAIAALNECSADEMDMNEVPPSPPPYRKASSHQAPPTPSQAPPTPSQAPPTPSQAPPTSAGGPQTFIGALEQRQTRYLQMAQKAKSEGNERKERMNSRLAGQYSEAIRDAKKGKTVNISELPTLPDMGPLPPQTSGQAGAGRTSGQNGEQHLHRKPPAPQVGPLAPSGVEVKSRNSAQLEFLLDRQNQFKQAAIHAKSRGDVETAKKYLLEMKGFDKMIQAAHAGLPVNIKNTPIPPQSQTAPTTLEPRIHAAAASSSTGLENRGERLMLLEKTLIEQVRSAETNQMRFTRLGDVGKVKLFESWGKTAKQDLLLVREVAKRGVNLPKFHYETRQIPSADLFPDLAEDVIELTIISCRDVPLPSGYEIHHANLFTKYIFPPVVSDQPQVGKTKLIAGTTSPQFSESVMLNIGSGKSRNNKLQRVFKRGGLKFEVYQKGGFMRSDKLLGTCEWKLEKLEHSAEMEESLPLKDGRKAVGGLLSAKLRIREPIGDAKAQSISQKWLVLDN >CRE24149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:33612:36312:-1 gene:WBGene00067643 transcript:CRE24149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24149 MKYMDSLKFGAPWALLIVSIGWICYQNVQIQELQANRHKRELSSNWLPKTDDDTTIFMPIYAQVSKKHIRKVCMEHFHSFKHYRELEKSSTRQPSPSVVKELKRKCSAEVSFGQPVLIGERKNPEGCAYLDGGHWHVCELSHGYTMLSFKGNRRLNSSETRAVQFLPYPFDGTDNMVYNNTMWYSESDRLIAYNLKTEKSNSIYLRTSLDPIYANSSSRLDVQAEEHGIWVLYRRRDEKILSVSRVNVHTMQIVSNWTLPSIDTSTMCNAFVRCAILYSVECDGTVTPVYDFYSHTYIQGRKTVWEGLSQPIGNVQYDPNSKAIAVYANAKIYKVPVLQ >CRE24150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:39685:57159:-1 gene:WBGene00067645 transcript:CRE24150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sue-1 description:CRE-SUE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N436] MFKFRNLVKNCHYKPQFPKIRSLPQTPDSSTRSPAITPPMHQPQQRHLSTYPALLEPTCRRRLPMPPDTHLRRSSSPRILPTPPPLSPEYRCPSAAPHLERRPSGRQLPRPPTAELNNLTSLAQNAPGNTSRCSLSPVSLTPDPTPDEPEFYEEEETEEVEEDVEEEYTQEEEEVEYEETPVVVTPPEEVRRVSPEILIAPPPPQPRRLSKFSVHSPSIEQITSKSNSDTEADPIAHGLDPSLYSPNAPIIIENNNAAAVVHKSSVASSSSPTPQQPCGLGLLHCSLLYFAVRKRLRVTITKIEALAGELKPEMEIHALCKVSIPGLKGAKEQTSETKRGRDPMFNHEFFFDNVTHEELDTKIVLITACHAGGGIKGKDIVIGEASVPLRDIREMNTKKEIKFIEEIKALVPKKLGKIYTSSVIEKDSKRLTINLKKVDALPKCGLIGAPDVCVKITLTQGAKTQTKSSRIIKSTCSAVYNEAVMFLCGTSKNDLAQTAIVISVHDAQRTCTGDDTIGCAYLGVGAIDKSEIDQWKGTTEHLGKEYKGNHTLKAPQTAPPVHVAEANDDVAADDED >CRE24151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:65775:72308:-1 gene:WBGene00067647 transcript:CRE24151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-15 description:CRE-UNC-15 protein [Source:UniProtKB/TrEMBL;Acc:E3N437] MSLYRSPSAALLKSPSQAAFGSPFGSMSVADLGSLTRLEDKIRLLQEDLESERELRNRIERERADLSVQLIALTDRLEDAEGTTDSQIESNRKREGELLKLRKLLEESQLESEDAMNVLRKKHQDACLDYQDQIEQLQKKNAKIDRERQRVQHEVIELTATIDQLQKDKHTAEKAAERFEAQANELANKVEDLGKHVNDLAQQRQRLQAENNDLLKEVHDQKVQLDNLQHVKYTLAQQLEEARRRLEDAERERSQLQSQLHQVQLELDSVRTALDEESVARSDAEHKLNLANTEITQWKSKFDAEVALHHEEVEDLRKKMLQKQAEYEEQIEIMLQKISQLEKAKSRLQSEVEVLIVDLEKAQNTIAILERAKEQLERQVGELKVRIDELNVELEAAQRELRAANAELQKMKHLYEKAVEQKEALARENKKLHDELHEAKEALADANRKLHELDLENARLAGEIRELQTALKEADAQRRDAENRAQRALAELQALRIEMERRLQEKEEEMEALRKNLQFEIDRLIAALADAEARMKAEISRLKKKYQAEIAELEMTVDNLNRANIEAQKTIKKQSEQLKVLQAALEDTQRQLQQVLDQYALAQRKVAALSAELEECKTALDNAVRARKQAEVDLEEANGRISDLISINNNLTSIKNKLETELSTAQADLDEVTKELHAADERANRALADAARAVEQLHEEQEHSMKIDALRKSLEEQVKQLQVQIQEAEAAALLGGKRVIAKLETRIRDLETALDEETRRHKETQNALRKKDRRIKEVQQLVDEEHKNFVMAQDTADRLTEKLNIQKRQLAEAESVTMQNLQRVRRYQHELEDAEGRADQAESSLHLIRAKHRSSVVTGKSSSKIFVTEDDY >CRE24152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:80328:82939:-1 gene:WBGene00067648 transcript:CRE24152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scav-6 description:CRE-SCAV-6 protein [Source:UniProtKB/TrEMBL;Acc:E3N438] MKIRIGKLDVFIVIAAAICLVFGIVMWAAFSSIYSNLVIQNLRLSINTDSSLGYSAFQYTNPQVDNVMKFYFFNLTNPDEVKYYSAAPSLVEIGPFAVKSTFDFNGDKSQMFYQNYKRYILSKDYSCDECDWDRNIVFPNPPGLGAVGSMIDPQFQITRTGRTIIATALMILGEYPFVSSPSFPEFIKATAGVSYRFQSPRCQNSVIFKE >CRE24133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:84262:85209:1 gene:WBGene00067649 transcript:CRE24133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24133 MSSATFLSVMAGLSGLFVFGALISVFQIYTDINSFVEESHRELGEFRGFANDAWNSMVNHDDASRVARSVFTRRHKKQSQCNCGPQASNCPAGPPGPPGAPGDAGHDGQPGGPGKPGQPGVAGPAHHEKQECIKCPAGAPGPAGPAGAPGPKGPNGNPGAPAQGGGQGPPGPPGPAGSAGAPGQAGAPGNPGRPGQSGQRSRGQPGPAGAPGPQGAPGAPGQPGAGNGAGPAGPPGPPGPDGQPGHPGSDGQPGAPGNDGQPGGDAAYCPCPTRSSVLRHRNVSRHRAVAAKKRVVAKKRVARKRVAAVRRHVQA >CRE24154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:87150:88521:-1 gene:WBGene00067650 transcript:CRE24154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24154 MEIFELSQVSRRTLNYLNLARISKQMVNVVNGERDAISFFNVNNPTEKELTIHFLKTSEPIIGQIEVNNVCINVCERDESTKTICCNSNQFESSLVHMLTHFDKLFYRMEYAIGIEPCTLNLMRGILSHSIFRKCLYMQIRGDGEILSNGDCNFLLETTRPTLGITIFCKLSLDFNYKKILHFSRLRVPNLEKMPLEDLKVLDSEIANLGNHQFTETDINKFLHHWIKGNSRKLRRLKLDGFKDTPHWDILLKDIVYTEWNPKERGRYYKSKYTDEVETIDCENGRDFKNKDGQLATVVHHSEFLDFFIWHDRFPE >CRE24159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:104277:105433:-1 gene:WBGene00067651 transcript:CRE24159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24159 MEIFELSQVSRRVLNCLHLSRIRVQTVQVASFPAVICIRETECPLDENFMIHFVQTPKPVVDQMTVNNVRINVCEKNARLKVIHCNSNQFGYGLVHVLTHFDKIFYRIDFAFGFELNTLNSMRGLLCHSIFRKCDYVQFRGENETLSNEDCEYLLEKTQPTTGITIFCKLSPDFDYKKILHFSRLRVPNLGKMPLEDLKALDSEIANLGNHQFTETDINLFLHHWIKGNNRKLRRLKLDGFKEAPDWDILLKDIVYMEWNPKERGKNYKSKYTEEVETIDCEDGKDIKNKYGQLATVVYHSEFLDFLIWNDRFPE >CRE24156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:92807:94214:-1 gene:WBGene00067652 transcript:CRE24156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24156 MSSIKLLSFPLLVFSEIVRSMEIIEILELSQVSRRMLNFMNLARISVEPIHILNGSVDKIMVFNKSNTKRVFLIEFLKTPQPVVGQMKVNNVCIDVWSVSNHFFSTKETVYYSSKDTAEKVINCNSNQFGYGVVHILTHLDKIFYRMDIALGIELSTLSTMRGILCHSVFKKCGYLQFRGENETLSNEDCEYLLEKTQPTRGITIFSELSPDFNYKKILHFSRLRVPNLGKMPLEDLKALDSEIANLGNHQFKEVDINKFFHHWIEGYNKKLRRLKLDGFKETPDWDILLKDIVYTEWNSNEKGRYYKSKYTHTEETIDCENGRDFRNKNGQLATVVHHSEYLDFLVWQEKFPE >CRE24134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:94455:95677:1 gene:WBGene00067653 transcript:CRE24134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24134 MSSIKLLSFPLLIFLEIVRSMEIMEILELSQVSRRTLNYLNLARISVETVHVVNGIVDKITIFNKSCTERKFQIEFLKTPQPVVGQMKVNNISIDVCGKNTTQQIINCNSNQFGYGLVHILTHMDKIFYRMEYSIGIELSTLNAMRGVLCHSIFRKCDYVQIRGRKETLSNEDCKYLLEKTQPTTGITIFSKLSPDFDYKKFSKNSTTQIHFQILHFSRLRVPNLGKMPLEDLKALDSEIANLGNHQFKEVDINDFLHHWIEGNNKKLRRLKLDGFKEAPDWDILLKDIVYTEWNPKERGRYYKSKYTDEVETIDCENGRDFRNKDGQLATVVHHLQFLDFLIWNDSFPK >CRE24135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:98020:99240:1 gene:WBGene00067654 transcript:CRE24135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24135 MKLLSFPLLIFSEIVRSMEIMEIFELSQVSRRTLNYLNLARISIETVNIANGSADQIMFFNRSCTERVFLIEFLKTPQPVVGQMKVNNVCIDVCSKDVVGKIINCNSNQFGYGLVHILTHLDKIFYRMDIALGIESGTLNEMKWILCHSIFKKCSYLQFRGENGTLSNEECEYLLEKTQPTTGITIFSKLSPDFDYKKILQFSRLRVLNLGKMPLEDLKTLNSEIANLGSHQFTETDINLFLHHWIEGNSRKLRRLKLDGFKDAPDWDILLKDIVYTEWSSKEREKNYKSKYTHTEETIDCENGRDFRNKDGQLATVVHHSEFLDFLIWNDRFLKYFGKR >CRE24158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:99904:103429:-1 gene:WBGene00067655 transcript:CRE24158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24158 MKLLSFPLLIFTEIVRSMEIMETLELSQVSRRTLNFLNIARISFETVNIVNGSSDRITIYDESKTERQILIEFLKSSQPVVGQMKVNDISIDVCSKDATQQIIQCNSNQFGYGLVHILTHLDKIFYRMEYAIGIELSTLNAMRGILCHSVFKKCEYMQIRGDLETLSNEDCEYMLEKTQPTTGITIFSKLSPDFNYKQVSRLSKDSNSQTLSQILHFSRLRVPNLGKMPLEDLKALDSEIANLGNHQFTESDSNEFLHHWIEGNNKKLRRLKLDGFRESPDWDILLKDISHTEWNSKVREKNYKSKYTVEMETIDCENGRDFRSKDGQLATVVYVTRPLLVSPVSTRKQQFFITSSCVRLCTTNKRIQTTIDSALDSCPMSSIKLLSFPLLVFSEIVRSMGFVEILELSQVSRRTLNYLNIARISVETVNIANGSADKMMFFNRSYTERVFLIEFLKTPQPVVGQMKVNDICIDVCSKDATQQIIQCNSNQFGYGLVHILTHFDKIFYRMEYAIGIELSTLRAMRGILCHSIFRKCNYMQILGDSILHFSRLRVPNLGKMPLEDLKALDSEIANLGNHQFTESDINEFLHHWIKGNNKKLRRLKLDGFKETPEWDILLKDIVYTEWNPKERERYYKSKYTHTEETIDCENGKDFRNKDGQLATVVHHSKFLDFLVW >CRE24136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:115047:116346:1 gene:WBGene00067656 transcript:CRE24136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24136 MRLCTRNIHIQTNIDSALDSCTMSSIKLLSFPLLVFSEIVRSMEIMEILELSQVSRRMLNVLNLARISVETVHVVNGIVDKITIFNESCTERKFAIEFLKTPQPVVGQMKVNNISIDVCGKNTTQQIINCNSNQFGYGLVHVLTHMDKIFYRMEYSIGIELSTLNAMRGVLCHSIFRKCDYVQIRGRKETLSNEDCEYLLEKTQPTTGITIFSKLSPDFDYKKILHFSRLRVPNLGKMPLEDLKALDSEIANLGNHQFTESDINEFLHHWIEGNNRKLRRLKLDGFKDAPDWDALLKDISYTEWSSKEREKNYKSKYTHTEETIDCENGRDFMDKNGQLATVVYHSEFLDFLIWNDRFPE >CRE24160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:116586:119823:-1 gene:WBGene00067657 transcript:CRE24160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24160 MEELQQKYNTLLLKEEYHQQEVDYLLETAKEWETDNANLEKQLVEERSKVRKMEEEHGVVLNLLRKKKDAVYWKEELERMAKGERLSVRKVQTELENEIKLIEQDDEQSILQAVGLIDQIFKTRKERDIFGELEVPADKFYGAGTARLQCQTSFKIGSPEDRMPLPVIHAFGLLKKAAALVNTEFGLDKKLADAISQAADDVVNGKLDDHFPLLVWHASAWDTNVNEVISNRAIENMGGNLGSKTPVHPDAHVNMSQTANATFQSAMHISVSIEIHSRLLPALKKLRAALNSKAEECKDDFKIGRTHTQDAVPLTLGQEFCTYVQQLENLIARVESTLPHLCQLVVGTGLNTSKGFAEKLATTVTELTKLRFVISPIKFKVPAAPDALAEVHKALTTVAEVFLKMGSFLGSGLRCGLGEHSLSEDEPENLNSHREAITIVVSQVLCNQGAVTVGGSNVKFEHDFFKPILARTVLHSTRLLSESADSFTEQCVNGIVANKEKTVTVGESPYMFKFL >CRE24161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:133403:135160:-1 gene:WBGene00067658 transcript:CRE24161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24161 MFRVRKAPSEEHTLANYAYVNRSDFDATHIKHVCVNTGPARHYIFSIKNDLTIKPGEIAFGVPHRRWAVLSLDQEIRVTPFSFPTSDYIGSIVLSADFNNKKNVTSEPLNADLMAREFSMQFSGQAFTKDMQMAFRFDDKEKNKTHTLSLVVKTIEQLDLMKAAAASNGEGAPADSSAKPKQIDAGQLMANSVIVFDKEEGSMLSLIGKSKGKSAYRSIINPNWNFAEMGIGGLDKEFSNIFRRAFASRVFPPEFIEQLGMKHVRGILLYGPPGTGKTLMARQIGKMLNAREPKIVNGPQILDKYVGESESNVRKLFADAEEEWRRCGANSGLHIIIFDEIDAICKQRGSMVRFPQQHSCNRNGHRRDMIDEALLRPGRLEVQMEVSLPDEFGRLQILRIHTARMREYNKMDPKVDSCDN >CRE24162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:140570:141574:-1 gene:WBGene00067659 transcript:CRE24162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-35 description:CRE-COL-35 protein [Source:UniProtKB/TrEMBL;Acc:E3N453] MSYSTSYYFILSSTIGCSLIVVASLLTLYSISLDIQQFEMDINNDMIHFKTRANDVWDRMVKGGSGPSNQSPSFGRLILAKRAAGYATGDSAQCNCAAQSTGCPAGPPGAPGQDGSPGEPGEPGKDGEVGSAGQADSFLGAEGNCIKCPAGPPGPPGPDGNAGPEGPAGPAGADGEAAGPGLAGPPGEPGPAGPDGQPGAPGPDGAPGAAGTKSTNQPGPPGPPGPPGPAGPAGEDAAAPVSPPGPAGPPGPPGKDGGSGAPGAPGGPGTDGAPGADAAYCPCPPRTPGLGEAAPDAAPDAAPAPDSGASAGSGADGAKGTYSGSAVVRRRARY >CRE24163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:142447:151867:-1 gene:WBGene00067660 transcript:CRE24163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sol-1 description:CRE-SOL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N454] MRRREHLHVFQYLLLLIYCASKNAYCASEHECKCILFNVTSGGFQSPEFPAPLENVPCLFYHFQAPPDHIIRLTFDVFQLPPRIGVCSSSIMLFDHSLDGLIEIGERADFEFCGKEIASGRQFFSKEQHFLLQISSGGKEAARGFRGTFLAIPKANFTSDAVEMAECSYRVEKQKAIIYSPQYPYYYPSKVNCTYHVPQRKGFQIIINSIVMDIGRDAILQIFESVEGKFEKRLIEMVTSVQKSIYVSSTASLLIYFSAGNNDVERAVGFVIELQYSNAVWSQSPGHLPLPLNIPHPTRLIVLHTAEASTDCQLNVNSENFKEGQLSSDKIGRFTSSSLPTKCQIVLQGYPNEKISVKFTHFNLYVPDNKNVTKRCTEVDNLSADVRVGSRLSRIDEWCGKRTPPNLMSSSNLLQLEYNTKSSKAIRESTNDNIGFQLEYKFHTDWNMGNMKAKVDKRKECRFSFNSSEHTNGKLWSANYPGLYPRNVYCEYIFHGRNDQVVHIHFEYFDIEGFNQCDEATQSDYILFSNYQTHDRTNRRFCGKTAPKGPILSESNYFRMIFSTNDIFDATGFYAHYQFITQEKSQISRVKLTISSSHTPFSTIFFYVIFSLLLIF >CRE24164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:158323:164217:-1 gene:WBGene00067662 transcript:CRE24164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24164 MNKAKTSDDDGGRILRWCKKYLLEGQQLDEKHAEKVNNFPANPMIADYFFIKYRKFVAVLIPFCFVHTLWWCTAFRYNFFPLYQSYWHMPVTMIFGALVAGMTAEGAGAVAFPVMTLVLHLDPTIARDFSLMGQSIGMMSSLVCVVFMKVKFESMAVIFGILGAIPGFIFGVHYFDPLFTGAQKKMMFVSIWTAFAFALGLLNAQKKRPTFVKIPGFSVWKASVLFLTGIVGGVFDAFAGSGIDICMFSIVTLLFRVSEKTATPTTMILKGVVSVFGFWYRGVMMGDISETAWKYFAVTIPVVATMAPIGSFLGSHLHRQVIAGLIYVLELASLIGFLLTNPSWALISIAVAIILLGFAFFTLIAKSGEGLMRGLEERESVEKRESFEMKA >CRE24166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:168856:171451:-1 gene:WBGene00067663 transcript:CRE24166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24166 MTPFQFRFPSQTTVIGATQSGKTSLVRKILENLDSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRSKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGDTWRDALQAYKSVMREPYNAMMINNDPNADPCFRIMENFLHEFPIVYKYADCNKAKMIKKYRLIEIDGHNPLDPPRRLLDEILNDKTLDVESKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQMSEAKPPVDEKYYDEGNITEMDDTQKSYTSYEDESDSDMSTTLSPAIPTPEQPSTDTPLSSPIATKPVTRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEALENIEAYTKHFPVRSKFPRLLTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFHVEPLKSKKGEEVVVALKKIISKFKSPPIYVYSDFGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVEVLPKIVDGINRSVNRSIKKAPVDVKNGDFPFRRRGRRVRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEAIDGKFYYHEMTRVFYEQDQVYRIEKIVGHRKFKGKKQVKVRWEGHSAEFDSWVDESEILDIQQ >CRE24138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:172322:174130:1 gene:WBGene00067664 transcript:CRE24138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24138 MDDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGTTDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLAVLNGNPRKRRNVQAAVNAQIAKKIQQAHAEAEKEKSGDKTDEEHGEALVEALVNQGGRVSNGGSGKDSGKSPSGNVDLKDVVTQNSVEDPENDSEKSGNNSNNTSHAKALLNALRGGGQDPTNTDAQSQGSTSEPKTSSGNSKDTSHEEALVNALNGGEKGSEGQKGRKDGLDKSGIDKQTIVDSEILAFLLTFILLTMREKEERDQLVEAIEKERGETAGVNESENVEDVKVIDEEKEDENDVLKRILEKHFNEMEKLHGVDTGLQEAVKKMLENQTTFLETFQTINNPNTLNSIVSKMSDDQSAFLKALSEKETDSSGIKKAIDGLKSQLINNSKYEYKKLIGAIEESRLDELTSLRSAMKPKNVPFIGNLINFKVENDVLKVEFKDSNVLFVEFDKECAYFLGFHNSIVKNNETASSSIDFFGNISTLYVYCDVVDQSIVGNSKSSLLTVIPCKGKYGEMVQHTFPVPRYLPLMNGTIDSIKVQILSEFGDRIHFNWGSTIITLHFRKIV >CRE24139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:175012:176375:1 gene:WBGene00067665 transcript:CRE24139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24139 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIPLHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVNDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYFENLLMYSNEQKRSTLSISGYSPDSVIDNKDDSGFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEDVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESNRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCAEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE24142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:181912:191025:1 gene:WBGene00067667 transcript:CRE24142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-14 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3N464] MPKTTPKLSIFCSFPLEMIWEIPIIGDFITKPFKAAKLYEFYDRLHLFTVYLLGFFVLLTGAKQHFGNPIDCMLPKQHDDLKSWREYIHNFCLFYGTFRYEVKNGTSEFGSYSDDGSVNYYQWVPFFFAFQVCCFLLPFWCWSYMQKLIYIDMAFIVDYAGKINSEKTFDKTKEKVDRLVSYMHDHFKYRRAHKMGYFSWITFNSAFPSVLYSITKLFFITNVIVQINLVCKFLDVDSWTWGFDLLEKFITPPTRTPPEFYSFTDKQKFAAILTENAYNRFQYFPILVGCEYQLQESVNKFVNHKAQCIIPMNVINEKIFIGLYFWLLVLASLSVIGTIKWIFRIRSRKLNEVMIYKLIKKKLEGEPLDSRLQDHRYNFVHHYLCADGILLIYFMMDTNGFLKTEEVIGALFDKYVSEAAALPSAPDHATSPRTGGYSELNYYDHPQQHKI >CRE24169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:192168:194913:-1 gene:WBGene00067668 transcript:CRE24169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24169 MFSRTLERSNTLKTKKNDKYREDKFVAEPYEATIEDTYQVLLEEPDKAREILILHDTAGVSNYGPIELKKAYVQAADAFVLVYSSADYESFNRVDLLKKWIDRQFGKDKKEVPIVVLANMRDRPATVDSAFAHSWAAREKVKLFEVTAKDRQSLVDFIHYVGHRHFHPTSQSFSDIFRLDSAPFLRNLECSNRFFCLKKAFLAVIQAKIALKID >CRE24143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:195652:202965:1 gene:WBGene00067669 transcript:CRE24143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24143 MRFFSIFSLFRGKISGLTGFLIVIKLKNASLTHFFPEKDYLNHNRVVRPASAAIVDTDHLNRPLFFERNEEKASFRSVRSDSIKPQAKSRRMGRAMRVIVVGGKKVGKTAILRQVACVEDITNKAADAVVLFYSSADYESFNRVDSLKKWLDRQFGKDKKEEQLGDVVFIELPDEGTEISKGDSTGAVESVKAASDIYAPISGTVTQKNVKLEEEAGLINKSPFEKGWLYKLKVKSEDELKKLLTEQQYEQFKKDEEAAH >CRE24170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:203868:209505:-1 gene:WBGene00067670 transcript:CRE24170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-18 description:CRE-GLB-18 protein [Source:UniProtKB/TrEMBL;Acc:E3N467] MVPKREAAATANMESIHRFSQSVSEKGASFSTPQANRKNKVNGSRRMSDSNNVAYSNGSKKSFEGNIEKWEPNVYEKELLRRTWSDEFDNLYELGSAIYCYIFDHNPNCKQLFPFISKYQGDEWKESKEFRSQALKFVQTLAQVVKNIYHMERTESFLYMVGQKHCKFADRGFKHEYWDIFQDAMEFALEHRLSTMADLDEAQKKDAVTVWRTLALYTTVHMRNGFIDGLKGVNKFPPLV >CRE24144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:237925:240513:1 gene:WBGene00067671 transcript:CRE24144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24144 MWHFSAKSALLLLLVLVATVASQYSTEEGEMIGAARSKRSYYGGYDMYGLVSSPIIATQPSQYPQPIASSNYPDVPGNANYIPTHRQAPPPRQVIHVDSSPRDSEISRVMVPGDQVIYSAEDRYYTSSTAPATAHRPDAYNVSRY >CRE24145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:243673:247156:1 gene:WBGene00067672 transcript:CRE24145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpb-2 description:CRE-GPB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N469] MSGNAGESSSSSKMVENSQPTTTEKGSEYLEQLANEAEELRKKLDQERHKLNDIPIQQAAERLDVMGILGVKQRRILKGHVGKVLCMDWSLDKRHIVSSSQDGKVIVWDGFTTNKEHALTMPTTWVMACAFSPSSQMIACGGLDNKCSVVPLSFEDDIIQKKRQVATHTSYMSCCTFLRSDNLILTGSGDSTCAIWDVESGQLIQNFHGHTGDVFAIDVPKCDTGNTFISAGADKHSLVWDIRSGQCVQSFEGHEADINTVRFHPNGDAFATGSDDATCRLFDLRADRQVCVYEKESILFPVNGVDFSLSGRILFAGYGDYRVGVWDSLKCVRHSVLYGHENRISCLRTSPDGTAVCSASWDCTIRIWA >CRE24171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:247200:248787:-1 gene:WBGene00067673 transcript:CRE24171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24171 MSSYTLFLLAAVVALLAFTPTVSAEGDDLLEYVKSIEPLTIRRSPLACPLPVVGSACPEENVFWYFKCCGQIADQCCFRLQDWVTVLLLFLAVCTILSIIINCVRCFCCA >CRE27913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig324:40358:42038:-1 gene:WBGene00067674 transcript:CRE27913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27913 MADEEEKQQVDKHNWGSEELSKVSDTKEDGDDLKLNTDALGNLFNASAPARPKINIKKEDLQLIMNELELPEATVRAKLIDTNGDVGEALRSLCGL >CRE27912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig324:39148:40185:-1 gene:WBGene00067675 transcript:CRE27912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mif-3 description:CRE-MIF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NJ98] MPVIKMQTTHKNVPDEFEVRFAVHMAKVMKRPESQIFVAIDTNCRMTRGRLTDPHAVLEVTTSTPLTPVLTEEYTVAISEFLHEELSFGADSVLINYRSLSPELIGFNGHILAENRPSVSRDKAMYIIGLLSIALSFFILQFLKYI >CRE27908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig324:35542:38864:1 gene:WBGene00067676 transcript:CRE27908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27908 MEDKEIEDVAVVEKNGETSKSVKKTGRKTKPKTTDGYEQYEKDKIIEFISLVEMRPELYDMSLNPSHRKEVSLKLFDHIEEECKAFMPRGKHAHGIYPDSLSVSFVDCSGSTAQKLWDELVKDYNKYVTRVEKIRTGSAACSSSANFEFAVYMTFLDGPRHKRQVKNSYMIGDDQSPVMEDLSDNENWEPFGCNSLSISTPKTSGIQSFSISTPKTSGSESLSSSTPKTSGSHSLSNSTHKRKMFDPLEPEDTPKRSKKMNKMEILMSELNESNDVIAEAMKQVMDDSKGKKCETEDICSMFAQKTAHWPEMDKILAKAKVISFVGSLKLPGPEAIPSNYGQMYSERRLMDGGIYNGRSTNYHNSYQTFSSIPLSSFFDFSCFPILLAQCSKITKSFVRAYYPKKLSMSMSFCHFEIQIYEECGKCYEYSATSPDPFRHPTVYCCYPTEESVKRTLELMDTFYDTLGATLLLVKLYALGEVDASIIIDRVHRNQPVLERLKWKVLQVSESTKYSILEKLKYSELIIEFSKWFKLSDLLSSKCAKIGLERSTWTGEDLNTFLKEWIKGGLPNLSFFWVDTNSLFDQESAKNGLELLDSNLFVQPADWTLKWKFIRNVNGSFAAFHFNSDGGFFLSYFKFVELPCPRNTKTENSASSDYFDHYRAENSLFLKARS >CRE27911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig324:18375:19172:-1 gene:WBGene00067677 transcript:CRE27911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27911 MQCDVITHSPVADRSLKVASIFVLVEGITYHLSVLIVWVLSFLIGLIFLLIYIYWNTAKLLKNHRMSLQTYQIHRIFMSALVIQLVIPFRTLIGPAVAVLTSIITNYYNQGIVNVSVLSVTLHGSVTTVAMLIVHKSYRLAIKEMFRKFSFQSTEVPRMLMYTNHVARIESTTH >CRE27910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig324:16344:18010:-1 gene:WBGene00067678 transcript:CRE27910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27910 MNNSCTPLVNFFDTPQFLTIALHTNTVLSTPIYFFGLYIILTKTPIQMKEVKWYLVNLHVTIILFDYSIGLLTMPILLLPSFAGYPLGLLRHYGVSTIDQTLIVFCLCGFMITAIIVLFESRFFIVCEFRGKRYWSMIRKKWIAFLYVIVILYVLPFKYLCPDQEPAKQRLFKNCLEYRNMQKSKYDFSPSLIRLQRLPCLPSYIYNAPILVLVEDITYHLTVIIVWLVVCFNGLIALLIYIYWNTAKLLKNHRMSPQTYQIHRIFMSALVIQLVIPFCTLIGPAVAVLTSIITNYYNQGIINFVILSITLHGSVTTIAMLIVHKPYRLAVKEMLRKCSFQSTDVSRREMYANHVARMMSTTQ >CRE27904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig324:8709:15030:1 gene:WBGene00067679 transcript:CRE27904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eat-5 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3NJ91] MNMLGSMFSTVKPRLDDLGTDRLNYYYSTLIIMGMSLTITARQYVGSPLQCWVPAQFTKAWEQYAEDYCFVYNTYWVKPNDKVPLTVEERVSQQLIYYQWAPFIMAIEAAFFYLPVIFWSMLSTKSGINIIKLVETAQKAEGAESEDRKKQIDIICRHISNNLRKRRTEEETTKMAKIQRIFGMQHGKFITNVYLVTKFIYMLNSLLQFYSTNKFLGQNDPYWGMRILDDILHGTDWEHSGNFPRIAMCDFQVRVLGNLQRHSIQCVLTLNMFNEKIFLFLYIWFLLVFFVTFFDSCFLIYNMFSSHKIVEFLQQFLDNQEVDENEEKKPDEEKKKVYRKEQHAILLSEFCLHKFTPDIIILLKMINNHTGDIVCTEIVGRMWNEFLKRDAKLVLQRFVDNDHNIETKSSSLKSVQLYEEQRSFI >CRE27909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig324:3566:6551:-1 gene:WBGene00067680 transcript:CRE27909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfd-6 description:CRE-PFD-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NJ90] MADMQKFEEEVNKLKTLEKDREKYFSSRQEMEMRLTESRNVKAELDLMDTDSKVYKLIGAVLVRQDLEEARSTVEKRLEFIESEIKRVEASIADVTKKSSDQRDKVMNIQKTFQMMAQQAQQAQAAQKK >CRE15603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:1052:29347:-1 gene:WBGene00067681 transcript:CRE15603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15603 MRSSTARSGSQSTDDRRSVVSGNSSTASTLVPDSLASGKTATSQLRKFTAGVGRPGLAREARDAVRAALVAQNPLRKAPISEPVDYEKFINERSIQLENDAQREIVLFPRDDIEECSSVQECETAIPMVKPSDIKQAKWLLTREALRFYTTSQRSIVFNYSKFSGDYSANNTAEMSSFLLIAPQVPQPILAPKAPQPILAPKAPQPILAPQVPQPIQAPQVPQPILTPQAPQPIQAPQVPQPILTPQAPQPIQAPQAPQPILAPQVPQPIQAPQVPQPILTPQAPQTINETLSSLVFESDMALEDERAAYGVTTNGWIGVVKEGNLNVVKSDAPTLFDNLKSSSSKRRYCILRRLEGGESTFEIRKLPQEAPKIAPLKVTTAQIKSTKKGKTVLEIRGGDEKCVLLESEETQMLEEWLVALQTAIAFANKEDALSICSELDTKGSKSGDMNTVATSSSSSAIKSKGADTESIGSEDSSNSHKWAESKCLQYPSQSNFFSCRYDSQKAFSKARNISCTVRFVRGEEAIPEKAMVDRMSPAGPYCISSTCSIQHHQQNPVFGEEMKTQLPLNLTTSDHLLFSFSHISVAGNSSVKSSESTETPIGYSWLPLVWKKDRLIMESDEQEFALPVAIDLPQNYYRLKPTGMAGKGEDSQSEVRWVDQKPLFRVRLRLVSSVFTTDPKLQTFFQACDRLSAKGIIGDAADSLKRMVKSKSSSPSGDILSSSHPRSCSPIADSMQRSMSLEDEHPHMKHLLHSIRLLSDVPFDRLLVFLPIVLGRLFAILPQAPTEQLAVATLRSIISICDMCHQNGRARVVRRFVKSNFADAAAREQFVSHEATIYSVICRHLPTLMREMQSESPDELGQLYRQLWVLTDAIIKSMAQTMCSEQLNKISQRDRFPTEVLEQMGLILDVGVQQIVTKHKEMKDESRCANLAFAYLARFALNFVDRGVVFRWIHNYISRLDDTDFRALRDYKTDFLEILCLHEHHVPLNLPVLINGASQIQRLNYSGGVVDTQLQTTNASGSGFLSRFFNQIFNTPTLETNETDRYASCSGEWHLSPSFAQNHFIVGLLMQELVACIRETKDYRKRPILLLRNLLAKHSFDRRYGDMTIQRRIAMLYAPVLTFALDHLHEFVSEEFEDVDATPTGYRSFPTAHTQTIASSVSAKSMSKFRSVTNRYTEVNQFSRGSPVRTSSPVPSTHSTMTTSRPPQMPPPPPLGASNGAGGGQKTSSTPLVEKLTEDEIQDILICCVFILQRMPKRILAALWTENDGANAEKMIRLLELIVDVFRYRGKEHALRRTAANSKTRSLFTLNLPSARVSSTTPNRQSAEMMMGDDDPNASGSTNSIPFRVLQLVNLSQEVALIVLDVAQTFAHQLAASQRHHRHWPHSETLFHSLLSLHLRLCDDHWSECVRLHVIAGLALFVNLFRTRLFEGGPLEPLYMLIEKVLIQMASRLPAVQAAAAALLQLILRNGYEVAQGYFASQVLAQSVSPSSSKINNQSGGSRKGVSSERLGRPGSQTGVALARLLGTASRCG >CRE14407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1142:875:3171:-1 gene:WBGene00067682 transcript:CRE14407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14407 MQPWRGRNSAARALQPPIVDRRNMFSLYYRLQPLPHSNFETSTILPRSQKRPSDSEGVVSLSPVKMRKTSSLKREIRPQQLNTSIPLFISIDFHSLHLRLPNSSGVLQQIEPFFVRFFVFDALEARRICEEFQILVNGDDLHVSEPVFDASCRINGIRRSLLVERTASRALLQLPPSTQNHRDLWLVCRVDRVLSSDTQAELYMKSSADPKTVAKLQKTISSSMTRLEGHRQRFAWTAKPLFPELRQNNESSAPFNTSASSLMTSPSTVLPTSSNTTTPTSTVWSKTGADALQLFKCDPNRYSDVDLQKNLMEFNKLEKQSKLIVPNASISVALNTRANVLDYLSKFSVEIG >CRE15604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:38831:45156:-1 gene:WBGene00067684 transcript:CRE15604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15604 MARKKLSAVLRDPRKVFLALYVYFLMIAFGDQVVQAIEGVLINGNGQYPNLAEYQYQDPASRFYRNSHGLVLTDFNRDIYYRNQPLITPWNRRIRRNAPDNLQPLLDRSIAFARIINGVSLQSGLLSGTHKIEVAVGELLNFGSIKMPEVVGFKADKITALTSKLTDFKKVKTGNEEETQKKMFVELEKIMEESEGAKSLEISDGKYFTDVEDFVKNFDITNMNIIVELVKDALELVEALKKIPTEKPTQSNDAIGHWKTITGVTGQLTNLASSFKPVIETFNTGLKSFANLETFPDVLKPYRTVIRLIEARAGLKTSGTEALQKNIGQFIELLKVSNSSNGEFSTIYRLIHSRAHPVQMNREVTIGFLNGVTDFDKLLKELQDPSFAQMVGEKKVKKLLDGLKPILSIQSKIDELEGKFGLFSKDSFLSTSQVQMLQVEMQRMSITDKEVEEVLKAIEIPADIDSAIYKAAKDLIEAAETFTRMIQSVRKWAEHLVGEELGEAIKEFDKELNFKDRTDVDQSKAEIPAVMKNLKDKDSIKKFQDALTATQSLLNGTTGDKIKAGAASIKQDGLNTFKDQSEVKDEMKFHTKLRDNKEKLMTIVEAIGLIHRTQKLDSGEIQKLEALAKAIPEASKLLTAQHLKSIMESMKTAENLESKSLGQTTGADRIMKPITESVKGLQMIHDFNNMEFHHIGASAARDGHSCFLRMCMIHKVFTXXXXENLESKSLGQTTGADRIMKPITESVKGLQMIHDFNNMNLVVDLKTVENEVQGTIGQLKDSVKQAEIRKKWNNLKAEIKSLEAWLQQVSTVNQNLVISGSNKLADVGAPFVKLTAIGDLKFDVKTKIELLMGLIPLFIKDQATLDKLMEAKGTLEILQSLDLEFSKFHKSFNDAPDKFQALYVFIGNFFTIASSALQAESSTLNAIMMTTAEGAMYILEMTIEIFITAIVTAICCPLLIVLIVCRLHPKCYWKKKVEEKKKNRLRKLKEHAEKKEKERLLRKLKEHVASISTPALDTNPNNIKSHQDVICPTTKVSLRQEYTPPGTEELPLNPDAPVVPQPPSLMTAIPNTEESLKTAKERRQLDRVSGHQNMAVPGQVTNWIKDALFVTDREMVETHSGTMNTLSTTFSSLMNQEKKECDTYLPMEKRRGSKNACRFQTRVTPLRLEGENTDLAIHANLITSPHTGIRFIPTQAPIGNDEELNGKVDTRGDFWMMAFIQGCKCIVMLCKMKERKGKKEVTKCGKYYCDDPGESIECGRFKVKTLSKSEGWVGFKTNEFIYRQLEVTDKEGILETRIYDHYQAVGWPDGNIPTNYGPDFLYKLVNRVTEGADNSPIIVHCSSGIGRTMVFIGMHVIPEAVAHGETKTIAQAITLLRECRFNAVQTVRQSIFLQNAVTYRFCIWYRIDLKYYNSPYERLRDVSANVLERLGNDFYIKYFTENGTPIHNEDKAGF >CRE15605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:45884:58063:-1 gene:WBGene00067685 transcript:CRE15605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15605 MFLKNNALIAIACIGLLALSATITNHEKTAPPDNIQILLDRTSPFARISNGITLQTGLINGSINFDEAIAEILNFGPVKMSDVTGFKPDTVNALIAKLTEVRGTIDSTQETLDAEKQVLAFDKIRKGSNVSEKLEVTKKMQGYFTDVATFSTDFNFTSVADLAERFKEFSEGLDGIQKVSSTKELQERVTAQLAFIKVINNFDNISNKMATVSVELKKYESVQTGVEKLKPFQTFIDIMKSRGKSVAFDKVQSNLKTFLDLVSVHKAAENPLTSIRNLVIARLFPLRADRKHTSGFPNGYSDIEKLMSDLQDSNLQKTLKSPLNQLNDGLKPLLMIPYKIAEVNKKFETLKSPDFIWQLESLVALWSKISVTKEEKDNVFTAYKASVTAIPDTILDPLKTYLKQIQQFSTSTSTLSDLTTNSEEFKNALKEFKSQLTFADIKKPTETEVPEVMKNLRDTKALEKFVPIYQKMSEKIKNVTGIDYQGAAKNINRASLITFLGTQEVKDELNQQENIQKLGKGAEQVSVGIRMISQLRDFNKDPKPLSELKTIVAAISEVSAEMKKLGGVVGEMKTMSSTKEVAELNKFKDNNPEAFLKVMHGIHKAWEFLKEKKSLEKLQQADGVLKTEVGKLTDPNLKNEVLSSWGDLKTLEDGMKQVESVNSKLDMSKSKSIHDDSRVLEEFKSIEDVVFDGENKKKALGLLALNNLNTPSGAEESINNLESLDLKFSKFKSSFASARAAFENFYSVLGSFLNPDVDLPNQSIRSSTEGAAAAGPNWQLIGGCVVGALIFIVFVIVGWNKRWCRKPPPNDVEEGDETTPPESIIPNNDGDKHKKEESWGKDSKSSKPVKPKDNTTTDALKKGSKNDEKAGQKVDKTRTETEDAEEAKQDELNPTFVVKDKEKLVKHMKAHSDLGPDDVYKIAFNSLEGERMKSMSHDFVKEAQKILPKEKQRQFKYSPVNKIRVPKDKTTSKRIPIHATEMIGHNKRVVITQAPQKGDKSGIMLDTCEDYLNMIINTEATLGIVIMEMNELEDETFHNYIPKKVGQSLTIGNHQIRMASETDVFPGLNEKHIITRELEITDKSGKTRALTLYQYIMWPAKNIPQVNHASIYLLFQKVKRTETPVIVHSMNGTDRAMALVGMFMTFDYFAEAPNSRVMADVLPWLRRYRPGCIRYISMMMWLHMGITYIYIQEHIEIEHDRQAALKKYEKNYEIMYEMRNNLEKMPEMEKDRRELQKKTTGQPAIPFEF >CRE15606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:62801:65505:-1 gene:WBGene00067686 transcript:CRE15606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15606 MQSNLFPAPPDNIQILLDRTSPFARISNGITLQTGLINGSINFDEAIAEILNFGPVKMSDVTGFKPDTVEALIAKLTEARGTIDSTKETLDAEKQVLAFDKIRKGSNVSEKLEVTKEMQGYFTDVARFSSDFNFDSFTELAGNFTVFFDALVRIQKIPEKRIQQKRFEELAAFSDVIFHSEIILNNMDAVSAELKKYESIQSGVEKLKPFQSFIDIMKSRGKLVAFDKVQSNLKTFLDLVSIHKAAENPLTSIRNLVIARLFPLRADRKHTSGFPNGYSDIEKLMSDLQDSNLQKTLKSPLNQLNDGLKPLLMIPYKIAEVNKKFETLKSPGFIWQLENLVALWSEISVTKEDSENVFTAYKTSVTAIPNTILDPLKTYLNEVKQFSTSISMLSELTTGSEEFKNALKEFRSIFKFADISTPQKEEEEGVIKNLRDNKSLEKFVPIYQKMSEKIKNVTGIDYQGAAKNITQTSLDTFLNTKEVNAELTQQDTIQKLGDSAEQVSVGIRMISQLRDFNKDPKPVSELRTIVAAISEVSAEMKKLGGVVGEMKAMDSSKEVAELNKFKDNNPEAFLKAVHGIHKAWEFLKEKKSLEKLQLADGVLKTEVGKLTDPNLKNEVLSSWGDLKTLEDGMKQVESVNSKLDISKSKSIHDDSKLLEEFKSIEDVVFDGEXXXXEIPKTGGTKEKSKEQKVEIPKAEEKKNDKEVKEKPKNEKDNKSSKPVKSKDNTATDALKKGSKNDKKAGQKVEKTRTETEDAEEAKQDELDPT >CRE15607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:67723:71266:-1 gene:WBGene00067687 transcript:CRE15607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15607 MLLKNNSLIAIVCFGFFALSATITNHEKTGHRLVLTDFNGDIYYRDQPLITPWNRRVRRNAPDNLQTLLDRSTAFARIINGVSLQSGLFSGTHKIEVVVQELLNFGSIKMPEIVGFKADKITALTSTLNGFKKVKTENEVKDQEKMIVELKKIMEESEGAKSLEISDGKYFSDVGNFVKKFDIANMEKIVKLVENALELVEGLKKIPTEKPTQSNDAIDHWAAITDVTGHLTKFAQFKPVMETFNTSFKSFANLETFPEVLKPYRTVIRLIEARNGLKTSGTEALQKNIGQFMELLKVSNSSNKEVSMIYHLIHSRVNPVQMNREVTIGFLNGVTDFDKLLKDLQDPSFAKMVGEKKVKKLLDGLKPILSIQSKIDELNGKFGLFSKDSFLSTSEVQMLQVEMQGMSITDNDVDEVLNAINIPAEIDSKVYKDAKDLIEAAETFTKMIQSVRTWAEHLVGKELAEAIKEFDKDLNFKDRTDVDQSKTEIPAVMKNLKDKDSIKKFQDALTATQSLLNGYTGDNITAGAASIKQDGLNKFKDDQVVADEMKFHGRIRDNKQKLVAIVEAIELIHRTQNLDSGEIQKLESLAKAIPDASKLLTAQHLKSIGESMKTAENLESKSLGQTTGADRIMKPVAESVKGLQMIHDFSDMNLVTDLKTVENEVQKAIGQLKDSMKQGEIRKKWNNLNAESKSLEAWLQQVSTVNQNLVIGGSNKLADVGAPFVKLTAIGDFKFDVKNKIEVLMELIPLFIKDQATLDKLNGAKNTLSTLQTLDLEFSKFHKSFNDAPDKFQALYVFIGNFFTIAESNQAALRQSSTIEAIVTTNTGTTGTVIIIIVLVTLLVTVFIALIICRFNSHCWWNKRVKKQKEEEEHQPLTYPNDKPVANEKSASHSLLPPVTNQGDAPAPASQKQLQKSDGSVSKEVEKSEEKEVVKKVENNTKE >CRE15594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:71543:74132:1 gene:WBGene00067688 transcript:CRE15594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15594 MSASNQKAHGSTARTATLHVATRLNKFIPLPPRPKFRLNNLLQRGNVLCFIRSKSSHFLAPDSISQLKMRYLNVTTNGYLIIYEDNSRGLVVDLRQAINVFCNADRFVQKSKKVNYLRCHIKIRLARGNIHLFVREEDVHKWTCAIMRASSSMIQKPLNSEDDVLMTAIEAEDSGDFEEMSTTSSCYSEEPLYSDDDDEQEEVSEEMETVIEKSIQNPPLTSVRSLCEKMEKDLKLKPKEQVLAEQLQKQRLHSQEDVKKEESFTSEVYCNIPNETIIVLKPTDESERKEEEMEVEREEKWWTRSLRC >CRE15608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:76318:79877:-1 gene:WBGene00067689 transcript:CRE15608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15608 description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:E3N2N0] MLNKSIMDGDNPDLTEERMKGTFDTDEMAAVIYGSEKLARRRREISEAISRIPELADIKPLPFLDRFEKVTEGSRKLAVIKEHVKELIDEDNYEEGLHLYHEVMGMEGHPLALHEVMFIPALVAQASDEQHKKWLGRAKRREIIGCYAQTEMGHGSNLRKLETTATYTPATQEFILHTPTETALKWWPGALGKSSNWAVVVANLITNGKNYGPHPFMVQLRDESTHIPLPEITVGDIGPKMAFNGGDNGFLGFNQFRIPRGYLLDRHAKVEADGSYVKPPHAKINHSAMIRVRSHMATGQGALLAHALVIAVRYSAVRRQGFLEDKSREVKVLDYQTQQHRLFPSIARAYAFIFCGFETIQLYDQLLIDVKNGDTSGMSDLHALTSGLKSVVTHYVGQGIEQARMACGGHGYSMASYISEIYGVAIGGCTYEGENMVMLLQLARYLVKSVEQIKTGKSMELGPMVAYLAEPDTKVDLTTGLAAYVKVFQHAARRQAWKATDKFHKLMESGQSRDIAWNNCAVELTRASRLHTRLYIMETFIRRVSSISDIAIKKVLIDLVNVHVNYEVLDIGTYALEHVSSTQLDSIRSQLYSSLDTLRPNAVSLIDSFQISDMQLRSVSTRHTDTQTDTLIQVLGRRDGHVYPNLFEWAKASPLNQTHVLPSVNQYLKSMMDKAKL >CRE15609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:80034:84158:-1 gene:WBGene00067690 transcript:CRE15609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15609 description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:E3N2N1] MLNKSIMDGDNPDLTEERMKGAFDTDKMAAVIYGSEKFAIRRREITESISRIPQLADSKPFSFMTREEKISESCRKLSVLSEHWNQLIDTNNEEEALHLYREVLGLEGHAFSLHETMFIPSLVAQANDEQQEKWLGRAKRREIIGCYAQTEMGHGTNLRALETTATYYPSTQEFILHTPTETALKWWPGALGKSSNYAVVVADLVIRDTHYGAHPFMVQLRDEKTHNPLPGITVGDIGPKMAFNGADNGFLGFNQYKLPRDHMLMRHSRVEENGTYTKPPHAKIGYSSMVKVRSRMAMDQGLFMVSALVIAVRYSAVRRQGFLDDKSREVKVLDYQTQQHRLFPSIARAYAFIFCGFETIQLYDQLLIDVKNGDTSGMSDLHALTSGLKSVVTHQTGEGIEQARMACGGHGYSMASYISEIYGVAIGGCTYEGENMVMLLQLARYLVKSVELVKTGKSKELGPMMNYLAEPDTQIDLTSGPEAYVKVFQHAARRQVWKATDKFHQLMESGQSRDIAWNNSAVELTRASRLHTRLYIMETFIRRVSSISDVSIKKVLIDLVNVHVNYEVLDIGTYALEHVSSTQLDSIRSQLYSSLDTLRPNAVSLIDSFQISDMQLRSVLGRRDGHVYTNLFEWAKASPLNQTHVLPSVNQYLKPMMDKAKL >CRE15610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:84410:89657:-1 gene:WBGene00067692 transcript:CRE15610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15610 description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:E3N2N2] MMLNKSIMDGDNPDLTEERMKGTFDTDKMAALIYGSEKFARRRREISDCVSRIPQLADIKPYPFLTRDEKLMEGTRKISVLNHYLPAIIDKDNAAESLHLHQEVIGFEGHPLALHDSLFIPPLLTQGTDEQHEKWLGRAKRREIIGCYAQTEMGHGSNLRALETTATYDISTQEFILHTPTITALKWWPGNLGKSSNWAVVVADLVIKDTHYGAHMFMVQIRDEKTHEPLTGITVGDIGPKMAFNAADNGFLGFDHYRIPRDHLLMKYSRVEPDGTYIKPMHAKINYHGMVRVRSNMTTEQGLFLAHALTIAVRYSAVRRQGYLDDKSHEVKVLDYQTQQHRLFPSIARAYAFQFAGAETWKLYEQVLNGMKSGNVELMADLHALTSGLKSVVTHQTGEGIEQARMACGGHGYSMASYIFEIFGLAIGGCTYEGENMVMLLQLARYLVKSVELVQSGKSVGPMVAYLAEPDTKVDLTTGPEAYVKVFQHAARRQAWKATDKFHELMKSGQSRDIAWNNCAVELIRASRLHTRLYIMETFIRRVSSISDISIKKVLIDLVNVHVNYEVLDIGTYALEHVSSTQLDSIRSQLYSSLDTLRPNAVSLIDSFQISDMQLRSVLGRRDGHVYPNLFEWAKASPLNQTHVLPSVNQYLKPMMDKAKL >CRE15611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:89835:95505:-1 gene:WBGene00067693 transcript:CRE15611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acox-1 description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:E3N2N3] MPQFLNKTIQDGDNPDLTEERKTGTFNTNDMASQIWGSEKRARRRREISAKIAQLPELHDSKPLPFMTREEKIMESARKVTILTARMSEIIDPTDPAELYHLNNEVLGIEGNPMALHGVMFIPALSAQASDEQQAKWLPRALRREIIGTYAQTEMGHGTNLQNLETTATYDISTQEFILHTPQITALKWWPGNLGKSSNYAVVVAHMIIKGKNYGPHPFMVQLRDEKTHEPLTGITVGDIGPKMAYNIVDNGFLGFNQYRIPRTNLLMRHTKVEADGTYIKPPHAKINYSAMVHVRSYMLTGQAIMLSMALNIATRYSSVRRQGQIDKSQPEVKVLDYQTQQHRLFPSIARAYAFQFAGAETVKLYEHVLKEMKSGNVELMADLHALTSGLKSVVTHQTGEGIEQARMACGGHGYSMASYISEIYGVAIGGCTYEGENMVMLLQLARYLIKSAAAVKSGNISKLGPLVAYLGAKSEPTSLIDRVPNSGFVEYVKTFQHIAKRQTLKAADMFFGLMGKGEKREIAWNKSSVELTRASRLHTRLFIVEAFIRRVNEIVDFRIKEALTDLLHLHVNYELLDMAQYALEDGFLSSSQLDYIREQLYFYLGKVRPNAVSLVDSWEFSDIELRSVLGRRDGHVYPNLFKWAKSSPLNKTDVLPSVTQYLKPMMEKARQSSKL >CRE15595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:132228:136050:1 gene:WBGene00067695 transcript:CRE15595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15595 MGGPDAPVSRVVVTSETVVPEAPSPIPPPAQFEEVRRVAVSPPLPSKKMTDAENPFRPEEILYHEVDPIVEQYLHKPFPPSRPGSAQNTPTKQQHFSQASTPPPTTNESPLYLQNGLSKEQLVQNEKNEANHSEPLLAEHNRTEEYVEELPPAGKVELIHVKKKKCGCCSVQ >CRE15596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:146669:155135:1 gene:WBGene00067696 transcript:CRE15596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-14 description:CRE-NPR-14 protein [Source:UniProtKB/TrEMBL;Acc:E3N2N5] MTSDNSSSLSLAPPTSMTSSGEVVDEYDTSDIIGLQVKTHEEYEDIIAIALWPNAVEQGFVLVLGAMMVIGVVGNTLVVVVVATNKSMRNALNLVLMNLAIADLLILLFCLPLTVVNDVTKTFWFSAVFCKSVNFINNTSVYVSIMSLVFITCERWRAITYPLKSPFVRTRSVIAGIWLLAMFLSSPEPVTLHLQGAPFVRPNFTTQWGTRCKESWSEEFQMNYQLVQTIFSFVLPLLVISVLCLHMVRTLHFSSTNLTVASRQISIRKKAVRMLCAVVFLFAISNLPVHLYNIALNYGLLSTDVSTNTIAVRKLLPRVFSYSSSCLNPILYSFLSGRFREEFGRVVCCLRDEKSSREFRRKQASLYASSQRVRTNSCSTMLLEREMRSSLIIRRPQAPPTSEQSPPPPVFSLEDVREEATDSEAFVLQDC >CRE15612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:155829:167228:-1 gene:WBGene00067697 transcript:CRE15612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmr-1 description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3N2N6] MNGSVATAAPANGSGKHDKEAPQATAAIFRVQGNTVTTSSANSSTVAQKHQSTTPLVKSEVSTNHISREKRKSVGGGKDDIMIETLSSEQAASHEVVPCTHQLRTNLDEGLTTAEASRRRQYHGYNEFDVGEEEPIYKKYLEQFQNPLILLLLASAFVSIIMKQYDDAISITVAVVIVVTVGFVQEYRSEKTLEQLTKLVPPTCHVLRDGKESMMLARELVPGDIVMLNTGDRIPSDLRIAESFSLQIDESSLTGETEPKHKETRAVPQATIGTGADVEHLTCIAFMGTLVCAGRGRGIVISTAANSQFGEVVKMMMGEESPKTPLQKSMDDLGKQLSIYSFAVIAVIFLIGMFQGRNVVDMFTIGVSLAVAAIPEGLPIVVAVTLAIGVMRMAKRRAVVKKMPAVETLGCVTVICSDKTGTLTKNEMTAQSIVTPEGKLAEITGIGYSADGGVVQYQGELVQQWTHPEFARIIEAGIVCNNASIEADKLIGQPTEGAIVVLAKKAQLEGVRAQYKRLREMPFTSDTKWMGVQCADAQGQNVYFIKGALDRVLDQCGTYYSADNQRKQCDQYSRQHILEIGKELGQKGLRVLGLARGESMQSLMFLGMIGMMDPPRPGAADAISIVKASGVDVKLITGDAMETAQSIGASLGILSSTDSCLSGQQVDQMSDQDLELVIRQVTVFYRASPRHKLKIVKALQALGEVVAMTGDGVNDAVALKKADIGVAMGVCGTDVCKEAADMILCDDDFSTMTAAIEEGKAIYHNITNFVRFQLSTSVAALSLIAASTMFKFDNPLNAMQILWINIIMDGPPAQSLGVEPVDDDIIRQRPRNTKQPMLTGKLIMDILASAAIIVVGTLSVFYKEMSADNKVTPRDTTMTFTCFVLFDMWNALSCRSSRKMIWQIGLRRNRMFSLAVSASLICQLLVIYWSPLQHIFQTEALSLFDLIFLTTITSSVFIFNETRKYFRLRSKSLNHDPLSVSGI >CRE15613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:178514:188474:-1 gene:WBGene00067698 transcript:CRE15613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smu-1 description:CRE-SMU-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N2N7] MASIEIESSDVIRLIEQFLKESNLHRTLAVLQEETNVSLNTVDSIDAFCNEITSGNWDNVLKIVQSLKLPAKKLIDLYEHVIIELVEMRELATARLVARQTDPMILLKQVDPDRYARLESLINRPYFDSQEVYGDISREKRRNVISQTLSSEVHVVAPSRLLSLLGQSLKWQLHQGLLPPGTAIDLFRGKAAQKEQIEERYPTMMARTIKFSTKSYPESAAFSPDANYLVSGSKDGFIEVWNYMNGKLRKDLKYQAQDNLMMMNAAVRCMSFSRDSEMLATGSVDGKIKVWKIETGDCLRRFDRAHTNGVCAVRFSKDNSHVLSGGNDHIVRVHGMKSGKCLKEMRGHTSYVTDVRYSDEGNHIISCSSDGSIRVWHAKSGECLSTFRVGSDDHPILNVLPIPKSDPPQMVVCNRSNTLYVVNISGQVVRTMTSGKREKGDFISCILSPKGEWAYAIAEDGVMYCFMVLSATLETTLPVTERLPIGLIHHPHQNLIATYAEDGHLKLWTD >CRE15614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:189002:190963:-1 gene:WBGene00067699 transcript:CRE15614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-15 description:CRE-NLP-15 protein [Source:UniProtKB/TrEMBL;Acc:E3N2N8] MDISTRTESAAVRLRPVGSLFFLSRPNEKRAFDSLAGSGFGAFNKRAFDTMGGHGFSGFDKRAFDSLAGSGFGAFNKRAFDSLAGSGFGAFNKRAFDSLAGSGFSGFDKRAFDSLAGQGFGAFEKRAFDTVSTSGFDDFKL >CRE15615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:207572:208713:-1 gene:WBGene00067700 transcript:CRE15615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15615 MLAIPRLSQCTARTLSMTSSLLKEKKSTSSANVEFGDDGLPKDYKLKTLRAGSRRLDTFVNRATGQSSSEVVKLIMQGKVRVNEEVYTKKAYNICQDDVIEVWKSPFADNNSLANVERTEIVAYEVTDQGYNIEVKSWKNFLSDNWRSSQ >CRE15616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:208851:212626:-1 gene:WBGene00067701 transcript:CRE15616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-efsc-1 description:CRE-SELB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N2P0] MLGTYFLLFFSNFHRLIAIFKIFALERTFSFYSSFYQMTSAPSTSGSALNIGILGHVDSGKTTLTRRIAEMGSTSAFDAHATSSGATTSSEVRRNTLDLGFSTMTSSSGRRLALIDCPGHAGLIRAVLAASTVFDMAIVVIDVLSGIQPQTAEHLLLASKFCPNRVIIVLNKCDLADPTKIKDTTKKVRKGLKSMGVDESSPVVEMSLAEGFFKEEMLENLKNAIESRIFEPRRDTDGSFLIAIDHCFAIKGQGTVLTGTVIRGVLRLNTEIEFSSLSERRRVKTLESWKQRVAYVASGERAAFLVTPSFDENRFSRCISGPPGTLKSTKTVLATVEPIQFFRKSINSKSKIHVAVAFETVMAECQFLRDAENGEMELLSSLHPPCRVLLKFEKSIFLPENFEMPYMAARLEQQPGSGCRFAFSGVLESRNNTENLKIFSRKCRKGVVERIEKDGQSAICTGMFKAETNFDVFRNFQILTSSGPRGTIEGAFGKSGKFRVVFRENIDGIVKEKEEILLFMKKYHSDNRLVSYIPDDKYSPNC >CRE15617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:212785:215093:-1 gene:WBGene00067703 transcript:CRE15617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gale-1 description:CRE-GALE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N2P1] MHLLVTGAAGFIGSHTVLELLNSGYTVLCIDNFANAISVTDEHGNAISLKRVAVITGKEVPFKNVDVCDEKALEKIFAENKFDGVIHLAALKAVGESVAKPLQYYSNNLVASLNLIQMCLKYNVKNFVFSSSATVYGPPSELPITEKSQTGQGITNPYGQTKYMMEQILIDVGKANPDWNVVLLRYFNPVGAHKSGLIGEDPKGVPNNLMPYVSQVAIGKLPVLTIYGDKFDTIDGTGVRDYIHVVDLAKGHVKAFDRIKVGKMKEKLKNTVGNVGTEIYNLGTGVGYSVRQMVDALKKVSGRDIPVKIGVPRPGDVASVYCDPSLAHEKLGWRAENGLEEMCADLWNWQTKNPQGFSA >CRE15618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:217746:218390:-1 gene:WBGene00067704 transcript:CRE15618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prx-11 description:CRE-PRX-11 protein [Source:UniProtKB/TrEMBL;Acc:E3N2P2] MVNSTTLAHLNTNMDHLSTVLSSYAGRDKAIRSLAFYLQLRSTTSPDSSKEILALAKQLSAARLVSRQFNHASMLKSCRQILQAFNSGRIGDPMEFFTGAAVTGIYTVYGIVELLAWLSDAKLLSFDSARLYKWCLYLWLSALINGIIRQLRIIYRKGIEKSQEDILTLVGLSSDFISGLNSLPHKILWAGKLSMRQSATFSLLASIIGFYKLW >CRE15619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:219340:220329:-1 gene:WBGene00067705 transcript:CRE15619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-6 description:Eukaryotic translation initiation factor 6 [Source:UniProtKB/TrEMBL;Acc:E3N2P3] MALRVDYEGSNDVGVFCTLTNSYCLVGVGGTQNFYSILEAELSDLIPVVHTSINSTRIVGRLTVGNRHGLLVPNATTDQELQHLRNSLPDEVAIRRVDERLSALGNVIACNDHVAIVHAEISAETEQALVEVLKVEVFRVSLAQNSLVGSYCALSSNGCLVAARTPPETQRELAALLQIPVVAGTVNRGSELIGAGMVVNDWVAFCGLDSTSTELSVVESIFKLGEQGAPTSISNQLRDTLIESML >CRE15597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:220862:222000:1 gene:WBGene00067706 transcript:CRE15597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbs-2 description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:E3N2P4] MIENQIFINFHYRMAATDVKNTVPHMDMDRGGAFDFSNCIRNQAMCKMGAKAPRLTSTGTTIVAAAYKGGLVMGADSRATAGNIIADKHCEKVHKLTESIYACGAGTAADLDQVTKMLSGNLRLMELNTGRKARVITALRQAKQHLFNYQGYIGAYLLIGGVDPTGPHLYMCSANGTTMAFPFTAQGSGSYAAITILERDFKPDMTKEEAESLVQRALQAGMHGDNASGNSLNLVIIEPNETVFKGPIVPDFCKRPEPNDLTYKFQAGATKVLKHKTYKYDVVESMDVSH >CRE15598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:222923:224232:1 gene:WBGene00067708 transcript:CRE15598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tba-2 description:CRE-TBA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N2P5] MREVISIHVGQAGVQIGNACWELYCLEHGIQPDGTMPTQSTNEGESFTTFFSDTGSGRYVPRSIFVDLEPTVVDEIRTGTYKKLFHPEQMITGKEDAANNYARGHYTVGKELIDTVLDRIRRLADNCSGLQGFFVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDVERPSYTNLNRIISQVVSSITASLRFDGALNVDLNEFQTNLVPYPRIHFPLAAYTPLISAEKAYHEALSVSDITNSCFEPANQMVKCDPRHGKYMAVCLLYRGDVVPKDVNTAIAAIKTKRTIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVPRAVCMLSNTTAIAEAWSRLDYKFDLMYAKRAFVHWYVAHIHVLDSEF >CRE15599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:224696:226573:1 gene:WBGene00067709 transcript:CRE15599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15599 MAGHIINGNSGTIPRVGDEEEGVGQLVLDLQEKGTNFVLLKKTPQILELQTILKDRTTNHSDFVFNADRLMRLVIEEGLNHLPFTEHTVTTPTGFRYEGIQFNRGNCGVSLCRSGEAMEVSLRQCCRCIRIGKILIGDEQKVLYARLLPDINSRRVLLLYPTIGSGTTVCKAIEVLKEARVPDENIYLVALFISPTGLKNITRKYPYITVVASDITSLYPNHFSTSYFGAV >CRE15600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:230617:233180:1 gene:WBGene00067710 transcript:CRE15600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15600 MRVNHYVMVSCLVFGILVNIYVIIRLNIGVNIFEYLQKIQNLNLVPKCEIDMDNLLNFIDNRHFLELEEKEMRKETAVHEKTIQTMLFAFPGAGLGNKLFEVISLLGIAETLQRSPVINATNPDYVSTLSKNIQPIFPKLVEQFQLKIIPFTAIAHEHTNWGACCKFDNPAKFINRSEQHIMLDGHYFQSYKYFHHMRPKIREWLAPSKLTAVRAEILLPAKHRDDLIICTHVRRGDFQYDGVHQPSDATFTRAATDFLVEFYRTENYKFLQETNGTRYNYTLPTVSPLYTAVLTPTLTPEIDLGFSRLFCDVTLITAPSSTFGWWLSYLSKRKSTTYYRDITESKDGVIGEMREEDFYPPEWVKLKTDQFGRISSF >CRE15601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:233334:235123:1 gene:WBGene00067711 transcript:CRE15601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15601 MSSNTKSTKVVQEESAFESVGTGNASVKTSIIFSSVMIFLSLLIILLNWRLSRRVLARKKHPTVRKLRKMLIKGRSAVKTGILTPIETVILALEAGMTLEDVRLEYVNANGTREEILESVFGKLADNKFFARLISNSRFMETGYANEKIFLDLWKSESYRELVLTTQRPECPTQTFQPSALVDPLISQSKNPPSTTEIDTKATNTTSQAPPPPADPKPKTQRKSIIDKTAAALLHEGKPLLCSGGADDAI >CRE15620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:235898:244019:-1 gene:WBGene00067712 transcript:CRE15620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-85 description:CRE-NHR-85 protein [Source:UniProtKB/TrEMBL;Acc:E3N2P9] MDLSSTGSHLPCHLPLSLLTNLQSSPTASSSSFSSPPASSLLSPSPSSAFRPVIPRATVQIPTPAIDTFYMTAIQSLVVSTTSDDQYSELGPLEGTTSITKDENASTSAGTILCQVCSDKASGFHYGVFACEGCKGFFRRSIQQKIQYRACTRVEDCLILRNNRNRCQCCRLKKCLAVGMSRDAVRFGRVPKREKARMFEEMQKTNVQSQRDQIAIQYENLSEVMHKMNHAFAVLQNTLEKCTGPIYTDRCPISSNFIVIPLKAAIDFANSIPAFLSITQAHRVHLLQNSVFDVMLLASAASSTSSLSFPPGGIAYDQNSSNPMIPQIIQSISERIRQLPPQSIPILTAIAVCQADIMPDCQQPLLLSDQLWSVLGKVGGVQPLLVGPSLFKDVRSLRQWHNDRLRHVSQNFSPNQLLGIPQPVYLPPAFLSPPPSATSSSATSQKSEFIERHQSIASLLERPRRISSGPQEPLNLSISRHQMKVEEVEVDEEEQIKQE >CRE15622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:250113:251489:-1 gene:WBGene00067713 transcript:CRE15622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15622 MTSVDEKFDYNFHYTGCEFATWASATQTHNKQTGTSVTNEETLMCVGLVNAPNITQVAANELCHEMDGKLMTERHAGFINDGGNCGGSFSSIMLNTLMYPDYGTKFLSGYKNPEGYKIWFGLEKTKYSQSFKWQAPEWSIVNRQTYKMCSSGLPWNTYVNETINKVQFTWGPGQPKMASGLDCGYMHYKYQSPYPGYSLKTARCDDVADIFACGHETQAKKGIPPFQKIADEYGYGGRNFTYVEKERGFWQYLYYNGTDIKKSV >CRE15602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:254727:257031:1 gene:WBGene00067714 transcript:CRE15602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15602 MILLLFLFLTTPLTCLDSENNQPTYKFAIVSGVVDVANATTTLPSVESEDACVDKCETDANCILAFVSNSLTCYLFDYGSITAVSKNGTNGTGEAGTVAFKVSMKTNKTPLNANDTTKDLWKIDTSELGWIISYGTPPPLICGNFTYNRPYPDGCNPDCDVTMVQVNAIPGPLSTEYKVQDANNWNDCMYACHANLACFATYFDSTVGCRWWNIYKIWFFNRTEAQDMQGAHMAIKIPLSPISCKYTTEQLLDDKYYVSWGAFDASIKKRFQLPYNKILASVRRSFFRMRTTPKYYKFSAYVDEKFDYNYHYTGCEFATWASATQTINMQSGTAVTNEETLMCVGLVNAPNITQVAANELCHEMDGKLMTERHAGFINDGGNCPAPFSRIMLNANIYPDYGTKFLSGNKNPEGYKIWFGLEKGSSQSFKWQAPEWSITNGQTYKMCSSGIPWNTYVNETFNQEQFTWGPGEPVMADGKDCGYMHYIYNSTYPGYSLKTARCDDVADIFACGHETQAKKGIPPFQQIADEYGYGGRNFTYVKTELGYWQYQYYNDTATL >CRE15623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:257661:265198:-1 gene:WBGene00067715 transcript:CRE15623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-17 description:CRE-PTR-17 protein [Source:UniProtKB/TrEMBL;Acc:E3N2Q3] MKFRAAPLEKPWANIVAKYCLFVAKYPWPFIIVPFILTICLSMGIILNFKIVRGVNYLYAPLNATWKSEEAVFGENWAKDDEHFYPGKDILRRQGIYLIVNSKDGGDILRQDHAKDFLGVLEWISSVKLISSAGRIFTYKDVCLHFQNDCFSNTHAKLLADIYSKNHQNSMFNITYPIYRSTYATEPIDISKVLGNVTTDERGNVMSASAWMILYQLKAFGPGKGQLSKDFEDGLAEKIQKGETPSELLNLYYFHSATFDEELEKENRRLTPKFSITFSVLIVFAILSTFTIKFLTFKTENGTSQYPVIDWVLSKPLLGICGVLVTLCAIISSTGMLMLFNVTFVDMCTVMPFLSLTIGIDDTFLMLAAWHETDRNLPYEKRIEKSMRHAAVSISITSLTDALAFLIGSIAPLPAVMYFCYYSSAAILFIFLYCLTMFVAVLALQGKREQELRHSVTGGKTLDLDDFESATSRQLLVKMGSRITVKADEENNNNNNEKLNENSEKDVRMWYQKFFEDRYAPFISNWKISILSFLIYLGYLSAAFYGMQNLKIGFDLINIVQESSASRVFLEVRQKLFPEDTKIMDIAIMNSPNFTNSEERFEFLEVLSEFESTWCSEGRNSTQFWFFEMQKYLSQLGFGGDLNRTLNNEKKLSQSKKTFLMSHEKFGYDVLTEQQFRLSTRLRNVDNDEQISNCARTMRTLTSLHPKYNLTTYSPLWNIADEYDIMWPQTIQDIYISIAVMIPVALLFIPQPLCSVIIGLNIASIAFGVIGTMSFLGVSLDATSMITVAMSVGFSVDFAAHVSYAYMTESKPAKPGVSPIFSRFCHTLGTVGWPVTQASVSVLLGVSSLYLVDSYVVQTCFRTVVLVILFGTTHALVFLPLLLMNCHRIYDYFRRAPETP >CRE15625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:267961:270471:-1 gene:WBGene00067716 transcript:CRE15625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15625 MSRRPSRKFSLLRLPRLALIEVVKCWNPIEIYLFSRVSRKTKEISKLVKIPYLELYLRVSECYKVSLGNPACCASKHWYFRLYPGLRNYERYKNERYLDIYSKNAGKSIGKLIDHCLEIFDCEFGGMTVIIDPVMKSSNLKLFMNWINDNEKLSKIPYLSIYSGEEGDQFCLNWYMQNLKKDIGVLHLHRGSYETERSMKVRESKRLLKVNGKVDNLILFSREKLIDLDLMIRMDCVYMDVGGSLTNRDLNVFMKNWKEMKTNERVGFYFIGAKEILDWSIILEGLDGEIRDVRTVRREYTSPETQMFKVNGGVDITRSDGKIATIGMTFHMIHQDEPLSREMIRDYQKLIQNMNGQEMDMNFVHDPEYKLTAELRKEFFVMVK >CRE15626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:270899:272373:-1 gene:WBGene00067717 transcript:CRE15626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15626 MSRRPSRKFPLLRLPRLALIEVIKCWNPIEIYLFSRVSRKTKKISKLVKKQYLTLGLTVSKCYQVNLGASCSLSKHWYFRLYPGLRNYERYKNERYLDIYSKNAGKSVGKLIDHCLEIFYCEFRRMRVTIDPIMKSSNLNVFMNWINQHEKLTKIPYLYVGSEEDGDEFYLNWFMQNLKKDIGILDLGRCHYVWKVNRKVDNLTLNSREKLIDLHHLIRMDCVYMDVGGSLTNRDLNVFMKNWKEMKTNERVGFYLIHVKEILDWSIILEGLDGEIRDVRTVRREYISPWNSTQIFKVNGGVDITRSDGKVATIGMTFHMIFEDEPLSREVIRDYQRLIQNMEREEMDMNFVHDEEYKLTAEHRKEFFVMVK >CRE15627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:273153:274848:-1 gene:WBGene00067718 transcript:CRE15627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15627 MSRRPSRKFPLLRLPRLALIEVAKYWNPIEIYLFSRVSRKTKEISKLVKIPYLKLSLRVSKYYEVNLGNPARCLSTHWFFQLYPGLRNYERYKSERYLDIYSKNAGKSVGKLIDHCLEIFKCEFKGMSIIIDPVMKSSNFNVFLNWINHNEKLTKIPYLSIYSGEEGDAFYLNWFMHNLKKDIGVLDLPQYYYETERSMKVRESERMLRVNGKVDDLRFPSKEKFVDLDHLMRMDCVYLRGGETFLTNRDLNVFMKNWKEMKTNKRMGFYSFDAKEKLNWRVILEGLDGEIRDVRTVRREYNIYGISRTDGKIATIGMAFHMIFQDEPLSREMIRDYQRLIQNMEREEMDMNFVHDPEYKLTAELRKAFFVMVK >CRE15628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:275112:276433:-1 gene:WBGene00067719 transcript:CRE15628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15628 MSSINPFSLLRLPFIPLQNVIRMLNPHEVLKFAMISQKSKKIAKIFTIKKSCYMSIWFDTFPEFDISFTKHTYRTGRNYTQKYIRRSDLWRFGSTSESETVRYKQPEWQYLGIKSENPIATCLRMVEYIQEIYDTTLYCLVFFEKRFNTNDLKTIITWMNTRDSLHPISTFYVELKNRYSLDLVVNTLQASIDCFRPFLDDSTSMNSLKFPQTFKNTKYFTDHAPDCQKWVTVETLLTMNPERVYVEFSHLTDQDINKFLRNWMAGKSNFNMNRYNLGINKKMDWRQVLRGLKATIWHPETRKISYQINEDDTVTLHGGVDIERIDGKIATIEILCYHNHSSRRIRDDAIEEYEEAFRSWNEDKRDLESLEFRRGNDKGGEIAENEEEEEEEIGRYGYSLYRFSIHVW >CRE15629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:279600:281159:-1 gene:WBGene00067720 transcript:CRE15629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15629 MSRRPSRKFPLLRLPRLALIEVIKCWNPIEIYLFSRVSRKTKEISKLVKIPCLKLGLTVYNCYEVNLGYPASCMSKHWYFRLYPGLRNYERYKNERYLDIYSKNAGKSVGKLIDHCLEIFGCEFRTMRAFIYPIMKSSNLEVFMNWINKHEKLSKIPYLSAFCLKEGNQFDLNWFMHNLKKDIGVLDLAQYYYETERSMKVRGSEIMLKVNGKVDDLRFQSREKFVDLDHLIRMDCVYLRGGETSLTNRDLNVFMKNWKEMKTNERIKFYSFDAKENLDWRVILEGLDGEIRDVRTVRREYKSPWNKTQIYKVNGGVDVTRTDGKVATIGMAFHMIFGNEPLSREMIRDYQSLIVGQNIDGQEMDINFVHDEEYKLTAEVRKAFFVMVK >CRE15630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:281561:283246:-1 gene:WBGene00067721 transcript:CRE15630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15630 MSRRLSRKFPLLRLPRLALIEVVKCWNPIEIYLFSRVSRKTKKISKLVKIPYLTLGLTVYQCYEVSLDNPDCWARKHWYFRLYPGLRNYEKYRTERYLDIYSKNAGKSVGKLIDHCLEIFNCEFRGMSIIIDPVMKSSNLNVFMNWINQHEKLYKIPYLTASSKETGDQFYYNWFMHNLKKDIGVLTLYQSYYETERSMLKVNGKVDNLTLYSRKKFVDLDHLIRMDCVYTDVGGSLTNRDLNIFMKNWKEMKANERVGLYFFNAAEKLNWRVILKGLDGETRDVRTVRREYISPWDKTQIFKVNGGVDVTRTDGKVATIGMTFHMMFEDEPLSREMIRDYQRLIVGQNMDGQEMDMNFVHDPEYKLTAEQRKEFFVMVK >CRE15631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:283751:285468:-1 gene:WBGene00067722 transcript:CRE15631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15631 MSRRPPRKFPLLRLPRLALIEVVKCWNPIEIYLFSRVSRKTKEISKLFKIPCLKLGLTVYNCYEVNLGYPASCMSKNWYFRLYPGLRNYERYKNERYLDIYSKNAEKSVGKLIDHCLEIFGCEFRTMRAFIYPIMKSSNLNVFMNWINHNEKLSKIPYLSAFCLKEGNQFDFNWFMQNLKKDIGVMNLPQYYYETERSMKVRGSEIMLRVNGKVDDLRFQSREKFVDLDHLIRMDCVYMRGGETTLTNRDLNVFMKSWKEMKTNERMEFYSISAKEKLNWRVILEGLDGEIKDVRTVRREYKYPWNKTYKLKVNGGVDITRTDGKVATIGMTFHIIYQDEPLSREMIRDYQRLIQNMNGQEMDMNFVHDEEYRLTVELRKEFFVMVK >CRE15632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:285867:287793:-1 gene:WBGene00067723 transcript:CRE15632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15632 MSRRPPRKFPLFRLPRLALIEVIKCWNPIEIYLFSRVSRKTKEISKLVKIPNLNLELTVYNCYEVSMGYPASCMSKHWYFRLYPGLRNYEKYKNERYLDIYSKNAGKSVGKLVDHCLEIFYCEFRGMRVYIDPIMKSSNLNVFMNWINHNEKLSKIPYLSAFKLKEGNQLYLNWFMQNLKKDIGVLNLPQYYYETERSMKVRGSEKMLRVNGKVDDLRFQSREKFVDLDHLSRMDCVYISGRDTFLTNRDLNVFMKNWKEMKTNERMKFYSFDAKENLDWRVILEGLDGEIRDVRTVRREYKSPWNKTQIFKVNGGVDITRSDGKVATIGMAFHMIFQDEPLSREMIRDYQRLIEGQNIDGQEMDMNFVHDEEYKLTAEVRKAFFVMVK >CRE15633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:288485:290033:-1 gene:WBGene00067724 transcript:CRE15633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15633 MSRRPPRRFSLFRLPRLALIEVIKCWNPIEIYLFSRVSRKTKEISKLVKIPYLRLHLALYKYYEVSLGYPMSCMSKHWFFQLYPGLRNYERYKNERYLDIYSKNAGKSVGKLIDHCLEIFDCEFRSMSVYIDPVMKSSNLKVFINWINHNEKMPKIPYLYVSSEEEGNQFYVNWFMHNLKKDIGVLDLPHYYYETERSMKVRENEIMLKVNGKVDDLRFQSREKFVDLDHLSRMDCVYISGGEISLTNRDLNVFMKNWKEMKANERMGLYFFYAAEKLNWRVILDGLDGEIRDVRTVRREYTSPWNGTQIFKVNGGVDITRTDGKVATIGMTFHMIFQDEPLSREMIRDYQRLIQNMDEQEMDMNFVHDPEYKLTAEQRKAFFVMVD >CRE15636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:294698:298110:-1 gene:WBGene00067725 transcript:CRE15636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15636 MYFSKLVRVYENVNIVITIMVYLSGINAPNLFKTPSEDDFQIGLPLTQSTLTYIRSFMRCYSMIYVFDTLHLIYGLQGCLLFFFLITICINIIVHPMLVTILGEFFVFFKAYFVFCTEGDFMRIFSTVWSRLTSFFMSSINPFSLLRLPFIPLELVVRMLNHHEVLKFSMVSQKSKKIAKIFTIKKPCYISIWFDTFPEFDISFTKHKYRTGRNYTQKYIRRSDLWRFGSTSESETVRYKQPEWQYLGIKSDNPIDTCLGMIEYIQEIYNSNLHSFIFFEKRFNTNDLKTIIVWMNTSDSLHPISTFYVELKNRYSLDLVVNTLQTSIDCFRPFLDDNTSMNFLKFPQTFKNTKYFTDHAPDCQKWFTVDTLLTMSPERIYVEFSHLTDQDINKFLENWMAGKSNLNMKWHELGIQKKMDWRKVLRGLKATIWHPETRKISYQINDDDTVALHGGVNIERIDGKIATIEILCYHNHSSRRIRDNAIEEYEEAFRSWNEDKRDLESLEFRRGNDKGGEISENEEEEEEEEIGGYGYSLYRFSIRVW >CRE23830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1636:3810:4097:1 gene:WBGene00067727 transcript:CRE23830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23830 MAGITAHSQSQQFEDDEFNELKKTGISCDRLRQALKKCIKNSHCVQVDARSAKECIDAHDGSVPDKCFAVLQNFTDCKRSLVDMRSRFRGRKGDL >CRE23831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1636:4817:6809:-1 gene:WBGene00067728 transcript:CRE23831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23831 FFQEFSLISPFPERLSDNLRVSQSPVIRSAVFLCVRVLLLRLRPHSLIGVWPIMVTELVHALSQLESQLQSGEQEATGSSSDQWMQLYVAACKLLETLCTLPAGYLSHFQMFHWAFVSSVSADKTEIFKPFAERINDLLAKKYGELTPETMSNHTASLAGVKILTSFEELRPFFYTLANLNKSVPESNVSCRNRQFCVFFNTLRDAHALSGSLTYKNAVARLESALYVDFSEHLQF >CRE26733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:393799:398878:1 gene:WBGene00067729 transcript:CRE26733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26733 MSNGEITGLISKLEDAFAYATTELGTVFIPLAAARNKNETLLSLKDMFEYNEEIRLTVVKQPKPKNNCEFLAKTVRKAGTVASTSKRSEEIIGPLVARVTSTTDSFAFANADGYGTIFIPGDAFDKTVVRSVHNYISHKNHVIVRIKEQIERKGCKYVAIEAFKHLEPEKEEEVVVMRAIQKSEMIISHGIVLEVGPMEVHVFDEKNQAEVYCHMLTYTGGIRNAEMPKSLLEVINVNEHVMFKAKRTSDTRFDAIDWKPVENYEELEIPVKKNTSDSFQQTAPCTISMLLRSFLSRNPSAMEEYSGILHLIPDYALPDEYLMVRDSHRFEGTKWEDQ >CRE26732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:390902:392999:1 gene:WBGene00067730 transcript:CRE26732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26732 MATAYPDAKDSKIVDMDKEIPEKNGESKDSGEKMETVIVKKFEGSRKNWRKEAVKLERHVSKDVFADSDDEKDSDASISEEKDSEEVRKAQEHLKNLNIQFMDEIFRVAATFKSTKDRIEMIKKLGDEYYKDEKIRHAPQPLRFPATSFTGEVLSRHVQNTYIDLMPSLNNSVDLKKRKGDTINCNEAKMPKTEE >CRE26761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:382811:385416:-1 gene:WBGene00067731 transcript:CRE26761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26761 MVDNKHIKNAFDEYSKTKKGKLSRSEAKKALEDLDKSSNFSDRWRKYSSGGFVDLQGFLKMTSPQLSSEGHAPLKSYSGHHSETHKSELKKKKDKKTFEEEDDVEKRKGMVAEDSEDSDGDSDDVKGKKSKKSKKNAKKAAKKTKKSKKSSSSSSSDSDSSDSSDSSDDEKKKKSKKNKKSKKSKNQLDSEEDSEEDSEEDVPRRIPPKKLPPMAPESERRRHRLKKTEEHEEEKEKEEHHESNDENFEFDTNSRRRDDSEDTDDEILLEKDPEIDENQEKSGKSSKKSEKSHKSGKNHHHHCCCGATDEHKHTHEEEFLKKTDKIVNKHHDCAKCQVKCPICT >CRE26760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:369218:376787:-1 gene:WBGene00067732 transcript:CRE26760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26760 MTVISVTSSFLHVDSIGNSQNTCVLPEYDIWHPDIKKVLTAKFPTDNCDKSFEPWTQLVNSTWHVVNQEAGLCLARCIEQVRASREVILGKWMTPGHVNCEFLEAVCWDGGVEVYGYIHTQIIAKTPATSPPIHKPPHPNVFFIMIDSLSTGMAKRSFPKFLEYFQSEFSGIQFPYVNTVGENSHPNGVPLWFGKSVEFGHKVTGEEIDADWNGVEKCGRYIDNETHLFNQFRDLGYTVSTLLTEDWLVELMDSYPFCKGFLNKPVDHMFRPFTAVYDIVGMDITRQHLEGHLCREIHEAAMEYWEQAMNAYRDRPLFTFSWLIDLAHEFPDGPVRFDDYLTQFFERNREILDDSFIFISGDHGIRVGDHVTSEIGSFERNNPFLGISVPKKFRDDKNGMLEVMRMNSNQLQTHFDTRATIVDIIMYQPQTSFTGRNPLLIPDEKGHSLLRKQPDSPRTCGTLPIPSQYCICQVKKTKVKDQNLKMRLGQKVLDHVHMQLENLNFTSICRRYKLKEVPSLIEYHYSTQWNTYEIEVKTHKPSAVHFQTMITYNPKTHTATVAKVVRMDRYGGTASCTTKYLDTPTCYCRIQGPLSYITNLFDWLY >CRE26731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:362212:366689:1 gene:WBGene00067733 transcript:CRE26731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26731 MRAIQCALLLSIVIKGTNLDGIASSQDPSPDKVSVRTARTSSFLLNPRAYDPSSFHIPSSPLDNDPDFGGISKRDKRYSKKLFTDFVAHISTIARLANTIQMQSDLMNNQKMHLKVGELLNIGKIDIAELKEINTTRTLEFMQVFNKTLGNIDNTVIDGEKRFQLWEAIRKITKGLGKVEYFPDRDAYMKDAEQFYNSFFWYRFDDAWPPLRATLPPLRVLRDFSTESSTTRKEKDADVGRFIAVRESISEALPFVVNLKKVIDGLSPFEGWSFEDKRKVLLKPERLIQAITWRQEVQALGAANDEKKTLLNKNFGEVDNLKESVTVFGDVFEYFEENLNYSSNPSSDLRGFHAMTLLVSEIEDRWFKKVFKNIGDRKKMINSLKPMFALRNTTKSTKSNFTTDLETRFVIEDVNSIVKEFLKRKTTVGGSALYLGSIEYCGNKGGVVNKDQVAKALYPLSNSQELAEKFADFWSREDFSRDNIQSLLDDLNSVNKTLNPVNSTDHVYWFEQLPRLLTELNTNGTMKRLENSVERLMESSKTFEAKSLNDASLYSFYNHEVTDNFLKLPEVIKEREVYECLNSQQNKSEKAYEATRLIRLIRRFGGEGTKRVIAYVSKVAKMLESLDEFESISSVMKKNHNDEVDKVNKIDKLVVSRIDKAVTSVMNLLELRNIGLLEQLKAVQSNAKLEFDLMAQFGPNDELKTLWPLTKVISSLTKGLKYFEEHLQKFDYRSLKNLTDYDVFFKKLKGMPDVTIYPESLIQVLNYFIKHTMHDDRIDQFIETKKKLIQCLSMDLEFAKHFQGVGDAFQKFGDFLTQALAEVVSVPEENQEGQEEDEIDYTWIIVGCVVFVGCLSAVAVFVLWKKKLFCFKRKQNSVDCEVMDLDPVDNKPVLPPHLIIVAIGTQTFGRHPEHYELWTQLMVHVRTAPTPEERQFPYLPLMESKYLDPNIKLNPFTALQTVRLHGNKFRSRLGTVFYAMQAPMEANSMHDDTRADFLAMIVIDDVEFIVFLGETSSCGRYFTEAVGNLTIENFTVTNEGEQQFQNSADIVVRTLMVKDNKKKTQRKVKQFQVKNWKEGDIPNCGYEPLEAIMTEICKSKAPVVVHCTSGTGRTMSFIGMEYISRILETNEEMTFADAFKKLIEKRYSAFETARQIGWLQVGTVYFMSIRHNAELVMYQNIEGVFRDMVERNVGVPKGIKF >CRE26759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:342337:343386:-1 gene:WBGene00067734 transcript:CRE26759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26759 MAPANKENRLPKKPKAAPDPKLRNFLFQMVLKSENNANLSQIIKWTKKENLEFQLVDRQEVSRQWSVHKGGNKNMDYESLSRSLRFYYGINIMRKIPGKNFRYQFIPDDWTRAELAKWNARRFSIATILGSGTTRN >CRE26729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:338757:341156:1 gene:WBGene00067735 transcript:CRE26729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26729 MHETFSRLINRRDEDMFSFFQPDTQILLEYQPVHRHPLTPKSRDCSATPIDKSSEVLPDQPLSERSLCPYHHVLNYDEKRIPAAISEVECSCQQVKIHGGTIHCEPMMYNMRVMVFDDACDKYVERVQKVAMACVPVFSNHISSGTLQHNKPTQPSTPL >CRE26728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:323528:327186:1 gene:WBGene00067736 transcript:CRE26728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26728 MDSLIVPTTPEPHLLRPGNSTTELWEVILVASCPIIFIIFLCLCVVCLVVRSYERPSLPSVQTLRAAATSSSHIASILRQLPPPPKYDIAVTSVDAPPPLYSDIGKDLGPAGFRTTPSGGRPLGMHRQYPNHLMTPTVQPLNIQYLYPPRYEATISSDDTKVTPPPETTVILPRGRVEHVVVEIGGGAATSSA >CRE26727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:307688:312693:1 gene:WBGene00067737 transcript:CRE26727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-perm-4 MKTALLLTVASLATVLGAANNKPVDEVKPDAAAGRYNRPRPSYGSSSPSYSNGGGYGYPSYGPGYWQPLIQDRFVCDLDASVLLVVDSNRHHHNRPQPGYGYSNPSVPANRAVRVKCSEVANHDEDSCNFCCQQTARRDTTLPNDALFGFLAIVKTDDDETFKRDKRGADHDDDDDDDDDDDKDHRRRGGKYHRVDSTYVSEADWEPSKYRTNVKCVCCAPRINPAAQNPSTYNTAAAPPAASNPTYNGNGNTFGAVQPVPVPAAASQPLVDSWQSDNSWSTGAAQSPPAGNTWSTGSQTPPANSWSTGSAPQAPPATNTWNTAAAAQPVPANNNWNSRGNNSPAIGSDSGANSWGAASDATAAPAPPPAITQAPIAAASNTY >CRE26726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:296285:298973:1 gene:WBGene00067738 transcript:CRE26726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atg-3 description:CRE-ATG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MXW3] MAMQDIVNSFKSTVLSIGESLTPVLKESKFRETGVLTPEEYVAAGDHLVHHCPTWKWSKASDPSKIRTFLPVDKQFLITRNVPCHKRCKQMEYDEKLEKIINDTEGEFATDEESGWVDTHHYEKEKEAVETSTAPPPPPPAAAPESDSDDEEAIDLDDLIESGALDVEENDPNRFVATTMTPVTAATTESSEVEKIRTYDLHICYDKYYQPVLDDIQPFSNRLLA >CRE26757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:290344:293705:-1 gene:WBGene00067739 transcript:CRE26757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26757 MSILTSKSCFSQLFIIKSSFSLKNPIFARKTQFSARKLVYGRIFSQQTHILSSKSRFPLKIHIYLIKPQFLTDFYQFHTKIPDFQTKVIRFGPIDYRIVTQNLNGPCPLIAIINTLVLKGKVTIPAVYVVTSTELLNLLSNVILSAEPSNQKTKEIYEANLRDVMNLMPTLVNGLDVNVKFSAVNQFEFTPALSLFDLVHINLYHVWLPDPQFPVIFDLIKSLNYNELVEKICAESDTVEREMYKSWYEDTQSQITFIGVQSLFTEMKDAELAVLFQNNHFSTILRRRFVNRRESL >CRE26725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:283734:290157:1 gene:WBGene00067740 transcript:CRE26725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26725 MKNVKHVAFQTCCEKTPVNKSSRISAFTPSCPFSIKYMYTTNDSLNDYLDHSIIIAHIVNGIALQHGLMNGSIPVNDVIGELLHLGSVDVPTVANFKKDGITALSGKLTDIKSKPVTNDVTEVEDQTLAWNDLIVKSMTVKSWNASANEFFDDVQKFSVNFNFSSLTSVTVSLSTADQQLKAISAYPDVTTPIQQDMAYRSFKYLHDSVVAAITQADTFNSAVLALKQQYPLLKNVNTVFEPIETVLKLTKTRIDLPLDRDTNKINATRDNLQQVVDSIEDAKSSLEDTSTLQKVATSISNPISSKTSFTHGFPNGFADIEVLKKKDVENPWIAEITNTERSSMDKLDNGLQPLFDVSKQLAPLEEQLVSISSVSVIDAVESLSQVYQEISGLNSDSAEKLGNLIDEIYSCRESAKTFDKFRFREAEDLVEQAKKLGSVAEIKPIQVDGDKLKNELNDFMKSLAFSNFQNETTSIPEVKAVLEKLKSTGGLDKITNTLNTFQKAFDTFPTTGLKEAADAIMKSKDKRDFPFLVDEMSLHSCLQKLKDDSVMISETIQAMREVRKVDTEKLVKVQSAATTLSEVAGELSDVGSIRDTMNKDVTEATTNVNKLPDSLTKSKVIGQSINSLRSAFLLRDLKKQVEQLKTIDSTVQVEIHKISDPTVKTAIQQQWGDHNKEMIELYETLGKVESFEKNLNVSNLTTIGAYGTPLTALATLTSVTMNAKEKSKALGALLSDGSLQMNPTVKKTIEDGQKTLDQLADLDLGFASHSSQFQSAPGVFNALHDFLTKLLQIPMSPTQPSAQIPVTQGGSVGASPIQLPLIIGITFGSLFVAGGMVAGGFFGYKKWDARNQLLKLVEWIMKHCFKNLANVKDVHCGYMQVMNANAELWRKKTTLAEDKKRFPTQSCNPDTALLVPNGKDEVMVFANKVTTLGGLPFIATHAPKDAAEFWKMTLSQNPEFIVSLCGDEEMKTLNCDYYPKKGEKPKKFGDFTVALTSEEMTPNDVNKRSLTVTCGEKSLQLTHLQAVKWPVNDIPDDHETAFELMKLVNKSKSPVIVHCSDGNDATMSFIGLQFIFEEVRSHPRLNFGHFMHEMCERTWHPIEKYTFSAWTVLGVRKHLYDECKLPDEHKVDYDHDLKLLKDLKKEWIKEDEKKKEEDEKKKEEDEKKKEEDEKRKEEEKVRIENEKKRQDAEDERVQKDEAEKAQLNKDLADLKRDKELQEEYLEIIKKDNSELRNDKMIRNRRDARQRERIIAENRKLTIAEAERNNNRFEWVVKNYSEKVELHYKFHTGVLMRYLQSMKPDTKKAKECLPERKHGFSKKYCNPDTAVLAMQDGVKIPIHANYVSSDTPDGTKFIATQAPTKHDEDCDDTTADFWVMTLYHDTKFIVSLCTEEEMKQLAQYYHPDPKQSVTCGRYTIETVSVDLVFNEEVKKRTLKVTDTEKEMSKTVYHFQFLKWVDQKIPNGHNAAIEVMEVVNKHKVRTEVWTLANSLFQFQRHVVVHCKAGVGRTMSFIGLQFVYEEIYNNPKLVTVMEPMRKMRELRWDAVQSVEQSFWIYLGVVLRLVRKLNLSAHYYDNQFKLMPEFSRMYNGANEKVKKGKDKNKTEEREKGGSDVVESVSDLSDYSSSEKESDSGDETDD >CRE26756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:277736:278911:-1 gene:WBGene00067741 transcript:CRE26756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26756 MCRFTDEIFKLVSDEGIADETSIVWETFNSVDGDSIFVNCDFGNFKPRPLPTSTSAGTTVTHSSIEHQIDEIEEGVEMIERPASAPIEAPPTSPAQATPTFGASGASGGAQTARGASGISSGAAQRANAGTPTRRTQKEEGKGCQLM >CRE26755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:273431:276753:-1 gene:WBGene00067742 transcript:CRE26755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-immp-2 description:CRE-IMMP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MXV8] MQALRKVGKVVVGGCALYTFFDKVGHPAVVVGNSMQPTLEGGDARWWKRDFVWLSTRDLYHCSPGTILVFTSPRDKDTQHIKRVTAVEGEIRSPTYHPEWKTKVLKGHYWMEGDNPEHRNDSNLYGPVSCSLVSGRATHIIWPPHRWRRL >CRE26724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:264836:271260:1 gene:WBGene00067743 transcript:CRE26724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26724 MSKNSLAMDLPEKFMLFHTFLDVEHSKPYYYYMGPNKTFNGIQCYIRCHKRKEWECHLYLLEPPLSLLGLKIEFKIRKKNGVETVGTTDGTVRDDFWISFQDDPKYFVDGNLTIECHVEPYETNDRIMKPRTTIENKTEIGFHDQKNQLTNEEKELQMAISLSKKEFEEAIAKEQQKTREQQKKKETLSCNICLLKYGEEGNRTPRVLDCGHTLCLGCCKQIARLAQIQCPFCRVVTHLTGRTVSNLPKNYLALSINTQRVSSLTMDHTGKFMLSHTFHNVSIIVCDAGPKEIINGIECQILCREKDESDRECCLWTYKNPPPSLGWKVEFKIRTKNGVETVGTADGTIRKLPWISFRKDPKYFVDGKMTIECHVEVYEIDGNGVRKPWTVIEKETARLFDESVKEFSDVVLVVEEKKFYVNKLFLASESSYFKSLFIGSFEESKKDEISLKDVEAKYFQLFLESLYGDRVINDETVDGILKLADMFDAQRVSQKCEFYLIKNSKKTLKEKLDLAVKFSSSKLKVSKLFDQYNKCNVREFQGNGSRRVGIPSGEISFSS >CRE26723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:260901:263199:1 gene:WBGene00067744 transcript:CRE26723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26723 MDHVGKFMISHNFLKVELSILFARHQGTIQTINGIRCAIQCHKIDESELQCWFCIYNKPLHSLGLKVEYKIRTKNGVETVGTRDERIEYRSAISFRNYPKYFVDGNMTIECHVEVYKIDGNGISKPQTVIEKETTRLFDESVKEFSDVVLVVEDKKFYVLKLYLASESSYFKSLFIGSFEESKKDEISLKDVEAKYFQLFLESLYGDPVINDETVDGILKLADMFDAKKVIQKCVVYLIKESKKSLKNKLNLAVQFNLNELKESCLKQIKTVDDIRSVMSKNTKEMDHDVLAFLLEKAVSFRN >CRE26754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:255592:257076:-1 gene:WBGene00067745 transcript:CRE26754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26754 MNHIGKFIHSHTFRNIEHSKPSFWRQGPENTINGMKCKIWCRKNDESEWDCWLYTYNKLSPSLGKKVEYQIRTKNGFETVGTTDGTYEEHRIFFRNDPKYLVNGHLTIECLVEVYEIVKNGNRNPRTVIEKETTRLFDESVKEFSDVVLIVEDKKFYLNKLYLASESSYFKSLFIESFEESKKDEIILKDVEAKYFQLFLESLYGDRVINDETVDKIMKLADMFDAQRVRQKCVVYLIKKSGKSLKRKLDLAVQFNSSELKRKCLENVKTVEDIRSVIPDNLEETDHSVLASLLGKAIEFCRK >CRE26751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:239315:240644:-1 gene:WBGene00067747 transcript:CRE26751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26751 MDLPEKFMISRTFLDIEHEGDAYFSGPMETFNGIRCFICCQRSYLSDLNYPWECALVIRNDLPPLLRWNVEYKIRTNNGVESVFTTDGTYGWDSTIMLQDDPEFFVNGNLTIECHVEFFEIDENGIRIPRTVIENETEIGSNPPRLFDESVKEFSDVVLIVEQMKFYVNKLFLASESSYFKSLFIGSFEESKKDEISLKDVEAKYFQLFLESLYGDPVINDETVDGILKLADMFDAQRVRQKCEVYLIKESKKSLKEKLDLAVKFNSSELKESCLNQIKTVNDIRDVMPDNPKEMDPDVLAFLLQKSLSLHK >CRE26750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:237357:238533:-1 gene:WBGene00067748 transcript:CRE26750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26750 MVRPGKFMVSRTFHNVEHSNDEYRRGPENTINGMKCYICCIKTNVSNWECWLGCTNYSPSLGWKVVFKIGTNNGVETVGTSDGRIEDSPGISFRNNPKYFVYGHMTIECHVEVYEVDKNGIRIPPRLFDESMKEYSDVVLIVEKKKFYVLKLVSMSTVLWGLRYYLQFLASESSYFKSLFIGSFEESKKYEISLKDVEAKYFQLFLESLYGDPVINDETVDGILKLADMFDAKRVIQKCEVYLIEESKKTLKEKLDLAVKFNSNELKETCLCQIKTVNDVRSVMSENPKEMDPDVLAFFCEKLLYLSK >CRE26748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:223456:224880:-1 gene:WBGene00067749 transcript:CRE26748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26748 MHKSIDHQFDTISVPILGHRVPISYDKVSKIWVTYWDDPIQGMKTVVEFLSDALKCPPVRIRFERKSMWAIDWANSIGNTTVFSDSSENFSEEEYKCILQNCAAPTLDLLTNPVDVHLYSYTGHFLERDTIRIANGQWVTLENLLNLNCAEVNLEFTLLNCKELNEFLKQWLAGKFPRLEGVAIGMTPYDYSEEDLLKGIENPMTKRVEWKEWKRKMFKFSSRGWDIKMDDGRTLTACYCYNNMSVCMAVWPQ >CRE26716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:212774:213827:1 gene:WBGene00067750 transcript:CRE26716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26716 MPSTPFPLLRTPHVVIREVLELFHCQNLVLFSLCSKKTTRLVKLQKNRYNGNELHVNCDTVPEVGWCRTGDRSTYILLGVSSMAEAISDSEEVVEIGGHRVPISYDKLSKLWVTYWDDPIQGMKTVVEFLSDALKCPPVRIRFNRKSMWAIDYANSIGITTVYSDSRLNFRFNEYEFILQNCAAPILHLRTNPVEILIYTYHGHFLERDTIRIANGQWVTLDNLLNLNCAEVNLEFTLLNCKELNEFLKQWLAGKFPRLEGVRIAMNPYFYSEEDLLKGIENPMTKRVEWKEWKRKTFKFSSIGTDIKMDDGRTLTACYCMDNMSVCMAVWPQ >CRE26717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:216959:218008:1 gene:WBGene00067751 transcript:CRE26717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26717 MPSTPFPLLRTPHVVIREVLKLFHCKDLVMFSLCSKRTNRLVKLHNNRYNGNELHVNCDTVPEVGWCRTGDRSTYTLLGVSSMAEAISDRVEIVDILGHRVPIIYDHLSNMWVTYWNDPIQGMKTVVEFLSDALKCPPVRIRFDRKSMWAIDWANSIGITTVFSDSSENFSEEEYKFILQNCAAPTLDLLTNPVDVHLYRYTGHFLERDTIRIGNGMWVTLENLLNLNCAEINLEFTRLLTYDDLNEFLKQWLAGKFPRLEGVAIGMTPYFYSEEDLLKGIKNPMTKRVEKKEWKRKTFKFSSRGWDIKMDDGRTLTACFCYNNMSVCMAVWPQ >CRE26747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:211541:212656:-1 gene:WBGene00067752 transcript:CRE26747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26747 MSSWNQPLSIYPNGLLESFSRDAVYTVPLLRTPHVVIREVLKLFHFKDLVLFSLCSKRTHRLVKLHNNRYNGNELHVNCDTVPEVGWCRTGDRSAYALLGVSNMAEAISEKKEEIVEIRGHRVPIGYDHLLKIWVTYWDDPILGMKTVVEFLSDALKCPPVRIIFERKSMWAIDWANSIGVTTVFSDSNESFSEGEYAYILQNCAAPTLDLLTNPVDVHLYRYTGHFLERDTIRIGNGMWMTLDNLLSLNCAEINLEFTRLLTYDDLNEFLKQWLAGKFPRLEGFAIGMTPFDYSEEDLLKGIENPMTKRVEWKEWKRKTFNFSSMGTDIKMDDGRTLTVCYSFKNIGVCMAVWPQ >CRE26714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:191223:195554:1 gene:WBGene00067753 transcript:CRE26714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26714 MDGALDVDAMLDGAMDAAMETVFRAPTTSETRKICKKEKFRPKSPKIDCRSRSPSRRSGRSRSRSRDRRRSRSRDRGDRRRSRSRSRDRRRRRSTSRDRRRSRSRDRRLPGPERRDVMPFNPRHSPPKNAKLELSPEERDQRTLLIMQIARDTRPRDLEEFFSSVGAVRDVRIITDSRTGRSKGICYESVPLGLALNGQRLMGAPLQIQRTCAERNRAANSSMASTLGFVAPGAAKGPAHVLVENLHPKITENMIREIFESFGRIEKLEMEKLSNGDNRETAVIVVSWDWGEMG >CRE26713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:187861:190800:1 gene:WBGene00067754 transcript:CRE26713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26713 MSISYEQYVIELKQFCTRYDGWKDSHDEFKGIIAFCQGSMHLNKYKDEETTVKNDGIVSNQVVVKDSKIEKTGDAGEEVGIKTNQLFNHVVQDISVLAGSDKRNSEKTFNVDDGMPEPIRMTLKYDKANKETTVKNDEIKSNQVVVKESKIEKTGDDAEEIGIETNQFFNHVVQDISVLAGSDQRNSEKTFNVDDGMPEPIRMTLKYDKANKVAPGNKKNLLPIKPKTAQDPKLRNFLLRLVLKSESDSNVAQIIKWSLKSNLEFQLLDCQEVAKQWGIHKGGRKKIDYQSLSRSLRSYYAMNLVKKETTVKNDGIKSNQVVVKDSKIEKTGDVAEEVGFKMNQLVNHVVQDISVLAGSDQRNSEKTFNVDDGMPEPIRMTLSYDKANKFPQLSIKLLTEDGSRNTLEAFNKYLNSIKLVRTDKNGLYRTDSIQMKRILEATKNSMLKRRLLHIDNTVFLFCSITKFLYPKFQFCFQNSLPAK >CRE26746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:178752:185421:-1 gene:WBGene00067755 transcript:CRE26746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26746 MDGALDVDAMLDGAMDAAMEEKDQNRQKSSPSRSNRSRPFSGLRQRQKPAKSAKNRKMRSKSPKIDCRSRSPSRRSGRSRSRSRDRRRSRSRDRGDRRRSRSRSRDRRRRRSTSRDRRRSRSRDRGAYRRRSRQGKGENDELEEEKDEKKTEMREIWVVFAGFCRSYRAVPRYRRNSTRSRDRRRSPPRRRRSPPRRSPRRSPPRGRLPGPERRDVMPFNPRHSPPKNAKLELSPEERDQRTLLIMQIARDTRPRDLEEFFSSVGAVRDVRIITDSRTGRSKGICYVEFWDEESVPLGLALNGQRLMGAPLQIQRTCAERNRAANSSMASTLGFVAPGAAKGPAHVLVENLHPKITENMIREIFESFGRIEKLEMEKLSNGDNRETAVIVFRNADEAQKSIEQLNNFELAGRQIRLSIKQDAPPPPQIKKEEASIHQRSLDDVGDRQGFSLGAGGRQQLMAKLAQGTGSGMELTASAQMAAQHAGNSQIPSIATQCFLLSNMFDPSKETEPAWDHDIREDVIEQCAQHGGALHVFVDKGSEQGNVYVKCPSIAIAHQAVSALHGRWFSGKVITANYVPVNSYHDLFPDAVAARVPLNTRIAAAAGIQMMQVPVMVAPGGGVPANGYSSYGMMSATGAIPPQSSQAPYGGYY >CRE26745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:167799:176469:-1 gene:WBGene00067756 transcript:CRE26745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26745 MRRQPPPQQPIDCRYFANGICSKGNACTFIHDAATRNENICQFNLVGKCSFGQACRFLHTRPKNDECPSTSSPSSSSSSSKSKLTATVITPRVRPIQLAQPGLNVDATEFVPSWMKKSEKSVGNATQPPISYAAAASSRILTSSEGGTSSPGGSTSSSNSPLTADAQMCPYHLKNGDCNRKDFACPFAHGDLCDMCHQWCLHPTNQELRKLHQNECLESHTQEMERAFMLQKTEQKTCGICMENVLHKNLRFGILNGCQHCFCLDCIRQWRTRDQQSVDLDTKTVRSCPECRQHSDFVIPSLFWVENGEEKDLLIEMYKENMRAKVCKYYTAKNVTRGQCPFGNKCFYKHQLPDGSIDPGESPHARRRFNVADFLFDLDDSDDDTPTSGYVPFLAIGSDDREGYTRFLAEMELDTPDGDLFRRITAMARHLTTRSHITRMSATAPPE >CRE26744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:163686:167320:-1 gene:WBGene00067757 transcript:CRE26744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26744 MYTLSFDPQKLIAECVDTIRAVTDAPLVNCDIALQSVLQILKPHLGSSETFTTLEKCSQNYQVDIATCHDRRVLEESAAALFDSFQEKQELSYTAEQDDETICTQLVAFCDIVKKTDRRIPLAVISANHWDWLNQLLIVLQTDQNDTVREHLLTTLQVLMEQCGEPVKRTLLDTQLAISLVPLTQKSNGIQIPALKILALMYSVEGDVDQVAVPLEQMDHLNTEYFRRLYHHINDYHKTDVPELCTNFGGLLGNQQDSAPFSLFEPMRDDPYSCSEFGIVLIQEMNRKCTVRRLKFLHHVIELGEAVLTKMFYENDLKVLAHVLARESINHDEKQVRRLCLASLRLLLSTETVKSDEDIEYALDNFDEA >CRE26742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:142986:144968:-1 gene:WBGene00067758 transcript:CRE26742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26742 MDLTWTKGVLQYLPPEMRLLLSQKCPQLLPIDRSTPLRIHTFHIHPLQLQFNKTTFKLGIIRHFHHATERNLDSEGVQHDVDLFGLEKQSPEFDLTSGEYLIVNVAPKNTEMKSRIDSLNVAQMSKRIREIQGVPGKEAELMELKNKILPFELKEEGEYSLIPYTHYLKLTVTTVTTDGTSSKYTERVDYCRSIQDGMKYLTWKLLEGRGLQVGTLKMHSEGFYRIPETLSIHTNHLDVHLKHTDSLNSIFPLLADSSFPLHSVTVYQEAELHNPIVQSSQMIVVAPRAETLQLDRLNHHRVHIRWRSIQEDKVTRLVKKWVELKKGAGTMYTFVVHDDVDIASVMEEIAAMRGAKTDSGE >CRE26711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:136830:141713:1 gene:WBGene00067759 transcript:CRE26711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-faah-3 description:CRE-FAAH-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MXT2] MTENYCLSCIGYYALIPFAVYALAWIIDNYNHRQKLKVLVKQRAADRKVNFEWAKNNFEKLDERRAAEITAKEFEELKNSLQNGEIGPVETVRAFQRKAFEATEKTNCVCLFIQDAMETAQHLEDLAKDPSYQKPPLFGVPVSIKESIHVKNMDSTLGYSQNINKPSESNSLSVDQLIRLGAIPFVHTNLPIALLSYGCSNPVYGTTSNPLDTSRVPGGSSGGESALVALGGSVLGIGTDVGGSIRTPASFCGIAGFKSSSDRTPQLGKTASIPGRQLLLSVEGPLAKNIDVCTEYLRLKWNDAVLFEKDVYLPPVRFQYSQYMSKEPLRIGFYTNDGYQRASPAYERAVRETVQVLKDLGHTLVEFEVPQPDHMYSIFCAGATADGGHFLMNSLANDIIPPEANIGFPVARLPHFIQRILRRYWPNRRERQIIQELPHDTEEMRKMHEKIEDYRHDFVLAMRAKRLDAIVCPSFGCPPPHHGVPNKLLSASSYTALYNLIDFAAGTVPITVHNAADEIELRKVKTEDSWDRKIVSESKNCDGLPVAVQIAAPPFREEMCLRLLKEVESKIGLYHRRH >CRE26710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:106125:135425:1 gene:WBGene00067760 transcript:CRE26710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plx-1 description:CRE-PLX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MXT1] MPKFLQFSLILLLLSIKPAWTAKPNSNNVYSIDDNLVFENPSQNGQEVFEKMAIDPSTTRVFVGAVNSLYDLTSADLTVRRHVQTGPQDDSPLCRDARNREDCRHQLSRTNSHTKALAVYDKSSKLIECSNLFQGRCRLRNLHNISEVISEAIEPRVSNDTTSSVVIFVGQGPANLTTDPVLYVGATIGSADHDRMSVSSLFLRPQKAFEIVFPGLYGGTHVSLDYRSRGYYKYQIDYINGFESGDYAYFVTRQRKTIHDDSLIQSRLTRVCTGDKNFHSYTEVPLECTQNGIDFNLVQDVYVTRAGYELAKSLDISVSDPVLYGVFWEGDKNSYRAQEPTGKSAICMFTMKDIETAFKQNIMKCYKGTSGLKKNLPWFSSNDDCRFTTLPWEGIKCGKDVNSKIGGDTPIATSATYVIDDSAQLLTSIAINTTRSSTVAFVGTQGGKLHKILIESKRTAEKYATEILTENEPILSDMEFSGDGKHIYILTPSKVIKMPTSRCETLSSQCDTCLASRDPYCGWCVSNNHCTQEESCEREVPHTARGWLDFQNSKCPRIRSVKPDQIQINTADYLNVTIENLQAPKGRRMQCLFQFPTGDAVVSDPMPFDGSLTCSKLPLATTNFSFYDCNRYTSCSTCSASQFPCDWCLESNECVAGKLTEDKCRKQHIVNGLNRDGSSIRKGPSKCPHIVAPVSKMSVATGERRNISVKVENVDPSFMGDFKCEFRYGTVTHEKIAMRTSDDTITIRSVKPDQIQINTADYLNVTIENLQAPKGRRMQCLFQFPTGDAVVSDPMPFDGSLKCATPPMNRLPRIPTNEYHLAAKLIVVSDGNCDEMLFEPYGTSLLGSGSTAYGFNVIWSATTSSGTTKKSINRLLDNVDNLSIDVYSCENLAPNCGKCLTLDADKYDCGWCTVAGKCARPHQCPNRQIPENWLNATQLCPNPEIEDFKPKKGSIYGGTRVTITGINLGRHVSDVEKAVQIANVACEVVEYVPSQKIICVTGKSTIKGSNERGVVAVTLRHDSLKFFTHSKEHFQYVEPVVSSMKPVKGPRSGGTDVILTGVDLDTGAEVTVRFGQIGCRVLERTSGWLKCRMGQSPEGGQYPMHIAFDGQLQTMPIPIYFEFTSDPSVQSIAPNKTIPSGGITVDVYGQGFTLLQRPRMVFVGLGDDKSYGPQCSVIDDQLMKCATPTAPGASRDSEKSQMERITEYAFDFDGAELYRSRIKVMPAPSFEMLTEPRFVRPGEDFLTLNGNHLNLAASERDIEVKVGGEACPLTALANKILTCQPPVKKPIGAGKLNPEIVVTVGNASFSVGEVSYDSPGLSSSLFFIILIFILFMIGLIICLIVLYRRKTNTHQRQMKYLKTQMDTIEMKVATECKEAFAELQTSLNQYTADLPLGTPTAPFLEYKDYCARVLFPNGGKNHPVLKNLEVDTHKAEAIEAGLREFHKLLMNKTFLLTMVRTMEANKYFVGKDRVYVGSLLMVVLQEKMGYCTEMLKQLLRELIEKTVEKKFQPKILFRRSESIAERMLAAWFTFLLHDHLKTYDAGKKLYELFWGIKQQMEKGPQDALTLEARYSLSEEKLLRATFEYKELTVFVNSTDSVYSSQQSDIPVRVLDCDTITQVKEKCLDAKYRGYRFADRPAASEMELEWKTGLNGKMALQDIDTSSRIEGGNWKRLNTLAHYNVPNNAILTLTSKSNSLYNLSILSDRSEKSSLSMKTGGGVGSPKPWGGAPPSVIADHASSSADTDNGYKLYHLVKPTEHGPTDSQEKMVTEIYLTRLLMMKGTLAKFITSLLESIFSSRTVPPCIKYMFDFMDEQAREHGIMDPEVVHAWKSNALPLRFWVNLIKNPHFLFDIQKPTKIEGCLSVVAQTLMDACSTQDHQLTKDSPSSKLLFAKDMYQYRDLVDSYYTEISMTPRIDDATMGANLSAESRQHNGEFHVFSALNELYKYLDQYKESIIDALESNEHAQASRLPGRLQDLLALMESDYYRATDYESSTLGLGYNSNSRLMPRDRL >CRE26706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:62834:66746:1 gene:WBGene00067762 transcript:CRE26706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-30 description:CRE-LGC-30 protein [Source:UniProtKB/TrEMBL;Acc:E3MXS3] MVYMQLDLKRQSFYYVFNLVFPTTLVSLVAVIGFHAPINATGRRESKFRLGIMTLLSMSVMLLMLVGEMKFAMESVPGQRGSFSDVPLMGIYYMTLICIVSIATCTSSIFVHLEKFALRNPSWQSVPWYIRWLAAKKLFCCYVPKSFRYDKDDAYGRNNNVQAISLHSTPDRNVVKNLIPKEINFTEVNQDAPLHDVLEGTSAEKIQKIDLIVSLLREIISLKERIGASGQLAPYWERIISRAEKVSLSFYLFLITINVLMFLYPELWY >CRE26737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:53960:59921:-1 gene:WBGene00067763 transcript:CRE26737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26737 MKFFEIPTVFILLFFIPTILAAPDLPNHEDVDHIEEIEVEQTQVIITTSKHGPVEHLKIHIELVDIEANKKVNPVDLAGLWLTITRGRYTIPFLRPDTWYGIRFRSENLINGHTVAHEEERLIKTKPREESNNLAAVLMGGTVPGIPPTLGVPGGGLGHPGGLGTSSGILGHQVSVGPYPTASGPFSSNFGLPGPNLGPQTQIFGSQSQNLGSQPPPTTSRPDHPPANAIDVKMHRSLDGVENFESLYVTVGWRDDGQERRSNLTTGVVKLRVICDTSETKEEIKLKGDEDSVTIEITMDQKYDIEEMDSEKHQIKAHISPLKCHKICWTTDLVLSSGFGDFTKHLGSECKEISGTTSTTFLRNVKKVSVVENNLSENELVVETEVLESDVGVVTLILQKLGGNETEEPVKKTFDTTTSNGRFVVPVEDDAIYAVIYNYVKTKPFHYTSKGHFLVESPAVNSTKPSHPLVDVSVIPTTFNYKHDKPETILKPEPPFLLIRRSHQYPHNDLLVKIEPFCNETEIKFRLEDRYPQHQIDAVPFLCGLNPKMYFCDKNVTNWQKCDSTLCFSTSVLIGLDSFEADSRCLNVTQHFPPLISHSPNRLLFVCVSLLAVLSRLSH >CRE26705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:43254:51099:1 gene:WBGene00067764 transcript:CRE26705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26705 MRLISVFCVALVFSCWGAQESEGFIPKKVIKKPPAIDDSAFHRRRALDSEDGGQVIADPDADGDPHGRLATWIRSHARHHIPLKHPHTRIDVFISAGLYQIVDLDQRNNLATVSAYFDVHWNDDFIKWKPEIFGGIERIFVPIKWIWKPEFYMYHSVYGRVPDYAMDASAELHFNGRSEIL >CRE09884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig522:7577:9325:-1 gene:WBGene00067767 transcript:CRE09884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09884 MTSIPLILAYITSIMVHDRKKVPRLDRRDQKFADRIVTLIQQHEEEELEVTTETSLTSTDYDELDDDYDVESDMEQQEQEFNGIGAPKVVLFGKILVAIDRVWDAIRFYRLPGFNNNGSPKRRKPSCMFSKFKFLKNEKDLGKLVVYEKAGEIKPDRRSILAFISDQLYERTLTAINSGHILHDSVLRSMISEIISEFQIETTFYGSHGWLNNWKRAHRISSRSITTFTSRKRFTEKDKMAEKMNAFVKHAREEFQKYDPLRVYNMDQSGFQKELYTKRTLTNTGVQTVEVVTSSATGISHSYTVLPMIRLDGLLHPKLYVVFAEPTGEFPRNNPPVDTDNLVLRAAKGHIMGKDLMADFFDSVVFADDVEDDILCMGDSWPCWRRNDIIDSVRPPQKKIKLIIIPPGATGQIQPLDIGIFRTFKKFIKNLTEYAQRNHPEFLPHQRNIIILMLSQTYWMLGSPIFQEWRLYAWYAGGYVDSCPPRADKPTDFCFNRKVYGKCESPGCKRLSMIQCPFCSTNICFQEMIIEQHRCV >CRE28808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:478649:479195:-1 gene:WBGene00067768 transcript:CRE28808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28808 MPNTSAGMVIGKHRTTIKLFPKHFGCQIQVFPKSVEAKAALERVVTVAHEDSAILLGAVRRVLQKVALDPHHCSEIKDEDFKDNKNSQIVRSPVKVEEIEEMKPFLCTKSEKMCFNRLKEEYMLTGEIGGCPTPVSDHPTADDIRQWAIGYEAIRKY >CRE28724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:475413:478194:1 gene:WBGene00067769 transcript:CRE28724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28724 MFTVYLKKKDWIDLLENFESRTTKVNEVSISKLEDLKKCRSLMFCLPKAKEAVPYDGYQWTGTILGKRYQPIYRKLMIDDEELNLIKCCEIKNSHFKKEILHMSDKTIVHYFLEDMGDLLVPDGSSVKKPRISYEDAEEIKKNILPLRTSSAAYAIAKEKGINVSRKQILNLQRMVKNSVTGKSGRRVVTSLENIRHLEVMDPDNLQYHINQAQELVFSYVRIYETGVKIFASACPTVGEYNDWIQYVDDLGSYSNDVKKEKLKEVLLDYPSGILFPSRLYVDSTYNLSDCYGTILLGESQHFRTKRSEKPRVYPIGFMLHSHRDSHHHEMFADRLKAFIAPFMFGKVAPAMLMDGETSLQVYADAFDSRVIRCDWHVIRLLSHKFGRKSAKTANFWLQNCYKTGNTRLERLENMVTKLEIMYGNVIESKVDPRIFNWIKCNQNWLMETATALPKLKSGMILQYTTSNPSETFNKMIKTVVQKPLPVTNLLERLDAFCSDKLHEIRKAAFQESDYVSLHQDIRGMDQMQKKTHFEKIGLECPTLLSFDPPRLLMKELNIRKTDSEEKKCEFLKILSATNDSFVLQDDSVALNEPNRVVLVACISDIFECTACNQTMPDFICRHMLKILQFLPELDRRMQLWKMEGVLRGDSGITVPIKSGRKQSDRIGTKLSSNNHIRRITEVTQMTIFDSSEEVSSDISGSISDSILPDTTNHFHTHDSTVDSNLIGINRHSTSIVLGTPEPQSLSDSTFSPLLSSTANNNISEQSISKRNRRSTRRFSPSEASSSSYVS >CRE28807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:471404:472779:-1 gene:WBGene00067770 transcript:CRE28807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28807 MRPADVASRNKTKEIVHSKKKRLVIIQHLLILLKIFFFQNPQRRLMIRRRQEKKNDLVQSKSEGTKKQTPPHAKVQNSNNDPANKKAHEGKGEKDGENLSIKILIPSSTMYAIIGSSRDAVMRNLIKEHCCQIQIQASKTTPATSERICLVKGRLDNILVVIESIQKIVREECGDERGNDAFDFDNTPRSNEIKIVMPYTLARKVVGKAGDSIKSIRKDCGCIIEVCPKVGIMEADTSLDRVVTVAHEDSATLLKSVARVFRKVAKKREEDREEKEPSLLCWVSREMCFKRLREEYFLTGEVGGCPTPTRGHPTADEVRKWATDYEEMRNFFFFS >CRE28723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:467975:470919:1 gene:WBGene00067771 transcript:CRE28723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28723 MSSGLCYSQPSKKGAIANFVDYYSAGTVELLFVPSTSQYFGKMHCMAARVTCEDPNDRFLPSTGTVRSLKFNSKYHLRIGDSQQQTTVEMLKYGSQNLAIHPGKSTDYVLEETDEFYFIKIGGNKLKFSKMDTNDASCLRSPYTGKFLEYKVQTGEFLEVGQTYAQIESMKMVFDVVTKVSPGRLIPIAKEGDLINPGTILGRLEIDKAIQDQLTTSEKFDGEMKGWRVEEKTDFEKAQLILEGCGPMDYPIDSVVNGLFQESSSEFTCERISELIQFFMETDRFFDSRNGFDESVQQLLEKEKMNYERVVDLIHSNTHMKTKEKLILTILGRIIESGIDFGGSLRELLKYSQMKQLKSISSLTARVIYRYFRKLEYSTTDCKVDMKKSSFSTNVASMRFKNDNLFTSSAEFSYVIDGALLEFECFKSEFKNIQFVANRIELSIDFSVSDAENIILENEKKLEEAGVKEIILVTSSSEVLRICLEIEGLISRDLKRYVARLNRTTYIYDFTRLFCHVVATPDAQNLQEIMELQELHIDENHQLQIISDSEELEKRAANGLNTCSVVAWLVKLKTENDREFIPRVNMWAVVDSNVNSGFVHVRWRIKALEWVKQKVGDDVDLRSISTMDSMDIFY >CRE28722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:464405:466257:1 gene:WBGene00067772 transcript:CRE28722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28722 MSDWARIRCPMIGSTSLLDRLKGVLIANNGLAAMKCLISIRQWLQNQFVTSDVVSFVCIATEDEMKSASHYVKLADETIMAPAGSNSKNFANVDVIVNLALKSRVDAVYVGWGHASENPELCRRLRKANIIFIGPSEKSIVASGDKIISTIIAQSIGMPTVTWSGSDVKVDECVDFEHFHELRAQATIKTVREGLEAIEKYRIGVPMMIKASEGGGGKGIRKCERMEDFERFFREVEMEVPNSPIFLMKCMEGSRHVEMEDFEWKQIIGDKHGEVIALSSRDCTIQRRCQKVIEEAPASIVPDEIMEKMKRVSFD >CRE28721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:461827:463440:1 gene:WBGene00067773 transcript:CRE28721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lact-6 description:CRE-LACT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MK67] MRLDFDTFGCLYLFAIPFVVQPFLSCWLTPYVNDDEVNGYVDERFRTVLDAFRRNFGQGEEREGAAFAVYYKGKEVVNLWGGYADKESRRKWTENTKTVMFSASKAISSIVIAVMVDRGLLNYEDRVVDYWPEYGRYGKNATTVEDVLSHKAGLPYLSDTVSIEDVKNGWEIMKKVENSVPAWTPGTASGYHAVTFGFILDGLVRKADPRGRDIKTFFEEEIAEPYDLDIQIGAKKSEAPYLARLTTPSLWEFTRDIIKDPKILIMLGLMYVRFDTVVDKMRSNPDWLLVNYDTMVLNDPEIVSLNLPAVTAVANVRDVSRLLSMIVEKEIISNTTVRELSKPTLNSWHFEKVTIWPVIKGHGFFYEKHPLVPGAFTFGHPGYGGQFVHMDTESQIAITYLSNGLKTGTGELCGTYMRLFNEVYNSVRKIQNEENGSIMF >CRE28805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:457006:457752:-1 gene:WBGene00067774 transcript:CRE28805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28805 MCSFFPTNKTELFEVFYQSSCKMNFCSPSYFLVFFLIIHGLKDTVSFPKNSQHPSFFNFQNAYVTKNITVDRAVDQMLLKIESAVRHRNTTEFESFFSPKFDSESWFDRYYSELSESQLQTFVFQLRRFGHVDARGKDYKFKFSESHNVTEKLLLRSFIAWTKGTPTNQFAIQTIRYYGEY >CRE28718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:451217:453557:1 gene:WBGene00067775 transcript:CRE28718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28718 MNSTFNETEDAPSPPGMIAPILSLDPIARLMFHVAAVASNSTITPTTSAVESADDPLQELIDRINRAQQEECDRLANSCENRTLYTWLVGYGFIFVFLLALFGNVVNLLIYNSDHIKYYIAIRMLCTRLLMNSLTLICMLPQALRIVSAWDSGSHIDELYWIYYPYQIYFVNLFGFCAMWLVCLSKFSLTLKIHISRLTVLMTAECYLHVFFPSHSKSLCTKRNLSRSYMIIISVGALLALMYKFNRSVTMSTHCNRVIPTIHASEDFIMICLEKIHTFANLLLAIVVPMGLLLFMAASILWKLVLKKTDFVSHFTAEKRCVTRITLITTGLQLIAELPPIPVFLYATIFGPAVTNEPAICVWNTIAVFLGLCNVSLSFFVYLVFSDKFREMVKSRLSELIPCFSTPRSLVHYSNETSNPKQRTNLLAREQIRIKQSDTESSVCTETYLLNEKSSTNDDSFL >CRE28802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:446407:447115:-1 gene:WBGene00067776 transcript:CRE28802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28802 MSMTNEHAESILAPIMKQYLEALNTSDWKTVESLYHVNSVMIEKGKSCMFGNQAITDSFKAMGADFGKYEVHISNSRYEGVGDFINIHTDFSFVTEKQGVLSGKFTQIWKNEGGKYAILHDQFEL >CRE28717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:445480:446308:1 gene:WBGene00067777 transcript:CRE28717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28717 MTSEVSSSSSEWNPALVSRRASVPMEIIIGGNGKPIFTASSSSTVGSPVLTERRHIGEKEKKKAEGRSGSKKRSTSQKKKTTTTTLTSQKTTKKREVLDKEKEKDRESSEDSLMNNSMATCSIGVPMPRPSKQIEQLPEYDLHRKELLVTVRDAVIQTDLF >CRE28716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:442840:443423:1 gene:WBGene00067778 transcript:CRE28716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28716 MSPPLLAGSLLRPFTSSAYFSGLRNAHFLASVPMKKRGVEGTLPKDYFDNKTVVVYFSAGWCGSCKFLTPKIKKFYNAVKGSEAGKNLEIVWVSKDKEAAHQEEYYEKNLPDWPYIPFGDENIQKLAEKYKAVVIPVLKLVDSEGNVAHDRVRADVEAGIKADPVKTMEEWKKILKQ >CRE28715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:439911:442750:1 gene:WBGene00067779 transcript:CRE28715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28715 MLAGGQALRHLSTASHNQTVCGPAVKLLLIHYGLESRKIEGSGPKNKNILKSDVMKIVEAEKLKPVTNQVHAPKETHIEKKSIEKKSDIFGANNRSLRHHQDIPLSNIRSVIAKRLTASKQQIPHEYQGVDVRIDDILALREKLKNSGTAVSLNDFIIKAAALALRSVPTVNVRWTPEGIVRLGSVDISVAVATPTGLITPIVENADVLGVLAISSKVKLLSGLARESKLKPEQFQGGSFTISNLGMFGSVSNFTAIINPPQCAILTIGGTRTEVVPRDGQLETQKLMGVNLCFDGRAISETCAKQFLLHFSESLSDPELLIAEPLSPELDFDFSRLL >CRE28801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:438674:439545:-1 gene:WBGene00067780 transcript:CRE28801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28801 MFSRIFFRTIPNLKRWTPVRFNSQNSQQIDPAKVKAFQEKVDKAKVRRAYALGGCLMFIWISTHGILLYKRRSEHRNLNEKLPPISWEEFEEKYLATGDVKSIVFQPHFETANVYLHSAKEQQMKKNFVDLVHTTPDKFSRPPDVRFYLEASAEDVQSLVTAATQKYKNHVDFELDQFPSYRELSFIVGSSLFVLAAITMAK >CRE28714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:435601:438536:1 gene:WBGene00067781 transcript:CRE28714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-haf-1 description:CRE-HAF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MK55] MHCLCALRSSLCSQLPTSTYRMLLQSKSAAHVLRRDLTARVKNLKLNDNIKRVDRRNAAYLLRHTTWKDLVELYEIAKPFRKWIILGTSFMVVSSGIFLIIPRILGKLIDQFDSDTRKSEEEITMKIAKFFKEQPMALVGLLAVGALATAAKVYCLQIAGVNIVGSLRKAVYSSIIRQDMTFFDKNMVGEIGSRHAADTVIVGYSVSSSLRAGVRAVLVGVGSVGMMLMTSVELSTVSFLTAPIVIGIFKMFGRVQQQCTWQLQEVAADVNQTAIERMANMKTVRMLSAEDQSISEYIKKGELVLDISKTEALAKGSLIGSFQFTGYSAFSSILFYGSHLINTGHITYGELSSFCLYAVLAAASLSNISGFYNEVMKGLGASSRLLELKNSQPVMNLTTGIRKTDIQETIRFENVSFAYAGRKKTLENISFDIPRGKITAVIGPSGSGKSSIASLMLRLYDPADGRITVDGVDLRDINATAWRHAIGTVGQEPVLFTCSIRENILMGAEFPDRISQFQLEEAAQLANALDFIQGFENGFETMVGEHGCKLSGGQKQRIAIARALISKPKIMILDEATSALDATSDYLIRMTLDNLLKNHNLTVLVVAHRLATMQQADQVVYVNRGRIEGQGTFDDMMKIQNSIVEQEARRRGIPMNEQMSRVNTPTAYGTSLKF >CRE28713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:430148:434194:1 gene:WBGene00067782 transcript:CRE28713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28713 MLLQRLLIIAVLVALYGSSSALYRSKDVFSCFILHSNEFLEKSGNVLIENIDDVNECLRKCIQAPMNHKIKCKTVMYNVNTQNCVLSKYARHERNIKKSKGLQIDLYENRCATTENETVRLAVFTEQTRTTAAPVKTVAVIGNVILTPMNVTTTNAPIITTQQAVPTTNELRRVHAVKQFPLDQKNGNVIFSKTVYADQPSPQLLPQRLTDKRNRNAKVFISPRPLVDSTSTVVSSPTYQQQQLQFDVARERRQRSERPPVVENLAACFIKTPNRILYKFEESRIGGVTLETCMRQCTHNALNFYCASINYSFGLKVCTLNGGNLHLNGGETLVASRDYDYFENTCQPRGTTTTESSIGGSGTKKECYRLYNNSIYNSFDATIVGGLQDLESCESECSWSHIRRREKCLGVNWIPTTRGCMLFHKQIDFNILQPSFKAQFLANTCTYTTDQSSSRSSSSSSKSGSDPDYYDPNH >CRE28712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:427683:429115:1 gene:WBGene00067783 transcript:CRE28712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28712 MMMNYSILILLFAFCSIVAASPLWFDEEEPMNLRAFRVMPNQLDSIDASRRLLKRGSSMFNKRRGRELFGKRSLPIEYADDSAIYENRFRRRANELFG >CRE28800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:422702:425117:-1 gene:WBGene00067784 transcript:CRE28800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-141 description:CRE-TAG-141 protein [Source:UniProtKB/TrEMBL;Acc:E3MK52] MSSDSPETFSVILYGVISVTVVSLLSLGGACLGPLMTKDSKNRWLHFFLAMAISTLSSDAILHIIPQVLGVHSHSHGHAHEHHDNSSHENVTAGHGHSHHHDHDADEENEVFWYTVTSSRRNLLRLSVIVLSIYFLYFVEFFMLYRKTHLHYCAPSTKSFVANSPSSEVKSSLSNSSVVEDNNNGGDTKEKRRNSVELEKPREQGGHELISLREDDDDAGEICGLKPRALIILFGDGLHNLVDGIAIGASFMVSLKLGFITTIAVVCHELPHEIGDLAVLIDSGLSMVTALILNLISALTAYIGLFIAISLGKDEEIETILLAITAGMFLYVAWVDMLSHLKHDALMADHWMVTSILQIAGFIVGFALIFGLGWFEHLLEGEH >CRE28711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:419871:421980:1 gene:WBGene00067785 transcript:CRE28711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28711 MSGLVEIFCILWLVNGLSSLSEKTGRKAVLSKFLKDNQKELRDAKDDEVINNALKSQFIGSSQLSSDLSYAYLVVLSDSVGTAACASGWTRYSGTGMCYKLSEYDMSWYKSEEYCWNQRAGAHLASIHSEAESRWLNAQFRDKYGQMDAWIGLRRDCDNVTYVWTDKSPTDFLWWQPEYPRSEFAEFSCVTLWEQSFLLDVDGYVPGQYDDMKECSSSGGSVALCKYDPNTSIIGEKYVKKTCSLIPETTSTTTSTTSTTTTTTTTTTPTTTTTTPTTSTTAPTTTTVTTTTPTTTTTTPTTTTTTTTTTPTTTTPTTTTTIPTTTTKATTTTAAPSGPVDCSPKCDPFWISYKGGCYGLVQGTSDFSTAELGCQAFGGEMAVITDADMNEAMRLAFSTNNDSTVAHQAWIGASSYSNWAPGKPNKAQGTQYPEYCNVFALSVVNNGLDFGFSRGVWTDYPCALTQEFVLCKQN >CRE28710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:416563:419171:1 gene:WBGene00067786 transcript:CRE28710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-202 description:CRE-CLEC-202 protein [Source:UniProtKB/TrEMBL;Acc:E3MK50] MATLFVFVVFFSIMENVNAKAIDNLEARKELAGNFLKDNQNLYRGAEDERWMFKFLNQNSLIPPETKSSSLSDSSSANLVVLSDAVETAACASGWKRYTVNGMCYKESTASMSWYAAEDWCWSQRAGAHLASIHTQAEAQWLNSQYKLWYAPMDDWIGLKKECDMTAYYWTDGTPVDFQWWQPGYPQAQYAEQSCTTIWNTALLFLVSGYTPGQFDDMKECGMATGSYALCKYDPNTSIVGTKYTPKVCTSTTSTTSTTTTTTPTTTTKTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTVTTTTETTTTPTTTTTTPTTTTETTTTTTPTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTTSTTTTPTTTTTTPTTTTTTPTTTTTETTTTTTTPTTTTTTPTTTTETTTATTTTPTTTTTTPTTTTTSPTTTTTTPTTTTVTTTTTTPSTTPTTTTTTPTTTTTTPTTTTTTPTTTTTTTTTPTTTTTKQKNLLPLVKFQLTTTTTVSTTTSKTTSKATTPFDTSKCANNCPTGWVSYNGLCYAKIKGPAKSSDFNTECVRLGGQMAEVSGSGVNEALRLAFSTNTASTTLEEAWMNLSGGFTNMAPGYSAATGSCYTIVLSQSDNGVYTQRGLWRTQLCTSLKEFGICQKAS >CRE28709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:410311:415920:1 gene:WBGene00067787 transcript:CRE28709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-199 description:CRE-CLEC-199 protein [Source:UniProtKB/TrEMBL;Acc:E3MK49] MRAVIIFFTIIVGSLTVENDMDDLLNRVNITKMFELSSGDAAILNELNMIRKLIAEGFMNNPFENIGKLIFDGAIQWIKNVYDKAFSQAEYIAEYGLKVFEFADLDFGAALIDTQEALTNGLEDLGNGAKDFFSNNFPSFGKRKKRYIVKFIEEKVTAFRETIEKPKNVFGAATNMNMLTWNRRLAQLAMVEKENIENGKRVVEYEGKRYRVNLYGTLATYVIANTGIQWIVTLFNVVVRTGYLAAKLWFDKIDIPNQKEIDTKDKTHEMLFADRSEVGCFFTWPNTICIIGPLNATTGYLYQKGDDACLDCKYGCTEALCNPPPHYFFALREKYKKHLRQKIEKFEIVEDHLGARQQLFSNFVSKNRELLKKGDGSDERYLFKFMNQNSLIPPESSKPSGLQDASQSGPVILSDTIGTAKCASGWIRWEGNGCCYKEMGSPMLSWYASEDWCWSQRAGAHLTSIHSQAEAVWLNYQYKLWWSKMDDWIGLRRNCDNTDWEWTDGTPVDFLWWQPGYPIYGGIEDSCTALWDSSVLRGMYGFLPGQFDDGRSCTGSVAWAVCKYDPNTSIIGQKYVKSVCTTTSTTTTTSTTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTVTTTTETTTTPTTTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTETTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTETTTTTTPTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTTTTTPTTTTTTPTTTTTTQTTTTTTPTTTTETTTTTTPTTTTTPTTTTTTPTTTTTTTTTTPTTTTTPTTTTTTTPTTTTKATTTTAVPSVPVDCSPKCDPFWISYKGGCYGLVQGTSDFSTAELGCQAFGGEMAVITDADMNEAMRLAFSTNNDSTIAHQAWVGASSYSNWAPGKPNKAQGTQYSEYCNVFALSVVNNGLDFGFSRGVWTDYPCSLTQEFVLCKQS >CRE28797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:396524:398493:-1 gene:WBGene00067788 transcript:CRE28797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-198 description:CRE-CLEC-198 protein [Source:UniProtKB/TrEMBL;Acc:E3MK44] MRFFTFFIVIHFGDVSAGNLDPRAVLVQNFLNSNKEAVKGAGNDKVLSAAIVNTLGLNTSNSGVLSDASNNHLVLMDATVACDSGWKQWSGNGMCYKISSTDTTWYAAEDWCYSQRSGSHLTSIHSQAEAQWIAATYISGGWLPFMDNWVGLRRSCDNTTYVWTDGTPVDYLWWQPGYPGSKDPEKSCVTIWVNALLKLNSAFTPGMFDDIWDCGTNTATPTCKYDPTSTAPHIKYDTSYTCVETTTVSTTSTTTTITTPTTTTTTPTTTTTTPTTTTTTPTTTTTTPTTTTVTTTTETTTTLTTTTTPTTTTKPPTTTTTTTPTTTTTTTTTTTTKATTTTAAPSAPVDCSPKCDPFWISYKGGCYGLVQGTSDFSTAELGCQAFGGEMAVITDADMNEAMRLAFSTNNDSTIAHQAWVGASSYSNWAPGKPNKAQGTQYSEYCNVFALSVVNNGLDFGFSRGVWTDYPCSLTQEFVLCKQS >CRE28793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:385560:386450:-1 gene:WBGene00067789 transcript:CRE28793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-197 description:CRE-CLEC-197 protein [Source:UniProtKB/TrEMBL;Acc:E3MK39] MIRSILILSVVFVAIQAMMAPCIDKPVCPDGWKKFEDRTNGQWCMKVFPGNMTWWEAERECRCTTKGAHLSGIESSSEKQWVEGQGQEVLDKIQDKNGAIWIGAYRRKECPSGATSSDVNCHAEKLFQFTDQHTCKTFIFQNWADNQPTNNAGDDCGAILVSTESSGDNVDASGKTVAKNCLQTTGTTPIMTSVGYVCGVKPAYPGNDYGGGYNTGYGGGDGGYGFGGGEMVVIGAGKPEKKKN >CRE28705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:383649:384744:1 gene:WBGene00067790 transcript:CRE28705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28705 MKLFLLSTVALFVSVYAIGFTGNGGGGNGGNGGTPECPFAYKLFKRPNGRYWCMKYFPGNETFFGAEKICRCQGGASLSGIENYKELSYVLGESEKSFESIGIKTGGVWVGAYRRKDCRVENMATKPECTKEYQYQWTDRNTMGNDMWKTRWTEGAPHNNKVGTHQEFCVQLQVSIDPAILNKNLTGFFDNRVCVHPDGETQFPTEGFVCGRPPKFTGGSYYGAGGGNGVGGMIIIGAGKPTKKP >CRE28792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:379767:381754:-1 gene:WBGene00067791 transcript:CRE28792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28792 MSFSIRSCIVLFLFFKYSYTLECSTQQVDFNATNTNFTIQSDKTDLATKKCTYTFTVPKYFKPTVRTLGISLTGNNKIWLNQQSDFGGLQSYPITADDIYYLGPTSFTIVIDLPKKTADDMFFIWISVKDSTPKNITTFSVKADVGTLIDSGSLQGNSIIRQIIDSQSQASSYIMKITLFGNDVVLFNLLDVMYVYDGESYKGSLLNVFTASNSSIICSGSNFSIVNTNPTSVGIFTVLVSGKDEWNASKVSLSSAPNVNVTQVFSATDGLTVFKEITNPFNGPGPVMYNKITFRGDGELSVYAGCVPGAQDNKKVAVITPSNAANYENLMISGRCKTYVLTKGVVQWESSNLFIQSYRHQIGQKGVIMSKTYPYPNTGDQYSTNYLIQSPSASSKENIIVTYEVALMSPDVSFNIDQDIKAYQDVNKTLSSSDKTYTSVSTYQQYMWYTVPKNSDGFLIRYTVTSSASSIGHLFVLCILSFFYLH >CRE28703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:375704:379668:1 gene:WBGene00067792 transcript:CRE28703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28703 MKLYKSEILFAFLWLLVDGKGANKVSQSSNTTALHIVTEKLATLSRVTNGIALQKELNSKSFNVDGLLAEILGMDSREKGLVELVKIDVEGLKNEYETIGNDAMAMKVSNLNESEVQSQLGVLGGMEKNVDALKEGMDLDITGTLGNATDAFNVKIEFFNKPFQTFALKFEKLITTEAKDFKRGEYEATFESLKDNFNTFQGTLNLLPSFETELKSLWALRNATYQFGSIEKVADMADLYNKSHNEITALKTNINELEKEFTTIRPILQMVNELLISQKFDLLRDTFEKMKSNDVSNRLFTAGLPEGTKDVTAAFKDLKSKWFRQEIARNNETILKQIESDLSALKKLNENVVSLETSWHLLKTTTDVPKVQNTANLWSSVIEKKIEIATFDQLETVITKIGDCLNDLSPINGTVNLSEFQTLLLKLRQLDTVSSTIHPLFQEISEIKGLQNKEVLKTLNAKLLSQPTDANLVVLQETVKELKGDENVQDLMKGVKKAGDLMGRILDSKINVVLSNPVSNWDAITNMQKILENTSLVESLECLQKEQFDEAVISNILNFGSTIRLFGENYKTDKSAITFFKNMALLKANYEKFINSFAKSRPKRSTQKSIVDSLDNALVVSQDLGKGVSLLRKMRDLHENRKVMVEVTKSGNEVVLELKKVLDTIDTSSFKTQLEKTVQDLDSLESYAKKNSDGDLQKIGGVFEQASTIPGVVVDSRRLLDPVSTSFQTSSDPNIQKSAESFRTLGNLQLDYSSQRSSFKTASLSVLKLRDFFNLVFPAPIVLAPTNEIKTTPEPNNETRNMLLGVGAFLVLLIAGLILFGYIIYNKRKRGDPNSYVHLLESGEESKIERTMKEGTNYVYMPIHDAIITEKYDRLQLCVKKGANVNAYCVYNGLHQTPLHLAVHKGNLKMVKCLIKNGADMTLKDSEYRTPIMVGESDVAMYNLLAKYENKTFLRRIPNELPEEKYLILSEGDDLAFSQKFPEMVTKDLRLATHIVFPVDADGCVVFSEQDLDHMQYLSLFFGPKMMMREEWRNTKPGWLSRRKLGADYNYRVKSVKVNGKRYDTVTRIHQHVQEKRIPFLFGVEAYFPDFKANKRLWTPLKLAMQEAGAIVFCDAVPKVQDKKYREGEEFRSPYYFDNLGPIFIMSNDKENICNQHPFIANNNRFSHFKFLEMVEFLFKFETHHFKLTETQDKTAIEKWDPVNTN >CRE28791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:372479:374613:-1 gene:WBGene00067793 transcript:CRE28791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28791 MFRAFEGFHHVQQPNGSYFTVPLRYVQLYPFEVESPLVVQAFDRILGRYVVIKKVVLPDGFYDRQPWKRAQRELNCMLHIEDDNIVQMYSCFTPASIVEEMTEFYIVREHMQGTMQNLSPPTFCEHKTVKSIFFDICRGVQYLHAMNISHRDLKPENILMSSNGDVKLCDFGHSNMEDPNANTPYIVQRFYRAPEIICETMDNNKTSVDIWSLGCILAELLTGKVLFEGRDHVDQFILMVRFLGNADHSFYSVMNEHARNFLLTYREILENCQSPPDIHAHFPDEMFQGSLSRQTNECRMARDLLFKMLVINPDDRINIQTVLSHPYLAEIWDGNVNPEDVPQPQPPQVLGDFFRFQSFFSPYELRDEIFNNIQNFGRQYNIFTESKH >CRE28702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:369463:372314:1 gene:WBGene00067794 transcript:CRE28702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28702 MSERKRCLSDGEIEDSDSESVPAPKRTLSSVIDYSNYYSPRSPSQNAPSLPNSPPLSPRRPTPQKVKKSSVEVPEKFLDSVHRRIHELQQETECNVYISTQITGWTRTVYLEGFQEDIEYARDRIEEIVMSSDGFEHQIATKHLKFGDGLSPRSPSPIRSSKNVQYSPLATPTVTPEKEVSVEISATQYQCYLATGKCGATVRKLEMETKCSILIQDEEETIRISGLEENVERAKKLVNEIIAPVSPKREITIPSGLYGEFGSRQREQTIDILKRNGVNWNVPDAAAEKLVMKLSGNEENVEKAIKEIEELKKNLEYEMLIHTTKVSRVIGKNGETIQLIREKSGAVCHFDKKTRDNPRLSLKTMIIKGSETQIETAKQMIQELIDLAKYYVDVPFRIYDNVIGENGENIKKISKVSGAKCWIDKQAIQQKKRVRIVGTSEQVEHAKRLFLDLINTEKRIKDNTYTMLIPIPAFEQITELGFRSIGRQSGAKIHYNSKTAGGAVKKITISGEKDQVESAKRLIQEAVNNVWNNYSQISQNYPLPRNPDSPSTPHSIPVLPVPHNPYALN >CRE28699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:357458:362924:1 gene:WBGene00067795 transcript:CRE28699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28699 MTKSDVENEVKEDISTRKKRTARTQSSRIWSSGNTSYEQYTGVKFKCKVCLASVPQHILSHMERWWERQMILVALVMTGHRTKEEAKKEYQASIRNYRKGFKIHLGSGYFYVCRDHLAHAGKCWMDILKIKSPDDVMKAKKPAVKMSMDIMKYFNDREFNFKSEKAFLEHCDQFISYDWQRICEIYPHLSEVLEEMEEMEDVPASLQEINVQEYENDENNVVLSPTQEISEYDDTMELFEPVMDMAKEEPESKQEITLPEPPVNLIKKGKVCKICGERVVKGHDISSDWTRRIVIYMAYQKGYIGKERVFTLFYQTNRIFICPEHSREAVEEIYELFGTRDPTNFIKMYSSYKQNVETTFKDIRFCFGLDPAEKIEKFIKGARSFASIHRIDVDKPPAPSTSPILRPPTPKLLPRISGNIEIPKRLSDILALEIPKSPPTAVDTPVPTHPASALWMLSTREPPSAPNRETSRHSERRTRPYPNTSRYASVVEFCSPSREGLNRAVRRLSSIRRGVCIPSSSQESSYSDPRICILNDGPPEDTCPRPKTVKSRNQCQICKARNVEEVVTLSIFTEIVCVFVVLMNSQQWTESRVMEMYSERVKQKSGVIVMSERKRFLNVKQEDSDSLPGAKRSFNDYSNDYSPRSPSPIRSPSNSEFSPCTQSPSSPSPLEPVKPVVKTGKIEKSSVEVPEKFLEEVRERVDMLQKETFCTINVSSRITGLTRTVFLEGLRENIITTKDRIEEIVMSSIGFVHQVARKHLKRGYPDYLTPRSPSPESPEEKQKPIRKRVSINIPASKFQCYLIVGKCGATVKKLEMDTRCEISVYKELENIEISGLEEDVERAKILVNDIFAASAALRKATAKPQGENGSVIQELKIPSHLSSGYGFTMMKKKANEICLKSDVRWQFQDMEVGKLMRLLGKREQVEKVIKEIEEFLEIMDVSEDTMFIHSSKVAKIIGKNGETIKSLSKRSGAECHFNRYDQNGPMPTFQTLVIKGSKQQISTARQMVQELINAVYHLPIPLNVYYGVAGYENENIINISEVSGARCTCCPDSKDQKKKVIKIEGTEEQVELAKNLLECSIEIEEAKVFTVDTLKISVWKASFVIGKNGSTLSSIRKESGAECIMKTEEDGYKILEIRGTFKQVEHAKRLIREQIGEKQVVHRVDIPFHVYPAIIGNNNEKVTEISAASGATCCPNEDQRKKFIKIEGTVEQVDKAISLLKDSIEKNETKTTVSSMTVPISKCGLVIGKRYERLNSICNESGADCTLSVATETEQTFEIRGTTEQIARATGIIETIIEDRHQMSYTMFVPAKLAGKIIGLNAVTIDSIRKESGTKIKYDPRRLEETMKKFEITGTVKQIETAKTLMQEASGIVLLGLPQDMDYVWNGYETGMPMSNWAPLESAPPPPPPPPLMLRLTPPPPPPSPRRSFRPFPPPPPPPFSSSFNHNYPGPSRSYNYPHHPYQPFGPSQFYR >CRE28790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:353229:356687:-1 gene:WBGene00067796 transcript:CRE28790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28790 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3MK29] MSDPFDRIMGDMSSGQASRSTSSSRIPKRDHRHSPEKDAPSSKRSNPGFTTPSSTTRIGRDSFAVMDTLDVQMNMFVLNLSKMPQKIQRIHVDTLMLCSNGKEINLNLGVVAVGGEWVLMRVFHHLFINFSVNSHNRRLAQHLIMRKFHEKKRHLFSNQSYHVMAYDCAAALYVPAGVYTGSDEEEASFSKEDFSSEDWTLVSKVSRRKDDKFLVRLKPAGFVETQGVDALEASNRMELTRCVEIVTSQKLNNNEFYQFGNATFPLRDSPNSEPDGTSEIRSGFAKVARLVEGRKGTNEMLMTIDTKLSPFYKNTSVLKFVINTYAESRGVGGGGYGGGGRGGYGGGGRGGYGGGRNDSRDSRGSYGGRSDSRDSRGGYGRSESRDSYGGRDESRGRRDSYDSRRSGGDSSGPDYNAQEVAEVEKAVRDNKNLVKTFEQALKGLFVEAIHLSGSSKIIRVAGVSEASAESSYFTQKDDKGEISVAEYFYKEHNIKLKFPHMPMIIMKRFKHECFFPMEVLRILPGQRIKVHKMSATVQSAMTGRNASMPQQHVDIVQKILSHSLKLEKNLYMDAFGIELESTKPVQLKAKLLPPAQIKFKNAVYMPDMGRPAFRNPGSFIEPAHIRRVAIVSFDRAIDMRQAEDFCDRLYDYCRDNGIKVDRDSKDWSIREMNSGDNVAIKEAMEDWMKKGVSIFVGIARDKKPDVHDVLKYYEESVGMQTIQLCKQTVDKMMNPQGGRQTIENVMRKFNLKCGGTNFHVEVPNSIRGKCVCANTETMNKKLLEQVQFIGFEISHGAARTLYDRSRNQMDGEPSIVGVSYSLTNSTQLGGFSYMQTQREYKLQKLDEVFPNCVRAYKEHAKKLPSRIVIYRVGAGEGDFKRIKEEIEEIRSTFAKIDHGYSPQLVVLVAQRASHARVFPSRIQGHKAFEQNVPSGTCIDNVVTSFGYEEFILSSQTPLIVSLIYHLSSFIVFNFQGTVRPCKYTILANDPNWSKNELIHLTYFRAFGHQVSYQPPSVPDVLYAAENLAKRGKNNYKVHQRYVSLQAIERRIIADHPDFVNEEMREQLASAIVDEMSVAMNGMTIAKRNFWA >CRE28698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:351725:352721:1 gene:WBGene00067797 transcript:CRE28698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28698 MASWDTLQFGQQTQKKKSKMMFAPEDDLKMWSFIAENYLNYGPFEIFTKMKEMLGYEHAEKSLYYRFRNILAPNLHFTSFDVNTKLQIAKKFNIVLNGDFVQELNKSWELVFDSNGCLEEFSWKPPFSISSSPSISSEMFVSLTTLKRTSTSSENGNKKTRRVTSSDDSFMKSLQEMISETVTTSNRKLIEELRNETNRKETSKNNGVKHYLEGLKHLITHLNTPELNDIEKRIDALNEKLNNEESVPLPVNNIISMLDIGLKMIGG >CRE28694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:344435:345583:1 gene:WBGene00067798 transcript:CRE28694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-88 MAEATENPSVSEPVKPELWKTVTEPSKVIETYYSEGNFYHDVHIEELATLANTSHKNVRDTLSRLRKKDEKAGKFVPAAPRQQYEFSDEQRKMLEDAFNGEFDYGNKMSTEATRALAMEAKLTVKQVNNWFCNQRRKRDGRSAEKDKKRREKMKLERLSKGLGRPNIFSAEDLQMFSQYYIAAGMAAMNGQKFKVPYGELAEKTKIDRKKIRDWFSKKKAKENKLKNMDNVENEEDEGEESDESVKEEDCSNASDTCSNNDTEMTEVDEQAEEQEKQEKQMKEEEDTEEEKPAEPEQPQGSAASAPAPDSNNVSRSLKEILETLFVKP >CRE28693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:334924:342315:1 gene:WBGene00067799 transcript:CRE28693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28693 MNLKIALLIGALSLVTAQFSGSCPSFFSGPPACATRQCLNGGYLDAAKKTCICPSGYLGIHCEAVKTSMPPDSHFKAGGTSFNIMNVNLYTQYWGVQTYENIRTSVSNHFAAFPSGYDKYNLVETTGKPGLDASDLPDNSTCKQQLCYSFQKVNSTNSPFLLSNATDTGLYWCYSVPIYENLVKMIRDANLKDTVITILTQHLPESDMQYQAREIAVAFGIRINVLWTIDNTFNNCDDSLVKDFKAFVDVTGGLFVQLQSETPDQLTIDLVSQVLLTHYKPQYVSIQSFKDCSTAQSVPVVIDPQVNGPYNFVFLGSNASPDVDSFRNCLLRPPLRSFDKNLAIFQSPDPMCSNLTITSGSGPCTAIVFTNANTTTGPLDLAIYITYVEDPSIDASRYAIVEGVPFYPAIHVESNSGASTLNSISASFDPSWTNVPTKRDPAAFEWISSKTITCDASQTYSLYLSITVDTITVQRSVRVACVPPQSSTIAPGSSTLVTSTPTDIKTSTVTVPNTSSGSSGSPTSTVPPGTFIRFLIPTQIKISAQCSYNKTYATFLFAYATDFDTTTYGLVKTTIGNMVTNYFPNKQILANKLIDMATPQDIKYTNLTLNFTTNLDGDQPNATNAASPIAGSDALDAIRKFLTDTSPHRLEGSTIIILVNRLPKTSDSDLSDKEYDQLTNLNIRVFPIITLNSLVENLTGRSGAVFNRIAAQTNGHYVVANDTIGRDVNSDFNKIVANFMQTSYTQNLIFTRNIGVARAANTNLGTVRIPKSPSGAQNINVTITVSLSAVDSHQPQPPNRLFLGIKPNGPGTETKSIDFLSLDIEFANSNYYKTTLPLAAGIDRDLFLQYLPGVDQNDLLIRMWAEGDTYREAAYIKYDESAVLPNISMIDENVGAALRLTLENQCSSDKSARLLITDCNGDVSAKYDSDQKFNWNDTSSTFYQFIPFFCSSQPTTATCISGADSKYDAQFVSDTFSITQSFQCRPGDGPTDDCKNKDANGNNQCSGSAPFKRGPTGSVYDCSNHGYLRYNESTSKFRCKCEDNSSGDSCEVVTCNNKNNDPLATDNYYHTYTVVVGLENANGFLVLDDAQVLFGLNNVSSLSSVWKYQLLTICADGIFDMVYSGSDLDKFKTIFTDPNYLTVARTCNASPTNGSHDLTTIYKEAVKGIGRNVKGIIVYYSEVSSMINVTLDGFILASQPYQQQMFVYAVTETSIVPLNNADSISQAAMSTGGFLIQSYIADTLTEHLDSNVSTLISNSNKQMSVFQFIPTLLTSSSSIAWYSTEQTGTFSYTGSNAYLLTWHAGSYFVLYNELQRNNCTTADATYASCKLSGSQTLKGASSDPFYVAVYLLDDVLSPKSQIISTLSQDASDAVSTSTSNSRTMLTINVPSTYSVVANQGDNGITRNAQRNNCAFDWTAYSVFSDQKYTNGLNIAKVTIQESTGTLYTRFFPFGTSTSPVCNNGGTPIPTTGSCACPSGFQGPDCSLVNCLEQSTSNAWSDVCVCAEIDDVACASKYTSMF >CRE09882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig522:2824:6764:1 gene:WBGene00067800 transcript:CRE09882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09882 MADSPTQHGDQDTQAAILKLTEQVMNLMTIFTQNQTPPAAASSTTVASTFDSSKLMNTISSRIPMFTYVPEEEKTFEVWFSRYKEIVTKDGAQLADDTKTRVILDSSYQDIRTRALQVLEQKPRITLLEMEAEVKRGLDIRADSKAVAPSNQSPEVQAVQKKPQNQHNQNKTEKQPPSPCYRCGGNHWSKDCKYQTATCRTCNKPGHIAKSCRSKPRDHPKVSHKVKTVFVGVAATHGSTRIYKSVTINGKPIKMLLDTGADVTLVNLTDWKRLGRPKLEPPSIRVRAANNQVITVKGSFNCNFELNGVRATGTAHVTETNTLLGIDWVAQDQTFWNLLHDAPTINSANTSSGSACSYLDGLRDGLKVDLSSEYPDVFQSGLGLCTKMRAKLKLKPNAQPVFRKSRPVPYASLEALSNEIDRLEATGVLKSLDHSDWAAPVVAVTKKNGSIRLCSDFSTGLNDAIEAHQHPLPTADDIFAKLNGGKFFSQIDLADAYLQIEVDDDSKKLLVINTHKGLFHYNRLPFGVKAAPGIFQQVMDTMLAGLDGVSCYLDDIIVTGCSIEEHNQRVKKVIERIASFGFRMRLEKCSFLMPEIQFLGFVINEQGRKPDPQKIADIKAMPAPKNAIEVRSFLGLIQFYGTFVRDLHRLRPPLDKLTNKDVEFKWDTECQHAFDQVKEMLQSDLLLTHYNPKLPIIVAADAPQYGIGATISHRFPDGKEKAIYHVSKALNKAQRNYSQIEKEAFGLVTAVTKFHKFVHGRRFTLRTDHKPLLSIFGEKKGVPIYTANRLQRWATILMNYNFSIEYINTKNFGQVDALSRLISDQMQQREETEEVVIAHIEGDIICSLDRVCDQLPVTVDIIRSETHRDKLLMKVMECIRSGKWPVLDKAAPIWLFQQRQTELSIVQECVMIGERIVLPTSLKTKVLLMLHRGHPGIVRMKKLARSYVYWPAMDKDIENLVKGCDPCAGAAKNPVKDVLHSWPCSTKPWNRVHADYCGPLQGIYYLVIVDSYSKWPEVYATKSITTSATIHIFRQVFAQFGNPEILVTDNGSQFSSKQLEQFCKRNGITHVRSPPFHPQSNGQAERFVDTLKRALQKLRGEGNSDSAVTTFLQTYRATPCAASPNGLSPAENFLNRKLRTELDLLLPMDPNVGDRNRKMEEQFNNQHGAMPRHFVVNQKVYVKDYRYPKATWIPGIIIRKLGGTIYDVRADDKTWRRHANQLRSRSSATACQEAADLLEMPIRFTQEPITEPVTPPSSTTPPLPTNPIVPSQPASPSPPPLRRSSRNVHPPKRLCMDPKKKSYRR >CRE28691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:329646:332825:1 gene:WBGene00067801 transcript:CRE28691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28691 MSDDQLCCLIWINGMKDSSYQDIRTRALQVLEQKPRITLLEMEAEVKRVLDIRADSKAVAPSNQSPEVQAVQKKPQNQHNQNKTEKQPPSPCYRCGGNHWSKDCKYQTATCRTCNKPGHIAKSCRSKPRDHPKVSHKVKTVFVGVAATHGSTRIYKSVTINGKPIKMLLDTGADVTLVNLTDWKRLGRPKLEPPSIRVRAANNQVITVKGSFNCNFELNGVRATGTAHVTETNTLLGIDWVAQDQTFWKLLHDAPTINSASTSSGSACSYLDGLRDGLKVDLSSEYPDVFQSGLGLCTKMRAKLKLKPNAQPVFRKSRPVPYASLEALSNEIDRLEATGVLKSLDHSDWAAPVVAVTKKNGSIRLCSDFSTGLNDAIEAHQHPLPTADDIFAKLNGGKFFSQIDLADAYLQIEVDDDSKKLLVINTHKGLFHYNRLPFGVKAAPGIFQQVMDTMLAGLDGVSCYLDDIIVTGCSIEEHNQRVKKVIERIASFGFRMRLEKCSFLMPEIQFLGFVINEQGRKPDPQKIADIKAMPAPKNAIEVRSFLGLIQFYGTFVRDLHRLRPPLDKLTNKDVEFKWDTECQHAFDQVKEMLQSDLLLTHYNPKLPIIVAADASQYGIGATISHRFPDGKEKAIYHVSKALNKAQRNYSQIEKEAFGLVTAVTKFHKFVHGRRFTLRTDHKPLLSIFGEKKGVPIYTANRLQRWATILMNYNFSIEYINTKNFGQVDALSRLISDQMQQREETEEVVIAHIEGDIICSLDRVCDQLPVTVDIIRSETHRDKLLMKVMECIRSGKWPVLDKAAPIWLFQQRQTELSIVQECVMIGERIVLPTSLKTKVLLMLHRGHPGIVRMKKLARSYVYWPAMDKDIENLVKCCDPCAGAAKNPVKDVLHSWPCSTKPWNRVHADYCGPTTRYLLSRNCRFLLEMAGGLRHEVNHHKRTFHLSQYSQSLASSILEILVTDNGSQFISKQRDICSYAPTSAAFSINGRESIYYPLLFGFSLPLKTALQKLRGEGNLLSLPALHNYAPGAPPCHTTHTIGTTCRELPHLATSNRTTHMS >CRE28789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:324619:328114:-1 gene:WBGene00067802 transcript:CRE28789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28789 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MK20] MNTPSSSGTNNRSQTQATAVRHHILRGDFKDNECPKNYSSTASRSSLLTKFVHEGSKNEKLRANYVTDEFLKCIICKIVGTNLLYCEGFQNGRQERACVSRFHKDCILKYNDGGFNARYATLPECQNLLLCPLHCCHDCNKSGYKQSAYKGELLECHLCFRSFHKATCLPSGSKVYQVEWNDDQLEKARMIKCPSHPTPKQWKHVEKQFPQAITVCHKCAESNKKYPLVDCKTCPRVFHSRCIPIKKVDEQTIDKERCDFCLENGVIRVNSPVLVKYGHRFYLGLTRPSSLYPKKEEAKLTKIGMSVVQWPLNKNTMSVVPIKNMVQLDKNYLKMAKNLNERNEWKKQIDEFKKREEFPKLYKEVAKEISRSVPYRIQSLPTFSMAIADSDCGCHGNADCSTDDCSYRNIVVECPPTCSSGKRCKNRFVTNGEMHRGIERRATDDRGYGVFATENIKSETNLVEYVGEVIDEVEKNKRLEIINASGDLEASHYNMRMKKVHFYMDSTRAGNIARYLNHSCEPNAEVLEVGVYVPNQSKSKTKLKFEPRILVRTTRPIRKNEEITIDYDMDMVNHVCLCGSSKCSGRMKAGAVQDVEMKKGDDVFNILRKYADKSSPKKTEEKVIPKKRQRQQKLPWTPTKMARLE >CRE28788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:320268:323773:-1 gene:WBGene00067803 transcript:CRE28788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28788 MSSTPSTSGTRYLADLQNNVKDDLVNGEYSGNGYPYKYTAARYRFNLHTKVVGTKDDGKLEATFNKTHSKMCIICKRGGANLLSCEGFQNGKTKIVCTTQFHLECIKTYNDGEFFFQYAALPECQNRLLCPLHCCYSCNKSGHRQSAYYGKLIECHRCLRSYHKDTCIPCGSKEWEVLWKDEMMEESMLECPTHFDQKEPKAPTKMKKFACDITHCHYCGESEEEDELTKCKKCPRVYHQNCVFVKRIDGEVADKNQCDVCRENGVIRQNTPVLAKFNLHFWLGITRKWDDYPDKIDHKSGMIVVVWLDKKGKGQKSIVPLNQVVHLTTKYLKMARGEEERKQWEDEVAKFKSREEFPVIYKKVTKELKKAKFYKVPEEPVHKIKMDKNCGCSDDCSNNCAYGSRLLECPPSCRKCRNCSNRFVSKGKCSKKIRLVKTDKKGYGVKAIKDIEVGEKLAEYSGEIISAAERAKRLSLIDMADDLEPNRYLMDIDTRWSIDSARKGNITRYINHSCDANTEALHINGTVDCSLMSFKRILISVIQSGSFKLRKNVLPYRPAVIIRARRSIKAGEEITLHYKMNNLMEICLCKSINCSGKKKRAKSDEKDEDDEDDKEQPSTSRRQPTSGKRKRRPVKEEEVDDEENHNQLSTSQRPGKRQCKPRKFFGT >CRE28690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:317046:318660:1 gene:WBGene00067804 transcript:CRE28690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28690 MGEKCEINDLLVPLKDKRLRLGSHEYKMVEPIATGPFSSVFLVEGDGIPYAMKVEAQVGCLRPVLKLDRAVLSALDNQTGFPSLIDAGRTDHFKYVVMQLVGPDLSSLLEFAPQNRFSQSTIYKIALQTLERLRILHDAGWLNRDVKAQNFAVGFGEESSIVYMLDFGLTRRYLEPNGRRHLLRPWGPSVGTFPYAPLNSLGFLDQTPADDVEGIYLADQLSKVCNYSGWLYMIIHLLNGLPWHNSKRSLSLAKVREWKMYCRRAGGRAQLFKDVPEGWSEIFDMIVKTAHHERPDYHKIACKVISIAQKENIDLTEQFDWQTNPVLRSLVRLGPLSCDELTMQTCGTPRATRMILSPI >CRE28689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:313288:316527:1 gene:WBGene00067805 transcript:CRE28689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snf-9 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3MK17] MNVSSEGVTSPQQRRSPSDSSVVEDQRIASEIAKDSASSKEIEVNEIDPCRGAWGNQLEFLLSTLGMAVGLGNIWRFPTRAYNNGGCAFLVPYISCALLFGLPAVYLEFLLGQYHRTTAPIIFRRFAPILQGVGWMAVAVSSLVAIYYIIIIGWSTVYMAAIVMGHTGMWNRCGNEWNVLETCMDSGMKAMCSSFNKTGNETAPSWANLSAVGRGVSYVYFNSTCYDRLDLISNKTMTASEQYFFNYVVTPSAGFLDFNSMNMKSFIGMNVCWIIVILILWKGVDYMGKASYVSYCHFTILHYHPAVFPWNHFGWCGRWTLLLFGKPGLVKGNSKDTKIPEIQIFQVFAPATWGEALKQLCFSLGIGYGGLIGMSSFSRPDNNCFRDALIVIIGDTMMSLVGGAAVFSTLGFLAKQRGCAVSDVVNDGFSLAFVAFPEALGRMPLPELWSFLFFMMLFFLGISTEVAYVNVFCSSICDQFVNLRKKKWLVVVGWCLVLYVMGVVMVTDGGFYWFIMFDEYAAGVSSCCAVTAEVLIVAYVYGRRNQQADMKEMFGPAKKKCTSWTGPHSPYFGFNWMFITPTLGSILIILASIRDYPYKSRPDVYPPAFDFVGWIVCLLPMAMVPIFALLAFIEFKRNGHDLRGLFMKQRQLKSYARIYKGMSLKDRVKQRILPDREPWDDKPTGYKFNIQTISSSSSSRSTTGSQNYSEKADLLNSASSIATTHSKVQ >CRE28787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:309501:312062:-1 gene:WBGene00067806 transcript:CRE28787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-187 description:CRE-STR-187 protein [Source:UniProtKB/TrEMBL;Acc:E3MK16] MSSTYQLICTIISFILNSFLVWLILTRSPQQTGKYKWLMMYTTCFEIFWGAFDLPAEIIAHSVGCAFIVFRVNDVDSLLSSDTSSWVVLVYTAIFGASMALFASHFIYRYGSIDSNFGEKYTSGWKFGVLFFIPVITGIWWATVVRVWFWPNQDMDDYTRELIMEKVGVGIQNISYIGAKFYNKDGLNGTNSLNQPAWIGVSQMWFMVISSMICVFGFGTLCYLRLSNQLSIVSSAANNLQVQFFYTLVLQTAIPLILMHIPITIYFLCPMLDLDFDFASSFVASTIALYPAVDPLPSFLIIKSYRQATIGKFRPKVYLKTRQNSFQDFSAHCSFYLVPSTKQDQQSR >CRE28786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:303673:306827:-1 gene:WBGene00067807 transcript:CRE28786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atgp-1 description:CRE-ATGP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MK15] MATKDALIAEEGNNFGGSGSGDIATFDKNAEVVNFELEPKPIGLTKEQLEKYRNDPFWKPVRTVLFALFWLAWVLMFAGAIAIVVLSPKCAEKQKPDWWQTKVSYQLLTATFFDSDGDGVGDFAGISQKIDFLRKIGVTTVYPTPVIKIQKDEYFNSYDVVDHLSVDDRFGTEEQFKELIDTIHNRAMYLVMDLPVSTVDLSHPWFEKRDESKFVIAKPTDPGFNETNFYPFHGANNLKYLGYPSSQNPVLNWKDSEVKATINSAIQKFLDLGVDGFHIDHISQLAVDSKGKPNHDGAVKVLEELTKSVQLYVESKEELKEKKIVLFSSLKDIEELHAKAVETGILHYVIDNSFANLDEKKCEPSVAKCVHDALNAAYQRHEVDKYSPHWQFSNSESSRLASRFETPTAHLLSFLQLTLPGAVSVYYGQEYGLKNAMSKDGELKQMGVMQWYPTGKDHHGFSKESDAPIFFPETDDKLGMDNYNSQFDISDSPLKIYRKLAKLRQRDEALIVGETVRDELINDDVILFSRYIKAENNTATGSAFIVALNFGEKEQKIDFNVAPASKLIPTNKDLAKTEISVVTANVTDYKVREQHNFVESQLVLPPKQAVLLKL >CRE28688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:301943:303517:1 gene:WBGene00067810 transcript:CRE28688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28688 MSHLNYETRLPLGQATIDHFMGLPAHPSKCQATYVWIDGTGEQLRAKTRTFDVKPKYVSEYPVWNYDGSSTGQAEGDNSDRYLRPVAVFPDPFSGGHNVLVMCDTLDNEMKPTVTNHRQVCAAIMKQVADQHPWFGMEQEYLIVDRDEHPLGWPKHGYPAPQGKYYCGVGADRAFGREVVETHYRACLHAGINIFGANAEVTPGQWEYQIGTCEGIDMGDQLWISRYILHRVAEMFGVCISLDPKPKVTMGDWNGAGCHTNFSTSEMRKPNGLTAIFEAMKGLEKTHLEAMKVYDPNGGQDNLRRLTGRHETSQADKFSWGVANRACSIRIPRQVADEAKGYLEDRRPSSNCDPYLVTAMIVKSVLLN >CRE28784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:287852:289152:-1 gene:WBGene00067813 transcript:CRE28784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28784 MSSEFPLFRLPLIVLNHGLKLMTPFEIISLSLCSKRCKTVCQSLRNQLKCKEKAVKFQLKFSKKREIQLEFNYYPNTRWILSIFQVRGKDEIGLSRNDLFVTNWIPTEEDTPQEQIRENNSMVNQYLKVFISNDYDIFILRKYINHLSYIFNITLTDLELYFQDFTRDENKTIIDSYCGNRRDTNCAKSLKLVGESENTPEDDLVVYHILNRQVAKCQLTLDIKPTSKFFFYGDRFRYSTDRLIVRNSDWLSCTELECCNSFSVWMFNSKIIEFDIEFMIKRWYSGWTPKWTLAMLELVFINIDDCINRIRGRISAGLIAVRSEETIEGPDGRSHRIKYSIRRNDGTIGEFLVENNKYLYIKMRDNTDILLSTFITKTKTMM >CRE28783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:284695:285899:-1 gene:WBGene00067814 transcript:CRE28783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28783 MQQFSLSYHSIYTRNWRVVGAIWVLCGLCTTVLQTLTLIHPTWIGNDEGGYFGLYDYCGTSECPWNPFRFRRLDFWFQLSALAVLIATVLSFLVIFFILLQVLLRDRHVFTVCSWLHFVAFLAMSAGCLLYPQGWENPRVREICESRSYKLGLCQIRWPYILAIVLVFDQLTLACLGFALALKKPPRIPELSSLTGPTVISNTIQPVPRPRKMSLQDSFYSHSGSRLEL >CRE28782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:283732:284526:-1 gene:WBGene00067815 transcript:CRE28782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-196 description:CRE-CLEC-196 protein [Source:UniProtKB/TrEMBL;Acc:E3MK07] MRFATSLIFVSIILLVSGNRRFGFGVKTCPKGWLQFQRHCYIRQPDTLDFKGAMESCARQGATLFQFDSTFEFAAVRNLFPDYMFTWLQAEIEEELEWLYEPYEEKINGKNTVATCIAFYSSPTKSYNYYYPCTSRFHSICEKPLDAFHVWVA >CRE28781.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:280015:282494:-1 gene:WBGene00067816 transcript:CRE28781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-1 description:CRE-HSP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MK06] MSKHNAVGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPHNTVFDAKRLIGRKFDDPAVQSDMKHWPFKVISAEGAKPKVQVEYKGESKIFTPEEISSMVLLKMKETAEAFLGSTVKDAVITVPAYFNDSQRQATKDAGAISGLNVLRIINEPTAAAIAYGLDKKGHGERNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVNHFVAEFKRKHKKDLASNPRALRRLRTACERAKRTLSSSSQASIEIDSLFEGIDFYTNITRARFEELCADLFRSTMDPVEKSLRDAKMDKSQVHDIVLVGGSTRIPKVQKLLSDLFSGKELNKSINPDEAVAYGAAVQAAILSGDKSEAVQDLLLLDVAPLSLGIETAGGVMTALIKRNTTIPTKTAQTFTTYSDNQPGVLIQVYEGERAMTKDNNLLGKFELSGIPPAPRGVPQIEVTFDIDANGILNVSATDKSTGKQNKITITNDKGRLSKDDIERMVNEAEKYKADDEAQKDRIGAKNGLESYAFNLKQTIEDEKLKDKISPEDKKKVEDKCDEILKWLDSNQTAEKEEFEHQQKDLEQLANPIISKLYQSAGGAPPGAGAPGAAGGAGGPTIEEVD >CRE28781.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:280063:282494:-1 gene:WBGene00067816 transcript:CRE28781.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-1 description:CRE-HSP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MK06] MSKHNAVGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPHNTVFDAKRLIGRKFDDPAVQSDMKHWPFKVISAEGAKPKVQVEYKGESKIFTPEEISSMVLLKMKETAEAFLGSTVKDAVITVPAYFNDSQRQATKDAGAISGLNVLRIINEPTAAAIAYGLDKKGHGERNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVNHFVAEFKRKHKKDLASNPRALRRLRTACERAKRTLSSSSQASIEIDSLFEGIDFYTNITRARFEELCADLFRSTMDPVEKSLRDAKMDKSQVHDIVLVGGSTRIPKVQKLLSDLFSGKELNKSINPDEAVAYGAAVQAAILSGDKSEAVQDLLLLDVAPLSLGIETAGGVMTALIKRNTTIPTKTAQTFTTYSDNQPGVLIQVYEGERAMTKDNNLLGKFELSGIPPAPRGVPQIEVTFDIDANGILNVSATDKSTGKQNKITITNDKGRLSKDDIERMVNEAEKYKADDEAQKDRIGAKNGLESYAFNLKQTIEDEKLKDKISPEDKKKVEDKCDEILKWLDSNQTAEKEEFEHQQKDLEQLANPIISKLYQSAGGAPPGAGAPGAAGGAGGPTIEEVD >CRE28780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:277778:279801:-1 gene:WBGene00067817 transcript:CRE28780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28780 description:Succinyl-CoA ligase subunit beta [Source:UniProtKB/TrEMBL;Acc:E3MK05] MFRAGGNLSKSMMKTQRRFLNLQEFQSKEILEKHGCSVQKFVVASNRKEAEEKWMSFGDHEYVVKAQILAGGRGKGKFINGTKGIGGVYITKEKNAALEAIDEMIGKRLVTKQTTEEGVRVDKVMIAEGVDIKRETYLAVLMDRESNGPVVVASPDGGMDIEAVAEKTPDRIFKTPIDIQMGMTDGQALKIAKDLQFEGNLLGAAATEIKRLYDLFIAVDATQVEINPLVETADGRVFCVDAKMNFDDSASYRQKEIFAYETFEEHDPREVDAHQFNLNYIGMDGNIACLVNGAGLAMATMDLIKLHGGEPANFLDVGGAVTEDAVFNAVRIITSDPRVKCVLINIFGGIVNCATIANGVVNAVNKIGLKVPMVVRLEGTNVDAAKLIMKKSGLTILTANNLDEAAAKAVSSLPK >CRE28779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:275806:277229:-1 gene:WBGene00067818 transcript:CRE28779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28779 MSSEFPLFRLPLIVLNHGLKLMTPFEIISLSLCSKRCNTVCQSLRNQLKCKEKAVKFQLKFSKKREIQLEFNYYPNTRWIFELEQFMAIKGNRETIIDKLYLIFQRKNSDRHELSETTYVVHIPNWIPIERSQEDIRDHIAVEEKLLKFYVPMEDGCFGFRTFINHLSYIFNITLTDLELHFQDFTRDENEKIIDSYCGNRRDTNCVKSLKLIGESENTPEDDEVVDHILSRQKAKSDLTIDILSSKFHFKENQLRYIPNQLVIRNSKWVTSVDIEYFNSFSVLIFQYHHLIWWWLVQCLIERWYFEWTPKWTVMMIEFRFVDIDTCVNRIRRFSDGDLLRSEETIEEPDGLSHRIKYSFRRNDGAIAEFLVENNKYLYIKARENTDISLSTFISMTKSMM >CRE28776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:268147:271407:-1 gene:WBGene00067819 transcript:CRE28776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28776 MSTGETPPPVSSNFLQFIVEKSKIVTSPFNKTQICREYAEKTSISLRYLQGLLMHQNKDFDTMTKMRICFALGASIDSKFLEELQKNATVTIDSLMRIMKYTANDGNLKLNGEPLHWALKRAQNEEGEVTSSSSESSSSSEEEDSESEMDFKESVSISGWPRLIESKLKLMKFVAEKTKGISRPLSLAYLATEYVRKGKTEKSKSSIRHSLRRLRDEVYKMLNFDVATRVRIIFGLSARVDEGFLNELRREAIVEVDEKRRISKYESNDGSLKLLGEHVKLNSYPMKKDVAQENRIRIVDGKRELMTFIAEQSRNAKSQMNINELSREFMKKFNYFSTIHAVQQQIHRFRMNLPKLCGFDLSLKIRMIFGLGVKIDQNYLERLRRNAEVEVDEKGRITKYKANDGSLELRGAHRRTYKWEDEKDEKKMKLKVKKDGVKPFDEKLFIENYKNEHKIKLEHPQLATSSSTNSTRLPPITYGALLKTVQKSTRCGNGIEFLSILSDVAFNLKSPIMLPFVENVAKRIQESEIKKMYIPESILRSSFDVGLRVILDHSKLTVSNEESNISLKEVLMVFRVALFNSNGYVDFKDELRRMSYSNWKADLRVSYKNVRYGLEMAIEMAFP >CRE28775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:264470:267885:-1 gene:WBGene00067820 transcript:CRE28775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28775 MSKVTELPSWAGASPENVEFLNFIAEKAQFVKDPFNIRNICREFKNRARTRSSDSNLSSKLMRYRKRIHELDEFDIDTKVKILYALSAPIDRTFLKELTEQAEIVLDAHGRITEYHKKTGGLDLKGEHIFQKELPLNTQRNRALMEILMEKSKETSCFPITDVSLIREFKMLTNDTNSECYLRRVYQQMKTQIYSSNELDNETKIKMLFISSASIPKSVLEEIRKDAEVEVDEEMRITRYESNDGNLKLSGKQSLPAKMGNEKRTRRKRMGTAYLGEYGKILEQRELEMHKNPKKRRYYTVGSNIVKKRSQRIQEEMENIPNEKYKEIWRNSMEDVDNSEYFYEELYEEEAEEIQYYPDFPISIKQETQIEEFSHETPFLYNSFDSQHSQYATSSSEQSFNFPYHDGFTGSQQSDSSENQQFPFDLLSKEDVDSILLASEHPILEEKPLLFDVKPELIDLNSIPDENFLNFINNDDQFFISSNENEESASASGASSDSISLTEILSIFRDLTDEIGLKKLRDELSMKIVQLEPRNLVKIRSRPLPSHAHFPNIQNISINSILLALDSALPVITKSIAPPEMFPSINLADFLRLLRNATSRMNSSQLGEFQQELDEMCNDEEKKKNRNISHETIRHGLEHALDIILPLF >CRE28683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:249795:257927:1 gene:WBGene00067821 transcript:CRE28683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28683 MTEARQPKWLGLGEENVKIMNFLARKTEKIESPLHLNQLSCDLRDHVGSPSPADTFKKRIRSNKSKIEEDMAYSDETKVKMIFGLGASISDKYLEELVVRFQFQLRTIIFRIRKDAIVRVDERRRIIRYQRKDGEFELRGVHRYHDALTRFERTEKMLEFLAEKAETATSPQCHSILAREFKERIDSPDNEIIWENRFHQVIGTIYRARYMRQTRIKMMFMTSCKLTEEQLKRYSDKIEKVNETLSYFRYRDRAEIEVDSKNRIIKYKANDGSCELKGDHSMGVKMLQGKEEVKRRSKLMSKDSSDDEEESDGETTDDDETIRLVNQMTASVKKEKETPKARRLPNHKDRKVDKTQKKVSGDSESEEKEGGSDSEVSSDSDEENEAEKDENSDSDEDDEEETEKSVNQKKPLKTKKTERTPLPKTSEVSKPTESNSAAEAVGGSREEILKSFSRGDTAKSNEKSVEAIIANRVNQRIENRVKEITEQSKARGTVVGGNSQKKRDSNGMTVKTVGEAEKENVAAREVPSENSNKNQKTASMLKENSQNPVVAPNSGNNESNSQESDGHADNGKELPSVVQSTAQQITTTSKDKSETSKASVSETTETETISEISAGKRTEPASGESRDLVTPKIPRGDLKRKIGEEDDVDPVNSLREKNKEGEEEPSKRTKMEKSGDIQFLQSTLPETSSEGIQFSSNESSGYPASDINSLQILEWMKAFTRTLCSTTLIEFITEIDRAIRELAHHNISSADLIPFLESGLLLIKSGSKSTSSQEESMDLKDFLKNLRNSFFYLNSPGFDEFQKKIERIIGGISGEDKIRELFNFQMTDEIQWSEEEEDVLYDFLVEKCQNTRIRFDIDQLCKEFRRANETNKTPESVRTKIIVFRREIPMSDEYETETKIRMMFGLKARIGTELLEELRKDAIVKLNGNKRIVFYQEKIQGGLKLDAYENENDPATIFRTDSQMLRLIERLSNSMGNTISGDLFIAKYRESTGSKESWFFLTERYRIVRSRIFESSEFDLEKKVRLMYFTNTRIEENVLAELRKEAFVVLYDNGYIKSYVARDGSFELIYKTLNQRNFKRNSQDDDLDYEPEEVRSLKQEKRESLPRSKRFVASYNEDSDGSENADWSEEENVDLTQFCLEKCQNVQLPLDVLEVAREFKEFTESDRYVSYIKSRIDELKINELTNLDVETRVKMMFALKIKIGDDLLQELCANADVKLNTSRHIKKYTSHDGRLKLTNNRKAWTEKEEKDLLKYLVDNCHRVKLQYDMWNFCEDYKQSSGTHRTVNFLNYRIKQLRPKIYDSTELDLETKAQLLFGLGVIVREDLLVEYICFRFRKNAKVEVNGFKRMIMYRSNDGKLKLPRVDDVIQESDEDVLQNEDSIGRVTRRSVNQKRIVVKKEIKVETGHIPMSVEPDTDQFPMIHGTTTAPISIEEEVYYSQNPATTSISMESNIVSNASENRGEGGARETVLKSFSRDVSERSPGINSDENSVADHTEVSPYPDLSIYSIPQEIMVDDFSDSILSVTALYEQCFREDEESNDDDDEKRRRRESADKKIAKNLEEIRMKSVLRREESVESDEEIDVETIGNSDSSDENPIEEEETPNSSNSTAGNEASPIRESMKSGNSVVQSVTRESVVQTTSKEGTPDSSSIAGMVIPNRNLVPPIRKSMKNTRSVSVPPRYFIDQSGGSRAEILKSFSRGDTTKSNEKSAEAIIANIVNQRIENRVKEITEQSKARGTVVGDNSRKTKDSNGMTVKTVGEAEKENVAAKEVPSENSIKNQKTASMLKENSQNAVVASGNNESNSQGSACHADNGKEQQNPTTSKNQSETSKASVSVTTETDAISEISAEKSTESAPRESRDLMTPKIPRGDLKRKIGEEDEVDPSKRAKRGVDSGETPKENQNTMIPTSDDSSGNQICCDSMKTSISLRSVFIWMKEFVQCLYSKEFEGFLMTLEKEMNGFTDQNILISDITPFIDTFLVFAKKGTTSTTQSLNVKDFLTKLKITLYMMSSVIFADFQEKIDKMIAEIDRENMVI >CRE28682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:245706:249250:1 gene:WBGene00067822 transcript:CRE28682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28682 MNYKSLKLCIIKGVIPPVRQFNTECDKNIMKFLSMKSEEDTTPVSDIDFAQEFKEVTGSQKSYQTLRERYTLVKRQIYLSNEYDEPSRIRMLYVSGTKLHASILNELRKNAFVEVDDKQRITYYKSHDGSLELGGETDGNDEYRVKTVKQEVVDDNPRCSKRRRISKPCYNQEDDDFDNDTLYQSDDDEDESNKRYEVKNWVNSISTPAIGTVKTETRFSEPPTTTTMTPRRMRIDGGEIPFYEGQGPLVPSQITPIHHGMTRSDEQEYRRIYQSDPREFSGLKKFIGGENQNSSRHGNPNPEVVQAKKYLEWLRMFLISMDSPAALKEIQKKVEVALKACGEEKILPLTNIISTLRTGVHIITKPIESTVPSTSAKDVLLNLHTTLLTLSSPIISAFQHEIKTARVSFGDQDKQFPIDSIRPILETLLLLA >CRE28680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:236394:241161:1 gene:WBGene00067823 transcript:CRE28680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28680 MSPPLWFEENRRLITHIAEESENIVTPLSIRGFCAEYREKNGETSSVTCLARRIERFRNKIHELDCFNTEMKVKMLFAVGASIDEQYLKELKKDAVVELDDRNRIVRYERGGVAIQGKHHSQINPQCLEQDRNILNAFAEFTNHVNFPIRMSHFIRHFKATTGSHKCENSLRDRFNQLKAHIHADTEYDTHTRARMIFFSSTPVDEEFLAELRNDAVVEVDSEGRITRYETYDGVIEFYGEHRNWGTKGNRMSSVGLMIQPKREVEDDDDDSSGEEEVEVSRMVRKRGSPPTPAHGPAKKRRFSTPYCHHPVPISPSDSLADVVACASNTDHLHPQLKVKLEFDSMGNVVEKKETGGEPNDINFDIEMFQREPNCGEQELLEFDEEMQPLVMIEDRNENVELVVEEMDENASEKSDESMLPHLESSDNSEIVEEQDDHQPDMHTNGKEILEMLRGLTLTLDSPILSNLREMIEREIEVYQSGDIKMPTNYIIMSLRIALRAFLNDARPRELTEASISIHGLLMMLSYGLINLNRSYLEEFQREVSDAIKNLNYQDKSISLKTFETVMTTVIIALSSGIH >CRE28774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:232996:234502:-1 gene:WBGene00067824 transcript:CRE28774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28774 MSCAPSTKIYGVSQPISTNAPTKSDFKLTDSLNESLHQFECYESKEESMTRIKVLSKLNALVKQWIKTLTAQRIPNGHELNAGGKLISFGSYRLGVHNSGADIDALVIAPRHVARLDFFTSFKKMLLENSSVKNLACVENAFVPIMTLMSTLNNLVWDPRINYSDRFHLMPIITPAFPEQNSTHNVSKSTLHIIQEEMKSALLICDQIHAGSATWNNLFEEVNFFSRYRHFVALSMNEESNEGFFESRIRQLVQIMERNCQVKLAHINPKKFKSVQNASVWFLGLELIENVKNLDLTAEIEGFKKNVEKQANGIQITLDATYVKRSGLIKWIPVADLKKGRFVNKCTGTTSKKRPFSSSPISSEISQVAAKKPCIDINVRLIKMKSNN >CRE28678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:231615:232669:1 gene:WBGene00067825 transcript:CRE28678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28678 MSLFGLKTEHDDLLKFIVKKAESVTSPFNLRQLCREFKTKNGSGRSAKQLADRIGRYRERIHELPDVDNVTKVKMMFAVKAPVDGEFLELMKKSAEVEVDERNRILKYRSFDGRVLLAIEDRYIEENKEFIKLLREESKTANSPINLSALCQKFKELWKSNTAKSVFLEKIIKYRQKIPEMKELDLDEKARMLFALSAPIDPDFLKKLQWESYVEVDHLNRIVKYQSEKGLKLCGIHFFQDETFKAAVDKKTKKTIKKK >CRE28677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:226261:231286:1 gene:WBGene00067826 transcript:CRE28677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nra-1 description:CRE-NRA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJZ1] MNQPSSLGIVDSSRPKTNVRLTISANHLQDLDVFSKSDPICLIYEKTSGKKSTTTESIELATWQDAQWTERGRTEVVMNNLNPQFQKTFLLPYFFEETQLLRFEIYDADSPNVGQDLSSHDFLGRFECVLAQIVSYSTLKAHLGKHGEIGAQWRNKDKNTKTGSITIRAEEDEKMEKIQFDVCGESLDKKDFFGKSDPYLNFKRKFDDGSSHLVHRTEVKQKTLDPRWATVQINTQTLCGKEGDRPILIECYDHDKWKKGEEPRGEAKFSRDDLIGTAQTTLNELLRGGPGGEAVEILLTNEKKKAKKGDKYKSSGTLKIWNSRIVVEPTFLDFISGGTQLDFAVAVDFTASNGAPKNSSSLHYMAADRPNQYELALRSVLSICQHYNSSKTFEAFGFGAKLPYQSSVSAIFPLDLQRGTSQVVGINGVMSAYRHSLQNVQLYGPTNFAPIIDTVAQKAQNMMHDSARYQILLIITDGIICDMHATIRSIINVGLLASGLPLSIIIIGVGNEDFEKMHELDSDDSLLQQDSRIAQRDIVQFVTIREFLNNGRGLYLDPDVIQENLAREVLYEVPGQLTGYMKQRGFEPRPVDTPWQRDSPPPEYDPIFDGIGTKRQAPPLGFQNQNPVTQEIPNASAPPMY >CRE28676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:219197:222520:1 gene:WBGene00067827 transcript:CRE28676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hke-4.1 description:CRE-HKE-4.1 protein [Source:UniProtKB/TrEMBL;Acc:E3MJZ0] MRVLIGSLLLLLLCLQAPPILSHQHSHDEGSGILTKLDIEHSEELHDHDHSDDHHDHDHDHDHEHGNWHRKNQTHQKMHPTRLSTLKIWVFSLTAVVGISLAPCTLLFFIPAQHANGPFLKILLAFGAGGLLGDALLHIIPHSLSPHDHDHGHSDHSHDHSNQLRVGIYVIAGILVFMMVEQLVRIIKGGHCHSHENGHIVADEHRHLNDHHDHTEKKQEVEGLKDIKASAYLNLVADFVHNLTDGLAIGASFSAGSTLGWITTLTVLLHELPHEVGDFAILVQSGFSKYQAIRMQAVTALGAITGCIFSLVVSNPLNSLNSNAATSPIMPFTAGGFIYIATVSVIPELLESGEHHRNMSKVAKMAQSLVHVMAICMGVGMMYIVSLVE >CRE24290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig476:620:1711:-1 gene:WBGene00067828 transcript:CRE24290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24290 MSPFPLFRLPRVVLCDVFKSLSIEEKIKLSLCSKKVSIQINNARLYSQKVIVVLNCLSYKIRVHSENIKDTFDIFNCYNGGTFNNPYIHQYQIEGHTVPVISFSEGITIFWKNYREGYLSVIRYLLKIFQCKFSIGNNYDSDLYKTTSSELFHRQVEFKTLAIRPNGSKDENLLWNQIASNLGLVEDLRIISVDKPDVTPVFTSWPQKINIGSAAWFTLESLLACPCTSIFLEGSHFENKDLEVILRKWKTGGFPNLEYLYVNSQGITNNRTTILGMSLLELVGKVIQTDDGLKMATIDTGHCRIEMSVISPPLFKSRWYEDADFGRRSFAKSVEWSVKNFESHSFF >CRE24289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig476:10461:11419:1 gene:WBGene00067829 transcript:CRE24289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24289 MCEVFKSLSIGEKIKLSVCSKRVSTQINNARLYSQKVKVVLDMFSDKIEVHSEKSKDTFGIFIRFNTGKITDIDIQQCHIEGVTVPVTTEPTKIMTFWENYREGFLSSIRHLLKMFQCKISTDSSTYNNDFYKSIIPVLFNLQVEFKTLTIHLNGSKNDNLLWNKISSNFELVEDLRILSVANPRFIPVFISWPQNIDVFSSAWFTLKSLLTCTSTTISLWNSHLKNEDLDEVLRKWKAGGFPNLEYLLVDSLSVTNNETTILGMNLMELNGMVIQTDDGTKKATISKIDSQIIEISVTSFK >CRE21961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:3205:6285:1 gene:WBGene00067830 transcript:CRE21961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21961 MEVIPDPREISGINGPLQYLANEKGEPVAKTDEDMEIPSIDESWAVQVEMEAIDEDALLGPQKENQEEEPPAKKAVHPFQRYYVTSTRSEEPPKCPALKAIKGESLDSLQSFREATAECIQKPEGLPEHPLGDLKGKEVPETKFCSFSPPRPYAIYQIVDRDGASMTLTPMHPELVANDRPDLFYVWLTNTAFDTYSQKFANCSELYKGDLIFVQKLARKPGKSPEDIKRDFVKVLDPKEHNYWRVIEYRMVPRNIWKRQEALSMGRNGRDYNRKETAFAINHIGSIAYFPTKKLSKRKVDGVWMEADVFAPVATAGKLMSSIDPSYRVTVTKEVSLITPTWTFDPTILSITEMEQEEATHLDSIPVFFRNNRMTKENLESFARAVKLGIHGQVALQTERYDNRAYPTEVVSATQGLSGLIITAPLPSPPGRMISLAKWRRGVHLSLDFARCTFSAVSLSTVIEGEAAMLRCRLIHSGHVDFTPEQLVGQEVVVRQKKVEADDLMRLATGHLAVPDDITGSTALRVLTALHGGFLLPELQIPENDCQWTAGAVSLTPEQGKIYTLLQNPDMKAALVDCAPGTGKTTALVASLCRHASTDTKGWIIVGAMSNAATAQAVQAWKKVDRLVPGVRLVTAKNRNRMDPELQTDYDYPVLWPRVLIEAVIRVNQPGINTLSPLMESAVRHLFAHQFMHKQDIVNSSIHKALKLGYKTNKPTHTIFQTFLEIHKPQLFFGTVVSVRLFFSSELWLRLNTDKVTTTVFDESSQLPRYSLVPMIYTFTKSRFVFIGDSRQLAPYAEQAVPEKLKSIGIGFPFIEAVKQKRAPVIRLNRVFRCPGEITDLVSKLYYDGMLSGKDRVSPVPILQGLRLPSSFPLLLISAETKERRDGTSFVNDAEAEVVVQLVEQWKTAKKEDEKAVVLCLYLAQAANVSRRLDNDVYVNTVDASQGAEYDLVFLLTTRTENVATCRFINDATRINVGLTRSKQATIVIGDRRNLSGAQEWKRVLELMPEEAKLEAKLEDFATQSN >CRE21962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:9202:10183:1 gene:WBGene00067831 transcript:CRE21962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21962 MSSPFPLLRLPGVVLCEVFKSLSIGEKIMLSFCSKKVSIQINIAQFYSQKVQMCLDMSNQRIDISSEDSRDLFQIAIDFNRKINNPRIQSFSIGRYTVPTSKNINTYWKNHQEGFLTVTQHLLKMFHCTISADISYNNFDLYPSTISKLFDLQAKFKTLSILLNGSTHQTLLLNQISNKFGLFEDLRIVSVANPLFIQVFNSWPHEINIFSSAWFPLNYLLACTSSIITLGWSQLENKDLDVILRKWKTGGFPNLEYLYVESQSIKNNGTTILGMNSRELSGKVIQTDDGSKKATIKLGTRSIEMSVTPH >CRE22008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:15126:16114:-1 gene:WBGene00067832 transcript:CRE22008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22008 MSSPFPLLRLPRLVLFEVFKSLSIEEKIKLSFCSEKISTQINSARLYSQKVRVDLDISRKKIRVCSEHNTNIFGCVYIGISNDPDSQQYQIGGLPVPVIPYTKGINACWKNHPKGFISAIRHLLKMFKCKISTDISYYNSDVYQPMISELFDLQLEFKTLTIRPKGSKDQNLLFNQISSKFGLVEDLTISASFDRGFRPVFTSWPQKICIMRSDWFTLESLLTCTCTTITLKYSLLENKDWEVILMKWKTGGFPSLKRLTIDSLRFTNNGEEILGMNLMELNGEVIKTDDGSKKATIRIIGRNIEMSVTLSQ >CRE21963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:18582:19575:1 gene:WBGene00067833 transcript:CRE21963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21963 MPPLPLLRLPELVLCEVFKSLSIREKILLSLCSKKISTQINNAQFYSQKVIVDLDMLYQGIRVHTENNRDSFEIYICPDSMIRRNLNTRQFFVECCIVRTIFIPTGISTFWKNHQEGFPSMIRHLSKMFQCKISTKSSCNESDLFQETISNSCDLQLEFKKLATRLNGSKDQNLFWYQISSNLELVESLCISSVPDPGFSPVFTSWPQNIFIGNFDWFTVEYLLACTCTTITLEDSSLENKDLEEILKIWKAGGFPNLERLKIHSRNITSTGTTILGMNSEELYKKDIETEDGSKKAFIRIRHQVLEMSVTPLE >CRE21964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:21970:22957:1 gene:WBGene00067834 transcript:CRE21964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21964 MPPLPLLRLPRLVLCEVFKSLSIGEKIKLSFCSKKVSIQINNAQFYSQKVIVDLDILNQNIRVHSENNKDTFEIYTYPGSWNSHNSNTHQYPIAGCSAPVITTPTGIKIFWKNYPEGFLSVIQYLLKIFQCKFSTHIRLYNSDIYQPVISELFHLQLEFKKITISLNGSEQRNLLWSKIASNLGLVEELRIISVENPHVRPVVTYWPEKISVTSFYWFQSLLACTCTRITLDWLHLDNKDLDEILRKWKAGGFPNLEHLKIDGQGIKIIGTTILEMHLWELAGMVIQTDDGSKNGTIRIDTGSIEMSVTSFH >CRE21968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:42054:44767:1 gene:WBGene00067836 transcript:CRE21968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21968 MLLYFNIPAPCSDSNSDESLTRNKRIPKPAATFLVHPSDVKPTEDITNLPELSTRNLADYIGTLTDNTPENALQGLKFLAEYGIIENDRTCVKCLSEMNLNQDSKIIHDKHVWRCLPCRRNKESSKISMRAGSFFENLKLTVVEVFYLAAEWIENPSKTVAEVSKQFGISHTTVVEVQEWFRQMTKQWFKRESEANPNMKLGGPGKIVEIDETCVYRAKHHRGRMLRRKTKWVFGMIERGSSKVVMFRVPNRSAATLLPIVAKYVEPGSKIISDGWAAYTGLSAMQFDHHWVNHKINFVDPNDRTIHTQTIESTWNALKTQLKARYGTPEERLDGHMYNYMWRRYYNKQKLLNRLIYEMKFYKRKSREEDDNEEFTDSEEDDDDDIDDDDDDDDIDDDDDDDDDDNINDSTMIAQPTSDISDDDSKTFPPGTPFVTSTVSHLPYSATPHRRRGHHKSTPQPARISSTLATDDAASPSSIPSTNTPSTTPVFTSTTFTPSSTSSRRRGHRSSTTPTASTYPSTNMAASPSPAVTPSTAESPVVTTSSSPLLTTKKRRGHRTSAVPSVTTSFSTTPSLSSSTFSLPPTSATTLSTSNLLSPTTRRSGYRSSTVSTPTITTRLSFISTPTVSSTAFTATTFNPSSQTTARRRGQRKSTVPSPTTTATASTFTAPLSSTATSKVTPTATTPSQTTTIKRGGRRTSKMSSTTPFSSSTFTKTTRVKPTKTPSYTTKKPQSKLTTTTKNSIIHSPTNPPTRSRHVTTRRPTTTKKTKTSSSGFKSPTTPKPPKIPKMPKAPKVQTGKGG >CRE21969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:44998:46104:1 gene:WBGene00067837 transcript:CRE21969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21969 MGLRFCHGPPILLRASYFFMGLRFCHGPPILPWASDFFTGLRFCHGPPILPWASDFFMGLRFWNRATNSSRISRIKLSFCSKKTSAQINSARLYSQKVIVVLGMTGKLIRVYSENETVGFYIAICTEKIDNSNIQHVQIEGCTVPVTTTVSEPIKINTFWKDLKEGFLSITRHLLKIFHCKISTGRDCWRHELFQPILTELFNQQQAFETISIGLHESVDHNWLNRIFTYSELIEGIEIPYLHSLTPSTFIPTFPPWPRHRITIKQNFSWLTLDTLFTCTCSDIYIARTNLENKDLDEVLTHWKAGGFPNLECLTIGSTKIKLNGDPILGLVPSEWEGETTIQTDDGLKTADVQLWQNYLEMQTLPQP >CRE21971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:48905:49895:1 gene:WBGene00067838 transcript:CRE21971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21971 MPPLPLLRLPGVVLSEVFKSLSIGEKIKLSLCSKKISSQINNARLYSHKVIVNLSTLYHNIEVYAENKKDTFDIFNCSDSGTINNPYIQLHRIEGRAVPVISIGEAITTFWKDCREGYLSVIRYLLKIFQCKIAISNNCNSDLYQPTVSELFDLQVAFKKLTIHFKGSNDENLFWKHISNKLGQVEDLTILCTCDPDFRPVFTSWPQNIDVLSSAWFTLENLLECPCTTITLWNSTLGNKDLDMILNNWKTGGFPNLKYLKIHSWEIRYNEATILDMKFRELDGMVIQTDDGSKKATFKLGYQRIEMSVTLLQ >CRE22012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:55486:56477:-1 gene:WBGene00067839 transcript:CRE22012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22012 MASPFPLLRLPRLALFDVFKSLSIGEKIKLSICSKKISNQINNARLYSQKVIVDLDMLNHGIRVCSENNEDKFDIFTKPGSAKSRHNSSTKHLSISCCRLRGTAILTKIRFVSVIQHLLKMFQCKFSIRISCDCGSSYHPTIFELFDLQLEVKTLIVNLDGSKDENLLWNQMFSKFGMVEDVYISTIFDSDSSPVCTSWPQKIVILNSEWFTLDTLLACTCTSITLEGPSLDLEVMFMKWKAGGFPNLKYLKIHGENIESIATTILGMKLSEMNLLELDGMVIQTDDGSKKATIRIGFCLNFHRIEMSVTPFE >CRE21975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:56885:57890:1 gene:WBGene00067840 transcript:CRE21975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21975 MSSQFPLLRLPGVVLSEVFKSLNIEEKVKLSLCSKKIFTQINNAQFYSQKVIVGLDVLNQSIEVYSENIKDAFEIFNCSYTGTINNLDIQQCQIEGRVVPVISFAKGISIFWENHQEGFLSVIRYLLKIFRCKFSMYNNYNSDSYKNTISELFNLQVEFKKLTIYLRGSEDEHLFWNRISNKFGFVEDLIILCPHDPDFRPVFRPVFTSWPQKIYILNSDWFTLNSFLKCPCTTITLWNSTLGNKELDLILKNWKTGGFPNIEFMKITSHNISNNGTTILGMNLMQLNGKVIQTDDGSKKATFKPGNQRIEISVTLFQ >CRE22013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:59242:60083:-1 gene:WBGene00067841 transcript:CRE22013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22013 MPPLPLLRLPQLVLCEIFKSLSIGEKIKLSLCSKKISTQINNAQFYSQKVLVDLDILNQNIRVHSENDEDIFEIFTYPESEISRNSNTEQCSIACCLLKIFQCKISTNISQYNSDLYQPTISMLFDLQVEFKKLTISLDGSEDQNLFNQISNKLGLVEDLQISPGWLPGFKPIFISWPQKITIWSSYWFTLEHILACTCTTIILEMSHLGNKDADVILRKWKAGGFPYLEYLSVEGESISNGRILGMYWMELQGMVIHTDDGL >CRE22014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:62403:63398:-1 gene:WBGene00067842 transcript:CRE22014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22014 MSSPFPLLRLPRLVLFDVFKSLSIGEKIKLSFCSKKVSTQINNARLYSQKVNVQLNCLRQKISVYTENYKNSFEISIYSDSWRSHNSNTDQFSIACCTARVISISKGVQICWKNHQEGFLYVTQHLLKMFQCTISTKSHCYGSDFFHPTISMLFDRQVEFKRLTICLNGSKDQSLFWNQISSNFGLVEYFRIESVADPAFIPVFNSWPQKIYIIRSDWFTLESLLACTCTTITLWDSLLGTKDLDVILRKWKAGGFANLEWMKIQSRNIENNETTILGMNLMELRGMVIQTDDGSKKATINTDYGRIEMSVISI >CRE22016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:69582:70564:-1 gene:WBGene00067843 transcript:CRE22016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22016 MPTFPLLRLPGLILFDVFKSLNIEEKIKLSLCSKKVSIQINNARLYSQKVIVDLDMKYQDIEGYSENIKNAFVIINRSTGTINNPDIPRCQIEEHTVPVISFAKGITAFWENDQEGFLSVIRYILKIFRCKISISEHYKSGSFVNTISELLNLQVEFKKLHFYFYGSKDENLFWNQISNKFGLVEDLSILTDEDPNVRPVLTSWPHKYTIMNSDWFTLESLLERTWTTITLWNPPLGNKDLDVILRKWKTGGFPNLERLEIHGHRFTNGTTILGMNLEDLDGKTVQTDDESKKATIQLGFRRIDISVTPF >CRE22018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:72627:73608:-1 gene:WBGene00067844 transcript:CRE22018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22018 MSSPFPLLRLPGVILCEVFKSLSIEEKIKLSLCSTKISTQINNAQFYSHNVIVNQDFLSHKIRIHSENNKDVFEILICPDIGKNHNSNTQRCPIACCTVPVIFIPTGIKIFWKIYSEGFLSVIQHLLKVFQCKISININCYCSNLFQQTISKLFDLQLEFRTLTIKTQHLTIYNNLGRVEDLRIFSLPDPEFIPVFTSWPQKITIMNSAWFTLEYLLTCTCTRIFLEDSSLGNEDLDVVLKNWKSGGFPNLEYLYIESQRISDNGSTILGVNLLKLRGKHIKNNDRSKKLVAKLSTKFGFERIEMSVTVV >CRE22019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:75900:76895:-1 gene:WBGene00067845 transcript:CRE22019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22019 MFSAFPLLRLPRLVLFDVFKSLNIAEKIKLSICSKKVSTQINNCRLYSQKVHVHLDMYSHKIEVFENGEDKFKIFNRSDSGTNTDPYKQQYQIGGCTVPVISYPEKISAFWKNHREGFLFVIRHLLKIFQCKFSTTINYYNNDLSQLIISKLFDLQLEFKMLNIYPDGSNHQNWLWKQKSSNFGLVEYLTIFSIVNPAFTPVFTSWPQNVTIMDSNWFTLKYLLACTSTTITLKGSRLGNKDVDEVLRKWKTGGFPNLQLLEIESRNITSFGATILGMNLRELNKLVIHSDDGSKKATIRHFYDRIEISVTPSE >CRE22020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:80821:81815:-1 gene:WBGene00067846 transcript:CRE22020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22020 MPPLPLLRLPQLILCEVFKSLSIGEKINLSLCSKKVSIQINNARLYSQKVYVHLDMYTHKIEVHSENGEDSFEIFTYPDSRISQNSDTQQFYIAGCSVPVISIPTGIKIFWKNRQEGCLSVIRHLLKIFQCKFSIDNVCNSESYSCFKTTSELFNLQVEFKKLTIYLRGSEDEHLWWNQISNKFGLVETLEILTSDLGFNPVFTSWPQHIDITNSAWFTLEHFLACTCTSIILFQSHLESMDLDAILKTWKAGKLPNLNFLWLHSLTMTDNGATILGMNSRELNGMVIQTDDGSKKARINAGVCCIEMSVTPSE >CRE22022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:83885:84920:-1 gene:WBGene00067847 transcript:CRE22022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22022 MSPFPLLRLPRLVLFDVFKSLNIGEKIKLSICSKKLSTQINNARLYSQKVIIDLDCINYKIRVHSENKKDTFEISIYSDSWRSHNSNTQQFSIACCTVKVVSIPTRIKTFWKNYREGYLSAIQHLLKMFHCKISTDIGYYNSDFYQPIITMLFDLQLEFKTLTINLHRLKNRELLWNQISSNLELVEDLNISFTVIPRFKPVFTSWPQTIYISNSYCLTLESLLACTCTTITLAESQLENKDLDVILRNWKVGGFPNLKYLKIHGQSITNNRTKILGMNLKELNGTGIQTDDGSKKAIIITDYGSIEMSVTSFENPRHTLRGIYFRID >CRE22023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:88395:89376:-1 gene:WBGene00067848 transcript:CRE22023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22023 MSSPFPLLRLPGVILCEVFKSLSIGEKIQLSLCSTKISTQINNAQFYSHNVIVNQDFLSHKIRIHSENNKDVFEIFICPDIGKNHNSNTQRCPIACCTVPVIFIPTGIKIFWKIYSEGFLSVIQHLLKVFQCKISININCYCSNLFQQTISKLFDLQLEFRTLTIKIQHLTIYNNLGRVEDLRIFSLPDPEFIPVFTSWPQKITIMNSAWFTLEYLLTCTCTRIFLEDSLLGNEDLDEILKNWKAGGFPNLEYLYIESQRISDNGSTILGVNLLKLRGKHIKNNDRSKKLVTKLSTKFGFERIEMSVTVV >CRE22025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:94523:95535:-1 gene:WBGene00067849 transcript:CRE22025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22025 MPPLPLLRLPGVVLCEVFKLLSIGEKIKLSFCSKKTSAQINSARLYSQRVIVVLIMTQKVIRVYSDYQPVGFYIAICTEKIDDSNIQHARIEGCSVPVTTTDSEPIKINTFWKDLKEGFLSITRHLLKIFHCKISTGRDCWRHELFEPILTELFNQQQVFEKIFIGLHESVDHNWLNRIFTYSELVEGIEIPYLYSLTPSTFIPTFPPWPRQEITIKPNFSWLTLDTLFTCTCSHIYIANTNLENKDLDEILTYWKAGGLPNLEYLTIGSTKIKFDGDPILGMVPSEWEDETTIQTNDGLKTAVVQICQNYLEMLTLPQP >CRE22026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:96350:97678:-1 gene:WBGene00067850 transcript:CRE22026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22026 MSSPFRLLRIPRLVLFDKSLITPCRFASSIPHSSLSMPPFPLLRLPRVVLCDVFKSLSIEEKIKLSLCSKKVSIQINNARLYSQKVIVVLDCSSYKIRVHSENIKDTFDIFNFYNGGTINNPYIHQYQIEGHTVPVFSFSEGITIFWKNYREGYLSVIRYLLKIFQCKFSIGNNFDSDLYKTTSSALFDRQVEFKTLAIRPNGSKDENLLWNQISSNLGLVEDLRILSVNKPDVTPVFTSWPQKINIGSAAWFTLKSLLACPCTSIFLEGSHFENNDLEVILRKWKTGGFPNLEYLFVNSQGITNYGTTILEMRLLELRGKEIQTDDGLKKATIDTGHCRIEMSVTPSEQT >CRE22027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:99301:100290:-1 gene:WBGene00067851 transcript:CRE22027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22027 MPPFPLLRLPRLGPGSRVFKSLSIGEKIKLSFCSKRISTQINNARLYCQKVMVHVRKLYRYIEVHTGSKKSAFDIFNYSHSGIIDNPNMQQYQIEGHTVPVISFAKEISIFWENHQEGFLSVIRYLLNIFQCKIAISNNCNSDLYQPTVSELFDLQVAFKKLTIYFEGSNDENLFWKHISNKFGQVEDLQIISVANPGFRPVFTSWPLRIYIASSVWFTLKSLLECTCTKITLQESRLENKDLDMILKNWKTGGFPNLQYLSIRSKRIKNNATTILGMRLLELDEKAIQTDDGSKKAIMNTANGRIEMSVTLFE >CRE22028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:103968:104958:-1 gene:WBGene00067852 transcript:CRE22028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22028 MSSTFPLLRLPGVVLCDVFKSLSIGEKIKLSFCSKKVSVQINNARLYSQKVIVDLDILSRKIRVLSENNIWAFDIFNYFNGGTSNDLYIHQHQIEGHTVPVFFFSEWITILWKNLREGYLSVIWYLLKIFQCKFSIGNNYDSDLYKTTISELLDRQVEFKTLTIRLNGSKDENLLWNQISCNLGLVEDLRIESVDNPDVTPVFTSWPQKINISSSAWFTLESLLTCPCTSILLEGSHFENKDLEVIFRKWKTGGFPNLEYLYVNSSSIANNGTTILGMNLMELDGNVIQTEDGSKKATIRIRGRSIEMSVTLCI >CRE22030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:107704:110449:-1 gene:WBGene00067853 transcript:CRE22030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22030 MSSPFPLLRLPGVILCEVFKSLNIGEKIKLSLCSKKTSAQINSARLYSQKVIVDLGRLYQKLEVSSEINKDAFEVINCSYSGAISDPKMQLCRIAGFTVPVIFFDEGITTFWKNHQEGFLTVIKHLLKMFQCKFSIYNAYNSDLLQPIIFELFDLQVEFQKLTIYLKGSKDELIFWNQISNKLELVEDLELSSILKPDFIPVFNSWPQKIGIIRSDWFSLEYLFACTCTTIELGWSHLGMKDLNTVLKNWKAGGFPNLEYLYVEGQNIENNGTVNWSRMVIQTDDGSKKATIDIRYNRIKIINLSFCSKKISTQIYNARLYSQKVIIDVDCLNCKIRVHSKNEKDIFEISTYSDSGKCLNTNVQQFSIAGCTVRVIPIPTRIKTLWKNYREGFLTVIHYLLKMFQCKISISIGYYDSALYQPTIAMLFDLQQEFKTFDIKLEESEDRQLLWNQISNNLGLVENLSISSVTEPGFCPVFASWPQKISIINSVAFTLEHLLECTCTTIELGWSHLEMKDLNTVLKNWKAGGFPNLKYLYVEGQNIQNNGTMNWSRMVIQTDDGSKKATIDIRFNRIKMYVTPFD >CRE22031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:111519:112512:-1 gene:WBGene00067854 transcript:CRE22031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22031 MSSPFPLLRLPRVVLCEVFKSLSIGEKIKLSLCSKKISAQINIARLYSQKVIVGLDVLNENIKVYSENIKNAFKIINCTYNGRTSDLIIQQYRIEGHTVPVIPCPKGIITLWKNNREGFLSVIRYLLKIFRCKFSVNCDHNSGSLQPIISKLFDLQVEFKTLFIYLYGLKDDNLFWNQISNKLGLVKDLSIYSLVNPGFIPVFASWPQSIFIMSSAWFTLEYLLACTCTKISLDWSYLDNKDMDAILRKWKAGGFPNLEYLYVGSHNITKNGELILGMNPLKLRGKVIQTDDGSKKASIRIDTGFMEMSVSSV >CRE22034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:119107:120104:-1 gene:WBGene00067855 transcript:CRE22034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22034 MSSPFPLLRLPRLVLSDVFKLLNIEEKFKLSLCSKKISTQIINARLYSENVTIDLNMLYQRIEVSSENNKDTLNIFNYYDCEASNNPDIHQYRIEERTVPVFSAGLGITLYWKNLGEGFLSVIRYLLKIFRCKFSISNDYNSDLYGKAISELFDLQVEFKKLVICFNLLKNQHLLWNKMSRNLGLVEDLNLSSIPGPLFRPVFTSWPQKISITSSYWFTLESLLTCTCNTITLGWSLLENKDLDKILKNWKTGGFPNLKCLKIHGESITNNGTMILGMNFRELDGKVIQTDDGSKKATIKLYLYNIKMSVTPSE >CRE21989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:121046:122042:1 gene:WBGene00067856 transcript:CRE21989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21989 MSSPFPLLRLPGVVLCEIFKSLSIGEKIMLSFCSKKVSIQINIARLYSQKVIVDLDLLYQRIIVYSENDQDFFKVSIYPDSGKTFNSNTQQFSIACRTTGITTFWKNDQKGFLFITHHLWKMFPCKMSIDSSFYSSDLFQPTISMLFDLQVEFEELNIVLQVLKDHNLFWDQTSSKFGLVEYLRISSIPNPGFKPVFISWPQKIDIWKSYWFTLEHLLECTCTRIILRKSHQNEDLNVIIENWKAGGFPNLEYLYVESHYIRNNGTTILGMYLLELDGKVIQTDDESSRATFELGYQSNEMSVTPLQLPLHYILK >CRE22036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:124881:125880:-1 gene:WBGene00067857 transcript:CRE22036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22036 MSSPFPFLRLPGVVLCEVFKSMSIGEKIKLSFCSKKISTQINIARLYSQKVIVSLDMLYLGIRVHSENNKDTFGILIRFNNGTINNLNIQQYRIEGYTVPVIFCTKGINLFWKNHREGFLSVIRCLLKIFRCKFSINNDYNGDSYQPIISKLFDLQLEFKMFTIRPNGSTDQNSSWNQISSNFGLVEDLRISSSFKPSFRPVFTSWPQKITIMNSYWFTVEYLLTCNCTTITLGGSHLENKNLDVIFLKWKAGGFPNLEYLYVESQSIENNGTTVLGMNLPELDGKVIQTDDGSKKATIHTDYGRYGRIELSVTPF >CRE21991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:131376:132079:1 gene:WBGene00067858 transcript:CRE21991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21991 MQFHGLSVIEFLYDFQLDVDISYNNFDLYPSTISKLFDLQAKFKTLSILLLGSTHQTLLLNQISNKFGLFEDLRIVSVANPQFIQVFNSWPHEINISSSAWFSLDYLLACTSSIITLKWSHLEIKDLDVILRKWKTGGFPNLEYLYVESQSITNNGTMILGMNSSELSGKVIRTDDGSKKATIKLGTRSIEMSVTPL >CRE22006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:7645:8629:-1 gene:WBGene00067859 transcript:CRE22006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22006 MSPSFPLLRLPGVVLSDVFKSLDIEEKFKLSLCSKRISTQINNARLYSQNVIVDLCGLYQKIEVSSENNKDTFYILNFYECGARNNPDIHQYRIEERTVPVFSSGIGITLFWKNLREGFLFVLRYLLKIFQCKFSIKNICNTYLFENTISELLDLQLEFKKLSICFNLLKNQHLLWNQVSNKLGLVEDLEILSGSGFRPVFTSWPQEISITGSDWFTLEYLLECTCTTISLGGSLLENKDLDETLRKWKTGGFPNLKCLKINSQSIETTGTTILGMRLLELSGKVIQTDDGSKKATIDIGNGRIEMYVTSF >CRE22039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:134984:135983:-1 gene:WBGene00067860 transcript:CRE22039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22039 MSFLFPLLRLPGVVLFKVFKSLSIGEKIKLSFCSKKVSIQINIARFYSQKVVIDLDCLSYKIRVHSENTKDAFEIFNCSNSGTINNHMPQYRIEGRTVPVYSSIKGMITLWKNHRKGFLYVIRYLLKMFRCKFSINNDYNSDMYEKTISELFDLQVEFKKLTIDFEELKNQYLLWNQISTNLELVEDLRIFSVVNPDFTPVFTSWPQNINISSADWFTLEYLLACTCTTIQLWNAPLRNKDLDMILKNWQAGGFPNLKYLKFYSQRIKENESTILGMKLRELAGMVIRTDDGSKKPTINTGYCRILKLHVEMRIL >CRE21993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:139782:140778:1 gene:WBGene00067861 transcript:CRE21993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21993 MSSPFSLLRLPRVVLCEVLKSLSIGEKILLSLCSKRISTQINNAQFYSQKVIVNLDMLCQGIRVHSENNKDTFEIFPYLISSSSHNSDIHQFPIAGCSTPGFSIPTGIKIFWNNHREGFLYLIRHLLKMFKCNISADISYYNCDILQPVIFELFDLQVEFKKLTISLNGSEDEHLFWNQISNKLGLVEDLIIYYWVRYLFRPDFISWPQNITIMNSTGVTLRFLFACTSPTITLFKSLLDNNDLDVILRRWKTGGLLNLEHLQIQSELLKNNGTTILGMKLWDLDGMVIQNDDGSKKATIRVGVQCIEMSVTSSD >CRE22041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:141723:142616:-1 gene:WBGene00067862 transcript:CRE22041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22041 MPSRISRIKLSLCSKKVSTQIYNSQFYYQKVIIGLDIRDHHIEVYSENIKDAFEIINCSYTGTINNPDIEQYQIEGRDVHVISFAKGITAFWKNHQEGFLSVIRHLLKIFRCKFSISNNYNSYSFSCAKTISELFNLQVKFKRLSIRLNGSEDRILLWNQISSNFGLVENLTISSVANPGFRPVFTSWPQKIDIPWCSDWFSLDSLLACACTTIRLGGSHFCNKDLDEILRKWKTGGFQNLKCLKIYSQNIRRNGTTILGLNLLELRGKVIRTDDGSKKAKIKTGDGKIEISVTPVE >CRE22042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:145934:147903:-1 gene:WBGene00067863 transcript:CRE22042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22042 MSSPFPLLRLPRLALCEIFKSLRIGEKIKLSLCSKRISAQINNARLYSQKVIVDLAWSSQKIRVLSENHEDIFKISVRFNYEIVNKPNSIKIVKGRAVSVISYNKGVKEYWRNHQEGFLTAVRYLLKMFRCKISIDNSYYNIVQLQPVISELFDLQLEYKKLTIRLNRSEDHILLFNQISSSFEQVEDLTISSVSNPGFRPVFTSWPQKITIRNSYWFTVEYLLTCTCTTITLEKSYLRNKDLKVILKKWKAGGFPNLKCLTVSSPYFRDNGEQILGVIQTDDGSKKATLRTRGRGFEMSSHLGNNGLDVVLKNWKAGGFPSLKYMFVESQRITNNGVTILGMNLLELRGNVIQTDDGLKQAKIKTDHGRIEMFVTPL >CRE22044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:154860:155862:-1 gene:WBGene00067864 transcript:CRE22044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22044 MSSPFPLLRLPGVVLCEVFQSLSIGEKIKLSLCSKKISTLINNDRLYSQKVIVDLDLSNQNIEVYSENDDKNDAFEINNCSYSGTISDSNMQQYRIQEGTVPVIPFAKGIITLWKNNREGFLSVIRYLLKIFRCKFSIINDSNRGSLQPIISDLLNLQVEFKKLTIYLNGLKDENLFWNQISNKFGLVEDLEIFSVDNPGFRPVFTSWPKKITIINSAWFTLEHLLACTCTAITLGWSPLGNTDMDEILRTWKAGGFPNLNYLFVYGHRITIFESTILGMNWRELSTMIIQTDDGSKKATIKLGDQDMEMSVTPFD >CRE22047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:161649:162620:-1 gene:WBGene00067865 transcript:CRE22047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22047 MYSPFPLLRLPGVVLCEIFKSLKIGEKIKLSLCSKKVSIQINNARLYSQKVIVDLDRLCHKIKVYSENNKDSFEIFVHFNYGICDPNSIKVEGRTLSVISVLHGIKTFWKNHQEGFLSVIRHLLKIFRCKFSIYNDFYSDLYEKTISELFNLQVEFKTLTIHFKRAGDQHLFWNQISNKLGLVEDLLISSTFDSDFIPVFTSWPQNINILNSDWFTLESLLTCPCTTITLWNSSLGNKDLDEILKNWKSGGFPNLERLIIHSHRIRNNGTTILGMNLEEMVIQTDDGSKKATFKLGYQSIEMSVTVSQ >CRE22048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:163796:164787:-1 gene:WBGene00067866 transcript:CRE22048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22048 MFPFPLLRLPGVVLCEVFKSLNIEEKIKLSLCSKKISIQINNAQLYSQKVIVGLDIRNQHIEVTSENIKDAFKIINCSYTGTIENPDMQQCQIEGHTVPVFSFAKRITTFWKNDKEGFLSVIRHLMKIFQCRFSINNDYNSVSYEKTISELFNLQVEFMKLTIYLRGSEDEHSFWNQISNKFGLVEDLRITCVFDPDFTPVFTSWPQKIYILNSDWFTVESLLTCTCSTITLWNSTLGNKDLDVVLRKWKTGGFQNLEGMKIIGRNITNKGTAVLEMRLMELDGMVIQTDDGSKKATFKLGYQSIEMSVTVSQ >CRE21996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:170925:171848:1 gene:WBGene00067867 transcript:CRE21996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21996 MSSPFPLLRLPRLVLCEVFKSLSIGEKIKLSLCSKKVSTQINNARLYSQKVIVDLDIDNQNIIIYSESNKDKFEIVTYPDSGISHNSNTRQFLIPRNAFLPVIQRILKMFQCKCSAHISDYNDDSFQLFDLQSQFKNLIVDLKRIKDQHLFLNQISTKFGLVEDLKITSVHDLDFSPVFTSWPQKIEIMQSAWFTMKHMLACTCSTIKLGWSNLEIKDLDVILRKWKSGGFPNLERLTLHSQRFTNSGITILGRNLRELNEMIIQTDDGSKKATIRIRFGCVELSVTPFE >CRE21997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:172605:173568:1 gene:WBGene00067868 transcript:CRE21997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21997 MPLFPLLRLPGVVLCEIFKCLSIGEKIKLSFCSKKISTQINNAQFYSQKVIVDLSRLYQKLEVFSENNKDAFEIVNCSYSGAISDPKMQLCRIEGLTVPVISFDEGITTFWKNHQKGFLTVIRHLLKIFQCKFSIYNAYNSNSLQPMISELFNLQVEFKKLTIYLKGSKDEIIFWNQISNKLGLVEHLEFSSLVDPGFKPVFNSWPQKISIRNSDWFTLEHLLACTCTIIKLGWSYLRNKDLDEVLKNWQAGRFPHLEYLYVKGQNIKNDGTTRTMVIQTNDGSKKASIDIRYNRIEISVTPFD >CRE22049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:174528:175414:-1 gene:WBGene00067869 transcript:CRE22049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22049 MSSSFPLLRLPEVVLCEVFKSLSIDEKISLSFCSRKTAIQINISRFCSQKVLLYLDMAHLVIRVAHSEDYNYTYTYRKNSQEGFMSFIQHLLKIFHCKISTDINHYNSDLYQSAILMLFDRQMEFKTVTINLKGSEDDNLLLNRISSSLGLIEDLIISSSFNPSFIPVFTSWPQKINIMNSFWCTLESLLTCTSTTIKLDWSLLENKDMDVILRNWKAGGFPYLDRLTIESLRFTNGEQILGMTLMELDGKVIQSEDESKKATLKIRGRSIELSVIPSD >CRE21998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:183371:191226:1 gene:WBGene00067870 transcript:CRE21998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21998 MSGTFRSQIGLCRSRLAAIVEEANNVDADVTSSSAEEVFADLLAVVDISDRLRTETTRLESYIKQWDTLISTDPTEKATQDDFKTRTGDYTKDLTLAKAALITMEKCYSEFIVVHKKKCLKPTVYPPFVSVHTTPSPAPPVTQQPPIFHTPPITIHVPHTSSGTPTVIDANLSIPSIPQQQSVTHPSAVTPSVTNIPSTISESPGQSIPPMSLVPSNVQQLPVQPMSSIFPVSAQLQPVSSHSQPVPQGYALPVPPQVQPVSSNSQPVPQGYALPVPTVQSSQPFSIQLPSINLPKFTGDAISYRPFIELFSSLVDTHNVNDICRFHYLQSSLQGDAQRLIQHLPLSASSYSAARRILNDCYGDDTRVKHLLVRNLQNLPSVAHSTDPSRILDFWSDACSLFYRLQSIDASCDNAVTADIIMQKLPKSYICSMYTGSNKHRVYIASEFLHVLNGFIRENSLVDTIYNDNHSTEKRVTTMSVQQTQRGASPHRSARYNDTRDSSRSNTFVPNTPCAFCSDNSNFHRHSECPSTKQLRDGRKSLKTRNSAFNASRPDIDSLPASPSSHNHHRHSNSRSPTPRRQPDSRSASNRAPSPRGDNSRDQPRRVQFANTNSVTSAEGYQTTDHSAVSFTTFSQPLPTPLPPLDSSTLPSDVDVVAVFPKIEGTRRPIAMMTSQMWVDNKDGVPIQVNVFFDGGSDRSWICNSVCEQLLGLEALDEQQLLVQSFGGSAATAVDSKIYEVVFNVKEEKVPIVLSSINEIATSLTSGRLDEPTIRRLLHDAHADLPRSTDKPGILIGLDYMSILLGDTSSKRLPNGTTLHWTDCGIMVTGTEKEFPTAEQTLVSSSSFAQEPYYSSTVQTTPSPAGILDFDPEAEHSALREVIQKFWNLDSVGIFDHPKTTDEELAAKFFAQTTTRDADGRYVCRWPYKDPFLLNIPDNRALAYHRLQSTLRRLRKDPTLYAQYGEIIRDQLRRGFIEVVPDEHQSDGRVHYLSHHPVVKQSSQTTKVRIVYDASARSHRGGQSLNDLLHTGESLLPQLHGILMRCRLPQILISGDIEKAFLMLGLHKLDRDVTRFLWQPPDEHESICYRFCRVPFGIKTSPYLLNAAIHFHLTSIGQPLSQSILKNTYVDNMNLTQFFSNSSTLDKQLAVLEQKPFADIHRQKLLGVLWNTNTDHLAVPIPPRLRQPPTKRKILQHVASTYDPVGFVAPVVLKGKLFFQKLWTHSTDWDASLTDDENEEWNLIENAICGDPITVHRKYFSTPTTLEHKFELHVFGDASDLAYGAVAYLRRVGPSTVDVAFLSAKVRVSPLRKALTIPQAELLALERCAQLSKTLHQELNLPIQSIVIWSDSMCSLDQIAANRAPTVYGRNRLRTINQLAPHAIFSHVPGKQNPADVLSRGCSLEELRHHPLWWTGPSFLKSRDVPIRTSSVVPTVTSMAVTAVIEPLNLDSRRFSTFGRMFNVVCLLVSLFSKDNGAAVVKSKATAAIIKLAQSLNPPDESTIQNLKLVSDNGIWFYQGRIPERRVYFLPPHHIATLFVQHIHRLHKHSSILYTLAKVRNEVWIPKGHSFVKKAVKDCHFCKVLHARPTYQPDFPILPSSRTQWYPPFTYCGMDYGGPIYAKNKEETRKYWFILFTCLTTRYTVVELVVSLSADALLGVLRRFCSQFGCPKEIRTDNAAQITMLSAVMEEAKHQSSTQHALPSFRFIPALSPWSGGMYERMVGLVKRCLVRSGSTRTLLSEEDLRTLLKEAEGVINARPLTYVNNSDINPLRPVDLVFPNKRHPTVLAIEETLDSSPLSSSHQALLENWMKTSSLIEHFIQRWNEEYVQVLQSRTQTEHRQDKLANTTPLAVGSVVMVESDGNKSNWPLARIESLGPRAAKLFVPRTGKVIERPFKKIYQLEGDVVPEPSTHSDAPHTSSGPITRSRSLSLSKGFPSNTLLTLMVMSLLLPATMAMDATVSSTGPTPSLVSLITMFSEVVVIGSIIALLTTGIHLLLTILKYSRQLFYCVRFMLSLFESLFRCICSSSCLKKRMNQPRILILSMFLLLPCRTLSCNDVAHIAAQERACYDHINVTRCHVNSVSLVNVRANGSTSCLEIQHENNAPLATLKITAEALVSYCEKRNLFYSRDFRLQHEYVKRCDSAGSCSIDMCSNIKPDEDLPELSPAAKRRPGFTNCAPGCGGWHCSCWYYDPACLFYRYFAEPTSNDIYELFHCPIWSTRLRLKVELGALKLTLDVAPGIKYSIVDSNISITAVGIHTPPLQAHSATFISAYGLGAKTARWTSFTFTPTSSPGAPAKGFAGELQCKDKQSAEDFNCVFDPTLCKCVGFATKVNCRCFHEKMTEYRKKHKLPTRGINHQVLMINNRVTTLTVQETMVSLQVEIENATLSRWTTFQTCNATQEGKIEGCFSCLEGGRVPISCISTKIVKAQMRCGVIEVAIECGPYGQSNLVVLGFNTKEVSLNCTIECGHSSYLFIQGELQALQAFTSNTTFYASPYQRMTENSIAIGRFLQDVATVVIEAMIPSMWFFMIVAFMCFSPFVVRRMLSRRVANQTRIRRQKYMQ >CRE21999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:193303:195277:1 gene:WBGene00067871 transcript:CRE21999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21999 MPPFLLLHLPQLVLFKVFKSLSINEKIKLSICSKRISTQINNARLYSQKVVVVLDILRKKISVFSKNGQDKCEIFTYPDSGKSQDSNAQQFSIACCSVSAVSIPIGIKIFCKNHEEGFLSVIRHLLEMFRCKISTGQYCWRQELFQPILTELFDLQQEFGTINVGLHEKKSLNSSSVWFICTKWVCADGSSSGNPRVYVFITTMLVSSCFDGFGPWVFTILLPYMNNDYYQIRIPPTMFAPSIESASNRSARVFFRPELYFRSRDRDHPKHNARSDCGGWASILPGRYPTPPYRPNGEHRNDTLPQDETWVYLGMVLKRAWQFSSSTMYQRARLLNPEAPVPGPKKGASRGKRGIVAAVITEEGVLKGSEQVWVSSGKLEDQTADYHSEMNSDLYEEYIKTRVLPELIKTAASANRPPVLVIDNAPYHNRYIDKAPTKSCRKDVIIDWLSTRGVSTPKKAKKPQVVKMLENYINSKGGRDVFKRYVVDEYAKSLGVTVIRLPPYHCFLNPIELMWSQLKHEVMKAGTTSTQLAEVRQNTLQFLQNFSAESSMKLFQHVSQIEEEVRIKIKERASSTTTPSTP >CRE22000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:196025:197295:1 gene:WBGene00067872 transcript:CRE22000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22000 MSPLPLLRLPLLPLQHIIKTWNIYEICQFAKVSKRTKNLVKLAIRKQVNISLKKGESFLIHTSFKNDIRNTRGPETWRFVLKHVSERDNTETYVYNENHVYHTLNLFSDNPLLLFLETLEFLFKVFNCFIHMVHWNGWKSEDMRQVIDWMNGNDKLTKIRNVHFNLNVNNQMTLSLFLETFQKKLGRLVVYSDVSDIIPVKFSMEIERFSYFGSARWVNLPILMSMNTCKRLDLGVSLLTSQDLKVLLRNWKEGKSNSILEVLHVYVPDQEDWKTVLNGLGAVVRHPTQVTRCYINNLWYYGGVDIHRVDGKIGTVMWTHYLGRNEHEKIPKNFIEEFEKTKQEWVGIDSDVVFEEKGNQIQVSDKEKIIKEYLPTQNCFNFSFVVWK >CRE22002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:200041:201059:1 gene:WBGene00067873 transcript:CRE22002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22002 MPPFPLLHLPQLVLFEVFKSLSIGEKFKLSLCSKKISTQINNARLYSQKAVVALDILRKKISVLCKNGQDNCEIFTYPNSGKSRDSNAQQFSIACCSVSAVSIPIGIKIFCKNHEEGFLSVIRHLLKMFQCKMSTGRYCWRQELFQPILTELFDLQQEFGTITVGLHETVDHNVLNRIFSYLGLVEGLEIPSVNFLPPSFFIPIFPPWPRRRIIIESNSSWLTLDILFTCTCSYIDIANTNLENKKLDEILTHWKAGGFPNLEYLQIGSTKFKRDGDPILGMVPNEWEDQITIRTDDGSKTAEVHIRRNNLVMDTLLIGLNF >CRE22054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:201849:202716:-1 gene:WBGene00067874 transcript:CRE22054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22054 MPPFPLLRLPRLVLFEVFKSLNIEEKIKLSLCSKKTSIQINNAQFYSQKVIVDLDMLSHTIRVFSKNNKDIFEIFTYQDSGISHNSNTHQLPIECCTTGIKTFWKNHREGYLSVIRHLLKMFQCKISADTKIDDIVQSQPIISELFDLQVEFKTLTIILDESKYQNFLWNQISNNLGLVKDLNIISFLDSEFIPVFTSWPQEISIICSEWVTLESLLTCTCATIRLDWSYLRNKDLGVILRNWILSIYTKYLYLMSFTPLIMFIPLLPTFSP >CRE22056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:206022:206992:-1 gene:WBGene00067875 transcript:CRE22056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22056 MSSSFPLLRLPGLVLFDVFKSLRIGEKIKLSLCSKKTSTQINNAQFYSQKVIVDLDMLSHKIRVCSKNNQDIFEIFTYQDSGKILNNTHQLPIECCTTGIKTFWKNDQEGFLSVIQHLLKIFRCKISISDNYDSDLYQPTISMLFDRQVEFKKLTICLDGSEDGKLLWNQISSNFELVEYLTIVSLSNPGFNPVFTSWPRNISFISSEWVTLESLLACTCTTIKLDWSDFGNKDLDEILKKWKTGGFSNLKRLVISSQNIKNNGELILGMNLMELNGKIIQADDVLKKSTIRIDSHIQTTLYMHIK >CRE22003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:211474:212387:1 gene:WBGene00067876 transcript:CRE22003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22003 MPPLPLLRLPRLVLGEVFKSLNIGEKIQLSFCSKKVSTQINNARFYSQKVIVVLDCLNEKINVHSENNKETFEITTFWKNHRGGFLSVIRNLLKMFQCKISISNNYNSDLFQPTISMLFDLQVEFKKLTILLTGSKDHNLLWNQISKKLELVDDLKISSVPDPGFRPVFISWPQTINIWRSYWFNLEYVLTCTCSRITLELSNLGNKDLDEILKNWKAGGLPNLKHLRVESQRITNNGMAILGMAPMELREKEIQTDDGSKKATINTGYQIFEMFFQMLIYQSVHVA >CRE22057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:214325:215315:-1 gene:WBGene00067877 transcript:CRE22057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22057 MSSPFPLLRLPRLVLFDVFKSLSIGEKIKLSICSKKIYTQFNNARLYSQKVIVALDMHYQNIKVYSEDYKDRFEISIRFIIGMSNDPDVQQYQIEGRTVLVTFYLERITTFWKDYQEGFLSVIPYLLMIFRGKIQTNTYYHSYQSIISELLDLQLEFRKLVIEFKGLKHQNLRFNQIFNKLELVEFLSISSIPGPGFRPVFTSWPQKIIIRSSDWFTLKSLLTCTCNTITLTGSHLGNEDLDEIIKKWKAGGFPNLERLEIDKLRFTNNGEHILGVNWRRELDRKVIQTEDGSKKATIRISDRSIEMSITPFE >CRE22058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:218529:219535:-1 gene:WBGene00067878 transcript:CRE22058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22058 MSSPFPLLRLPRLVLFDVFKSLSIGEKIKLSLCSKKISIQINNARLYSQKVIVDLDILSRKIEVCSENSKERFYIFNSPDIGTYIDPDMQQCQIEGRTVSVISSPKRIKTFWKTNREGYLSVIRHLLKMFQCKISTNISYFNSDLSQQTISMLFDLQVEFKKLTVSLKESEYQNLLFNQISNKLGLVEDLIITSIFNTDFIPVFTSWPQNITITRSHWFTLEYLLACTCTTIILEWSHLENEDLDEILKNWKAGGFLNLKNLRVESQRITNNGATILGMSLSELDGKDIQTNDGSKKAAINTGYQMFQMFLQKLIYSR >CRE22060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:226626:234848:-1 gene:WBGene00067879 transcript:CRE22060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmsr-2 MSCMGLNSTELQEARDYFKQLLLPFTASFTMFHNYIYVFLCVVGVFANISIVVVLLRPAMRKSPFNLFLVVIAVCDASLMATYLAYKHVELCHPWYFSFPWAIYTKFYAIFSVFVHSCSLWLTVNMAILRFLVLYRGSRSETRIPQCNGFGAAFIAIILAFGIACIGCLPIFIRYRIIEGEIGAVPDLCLEGKYSNDWAPTDMIRFYGLSQPLWWNCDWERINYWMAALILKLIPCLLLTIFMTLLVRMLIEARERRSRLCGGMGNGNSQAERTTAMLTGIVAIFLITELPQGVLTFAAGANPRLTFLTLQMNNVFDLLSLINSAVNFVLCALMSHVFRREFLQTFGVCCPQSSENHSGAPINKTSNRSILSTFSNIAKPKTSKKNGFLPVPTNCPDDKNTVQLAMN >CRE31504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:56844:58413:-1 gene:WBGene00067881 transcript:CRE31504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31504 MPQNYPRMSSSPITSMGIFYSEQSLKCELYFDDPCGKQSFEYKETRKRNDFLKNFVEDLEEIINNQKSLVDSLKIKYNGLEENYNTETLNPVINQIFKCLESRNERLQVKRLSIDAVDMSQAMTVVKLLDPSVLKKVEFCFENRDEIIDMEDALALVKWNEGKRMKLVFKLHTMRPEYLESVKKFLLHLPTFTEIFVYYKQCVNENACLGTIMDIPMQHETPGSKEKFFQFRLSHSLLLSDNYHVYFLSDIHPSAYIKIGCRRKRSWLYGKHETSEDRNLLCQKAQAQILNDFDVNFRYQNTCLEELKFIFSYIDTLRKEENLKQEDFERLNQLTIQFLGKLKDILSRRSQLLKVKKLDILCCTDDNLMQILPYLDPNCLKTIKLIDPRAEYERLGNRVNYPQSMLKPFVLDEICQLEQWKSATELKIRSQPVSTSIQKMNITHFSKVYMQVETISSEDVLYLKDVSDGYGNFYSFYNFSIFYYRPLSKDSLFPSKTRRLTTKLLLE >CRE31503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:52931:54837:-1 gene:WBGene00067882 transcript:CRE31503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31503 MSQNYPRMTSSPITSMGIFYSEQALKCELYFDDPCGKQSFEYKKTRKRNDFLKNFVEDLEEIINNQKSLVDSLKIKYSGLKENYTKNKLDPVIHQIFKCLESRKELLQVKRLSIDAVDMSQAMAVVMLLDPSVLKKVEFCFENRDEDIDMEDALALVKWNEGKRMKLVFKLHTIRPEYLELMKKFFLHRTTFTEIFIYYKHCVHDYVSCRSIFGFPMQYETPGSTEKFIKFRLSHRRLLSARLVKLTLSNDLSAKVLGNPLIMKRVIRSLGFWNVQHLRKTSRGIRDCVDFLKPVTHIDEYNVYFSSDIHPSACIRSGGCYSSRSWLYGKHETSMDRNVLCLMAQDQVLHDFEVNLKNQNTCLKELKFIFSYIDTLQKEEPSIEKFERVNQLTTQFLGKLKEILSARSQLLKVEVLELLCCTDDNLMQILPYLDPKFLKKIEVNDPRWEYERLGVKYPESMLKPFVLDEICQLEQWKSATKIRLEMNTISSEDVLYLKEHLLQSTTFRRFIIYFKNTTIDYKTLDGLIGPPHRIYGDDDRIWFFQMEVNHQFLKVRLDRGCLDFNLASHIRQYR >CRE31502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:49328:50888:-1 gene:WBGene00067883 transcript:CRE31502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31502 MSQNYPRMTSSPITSMGIFYSEQALKCELYFDDPCGKQSFEYKETRNDHLKIFVEYPEEIINNQTGLVNSLKIKYSGLEENYTKEKLDPVIHQIFKCLESRKELLQVKRLSIDAVDMSQAMRVVKLLDPSVLKKVEFCFENRDEDIDIEDALALVLWNEGQRMKLVFKLHTIRPEYLESVKKFLLHLPTFTEIFVYYKQCVSDNACLGTIMDIPMQHETPGSKEKFFQFRLSHSLFLSDKYHVYFLSDTHPSAYIKIGCRKKRSLLYGKHETSGDINVLCQKAQDQVLHDFEVNLGRQNTCLEELTFIFSYIHTLRNEEPSIETFGSLNQLTIQFLWKLREILSRRSQLLKVKVLEVLCCTDDNLMQILPYLDPNCLKTIKLIDPRAEYERLGNRVNYPESMLKPFVLDEICQLEQWKSVTELEIRSQPISTSIQEMNITHFSKIYMQVETISSEDVLYLKDVSDDYRNFYRYNNFSIFYYRPLSKDSLFPSKTRRLTTKLLLE >CRE31486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:47476:48977:1 gene:WBGene00067884 transcript:CRE31486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31486 MLRLSFLSIFLILCLLIIGSQGFTLYRAQKPNRHSGSDSRNKSENVDLDETDGDSFDRMRSVLGNMNPAYGLMHRIRYREK >CRE31485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:39348:45596:1 gene:WBGene00067886 transcript:CRE31485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-5 description:CRE-ACR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NDU7] MVQGLSPPTTSSYQEWFDSNRVHSTRNNTNIEDFKTQLRRSLENTTAAYNATFMQQLVEERQQFLEKLNEGQFINDQRRLVEELLDPNYYEKTVHPRRDYTRPTRVNLSMSLYQILDVVSQLKIRSTEQTVFQDEHMQSIEVNVWMVQHWYDEFLDWNPADYGMINRTIVPYHQIWIPDTYLYNSEELEQKKTESLMNAQLETGHWDQKQTGAKVQLMFPAIYKLSCRMDVRWFPYDRQNCTFIISSWTHDKQTIDYWPLSSTVNLGNMARNDEWEVISFEFVRVEESFKCCAAPWVMLYAHLVIRRKPLYYMINLVIPTSIITIVAVTGFFTPTSSSSERDEKLYLGINTLLTMSVMMLMVCNQMPSTSTYVPLMSWYYIGIIMVIVVGTFLATGVLAIHGQKHYNKPISDRIRRLIYNPFVEFFILSPPTSLIDLWTEFGVISEQRHSTNLDPLLIQNMDPITAKDPQHFFGSISSQMCDLQSTYSYTARLATITRQYTQHAKMKALRKNQYRMSMDTSQARSVKKQKMQRRCSLEWEFLANVLDRILLTVFCGFTFAVFVILIGFDSLFTVHTKAAPKTM >CRE05815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:1480:9274:1 gene:WBGene00067887 transcript:CRE05815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05815 MCNGRHRICDYAWLHSHVTKLYRNPSICTAPKVIIDRQREQQIQRDLRAERRRIQRLEAFDERRNIGDEEVVEVQPFIFPPFFQNQLPAPQVPPPAPQQQRHQVVQRMEEQQQQQHQGGRPTLRDAPRYDGKDSFASFIRSLNDFLNAHLYGEEEGRRMLPFLLTDSARDAWETIPQDVRDGAWNDLLDVLRDRIHTEDRQLVARAELSTLVQGGRTVEAFYRLCKEVANKAYPGEPMRPARDAILTTCFMNGLKDDIRIHVQRSMPQNPEAALQTAKREEALRNVSNADSITAAINKLSDQVARLDVKPTEVNYIQGGNHNRGYNGNHGYHGFRGNNNYQGHHGFQGRGGRGFHNNHNGNRGGNRGNRGNRGWQNRGFRGGQSRGGGRINAIFDPCETSEDFDRVVNNVSGIGLKSSLLFIACLCALFVPSSCWTNVKPMPFYDCHAPSGQALIVPPKPVECKRQESINVRRGTVSMSILNQTMEETRAFKCRIEVYSQCVNSAIWIPIYNMSFIHMEAPTELECQEAVEEKTWRGQTLRKVKKGHYVSDTEYMWPATGFSVSSCNEARKITVEEGSVAQLNDGTLIMSLMSNPQNKCQMEDGTCQSSQATMIWKAAKKRSDCETIHIGSFPATMGDNVLIVDHMESAFPIDPEFTPLSMKRCFDIKTVSSNGVTFFEFAEEEAETPEDVEELVEIETTSSGAAGELLNLKPPKDVRARRSAVNESEPEKEEIAGVSSVGSESHINFMKKSYDGAENIRRNLTMAAINHKIQYVSNKIDQNSRDNFNRLVDAICHTRNRQLRIWRMFLDLDPQAAMRVLLHRDDIIATFKGRDVVQVSQCQKVIVHKIKEDRKEGLSCTAKTAALTTDNKVVYIQPGSIEVEHSSETTDCRFITNYIWQDHHGNWKETNQTRNVTKIEEGSMPTYEARQLIFTAGDIYAGVKDSSFPMMLAMSFGASIRSLQYQHQQEILRSMTFGSKDGSRTLNSIGSTGEYLFNNTVNIVGDVTSFFTGMYFIYGCIVVGVLLVLGVIGFFAIKFYFCKNIIANTLKINAIEDEDEADKINAVEIEGPVANIPRPGNGRYTLPPLFMYVPIIIPLICSATASQVSSAIPYVHISIGDKGISALWDSGASISYVNRSTANHLAYSLKRTRIRNAKTANGSSFKFLGCFEAPVRIADVIIEHEFLVAEDDCCPGNALIGIDFMKSLDRRGIRTWLRPAMQKLQIGTVMIDLVGPQRKPFELTNVVMEMINADDIMLKPGQEQLLKIANGADIEADQAVLLKSKPEGNLLFEKTVFHPLDSRETTIRVKNNSNRTIQLQAGDVIGKGAIVQLKNLSKPGLPDEPAEANWEERILETNGTKFMDKIDWTGSELNAGMKELLKKIFKKCRHAFFNEDGDIGLFKGGIEHSIVIRKDMPFPKSRTYRVALGTQDEVEKQVQEMILLDIIEESTSTFISPIVLVRKKDGTYRFTTDFRLLNAVTVKQNYQIPLISDIVDLASDGTFFTNLDLIQGFFQIPLRKEDRPLTAFATPTGTYQYKRMPMGLCGAPHTFQTAVRQLQKKTKAKLFCYLDDLLIVSNTLEQHMKDIEEVLQNIAEIGFKVKIEKCKFAQPEVTFLGLLVGRNGVKPNPAKVKSIKEFPVPKTPTGVRAFLGMTNYFRKFIRQFAELAAPLHDLTKKDQQFVWEEKHQESFDQLKAALCCTPVLQAPRAGFPFVIESDASSIAVGALLLQTGEDGELHPIAYDSRKLTTTERKYPPIETEALALAFAVKAFRTYILGSSVTAIVDHRPLTSLMHRRDLIGRLAKYQIILQEMDLTIIYRPGKLNSVCDALSRYIGNEVKECREKPSKKSEEVHNVEDSSESIDQGVIKKIQEETPWIAEISKKLEQMDLNSLERYRKENGVVYVKNQKGDMVILVPREKDVISDIMKRYHQTAHLGAHLGAEKTEASIGRRFYWNNITRDIRNFVRKCEACQRRKINPHAETKEPMGHLELLGRPWERVHADICGPWPVTKDGNRYVFTIKDDFTKYTLAIPIEKQDAATMAKIFVETIVLKFGAPKILLTDNGTNFKSDLFEEMLRTMKIQHNLTAPYHKSANGTVERAHRTIEEVLSSFVNSTQTDWDQKLPFVMFAINSAPHAITKCSPHQALFGHELPTPEDVNLGIPLPSYLDVEDFQSQLRAHLKDLHEGIKEKLKIHQKKAEEQYDRTHRIAERKIEIGDKIFVRRNEPKNKLAPRLHGPFHVVEVSQFNVSYQDGKKKKIANKNDVRLAESMEDDATTTSDMDEMEAAPMDEDSRKKNVNDAPTKEMEDSRKKNVNDAPTKEMKDSMKKNVSDAPTKERMESMKESYTDAPTMDSMNKTVIEAPEKKNLAWKKADSKQKDKPMSMKGSRAKQNDVEAVRRSERLRAKRM >CRE05865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:14452:15398:-1 gene:WBGene00067888 transcript:CRE05865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05865 MSYFLPLPLKQPSLSYTPSMPPTAHSRHSSVALNLYGSNYTLSWMKYGKNGTSFNAHRANVVNCSITTEKEDQRKEHCFKPIGDMKLLDAPLSKGKPISIPMVLKVDKKKETVAGMAYNWTGEQLLVYNFIDATQYVCDLVGICEKGQYPTVPPTTPTTPTTTEPPPPPTTPTTRPPPTTYYVPAIQEDEEMSSFEIPYDRKVVKGGSAKIQILWILLIGFVWY >CRE05816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:16639:18774:1 gene:WBGene00067889 transcript:CRE05816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05816 MKVSLIFSICVVILAQAKNPAFKKLTKQENEKRLVECGSPGGSSPKDSWIGRLVIDGKVYDEYLGVLISSRHVVFSKNHLQEMGPDSMASCNFAVTPWSKSRVVEFPNDVTGVISDKVFFFGSCSPLSGIIVVELEKDLERVPACFAVMTELQMAECTQGKRTEYCMKTENLNQLEAPKAEGPASDSTYLHPIFGGAISNPVMILQNYKETVFGLTVEYDEKKEELIVHGFKEHFDEVCQWVGICAEGAYKTAQSESKNLNQIEEKPSAAALEASGSSMKEAGIIWIFVIIFMYYV >CRE05817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:19241:19572:1 gene:WBGene00067890 transcript:CRE05817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05817 MKFFILFTVLASLFMVSSIFGVPIIGDVGKVSHAYLLTVATVFQTTVSKHAEPLQLCKNPHWCCERENSKTECGKVCC >CRE05866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:19700:20408:-1 gene:WBGene00067891 transcript:CRE05866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05866 MKIVFAILALLMVTTLAAPTPITAEEEVVVGHTAIGHAQTARPAKTVFVLPPSCHVCCKVIGGKFECGQVCC >CRE05867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:22347:22913:-1 gene:WBGene00067892 transcript:CRE05867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05867 MLMKIVYAILALLMVTAFSAPTLIIAEEEVVVGHTAIGHAQIARPAKTVFRTEPPFCNICCKIIDGKEKCGQICCIGQK >CRE05868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:23440:26678:-1 gene:WBGene00067893 transcript:CRE05868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-10 description:CRE-PQN-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MNH8] MGTTTTFLITAFLTIFGMVKTQFMGYPYDYSSMFSGYTGYNPMMSMTNTMGTGALGMSTMGMNTGFGTFGTGLESLYGGAQTAGMGQQQMFGAYNPYGNGMFNFGNNGMFGQNAFGTGFNNNMFGGATGANNGLFGGVQQQAAQTMNTGYQNTQPMNTLNNGNTMKAYGRRSTGEFMRAQNFNNNNNYAPTRVGQGGCGGGNTGGFGGGCGQQQQGWNSKAKKV >CRE05869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:31171:32003:-1 gene:WBGene00067894 transcript:CRE05869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05869 MKTSLLFGVILLYALSVTATKGGLSKDEQKKLLDALHKDRVRAQTANSIVFQHLTYDLELEKKAAAYDCKPPSSSSSGVTITALQWNSVGDEIYEEINKGTIPSLGLFDWRQTKIGCSKEVTCRITIKEEDGLPAKLIGKELVFVGGCYLGPLTTDVSDEDKRVASKLGLPKASKYGDLLGIKISSSGRVFNLIVFLALSVFYF >CRE05871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:34165:34829:-1 gene:WBGene00067895 transcript:CRE05871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05871 MFGVHLKYHLLFLFSVLSLTVEATKGGLSKDEQKKLLDEINKDRRDASGGTFREMTYDTELEKKAAELDCIRDDIVPLQWNSVAQDYWDETRRGHNPNLPLFDSRVEKIGCSKEIKCTEKIEKDEKSPEKFVGKEIVILGRCFISPNVNPNKIDKKLIPKGKKYGDVLGLKLSSDVKNMDAIEGVTSGTGNVFNFIILLAFPLLCL >CRE05872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:39837:40619:-1 gene:WBGene00067896 transcript:CRE05872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05872 MKVLLGAVLVLFYALFVTATKGGLSKDEQKKLLDALNKDRLRAQQASKSIGFERLTYDLELEKKAAAFDCKPESYSSGVAMTALQWDSVGDELYEEVYKGIVFNVGLFDWRQTKIGCSKEITCTVKIAEGPEVPANLIGKEFVTVGGCILGPLTTDMFEGEKRAASKLGIPKATKYGDLLGIKISSGEEAKTGTLTSSGNSFGIVSFLAIMIFYL >CRE05874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:42530:43197:-1 gene:WBGene00067897 transcript:CRE05874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05874 MKTSLLFGFILLYALSVTVDAAKGGLSKDEQKKLLDEINKDRKKFNSQFKDLTYDTELEKKAAEFNCKKRGLIWLQWNSVQEDVLNAGGPRYNPYAEFFSAKNEKIGCSKEIRCSEIMDKELGEKFNGKEMVRLGGCVVDPHDHQLFENKIDKSLIPKGGKYGDLLGIKMSSSGKVFNLIVFIAIMVFNF >CRE05820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:51448:52718:1 gene:WBGene00067899 transcript:CRE05820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05820 MLVIVSNSSPLFLLLALFSFAFLLVTSRPIIEEKDKTNLDKLFDILNITDDFDEDELIRNVTIEKKEEKKPVEKRIDPSTFITLKENDWRDAHEGRMIIWSHESQHHPDYGKTFTDRDRRKAYTYRQSKSSQLMSFCILGSVIGFILYTYFSHVIMMRKLNREREASRLRELVHRSALIMAGSAHQNEYDFSERRHSLINMFKAFKL >CRE05821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:53185:53926:1 gene:WBGene00067900 transcript:CRE05821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05821 MKFLLGAVLVLFYALFVTAIKGGLSKDEQKKLLDALNKDRLRAQQASNGITFEHLTYDLELEKKAAAFDCKPESYFSGVSIIALQWNSVGDEIYKEIHQGTVPNLGLYDWRQTKIGCSKEITCRAKIEEGPKVPSKLIGKEFVTVGGCILGPLTTDVTEEDKQKASKLGIPKATKYGDLLGIKISSGEEVKTGTPTSS >CRE05876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:57968:62672:-1 gene:WBGene00067901 transcript:CRE05876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sms-3 description:CRE-SMS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MNI9] MVKTVEFCEIVPRSFQYSIETDDSLCGDEERQHHTNINHSNGIGGGGCHHQSITSMGSGSKGGGGGLSTVISARGAPEDTEDTESGGGGSRRGPQWLKCLFLGCFLLIAGISNWAVIAYTHDYVPRQPLPDVVFSLVGEQRWASSLGDACVALCIVLLGGLLVIHKHRTTVLKRVIFCAATLYAMRSITLAATQLPSGYTDNQGRCREQVESKASIFFERLFEQTIRIGFQSKDQMLCGDLLFSGHTLVMVTCSLAVAYYLPKSIKPLQWLAHLSCFIGMICMIISRTHYTIDVIIAYWLSNMVFRIYHAYCELDMCMERHKSILYSWWPCKIIDWLEEDIVPGRLDNRCSFPWSRWTHRRGSGGRERGGARHNEPVSSDSSSTTCDTTTNTTTNHHQKHVSISSSSTYPLPC >CRE05822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:79148:80716:1 gene:WBGene00067902 transcript:CRE05822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05822 MTSFSHRFFAFSAIFLGFFGFLRADTVTCPTHIPPNADLEKDGLDVKLPPGWYRIGTMTIRHDKGWLNLYRRRAIGHGYWDFYTNIPERNCAGTFGLHAGDNISGSVAVKSKDCFDKLIYQIEKKSTIEKIDVYQCRKCIFHSCWLGSRLLPRARDYYTNLRSL >CRE05878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:80847:89895:-1 gene:WBGene00067903 transcript:CRE05878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05878 MPETLEAVVHEAGSAYSEGRYKEARQLYESAILEHQNNGILHANLSAILLKMNLPEDSLKHSEIAVRLCPQWAKAHYRRGESLRASSRIFEAILAYSHGIRLDPTNFQILKSLSDGIQVFYGARFPWENLKLLKLDMDVPTVLSTLGQTILADSGGAPEAIQILKWSLELDLKSIKLQESVFGALASAYCSLENYPEAKDYLVKQLDTSMGIGENSKTLAHPILISDSAGIPKIRENLAEIAEICEDFELAIEQRKLLPSKKEKLCELYLKSGAPEKVLTLLDQQYPSAPEAILIGRALLLKGKPEEALRILIPISRNAENHTVDLIDIINKCMLELEDLNSSIEFLNQIIKENQKRKNENVELILHCYCLLCQTQITAGNLETSLKIAKYVLKMAKNFSMEIHEANAFRLLAIIYEHQKDMGSAVILWKKYVEFGEVLTVSEKLKGMLQMARIAQNETVNEDVRAIFERAERLVNRLHRPSEKVQFYSAKYRWLTTTVYQSEARETLRILESFLKSENLLNSKSKSLIFEDLATKEFFSKNPRNSKILSLEQSLTEAQDANDMYREAGILEKIGDLFMSQNDQKLAEKYYNQQLEVGKQLKNAKMMADSHANVAKLKMRGGDFNGACEHSRCALTIFKLASDNEQKVEMLILLARAELERNNTEIALSAVEKAINLAEDCESNEKLAISYRLIAEIYQKSGETEEISIQFVRRHIDLFEYEKDGEMKWKSLRDLLRYEVGTGKPENSGKIENLLRIMSLQLKLEEPQLLQIVEILSISPVLNQFSLKILNFLSDSSKIDDIDRFLKVSYCYPEVLMKTTITPDFLPFLAVFAPKIVENEQISPETSTILAFHRNDWPTVIRLRPQMSQISSSKNLQNILELARISATWRHQHQNPRPIFDLPPKNFPVETQIRIFDMFYHSITSNPENTVTQFHVAMLNGKFDMMADGVRSIDKIVCAAHLDEVELIEMWFYEFLESYKSGPSESAEYQKILNVFLRGSQSELLRFAAVFTAISVSDKLKILEILENCEISIFEKLVPKFENTRKMDKLKYSNIEMIYTFNVGSFTVIFNFGAGSEIFDVFWIQNCSSLTFYDYLLKRFLQSYGYLELRTQLTSKLLIGQKIDKISAKTQRFWLDNSENPGILKNLEKSIEILNFSSDSCDLPCVLAPEICLENSKLFDAIAKKLGDSYGVIVKDLDRFLEFFHIFCLKFTSFPKFLVVIDSRDHKIPVEAETQKLFEFIGLDIKIANSMQEAEVIVKNFLPESIKGSLRNIRNDMRLALEGDYCVPESEVNFEEILSEFGKPRLLEILNLEKLKTNEINKEINKIPERKLLKFSEKLFEDHVFNVSPTAIDITELFPEKPSSFNYGVYSVSSSQIRL >CRE05879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:90348:91395:-1 gene:WBGene00067904 transcript:CRE05879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05879 MFLTAVRRSSNVLKSFKPLYDRVLVERVAAETKTKGGIMLPEKSQGKVLEATVVSAGAGLRNEKGELVALTVKPGDRVLLPEYGGTKVVVEDKEYSIFRESDLLGVFH >CRE05823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:91874:94693:1 gene:WBGene00067905 transcript:CRE05823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-60 description:CRE-HSP-60 protein [Source:UniProtKB/TrEMBL;Acc:E3MNJ4] MLRLARKGVQTAIVRSYAKDVKFGAEGRAAMLVGVNLLADAVSVTMGPKGRNVIIEQSWGSPKITKDGVTVAKSIDLKDKYQNLGAKLIQDVANKANEEAGDGTTCATVLARAIAKEGFESIRQGGNAVEIRRGVMNAVDVVVAELKKLSKPVTTPEEIAQVATISANGDTVVGNLISDAMKKVGTTGVITVKDGKTLVDELELIEGMKFDRGYISPYFITSAKGAKVEYEKALVLLSEKKISQVQDIVPALELANKLRRPLVIIAEDVDGEALTTLVLNRLKVRCVIIDVGLQVVAVKAPGFGDNRKNTLKDMGIATGATIFGDDSNLIKIEDITANDLGEVDEVSITKDDTLLLRGRGEAAEIEKRIEHITDEIEQSTSEYEKEKLNERLAKLSKGVAVLKIGGASEVEVGEKKDRVTDALCATRAAVEEGIVPGGGVALLRSLEALKSFKAANEDQQIGVNIVKKALTQPIATIVKNAGLEPSSIIEDIIGNKSSAYGYDALNSKFVDMFEAGIIDPTKVVRTALQDASGVASLLATTECVVTEIPKEEPAGPAGGMGGMGGMGGMGGMGF >CRE05880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:96113:100577:-1 gene:WBGene00067906 transcript:CRE05880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05880 MKRKCVIIFLCLLFTFLLFLVQISSEKRPPFKTPRPSFDYDGVGFQKEMRFSDACRLWLLDIGQTPVPTLLIDRHILKQVENGRCDQMDGIRTAIQIGVDVEFQWKSDSWDKKFEVLFYVNDTEKDYLDFRTERRKIIPKNFPTQRIGNLLIPTVIPVFLEFWHRANYVPCRNMTIKRDSPRLETFPFLQKYILKDPPIPARESVRHLAALRDQMLRFGIFPFLNGGTFLGWFRECTVIPHTTDMDLAIFSENWNTEFFEFLWSKQSKFRVKRQLGMVNDSYEVTVLPKTGFPTPIDIFLLYEGRNHTTGADYRWVGGTAIDGQKYKYIYPPYDPYCSADLLGHIFWVTCTPEVKVTVGTVLLLKTTVPLLQLEYGARWYTDRNSLKYVWNAARNVVRNGRFSEKQMRDDVYNEYRF >CRE05825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:102103:103451:1 gene:WBGene00067907 transcript:CRE05825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05825 MYLTLMTISCFLFCVSDNGSFNNVILYFMLTVPSPRSLTPPPPPTTTTTETATNTEEPHISLDDLQPILTSSIARHLNLNQQRRRPSDDEEEDVVKPSEDIVEDPMPSTLGYNAIPRVSKLRELDNLIKRKNEILERLDVRIETNRKILKIQASRIPSTPEVVKESESEEKKSKSKKTETSTSESSSTDSESVEDSESTTSSSSKQSSSSSSSTTTVKAAPARQPPAVSVPKAEEPKSDGESTIEDPFSARQAQQAQQEDPPTSPTTVYLDNLRKKILDQYQQKYN >CRE05881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:103654:106214:-1 gene:WBGene00067908 transcript:CRE05881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05881 MGDLLKNSEKKEDMSLFIRTITKLAMEEGDFISQGTLKELEEMLRPDELSESRDKLVGVDITPEQVETIKKVCEVVKGLVTVGKFVCDKLGPFLGPVGTVAGVVLDIISYLQPKEDDPVLKELGELKNQLTALSQKMTAQFDDLKSFIVEQEFLNVREDIHLAKIHFKFQRYTISMTKLFMYMTDTMSERTKESVNLFEEIYMKAKPQELVYVSLHLRSNDSRVKNQEMLAKLEQESTNPLKWAMKGDNLQSKATFEKWTGILESVLTEALFLETYAAGLLPSIGQHGVNKILEKIARYVELAKQWDEYYLNTETFWPAGVEKLVNDIHENRSLRTKEDIIEVLWKGIESIYTNFQFYAVVLPDEHIYAYYKHYEDQAIVSAREGFVIMVYRSARNPQATKEWLEYTVKDYLMIDTATEHFEVNKWTVVRNHYKQKKVDTIGRFFGSFYFVVAQDRNHVASRFSKIDGWDCGPGFLTYDAHLLVSYCIGMEKFPIFFLFGA >CRE29236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig439:8735:9791:-1 gene:WBGene00067909 transcript:CRE29236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29236 MSKRHERLTTGVILNRVQRKRKRVKTAAVNSTHVYAVFGRIIEYRVKIHELENMDEETKIRMIFALSAPIDSSFLVKIKESDDVQTDHARRSTEYTTKENGFELKGTYLWPHYLGPSKDICIRLPATTSVLQTPTNQWAGQPPIKMSKE >CRE14486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:186114:188028:1 gene:WBGene00067910 transcript:CRE14486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14486 MNQPRNVRQLRKKNLAAVEFVAPTVMSDIVLFEFRRTAKPVRSRPGHTLQISAKIISNKLHRLVKIIQTEEQIGYQRNSESPEAQIYDNENYSVSDGEVDGDDDYFN >CRE05826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:116171:119524:1 gene:WBGene00067911 transcript:CRE05826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05826 MKFDDFLFTYLGEMGKYQKIQFLLVCLPTIVVSMHALSWTFASVDTPYRCRLPSDLPEKEPYYTPLLNFTKCTNDADANVPISEYGQPGITCQYDRCKLPNNETCSEHIFDHSKVEYTAVQRWEITCDRSWIKATIQAAYYVGQMAGSMTFGVLGDRIGRKKVFFLAILIQITCAYLIAIAPYWWLYAIARAGTGFSHPGIFVIAVVIGMELVGPQYRKLASCITGLFFALGQVIVFLGIEAIYFTNYQILHIVIASPALLFLSYWWLVPESARWLVSQKRYKEADEVLQKAAKINGAVLPENWWEQLDDSSASTSKDGAVARKLTGADLFKTPELRKRTLVVFFLWPVISMVYYGMAMKANVLGGDIYINFIFAAFVEIPALFIVYLLIDRIGRRYILAGGLFIAGACLLINWLMGDNVQLWMAITQMAFTKGSITGVYAAIYTYSPELFPTVIRNTAMGFCSTIARVGAIAASYISMWIAEQFGKVFMIIPFGIMAVSAAILTLIFLPETMGKPLPESIEEIEEGSSYRSSHEMQPLSKTTEETTETA >CRE05884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:119666:120537:-1 gene:WBGene00067912 transcript:CRE05884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05884 MYCQRLALPLTRSLLASRAPLALRMENAVAARMISTTVARKDIDSAAKYIGAGAATVGVAGSGAGIGNVFGALVIGYARNPSLKQQLFSYAILGFALSEAMGLFCLTMGFMILFAL >CRE05827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:121308:122722:1 gene:WBGene00067913 transcript:CRE05827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elc-2 description:CRE-ELC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MNK3] MTLIRFSVYKFENFIEFIQFRSFAAVFLTIFSQIYRFFTAEMDQGHPIEDKQPKEKKLGGIDGPNSQYVKLVSSDAHEFIIKRELALTSGTIRAMLSGPGVYAENESNIVYFREIPSHVLQKVCQYFAYKVRYTHAATEIPEFPIPPEVALELLMAANFLDC >CRE05828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:122955:125205:1 gene:WBGene00067914 transcript:CRE05828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05828 MAATECGEPGDVIDKPGHETYFAKPEHFFPHLTEDGKIPTAQFLSACQGISDFVSFLGTTFTLVRKDIQGNVDKVRTRFEKDQEGQKYLQDLIDADLAEHGGKFGIATEGLLWLKRGLQFMLELLAEMVTAYNSGLPKQKTEDLSGAVATAYAKSLKRHHGFIAKQAFKVVTMAVPYRHTILKAVALGQEGLDDVCIHHIQCHLDNFRLNVKTLVDYYIAKNLDTPDP >CRE05829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:125475:126101:1 gene:WBGene00067915 transcript:CRE05829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05829 MPFRIFRTFLNNERTIQQLSDSTPIRLAAKAIVRGMAKVEEKVEKVEIGKKLEKFKSIYEEEYQKALKK >CRE05885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:126165:128097:-1 gene:WBGene00067916 transcript:CRE05885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cisd-3.2 MLGRACQKLQAATPITQAARGKARGVIVQSYSADVLPYKGTPAAGKSNRVRLEANKTYHWCSCGLSITQPFCDGTHKVPGLTNVRPVSFQVEKTGDYPLCDCKQTGTRPICDGKHSDVSKAPRDKNATRMVAFDESPVYEGVAHKLGYKPKNGGFQ >CRE05830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:128387:129346:1 gene:WBGene00067917 transcript:CRE05830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05830 MIHIKTQILDRFSAMKLNEKVEIVAKKCILVPYEPCHVEKYHKWMEDEEIRRLTGSERLTIEEEYEMQRSWREDEDKLTFIVLSKENELDETSRMLGDVNLFISKSTSSEDESEEVTEGEVEIMIAEASGRGKGIGEEAVSLIISWAYKLADQNVINLLISPFQNLSICVFRARITDDNTPSLSLFEKKLGFNRMKHSSAFKEYTLELPQERLISHFSTFLDQNSQICEYKITK >CRE05886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:129341:131284:-1 gene:WBGene00067918 transcript:CRE05886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05886 description:Mitochondrial GTPase 1 [Source:UniProtKB/TrEMBL;Acc:E3MNK8] MHMSVQLKKMEAKLRSVDLVIEVHDARIPVTGRNEQFFRHLYAIRPHILVLNKCDLIDMKRYKKRIEDYYYDRGVQKVLFTDCKKRLPRALNDVKTSMLYALENTPRFNRTVKTEYQAMVVGIPNVGKSSLINAMRTHTLGIKRKAVEAGARPGVTVRVQNRVRIMDKPPIYIIDTPGVLSPDHRNIEDAMKLALCDLVLESHVNLYYLADYLLFWLNQSNDFSYLKLLGIQEQQKEPSDDIQEILAKTCAANDFRIQRSMGERWDFDRGAKHFIQLYRNSKFEDSNLDHHLF >CRE05831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:133698:140146:1 gene:WBGene00067919 transcript:CRE05831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snf-6 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3MNK9] MSISSNEQEHRNGRSAVGNHVDMSLYPPFIKQLDAKLPDYTREGDIEYPFEEITGVGDENRIRGNWSNKSDYLLAVIGFTAGVGSFWKFPFLVFKHGGAAFLIPYLVMLCLAALPMFFMEMVLGQFSSSAAISVWKVVPLFKGIGFAQVTISGFFAVFFNIISAWTLFYLINSFSFSIPWSNCANSWSGENCTLGTRIQCREMNGTLLVNGSCIVEHAASNETTVIPLHDLNSIPSLRYFHTDVLMLSKGVDDFGTLNWYLGLCVLVCWIAVFLCLFQGVKSSGKVVYISVIFPFIILTVLLTRLLTLDGSISAVLYFLSPKWEVLSDLHVWGEAAVQAFYSVSCCSGGLFTIASYSKFHNNVYKDICLVLLVDVFVSLIGCLLTFSAIGFTCYEFAIALDKFQIRDGFHLVFVFLAEALAGVPVAPLYAGLFFIMILLVVHATQMFVVETIVSSLCDEYPERLRRNRRHVLTTVCALFICLSIPFCLSSGLYWMELLTQFVLTWPLVVIAFLECMAINWIYGVDNMLDNAKWIVGHWPPCYIFWKVLFKFICPLVYLSILCFLWLDWNSIEYESYQFPYWSILAAWGIASIPLILIPIIGIWQFCIAKGTITQKWWRVLYPDDAWGPALAIHRAEKFPLQIPEARRLLLPPEVEIAASQRDLQDDIPMNSGVLTAGRSSEFAQTTDARSIRSTVAGGGDRGDVKSIGATINTMIPKFERETAI >CRE05832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:142114:145205:1 gene:WBGene00067920 transcript:CRE05832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05832 MTTEKLENDWKLIQDDFQKLEKIHDEYIQKSRQVSKFQDTAGKAMKHHNYLLKNFKETMKQTQQSLEKKEPSEEKSSIVAQISKMREEMEVSNLRLRDMQGELPAQSNGFYLNLILGSNLNVSLLTKTEKFKYKQVGGFWSASSKIFHFFEEYEGFKWNITILICALALISWMWPFRVFDSILCFLMVWYYCTLTIRESVLRVNGSKIKGWWLSHHYLSCAVPGIVLTWKDGVCYQEFRPYFLLFTFYISLVQLAQNQYQSGCLRRLHSLGQGHQMDITVEGFTSWQFKGLTFLLPFLTIGYLYQLYLAWKLYGYTNTETCDGLWQVWALSILLGAIAGGNIVTTSMVCIRKLKTTPSYTNIVALTRKYSSRHKINQAPPTCDQNATSSSSSATSTRVPPPPTEKLHLH >CRE05834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:148213:148581:1 gene:WBGene00067921 transcript:CRE05834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05834 MALQVFNCTCSAAALFSLPQLPSGVIVYFFNISALHISFERRFFSKFAEWTTSDSSRTENNLVIFHVQWIFD >CRE05887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:149970:156978:-1 gene:WBGene00067922 transcript:CRE05887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05887 MKNRFTLVDVIAATTELKKLQGMRVNNVYDIDNKTYLIKLSRTDEKAVILFESGVRLHQTFHEWPKSQTPSSFSMKLRKHINQKRLTSIRVVGFDRLVELVFGTDDRENRLYVELYDRGNVVLTDNELIILNILRVRTDKDTSVRWAVREKYTFNEEAERERGGVTMDDVTRAIGGIPEGKEEQLGRVMSQLTKCGNPITKEILAACGMKAEMKVSRKTDVETEFRGKLEEIRKETEHVWEQVEEQPRGFISYTEILSPTSQPIQLYNEFNPIPMPFTSKLQKELPSFCESVDEFYSRIETQKQEQKAVNMEKQALKKLENVEKDQKERIEALQLTQEQREHMANRIILNQDLVEKALLLIRSALANQFSWQTIEEMRKNAAMNGDLVAKSIDSFRFENNEFFMNLGDPYDEEAELLKVPIDISMNASKNAQRHFVDKKSAAEKVKKTVASSEKAIKNAQEKAKSTLEQVKIVTEVKKSRKAMWFEKFRWFISSEGYIVVAGRDAQQNELLVKKYLRPNDIYMHADVRGASSVIIRNKSFEESQEIPPKTLTEAAQMAVCYSNAWEATVTASAWWVHPNQVSRTAPTGEYLPSGSFMIRGKKNFMPPSQLVMGLGVLFRMDDESIERHAALEKAKKSEENPDENVEKPEKTEKILEKSEKTVENGGIDEFPDVQVQIGAQQDEELFLIEVGPKNPPKNQQNAQKLAQNPEKSTQKYLEEKIKEQMAGPSAKTQKRKQRKEKMVKQKYKDQTDDDLELHKELLKPQGKMESPKNEEILVKNEEKPQIFHEKLEKPPKIEKNEVEEDAEEADEEDKEANAEEMTLLTTLTAQPLDEDTLLFAVPVVAPYSALSTYKYRVKITPGIGKRGKATKQAIELFTLRQKTDRQAALIKSLLSDDSASRNLPTKVRISAPQLHAK >CRE05888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:157819:162439:-1 gene:WBGene00067923 transcript:CRE05888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05888 MEESMEMYEAKELAEKLAEFTLKSVKDERVYQRIKAGGVSLSEFPSEVLVQIFRHVASPTFNPPPPLFLDYIKFKNEDQLKKRKEMTENYVKERVEKEQKEYSVKNLMALRRVCVQFDEVIDCKMMPGLDYDPIMIDVKIQGSEHGRQVTAFKYGGSGSNAPAVRINRMRGYNEWEYQHIKKFEKLQKLYIVDMLLSEEVLQTVLRLDLTSAKKITFERIKGLKFDETTNVAARIQEFLGSLDSPAMVYFNSNVFDPNIDLFEQKEDDEWGSDDEEMEVDVVERGLVRRDTYQIEHRPKNHRRIRNRQRTGGNTKEFRGFRTINVMNHILLGQRHSPDDNLTYGHPSGQQKYKSDLKMAYETLTMSTRFDLRKAGRWHLRNAFNLLGSRPVKSRKALLFHLKSLKKITGDEPLTTEEELAIIHHAKETEHREKLLQESVPVKKWMDHLF >CRE05889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:162586:163080:-1 gene:WBGene00067924 transcript:CRE05889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ave-1 description:CRE-AVE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MNL5] MRRRKNCLFLVNSRGFQPNSCYFCGNFSNFFAKNMPSSVQEVYHVVSHRPIYFWSANDVDQWLRRKRPLLALKYALSFLRHNITGRVLVELTDEDLREIGIELSDDRQGLLLEIKKEKLYSDLDEFSKLRTQAALN >CRE05835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:163397:165086:1 gene:WBGene00067925 transcript:CRE05835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05835 MDKEDGSIRCQIMWQLDQDKKYDEVTEHLLTGCLSCVTNPKKRFNGKEMLFPDAPPPWIKIPESEVSNPDAWKNYHKKRVHTQMTPEEFDQFLVDSDMTDIMICWEIAKFKRIERVAAWPMVREIRRKQKELRLEQERKDKEQRKKESEAKKEAEKLKKLDEKRKLAEKKQLKDDEKQEYLEKMAEEEKLLVEVLGEKDSDDDGELITSSQDSEAANDSETSELAENSKFRKPLPQKKEFNLRHAMPWSPSAYDLLCSDLRSIIDHSSQKRVIAMCQNLLYNGDLRHSGNRFELKVNTESESAKMRENLTEIV >CRE05836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:167737:173809:1 gene:WBGene00067926 transcript:CRE05836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05836 MNQTEPSLVNELLSDLRRACESEYDTLAAAALEHARENACHPIDFSTDRAIRHALLHFKQREPADDSAHYLFKSPVFTVENVEVVSGIRSEGQSLIPKVEKVLKVSAVNSQLTIPDISKKCEVKMDFRRPPPKSRLIEDDVAFSMIKSSPQVSVLADATTICHLMTPSWESRDYEFGCPIQVRETRIDGATTKKIVVVSKPMPSNTISRASIQRKAMKQQLRNQFLQDHPKKPKSRPPPPPNPKPSTSSESHDSQESSESMDDAPESSSSQDFLDGILSEMQKIDKNSDGIKGKPEDSTYQYAIFRIGDARILVRSNSPYSMLELGEKKHSFLENLKKVTFEPRIEYLPNGGAMELGAEEWIWNYTKSVFKMSESHLLYRTSYKIDHVLQIDGISMRIDKQEPPPDALGILSSRSVMMEQMIAQLESLEPGDYMAFQEKDRPLRVVAKCEPDHRDGVSIEAMRIKRDDCQKSSDRLKDEDFFHGFCRDVPLQWQIVQGRAPQMLLAKDSPTSQFMPSKNSREKLQRKKTNFKRKIQEKEAEREEAKRNKTIDWDDPNLYADFTNPSIVPMDYVKKTSKFRRGGRGRGHRGMGGAFRGRGGAYRGRGRGRGGGGASERGGASGESRNADGSGPSTSSSSTLEYPMIP >CRE05838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:179413:183857:1 gene:WBGene00067927 transcript:CRE05838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05838 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MNL9] MKALLEVTPSDELKFTRSASGVFTASMTLKNTSSNSICFKVKTTAPQQQYSVRPRQSYLKQGESQRIVLILSGEIPSAADPHKFIVQSCVAPAEDIQDFKSIWKNVDPSQICYNKLRTIFVEENNASSGEDNKKITTKSISSSQQTSKYIPLDLKEVDIPDGNLDSLDVEDRKALLEVTPNDELKFTFSSTTGLFNAYITLENTSSNPIFYKLNTMATLSKHFVSANMGFLKKGEIQQIEVTLCEEIPSAADPHRFMVQTCVVPVEDVEDLESIWKVVEPARICLSELTTSIGNRIPSSGEESKPIVEETIKDVSSSQLKYIPEELADARRELNEARKEIEESRATHSKEVKNIRKKLAKALDDRAAALRELNEACTENEEIRTTHSIDVSKIRQELVEARADADAARQELNEARKEIEGGLKEILQVRELDDAQRELNEARKEIEESRATHSKEVKNIRKKLAKARADGDAARQELNDAHKAIEENRVAHLNDVKEIREELDDAQRELNEARKEIEESRATHSKEVKNIRKKLAKARADGDAARQELNDARKAIEENRVAHLNDVKEIREELAEAHRELNEARKELEESRASHSNEVTNIRQELETVRSDGDAARRELNEARKENEESRATNSKNVREIRQAKAALDAIRDITLNYEGGQGMRAAQTRFECEICALEFTDVGGNCTPKVLRCGHTICSSCVNSLQQNNSVTCPFCRVVTSKLAEICNNYIILGDNH >CRE05839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:184925:186822:1 gene:WBGene00067928 transcript:CRE05839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05839 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MNM0] MLAQNSFFTVLLIAKTLSDMKTLLQVTPNGELKFTRSTSGVFTASMTLKNTSSEPVCFKVKTTALKQYCVRPNTGLLSQGESQRIVVILCGEIPSVADAHTFTVLSCAPPAGNIQDFESIWENVDPSKICYCEFWTTFVEENSSSSREDGKKKRGRTVKNELTEARRELNEARREIEEIRTAHWKEVKNIRKELDDAHRELNEARKEIEESRASHSNEVTNIRQELETARADGDAARQELNDACKENEENRVAHLNDVKKIRRAKAALTAIRDITLNYEGGQGMKATRFECEICLQQFTDVAGNCAPKVLRCGHTICASCVNSLKQNNSVTCPFCRVVTSDVAEISINFYILGDNQ >CRE05890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:187707:189873:-1 gene:WBGene00067929 transcript:CRE05890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05890 MHMKDVFDGVTAEWYPHLTDSVINLVQWFRYMNPWSGIDRLKLKKVIFPGGVLHANLITFVNKAKMVLLESPQNKRDNRNDTNGLFWQAVAQENPRYILDFADPDERRIEKCSVYFPTEKNDTMEYGDGKVKVKCTNVKRELKYELVKYTLSVVFEGEPEVTVTILHYTKWPRKGFPKNVDTIVRMMEIISTMKKPFLFTAQMELRSLESLLDVQNSKTDPSTQAYNEKTQASLKEFNGMFTEILKEKASVIIAKDSRQRDNAKNKKIVKNGIKEHENAEIEDLKKKIDELNMICYEGSNYHQGWLARQKEIAEEDKRIKKLEETRLIEANQKLADQIAEDQRQAQMETHTAEEERVETKGSKSSRSSASSDLLNI >CRE05840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:190460:193095:1 gene:WBGene00067930 transcript:CRE05840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05840 MTGVKKCRKVFTARLVDARSKLKNVRVKNREVDNYIEEKDMREEVGKLLIANRNLEEKVLNLSTDNSKLKISLNEANEEVSKLNVSLNKSIEEKNEIKFNLSANVHKLEKQFKNSVNDGLSITQFLYQVREQLKMTEKERSRLEENNVKLGKEVSSLSDSKPPPSKIRRIYNDKNSKTNKSHYCSQALERIQELVGADSLDGFLTYFIHFIANSDKFSFSLKLTEEQTAHCKLRFQWSDGFMKDFKSMCQQILGFDVLASRVSTENVLKKIDPTQHYKVEMVEMEKKSSQNKLLLLTSKKSLEERFERLAASQRLLPGDLVVGIGGDKGGDTTKVCLVIQNVDNPNNPQGILLLGWYYGHDNHQSLEKYMSEIFKQFNALEYVEFDVPPYGKQRRKLFKKAMGDCLFITAMLNLPGPSSLNPCFICDYKFTNHGSEKALVGTSPFEKSFEVRTIESIQAKGHPLLLLNPEDIGIPPIHTIHGVAQKYVLDPLFALANRLDAPGKDLPRSLSEQKSYFKDLILREETSLARCYDVKNGISQCELLISTYENLQKPSAKIKKNASKCSSAACVPHLIKNKKLFDSKAYFHCSSCNGFFHYHCINVVTDDEKLLLVNGLGSRKCTSCELGHPATLADHIRILAGAKTSLTQVSDSEELEYCALNVERKEIEAQMKESKGFYRRKLEKVLRKLGCDHRIWYQEMTGNQIRTLLREKNIKTIVDVFPYSLEIMWISEIMGELAFLMTLSNNADKSDFLIDEIEKSIKRLTIVLKELHKETGVTLKLHLLTAHLVPHLRKHRSWGRISEQGIESLHALINKLNRRFAPVRNPQQKCMLILKHLMNQNVIFDSVEDWNSRK >CRE05891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:194165:196874:-1 gene:WBGene00067931 transcript:CRE05891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05891 MSGIMHWVFILAVTLIPATAQNSPLSDTSNSHYYPSVTDGKSQNEKIELLIDFQSLYHTSLMVTISVTVVIVMVSTVSLDYLFYRSIFLPDDFSATVDQILRISRVINGIALQQGLMKQSIPSDTLITELLNLGSVTPALIQDLKPDEIAALVEEIQSFPKNLIQSVQMDIIEQRFLMYSSMVNKIKGVEKNFGIPKSDQYLTDVKKLAGTTKKLTDLDDGVTFMKSTLGALVDLETYKGSGAKILMKFGGVTLATGGIKSLDQFKSLFDSKVIGGAIFDTLTRVQSTVQEFEDSKAEVNQYDTKDDSVAEQIGENIKKLNSLAGKAKSALPTFHNLKQLFIHRFHRSGNRELTLSSGFPNGFSDLQLISDDLMDPWVQSVVDEQSESLAEAMEQLKLFGKAIGSMDGSFSFPPGGDQVLRDVYERAAQLAEISEKFGGLDEKEMKKFKIDVRKSQMKPSNLDKFNNLMEKINLLGDQYQATLKVIDLAAKLTGDNNDDLSKMLKIIANSNTKTAPTQLKTLRESLEFKTILDLLRGAEKELNTLQNQNSTVIDLAKTIGEEYGEVKTYMDELGGFFDGVDQIRHLKGVDVLGEVIEAIKMFRGYNESALSFGKIKEAIPSVQQKMVDLQVAMGILKVADSLEVNALAGLQDVLQDSQTIGSASRIFWSIKKVDKVVVLGQETVKIIQDKIKGVDQDDQKNFDQLLLIDNQLTTVYAQIDGVKKNPMDFLEIGKTVEKLTKDITLTPEQLKSLLEVKRNLETLDTLGLDYARRHKDIDESKKALDQMDLFFADFKIKVTPVTTTSMIPPPTSSTPPLGQLQSNASTGALGGNGESKEEGGNWIEE >CRE05893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:200667:201404:-1 gene:WBGene00067932 transcript:CRE05893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05893 MGSTHSAVERGLGALGKLKKMGRWIPHKLSNFDLERQVEMSLQLLTLHPNFNWLDHLVTSDEKWVLHENHHRRAQWVDADKQPEDVIKQEFNLKKILLSVWWSVHGLLYWELLPEGKNITADYYSSQLQKVKSKLKTSPLHGHGVHYLHDNAKPHTAKTIKSLLATFHWTVPAHPPYSPDIAHSDYHLFSDMHRSFEGQDFKTKSEIEKWLKKYFDSKQPEFWRKGIESLPTKWQRVVDKGGHYV >CRE05894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:202475:207742:-1 gene:WBGene00067933 transcript:CRE05894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05894 MDVILSDSSLSDTSYSHYYPSVTDEFVPYFSDGNHLRIRRDSNDDFSATVDRLLKISRVINGIALQQGLMKQSIPSDTLITELLNLGSVTPALIQDLKPDEIAALVEEIQSFPKNLIQSVQMDTIEQRFLMYSSMVNKIKGVEKNFAIPESDQYLTDVKKLAGTTKKLTDLDDGVTFMKSTLGALVDLETYKGSGAKILMKFGGVTLATGGIKSLDQFKSLFDSKVIGGSIFKTLTNVQSAVQEFEDSKAEVTQYDTKDDAIAEKVGENIKKLNFLAGKAKSALSTFHNLNQLFIHRLHRSGNRELTLSSGFPNGFSDLQLISDDLMDPWVQSVVDGQAESLAKAMQQVKSFGEAIGSIDESFSLPPGGDQVLRGVYERAVQLAELSEKFGGLDENTKQFKIDVRKSQMKPTNLDKFNNLMEKINLLGDQYKATLKVIDLPGKLTGNSKEDLSKMLKIIENPNTETAPTQLKTLRESLEFKKILDLLRGAEKELNILQNQNSTVIDLAKTIGEEYGEVKTYMDGLGGFFDGVDQIRNLKGIGLLGEVIEGIKMFRGSNGSAMSFVKIKEAIPSVQQKMVNLQTTMDAFKDGENLLVGLQDVLQDSQTIGSASRVYWSMEKVEKIVVLGKETVKIIQNKMKRVDSVDQKNLDHLLLIDNQLTTVYAQIDGVKKSTLPPLTSDLSSLHPVFSLAKSAPGSPMDFLEIGRSVEKLTKDITLTPEQLKSLLEVKKNLETLDTFGLDYAKHHKDIDESKKALNQMDLFFADYKSKGTVIPTTTGAPVESSTEPTSSEVPLHSDASPGALGPSTEEESTGIWKWFEKNWGWLLTGFIVVLVVALLYFCIYPRCIKKKTTSLDVHPKVDSDSDSDGEKPDKKMKTKQKTSTVSQVPQTPSTPSVVKQEPEKKVEVPLEVDIVNEVQEIEPPVLKDQNEQNKKKDVVVLKPKEETKELEVEATQKSMRHDLGIIKPGATYFLVFGLTEMSISHWQKALADKIMEKPEMYARYLINTNETSGGEAGLLADPCNTAYRQYFRYSIHMHSVDLVSSCFRYIRKQSRAGQLQLQDKMFYPKRAIHANKIIFPNEKEIVLIQGMQTENLKIPKKDNIGIFWQAVMEQKSTLILNLTTYYQMIYHLRLSASENNGRVQIQESLHYIRKHRYGAVETGEQCVFAVIALFRWIEQEIGKRERVEIVTRTAKEFDDVAEILLREGPNEYLKRMDKERQIEERKNGKMRPEELLKMLQKQIDELTKQNEELKKWNGGDGKVDVTQGSVERTVSETRVSGTSKEGAEGSQKKKEKDFI >CRE05841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:208707:209456:1 gene:WBGene00067934 transcript:CRE05841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05841 MVNLQTTIDAFKDGENLLVGLQDVLQDSQTIGSASRIFWSIKKVDKVVVLGQETVKIIQDKMKGVDPDDQKNLDQLLLIDNQLTTVYAQIDGVKKFTLPPLTSDLSSLHPVFSLTKSAPGSQMDFREIGRSVEKITKDITLTPEQLKSLLEVTKNLETLDTFGLDYAKHHKDIDVSKKALDQMDLFFADYKSKGTVIPTTTGAPVESSTEPMSSEAPLHSDASPGALGPSTEDESTGIWFIFCPTFITC >CRE05895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:209720:211127:-1 gene:WBGene00067935 transcript:CRE05895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05895 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MNM8] MESLLEVTPNDRLTFTGPFTDVVTAHLTLKNTSSNSVCFKVKTTAPKQFWASPNTGLIIPGDSKQIKVMMQPFKRFPSDAGRHKFQIQSCVAPDEDIQDFKSIWKNVDRSKILYNILRTTFIENMIPSSGVDSNTIIDGIVTNELEDARRELVKARKEIEDSRAAHSQTRFECEICLQQFTDIAGNRAPKVLRCGHTICANCVNSLQQNNSVTCPFCRVVTSNLAEIYNNYIILGDNQ >CRE05897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:221644:247275:-1 gene:WBGene00067936 transcript:CRE05897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdh-12 description:CRE-CDH-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MNN0] MLRGRGLSGQLFGSTGYFKEDAEEDEGNTGYIIEEQLSFAGYLCYAIGTICYTSSRPQCKFTSSNGFYAHVPSNFPIGDVIFQGSVTPPDAEMVIANVRSDAFKETDWSDHMVIDRSESSPGSYLLRLSASLSLPVYPSTLKEANLFVTVVCNGYAYPLFTVHIDPTNRFAPQFYHEPYVVPVERDLPVWTVIDTPVAAIDWDPQESYQLKFWLEDSHKGIDLVEEPARVKSKELISGSDDWSEQQLPNRVQLRVTGKYDLPMSLRLIVSDNAKENPRKSTTFINLVEKSSTVTQRTTTTTVTPPTTPRTTVATTTVPTTTTTTTVATTTTTVPSTTTTTTTVTPETTTTYSEDIVDSEVTKSPKELISELKKALQNAISGKKEKEDDVEIDFVAFGAKNREMNAIETENSEEIEKFEEKIPEEEFEGATRFTQCSLRTSVMENSPKGTKVAHLEVMNRRNVIFQRIVKSNTKIRLIDPDGTFTINENSDDVVILDSKLVDRELFSTLELVAEIQNADPTVQCTRIRIYIDLDDENDNRPVFENENYFFHIDPNFPPGKEIGRFVAQDIDQASNGQITYHLLTENVPFQVGTIGKKGVLMTTGKVDSEVASYNLTVEARDHGEPIVKSAKVPVEIFVLGGGGGAKKVGGVNKKEKTVVEGVKTSVVKQESEDVENSDDVEVVTVIEEVFVDENGEEIQNPDDVDSEMRTTTSEVPTEVNGERTTTEEPSEEDSDTPESPESAEDSEDTTTPLPTTTTPEVVTENFENSKNFNDVKDSEDSEDSDKDEAPPPTLPIVFSFPQSEYTYDALGMEIRENDILGRIEASPNVEFYAVDREVVGLIKINEAGELLAGKNLNRKEDGPLKFGVSATNGFETARAQVTVLRDANRDVMTAMPRFDRDTYRFSINENQQPEVIGFVRAFHSAISSSESVRLTYSLIAPAHIDELPFEIHEQSGEVSTSRPLDTEQKKFYRFQVRACLTQTICSTSDVIVEVVDVNDNAPVLMNRKLEATVESDVPPGTKIVKLFAKDVDSGNNSKLTFSLEDNKDAFSIDSTTGQLTTSQSLTNPLYTLLVTVSDNGIPKRSDTAEVTVVVKGTNPSPPAFDQKEYKAVVPSPVRAGQVIGEVRATDPDPGMEGMVTYKLLRADNEDHRKFMINSKTGVISAITPLTLEDGPIELLIEATDNGKNLKRKVKTSMKIDIIDSKTLKFLPLPTTVYISTEKAVGSVILRVSAVSTDNENIKFRALQENSQFVMDGDLLRIFSVFFKKWNFQVANHLVEGESVLSIRAETDTAHVDHQLKVIVMSDRDKYPVFPQLTYDFDVSTDGHFPRVVHQFNAKLGAGQLRYTFFPPSPAPKGFYLDDKTGELFVTSQFTEANRETTFVVVRAVNMQANKFYSDVGVAITPVSTTNPTLRFQQPTYNFEVYESLGKGEAIGTISVTGSAPSTRQLSISPSDSFLGIHQNGTLFLAETVDAEELEDSLNQEFTVTATDGTESARCRVQIAIRDVNEFQPEFDEDDVEMSMERGDSSPGTRIGRIQAHDRDVSEKNRLTYRIVGGSGRKLVFIQEDGTIIVGDERIPDEMDEFDLIVEAVDRNGNHDSTHVIVYIDGESSESATSSSESSDRPLFLDEPLIWNVTEGTTESFPLRTSGGQSVHFKIIGGDDNGHFDIVHLPGGTAHLRIVSELDKQLQYTLQIEARDEETQATSVAEVTVVVVGGSEGSGPKFTKDEYSGSIPVDIPIGFPIVTIEAIGADVEYSLQGDPCDTQFQIDSKTGKVSYKVPRDQRTDGNVVCVVVASDGRHSTDTTLRLEVQPGKTKPPTPNHAPTFSAPEYLFTVSQNATELGVVEASDTDNDPITYSIEPTEYRNLFHVDSTGRITVLGGLEQPRYSFLVVAEDRGDPMMSSFVNVKVTVVLAEEQMDNEVTIGTKAPATHAPQATQAPPPQFEFSQNVYSWRVQAGLPVGTIVGTIKSNGPKTDDIEYTFISGDHLSIDSEGRVAVMKPFEKTVNDVVIATRRGGEILAETRVFVGVEGVASTLVPTVTQGTELPRTAVPTVTQEFNPPTIPTLSPPSAASETPEPTVTQEPATLPTDPPRTVAPTLLPTEDSEESETLAPATSAPETPAPTVTQEAPTLAPLDLPTQAVTARIVPLGLPTESTTFSFNSPFYTAYSLEGNYKSGIELEIRPSLSTTTQDHGVKYSIEDTTSHLPFFLTPEGKLIMFEADRERHDSYLFTILAKSETNDLAKARLNVTILDVNDNYPSFQKHPETIGILRSMYVGSPVYQMKADDADENSEVTYSIEPKDFFSIDAREGLIRVNGDLRDAPAYQELKVTAQDSGKPPLKSETFVHIQLFQDSTPKFPEDLDLHQITVTTQMTPGTEIRQVVAGPTVSGREEPMVYRLVDTVNGLFEVRSDGTLVLTRRPLDNEANRVHELNVTAENSKGRDWTVVTLEILHILLVESILITLQRGELERFEVGGIPIFVEGRLSSGTPSPTNSQSCEFTQKVYRAQVKENSNPHEAVIRVQAGCQNGQKFRYAFHTQSKEFEIDSQSGQIYTIAPLDREKKSSYILTVNVIEQNRMMAKRQSDALVEQSASKLSPWQTIVVVTVLDDNDNAPVFLHLLSDSTLAGVVDQNANVMTPVTHLQARDLDVTPANLKFGLEGDGVDHFLINSTNGLIQLGRSLEKSQISHFELQATVTDGRHTVHVPLNIYVLSVDTNVVQLTKDTPQSDIDPNDVEQKLTKILGLDTRILVAQPFVGDDGKTDMKKSHVFVYSMDNSKPIGRDELRRLLTAHSDELYPMNISSVSLLSTGNTGTQTLLTVILLVVLVALLLFICALIMLCAKRRARNTSGVMEGAYMINSVGSGPRPYDVENITRATAQTVLAGRPLPDPQEHRIDMPSDHTDIALERDDTTREFSNSVRERPSLIQSAIQRQNIHTIHPPSANDNNELKKF >CRE05842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:247462:248729:1 gene:WBGene00067938 transcript:CRE05842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05842 MTDEEKDAYIQRIFKNDGVILDASNIKKKSGTTQSFRLDDTDKLFQRSKVRASIDGTIWKVQTMDLKEAKEGNFEKRNIHELGNCCYHHISSRLRLTEAIGVENMIYCDTDSIIFKQTKDPVGDLKGSLVSEIPEGCSSHHGSKSLRVEDQTQQWKLKEDYIRHYKKSIKDFIAYGVPKGDMMKFKRGGHALDGLWTLCTIRSTPRKHTIN >CRE05898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:256600:258327:-1 gene:WBGene00067939 transcript:CRE05898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smr-1 description:CRE-SMR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MNN2] MEEELATYKLQLQQVEAALLGDPDNTELHKLKEDLTEIISLQEDLQEKDKAESSEKTVVAPQVIHKWTVGERVIAPHPDGKKVFARIDSLTPAGVAITFTSTGTKTIVDPADLQLPPENQRKNYAFDNTKSAAGPSTQHGKKEWQAEKERRRQKALKKQQKQKELDSIKDGEKKSWQKFNTKANAKGLKGLKKVNITGSSQDGSATGDKRSTVVSSRSSQFSFKATRGAMDSLF >CRE05843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:262310:272423:1 gene:WBGene00067940 transcript:CRE05843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-46 description:CRE-LGC-46 protein [Source:UniProtKB/TrEMBL;Acc:E3MNN4] MSGVTGDDKCGGGGTISIAASWALSPPRGGTTSVFGWPSGNRPTTVNPSRTEQGVEADASMHYLQFLSLAVLLLMCQARKSVYRRNSPSLRRLTRNYDWEVDEHGALRPIINPAKVERATKNCANDSFILGTIMSNYNRHKIPGGQVEVEVEVWVQEITTISDITSDFQLDIYIYETWHDPALNYAFLNPCKYNLSLNSVLLEKLWTPNSCFINSKTADIHKSPFPNIFLMIYANGTVWTNYRLKLQGPCIMDLTKFPFDNVTCSLTFESFNYNTDEVKMDWSENGVQKMRDKMELADYELVDIHKIRTTEEYPAGYWHELTMSFEFKRRAGWYILQAYLPTYLTICISWISFALGSKAIPARTMLGVNSLLAMTFQFGNIIRNLPRVSYVKAIDVWMLSCMTFVFCSLLELAWVGYLSREEEPTGLRSPPCAQVAPKPAHPPPVQQSANSTVIRRQKQPKNEEESALLSLRDNDYGYIPPGFGLNGNLASAMKSFSSSCSCEPTNVVNMMLDEAETIPASTSSSLSRKQRREILAHKIDSVSVFMFPFLFVLFNIAYWQHYLRVN >CRE05900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:272575:276137:-1 gene:WBGene00067941 transcript:CRE05900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05900 MRDMETQRASKNRKIDVHAHVLPKNIPDFQEKFGYPGFVRLDHKDDGTTHMIKDGKLFRVVEPNCFDTETRISDMDKANVNVQCLSTVPVMFSYWAKPEDTEVVARFVNDDLLAECQKYPDRLIPLGTLPMNDVQRAIQVCNLWKYF >CRE05844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:278354:280489:1 gene:WBGene00067942 transcript:CRE05844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05844 MSSAVVPNEPRQYLSCSICEKLTTDFNYGVVCCNACKMFYRRVLEKPAIITPKAINGCGGCGMKTCKYCRFWKCLKAGMQPASTVRPQTPPENPKINLDALLGYMHTLNTHRENTLTSDYYPEGHTMQELAELVGPINFVKKPKYIKMGVAEWGFLTALTTIDYLKKFDFMNLLSLEDRTNLLKNGYFLFSVFTTAHSSIDKLGQSHMSFPDGTDPVHSGLIENGGELEQRIRNRLVGRILELQVTKEEFLLLSMVFFCNPTHPDLSEPGITIINTSQVFYSSALFQYCQNHYQQNGPTRFADLLSLVHVIYKTFDDMMYHHITLSVQFPSLACKTCF >CRE05901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:282760:283242:-1 gene:WBGene00067943 transcript:CRE05901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05901 MQKLTILLLLVVSFSITTAELAWSGGDPSGYSATVGQTAYIPLPVAATFRRQVKNAKGKTIEHIYRVCNGKNKKTCGFWEDVNTKKKVATGVTTYNKKNKRLVIKNVRESDAGIYLTGNKNWEFQLFVY >CRE02478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:114488:116356:1 gene:WBGene00067945 transcript:CRE02478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02478 MSGEQIVSDIITIINDGLNIGTFKFADIADKLLLIAGCGSFLKDMIGILHPDRPDPVMLMLFELDRKMNQLSDKMSWEFDSLKAFIVENEFYADLAQTASTLMKFMQDTINNPCDDSYGIFRDVSQRTPPLQYAYKMISLLEQESTNPLKMAMKADKLRSTATFDKWRTIIDAVITQFLFLETYINGMFWNKNMYGPNQLKSRIEALNKNMDIWKEDYKNSYWGDIVERLVYDTQDNNTHVGNEEKAQILQNSLGAVLTDDSFYVMVYNECVGYESHAFYGVSDQYFVSFRRGSCNVAIYRSLSYNRAGEAAQKQIEFDVESCKYNTIVGVQSNKEVTKWLMNNRIGNCRFVAMIDADLNVKMRGVNTPGHEWGPGWWITVQPMVRAVNYRLIAGFN >CRE02524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:112302:112944:-1 gene:WBGene00067946 transcript:CRE02524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02524 MSYWPDDAENLVHRIQDNRQDLWNDRKADLIADELQKICGNDSLYVMVYDECGGYENHSFYAATDQTIYSYRRGGCNVVIYRSMEWNSGGHDNLNIICRQVESCRYGTIPRLGRYEHFPEWLMKYRIQNSCFIGMIAKWRNAVVRSVNSNNPWGPGWWITATLYDPTTLENTDTQFLLVAGWQ >CRE02522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:101469:109531:-1 gene:WBGene00067947 transcript:CRE02522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aipl-1 description:CRE-AIPL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWQ6] MSEFSLTAVFPSLPRTQRGSAVVLGTTPCGQKIQYCNGNSVYTIPLETLNTADIYTEHAHQTTVAKTSPTGFYCASGDIQGNVRIWDTTQSTHILKHTIPVFSGPVKDIAWDAESKRIAAVGEGRERFGHVFLLDTTTSNGSLTGQSRTMNSVDFKPTRPFRIVSGSDDNSVAIFEGPPFKFKSTFHEHTKFVQSTRYNSDGSLFASCGSDGKVILYNGVDGEKVGVLEDVKGVAHSGTIFGLSWSPDGARIATASADKSVKIWDVSSKKLEKTIVIGAKIEDQQLGIIWTKKALISVSANGFLNILNPDEGVVEDTRQGHNKGITSLAKSSDGQRIFSSDAEGHITSWEISSGQSTRSSPHSTMITGVKQAANGDLFTVSWDDSLKITPASSDSPKIFSLPSQPCSLALADDVAIVACYKHVAVWSEASGALKENAIEFHSNCVAISADKKIVAVGGKDAKVHVYKLNGDGALEQIKVIEHAAEITSVAFSDNGEFLVATDLGRKVIPYSVGTDFSVASPNSWTFHTAKVTSVAWSPDNTRLATGSLDNSVIVWDMTKPAEHPIIIKGAHPMSSVNAVIWLDEKTVVSAGQDSNIKIWNVPV >CRE02476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:98614:101275:1 gene:WBGene00067948 transcript:CRE02476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02476 MGSQFYFFFAFLVLLFPCVKLLDSATVGQAVAVTNDCLGIGGLVFDKVKDKLLIFAGVGNLVTHLNDIYKEDAVMNGLKELESKISQLTNKMQWQFTDLKAFIVENNFFTVRFWFIGITELYFQEIAQTANTLMKYQNDVLKNPNEHSIGLFRQAVERTPPLHFAYKFISLFEQNETNPLIWAMNADPYKTEETFERWRKIIDGVLTQFLLLETFVNGMFWDKNMYGPNELRDKIYGIYGRIDWLRGNYKRTYWPGAVENIIHDVQDNGNIKGNDAKALEIKKHLMSILNDHIFYIIVYDPCESYENHAFQGNKDQYMVSFRRGGCNVVVYRSYHFLHCDGNAIDRIKDQVESCRHGVIKGRGSLEDIPGMIRDKHVSYTGFVGLIKKEHNVVIRSANSYKAEWGPGWWITAKGKFYRDPINPSWGLRDEWEENFILVAGFH >CRE02475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:96092:98362:1 gene:WBGene00067949 transcript:CRE02475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-171 description:CRE-STR-171 protein [Source:UniProtKB/TrEMBL;Acc:E3MWQ4] MRPETFELLKTSTQIASVILSVLFNSFLIILIITKSAKKMGNYRHLMVFFCCCSIMFSSMDIFVRPVIHTHKSAFFMIMDLRNRELSMDFAGVMICAMAGCFGVIIYGIAIHFIYRYFALERHGRIKYFDKKFLPIWFSIPILGGVSWTLVSWFLFPMAPVTSAYIGPAIREAFDMDINRSAYAAAIFYPLDENGQKLFNAKCGIGLILYLLIMSIPFCIVLYVGVKSAGKIKQFPSSNYGKGLQVQLLKALIAQTVIPVLLLFVPFGVLFLCPIFEIDCKPLATVLTFVYAIYPVVDPLPIFFFVQSYRNAVSEIFVCFHCRGKSRVHIAPEEVSRDAESQ >CRE02474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:93314:94714:1 gene:WBGene00067950 transcript:CRE02474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02474 MLKTFLCSTANMSGRFQGKVAIITGSSNGIGRATALLFAKEGAKVTVTGRNTERLEASKQVLLDAGIPESHFLIVPADITLPSGQDDLIAKTLEKFGKLNILVNNAGASIKDPENKTGINQSLGTYEETMKINVESVIQMTQKTRPHLAATKGDIVNVSSIVAVKAGWSLLAYYPMAKAALDQYTRSAAIDLIAEGIRVNTVNPGIVQTGFHESEFGFTKEEAKAFYDEIGSNRSSIPVGFAGRPEHIAKTIAFLADRDSSEYIIGQNIVADGGTTLVLGIHANVANTLKNSSFAK >CRE02521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:90658:91646:-1 gene:WBGene00067951 transcript:CRE02521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02521 MKRFSGKTVIITGSSSGIGRATAILFAKQGAQVTITGRDAEKLEDTKKVILKAACKPHDLNIVVANLTDPSGLDEIVKSTLDKFGKIDILVNNAGANIADGTTNTDQSIELYHRTFQINFQAVVEMIKKTKNHLINTKGEIVNVSSVAGDSQALAATPYYSSAKAALNQYTRCVALDLIQYGVRVNSVSPGVVSTGFLGAMGLPDDAKGKVESFMASNKNCIPEGVFGKPNDIAEVILFLADRKRSSYIVGQSIIADGGTSLVTGMQAYDIQDMI >CRE02473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:84995:86758:1 gene:WBGene00067952 transcript:CRE02473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02473 MHLPYMSSPEFLASALHIMTCLEVPVHLFGAYCIIFKTPAIMKSVKFSMLNLHFWSVLLDLTISLLTAPYVLFPALVGRSIGILTFFGVSTPIQLYLIVTLFPSNFKSLILIQSLSSWFSAVGVSITAILENRYYLLFARDTFWKFFRIPFLGSLYLASFSCFLPCYFNIPDQKEAMKEVLEKTPALLEYTSNSDLFVLSTDFHLFFFTLVIMIALVTGTSVTFARLLHRNMKERSQLMNVSNQTIQLQKIFFRAIFIQCIQTSMPICILIFPLNYLVFSMYSGYFNQAANNLCFIVTAFHGLLSTTIMVIVHKPYRDVFYDTFCERIHRILVRPGSRLTFSLSTVSKTTG >CRE02472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:82036:83649:1 gene:WBGene00067953 transcript:CRE02472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpl-1 description:CRE-CPL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWQ0] MNRFILVALLAVVVAVNSAKLSKQIEAAIEKWDDYKEDYEKDYTGDDEQVYMEAFVKNVIHIDNHNRDHRLGRKTFEMGLNHIADLPFSQYRKLNGYRRLYGDSRIKNSSSFLAPFNVQVPDEVDWRDTHLVTDVKNQGMCGSCWAFSATGALEGQHARKLGKLVSLSEQNLVDCSTKYGNHGCNGGLMDQAFEYIRDNHGVDTEDSYPYKGRDMKCHFSKKDVGADDKGYTDLPEGDEEQLKIAVATQGPISIAIDAGHRSFQLYKKGVYYDEECSSEELDHGVLLVGYGTDPEHGDYWLVKNSWGTGWGEKGYIRIARNRNNHCGVATKASYPLV >CRE02471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:78904:80835:1 gene:WBGene00067954 transcript:CRE02471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02471 MEGDLASICSLKYLEHSKMFLLFRISPIITFPFYLLATFLILRNKSKIVQAVRKQYLKQIVINFIFVILLAVIVCPILEPPIPGYYMTGLLAIFEMNVALPLVLMTHVVLLVALSILQLVKHQLITLSDLRFTKKFDLIVKIIRKMYEFCYLLMVIVAFSILPALTIHFELNEFREQAFLYYKNPFVMCKDMFIADHRNWKIYTPYFCSITFGVVCSITGITSVITCLLMIYESRKMVSRETLIMQRNFTGVLVYQALVYITFIIVPVGVISTLFYADIHIQDNGIAFLLMICCQGAINNLMHIVPGIHKLFCRKKQKPTSRCGKDIAMWSSTPSVVVT >CRE03622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:120859:123183:1 gene:WBGene00067955 transcript:CRE03622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03622 MSDKTASKQSTSKVDDDRMIVVETQMSVKERRKKVKNSTKLIASSVKVEDETRLQLGELTTKRSETEVDAILEPMKSFHRELLEKFEEIGGDKWPRSVIRVMREFELESVEELREACAKAAEGEEAEWSVGKVNLELQQVQYEMKVLTECWNEERERLGEQIRKVQEEKEVAEIQVSKLEKALKQLRKTLERQERRPNGLWDETQGSRSWCERVENWDIERNDERSRKKGGEDAFSRKTLSHSGSSEVNDMMQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTENDYELVAILEEKFLKGAAKSLFKTLPKRFERSIQSLFEEFEQKLRKRQGDSKIEALNEFEGLEKRSDQKMWEYLVEVEKWSRKAFPEVKQETLSQMRTTKLMKAVRGDETLHKMLIMKRFELSLEEQYDHLKDIVLQQENEQRRGNGQKSGYSEGWKGRKDSQWKEREEERPKAENDGEKGETVADRGSGEKQYWREQRCFSCGGVGHLARQCSPKPVQSVEVRGKGEGVGIVAVETVMMLGQERKMVIDSGXXXXILIMQ >CRE02469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:69169:70396:1 gene:WBGene00067956 transcript:CRE02469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02469 MAPLQEHHQNAYLRIDSLPEYQTFSYHFDYITVIIALLFICYIPSVCCTIKMMTFFLKTRTQGDSKDIHPYVFKSFLCMQLSNHIAVIFDYIVSRIPSTTLLTSYFSSANPDNIFKYFVSALYLFNYISQLYTVLFCFIRVLILFYPTTHSEVSFHVRRRKKSHFQICSVVFKVWSFISFIFSLAVSFPHITFDVVGLQLDFPFQYGAIALTTTVSYGKRIQKNIDFIFSAIVCVCIVVMTLMMLIKMRSLKLNDQHSKLKTKAETTLKITMCFILIPCIMIFAVRIKLQPNDTTKNCLQITSFYSTMYVSYIIVIRPILLDCRVNIVSCYFYMSHPYFKRKETLKSVNAAPWYTN >CRE02468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:62105:65499:1 gene:WBGene00067957 transcript:CRE02468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02468 MSINLRKVCSMEHLNHSTLFLVFRLVPILSIPLYLFAWLLVTRNKSKVVQAVRKHFLRQMLINFISVVLLCVVVCPILIPPIPGYYMTGLLASFDASYDTNVAIPLVLMTHIVLMVALAILQLFKHQLVTISDLRHTRKFELPVKIIRWLYKLCYLVMILVATTILPALTIEFELEGFRKGAFEYFQNPVCLCPDMFIADPRHWKILVPYCWSITFGALCSITGLSSVITCLAIIYDSRKMVSRETLIMQRNFTLSLLYQALVCIVFIVMPLASVSTLFYTDIHIEEHGLYYLLLISFQGAVNNMMHIVPGLWRKLRKKRTADQSRQSWKSSSMWSTSVSNVASTTC >CRE02516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:59816:61693:-1 gene:WBGene00067958 transcript:CRE02516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02516 MTDNSTPIATDSLLENDRRNVPGKRSTLITFIGVVLVLFVLLAIAFYFVHTHFKYTMNNRYCKKRVIGFYEDEGSSEMRHTHFDKLTHIVFSHKFLIENGTIAFKNKDARFRFDNLKDIAKLYWNGMKVMISIGGDMQFSAVMASQSKRSKLADSIVTFLDEHKTDGVDLFWKWPAASDKTSYLLFVKELRQKMLKRDKKYILSVTSAPAGIPGYWPDGFDLEEMINHVDFMNIFSMDFYGPWGWQTGPAAPLYHGIAPRENFTVDYTMNYYTCKLKETSKLNIVIPMDARIWRNVKTTLPGSEVFRRADLVGGKVEGTIYTSRLVAENAGINFAPSNWDEQTKSSYIFDQKSGIFLTFENKKSIEEKLNYVNEKNLGGVWIRSVNMDDGSVHLLDDINYQEYCSSRSTDTVNYQCV >CRE02515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:58016:59445:-1 gene:WBGene00067959 transcript:CRE02515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02515 MAVLIATATDCLLEKNSRNRISKRSTLATPLAVLLIILAVLAIGFLIFLLHFNNPAHNRDCKKRVIGYYEDTGSAEIRDNHLEKLTHVVFSHQFLSAEGTIEFRSDRAKLKFEKLRNKAKRFGLKVMISIGGGQHFGSIMATECGRSKLTDSIVSFLEKNQADGVDLFWKWPTASDKSSYSIFVKELRLKLLEMNKEYNLSVTSAPAGIPGYWPDGFDLEEMIKHVDFMNIFSMDFYGPWGWLIGPAAPLYHGIAPRENFTVDYTMNYYACKLKETSKLNIVIPMDARIWENVKTALPRSEVFRKAKLIDGVVQATLFKSRRLATETGINFDAAAWDEKTKSSYIFDEKFKTFSSFENKKSIEAKLNYVNEKNLGGVWIRSVNMDDDTFSMLNDVNFRDYCSAKSFDTVNYLCA >CRE02514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:56181:57665:-1 gene:WBGene00067960 transcript:CRE02514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02514 MAENLRATAADQLLENGMRNRTSKRSTLATPLAVLLVILAVLFIGFLTFVWHFNHPKQNPDCKKRVIGIYDNTDSEEIRESHLEKLTHVVFFHTNQFLTANGIQFGSDRDKFRFEKLRNKAKSFGLKVMISIGRELHFFTDNFTNFELRCEFLKFYLHMYLINCSKLTDSIVSFLDEHQVDGVDLFWKWPIASDKSKYLLFVKELRQKMLKKNKEYIISVTAALKKIPGDWAVSFDLEDIIKHVDFMNIFSMNFFSQWGWQTGPAAPLYHGIAPRENFNVDYTMNYYACKLKETSKLNLVIPMDARIWENVKTEQTGSEVFRNVEPINGKVQAKIYTSRRLATETGINFDVAAWDEKTKTSYFFDENSKTFSSFENKKSIEAKLNYVNEKNLGGVWIRSVNMDDGSAHVLDDLNYQEYCSTKSIDTVNYQCA >CRE02513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:54429:55857:-1 gene:WBGene00067961 transcript:CRE02513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02513 MEVLRATATDRLLEKNERNRTSKRSTLATPLAVLLVILAVLAIGFLTFHLRFNNPAHNQDCKKRVIGYYEDTGSAEIRDNHLEKLTHVVFSHQFLTRNGTIEFRSDRAKLKFEKLRNEAKRFGLKVMISIGGGQHFGPVMATECGRSKLTDSIVSFLEKNQADGVDLFWKWPTASDKSSYSIFVKELRLKLLEMNKEYNLSVTSAPAGIPGYWPDGFDLEEMIKHVDFMNIFSMDFYGPWGWLIGPAAPLYHGIAPRENFTMDYTMNYYACKLKETSKLNLVIPMDVRIWENVKTELPRSEVFRKAELIDGVVQATLFKSRRLATETGINFDAAAWDEKTKSSYIFDEKFKTFSSFENKKSIEAKLSYVKENNFGGIWIRSVNMDDDTFSMLNDVNFSDYCSAKSIDTVNYQCA >CRE02467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:48733:51191:1 gene:WBGene00067962 transcript:CRE02467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02467 MPADCEPDVSISGILAVLRFSPFFSLPFFIFAGYVLAYKSSKHLQPSKRAYGYFLICKFLSLLFLSSGIAPIIHTPAWGFHATGFLQLFDLFNPMWSIIITMYAHLGKFSKFQNFHQYVNFLVMLFFLVQVINTRCEAIEKLAVVAFEEEKKMFFLIRCLLKVLYFFILFSFWCLLLTLGFGEGDVQRRLRDGVEKTKIKINCSSFFFLDTESWRLVISIISIVFLLIIFLIFSIGYLSGVYSILKKSKSKISKKFWKIQTGFLSLLGIQVVLLFCFLLFLPICFLALKTPGSESSEFFISQTNKFLKHFSASLTILLFIAHHGTISTIIFIVAHRFVRSRILRTYNNCVPKSRRIGIIVDADRLDIHSTQQVQVFTVIVENTSV >CRE02466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:43845:47616:1 gene:WBGene00067963 transcript:CRE02466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02466 MVVQQPEEELILPFIPHLNYTGVSAACTKDTKLWLSSLQKFELESGKCMATGKCDAKVLKENLFAVQQLDSFGRLLEPGLLELENTFEGSYQECERISGIKYKTNYCYMALLPKFKNPFSSLPFRSAVCMPRTCKHRDLPILYNQLGSKLFTARLALCVHREVEKDSAFWGFTVFMIIMISIAVLATSVDFLRETVYEKYSDKEKNIALKFLLAFSFWTNAGQILSVKEQKPGFIKSLDCIRAFSMSWVVAGHALMYFTFEDTLLPLASVSKHIWNHLFLNAVFSVDTFFLLSGCVVAYLFFKQRPKPHQIKSPLTWILFYLHRYLRLTPPYMLFIGFFVVYGPYIQGPYAAWMWNVLIRQTDGCKHNWWRNLIYINNYDTDQKTTCYGVSWYLAVDTQLYILAPVVLIALFFSFAAGAITIVAGCVGSIITTYILYGVYDNMSADSYHDDPSGEFTNVMYQRPWIRCTPYLIGLLTGYIIATYGNRKIRLNWAISVVCWIVAFGIGFACLFSNYDYDNGSYWSPFAKGTFYNFSRLGWAIAVAWVIIANHFGWGGPINAFMSHPIWQPFGRLSYCAYIVHWLVLYYFLNVGDRPIRFYNAWQVYCYYAIPATVLSYIAAFFWSCLFEVSTLKLEKMLIEAILGMGAGSGAHSKKPISSDIHPEEISKGQPQTAWDIDIEVEPEDSKL >CRE02510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:35733:38113:-1 gene:WBGene00067964 transcript:CRE02510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02510 MTVFYFIFLLFFCYAEPQGFVPPGFSNSLSNFLNIPPTLFTTISPKCSQDFGLFLKSLIASANILETCNTGCQERLEDDKFALKQLDAFGKIPSGITQWTTISDGNYQACDEADGEKYSTNYCYLVLIPRNSTCPNMSNLSQKMTDTSNILYRLAVCIPEACSSIDVATIFNAISPLPFTACQTFCVKKHHDTSVWSWLFLIFMTVMVTVTTFGTVLDYYFVDADDKDIRFRIFCCFSLYSNFKTILSTETVDGRLKSVDFLKFWSVVWVVVGHSPVNFLMGDTAKRLVESRKELLTHFMLDAYYSVDTFFVISGTLLGYGMWKNSNCFQLTRSRTFWIKLLARRYIRLMPPMMVFIGVFVFSARFIRGPTIISLFDNMDKQAEKCADTWWLNLFMIQNFWRPSENCYGISWYVAADFQCFVLAPLIVIPWMKSQKQGMKFSIIIIIISILATFYTFIHHDLPPFNYVLKGMEEYLTYAHQSSFLRIPNFIFGIVLGKVLATYSTPASLAYNNSGKFWMLCFASIFLCFYGKLQAVANIGQPEMMPMISAAHHIFHRTLWTFAIIWVIYACQFDLSRCFTSFIKHRLWQPFGRLTYCIYFIYWWMLYVVLNQSDRSLHFVSQGQMLLFCGVPFLKCRLEDWRNCTFYEQEYTLI >CRE02462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:29409:30578:1 gene:WBGene00067965 transcript:CRE02462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02462 MGIMNISAVVISAIGVVSSVFTYGMNIHLLRNYKKKKEDIMIFYCRFVVDVLIGFVITFYLSFVIFYSLFTEQLSEYHNFIIYITIPTSTLGATRSIVTLSIYMERFMAVYAPIFFHKHKHIFSLYLIFAIAALFGLTNPIVLFGFCSYDFDFPKTCAVFGCAINTCFRNYWSTHKLVRFQKPKNAIYFLFQIIFSLIFSFSLLNFGPFGAVLQNFGLAIESFLFYRMLLRKSSSFQPSDSTVHAARVKACTF >CRE02460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:16895:17864:1 gene:WBGene00067966 transcript:CRE02460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-21 description:CRE-SRD-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MWM6] MYIALTKSPSLMRPCVIMIVIKCTTDLLAAGLNQFVTGRLVSSGNTIFLVFEGACNQYSDLYCFTGHIFFMSLLQQNLVWLICCYLFRYYILNSRDPTLKTFLFTAIIVYLPFLFHSLYWIYVYYQWSKTSEQHAPRVFSKPKDLVIAGSVVRQSSAVTCFILFLISIITLFVYIWIQWILVEFLREKTSRLSKTAMILNTQLVKTIHFQTLIPTFTIFGVATLIFMHYSVIDSIRIQNFPSMFLSSTLSIFPLSYIMFMPPYFNFCIGVPKKEVTRRIKEKLRIGNIVEIGHSTIAVYPLTTF >CRE02507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:14155:14925:-1 gene:WBGene00067967 transcript:CRE02507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02507 MNLSNSIERVMAVYAPIFFHKRNHIFSPCPILAIAASFGLTEPIVLFGFCRYDFDFPKTCSAFGCAVNECFSTYWNTHKLIILGFIFSFTILVCLKLFMMNRAKESRQLSRANRLAIIDAAIIFCSDFLPIVARKYVVFSSQIVGPNGAVLQCVGLAIESFLFYLAISRKSSVQTSDSTIQMFHKTRVKICTC >CRE02505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:7696:11965:-1 gene:WBGene00067968 transcript:CRE02505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02505 MTIMNISAVIISTIGVVSSVFTSGMNIFLFRNYKKEKYDILIFYCRFVVDFLMSFLNTFYFLFVIFYTLFTENLFEYHNFLIYIALPSTSLKAARSIVTLSISTERMMANRLAIIDAAIISCCDFLPIVASNFVVFSFQNVGPHTAVLQSVGLAIESKMSVTDKLTVLHNLLKILTFLSIWLFAVYATVTMMRSSEIQNLSIDILEIPKTTTPPELPKPEFLVAEPRKVCKSPECIHLAHQLHNWRDISVDPCEDFYKAACGKYNEDTLVQGPRLVKKTRIVQQLVNAFLKEKQSINSTSKSEQALKKYSDLCKQWVVLKQGDRVKQQTKTRNELLKKIGSVPAFDKNWKESNFDLNEMLYKTALLGKQNLVFFSMKIENSKRAVIEKDYTIPELLLGYQKEDALKNSGLKPEDQVIEEDFKNVENLNNELMKFNDLADLEKVPLSQFQTYVPSLNFEKILKGLFNQYLKNEVWDNIKNKIAVPKSSYFFNETYNLETILKSTPKRVLANYIGMHYRVWVDEVSAINPKEKYICAEKIIEKLPLAALRVFTRYHFDKENIQLATEMVEDIEKSFIETIQGSTWLQQSTKTTAIQKMKLMKKIIGYTKELEVPGALDSFFESLDLSNVTSYLAANIEIDRFQNEQNYNHMASLLPFAPDKMLVGANAYYDQLSNVLTLNVPFMDDPFFDSTFPNYAKIASIGEVIGHEIGHGFDPNGRKRDENGERKDWWTPEDSAEYDKRAECLINQYNEYDDPTYGKKLDGTRTISEMAADLIGINVSWMTYNKVNFSNESSIIGFEDEKADKLFFHLSALNWCSARDVKPLKEQLARNHPTNNFRVNGVFANMKEFSEAFNCPVGSTMNPVKKCELF >CRE02458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:5035:5421:1 gene:WBGene00067970 transcript:CRE02458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02458 MIYFAVKAHKVIRELASSCDNLSTLAQSLQRQFFYSLVVQTVIPMILIHDPSTFIIVSTLFGVGKKIFGDVATVGISLFPAIDPLPSLIIIKPHRETIKSKFNSIFHCSFFFSRFPAFQKTEFDDHTK >CRE12371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig376:2701:5286:-1 gene:WBGene00067972 transcript:CRE12371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12371 MYEKGNPMKILTIILLWLFALYTVLLVLKDSDEESSRPSFPPVKLYDDVCKSPECITLAHHLHNWRDISVDPCQDFYRAACGKYTEESLAEGGRLNKKIYMVVQLVQDFLHKNLPSSSKSENAMALFYRKCEEQKFLNATEVRINNSKEMLQMIKRIGSWPVVDSDWKESGFELNELLSNMASLGFHHFGIFNVKPMSNFLIIDQDSSRFSRSPADIEKLIVNILENNGIKPDPQSIQTDVKNILNFDKELAGVKSSPLSYLPLSKLQQNISNVDFEKMIRSYMNPKRSQENWESMRNKTFVKVSSYFFNKTRNIDTILKTTPKRTLANYLILRFIISLFDLPLSQTTNVKFNECALKVVSWFPLPSIRIFVRNYFEKGNLKIASNMVEDLKKSLLEVFEESTWLQDTTKQRAKKKVEMMRKVVGYPKVFEAPGALDKFFESLNLSENDSYFTIRAKVAQFSYQHQLDYIASLLPMDPDFRTVGARAYYKPGENLLLLSPGILDDPFIDSTFPKYAKIASIGQLIGHEIGHGFDPTGRGFDENGFKKDWWTPEDSAEYDKRTQCLIDKFNEYDDPVYRRNLNGNVTIGEIAADAIGIDLSWRIFKKVDLSKEPSIFGFEDENPDKLFFHLTALNWCSSKETMPLAQRLTEPHPTDIFRVNGIFSNFKQFAKAFNCPVGSPMNPKKKCELF >CRE12369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig376:8676:11344:1 gene:WBGene00067973 transcript:CRE12369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mltn-11 description:CRE-MLTN-11 protein [Source:UniProtKB/TrEMBL;Acc:E3NK64] MIHYYLFFFSITFQLFQYSHSIPKLNIDVTKHALSKDEVLKSPHYKNKAFHVEISTESGEELLEHWSTQGFSGVIAAIATRRLPLVEKYHQVTHQKCASEAEAISEHARCLLELEKDAHANLLRRRRKYWDKKLRRKLRDKKILFKRPKKKRQRVKREIVVQSRSFYNLKSGNEMSPLGVLAKHLSHTLRVIKNKRTTSKWGDTITRISEKAQKIKERKEMQRLLEKRMNVFTENGENFEQLKSPEDDEAKELIDLEKYIDDDDLKSMVRKKAGNLTEQDRMMLIPMKLIREAAKLGLSMTGYNTTDFDRKVVRIFSPKMMSVIPTSDETKKDEIDILSPSLFSLHHDGSEIEKEVSLQNLLGSLTAHKDTSDLLDFIIEATGVDEAMERVETKIEESFHSERGPEGQPLYFTKENVTAQESKRIEIFEALDTTYSENQLKEMNRTGYTIMTHEQMDLVYGNYSIMENQKLLREAKRMSRPEIDRSIMQTIDDLAKEKVKFEARRNDIVLSPVTFTNFVFDPKSVSQPTILSPIFVVSLIGSPAIYGVMILSPWLMVPVILSPRIFSPVVLNPFVMVPIILSPLAFNPFILCPGVLNPFVLSPLVFSPFILSPQVMTPLILSPFCMGPLVLNPLALNPLILSPFVLSPIILSPQFVSAVILSPYALSPSWKSDGAMVTVFASPSWLS >CRE12373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig376:15349:18414:-1 gene:WBGene00067974 transcript:CRE12373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12373 MSSTPIDMSPGNCSIDYSIPYHWIMEYSPFVSVWFYIYIIFFVVEQNGSLKLSHQHAAILNHHFWNFIHQIYVCIFYRPVAHLPMFGFQIGGVVRSWDLNAIELWIVWVWVVFVLLLSMVHLFYARLYTISRMMVLNRYRSLIHSSTVVFVLSIFVSLGCGSSILLVFLSTPYVVQIKTNAFLKYNSRIIFCPDFAIVDLSMWQTVLPNALAALGLALLFFIMVFMGIASLIVLSTKSSGASIKTLRTQKMLMQSFVIQVFTHTVFLFLPAVTCAILALFSRLSNVAIYTAIFCLVHQGVITIIVFAICTPISTKAAKSCNSQC >CRE12374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig376:19349:20209:-1 gene:WBGene00067975 transcript:CRE12374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12374 MGSPELILQETSDLKSLSTSEHSPGSPINNLDNVDVLTAPIAPPNPEVVKGKSTPVEINIDEFYPPTQKFLVFRHTSCRYYWIEIGLSCYERRTCCNCKTPNIPALKTFPDFSEARSFLHYLIQTDFLQKMQSIQYRYVYDQLERKKQIEFQQKIPFYTSIQDANYDVWPGRDGTFYKEWLFWSQNLPIPEVMGFNSYLNMMKGMVMEENPENWFFWYNINT >CRE07818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:118371:120502:1 gene:WBGene00067976 transcript:CRE07818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07818 MLRFIFFFICIASGIAVDLTCPANFITQNTPAGEFPAGGSATFPANYNCTIKVCFINFISVLHINFQFQIPVGSVVRLNISNNLFFTTADSFTIQDSFTNMNSNSRIHVTNSLFYLPATTGSIQLKTFSNTTQFYFKWQYIDVTGFPKIQKPTGINSIMSLNLTANTRYQFTSTQGQVAFHTGSVSGYRDQNLEKIYVYDGEDLNAKFLGNLYVFTDKEYVSTGKSLTLVNFYGSPVPSYGIANDYAAISGYDGYSFFVLRNAIEYFTGVTSKAGKESAITVYAIDWDESYIDYIAFKNPNKTGQEVRVNPMTPSETSEQLLTYNVQDFSLNQLPQQILTRIFTVKVYQSDVYLGIWSRPWPKWNNVIAGRTGWIYSPSIWNPRTLVVPQYLNTFNSFAMVKFTFDVFGVTIGTPGDILKVGVNSLDSFALTFNQTTKDTREKDASGTSMTTSFTGTWHSSSFVMQFLVKDYVETTTKSAGKIFDLLVVVLIALKIL >CRE07817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:112404:115029:1 gene:WBGene00067977 transcript:CRE07817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-9 description:CRE-SRE-9 protein [Source:UniProtKB/TrEMBL;Acc:E3NEL2] MMGYVNRTQAISDGSWDTLRLLLYFEIVLILLNVVTICFWFSVILTARNMHPNVRLINSFYYGQYLIQLSFWVIQPIFIVSEALNDSNKFSNELFTLCSYVRIIGIYYAFCALPALVIERSFATFLLEDYEKKSNLSIGCFTVIIQLLTAGTVGYHFNRAKSTVEHTVAAIIANFLAVCLNKINERVNYKYYYELDRVSYSLSERFQITENIKVAKMFEKIVLSIGFFNIIVNTCLIIDNYEIPLFYKNIASIACDYSILTYGLIVPVVYYNNTDSWKKRVGVMMRGCFKPKVGPLKDTFGHDMTSHGAREETTKYFEMLKKQWK >CRE07816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:108768:111907:1 gene:WBGene00067978 transcript:CRE07816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07816 MAGLFRSLFRSKKSRKENKENTNEDDYIVFQPCPQSTLSRQRTARFADDCMLPATAAYHTKSAPKLKKGPQSCPGGSRGGISRRTSSRQTFDIDSGHDSQSSSVRHSRSQNSVYSDEDDVENNERVEMFERKSQYYMQKYEESERHRREERRKQERLEHERNSIQNAMSNMAYCMASVQQIEKLKSERDQYKKEMSRYRTKCEKLENKFEQLETMSPNYGGFQTFPNPMQPSPYQTPQYPAPLSYNYPNPLPTIPTGPPLSSLHRSQDFMNKMMPPPQLPPNFGGLLPSNRHGGSMSFAMSNTPTTIGSEGAGESLVNPSDISFIRASGSGAGGGKASSNFYDNDEEDEIKNYRYEDDAFLAPSPLSDLTQSTSNTH >CRE07836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:97536:105427:-1 gene:WBGene00067979 transcript:CRE07836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-166 description:CRE-NHR-166 protein [Source:UniProtKB/TrEMBL;Acc:E3NEK9] MCPDRPNVCAVCHQKAYGYNYEVVSCNACKMFFRRAINEKVDDVCKRKRNCFADEKDLYTTRPKCRACRFNKCLALGMRQHSKSTESSDEFSSSPSPQVSVLVVVQKPIVTQAHVDSRVFLSLRYMNQVRRDVYRIISVCEDPSFLDLVAQDSNLERYRQPKEIKWESTERKLKPWGSLGVLLIVEVVKTMEFYQQLLLSDRVILLKNVAFKSHHLSIAFDSYVEKKGRVIAPTGDEMFPKVLFDIPNCRAIIMDLLTTPMQPLMELNLTENEFLLLNMIVICNPALGGLSLRGQDIIGKLQKHYTRILLNICMIEDPRHGPTRFTEILAINQRLQRQSDLTSDVVQALRSDWEPRFHFSRILIEACKEEEPYIKEISAQAKETLYRYQCHYTQLLLQQCLQTDPRNGPARLLGLLRVVAHLNKQIWKTKQLFFVMKEFWNPDYLIPKESLACHLVLNLCLLITNNSTPGYCSKFAYRTIQYQDTIDLQHLWQSVNE >CRE07835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:93015:94137:-1 gene:WBGene00067980 transcript:CRE07835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-159 description:CRE-NHR-159 protein [Source:UniProtKB/TrEMBL;Acc:E3NEK8] MLAKCSSVVSSPNTLTIIASIRTAVFLVAISLCLKIKKEITGYSEVSDSIPKCKSCRYQRCLDLGMNYAIREKKRLMQLQNRRDAEVAAIISGLLCQDSHRTQILTSCFTIMNPSLEEMVEKVNVKIHVKVPKESLRPQDWSFFALYTTVDFLLNLEFMKELQTSEKLILLRHSASKCSLFGGAMRTYREKKDRMTTVDGQDIYPDEMRKLLGYEKGTDEFLNRIRSFVVSKIVEMSVTAEECVLIGAIMFCNPGKFSTLFYEPDNSRARSIVAAQQQKYSDTLFQYCIHTYQQNGPTRFTDLLSLCPIIQRNFEDLQYLTMVFRLAMKGTSMQFRKIVEELI >CRE07834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:88461:91212:-1 gene:WBGene00067981 transcript:CRE07834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-157 description:CRE-NHR-157 protein [Source:UniProtKB/TrEMBL;Acc:E3NEK7] MSTSPSTSTSSSSLSPPFSPPDLSLDTIQLPASPPDLSLDITQRKCVICLRPAYSNNYGVLTCDACKMFFRRIVVLNRDYKCKNGGQCLARSQVTKCKGCRFQQCIAAGMTYQPTVLELANEKDVDLSTTIGALLFLDARRSKAMKTQFTDENFTLVQVVELRRMRLKTRKSDNISTHDWSFFGIYTSIEFLMSLDFMSFLSNSDKTILLKHFCVKASLFASAMRAMRERRDRLMTVDGRDVYPDDILKLDKFSQAFLNRIRSLLVARLIDLKLTNEEFALINVVFFCNPALPLSLAGQEVIASQQKVYTSALLQYCNVAYERHGPSRFTDLLSLFHVINKNFEDVQAMTMIFKLCAPWVVYKKIVTDVI >CRE07833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:81344:82914:-1 gene:WBGene00067982 transcript:CRE07833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-187 description:CRE-NHR-187 protein [Source:UniProtKB/TrEMBL;Acc:E3NEK6] MAPGGSVPSSSTSTDLLALDLTGPKNCEVCRRPANCFNFGVMTCDACKMFFRRTMLLNVQYQCRRNNQCFDENFSCLKAPYCRACRLVQCIKVGMKLNLSAMLEVNNQKDDAVATLIESLLYQDARREKDLITNFTFENPTLEEVIECKQLTIVVRDPTHEMTSSDWCFFGAYTAIKFLLNLHFMRRLSTRDKTLLLSNYSSKATLLFSAIRTMRAKNDKMIKPDGKDFFIEFLSKWSDFSLHFTNRVRSMLVNRLIDLEITNEEFILVTVLFFCNPVLDELSEHAVTVLTEQQKVYSSALMQYCLLQYQQNGPSRFTDLLSLCTVTNKYFEDVQYLYWIFQFHFHVKYKNLVSSII >CRE07832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:79701:80549:-1 gene:WBGene00067983 transcript:CRE07832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07832 MNWRTLSQCDNQMDTIIQNLINLDSQRQDRFFNFTTVWNLSLDELILADSVKYDQQSIDFQPDYEHWATVSHITSIDFMKRLEFVKKLPSYDLNSLIKSNHMQIFFLCNAMRSYCDNKGYVCYPGGIDFIPASLASIFPENPKILNKHNCSLIGKLAEVRITTEEFLLLSAILICNTVSSKLTVPSQNLVSQYQRMYSSTLLQYCLNTYQHCGPSRFTDLLSISHIINGTLESSCQIVLTLKYYQPKPQIKQLFIDIMSSMDELPF >CRE07831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:77521:78996:-1 gene:WBGene00067984 transcript:CRE07831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07831 MCIWRNSSYESEDFAPSILHKLAIIELPVHTLAAYIVLFKTPSRMSSVKGMMLLMHFCGAYLDLFISALSTQYFLLPAAAGHSQGLYTYFGIPVKWQAYLYISGLCLAGTSVLSFFENRFTAVVRGKRSSLLHEKRRLWYIVGNYIFAFVCILPITFTPPEQSVGKAYVRDILPCVPQEILDHPDFFVYATDVTLLSSIISFAAVTICGQCIYFFVRIILYLSSKKAKSQKTYNLQLHFFIALSIQIFTPVALIIGPICYIVFAFVASHFDQALNNIFLNIIAIHGLMSGIVMLSVHTPYREAILKMICCGHTPKESNKNSVHTDGTIVVLSGHRRSILIS >CRE27862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:122052:126474:-1 gene:WBGene00067985 transcript:CRE27862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-145 description:CRE-NHR-145 protein [Source:UniProtKB/TrEMBL;Acc:E3NDM1] MNPERQCEICAKPSNGMHFGAMTCRACAAFFRRAVVLKLQYTCKERNMCQLEGNGRLICRWCRFEKCKEIGMDPEKVVLDYDPTASQKVPTMSKMDSKILEEKSSEPEKSKEKVKVIKIDFSELVEKIEEIFETKKETSEGEEDDLGLSDSVYLNDLQNLTKGLQEFRAPIWSKGEIQQLGNADMKKVFIWLNVRIRKYATWFSHATYLMEKLPMDQKFQLYRTSWNVMRMFERIAMTWKHYGNEMFEGNFILVSDDTVMMVDKSLVHIEEISELTDDYFQKLFSPFLNKYIEEVARPLSELNLTEEEVVFCMVHILGFDVTDLAPETLEILHKFKEIISDQMHNYYMNSTNFKVYSHRIIKLMKLVNSMTTIARKKAKIKEVIWIFDIYKAEISDPYFFQMF >CRE07828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:63886:66606:-1 gene:WBGene00067986 transcript:CRE07828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-186 description:CRE-NHR-186 protein [Source:UniProtKB/TrEMBL;Acc:E3NEK1] MSGDSSPDSYNSDYLPVDLVVVAEESSSSGVISLMERCAVCGRPAFCYNYGVLSCNACKMFFRRVEVDRITYTCKYWNKCYDGHEFVDVRKNAPRCRSCRYQRCLDVGMKYIQPGKPELELELSSRIDEEITSVIGNLLFLDSRRRHKIFNYYTSEDTTLKEMVTRSKGSRMALKKEGQVIQIHEWSFLAIYASVEMFMSLDFMENIGSEDKIILLRNFALKSMLFSSAMRSLAANIDRVMTPDGKDVYPDVMYKMSMFSHAFLDGIRSRLVVRLMDLKVTSEEHVLLNMLFFCYPAHSLSSNAKKMVSARQRVYSSALLKYCLLTYQHSGPSRFTDLLSIIHVINKQAEDVNYLTTLFQLYMPGTEYRKLFVEVCHS >CRE07827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:58345:61598:-1 gene:WBGene00067987 transcript:CRE07827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-185 description:CRE-NHR-185 protein [Source:UniProtKB/TrEMBL;Acc:E3NEK0] MEYKPYAQMSQHLHLPIRCSVCNQQAYGMNYGVPSCNACKMFFRRVVILQPEYKCRGNDTCYIGINGSTNRPKCRACRFRRCLDVGMKYRCGDDDNEETTVMSTGQSHHPNILVTPVDTLCSMIRSLLHLDTRRYRSFERMESDEDPTIGEVLNRSVRGFAMDRMNEAPRSPLRSNQQILSQWSFFGVWTSIEFLNSLDFMSLLNPDDKQIILQSFAMNSYLLSSAFQSATQHSDRLLNPDGTELYPSGLSNMKEFSHGYVNRIQKLLVSKLGELHITSEEYILTTLILFCTPRLSGMSSYAQGIVTEQQRKYCNALMEYLKLTWKERAPQRFQEIISIGNVMAKSFEDVHYLVEMLKIFYPTSYNSKKLFVESLNK >CRE07826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:38960:41263:-1 gene:WBGene00067988 transcript:CRE07826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07826 MPRRQFQKSEEVIDVSEGEMSEFSSLIGFVGGPREPGCSYGFAQEDPAYEKAVSECHVIQEKKDFAEAVLLKCIDNKPLFPYMHFAQFRNVDYTHRVKQISDLARECSPTHGSLYGCYDEVYSIQKTASVGENRLPAHRHSGYIVIGFKLLEDAGKQGTLEKTWLQWSGAREIYKHSPRSWNLRRISLMRCPTHHKNGVAQRPFAYILMCEYGSILHPSNTIQALDICERLRVRNCGHIALYQVREFGKPAKE >CRE07814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:38171:38874:1 gene:WBGene00067989 transcript:CRE07814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07814 MSSSPKKEYPDIMEKIKKYKRIIGNRSLKIDLYEGWNIFDLPTNKELTDFLGTLSTSQIWDFERDVFTHGQDPKTYMKIHYMVESTAAIDLGEEIESKETVFHDKVNVMYMEGHDNPVTASTTSGFRDGYHPSRDNPDWAMMGKQVLGIPEDAEVEEEEGEPTQAVDEEPEEPEEPQSQPKESKNSQKKKKKAKHHKRK >CRE07823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:28211:28979:-1 gene:WBGene00067991 transcript:CRE07823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07823 MRFSLCCLAIIGCVNAQFSATAQTAIVKAHNDLRSAIAKGTYDAAGTIEPPAANMRKMKWDTTVAASAQKYANLCPDDHSGYPDLGENLYWSWSSSAPTSLDKFGVAASQSWEQEFQDYGWYSTTLDEDTFNSGIGHATQMAWAETNLIGCGVKNCGRDASSSNMYKVAVVCQYKSAGNMIDGDIYQPGDTCSFCSSGFKCETASGLCA >CRE07811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:24199:25948:1 gene:WBGene00067993 transcript:CRE07811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07811 MQFSWILITFLCLFLGTFGDVDLKLLVDSLQPDPKCVFNHTEVTSQTIKSFPKCATVYGIIVINSNTDLSMAQLKKSFKNMTSLVGGISVEGSNLTSLSFLTPDAEYDMFSFMCRYCENVIQYFIDFRFSDGFKIENNPYLTDISVLNSFVEFPDESFNNCNFKFSNNSKLDLEKFCDGYLFNNLMNLDTKRNLKDCGCQGDVLSLKQYQNCTSIYKGLKLYNISDLSDIFSLSSIQTIRGYIDIQNSNIQNLSFMPNLQFFKVYAEKEVVFNLQDNLQMTRLEIPVLEEIENSNIQTLALTTANFENLHPDFCLTHEEFKLLSLYVNFINLHAKLCPMPKDDAPCAFESMEKLHDYCEIVSGNMIIESGDEEYTSKLTSLKYLYGTLTIKNTVLENFSFLSKLSFIAYLDDALPVIQILSNKNLTNPKIGTRLINIFTKNFENRQAIIQDNHPDIWLATNQTCNLFGNTTDNAIIYRRSLNYTGGDCGEEINLDLSPGGGGGYGSVWTVIIIFSLSQFM >CRE07809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:16149:16766:1 gene:WBGene00067994 transcript:CRE07809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07809 MKLVFFCLTIIGVNSRFSANGKSAILKVHNHLRSTIAKGMYDAKGTIEPPAANMRKMKWDTVIAASAQKYANLCPDDHSNVSNRGENLFWSLTSFAPTNLDEFGVEASKSWEQEFQDYGWSSTTLDKDTFNSGIGHATQMAWAETNLIGCGVKNCGREKDDTYKVAVVCQYKSA >CRE07808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:14065:15305:1 gene:WBGene00067995 transcript:CRE07808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07808 MSRFKTKIFTTTQAYDDYELEVFEYDREDAEYFVRQASVVDIGFSVFGFFLNIFHFLILTRKSLRTQFIFQVFIIICLSDLILFSGTLIWNYLDYIVGSVCNKPRPYSHQIWRLVIMSSQSIMKNLSLLLVLLLSGLQIFSFELKFPIKDLIVFGIAGLCTAWQVWYFNHYTIVTARDCGVEPKLKFKSYELSIDVDFLADQKLIHDCMKIVPFFLYNISFVIQFVQLRKVIRKKSGENDQIIFVLMLTFSFLLSEYIDATVILFDHALLKPYYLIQEYFIRLRIVANTLTTMNCVTHCFICMLMSSDYMDTVRKCLRREKKEKINAQKNVPSVTLTIESSHGDVA >CRE07821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:11207:13597:-1 gene:WBGene00067997 transcript:CRE07821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07821 MVLWLCFWFVSAHLITTCSGKLDPDIQKVLDTYKELPECVFNFTQINSKTIKHLPKTCYKIYGVLKFNEKTDLSFAQLKEAFQNFTRIVGGIHFNNTNLTSLSIFTPSPKKGFFELYCGAYGFYVENNKYLRDGNLIANTRMFPYPGLNEDVDSCNVTVRNNPKLNMENLCDQEELAPWTDTQTVGNKKDCGCEGNKPSKSSLQSYQNCTSTFNGLKLIGRNVDPPNFQNIQFIRGNVDIQNSNIYNLSFLQNWDRWKVRANKTRVLNIQDCLQMTRFMMPVSKIKIENMIENGTLLANIEFVHPNFCVTVEEMIHLFELDIVFENIHAKFCEEMGDVGKLVVCMFTSMKDLPDNCDGILGNLKIESGDEEHFPKLRDLQYLFGSLVINNTQLKDLNELNNLQYIAALFGEFGRISDFSDEFDSTPVVQIIGNKELKHVTIPSLRSVTARDFNAKIENNHPDSRKIEEDGTCKLVGKFGLDERWYENTVWINDYECDEWIEYVDSGTRGTIVIFVTLMVLGNV >CRE14909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:355053:355322:-1 gene:WBGene00067998 transcript:CRE14909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14909 MLMNCAQLGDRRIEKAKKDSVGHRETILQMKTDLEFIFKKIRMFKTVLATKYPEIYKEVSTELTPKRGEDDE >CRE27958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1124:2835:4135:1 gene:WBGene00067999 transcript:CRE27958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27958 MAEVTGRNPLAIRKRVLEEFEKVQAQIATNPELWRKLSFEAHKELCTVLGANFIDYPEFEFWFSRFARGDFDLNYDKSFDPKTRSLTDLPLEIFKKIGENLEILDRLQLRIVCKDIRFQVDNWDPKVTKIFYCKGNNWRVCQTSRPELNWMGNFEQNRNNIFHPGFNRDPISFVMSVLKLPKLRLEELTIYEDDNWKKLIEELDESNRKLHVKKVFYPNGYDSSKIDLHFMIPGVLEEITLSNQTGREIYEIIESEQCQAAKMMYIDSTIATSSFPLQALYNCPRFTLKLGGRPADGLKSKFLKRLMEYGKVQECVLYVSKYRPEQSQILKYFNEPEATVPNFPSLRRYPIPETNEFYELEYVVEVDHYRRREEFVRLEKKQ >CRE14908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:349684:350732:-1 gene:WBGene00068000 transcript:CRE14908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14908 MQIEKPYDYAALMIRVSAGFVIAISLSLILLVVGNLIYTSFTERVNLNSKRHR >CRE14907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:347269:348726:-1 gene:WBGene00068001 transcript:CRE14907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkb-3 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3N1T8] MILKTVIFSSLLAVCYAANNDRSWTTEEGVKIEIIKKIGDSKCKIRSESGDQLEQFYKLSDKEGKVIGSNFGQKPYTFTLGKGEVIHGMDVAMEGMCVGEQRKVVIPPEQGFDDDGEEVEGKGDTLYYFVELKSIFRPKPGDKWITDDGVHIHITHEVEGCTEKATAGDTLHQQYTLYLEDGTFVDSSWSRNRPFIFKMATGQVIKGMDVAMEGMCQGERRKVVIPPELAYGEKGRPPAIPGNAFLHFDLQLEKLIRPGKEEL >CRE17766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1830:4190:7790:1 gene:WBGene00068003 transcript:CRE17766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gei-6 description:CRE-GEI-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NTK9] MEELTDDPEDITGTRIPVDKTDDLIQGPSTSQIDETSNESATSSGKISNPSEPLRCETCCEMAVLKVYKQKQLPNGGKIEDIFKNYCNKCEVHFGMCSKKVRGVYSYVTEEGRILTNAEKLEYFRSEKRKRSSSRPIEEKSPPPELKASSSEISTSSNGSSNGSSEEKDEGIEDIGDEAEEAPESPPESSGAQEGVVAEPQPKVRPPRKKAKKPLFGGKRPKTNWSSSKKKKTPSDSVAPVRRVTPPKKAEPKVKKEDVKKGRGRPPPKSQNSQKDIPSTSSASSSSSIFMQRPLLKPISTESSSCQTDLDMLLKSTYLLDAYNETMREGLGERISARLKDQPLILRKQYLLMLKTIQAQAKDIAQYRELEQKYKNAVEHLQAFGRATRMNFSDELRSLRADMVERKKEFQDHETEMMSMFLREKKKYDAYKEQMSQKYEDQQLEYSLLEAKYQHLEQENVYEKERAEYFLQQMDRAKSKIYSAEERANTSERKLKDRLFLANNERCTGCDARESIRTKLMGEYADMKVEVENAKAEKEEALKKAALFETAAMNLGKDCDAMKYESNTWKAYAERYKKDVQKLQQIIKEKEKELADKAAETPRSIATPKSVALTPIYPERITPPEDGELPATPPQGSATPTASKPVEKPVEKPLAQKVIEKKAPPPIASGFESWIPKEKLNAPPPEIPKAVSAFGVPIKTPQQKEAERPKLAPSTQPLPWETMAKTVKTSDSLAEALSRAQADAFSGNGNTPVQTSKSYLEEMNRMVNVRKRVGEEVEKSEFWVLNKV >CRE17767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1830:456:3878:-1 gene:WBGene00068004 transcript:CRE17767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17767 description:KRR1 small subunit processome component [Source:UniProtKB/TrEMBL;Acc:E3NTK8] MSKAAKPPRGEYEPDWADEPEEEKKTRKETAKMFGPQKDPEWWDINTFSKEDNPNGLLQESSFSSLFPKYREKYIKESWPLIEKALGEHFLKADLDLLEGTMCVRTTRKTWDPYIIMKAREVIKLLSRSVPYEQAIRVLEDEIYCEIIKISSMVRNKERFVKRRARLIGNDGATLKALELLTQCYVCVQGGTVCAVGPLSGLKQINQIVSDCMKNIHPIYNIKTMMIKRELSKNDELKDVNWDQYLPNYRKKVQSASTTKEAKKKKAYKMKPKGEYTPFPPAPVMSKIDKQIESGEYFIRENERKLNKKRAKLEASAVKTVEKQKQKLKVYQAKEEAPREKQTKKRPTDTPIDLEKLKKKAKLSKAAK >CRE14869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:330876:337038:1 gene:WBGene00068005 transcript:CRE14869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14869 MAKREMRKSLKWDIDNLFKSPETAEAEFQQAIKRRKLDDSTSSTPPRVRSDPRDALKPKLLLHLTRLGDGHRLDCENLANLIHYCIFGSKSIQKPRWATISQHRYLLQTLVVRVNVEDNFFATTNLKFLNEFFDRHWLELGADISDRRLFWNSLMNVRLDLKEQIKRKTDRMQKTFDLLGKFDRSHFVLTTEQMAERNFPFPGEEGIVATKMRYKKITHSSPLYSVDCEMCETTHANRELTRISLIDEKQNTILDTFVKPRGDITDYVTRYSGITAKMMEGVTTTLEDVQRAIQNLLPPDAILVGHSLEHDLSAMKMTHPFCLDVCHSLNYTNNVFENRNSLKSLTEMFLGEQIQTEYGHCSYEDAWAALRLAQLKIQEGIVFGVASYGWKYSQYREEQKLKNGDGEILKSTQNSTVAPIPCTGCLGPTVVGCTVVNCRCRFVAGPAQCVSCCVRNLVSEEGDFDWRETLEVDLEMERVTSPIENYVKKDKMKSVLMGFEAPEVLEKMPEMGRKIHLKLPSSFPTYSSFIDNVASEMLNDSAIFIEIDRKKVEPLPRSSPEDDEGEVVDNSEALNEILEKLVAATTKNAAIMMIFSSPSKNTLFKNIK >CRE14905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:325873:326881:-1 gene:WBGene00068006 transcript:CRE14905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-45 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LKF8] MAQSVPPGDIQTQPGTKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE14867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:323517:325216:1 gene:WBGene00068007 transcript:CRE14867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-9 MSNHFEGPLFERPLDMDGPNNLAISNNFNAVIKNKRSKVDHVDELSESFAQIFLSSDHSDVTLVLDDGSEFPTHRLILAVRSSFFKALLYNGFQETHQTRVALKETNSKAFEAVLQYMYTSKIDFSGVELEILLEYLSLAHRYDLGQLMTAISEYFKEILKTDNLCCILNAAYFFQFEDLIEFCMQFSDNRADQLLDDPSFTKLTGDSLKELLSRDSFYARELKIFMAVRTWTEKNPTQKKASKELLELVRLPLISQNDLLSSVRPTGLVDAEALLDAIEKQTQKPQEIKYRGCKENSKT >CRE14904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:319503:323193:-1 gene:WBGene00068008 transcript:CRE14904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hyls-1 description:CRE-HYLS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N1T1] MADFTTEKELEDIIHQMGQHIQSGVQLAKLKNEINKYLHEESYLSESDGGDDVLNESLFASLSIDPAARFLWRQREDLETGKMNIQSPIVSRKRQGKRYVYENLEFPDVNDSDGDDEKSAAPRENFEAKSLIDQAWRSIHRAYETCRGANEVVFSEKNFFLNRKMLSSIREVFNKLNWKFFKKSSDSAAKSLEMKEPKTEVTVENPEEQVMDKLSSDGGQPEDLTDEEVEDEEEIAESVSVGLTSSENPSDPKKSNFIIRDPSVRPVRSPKPGRVPYKFDPVTRYHLYKNEWERHPAPGELRRLSLRWKVREFMLRHDVPRLTANPEGKAIHEKQWSPQPYMD >CRE14903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:316555:319298:-1 gene:WBGene00068009 transcript:CRE14903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14903 MSIRKMQEKFMLALGQHNIPGLRWVLEGFNNYDIQRVKEVGADRAAAEWIVRCGGTIKFTQIGENFADYNALVKRTAQLDPRRAEDNVTLETIRAEDASVTGFGCRHFENLSAIKNVTFIRCKNFHDFGLEYMGQHVGGHLKNLHIEECRRITEFGLEHLKAFTALDKLTLRNLKGVHGKEKVEEKLRGALPKTTEIQCEL >CRE14866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:307590:314414:1 gene:WBGene00068010 transcript:CRE14866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14866 MINFFQTTIFFSDRQSLGFSSVCVCVCSSKERMEQLQCHVKQYAWGKYGEESEVARLFADGHDGFQIDNKKPYAELWMGTHPDGPAQLKKCSTRLSTYLAKHPSPLTNNNSAKNIHLPFIMKVMSIRTTLSLQVHPTKEQARELHENDPVNYPDRNHKPELAYALTRFELLCGFRPAREILKNLQTFPSFRLLFGGDTYTKPLEYCIMQMKNLDSVNQDSPEYNYSREYLEKCFRFMMTIDTTIVHELVSQLLKELDNGLRGAVEEVTVGVIRKMSIDFPNDIGIFSPLFLNHMILEPGQCCYYAAGELHAYLSGECVECVGCSNNTIRAAMTPKYIDRDALCKTLNYKMTEQSDYLVPEMKLTECVDMYAPDCKDFQLHRIRVGVDQSEEEMMMPTLDCASILVVTSGKGTIEEATTKNQLIGQYEVKRGDIFYIPPNHNIRYVGFGELQTKGIIAATCIPLMLRTTRRLPNIQLRNGSRSRHEAVV >CRE14865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:301704:303825:1 gene:WBGene00068011 transcript:CRE14865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-32 description:CRE-SRSX-32 protein [Source:UniProtKB/TrEMBL;Acc:E3N1S8] MVAEVNQPLVPITLFYLFCAIVSCTGNSIMIILFIKERNFHSPCHYMITFCCLADLMHLCGHFVFNYHVFADVTDSQANCYWMLFITSIGKCMANPLRLMTGIDRLIACKSPVIYRALLERPILYVMAQLVLPSTYTTFLMVSGFIQRDPKTQIYCTVPLAFAGPTFSQFNTSGIFVNIAIVIVYFFTYLQLRSYAGASQMKVVFRSILWTVILVIIGWSSVTIANQFAIFAKDAATRKLISIYAGIGVNLACASNVFVFYTINTEYKHSIRRLFGLSSAQTIRLTSMGPKSTTKVIITTT >CRE14864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:296539:300388:1 gene:WBGene00068012 transcript:CRE14864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14864 MLVVAKKISNFLQFRPILILFFWSLASFTFFLMTLSFELMHIQVMTDNNETNTIPVTKESSGIWQDPQILLPFILIPLTCLTIFSRSIPVIISTAISTFLSICILSFLSRLNHYFDPDPMYIVVILHVTTANSIFLRRCWDKYRRGPCSSTIVRLLLATVDKSLPMLLTTSTCASIIPLVSIIVSPAKTIIPSVTLCLLNLLQNVCTMTVILSVLLIRNTYNWCIPSIRLSCVRGIQAFFEEKLVYFAHLMCQIGQKMLSSPVKIPFVFTYLVVIIGFVIMNLIRLINFMNVSIDKGTVECSFFRCYESITLTLSLFIVPPVFFCTINKIQVNTIIAFIGFSFCLVVFELLREFVFESVRVEPIQHVVLSIIPADGALRFCNMYSMSRKKNHAAKVLDTVDTLFSQVTVSSICSTSALIYLFYYLNNSYLILLVLILLVINWVTVIFFYPSAIAMIGSMACVGKRRGSQISETRSMNTDRFSSHLLVDNPDYTKKYSTSNYYGPAMQKRSYNVLVTDSRRASMPVSVQQIAISSATGPILKKRSWANGACFSVDGVITMTPREALKLMRKEDSITSDNILIKELALI >CRE14863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:289360:292810:1 gene:WBGene00068015 transcript:CRE14863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14863 MYSDGFDDWMRNHIRMMNEMMRMQNAQIQALQQLISSSSSYRKTPTYHYSSSSAQIRPTEIRYQNPKTVDGEARSPRGTFFDHLFFLFVLLPDSGNRHHNND >CRE14861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:280085:284601:1 gene:WBGene00068016 transcript:CRE14861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfk-2 MHQKVSNYKSEELGLIYLANISSQASNYFPTSQFSYFTSFPGMNAAIRAVVRETLRQGHRCYLIREGYTGLITGNIEQASWGHVANVTHLGGSMIGTSRCDEFRITEGRKKAAEKMFEKRIFHLIVIGGDGSLMGAQKLKEEWGRFGEELFAEGKITEEVANEGRELHLAGIVGSIDNDCIESDKSIGSDTALHRICEAIDGLVMTAQSHQRVFVVEVMGRHCGYLALTAAIAVEADYVFYPEIPPDDNWPEQLCNQLESVRKYGKRQNVIILGEGVTNSKGIKIGGKQIKEEIETRLQLEVRIATLGHLQRGGAPTFLDRLLGLRMGYEAVQEVLKTTEDPGSQIVGQKTVAKVMCLRGHNIERNELSRVIRQSESANDETIQRNSDLACRLRGFGFLDKQTYLNFVSAPLTTIMPSKSKVFAVIHIGSPCAGMNAATFSFVRMANHSGIQVLGIKNGWDGLKNGDVKMLTWENVQGWAQAGGSMLGAKRQLPSDMDQIAEGLNTYNVDGLLIIGGFMAFQSALIFQKLRGEYTCLSIPIVVIPATISNNCPGSSFRVMIIETMGSRSGFLATMTALATGSQFSLIRQVETNEMDLQKLAVETKHRLDTGSLEKFLLIRSEGASDHIHAANVRKIFEKVMDNKYGVRITNLGYSQLGGHPSCFDRQMGIRMGVRAFEAVVNPAKMGKRDCCVIGLRGSSLRYVPVQGLENKVCFDHGIPLHMWWLELHPLVEAMTSEFFDRITVTARTKL >CRE14860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:261706:279265:1 gene:WBGene00068017 transcript:CRE14860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-11 description:CRE-TWK-11 protein [Source:UniProtKB/TrEMBL;Acc:E3N1S3] MSLLHNRSSGSNTSYSVAFRKNREREQQAIEIDPPDDVEYDVEAGFNSSTCHLMHNFEEERPTSLVASIRESAKSSKRKINYYCSFVCTPIKNLLLTFKLLIIIGLYSFIGAHIFMYLEVPTDLEAKENGFHQRKIAREVMVLNLRAIYYDNRDDREERWKHAILKFEEDMGLEEPVVETVWTFWMSFLYAGTIFTTIGYGNIACKTQAGQIATMVYAFVGIPIMLVMLTSLNNFLLKWIKIVTNGFSDILLYVGVRLGVTVIRQDEVQKRLRYTKFAKKMKEWKLSRHAAPSSIAISSSEENRLDICPDDEDEEEMELDPPVLSTLFATVSWIMLSAAVFCLFEDWTFFTSFYFCFISLTTIGLGDVTPANPEYMIATFGVVIVGLSMLTVCIDVIKEKLAQMYMALLQKLLREYMEAVKSGDPNAASAMMAGFQGRAKFLMPLISKNEGAKVMDKFKQDCSKKGIDPPPVLTNINPETGMPAFANAPKEDFRDYIDVVSDLGLIIWTWPVRAANKLAAEQRINMQLVQGQVDRALFVAEKLNHLEEELFTKPVDLDREVSDRGFRNREVIGVGSGDEHRVIPPTAATTTRTRSLSPNSGTNTQHPYTPVVARHQVPSFLMASHSNDLLQGVESEHESQEDVNRTFPTGLKEEARDDAESILAESPDTTFDDNVQEMKEMLVDTNHRQRSKALSRSSKKVTGESAELFFHRISELARQSYSGNTTSKKEVAPEKFLIDLNPDSKSLVWLRTQATPEEAHSDAVSVDSSSTSGDQTSQADPPSAMAEERFAEEQQKLTQTPLSKSTDFLQSPTPRPTVSAAVTQTSSPPPTVSLEVQAGTTMGKFHDYGSQTQQESSDEGIQTDYSMDFDDEKRKEPEKVMADAGTQYEIVLLTSIGIQPEVSSIYIGADQSDHSDEESAFHDSDDDSEYLSSESEEERTPKYKETTLPFEVDDPGTLALGLQSPPIEITPFSDDESVPPNEGSPVPTSSKPTTKNLKPAKVSKSASMDSTDTVIHVKPDDRKEANKDTEDKEKQELSKEEKRERRKKRKRMRSKKTREHELRFLKNSGNQTSPVLMDCSTQYEIFTIDSGSQYDVKGRSRKCQTKLSSINHEQLQGIRETVQKLRRRESEMSKVSNVSESDWDSGREDVAEISLDLSVIALGVDEAGRVVEVPKAALPQESLSPSSETGTGTGASESSPSAEKVHLDSEDEEIESLEELELYTTDTGIQTETKVMVDSQDFATPKALEIIKNCSTQTTFSTFHLPQKVYESASTSSDEDVSNDNNEEDRDKKTEQEVFFMTNTGVQTMHTSFHLEEKPKTEEQLQTQTQVESETETETETAPDEMPLFLKNSDCQTENLGMVECSTSTEDVRFVGDAETSEGFSQTVIETEDLQVQTIVRDCKFENLLSSDSQLFSDLETTMQTEEPDLITDACQTDPSEGMEVHVQTEAPETRSFICQTELSSSLEAEVQAAATVETMPIECQTESVDHGEVEVQTELETSASESQTEGVVVDDLEMQTDIIESVTSVCQTEKVVVDDIEMQTDQIDMEASESQTERISVDEVEMQTDEKDTLASESQTEAPPTVEMQQTQTDDTTINTLPSVDQITQSEIAEVKDSTTQYTLSLEDRDVQATPISFETQTQHSIEIEVKSCQTEGVRMKNKKSQVEDDSPLAILKIDNESQIEVDRESINLSPIRLDVSHSFTMTEPGASEEKEVQVDEDCFEPEETSQRFYAGPLWSDFDYTPALGDDEEEVMDGETQTQDGSDEHVEEDDDGEDDEGAPEVQGEAPEAHESVSGETGAETTAKSKKTKKRRVVKKSGSGSRSSEDLLGSSGTKRSSDSLAGSSSELGSPPDPADEFAVQCSPESSDIKTQTVKFVKKKKRTEQSAEPKVVEMSTSTVQATTEMCEQSAGDMEDDWLQVYVQELDEERRMRMSDIGIQTGVLARVQHIYTRPISDDQGATSSATAPITLRKSSDSSDHISIRTAKSSEESLAPSASGTAISAAESMDDRLWPERPSPFASRHSSLKRRKKEPDAEKKGDKTNIVQDLRARFEMKSPAPPKSPPKDEGEGSSSSDPKQFF >CRE14902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:246180:249120:-1 gene:WBGene00068020 transcript:CRE14902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14902 MAFFEHPWAYIYCSFHNPRFFSFKFLQHYIISCRMTEPEVLCGYTEEVSMARFVYISIGGGVACIGCICNLILLYLFTFRQLANSPPQLYPAILAFLDTLLCFFFLMIFVVDVNMIYNKSEYLFLLFHRYIIMTFCTAKLVQFLIPYLLMLGTLERYTWIDNKHNKMALLQPKYRPFTLGGLLLGAIMLRVPSAMALTVTQFPKCADFFRTLAVDVEEWAQESTLYMVYDVYGIACLQTFCPFLCLTVLNFVIVSKLAKIDAAQCPTKEMKGSPTKRKRSRVLSSLRISKLQITSTVRNAIYTMVAIVSTYLISNSLHILLTLLEVTKSSVLVDEHDPYKASLLYTLLGDAVSLLYMVSSAVRILIYTYCNPAIRYQLFSFLGLRYDRKDSDQSKIIIASPLLIEGQLSNSV >CRE14858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:240772:241360:1 gene:WBGene00068021 transcript:CRE14858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14858 MRTLPILLLAIVGAVYCWPIPNDEGLGVDGGQPSESDVAEMEVMDRVKRASDRKLERQLDKVMKKVEKIQDKIEENKNKRDKKNKNKNKKDDDDDEEEDEKDKKKKDKKKNDDDEEKKKDKKKKDDDSEEEDKKKDKKRGKKQSDESEDSDEKDKKKKNKRRD >CRE14901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:227239:230499:-1 gene:WBGene00068022 transcript:CRE14901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14901 MSFYDTLIHDNFEDELWNPNITALQLRHRQVDIAELSSEAETEAEEMIQCLNSQKLLAIASFPLFIVGLALTGISVFVYTRPYQLKTTVGYLLAFLSIFEFLFLLFSMQAFSLSYIPTLNCERIDKYPKFKAYSELYIYPLTHVTKYMCVCISIMISIQQWVSVFLPAKVKIICTMKRTKRLLIFSFIFSVFLSIPRFLERKMNPHNNWPSENNTYKDNPILQAINFFDDNIFNAFIIFFVLLMINLSIIALLKWAEYGRMKMTTQTVKDRKTSIMLLAVLLAYVLTHSPSMIIEYFDKTLVNGLHKDISILRKEISNFFMCCHPLFSFADYLIFSEKYRNTVKSMFTGNSRRNSSNNPVPV >CRE14852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:217129:222760:1 gene:WBGene00068023 transcript:CRE14852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mod-1 description:CRE-MOD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N1R2] MKYIPEITLLLLLLLFVHSSQAKGKRRKCPEGAWSEGKIMNTIMSNYTKMLPDAEDSVQVNIEIHVQDMGSLNEISSDFEIDILFTQLWHDSALSFAHLPACKRNITMETRLLPKIWSPNTCMINSKKTTVHASPSENVMVILYENICYPLNVNSKNGTVWINHRLSVKSPCNLDLRQFPFDVQTCILIFESYSHNSEEVELHWMEEAVTLMKPIQLPDFDMVHYSTKKETLLYPNGYWDQLQVTFTFKRRYGFYIIQAYVPTYLTIIVSWVSFCMEPKALPARTTVGISSLLALTFQFGNILKNLPRVSYVKAMDVWMLGCISFVFGTMVELAFVCYISRCQNSVRNAERRRERMRNSQVWANGSCRQRSNGYANGGSIISHYHPTSNGNGNNNRQDTPLVTGRGSLHRNGPPSPLNLQMTTFDSEIPLTFDQLPVSMESDRPLIDEMRSGSPPPPSGCLARFHPEAVDKFSIVAFPLAFTMFNVSFVTIKKLKFLEFQLVYWWYYLSQTFDQNYQ >CRE14899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:201096:203741:-1 gene:WBGene00068025 transcript:CRE14899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-47 description:CRE-TTR-47 protein [Source:UniProtKB/TrEMBL;Acc:E3N1R1] MKLLVVLCLLSVVVTAAIVGKKAVGAKGTLLCGKDPAQNVRVRLFRVKPGKKDDIAQMLDEKYTGPQGMFHVEGNTNGFPLNETDLQPVISFYHHCDDDPKKLEKTAFRRFNFNLPNNSVNTGEKAKKTYDMGTLNIQLEFPNEKREKTIVDKAA >CRE14851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:193793:200786:1 gene:WBGene00068026 transcript:CRE14851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14851 MITRVGDVESPRRGSPSEVFSSDINHIHMNRKEEKKGCSKWTTIAFGVLAILFLLSTIALAIVVGIKYSEDDKPTEKIIQRDENGKVQFDWPTPSGSLFAHYKKAAVTSDHGLCSEIGRDILIEGGNAVDAMIASLLCIGTVNPQSSGIGGGFVMTLYNASTGMCQTINARETAPAAASETMFVANPKESVMGYKSIATPSELHGFWTVFNMYGSGKVTWARLFQPSITLAHNGFPVSSNLAMVLADKEKYIREEATMKDIFVNPKTNRVYEEGDILKRQRLASTYALLANATDPVELFYKGGMAQTIAGEITDNGGFVNESDLASYETLIDDAPLLSTHLPGDYEMCGPPPPSSFVITQSIIQVMAQFYKDGQVDLDDPLVYHRLIEAEKFAYAQRTKLGDIKFVESARALVDDMMTEEHTKKIAALIKDTAQPLDYYGGEKKDMAPDHGTSHVSIIDAHGNAVSCTSTVNQILGSMRASPTLGIVWNDQMDDFSTPGLKNSFGYAPSETNFIQPGKRPMSSMSPMIIYNKNENRVEMVAGASGGSYIISSTAQTVIRTLLFNQTVKAAIDAPRFHNQYLPEATETEEAMPKALRDVLVEQYKQNFTVVPRQKSVVQGLISLEGFVEGNSDFRRKTATYPAGY >CRE14898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:186069:188145:-1 gene:WBGene00068027 transcript:CRE14898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14898 MEIRRLFGSLPVYINQCSYNLLRVYFHTIRDGYRSALFLPADKEIKPEDGLVSHSQFLFAADENCVIVHASQSTLDVRMEMKMKKKWPLCKIVKVNTQATPDENNQIEVVRAKLKMTFDRQSFRRDKSLVDLDTLMRVFLNTRYIEALYLDEFVSVEELFQFSEQKDFAKLFPVCQLTMVVPRPKNKSDETKFWNFILYFVVDSPLVLVSSRAINHNKIDLVFYNPVYESCNFKHFSIPFNKDGVKF >CRE14897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:179322:182551:-1 gene:WBGene00068028 transcript:CRE14897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-23 description:CRE-UNC-23 protein [Source:UniProtKB/TrEMBL;Acc:E3N1Q8] MPVVNIPIKILGQTHSHSRSNSSSSVDNDRQQAPPPQQVPQPSQQVPTVNTSNMHNNGFSSSFPSRSPIPDFPSPFSSGFPNDPEWSNFPSFPNFSSGFSNNHSNFPEFSRFGRDGGLSPNPHQMSGRFTRSPTPQSPNGTMRRPPSSQQTQNAPPHPAQQQYQPRQTTPPSTTTKPTSRPPSRTREPKENEVPERPAVIPLPYEGKLERNGSRDSGKSDENNIEANIAKITLGKNNYELCQERETDGDPSPLTSPITEGKPKKGKKLQRNQSVVDFNAKTIVTLDKIEIQVEQLRKKAAELEMEKEQILRSLCEISVHNCMFKLEECDREEIEAITDRLTKRTKTVQVVVETPRNKEQKKALEDASAMIQDVGGLIQQDIEKAKLCLQTYLNACSYEETVGAACQNFMKIIIECAADDQKRIKRRLENLMSQIENAEKTKADLMDEESE >CRE14850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:173943:178689:1 gene:WBGene00068030 transcript:CRE14850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14850 MPTRLLSLSLAILFLAGSIFAQLNDGDASFGDAPHSHRRLYGIRRLRMLRPIPQTIEHSPTHEFVDRRRQPTHFSAEDSEQDQVFVPRAVAPPRASRPAVTSAATESAETSAESVPTTYIVQTPTKFGKSLDDARRKRIEARWKRLGINFKTINHPLLNGEGSDLPPHSAHHQYRIRQLNAPKKTEKMVEVERPAIEQPILHVVNPLDQGIVPSEPQPQPIPAELPISGNYHSRTKPILKGVKFVQEKLSVRPRLRIRRPGWCFFFIFYFAFNNNKNYRISDLFTPLIFIRPNRFFVGEKAELPMPEDPNLTPFMNNARAKFGYGTTTLAPATTTIYIPPTTASRRPTTTTTTTEAPVEASFGISGGDSGIIETGDSGLTGNGDSGITGDSGFGGDSGAALGPPGESGAGFGFGPSELPPEFQNVGFGVGGGDNGFNFNVPADKLETTTTPAPATTTTKKPAPKKPKHVQRYPIETPSQKLLNFFRKQPNPAVDKDESVVIPQNSGLRPVSPPKEFSGAGGFGSFGGGGGGGPGGGFGGGGGGFGGGGAGFGSGSGLGGGAAPAPPAPSGPDPDFGGDTGAGPSENEYFTGDSALTRSKGPTGDGYGPPVFPGGAAPPPVPAVGLGGAAAGKSPYSGQAVDQVENSVTTVKPSALLSVLSKADTGFNQVIDHFENGTPPEAAFIDILEVALGSQKLDSQAKLLGHVDRTIGLDNLQRLQRWANTAGAMDVFKDQVSFLKFAKNFQPPPDLLPTVPPQLEYLFKTSGK >CRE14896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:152866:162037:-1 gene:WBGene00068031 transcript:CRE14896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gck-1 description:CRE-GCK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N1Q5] MFVELSYFQFPRNRRHSLFTRVITPDASRLRNFYGIILSFLSISVFPLRFPSSPIVFVVFFFSYSHYQSLFRFYMEDHRFTDTILRTTNVQSAIQRFEKRSPAPPPPFREPVEPPLLNSLDAPLYVNTNIVYPVEENSFFPQITPPREHVTYGATNEGFMDPPMENMTLNRFETGFKPSNFGKTIQGIYNSTELPQQQSPVPPSLAVNLNVMSPEMSPPKPAERRKFTAKPIEDNSKLDPEVIFTKQERIGRGSFGEVYKGIDNRSGRVVAIKIIDLEQAEDEIEDIQQEIQVLSQCDSQYVTKYFGSFLKGSKLWIIMEYLGGGSALDLTKSGKLDESHIAVILREILKGLEYLHSERKIHRDIKAANVLVSEHGDVKVADFGVAGQLTETVKKRITFVGSPFWMAPELIKQSSYDYKADIWSLGITAIELANGEPPHSDLHPMRVLFLIPKNPPPVLQGSQWSKPFKEFVELCLNKDPENRPSASTLLKHQFIKRAKKNSILVDLIERAAEYRSRTGVSSDSDLDEDSDGGGGTSKWDYPTVRGPRGAVVAANDDDGTVRQRTDRPRPPVGRRSPSGSPGGTIVRGNPQVAAVADQLRNASISSSGYGSGGNNSSSAYTSSMAPQAHTASSGGATTITLGSPNTSPTATLARTQSMVSPNGQRAGSANSWDLERGNRPSSERLTTQTSPSKYQQNRGSNGVQGGSGGRREYTNGGGLNGHQNPMEYSDRGGRGGSGGRTDYPRDSHVPTSSQENLLHGRMYGYGAPPPPPSREAQRVKGALDCSLLPAIEHLSRTRHATAALDQLRHVFREAEDSCPGICNELIEELMKRIAVPQVSQSDLEAAIRRLTTPPS >CRE14895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:143552:150992:-1 gene:WBGene00068033 transcript:CRE14895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14895 MQRKWFAWLFTLLILHNDCVLAAYHWRLSEDGKRIEAVNDSPYNLRMSGSLTDFLKQVENVRVITQHVDEMQGMLKKISKHENLDNPKFEEDFRQQEEACVKSRGIKLEPDSFHTSFTAELCPEFHESYQKYTAFVKEYFGSPPDNDNRPPRCNYWFEAVKMKGQNNVDLGKSLNDKVGSEDEMYLKVLDMYFPDFAKFTRGSEGMSRYGNMLSSMLSHDDERVSRNAYLHMAAAAYWRAQGKISYALTCYATGIHFVNDETRAPQIEAFHPAHAEQTIRLSIATLLNRAGYSVEAYIILKQLTPANMVSCYLGMMYAATADSSVLTGPETGTDPETDKATIHFKLTQKERNGIFSKTFHNYAKAASQFKDAKREQKQADGELLDQFFDLGESKAYVISCHMRLYEALEQQKENLENLVSEKTNFQKSYNKRVDMNTKVVALIAPAETRANQRLFFDQVKYGPHPKRKCQRVQINRFPATNNKLNVASTFACHLTCTPEEYETSMAPMREKTLRNRPPLNETSWKVAEQYPRNRVFEKTLQDISRESQREGYFRMIKPRFSDVELDENIDRYWRRADWPNSLDCQAVVSSSNPFRINTFPQVFITPENRGWIISDLLTTHLGMSATDSLPLPWFEPRCDFVKMDDLRVFTGFEAIERLLNPRFDRRSDEYAEKTLKTQFVRLADRVVEEHEMAGRIHLLMKHSIGPKWVSMNLAGLYWRVQGNPHQATKCLLGAFLDKPEESFIAIVQLTQVILKSTKMINDANGFLSQQLNFLGYKEPLFHYVQGRMKLLQHDVDKAIQHFKDAMDKDPDHAVIEEDLLKIACSGKSTKPAISSQFPTVCCSQQVQNAVCIRPRRNMEEQCYVVEKSHVPNAQPHLVYHRCNGVYDGFSKKTADYANIVSPFLLIFNFITPRNDVTNWVNQVDGIQTVESSEMPLDYGGWSNFFTNRPAEWWDSATNEMKYILSTKKEKEANHWEESWSSDLAPIPEKPLSFLWIREKSMMRQYDAKLPTELPKPSIHQIRRGVSIFPPPRVATMSCNGVAKPEAIFENAPSTWVSLTAKGEDIEKYVDLRGPMPAMASLQPVCPTMDKYKNSPILGLDHIPAFALSDQFLFYQPEKALSEALKSLGNERDTIEHVAARLHAAMLHSNAKDGHVSWVLCVLSSLYWRVTGNAENAMGCLRCALHTAPPHMRDVALVSLANICHQAGLLNSALISAGAALSASPRLVAIHFTLGNIYASIGDYQRALNFYYSTLSLQSNFQPAKDRIRTIYCHASQEFEF >CRE14848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:141027:143320:1 gene:WBGene00068034 transcript:CRE14848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-44 description:CRE-NHR-44 protein [Source:UniProtKB/TrEMBL;Acc:E3N1Y7] MMSSISSPSTSTSASSSTVSTPKLQKCLVCFQPAHGNHFGVDCCRACAAFFRRVFVTHKQTFKCREGDKKCTPDELGRWLCKRCRTDRCFALGMKPDNIQRDRDRFVCSEQFHEDRKRKSAELIFPLTVDRFVKNSNPTVRTYTFGSGKNHQHITFFDLSQIISDAEYILRKTPKLDKSLKSKSSLEKLAFGLREVRKSQIMESIPEMRKIGKSETWNHWVNQMRRAGEWISHFEEFRELEHENKVRIIRMIILKSMWHLFARMERISMTAEMRRQKLCEDNEFVHGTDHRINYDKLEIERKWFSEASDQEVRYYIGPFQLFFGETIIHSLMELCPSDVEVTFMLCNLCFHLTGQKLGGRIQEITDRLQDVLADDLHKYYLENDKYSRYSHRLTKLLNLNRQYQTDMEIRRKSFFLADTFNVFRVKLSHPEMFLFSC >CRE01447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig680:13493:15434:1 gene:WBGene00068035 transcript:CRE01447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01447 MNPSTSSSSLSSLGASSGTNTPDMGKCQICFQSAHGKHFGVDSCRACAAFFRRVFVTHKQHFKCRDGNKKCLPDSYGRWNCKRCRTDRCFALGMKPDNIQYNRDHFFCSEEFSNQAARKCNRIPRSLPLPEPRPFIDISPLLETLQKMLSDRSFKDYDRKCLNPLQKLAYGLREVRKSQIWDNIPITECIGKNESLTFWKSEVERSCTWLSYFDEFQKLSIEDKTHISKCMWIIFTRLERSAMTAELRRASKCGSSDYAFTTNSVINTKTLKWDFNWLTHFPSDQMQNFLGTTPMILCEPLTNCMAEVQPTEEELCYILCDLCFYFLGSKLGGAMQETMERFQGILADNLHQYYVEHDKTSRYSHRLGQILKISQQYKSIMEEKRKIRVLGEIFNAFRAEWSHTDLFIYERFD >CRE01445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig680:5554:6901:1 gene:WBGene00068036 transcript:CRE01445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-84 description:CRE-STR-84 protein [Source:UniProtKB/TrEMBL;Acc:E3NMP4] MSSQFLLNMALHTSGIGFFVSLISEILLLVLIVYKSRESFGHYKYLMIVYNVVLIMYSIATSNANLAAHSTETSYVLFRMYNGPNRTVGPLFILQFCTMYVTMLIILSVHFIYRYVAIFYHRYIWIFNKFYLGLWVLGSFTLGMLLISLKYFFLGEFPHFTEQLREEFLTNYNLTMDQVLYNGPIYYICDEKDVCTKPIGVWLTMLALCSCFVICLAVMGYFGTRCYYRLYQMKSELSEHTRKMQKQLLFALVIQAGIPIVIMYTPTALLLVSPIVGVSFGAYSNIAVSMVAVYPPLDQLAIIWIIRDYRNAIKRE >CRE14842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:127234:128466:1 gene:WBGene00068037 transcript:CRE14842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14842 MSARWMLDLTFRSEKLGIFIATFSNIILLGMLTFKATNSYGAYRHLMFAYTIIEMIYSVLSFMAGMVAHSTDKAFVVFSLYYGYVKRSIAPLFLVDFCGIYFTLLLLLVVHFIYRFFVVCDFKKLEYFKGYYLFFWVFGSVICGFSNALLKFFMFPQNERLSNELSNDFMTYYNLTMDQVVYNGPNYYSCDKSGKCERPLGDWITMIYLSSGLVSSLFIMCYCGYRSTTQLNKRHPNGSVRTNELQKQLMTALIIQSVIPIVFMYIPIILLFVTPMFRVGFGPYVNIAMATLAIYPPIDQFAIIYVIKDFRTAVKGGHPKVSYLQLRDFRFLQL >CRE14893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:120655:122066:-1 gene:WBGene00068038 transcript:CRE14893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14893 MACGCAVQLVCWICLFFCVFLFVGFIMTVWEATTSNSDFCSRAQLLTGVECAKKTRRLEDSLMKINKTTRFLRPPSEYKEVVADCEKAESCFTKITCEDGHDFVADVLDTFPACKFYRYYTGDFMECAEKLMSLAPNSTCLNALFNAKHVIRFNRCKQWLNIQPCIYDAILNECNFDGNSTQLVTDYAETARDFYRSMNCEPKPQRIDTNDIDYEIIGLVGQ >CRE14892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:116981:118368:-1 gene:WBGene00068039 transcript:CRE14892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14892 MKRSTKLCIILNLSCCLCISLIVGSVVAIYMFGLQSKMPDPGFCTRKHAAIAMECAKKDDELGAAAASLNHTSILLRRPEDYEPLGGLCFVTLQCAREIKCRAIRRILNDISICGFIYYYTKEFSECADRLYERRNDVPCLGEIFNENNRTPKEACQKWKSINPCVKEAIRNECDDKLGILQFKWEQKSQKANSIYCEEDHRITLGSEEAPDN >CRE14891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:112874:115777:-1 gene:WBGene00068040 transcript:CRE14891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14891 MSDNYLETDLKIPQFLIHHYYISGCICIAMNTFAIYLLTFRKGRLDTFRFYLLAFQLICFSSDFHLSFLMQLIPFFPYIVGGFAVGILPSNSILTPHYCMTILSFLVGFQINTLTICFLRKHRAITRISGKYALSKKVYNCIAISCLLIPFTYSILFHLTGKSKEEQFQIIDIKYPLFRTKFEKLSSFEIYEFDIMMKTFSAMVSFGCVHSVSTVSVLVFQMYHALILCSLSLSKSTLEKHKSSLKSLIGQFLTTPIAILPSVMIVLTIVVPFEGAQVFTWYLLMIMTTHSTINCLVVIFTFPEFRAFVLFWSKEGRRLRQSRLISRSTSLVGTRTFVLKSLMSQNYLETDLEIPQFLIHHYYISGSFCIAMNFFVFYLLIFRKGRLDNFRFYLLAFQIICFSCDFHLSILMQLVPFFPYIVGGFAVGLLPTFSILTPHYCMTILSFLVGFQTNMLTICFLRKHRAISKIGGKYKLSHRVYNFLVFFCLLIPFTHSVPFHLTGKTKEEQFQIIDQKYPLYRAKFEKLSNFEIYEFDVMMKIFSIMGSFGCVHSATTVSILVFQMYRTLILYSTSLSKATLEKHKSSLKSLIAQSKKCQFLTTPIAIFPAVVIVLTMVFQFEGAQVLTWYMLMMMTMHSTINSMVVIYTVPEFRAFVFFWSMEGKNLRKSKLASRSISLMGNRSTRSTNVMF >CRE14890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:110508:111788:-1 gene:WBGene00068041 transcript:CRE14890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14890 MTDEILLDVDFETPQFLVHHYYIAGSISIMINTFVFYLLLFHKGKMDSFRYYMLAFQIACSLVDIHLTFLMQPVTLFPLPSGYCIGALVKLFDASPHFLMAIIELLVGYQVNVLNLCFLRKHKAITKIINKYVLPENVYNAIVFFFMTYTFSYVIPFSLAHLTKEEEYHIIDTSYPKLRHKFEELPNFAMYEFKFIAFQLFLIMIVAGCIQSTITVSVLTFQMYQVLMQCKLSLSKSTWEKHKTALKSLVLQFMTTPIAILPSMLLMSTIYVPFKGAQVFTCYMLMIITTHSTINCLIVIFTIPQFRSIVLMWIEAGKKLKRIRMESRSVSFVGTRVAPNSFRNAMS >CRE14889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:104166:105481:-1 gene:WBGene00068042 transcript:CRE14889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14889 MSEISENYRNTDLEIPQYLIHHYLTSGSISILMNSFVCYLLIFRKGRLDTFRFYLLAFQVSSSIIIYFQLNFQIICFICDFHLSFLMQLVPFFPYVIGGFAVGLLPTFSILSPHYCMTILSFLVGCQVNMLTICFLRKHQIISNISGKYKLSTKAHNFIAMLCLLIPITYSIPFHLTGKTRNEQFKIIEMNYPLFHEKFLQLTNFAIYEFDTMMKTFATIVLIGCVQSVNTVFLLTFQMYKALVICSSSLSKETLEKHKSSLRSLIGQFLVTPIAVLPAMLIVSTIVFPFDGAQVFTWFMLIIMTTHSTINCLVVIFTIPKFRKIILFWTKEGRKLRRIRMESRTMSFMGNRMTRNSFRNSMS >CRE14888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:100525:103523:-1 gene:WBGene00068043 transcript:CRE14888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14888 MTDEIFLDVDFETPHFLVHHYYISGFISIIINTFVFYLLLFHKGKMDSFRYYMLAFQLTCSLCDIHLTFLMQPVTLFPMTSGYCTGVLIKLIDVTPHFLMTILALLVGYQVNVLNLCFLRKHHAITKISNKYVLTEKVYNAIVFFFMTYTLTYAIPFYLAHLTKEEEYRIIERNYPKLRQKFERLSNFDIFEFNIMIKLFVAMIMAGCIQSTITVSVLVFQMYQVLMQCKLNLSKSTLEKHKSALKSLVGQFMTTPIAILPAMLIVSTLFFPFKGAQVFTWYMLMSMSTHSTINCLVVIFTVPEFRAFVLFWTTAGKHQRHRKSVSFVSNSVGSTRTIRVSPRSSVLYYLLAYQVEILKIYQCSFIFIKLFCAIGDIHLSVLMQPIGLFPITAGYSNGLLGQFLSVPVDIQMTLLSLLASMQVNMLNICFIKKYKTISHMSSRFELSVIAYRISVTVLGSYPLLFSGSFFMSGISKEEQFVVIRKEYPFFESQFLSLKDFQLYIMNFWMSVYFFLAMFGCIQSGATIGISVLQMVRTLHEVKSLISKATWKKHRVAVRNLVLQFQSSLVGIVPAVSIAFVVVIPTRYSQVLSYYFTMIVAIHSTCNAIVMMITYPELRKHAMFWKKPEISTISVSVTQNSSQRVNRSMT >CRE14886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:96728:98451:-1 gene:WBGene00068044 transcript:CRE14886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14886 MFELVPKTILGFILLVTSTIGLFLNITMVSPVFQLAFSKDKSSIYVISSVNIVNDIAHLLITTFYLAPTIIMNSFITSEERNGQLTVFISFIFMVLWYIGNITQIVMAINRWAVICILRSSMFTKKNLMIFFTLTLIFALVKSYIVQYVFPCCTFLVDQTVLSYSYFQIGNLTNYTDQSDIPLNAISSIIPVVCYSWIFYTIRSASKNITPNMETGNQRKRGRQELSYAMQFCLISMFYTFSWIMFRIFPIIFTGRQIEWFILTSLCHVFNCSANAFVYIVFNQEIRRRLSEHKIFRFSGIGTTDHSHEQHTQSKVHTIPIPSARPTTH >CRE19586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1229:9623:10806:-1 gene:WBGene00068045 transcript:CRE19586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19586 MEITLKLLLGFCFGILSATSLLLNIIALFTVFRLAFVLRKNNVYIIAFFNILSDVLQMTMATFYLAPSIVTSSFLISTVKKSTWTMVFGSSFMFLWYFETITQVVMALNRYVIICQQKHKIFTFTTTILLFTFLIPFCFVLMYNSQYLNPCCSFVFDQEYLSYSYYPIEGIPNYSDKFDLPLNASSSIISAICYIMIFWTVHNSTPTFSSVAGEHQKAKRNRDIRYAIQFSLLLVFYVFVWVLFRVLPILLANRHVEWFILVPTFYTINCTSNAIIYLGFNSEVREKRNGFLKKVNTCRFKTTFFPKNFSLYYTSLDWPKKKLPSLTCL >CRE14884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:93237:94316:-1 gene:WBGene00068046 transcript:CRE14884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14884 MTLKWYLGMTSFSLSLFAIFLNLLIFIPVFQLAFIAKKSSIYVIAFFNVISDLMQLSITCFYLAASILADRYVITGHRTNALAIVFGWIFINAWYMECLVQIVMAVNRFTVITLKQKNVFTFKTTMIIFAFLISFTVGSAICTQYIFPCCVFIADHNVMSFMFIDIDGLYSYSNFMLVSYDIVCTSTSTLCYISVFRSIRNHNKASNVQRNKSNQGVKYLFQFVFISIFYIFTWVLFELLPHIVPTNQPEWYSVVPVLVTLNCSSNSIIYLSINLEVQKSLQIPWLRKKLAGSHASSTVQVLQVRAAKVV >CRE14883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:88913:90561:-1 gene:WBGene00068047 transcript:CRE14883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14883 MVPWTLKTFLGCGLGLLSLAGLIVNLLVVIPVFRLAFIQNKSPIYVISFINIVTDIVNVVMSTFYLAPSIIYETYFFTEDKTGTIPKLMGSTFMFCWYLGSMTQIVMAVNRLVVIYFRRSDLFTRKNICKLFALLIPLSFFLMYMAQYGTPCCFFVFDHVVLSYSYNQIEGLDNYPNMFIDLPLNTATSVIATFCYAMIVWTVRESTKGIAASMATQHMRRSRKNREVTYAMQFCFISMFYTFSWITFRVFPVAIGNRGLEWFICISAGVTINSSANALVYLISNQEVWRNLKSSGLNIFTRATNSSDVANNSADGHSIVRHSHNTTTNTTSKY >CRE14882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:87037:88308:-1 gene:WBGene00068048 transcript:CRE14882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14882 MLQITLKLLLGILLGGISFYGLVANFLVVLPVYRLAFVAKRSPIYIISLVNIFADVFNLLLTTCYLVPLILKSAYIPNSTTDYKVSVIFGTGFMFCWYLGSITQIIMAINRLVVICFKSQDLFTCKVLIVIFSFIFPLCIAMTYIAQFGFPCCALVYDSRILSISYMSSGEKNFSNMFIDVPLNFTTSFTALVCYSLITIKIWKSKRMVSPNTDSISSSGNREYAYATQFCLITIFYTISWLLFRIFPIILDNQKVEYYCFVMIAVSLNNSANAMVYIVFNKEVIRHILRSRFFIFPLSGAHSSLAFENNAFKMVSSANFPSSVRQM >CRE14881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:85477:86813:-1 gene:WBGene00068049 transcript:CRE14881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14881 MLCSFRFLNSVIRFIPPPLLDRNYYFQLILNPLIHILIACRTPSISEVQFFRNMFLTFIFIWHAFGSAFGCVANILLLYAVITKTPKQTKSYATLIINFALTDFTECLMDIFIQLRYVYTFGVLTLALRFIIPPFDVTYIYVFHGLCQYTGPLSCKIGLSIFYHCFPHNVWSLLLSFSYRYYVLHHPVIPRRILSLIILVIYIPSFIQAVIYWPTIGDRETILPLANKFFPQYDLAHVDGLLAGIPTIKDFASIYVIAHLCVPIFPVYVAIFVLRHKVIKELLKKTGMLSKDAKSYHSQILKCLTIQAIVPFIFVIGVLSYLSAQLGIFTNPILEYSIFACTLPMPMFSPFTYLLYIKPYRMFCARLLRRRKDVETSLVERSKQVFSI >CRE27962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1164:6168:9019:1 gene:WBGene00068050 transcript:CRE27962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27962 MREDIQCLRGVAIIFVLLFHLCPNLFVNGFLGVDIFFVISGFLMAKNLTKAKLVKIHDFLLFYYMRFRRILPMYFLAVFMIVVMVHLFLGDFLWKNNNRYSLASLLMVTNQLVIHDQADYFNEFFASTSSINGFLHLWSLSLEMQFYLFVPIIFFGLQFLKHDYLKLITVSLITIFGYIAFAMILDKFAFNFMFLRLWQFSAGFMALFWSKIQNSKELPKKSESEPSKCPFTKNDIVTISLCILSLCLLPREVEVLVLRPVVTLATALIIASESQGFQILKSDTFCYIGDISYVMYLVHWPVISIFLASTVKSYIFCILTIFIASILLHHLFEKQYLKLNMKGVFPLIFLLIAANSYLQYSIRNDTFWKNDFPAETRQIIDQNLVMYTSLWDLEARKDKCIETNIETPFPKVHLKGYCRYPRGHGNFSIMMLGNSYVLNLEEHMRAHFNYNYSDYRYMSVIASYGLYSDHTLLSQQALEFSKQQVEKHTPDVLLIVSRYMENIKDPIQVNDPLTQQINENIAFYEKFVKKLYILDAHPKYHENFLNLFLHYAVTRPDDMEVLHLDKKQADDEMRPVKRRFSKIKCHKCQFFDLSRVFQQGDKYLTYDKDTMLSYVDNSVHLTGPGVKKCEPVFQKVARAIMDSI >CRE27961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1164:3345:5781:1 gene:WBGene00068051 transcript:CRE27961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27961 MRQDIQCLRGLAIIFVLSFHLSPNLFVNGYLGVDIFFVISGFLMAKNLTNLNLLDVHDFLKFYYKRFRRILPLYYLTCFIIVIMVHLYLPDFLWKNNNRYSLASLFLITNQLVIHDQGDYFTEFLSSASSMNAFLHLWSLSVEMQFYLLVPFIFLGMQFLKKDYLKLMAVTLITIFGFIGFAMILDKFAFNFLFLRLWQFSAGFIALFYIKIREARLLPKKSETADEGKTKFTSPISQHDLVISALSILALCMLPNVIPKLILRPLVTLATSLIIGCQSQDLRILNSKTLSYIGDVSYVLYLVHWPVISIFLTATVHSYLFCIVLIFILSIVLHHLFEKQYLKLEMKGIFPLVLLLIATNAYLQYSIRNDSFWKYQYTPEEKSIIERNHETYAPLYDIETRQSKCMEKDLEVEFEKHYLLGYCRFPPGKGNTSVMLIGNSYILTFVNQIEEHFKLNYTEFRYLSIIGSYGIFASSWKLSHQALELSRKQVELHKPDVLFVLPRYMQDANYPTEENDKLVAEMNSNIEFYERFTKRIYILDVLPNWSENFQTLFLQNFITRPDDMELLHLNKKKADLEMKNIRRRLKMIKCNKCKVFDLSQVFLEDDKYLTFDRDTLLSYIDNTVHLNAAGVRPCDTAFKNLTREIMDSL >CRE14837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:72951:74092:1 gene:WBGene00068052 transcript:CRE14837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14837 MSKFIRITVSIFVSSITIHHIFEQKYLKLDWKALVPLVFILVLGNVFLQNSIREHSFWNATYPADIQRIVSMNKAQLPNFWALDPQMKDCTEEVLEDSIEPSRNYGYGHCQQGHGNFSIMMLGNSFVLNFMNPIRAHFHQNYSDFRYMSFSGGYGITADSGESRSSMVVFKKHVQQFKPDVLFIIMKHSYNVLFPILENDQIVQEMEENIKIYEKFVKKLYIMNHFPTFEENFLNRFLQNVINRPDELEPLHINRREHDKVMKFVRKRLQMVKCEKCEFFDISHVFAEDDKYLTFDRDELLAYADNTGHITAAGVKLCEPVFEKLAKKVMDNV >CRE14880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:64621:69411:-1 gene:WBGene00068053 transcript:CRE14880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14880 MLENFEEVSVDVSGVVTNVNVYQEQIGGAKVCVLGVLEKKPEGNFEGLLNSRTLSLELPDSMTTHPRGPEIFAETLIELVHRYDPFNNPRTKVGIVFESPEIPESIGLCYQPIDRIKVESIVESLARQSQSNKSVLELETPKITARFTYLNLPAGTGKRRFDTGKILELTAFEKRRKSSESEREMNEELPKVKQLRSNIMPNEVIEDCLVHALYQTLMYHKWKLCRTLKNMNKYRSAVRKTFKRPRICPEVYEAVKAMKEQAGVSKSSNFCRMDVERFQNTVFAGTHQLIVFVKNSTIPFYSGPYVGEKKQLALYLDDGHYRGVRSICALLRTDYYCALCIRGYRSTALHYKCPLVHRLCGQRQGNCPVTESDQPTRCKTCTVLFKSQICYENHIKKGPQNGKSRCEYTKVCRKCEGIYYTNKGNAHKCGEKWCYRCNCQRMMPHSCLMPVSKKNEKKLTRRRVYFDIESRADESTGQQHPVLFVALRCCSDCSTIIPNDIEQARNMKCSKCAPDGRLKVIECITIENRNVNVGSQMTKWLFADHHRGAVAVAHNASGYDGQFILENLIASNKASPKLVMDGTKLIFMEYNGVKLLDSIRYLSMSLSSLGKTFNVDSVKGDFPVLFIKPKNYTYVGPLPDDHHYAMDNKSSVVKEQLSTFLSAQRAEGKIFNFVEEIFKYCYNDVYILATSMGLFEREFEKITNVCLLEESTTAASAAALVFRRNHLDSEKPIVLDVKPSISMNASEISQKYLAWFTSKEGVQLNMSTTYGEEKIGRYRVDGFVPQCPKYPQGLIIEFFGCYWHAHDCTYSEESMIGCESAKEIRLKDEERLSALREFHPVKVVWECEVKKKLQRNPEMAAFFQDYEAVGLLHCERALTGGRTEVFRLYANNEGKTLRYADVVSLYPTVMKHDPFPIGAPENVPKNSMDVPMRKPSDLTFRWFLSCKVLPPRHLKLPVLPIKDNGKLLFGLYKKCCRDSNQHDCEHSDNDRSFSGTFTTVELQKALSLGYEITEVFHVKTGIWWIHVILFLQGVKYENWVQNDESGRGGLFTSYINQMMEEKIYSTGWPANVTSDAQKDAYCKAYFDKEQIHLTDYSRFSKNPGKRAVAKLMLNSLWGKFAQRVDRETTCIVTDPAIFWNIVYDTTLVISDVLCVNDALIIKYRKQAETLESFKTSAMQLAALTTSYARLRLYRFMELVGAENIMYTDTDSIIYAVPEGSVDPLRGEIGPYLGQLTDELDGAMTEFVTLGPKTYCYKEVSTDESLKVVRKAKGITVNSVVKNLMSFDLMKNMVDEVLEDVCQRTRVQFPQHVMYRDAYHRVYSRKIFKKFQYTFNKRRIVPDGSTLPFGFCA >CRE14836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:51200:54582:1 gene:WBGene00068054 transcript:CRE14836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14836 MSYLGGFYYWMSDRTTSRNFETIFHYIDSNFIFSFSDPILSFPILTYLGDISYSLYLIHWPFFAYWKLILSHGNSINQELYTMFWVSVLLAVISYEWFEKWYLKLADRPLAVLCVFLLITNVCFLEFDRVRDNLTAPSIGSRLDGLDDNRTVSFDEASKMQHEWNRHDYRNLKAPTCKYERTSGPFDWCRHEGLDKKNGKFKLMIIGNSWAANHARIFYDECGKKANSIVQFTIAGCDPFVSYRFSAKTCIPALKTFVEAVKKEKPDYLFSFSRMVDINDPVPSNSTDDPIFKLMRVQKNQLVKHVKRKMLLLNALPDISYTDVSKIIKTVKNGKDLNQFDKSLIADDATIARERYSKLIAECPKCELIDYKPLFYNNSTKTWRFYDVENGGLSYFTSQSHFSFHGLELIRKVYTDICNKFFFVISGFLMAKNLTRTGLHSLNDFILFYYRRFRRILPLYYFIVFVTVIMVHLYLGDYLWENNNRYSLASLFLVTNQLVIHDQGDYFNEVIFDVLFCVRQNCHSETEHNPTLKKWSPVIIQFHGISVPGFIYIHQCLPPFMVLILSSITLIGFAAFAVINEKFAFNFMFLRLWQFSAGFIALFWTKPGSDTLPKNEEKLPYEPIFKSILTKDDGLIISLSIFALCLLPHQFTVLAFRPAVTLATVYIINCESHDNLILSSKLLGYIGNISYVVYLVHWPIIAIFPPLSTQNYIFLISKFATDIYVREVSDGGGGVWEDTSVGGNEREGYHCWKGGSWGISV >CRE06267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2853:815:2203:1 gene:WBGene00068055 transcript:CRE06267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06267 MTHLYIPLISEEITTVQPKRADLQGVRGIAILAVLGFHFLPNYFPNGYLGVDQFFVLSGFLMCMLLSKNSINGSTISFILEFYYRRLKRILPLYLLIIALSLVGLFYFFPDTAFETNLISGERSLVFISNRWKTEAEDYFSKVIFLSTAIDIFTHTWSLSVEVQFDFFIPIIYQLIVKTLPPQLEVHSFLLLAMSSYGYSKFFCTENDAFNSPIARMWQFSTGIIVNLISKKSAFEGIHNNGSFKSFIKYSCLISVVFIIGCPIELPAEILR >CRE14835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:47377:48282:1 gene:WBGene00068056 transcript:CRE14835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14835 MKECTEEVQEDSLAPSQSFGLWALSDGYGLFTDSPESIQALEVVKKNVEKYKLDVSFILSKHAFISLSVPIQQNDAHVQTMNENIIFYEKFAKKIYILDAIPLLLQNVINRPDELEPLHLNKREADRENRCEKCEFFDISQAFADGDKYLTFDRNWLIYCVDNTAHVTAAEVKLCEPVFKELARRVSRIGLRHL >CRE14834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:42889:46841:1 gene:WBGene00068057 transcript:CRE14834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14834 MRQDIQCLRGLAIIFVLSFHLAPNLFVNGFLGVDIFFVISGFLMAKSLTNMNLLDIHQLLLFYYRRFRRILPLYLLSIILIVLMVHLYLPDFLWEHNNRYSLASLLLITNQLVIHDQADYFKEFFSSSTSVNAFLHLWSLSVEMQFYLLVPFIFLGLQFLKNDYLKLTSGILITGFGFLGFAMVLDKFAFNFMFLRLWQFSAGFVALFWIKRNETRLPEKSENPESNKFTSPISQDDLVILSLTTVASINVGIQDIKIHRGYLICDVSGPLAIDSNIPTVFDYKSNCAILLPVIIVISSVVLHHIFEQKYLELNWKSLVPLTIILICGNVLLQYSIREHSFWTFTYPEDIRDIVEANKAMLPYSWDHDPTRNECVDEKVDIPISAAYIFGYGACQKGSGSLSVMVMGNSYVMNLRDPIRTQFHYNYSAFRYLSFAESYGIYADTASSQIALDVSRKNVEQYKPDVLFIIAKSSLSLKTPILENDTYIEQMNENIKFYEKFAKKIYILGTHPLNRMNFMNSYLLSLLNRPDQLETFHINRRDEDKDKRNVKLRFSMVNCTKCHFFDLGHLFVKDEKYLTFDRETKISYVDNTVHLSTEGLKLCEPEFKRVAEEIMS >CRE14833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:37374:39850:1 gene:WBGene00068058 transcript:CRE14833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14833 MRQDIQCLRGIAILLVFLFHLFPTLFVNGFLGVDIFFVISGYLMAKNLTRNELKTLNDFAQFYYRRFRRILPLYYFIVFIIVVMVHLYLGDYLWDNNNRYSLASLFLVTNQLVIHDLEDYFNEVQATATSVHAFLHLWSLSVEMQFYLLVPFIFFGLQLLKQDYLKLIASCLITLFGFIAFAMILPKFAFNFMFLRLWQFSAGFVALFWSRIEKSIRDNPENKKEATESIYKLPFIKDDLLTVSLTILAICFLPSELNVMYFRPIVTMATAAVIICESQNNTMLSSNTLGYIGDISYVLYLVHWPMIVIFAPYSPQTYIFLTVTTLLSSIILHHIFEQKYLKMDWKTLVPFLFVLIMGNVFLQLSVRRENTFWNMTYTEDVQNIMISNKAQIPASWLTEEKRDECVEEPIGENIEKYKVFGYGSCVRGNGSLSIMLIGNSYALSFRNPLREQFGLNYSTFRYSSLIEGFGIYADTVTSRLSLEITRRQVARCKPDVLFIFARYYPSIRDPIRENDDYIQQMNDNIKFYEKFVKKIYILKPHPYYKWNFLNIFLQNVQHRPDDIESLHMNRREADKMMINVKKRFKMIKCSKCQFFDVTHLFVEDNKYLMFDRDQMLSYVDNTLHLTHAGLKVTEPELKRVAKEVMDTV >CRE14832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:32730:35458:1 gene:WBGene00068059 transcript:CRE14832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14832 MPAWDSYYIGIHISSVPKFVCKWVPRFFVISGYLMAKNLTKNELKTLNDIAQFYYRRFRRILPLYYFIVFMIVVMVHLYLGDYLWDNNNRYSLASLFLVTNQLVIHDQEDYFKEFQASATSVNVFLHLWSLSVEMQFYLLVPFIFFGLQHLKHDYLKLIASCLITLFGFIAFAMILPKFAFNFMLLRLWQFSAGFIALFWSRIAKSICDNPKYEREPTEQIFKFPFAKNDLLTLSLTVLAICFLPSELNVMYLRPIVTMATAVIIMCKSQNNMMLSFNTLGYIGDISYVLYLVHWPLIAIFVPYSPQTYIFLTVTTLLSSIILHHIFEQKYLKMDWKTLVPFLFVLIMGNVVLQSSVRRDSTFWNMTYTDDVKDIMISNKAQIEWSWRTEEKRDECVEEPIGEEIDKLSVFGYGSCKRGNGSLSFMLIGNSYVLSFRNTLREQFGQNYSTFRYSSISQGYGTYADNAASRLSLEIAKRQVARYKPDVLFIFARYSPSIRDPIQENDDYIQQMNENIKFYEKFVKKIYILGSHPLYRTNFLSIFLQNVQHRRDDIESLHMNRREADKMMINVKKRFKLVKCSKCQFFDVTHLFVEDNKYLMFDRDQMLSYVDNTLHLTHSGLKVTVPELKRVAKEVMDSV >CRE14830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:24527:26953:1 gene:WBGene00068060 transcript:CRE14830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14830 MRQDIQCLRGVAILFVLAYHLYPTLFVNGFLGVDIFFVISGYLMAKNLTKSKLESVLDIFKFYYRRFRRILPLYYLVVFITLVLVHLYLGDFWWYTNRRYSLASLFLVTNQLIIHDSADYFREFLADGSSLNAYIHLWSLGVEMQFYLLVPFIFFGLQFLKNDLLRLIAVSLTTIIGFLCFAFINQQFAFNFMFLRLWQFSAGFIALFWKKTSFYEYSEKLKPAKIEMVLPVAKEDLVTVSLSVIAICILPYKLEVLVLRPLVTLATAFVIANESQDNQFLKSKTLCYVGDISYVIYLVHWPLIVIFLTSTLKTHICCIMITIIAAVVLHHLFEKQYLRLDWKALVPLLFMLALSNAFLQNSVREHSFWNNTYPDEIQLIVNRNKVMLPNLWETEPSNDTCAETTLEDPIEKKRVFGYCRYPRGTGNISVMMIGNSYVMNLHDPIRAQFHYNYSDFRYISIGEGYGFYSDNHWSGIALDIFKKHVETHKPDVLFVLARYSPAIRTQFKEPDAFVDQMNTNIEFLERFVKKIYILGSHPLYNLNFLNFFLQYAVQRQSELESLHLNRLKADEEMRYVKKRFSLIKCKKCQFFDLSHVFIENDKYLTFDRDQMLSYVDNSIHLTGPGIKLCEPVFQKVAREVMDTI >CRE14829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:23303:23864:1 gene:WBGene00068061 transcript:CRE14829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14829 MAQSVPPGDIQTQPVVKIAKVINPSARPPLRVNPSARPPNGIKTTNTKRSGVDSRDPMFCRPSLSFRSEGFSVRSTSRTTVSTSSRRTPQMVPPSNSVVSGPKKTIWIRKSKRLCRSNEDEKKNLQKNVCIPFCVFCRFQIHSATFRYCYATLTQQSLEDCSPAASEACKC >CRE14828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:21579:23094:1 gene:WBGene00068062 transcript:CRE14828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14828 MNYRITAVLFGVVFLIIIFINDDGRALSKADRQCIQREWRQNPTIKETTDHGSSFFIAFGDVQKRMEWLHLPEIVTSEKSEILMLVQSRSENLGRRNVLRRTWMEKNNTQMMREGRMKALFLVGIVEKDENNKKILLEEAKLYGDLIVVDLIDNYVGLTYKTIASFLYATSKAPKFQLIGKIDEDVAFFPDRLINLLYNDVIDTNTSTLYGEIVRAGGEVNHDKSKRWHVTEKAYKCKKYPECLSGPFYLATRKAALDILSDTKHRNFISIEDVFITGLLADDVGVARKSLPMLHMLPEDKTVEEKTEMLAWHTSKNNDQYMEFFTKNSRTR >CRE14827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:19092:20906:1 gene:WBGene00068063 transcript:CRE14827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14827 MQQHVLEAITAVGMAFGIFGNVNVIVAICRKKVLRTKGAMFVMILAIAHLVCNVSEVIGLILQFRFRAMSKKTCFEYNILYKFAVMFQSALYLSMAMDICFSIVLPIKHMIWKKRNYVTAMCIFPAVFATSTTVVSFLFIPDEEIPYCIFMLTTDMRIYQMVSSALIALNTLTVIIIVLSVVCAVRKSENMRGSRHSSSSRTNSLREDKSKVFRSTFFLVLIYIVSWWSVVVIFRVITEVVSDLSEAAQYMPYLIIIVMPNFCQAYYVTYFRSPRFRKAFREQFHWFTCGHAFPKIFDKERSRGSKHGSATNSANCPQAQTDSDGKKIRNGSQKSIRFSVQADV >CRE14879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:10343:12624:-1 gene:WBGene00068064 transcript:CRE14879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14879 MGVSNNFEMLEKRRPSVKRDSLVVPDSEDTTNWRLIIVAGIVSALNAVENSVLGIGEWPYMKEIDKDATAQFFGFATSASKCGHALFALVFSIWSYKSHSVKIPLLVSRLVAIVACVIYLNIEYVPSGKRYVLGAVYVLLGIANSASTVLRGYIAMCSSIQDRPRAFAVIGLSIIVSIVVGPTLQLIFSSIPYPGYEIFHGVRFHIYSAPIWFSFTLTILTVFFIGFFMQDVHRASTESKLEEESAKPMFSIEQLKETLSKLKNSNLDWTLIGVCLFVKIAVTFSHATMQSIMSILFMVQYGWSGTETVRMGSTLMVGFGVFSCVILLLYIFCKLGQILPQEKVYLFCTIASGCVFLVTYPFDFNSQPIAVYNETTHAGCNPVEYSWCESAMAVNPYFFMIVTMLVSAPSIPMMHTALDTVYSRILGNVDQSVAQGAMTIVDDIVFMVTPIFTTTMFTLVGVGPLWLIKSSVFFAIAAVWFVNLKKISAHMY >CRE14878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:6280:8643:-1 gene:WBGene00068065 transcript:CRE14878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14878 MINPEGFELFEQDVSQEESTSMSTQEKSNWRLIIVTGVVSCLIAVENSVLGMGEWPYMKEVRLYPMIVSRLIALLACTIYLSVEYFTSDKRYVLMSVYVLIGISNSACTILRGYIVMISSSQDRPRAFAIIGLSVITAIVVGPTLQLIFSGIAYPGIEVFPGIRFHVYSVPIWFSLILSAITTVIIWVYMTDVHRVSSNDDEESSKFISLKKLRENYEGLKNSNLKWKLIAVCLTVKISVTFLSALLGSIMSIIFMVQYGWTGTETVRYGATLMIAFGVLSCSVLILYIFCRLGEIIPQTYVFLACTICVGSYFIITYPFAFTSQPLAPYNETTHAGCNPSEYSWCDTTLAVEPLFFLTATVLIFAPSLPMMGTALDTVYSKVLGDIDQNIAQGCMTIMDDVIFMITPVLTTSIFAIFGVGPLWIMEAALFLGMAALWTFNLKALREVE >CRE14877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:2797:5240:-1 gene:WBGene00068066 transcript:CRE14877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srsx-34 description:CRE-SRSX-34 protein [Source:UniProtKB/TrEMBL;Acc:E3N1U8] MEVPMDDSPVVQNGVLLEKEELMKKTKEDDRLTNWRLVTIAGIVSCLNAVENSVLGIGEWPYMKEIDENATAQFFGLATSASKCGHAVFALIFSIWSWKTHSVRIPLLASRFIAIIACVIYLCIEYVENDKRYVLMSVYVLLGIANSGGTVLRGYITLCSSNEDRPRAFAVLGLSFIVSIIVGPTIQLLFSAFPYPGHAIFPGIRFHLYSAPIWISFILTILTVFVIHFFMKDIIREKPKKLRPSDSESSFSMEKMRYAFQKIKKSNLDWKLIGVCFFVKMAVTFSHATMSSIGSILYMVQFGWDGTTTVQVGSFTMVVFGVMSSTVLLLYIFCHLGKIVPQHKMFLFCTIAFGSVYVITYPYEFTSTPVARYNETTRAGCDPREYDWCFTALAVNPILYITVSLLVAGPAIPTMSTSLDTVYSRILGNIDQSIAHGAMTVVDDVLYMITPIFTTTMFTLYGVGPLWIIKSLVFVVIALTWAFNFKKIEKHLY >CRE10692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig419:12943:16792:1 gene:WBGene00068068 transcript:CRE10692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10692 MILIILLLCFLVFLFHQYFWRRRGLPPGPTPIPVFGNLFQLSGSEAPGISIFQKWKDQYGPMFTFYMGPVPFIVLTDYRDIKETVIKDGETYADKYLSPEFNIYFRGGEYGIMDISGDRWREHRKFAVLQLRELGVGKPLMESKILIEAEEMIRKLKTAEVIGEEFFLQTEIDVAVGSVINQFLFGYRFDESKLHEFVKIKTLVNNFMEEVGKPLGVLAFTCHGIPPFIVKMMVSGIEEQKRELFGFLRAQIQDAKVRINYEEEHNDDFVEAYLRKKYQREQNNDFESYCDSQLENVCFDIWAAGFDTLANTLGFLFAYAINYPEMQVQKLIHQEIDEYLGHHRRLLTMSDKNALVYFNAFANEPFLNFVALHQELFQHIRFKDNGFSAMTTSLPMTQFTGVEKILISPLYNATDYSMVEDLVELRRKLIHLSEIRTSDKFLTGLAEQKSKRNYNFSKRSKNQMQSLNVFGDRQRLVFQETQRLANILPMNLPHALTKDVELKGYHLKKGTGVIHQIANVMTDETIFKDSYRFNPSRFIDEFGKLKKIEELCPFSMGKRQCLGEGLARMEIFLLAANLFNYFEVIHDRSLFA >CRE10693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig419:18123:19873:1 gene:WBGene00068069 transcript:CRE10693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-30 description:CRE-NHR-30 protein [Source:UniProtKB/TrEMBL;Acc:E3NKS6] MMEIVPLNLQTTLNLFAVPLPPPRTMCKICGLPAHGVHFGVMACRACAAFFRRFVVLNLDYECLKDKSKCSLNKIRRSSCRHCRFQKCLKMGMTADNVQWNRDVYSTDLRYKKIKTPEPKNDENDLTIALPSTSTCLYSLIKTQDQLYTKSVLSEINYDNIERDMHRMFMSDVPSTDHGYFASLSPLYKIVEGLRLVRKSQRTADIKFENRLSMETLVPHWRAQAKNTAILSMHSMAFRDIPLTEKSRIFKSLWQNIYRFERIQMSTEIFGENCVSEKKLAISCERAIQLDSLFFDIEGVAQNKLKITLQDYKAFAERCVEEVAKPLSQLKLSIEEVAYLIINFVLHNEEKIIGESFDICDKFRDSIADDLHEYYRKNDIVNYASRITKMMNTIVAMKKIHYDDLGGTFVTNTRNAKEVEVKEEDDDEDEEIIVD >CRE19746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:95487:98011:1 gene:WBGene00068071 transcript:CRE19746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19746 MAPSRNKIARIERAKQASLFKAAKNHEIEHEAEKETMFPKLKKEVFYLKKEVEELKGNLELANKKLQDAEIEIQHIKSEKCVILAEKNHEKEQLLSSFREKEKEGTYLQSRADQLQKRVDTLVEESPSRGKCLKQYNLIRTNETKKDRYERIIKMISSFVGPLNVDAFLYDFLKMADEDEDLKFTLKLSPWNSFFTVVKHQLSDGFLKDFKQFTKQHLHIDIFASRHQIEEVKKTFATSKYYTFERQNVMKPSRTNLKQLKKDLKKLVLETEETTNLVDSLESSLERINDAVTTIQKNCKTTKPKQKNSSHCTSSFCIVGSSKKSSFRDSSIFQCTSCKAAVHDVCAFYITEEQRLLMDQSNAVCLDCRHGMIPSIPDRLSLALEIQKSVNEQLLQAQDILEVADSERLKLEQHLKGSRIQTEVSTRQLLEAALRSIGCDSRIWYQDLTGNQARKFLRRSSIDKVLAVFTSNSRRAPNASEKVKIDLMRSVMLDLATLMSAASNSVKNDDEIDEIERVLERFVGNLREAQPDASVTPKLHLLSSHLIPYLKRYRSWGRVTEQGIESLHAIFNRLNVRFAAVRDPIQKATLIVDRLSHFNLIFDIGSSWYKEE >CRE06383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:961094:963822:1 gene:WBGene00068072 transcript:CRE06383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06383 MSSNQPADVFDFQQNPIFYEIQPSIELFAEESYQKNENIPSSSNCSVVRYIDPRMIYKCNVCLKTFKEFKEKKGFRIPVLDSIQFSKLETLNERRTLIFSTPPIHEKVNYDGLKWSKTENGKRQQPLYRTIVFDDSRLSLVQCTEASNPNLKKEVLHLLDRVILRYFLTENGVFEIPDSSDVKKKRLGGTVSEDLKNNILPLRTTSAALVVANGKGYDLSRKQIRNMTRSIKSAIPEKSGRRVITTLADVKVLGNTNSNNLTYFVDQIGELVFTYLHVFEDALKIFASGCPTKRDFEEWTERANRLYSESADIRKTELNMILEDFPSGVIFPSRIFVDTTFNLSDCYVTFVLGESGHFRTKTSNKPRVFPIGYMIHSHKDTSNHQMFAENIKTALGPFMTGRVAPAVLMDGEKSLQEYADALESSVIRCDWHILRLLSHKFGKKASKQGNHLIFGYKKSDGSWKSGLLGSYTKDQFERRLAKIEDKIDHRIHEWLSSNKSWLLDSSIAYPKLKSGMILQYTTNNPSENFNKLLKTVIQKPHPIAELIRKVNDFSEEKLNEIYKSAIGESDLVELSKDLSSCNASIREDHLRNIGLMCPQLLSYYPPSTVSQTPKNNCKRKFSTERKGRALQ >CRE06633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:947672:949252:-1 gene:WBGene00068073 transcript:CRE06633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06633 MSEENDNVAPMNNDKDNLVSEEQEETAGNKTGSHDGYRNEIVEKMLEEVLEKQRNFEQIHENMLKEVLEDQKNIKKANTEIVEKLCSLESELQLIRDDLKPKSVKAGVPKETDDEDETDSESENESVTIPTSGKCFVLKHVFKNVSNLKEDEYRLSEAQEHYGIEWRMYVRRTKEHLQFYLNCLKSMDTANWTIETQRKHVLVSNRVENEVMESIRIFDKESRSWGYPEFIKWDVLEKDFLVDDKLTAEIHVKIKKTTGIYKDNLRNFDKTMEEFSDVVLVVNEEKFYVLKLYLAAHSPYFKALFLGNYNDSKKSQIKLTGIDADDFQKYIEVLYGESAIDEFTVEGLLLVADMYDTPLVIRKCEKFLLKESKKTLKKKLQMSMKYHLEALNKQCRKEIKSVADIKSVLPGDIRDLDPSITTEFLEIALSIQ >CRE06631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:941222:942730:-1 gene:WBGene00068074 transcript:CRE06631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06631 MSEQNENVAPMNNEKDNLVSVEQEVEQETAESKKVLDKQKNLVDKLCSVESELQLIRDDLKPKTVTPIVPKERDSESENKSVTMPTTGKCFVLKHVFKNVSSLKHPDRMYSEKEEHFGVTWRILIQRNMPHLGIFLSCAAEMDDRKMSFDVEYEVKFMSTNTGECSQKTRVFQNLKQKNEFGYKGLIEWAEMEKDFLVDGQLTAEIHVKIKKTTGIYKDNLRSFDETMEEFSDVVLVVNEEKFYVLKLYLAAHSPYFKALFLGNFNDSKKSEIRLTGLDDDDFQKYLEVLYGESAIDEFTAEGILMVADMYDTPLVIRKCEEFLLEKSKKSLKKMLNLSTRYHLEGLKEQCLGKIKSVADIRSVLPEDIRELDPSIMPELLKKSLALHDPPQ >CRE06382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:937100:939142:1 gene:WBGene00068075 transcript:CRE06382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06382 MFIRLVFLLLLANSVFIETSKIHEILKKLGKRYETELKPVANLWDAYSRTEKENARYEEYDSWKELSAEEVGKIKNQTSYAVRNNFIEVSSTENLRFNDALLYIESILDEDFTAEVCGGGKKMNSYQYIKHLTHSASQHKGYFWKEVVAGFDESQDRDVLKFNMNLHLMDKMGVAIKQEFTFTMELTFLNKRFFHLKNVIQTGTCANKGVVGDFETTPEGLNPYLVDMEDLKEKPTTKLFLKLFTPAVYQYLEENPNKLPRYWLSGINSTLTKINVCHEKVTEPVEYTLYTIQKNEHTWYIDKIEVLCDPTIEYKDESLKLIREVVAGKFVDQLKYQNDTQWYSTVDFIKQFTKPGHLVMEDCVNDIVGLITQIDLFKKDRDDVHGIKFTKYQIDEETAIDLPAADTATFRFKTVSQAADSTEEEKVEIEREWSFDIKWDQMDQFYYIEKMGIGCGKPWTVKGVFKSIVDTIGK >CRE06378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:927498:929965:1 gene:WBGene00068076 transcript:CRE06378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06378 MSKPEYTSVAWEFFLKVGDDCVRCKICKKSLKYCHSTTGMISHINSCHSEELKNMEKQKKSKNVMSFNNRKEEHAEADRNLVLAICTSTVPFRFAKNQYFIKFCGSLDKQYEVMSPDKLRRRIHENSKLYIQKTKSDLENVERFFLTVDGWDGKFENVNLYAIFVYYIDEKFEQKKVFLGIRHVAGKATSVNVGNVVTDVLEDYGVDSSKLIGAICDAGSNLRSFLNKNMLYHLHCAAHVLALILKEVSEVPSVTLILKKVYSLASHLSRSKVDRSIFRQRSTALKTFGPIPLPFSPTRWGGCAILAASFLNHYQSIFSLSKFQEYLLSEEEKVKLEYFVELTSPFVDAVSEAEKDNNFCSEIIPQFANLLEFVSSHNQMKHIVRVITNETQKRFDAYMSNDIALISTFIDPRFGYFDGIMHNRKWQEIEETVIDYCDSLKILNSNGSLNSDGSPQKRSKVSQSGLSRFMEAKSLSSSMDDTKAEVVAYEAYIRKSRPPYSSSPLDFWKCNEFQFPKLARLARHILCVPQSSAAVERLFSRCGEIVSSSRRNRLSSQTMHEILINASLGILKEKETEYIECDDDDDETEQNGEQIKLDLPPLCTCNPSNDSRRSRSTPTRRRSIQY >CRE06628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:915551:923311:-1 gene:WBGene00068077 transcript:CRE06628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06628 MRRTSFANPILIRHNNQSLTTTIVDPTKLAEHSKRRMEKMETEEAPKAVDEGKGGDDANSLDGSLWAHVTELESTNVSLKEKMKRIRGFEKFVEKSEEVVQNLQKALGDTTLAARKQDLMLAPVEKYCAELRERYGEVRCDGWQEKVLKLMREKAVETVEQLRDECEKAGDENEEEKEELEKENEKLKENNKCFQRLLEEKNVEMADEMARSEAEREQLQKKLEEAEKKIEKLKNAMTKEKKVAEGLKSSIAHLEGEKMELRKKENERELQENLPRHERTSSLRKEGGRTTSDGWSSGGEDARSVRSVRSRRSSEEMWESESRASRYSAIEDLVKSMGEVMRSSARASALPTPKVYDGVGDFREFRRAFLLKYQSVTESDEELVAILESQFLKGPAKSIFSSLKNRHERPIKDLFVEFETKLRKRQGDAKTEALNVFEGLRRSPNQRMWEYCIEIEKWSKKALGDIGEETMSQMRVTKLMKAIRQDALLHRVLITKRPEVKLEDQYEVFKDIVLQHENEEFRMSQQRGYFGGGKGRYFGKEKAESGEKSDDKGDKGVPGGRREMGERRPVDTRKCFNCGGVGHMSKQCTSKGLNEVDAQKKEDDGSVGKEVVEIAEILGKQKKIIIDSGAVVSVISTGLLGRLRKNWEEKVEMLEKPGLSLRDASKRKMAVVGQMKTVIRVRGIEAEVVFQIVENELDVFLLGTNAFASMGVEVNWKAEKAVAVTAQKLRVPPQSCAQIEVRVEADLGEDMLLESTEEWVPTSLCRKENNGKMMVVVSNWRDQPLLIKKNRPIGVANRDWKLVSEEKESHVVNMMDLDGKEGLKGVERVREVIRVLKENGEFPDGISKILEECSDVFALRDELTQTRWRIVVETTENSASVQFMGGEEKLWIPWEQLRKVPKEIVDMKCETSARRGQRGRKKKAVVNVVEQGKLCEGEKENLTLDNVKFYRKSDGEECGCKRGNAHFVDGYGDRSYDPKNLALKMLAKNEKITPEDVHLMVFDEEFQKKLGKSERLEALRRFAKICPTWAQKVMTGAVEEFEVEWKEAADSLKKECCRKTSRRSQQSRKAKFDWDQVEKAVILAEWTRKEQELEGLMHLVEEIAKEVREVVVVPAKMECAFDEVGGVTAQWKKTRKTAVNVEIVDPLTPVGTKKTPLILSELRSGSLEKIVEYLELAVPSHPVVDRLKEEVTSEPRAKKPRGQ >CRE06626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:909569:911013:-1 gene:WBGene00068078 transcript:CRE06626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06626 MSDAVDFSVPYWLIYYFHVIGIVSLVFDAFSIYLILFKSNQIDNFRFFLLNFQVSCQFFPGILEEFYFQIACCFTDIHITFLMQPVPLYPLMGGYALGFLPIHFGISLHFCITIVVFFYIYQVASMIVCFVRKNQSISGTLTSFSIPTPFIIFLLAFLVVYTLSVVGMYFSLSVKESEKLQFIKENLPEYLSLFQSLPNFSIYQANSMLFIMVIVAVTGRLLAFLFFMAVLYNIFRMLKFIKIQMSPTTYRRHRAAVYSLVAQFATSSVCFLPPLSLVFVVFFRLPHAQVLVELLLVIACFHSPLNVTVLVATFPPYRAFFSRVVLRREAPGLTSLSAKTSAVVSHLS >CRE06624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:900643:907468:-1 gene:WBGene00068079 transcript:CRE06624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06624 MYTYQKHRTAVYSLLAQFATSSVILVPPIFFVFIVLIGIDGAQIIVNVLLMIACTHSTFNMIVLVSTFPPYRKFVLDLIFQRKQIACSLTDIHLTFLMQPVPLYPIFSGYILGFSVYFGATIHHCMVSLFSIYKKQFKYLFQCVIIFLLIYQIGSMITCFVRKHQAIAGTLKRYRMPNLLVFIMLLYVLIYTCSVTGIFATCSVSDGEKLNYVRKNYPEYLSGFESIPNFSIYDPSNYFANFVIYSLVGGIIAFLTLVAVLLNIFRMLSVLKSKLSASTYQKHRSAIYSLLAQFATSSVIFVPPIFFVFIVLMGINGAQLIVEYLVVIASFHSSLNSIVLITTFPPYRRFVINLILRREWVTLLLYQLCIISCALTDFHVTGFTQPVPLYPLLAGYVMGFGARLGLTTHFAMTGIALFFTYQIGAMIICFVRKHQSIAGTLKKYEIPKLGIILMAIYFLSYIASVPGIFATLGVPENKKFQYVQSTYPEYLLSFQSLPNFSIYEPSSSFAILIAYAVGGGSLAFIVFVLVLLNIFRMLSLLKSKVSRSNYRKHRAAISSLLAQFATSSVIFVPPIVCVFVILIGINGSQLIIEILLMIACLHSPLNCIVLVVTFPPYRLFVIDLILRRRKLWSDGTHSVVQVHIETGRTHQIRVHSQFLGHPIVGDQLYNSTVWGSTKGKNAEYQKSFEELCEDVRNTHKSENWHEKPNPEYEQRMEHLAADSTEITPETPGLTVDQRPEFDEICQKCNVESKKVPDNHYQLFLHCLKYETPKWCFKTELPEWAVQK >CRE06376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:882797:891840:1 gene:WBGene00068080 transcript:CRE06376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06376 MPTTADSTETVEKQNTEKSTESTGKAEKRKRAENVDFTGSKKKKWEDPNKKVDPLEELPMNIPFKIVDGVRHLSPYWACYRTRTKGRWIGRKMVEVFSGEFLSTNRNYAKIACKMGRIYVRDLPIRIISDTDDLLVIEKPPSLPVHTCGQYAIHTVLGQLRVNEGITGLRVLHRLDRATSGVLLFAKNYETDLEFKTTLKQGEWSKEYICKVDGVFPDEEQLCDQPIGPLVISMGIQCVRPDGKEAKSRFKKLWSDGTHSVVQVHIETGRTHQIRVHSQFLGHPIVGDQLYNSTVWGSTKGKNAEYQKSFEEVAIEISGKKFQVFLSFQLCEDVRNTHKSENWHEKPNPEYEQRMEHLAADSTEITPETPGLTVDQRPEFDEICQKCNVESKKVPDNHYQLFLHCLKYETPKWCFKTELPEWAVQK >CRE06623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:878880:882232:-1 gene:WBGene00068081 transcript:CRE06623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-14 MNMEATTSQLLDFEPPPIFCNSCLGSTDPEYLTYNLAVSGVLLAIVGMIGLIGNILVVKTYLHPEQAVHSTSIYLAALGFSDFFLVLTAMFLFVLEAWRHHDYPTLAYLYVIGAPIIFPVAAVFQTSSVYFCVAAAVDCFIIVVLPESVKQLYCTPKRAKMTCTILMILCCAYNVPHFFELEKVDCLDEHGLDSMQICPTDIRLDPAYYAIYYTYMYTTFLAIGPLTLLILLNICVVFTVVTKGSSNENGEDDTISLILVVFFFIFCNFTALLVNFMELIFNDPTMLVYFVDLSNLLVVVNGTANFFCYAIFGTSFRNTLKKVVLGSPAKRSAVLWINDEENKNQQSHALI >CRE06622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:873334:878331:-1 gene:WBGene00068083 transcript:CRE06622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-21 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3M1U2] MLKLIFLFIFLPDVIWNFDKIELEDITVYFPLKIDYLAPFGCATGTSCDDEGAGYIPSAIDVAIKRLNANKKLEVFHNLSVNYVDTSKIAGPMAARTAALNNGTVAVMGLSRNCYIQSTVLNINAKVGVSDVCEMDLNSVKGFDQTTVLMNSQTNSLAKSVVYFLTKYDWKKVAIVSPSTTLSAFDSRVRSDLLDALTVNKIDILVDSRLDPMADVTEKVKDDAQKARIFIICDWSSNANLLRNYLFKLGELNKMQSGEYFVIGFVAYDTNYQWLEATSGDQRLLHLGAMDINDYNLTENDLHEVYKNFIVLSDGPPPAEPNSTWKDIQNQVLTKKAMKMCPPFCNASVSEKTVPRWDRIKLLFDGIQYLADATNDALNVGANIYQSAIFYEYMISRQIKSVTGFTEFVDGYGAIAGSMQVYYHFSSSIRNSYSLFPCARLGQTSTSTQWVMTDYSEGLSIDFVNKSAPKDTPECGFYGEKCGPPANNTFIIVISVGVAVLIGLAFAAAFLYKRYRYERKLHSLFFMIDRNQIILKKHTNLMSQQSLRSMASIHGSFVAASQALRDSHFFIEDYNNVAPATSSSQVSSVFHTGSTARAVGPFGQIPGFGVAPTQASEDDKWHQLKDFGVGLYEGRTVALKRIYRTDVELTRSIRMEIAQLQESVNSNVIEFVGMVIYSPDVFLVYELAPRGSLKDILDNDDMPLDDVFRSQMTKDIIAGLEYLHSSPVGCHGRLKSTNCLIDGRWMVRLSSFGLRELRSEESWQREEDVQEGKDDLWTAPELLRWSTGLGQCGNLLVQKADVYSLAIVLYELFGRLGPWGDEPMEPREIVSLVKRGTSSGKKVFRPDMAVLKDSPKIVQDTVAAAWTEDPLDRPSLYQIKRKLKPLTVGLKRTIMDNMVSIIEKLTDKLERDIAERNEELEREKEKSEMLLKMMLPEVVADSLKLGSNVSAESFENVTVFFSDCPGFVEMSATSKPIDIVQFLNDLYTCFDRIIDQFDVYKVETIADAYMVASGLPVPNGNHHAGEIASLGLALLKAIETFKIRHLPNEKVRLRIGMNSGPCVAGVVGLKVNRTILMPRYCLFGDTVNTASRMESNGIREYPLPPLLPNPLCFIALRINCSESAKQVLDTLGGYEMEERGIVEMKGKGKQMTYFVRGEDSEMRRERIIRERVKFSSLKKAKIQEKTYEFL >CRE06619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:862523:868635:-1 gene:WBGene00068084 transcript:CRE06619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06619 MSAVNYIKNAKVWRQTIRPNFQSTARVSLKKNVTVAGRKWRSTVSTVVRSNGQICIEGMITFEDSIRLGIGFSGKCKVSMYNQTSSEWIPLSFNEFSEVAYLTSFALNFSFIFSEGEVLDYLNLNRDFEFEVQMVVERIVDRTSDLRLFNFYDPYPKEKIFSFCSDKKPKQLHTREQMIMFHSPVIESFIGTGFRRMSVIRHSYYCELLLQLVHGTQLQLSYTQVRRLLPIANRYKVYSVLRKCEFRLLCLMKNDAFSETLQEYFNLALRYNLKTLWTVLLKRATSLEELKVYTSQMNVGTMPGEAMKKVTQQLPYTECSTDDIMQNYYTNNSNSATSFLFFASRTESLVEQTRFICYPFLLIYLMKLGWNLGHKSKKKVSKLLIYISLLYLVHDIINILLRKLLFEGVTAIEVKQLSSFVVVESIRLWKVFATCIRPFLILVLSSEYQDRLNSFFIVSATVATVTPVRMRNSSMSNSNSVSDVLICSTGFLSFAIPDINVATSEFCQDFHSNLIDNIFRLILSQAITISRFMGSSITILMVLLQMLCLKFPERIFWKSKFLLTISLTTLIFLVLLCIMNTIPVYYLNALCISEGDGEWIFWMLNLIEIVARGIQIAIFVLELLTYFGLKILDKKSTDIEKYQYTKLVLRLLVFCFFSDHSSALVLTPVSCTITDFYMTFLMQPLPLYPVFGGFCTGILATYFDVWAHYLMVCIITMVICQMEALTFCFLRKHQSIGSILNYHLLPGNLYNLMIFRAFLLPVAFYMVFWRAGIKRDELMDYVRENYPDYVIGFSTIRNFALYTFDFWTMLVLFLSVFIAAFAGLVFTFTTIDMLQMLKSVQRRLSTASYNRHKNAVRSLIAQFATSSLCLVPPLFLVVIILGEFEKAQGGG >CRE06373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:854080:856901:1 gene:WBGene00068085 transcript:CRE06373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06373 MRIYVPTSIEVRDGKIMTIDFEVPLWLFIYYHFIGTVSLFLNLFTIALLVFKSESIDSFRYSLLVFQTVCTITDINFLFLMQPFPLFPLMSGYCVGFLAKYFDVWSHYLIALLVGMIVAQLESLAYCFVRKHQIIANITKRHLIPKNVNDASTMILPFFPIFGYMAFCNAGMKREDQMGYVRQHYPEYTAQFSSLQNFTIYEGNFWFFLVISLSFFGGIFCGCIYTFTTIDMFQMLRKSRRKISATNFRRHRSTVKSLLAQFAASSLLLIPSFCFSVVIMTGIERSQGIVHVILAVFSLRSSVNAVVLIATTPPFRKFVMRYIVKDNL >CRE06372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:841726:848052:1 gene:WBGene00068086 transcript:CRE06372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06372 MALEIKQNQNDTQIENCSLRMAVITNNGFMISIRDYRQSLSELIDSVEKKQRRRRSLTLKERPKGLVIERLFGPEATIDKYPLFSYDPITDPRIIHEMRRNDITMSQVKWQWENYRLPNMQMAVLRAIREGEYRKQLIRELRENDGNLENAVTIKQLEQPSHHFDGYLIEEFGTAHPVQPNERNDGKNATVTKKDILPTTTKTPLNREMVGVEYIKQISELHRKASENRENSQLNGRLQFVADKIIETNYQEFDKIYHQICEMQNSHIEISKTLLAIDPTLGMRALLKRNDVIAKRAGMVYLVSQCSEVIAEKVFYDHKVNGTCYVDTPVQVQNQTWFIAPGMEKDLMKDSVEIPCDEVTLGIYRDTEGDWKSANGIPVIRNIPISFTRKAEKINLTLSAPPVFSKLENIDNPFAYLAAWTVNLMKLKETQRELMLNLQSEGLSSQTVEDMLSRGAEGFRGLAGIIQDSLDKGASFIENEVMGTVKKVVVPIISIAFLAIAVIIAFKIYFVRKAAGIAVSELVKITRKAPPTIQQMIRRWKPEVHNIMMNDDPIDLDVMSIERSDSIVTMPMIATILTEGGEELTPKIRVKIQQAYIQAMVDTGASISLISEKWLKRMGYNYKAHNTRQVAGTANGTLLNLIGSLRVPMKIGPFRIMTNFSVVENWKIPYNCILGMNVVSSLNKQNLLIAFDTQNKCLRVGNVSIPFLENGNRMENTPERQGDNESNLDEDEIAWTHKSLGEVQRKSEKIVKIRNLFRENKATERMKNMFYLIGDVVYRIPRHKRQTPPVLLESGEDAKQLIRDLHFCEDSDSFKTVMERLQDIAVWKGMRQDVAEVLHTCRNCWKRKAFQQRPYLNSIMTVSGRTHLPFVPVHLEGVPVVALLDSGASVSLIPERILKLLKLEGKVKRTTCSAKVANGTELKFLGKVTTIITVGKTNVSHELLITENEGAPAPCLLGVDFINALNSRGKLLTFNMTERKVKIGDTSVKLLDPNQNGHENIMKISVTCAEEEIIPPRCQAIIAGEMPGVTIKNKEFIITDTDRETDEIYSISSTLTKMDSEGKVVVKITNPGNGNLVLRKGEKIAEAEVWSGITKTSPEINSVNMEDQNIEVLLSKVDLEKSSLSASAKKRVRQMIRKYRQAFVGIDGRIGRFKGKTKHYIELNDNHRIPQCRPYRVSPQQREKLEKELKFMKDNGLIEESTSPYTSPLLSIPKANGEIRIVIDYRRLNLITRSRTYIMPNTIDVTEEASRGKLFSVFDIAQGFHTIPMHEAHKERTAFCCHMGVFQYRYMPMGLKGAPDTFQRAMAEVEKQFTGTMILYVDDLIVVSRDEEEHLRNLEEFFQLMINMGLKLKAEKSQIGRTKISFLGFVIENNTIQPSGEKTEAIRKFPTPTTLSEVKSFLGMSGYFRRFIKDYAIIVKPLTTLTQKDVEFNWGEEQEKAFEEVKQRLISPPILTTPRMDGDFEMHTDASKIGIAAVLLQKQDDELKVIAYASRPTTPVEQRYAAIESEALAITWGLTHYRPYIFGKKVKVVTDHQPLKSLLHRKEKEMSGRLLRHQAIIQMYDVEIVYRPGKENPLADALSRQRVENEGKVVTFIEGTNEIEKTTNLKNIQDRSKAIQHIKRKLLQEDEDIESMKLQDKFMVINDIVYGIPKKEGQLPPVIIEGGNRETETLIRTIHKANSHIGAGKMIAKLENIAIWNKMKSEIEKVISTCEECQRRKNPSAYCHVLPMGKWEIPNRPFQRIHIDVMGPLSETIHGNKLIIVATDAFSKFAIAKATANQTAETTLKFLLENIVSIHGIPEEIVTDQGTNFMSKMFEEVCRILEVKHSISTAYHHETNGAVERLNRTLEEMLTLSTSNPINYDNWDEKLPLVIQSYNAGYHSSVKYSPEYIIFGRMTVSPTDIMIKTLRPIYRDEEDMVENLSESIRQCHEAVYGELENTLKNAKKAHDKIRKVRVPIFEVGEKVVIQNPTAKKLMYQFSPPATIVSLTASTITIRTDRGKVETVHKNRVKKFNEASPERDDSDDDRGSDTSAIEGSIGSMAPSMHQNKYGSFDEEIGQHDWMEKEVIGWSQRDRASTGDGQQHPGQLRRSRRLQNLPAELDHVII >CRE06371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:838113:839867:1 gene:WBGene00068087 transcript:CRE06371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06371 MSISFVPASWIIISFYSIGTLSFLFSCVTIYLVYTKSQKDGRYRFYNLLFQIASVNSVLEYTIFSQPMPLFPILAGYCEGFVAKYLGVWSHYLIGLQLSSMIFQVECLVFCFAIKHQNIGRVISYNVVSDTYYYGGIVFFIFTPIGAFVVFVLSGMRREDQLAHIKLKHPEYYDEFSKLPNFSIYEFNFWSLVLAGSACCGALVCGAAFTIITMDIFRMLKTLQKKVSAASFKKYQSAVKSLLVQFATSGLLLAPLSGFVLFTLISFDKAQLFVEITLLIGALHPIVNAIVLTMTTSQFREVVFGFIFGKKWIHVNNKKVLILCILKLEVERWYDHVIEKKLLSLVSEFWLGNIVLEKLVLEDFLK >CRE06370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:835051:836436:1 gene:WBGene00068088 transcript:CRE06370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06370 MGTPFKEESLNSIMKYMDPNCRLLLNQKCSALKTLEKSTPLKIDYLHINSSFLQINTTTYKLGVVRQYTAGDPPQWVHQNNLQGGVDHDLSPFGECGMTQKDHESIGIGEHDIQELKRLERKLKDLERLPTFVRIKVGHTENYEIRERLKRLQDRLATSRARYFTCVRLTVTSQLTGVTLEHVTYNQGFRNFLEYFFKKILEGRRIRVKTLHVDHDVQRWNMNNMLTPKSLEIRRLKIDRNTDQIWECVRSWLIEEHGQLELLKV >CRE06614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:827437:829921:-1 gene:WBGene00068090 transcript:CRE06614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-40 description:CRE-SRI-40 protein [Source:UniProtKB/TrEMBL;Acc:E3M1S8] MTVDFSTPSWLILFFHFMGSLSMLLNCGTIFLILFKSDKIDNFRYFLLLFQILCMHTDIHLTLLIIPMPLSPLIAGTCNGILATYFKIWSHYLIAFIIAALISQMECLVYCFVRKHQIISKLVSRHVLSNCWYVFGTILAVSTPVAIGLIFSQAGMKREHQMSYIREHHPTYVDSLLPLENFSIYSSNPLLIIVIAVTSSGGFLCGVLFMMITFDMLKMLKEVQTKVSLASFHRYKVAVTSLLAQFSTSSLLLAPLFLFVLLVASQIENSHDAAEILVAIMALHSPINAIVLVVTTPPFRNYVLRSTMDISFDLPTWLVVYYHCIGTISFILNCGTIFLVVFKSDTIDNFRYCILVFQILCTLTDFHLTFLMEPIPLFPIISGYCAGFFATFFDVWSHYLMAFMVAIMSAQVEWLVYCFMKKHQTLGKIMCRHVCPRFLFMLGEIGMPFFPVLVYIAFCKAGMPRGEQMSYVREHYIQFVSGFSSLKNFAIYTFNFWFILMAFIAIFGGAFCGLVFILSTWDMFKILKGVQRKISTTNYKRHQAAVRSLLAQFAVTSICLCPPVLFVVVILSEFRYAQVTVQFLLAVFASHSSVNAVVLVMTTPPFRNYVLR >CRE06613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:820871:822383:-1 gene:WBGene00068091 transcript:CRE06613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-37 description:CRE-SRI-37 protein [Source:UniProtKB/TrEMBL;Acc:E3M1S6] MIDFVIPQWLVIYYYSVGTVSLVLNSITIYLIIFRSDNIDSFRYYILVFQTNCAITDVHTTILMQPYPLHPMICGHYKGLVGTFFEVNSYYHAAFSITSIITQVEALIFCFYRKHQTIAKVMNGHVCPKAFTYFMNTSATVTPIFIFYALLEAGMTRQEQLDYVKKYYPEIFQQFSVTTNIALYTLNIWLQSVCGAAAVGGFISSFAFSATTFDLFRMLRSMRKRVSAYNYKRHKSAVHSLFAQFIASTVLLIPPFFYMIVSTSGHGNAQIAIQCILAVFSLRSSVNAIVLIVTTPPYRKFVLRIKPRLNSIAVSSSGNSNNALHH >CRE06611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:813802:814811:-1 gene:WBGene00068092 transcript:CRE06611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06611 MVLSKFAAITSLADVDAKFPTEKDMILELMNMELLVKQKSCKKCGNEMTLRSRKDSYEWRCRANRNSDCSSASVKSGSWFQNSNLPLKTAFKMILCFVNKYKGTQISNELQVSENTVTDWRNMLRELCTRTVENYPPIGGNGSIIEIDETHVHTRKYGRGIQRGSDVWIIGGAMRGTRIVFAEVVQSRNAKTLVPLLKRNIKADSVVISDGWGAYSQLNVHFERHVTVNHSREFGYVMEDGLNVNTNTIEGVWRRLKDPLKAGNGTTDELLPSYINEFIVRERSGNQFMEVLLGAIIKMNAKISS >CRE06608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:804850:805939:-1 gene:WBGene00068093 transcript:CRE06608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-71 description:CRE-SRI-71 protein [Source:UniProtKB/TrEMBL;Acc:E3M1S0] MHNIDFSEPRWLLNYYHVIGFTSLVLNSLGIYLLIFQNSKLGNFRYYLLILQVACTLTDIHLSFLMQPVSLYPLLAGYTVGILSNYLDISTHICALIAGFIALVQLESLTLCFGKKHQAIASILKVHIVPNPILYSCYALCIICPFGLCASLQYLYMTHDEQLNYIEKNLPELLHDFSTLSHFVIYVKSPNLTWLYILVFTGGSTLFVLFVLFISDIFRLMRELKLKISISTYQKHHEALHSLMVQFATSILCLAPPCILVIIVYFEIENGKVIAESLIAWFASHSSVNMISLCLFFPPYRKFFWRSLNR >CRE06607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:799105:803703:-1 gene:WBGene00068094 transcript:CRE06607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06607 MQFPYRYIKPGAVILICITIALIITFITWNGGNKNIESEVIGPSKLIRDHWNLAKLFNIIHLNCGFLSGELTSDETIDKTWSLPDEVSTSKLLSIDLKKLSRDLEALLKTMEKTPWSDVSFEIERSKIMAGFDAIDQKKPFQPIETSELESVFMINWQTFYNGISEMYKNIKDLENNQTVADFKLVNLNASKYLTQLEDVHKIFSHFGSKPTVNSTPIISDDLFNILDLKDLSSAAPQLTHFKLLISKLTELNLVFPQLKFLDTFIAASNVPSEMLMANSTDIFSNEEGSFLRKIFKNEDGLKSLLIAISPIDKYVAGISRLADVLPKHPIKPEIINSFVLQKEIKKNLKLLETTLSPHGCFDRDLIDRLSKLPNKNDVNLNHKAWSDAMTSLQQNFYILFSEKFRETYQNSSEKLQAEIHNITGPSLNSLVSNENFETVKTFIFEMKKFSKTMMDYTLSYSKEKEKVKIQRKVFQDWINSTLFDNSYPTCLEQELDFPILHTFSSFHKTIGILRDGSDIENLIRNSIHSMRQAQDLIRELTRVSTRISRLPASHNSNELCQRMKKKKLLEFEQGVEYLKLKFEVLKQKDQVQNLSSYEDVVVEHASTIQDRNHRKYMIEDYFQYLKANEPNITEMFQQIENLEQIHLTEDWNSLSSLSRIHEMAAEITSPIDWVGMNEVMEEIVMEGENNTNLTDALKITRELAKKSVDLDLEQTAFKDSITLLKDMNKDFNEFFEWSKPKPIGSWKSFFKNILIITTSALLLIGTIVFLILMAKQPTRNRRITAEDRASDPDFQVNEKNEPFWPQLLQTSRLAINQRDENGYTQLFLAVEYKDYELAKVLIEQGAAIDAACGPKYRSALQQAAKCGERKIVELLLKNGADRKCRDSEGKNSKRLCSSQNYTESAFAKYYNYKHIQQIPCLKRDFYVLIVERAKFDERLLEFLPDGMEVVYGYREGEVDLGDFTHFVMGPSAEGAKDIVMDLNHMLTFEILSKPGVIVSMEWLYACIDDPNLVFADWKFLLTDVTFEEKTHHDVITRIKNDIHRLRPPLLHDCEITILPTRNRIMKADRDIWIRIIESFGGKYTTSPYPALPNQPPYHYTREKMAPTLTRSIVLYFGDSVIEERWTWPENCISLIGMGWLPESIVRYQLLRPDDRCLSNEPDFMKLQMIRDI >CRE06606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:795034:798524:-1 gene:WBGene00068095 transcript:CRE06606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-usp-39 MSDGTPRNDHRSPTPEEPVERRRSIQEDTEKTTEKRSRNEEADDRDRRRSKDDKRVERNGRSGESHRTHDRERKKRDRSRSRSRSRSPKRSKRDRKEEKTDQDAEDGEIRDTTPPVVEKPREMTARELERQRIIAEELKNGQHDEDGEEPVWSMKKAQAEKKSRTCPYLDTIDRSVLDFDFEKQCSVSLSHQNVYACMVCGKYFQGRGTNTHAYTHALETDHHVFLNLQTLKFYCLPDNYEVVDPSLEDIKYVLKPTYTKEMIASIDKQARMVRAYDDSTYFPGVVGLNNIKANDYCNVILHALSAVRPLRNWFLKESNYLAIKRPPGDKLTLLPQRFGELIRKLWNPRALRTHVSPHEMLQAVVVCSNKKYQFIKQNDAYDFMLFLLTTLHTALNGSEKRESIISKTFRGKMREYSRKVIPAEDTDEEKYMKMRMPDYQERVSEKPFLFLTLDLPAAPLYRDVQLQNIIPQVPLSTLLEKFNGKTEKEYRTYNDNIMKRYELLKLPEFLIISYKRFQKNQWFVEKNPTIVNFPIVNVDLYECLAEDARADNKYTTYDLVANVVHEGTFETGNYRIQIVHQGSGKWYELEDLHVKDMLPQMIVLAESYIQIWRLNKEKTRDERGEDDEEPMESS >CRE06346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:582873:583445:1 gene:WBGene00068096 transcript:CRE06346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06346 MTGMFLVVTQLEFLVICFEKKHQAISASLDIFRINNPLRFLGYFLCVLCNFVMCIWFHFERLTKEEQWNWIRTVRIPEKSRKNLNRVFFHIQNYKEYLESFRKISHFEIYVRTSSFIILMILTLCGGIFLVFLFFIFIIHILRMMVLLKTKISAVNYQNHNEAVQSLMVQLATAAFCLTPPCLLMVFIMF >CRE06602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:783056:785689:-1 gene:WBGene00068097 transcript:CRE06602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06602 MNIDFSTPTWLVFHYHFVGTCSFILNSVVLYLVVFVSSKLDNFRYYLLCFQILCMLTDFYLTFLLQPIPLFPIIAGFCTGGIIILLMVSMMECLTLCFVRKHQGIAQIMHRHVINPIIIFLITLSTASGTILSLVTFMLSGLGRDQQIEFVRENYPFYYTEFSSLLNFAIYEFNFIFKLIAVGCFIAVTLFAIFFSFLIIDIFTLLAEVRRKISAKNFQRHQAAVISLVAQLATSSMCLLPPVGLVIAIISHYEHSQSKLSLKQIVVRILLAIFALHSTVNASVLILTTPSYRGYIKRIFIRKRTRSTDSVWVSAGVHSMVKN >CRE06365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:770729:777189:1 gene:WBGene00068098 transcript:CRE06365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-18 MDNQEQLVLINPNRNQGSEQIELVDQSQEKASESSEDQEAKPKKVTVSQKRFKIVVISLIAIIIALLIGLVLAIALGKSSKAENSKLETCWTKECMGIASMIKSFQKTSVDPCDDFYGHVCGRYPEHTTDDSGWGHKQLQRLTYQIFSLVRKTKKFSTKPNEQLRIFTKKCMDRAGMDSDSLQYLREDIEKRGGFPLIDPDWNEAKFDLSDMLANYLSLNRKRMGFLSVEPFEAVQDGQIVSLLFLGPGPHFVDSIAFNVSFYKESVAFMLERLLQLENRTMNTAEFSKAYEEIMDLEASFVKLGDYSNIRIDTDDMSKTRNLSELAKMVPEIDWFKIMRALPREATSEEMKEDFIKKIRVHGGGEYFFGEERKVRRLARIATKTPKKTLANYIVIRQIIDVALYYYDKDLPKQGKYYKKGSLQNFCSTLMFNFFPIPSMNIFIEQSEYDMENLKMMERFLNELKEEYEGIFNENQYLEPEIRSFLKKKLKGISARFGYPEYLENQPLLEKMYETLNFEETDTPYQVYTKLWRHRTEQLVEHIVQNTPLNPVHLKMFSLYASYNSYGNNFEVSFPYMIYPWIDVSFPFYSNYGHLAYVVGHEIGHAFDHFHRRLDERGIEHKYWITDTNSSKIEYEKQEKCLRDQYSNYKEPGYDFFMNGTVSRYEIIGDHMGIRAAFRAFKKAQKRETVKLPGFDDATGEQMFFYNYAFRQCDVSDPNFRHEHLKNRPHPTPRYRVNGVVQNIPEFFEAFQCSKNSKMNLETKCKLF >CRE06364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:766330:770454:1 gene:WBGene00068099 transcript:CRE06364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06364 MRNKLNNPLIFIILILLASLILILGTLAVWKYNQKLTRVAPLRNPENPHPTVTQKRRNVCESPECVTLAHQLLNWRDTTVDPCENFYKASCGNYIQHTLSDGTRNAKKRKIIKKLIKEFLVKNHTTTSKSENTMKLLYWKCQDLKNETILDLKLKRTMKEVLKDIRFLGPWPMIDENWNPSKFDLNAILINMTKLGQHDFGLFKLQSINKWELKIVSDFHTAKHIPEHKIINMISAILTANNITSKGNQVLNDIRDVYSFYEELKSNISESVKLKEIAPSIQFEKILKNLLHPKTGNWKQFKKKISNADPVFENFGGSQIVQNNTLSRILANYLVLRFLENSYSSITYESSVANGRTCDEVVINNLPRATLRAFVQNHFDRENRKIVSEMVDNTREAYLEIISNSTWLSLEGKRRASLKLSKLKKLVGYPDEYEKEGTLDHTFETLHTLPSDSFYTVMKKINRYRTEIWLNFVSYNLSLDPTHDLFQVNAFYAAAENQISKPSCCYIMIPIIDEPNLDSTYPVYAKYATTGATLGHEMGHSFDPTGIEWNEDGFYEKWLPKEDQKEYKRRVKCLTDQYDGYDDPDFGRNMNSSNVFTELFADRSGIDAAIRTYRNLNISDDPKIIGFEDVPGEKMMYHIYALDRCAPRSTQSLSTVLSQNTHPSDSFRVNGVLSNLESFSKAFNCPVGSPMNRKKKCYIFVD >CRE06601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:761986:766105:-1 gene:WBGene00068100 transcript:CRE06601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wht-4 description:CRE-WHT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M1Q9] MQDFPNASLLESRQTGTETQISMDQQNTTVTAMDNGDKNRKKIAWSGIVATVPQAGGGRKEVIKNVSGVAEPGEVLALMGGSGAGKTTLMNILAHLDTNGVEYYGDVTVNGKKITKQRMRQMCAYVQQVDLFCGTLTVREQLTYTAWMRMKDCTAEQRMERVESVLRDMNLIDCQNTLIGIPNRMKGISIGEKKRLAFACEILTDPQILFCDEPTSGLDAFMASEVVRALLDLAAKGKTIIVVLHQPSSTVFRMFHKVCFMATGKTVYHGAVDKLCPFFDGLGREFRVPESYNPADFVMSEISISPETEKDDIQRIEFIISEYQKSDIGNEMLKNTRTTIDEFGGYGEEEEDDGSNRYNSSFATQFNVLLKRSLRTTLRDPLLLRVRFAQIVVTAILVGVVNWRTELSGPTIQNLEGVMYNCARDMTFLFYFPSVNVITSELPVFLREHKSNIYSVEAYFLAKSIAELPQYTILPLIYGSVVYWMAGLVASPISSFFVFVFVCITLTWVAVSIAYVGACIFGDEGLVVTFMPMFVLPMLVFGGFYINANNIPLYFRHISYLSWFKHGFEALEANQWKPITEIPGCSPVANPLTASATQGYCPAVNGEGILERRGIDTPLYVNVLILFVSFFIYRFIGLMALKVRVRFAK >CRE06599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:751984:756243:-1 gene:WBGene00068101 transcript:CRE06599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06599 MVLECFRGVIEQIRRRLRPHRVAPGGDAMMAAYRAEFRQFGPMDQPRGIDENGRYVGEPEDAVDNRLAEVGMEEEIMFFHHELAPIEEEDSFEIDELMEQGGHVEPAADTIPPAGHTIVKIMPVVKNVILPTGNKWDYEEWTMSDKTSSKQSTSKVDDNRMIVVETQMSVKERRKKVKKFTKLIASSVKVEDETRLQLGELTAKCSEQEADAILEPMRIFHRALLEKFEEIRGDEWPRSVIRVMREFKLESVEELREACAKAAQVQVEENLLTECWNEEKEMLGEQIRKIQREKEVAEAQVRKLEKALTKLRKKLEQEERKPNGLWDKAQEIAVETVTGEEKEVVKPKKKVFTIGNLGIRVGNMDTTKKTVEKSKEIAVDTVTEEKKEGMKPKKTVIRESKILITPRTGVKGKSIFEYRKSVLDTWKNRFDFANVKAIVFLVNLTEDEETNQKLGALVLELAEEVKEITIIPYQMDCAKSGLVECWKRSWITAGHVKWSESAASAVEKFKTWKQLLEFLEARTTENVVVAQLRKESVTSEPRIKEDKWSHQ >CRE06362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:747939:750712:1 gene:WBGene00068102 transcript:CRE06362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06362 MSLGVKKNVTSIDSPYVWPQEDLASSTSLPPWYLPSVTSRPTVKAPEEDPEETPEEAPEGVTPEVEEPPPKETPEPVDARPTCLTETCLKEVDRMKFLINESVSPCDNFFQHACTNFDLYKLEKKDVPTVQYNEDEVVKRLSKIGDFLEHRLHELVLPSEVNLRKLYLKCLRRDHRDVEDLLKTLRHDLWWPFDWVDQTKYDRILAKILTSLVELNPSNIHLFNVVGDHKQLIIRSAFCHGNHKSINVKIVKQIFDAVSKDPENEDRKLLEKSLELALKMDLTREYCTEMAPPQNRNQEFIVNYTIPSIDIPGVFADVLNPSQSSRPSKTRTKVEQHLIFKESHEFFNSTSFFNEMVSSRRIEMVHYLVIKYVEEMATEMLLSPKSNCVKIAMSLMPRTAFSVYINHFLQPENLHAIPHLINSVKSQYFEMIQKSKKIDDKTRSMLIKRLTHLRPDIGSHGIGISENSILEKVEDHTGESFFKVYTRMNIQKSRDSLGYMVLDDMSISGKTHAISSFNQRVRPGKMFAYMMANPRFDISYPTAAQYGKGGHDVATDMAYIFQALRMKPFRDTLKCFPNEERFGDLNMFIDYIGIKASYDAYKKADKSKSDKFPGFHDMSDDQLFFYSMVQSTCQEKSDAHGQRVNFLLQQLDGFGEAFNCPADANMVNPKTKCGELL >CRE06597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:742228:746352:-1 gene:WBGene00068105 transcript:CRE06597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06597 MNERDTENSLLLDLTQSEEIEEEKEGKCSKYCNPLVSIIIFLVALLIVVLALVVFWKMEVPEKTRTQIVGFNQSQISELIKTREECTTAECITLSSTLLNWQNTKIDPCDDFYEHSCGKYHEHTMNDGTRVNEKDRIVIKLIQHFLLSNQSANTASEIAMKIMYRSCERSLQPRNIITYREAIQKEVFQNIKKIGNWPMANMTWNESEFSLNDMIANMAKLHMLHYGFFEAGILDYPIDAAPKQLMIRPARSRFDMFLPEFTHVISELLRLSNVTFLNETLTKDIQDGLNLDTELGKFRIPDREPESVTVEELKRAVPAIDFERILKTLLNPERGNWTNVKNRTTAARFESFFNPEKNISSIIFKTKPRVMANYLIMKYIEHAYIYYSMRFRYASKYSHCLELTINLLPRAALRVFVRNHYKKENMVVASKMVEIIKDAFIEMFENSTWLHEETRKAAILKVKKMNKVIGYTEEYEREGALDQQFETLHLTPDDSFFTIMTKVHRFKTEQLMEYVSTNSLLNPIDSLVVTNAFYFPGKNLLSLLAPFLDKPHFDAHYPRYVTMAGVGRVIAHEIGHGFDTEGRHSDETGQYRDWWTPEDSAEYDKRASCFQKQFDEFKDPFLGEMQQGLGVLSEVIADALGMEATWIAFKKLDLSNEQTLIKFGDQDQDFKKLFFRAAALDFCAPNRIDKHSPRHLLKWSHPTHRFRINGAFANSRSFTEAYNCPLGSRMNPIERCDLF >CRE06596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:736699:741052:-1 gene:WBGene00068106 transcript:CRE06596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06596 MQSNILLSSFFISFSIFSSLYFNLLFQENSPPVPVPQPLPYKMSVKDKLTNPLTGLGIVISFSLIFIFTIAILTEMKKKNEATPTPQPVKSPSPDPGTPSDGTPSTKGILETSTLIPSRSSSQSPETTTTEKKKRKMCDSPECITLSYQLLNWRDINVDPCEDFYKASCGRYSKHTDTKGTRIVEKEAITIQLISDFLLQNKSSESRSENTMKLYYHKCRSLKDKTIFNQEKSKSLIDLTEDLKSIGSWPSLDKNWDESKFDLNEMLANMAKLHVRNFGIFQFKKDDSKHLNLMAPDGVKFYKGFIKTLDEILTANGIDPKSKEVADDSNEVEKFIKQLDNPLKTEKFNEYNTKLLKSHTPSVDFERIIKNLIPKGKEMEENLVKKMRVEDSEWFFGKNQNVRKTFILEIWTSVCFQLEKLLESTPKRTLANFLIFNFIAETTRTVPFTFVEMKKESCGKTVIHAFPRAAVRIFVRNYFEKENLKIVSEMVDEIRDSSVKILKNSTWLQSKSEAVKKLEDMKKMIGYPEYYEAPGTLDRIFGSLTVSPDDSYYKLTQKMLRFQTEQTVEYIADDLSLDPEHPLFETNAFYTKSQNVLSIMVSIIDDPLFDDSFPKYAKIVSTGSIIAQEIGHNVEYSIQNPEDLAEYQKRGKCRVDQYSQYDDPDSGKNVSSVCLGVYSEFTHFQPNAALTMSQLIIDDFGADATWQTFKNLDISEEAIIPGFKEDDMDKLFFHIYALNFCSPQNFDPDSKTTPTNSFRVNGVFSNMPSFAKTFECPAGSPMNPKTRCELF >CRE06360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:734822:735265:1 gene:WBGene00068107 transcript:CRE06360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06360 MRLPIFLLLLFCTTVLCRPRLSGCNHHRRHQRSEWARTVLSNHLHAFEGAIKSGNKALFVRLFKLKDGRFKYKEFSECRFYLTANDTAELQTEMERFKDYRFKIVKAGYYPSNTRIYGYYQLLSKIGFSDNEQQYRVVIEHVSFDTL >CRE06595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:730737:734275:-1 gene:WBGene00068108 transcript:CRE06595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06595 MKSQNKSSNMIVRKEIIFVVVVTALLVAVLLGTAIFELMREPMAVHVRAPEALVAPPSTKSLVVAPSTKSPVDKEKLENTSTKKMARTVPTKAPAVTKAPRTACETPQCMLLAHQLHNWRDPTVDPCNDFYQYACGRYLEHSDSTGGRNREKEDIIVNLLESFLKYDKSAGSKSENAMRHVYKKCQDFSDNFKKRIKINFKEMYQDILKIGSWPIMETNWDESNFDLNSEEYWSTVTLSKFLDRMTQMAKLHFSEFVFFTIGSATNKTIEIGYSQSKMFERDNLSGIRYFIKEICEVNHVSCDGKQLKKDQKDVEKLVGKLINVPQLKNPKYVPFETVKTKLKFFDIEKIVDPLMAPESYKSMKNHFVTQENTITLEEVEKIIQQTPKRTLANVLIFKYIEKYANYIEEDKKYAKSMDCVEHVNELFPRASMLLYVRKYYEKKNRDAVTDMVNPTRETYIQMFNESKLISQKTKERAILKLQKLRVNLGYPDEFNNQKNFEKNFESLNFEDTDSYYSSIKKIMKYQSELFVKYLVGDYPIRPHLKLNMVNAQYSLNENLLWMFVGYLEEPKFHWSYPTYAKFASVGRIMGHEMGHGFDIRGIERDENGHLNVIFDKDDAKNYKNRAKCLEQQYDNYDDPDYGRNVGLLSVQMPNNSYNLQLKGKMVIKEIIADRLGQEVAYRTYHKVMSQNEQYIIGSQNQTSDQMFFNLIALDYCRGRSTRSLERILQQSHPMASFRVNGNFQNLKYFADAYNCPVGSPMNPKKKCDLF >CRE06590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:703010:708551:-1 gene:WBGene00068109 transcript:CRE06590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06590 MGVKKTSQTPKQPKPPMKLKPMKKEKPPKKPEKKKEIEKKEKTLEKKKGPEKKKVPEEKKGPQYLKPATQSVPSSGISSAASSTISKSDPSKTPEKKKKPLKQIVLFSVLCFIILALTIALVIVGSKDAPVSPEPPTPTPTQETVKPRNVCETPACITLAQQLHDWQNTSVDPCHDFYKYSCGRYKEHVEFEGPPIYRKNNDVKRMVKEFLLNNENTTIKSEVAMKALYTKCEQSKLDMANSKSTREFYKEIREKMLEIDVATSGMLDETKLLKSLFIVAHPVGNKVNLGLFTLRFSYDSKIYLEAPKIELFADEIMDKYHSVYMSGILPYTMDIVFDEDTTRKVLKLFKVKLYIEQKQTSENTWLEFGNSGVNYKVPQMNFERLLRKLKRRKSVDFENLRKKFFVSNVPMFISQNNNILSELFEDHLEDLTYFLRFVFLDISFKKWKHFSADTECHEHVMELLPQESLQFFARNYFNKKNLKDVTNVVEKTRSSFVEMMKESNVLKENKQQAIQKVENIKSVIGYSDGNDVSGNSSYSVYLSPHRSYFEMMRTIDNNYIQRMIDFASLKSSVHPYALNPIARTEYSTPSNYLSISAPIIDNPLFDSTYPRYAKIAGIGNIIGYGMGHGFDRAGKRINSNGDLWSGWTKEEEKMYFNRTTCLFNQYNTYDYFENWKLPKETFLALDDIIANNIGSDIGWKVFKKEDVSQEPKIIGFEDYSIEKLYFRIGALNWCSSPTHQYFGKNDPVVLSFRVNGVYSNMNSFAETFNCPVGSRMNPKNKTISREYLFDSSTTATPTTTRPITSAPGTGEPVTNAPATDEPATDEPATEAPVISCETCEISTIAPTLTDSATAFTSKELSATGECKTTQVKCARTDDQLCTDVKMTANGSPLEASATATDVTATLTCAEDGTYSSGTMEMAYPCKQAEVTCSRTDSLLCQSIALLGNGIPFGTVSESRSINSVVYCGEDATYSSGPAASLYSHVNSMGVKIRRVSWMSYCIQMIIILACDACDITTIAPSSIEAGASFQHRDDTELNGCRQIYVFCQRDDSKVCSTISIKRSDLRVVL >CRE06356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:699365:702242:1 gene:WBGene00068110 transcript:CRE06356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06356 MVLNLKSKPSKEKVPKPKKEAKPGKKLNPLKKEKPVKQKKIKAADPKKEVVGKPKDPKQGKVKTPNLFKKPKLLKKDEDVKVYNASPAPGQAPRTSEQEEKKSYKSILINGVLICIILALSLALLLVLLLSGKDKRNVCETPECISLSQQLLNWRDESVDPCNDFFQYSCGKYNEHVTVQGDSLDKQRYILNGLIREYLLKNKTTSSASENAMLQLFAKCQEYRNITNGVRAENFKKLAELVKNHVGTWNDVNFNITKALPNIVTKFGNVGNIIDFGLFVLGTITGAEIAMEESQYKLPNQDTMKKIISETLKFTPSNDDLRGILSFKAEWDGYFMKDIKGTAEYKRVKTLIPSIDFDQIIKSTFKSAETTWPKIESKIIGSKLIIKVFELHGAKLEGLIKGKRKDLGNFLIFKFLETLFNDLNLNNECHSMVTELLPLASYRVFIRNHFDKENMEDVAEMVENTKDVFIEMIEDSEWLDDKTKKNAIKKAKAMKTIVGYQKEFEKAGAFDEIFNFEIKPSDFYSEILFKARKYSVQQLTSFIASETTLNPMLLQFTTNAFYFRFDNSITVLAPIIDDPLFHHSFPEYAKIAGVGFIISHEIGHGFDNKGRNHDENGKQKMWWTEKDVKEYEKRMMCLVEQYEKYDDPSFGKNLNGSLTLGEMTADQISSDVSWRHFKKIDLEEEQRLVGFEDYDIEKLYFQIGALNWCSPRPSHSLQMQLTDNHAVNSFRVNGVFANTKQFADTYDCPAGSPMNPKKKCEFF >CRE30476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:34018:36924:1 gene:WBGene00068112 transcript:CRE30476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30476 MDVYQSKRTKLTRPKTRKTDLRICTFNCRSVATDNRLAELLEETNRIKYDIIGLSETKRSAKVHMTNRDGTGVILGKRNNSSVSGGVGFIINKSLMPKIKEIKIVNHRIGYITLQVNKNQCTIIQGYAPTAKYEEEEHSNFYENLEDVYKSCKSRYKMVIGDFNARIGERKGNEVFIGPHSMEKRNDSGERLATFCEVNRVFHMNSQFIKATHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHHQDIDKEYDTLVHVLKNAQDAAVTMPNNHSRNRLTDNTRILLAKRRCTDRSDPNFKTLSKECRQAVKKDHENFTKDRLLNAANQKRSLKKVARDINEYQSYIPCLISGETGEKLTSREKMEIEVRRFYSNLFATKKPNVSTTLSQQTEALPPFLPEEIQHSLNSFQNGKAAGEDKISADFLKSCHFTVHKLLAKRFSRYLKEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPVLYKVFTKCILNRIRKSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYHIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSVYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHLKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINASKTKVLRNKFASSHQIHIRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTNALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKMSQVKDPLAFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE23689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:303753:305224:-1 gene:WBGene00068114 transcript:CRE23689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23689 MPYELLNIPRMGMGVNHLQIIRSRRTHPFTALTEFVDNSYDAGARHVDVSLTKYKPGKSRLEILDDGKGMDLDEARHLTKFMGCRKPAWQIECYGVGVKAGGLLLRTETLVLPKKNQTQTTVLLSGTNFHLDTIFIPYYSTEMDGQTAVGLIDEDLEHFEVKRKLIDDYSPLGDGETIQDLIGKIPKASGTLVIVGPLRERKPGNPILMVPRGIADLVRPHRHIFEEPIHQRPFRDHLQRLFLTSRPQMTIHNEPVIKERVGENWTEKHIDRISYHSFRDREPLMSIRNVFDRMLDTTAEEKEQLLREKESLSLKLSNINAMLKGWKQRFKKDGINVTVGLEVENPIDGGVQIYGNGRLIQWGYKELPFYQREHATRGFSMYLNLPLDKFPANCDKASLYSADFWPLKK >CRE23688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:292613:297385:-1 gene:WBGene00068115 transcript:CRE23688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23688 MPVHETVWVEDGAALEVILETAVLTAVRTTTACHIEATRRPVTPLAVAVLFAAAAAEEMKAKDALRIETVELAVTEIPLIFVSVVSVVMEDGCSHYRIDEKVSKCMQLTKKKKFPAHLEYVAQHANAIFQQDDVGGNQRQSPPREIRGGRGQDRFPRHQENERRGLSPPQNGHREQDQVQNRNQEEESMEERLRRVEEMIRGIEQNIIDMAMGRQMMPQNHHHYHQEQIYQQHHHYHYYQQHQHQNRQPQVYWHNNHGGHQALHQHHDMEQHHHLGNWYGNPALQDHVHQGNDQQNQRYQHYHEHYHPHHPHQNRQPQINWHENHGGHQAHHQHHEVDHRQQDGNWDENRADHGEHQEHHPHQNLQPQINWHENHGGHQDRQEPDIPMLPPPRIREENGVAVIEYLPQQNQVLQRHPHGAPPAPFIFREHMPIDESFLLWFNYHITNHLNEGIVRDADLYRAVLRVDDHFPRPDVETFWRDLDEFRLRMLGPERPQTPESPQYPGPGSPGYIGPGEENGGVEEEPPREIAREELRVQEDNERAVEEPEEQWRPYSPGSPQYDPPEDHDEEEEPQEVNFNNEQVQYRLRFFMQSMFSKIIYTYTS >CRE23660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:284530:285647:1 gene:WBGene00068116 transcript:CRE23660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23660 MVPPVRRRPKVPRRRAYAVNAIVYRKAEHGSPENFILTHPFPREYFTALVAGKSFHKTIDVIKRSKDPIVQKLYDAQYNFINCLQQEMECTEKTAETTEVFLSELEEAWQTQLPFSQDAYTAESYADGLRRAKYATRERDVNRERMFKETGREYKPNEEEKIERQYQEQVSAERERNKKREKSFRGHLPKNRQRNIKEEKQEELKHLLKKTLHLLVKCPRCGVHMGLAEFTSVKYDD >CRE23687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:261675:264336:-1 gene:WBGene00068117 transcript:CRE23687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23687 MVAPSRKKSSVQPHHDTHETTSHKNQRNRVKHTERRRTDSVSKEDGMEYNKNVRKLHKTFLTQNEEAVQANQNSGSNSDTDLPIFDPDCDPENPKKLVFSDISSAAFNIKNNLIVTPCVRSLQLSTKFEMDLYFKKEYLQVTGSFKERGACYALSRLNEQYKKAGVIAASAGNHALALSYHGQQMKIPVTVVMPVIAPLMKIQFCRSLGANVILKGETIAVAKEFALKHAKENHLKYINGYDAIDILAGQGTIGLEILNQVPDVDTILVPVGGGGLIAGIAVAVKTLKPDVHIYGIEAETCPSFTGAYEAGHIVSAQAKASLADGLAVPTVGGNSLETAKGMVDKVITVSEESIALSILRLLEVEKAVVEGGGAVGLAAILEGKVPELKGKKVVSILSGGNIDTTVLGRSIERGLAVDGRLVRLEVIVSDRPGGIAELTTTIAHLGASIKDILHERAWISTDVFHVKVKVVVETRGKEHVEEIKTALNKIYGNVELH >CRE23657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:253944:255227:1 gene:WBGene00068118 transcript:CRE23657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23657 MSDDLYPRRRLLSPLDGYEEEEIDGKKSREKYLAYKEELRKKAHEKFEEAYRDWDVPYPNDPRTRTPRWEMTKEEELHWRSLQREFTKDEKYAAFTITNDPVPEKPVDANNLPRKCKTPDRFLSIEQVDRDTKETIQITEMLRVEDNVGINKSFRRMYKKGNVRPRNASERRRGFDEAFMEECSQYVLLEEPPKKIKESRRVPTKGIEKEWIASETDKFKEAKSERLEKRKRRREEKSKSEPNKSQIPCSAIAGVLEKPKEQESQNQQDPEPTQMSSSTISKVLEKPGEQEFQILQDPGPTEEETYDRVCEWLDEVAKTEPEVFYDDFDDFE >CRE23686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:227712:235238:-1 gene:WBGene00068119 transcript:CRE23686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23686 MNRITRAQTKAASRNLRSSTVHPKPNYRFQAPKGKRHSQASTSLKVSRKASKTTPSRKTVKKQATEESSSSDEEEEVAVTLPPATTTKRTGGKRKRAPTSLSSAQKKKKIDRAIQPTTSAPPVVNNQNAGISQEDLEALGIDEATFEIINAYNEPPFDTVDCTCDQRPHSSGCPKRTPGYPPYLVNLLTTVFNHQTRPNNALSQKLASLTGLSVQILKKWFVNKRDRVRYSMQKKQGQSASQMTVEEPARAPPVQFHRSRQGTPYPNWEHEDEDPENADEQIEEPAQTPPVRFHRSRQGTPYPNWIEENEEQVPEYADEEMDMDGVEQQSDSEDEGFALEESNELPRLPPTEGSSGRSGNSISARSHEVSIEEPGQSSSGHLLGSRQRTPSSNEMEHQGPEHGDELMVVDGAKEPNGPDYEKLALEEANERSPLPPTEGLSGGSGNRISVRFHEVSIEEPVQSSSRHILGSRQGTPISNGIQQEEQGPEYEDEQEVINGAEEPNTPEYASEGLALEEANKLQPLPPTECSSGYSGNRISPTFHEVFLEELAQSSSSHLLRSRQGTPISNGMEHEEQGSEYADQQVINGTKDPYAPEDEGFALEEANELPRLPSTEDSFGYSENRTSPTSHVFVQEPAQAPQMAMESAEDPYAAEYAAEALALEEAIELPPLPPTEGSSGYSGNCILRSSHEVFNEETAGSSSAHRLGSRRKTPDSNEMYNQNHPEYANKQMVMNGTEKPFTPDYEGLAVEEAYELPPPPSTEGSSSYSGNRISARSHEVSITEQDSRRYIPEHPVPLTLETIANSKTERIKFGKVSVSRVHVVAMVGSIEIKENDLALSITDANRQTRVMVQKVISDSFPRNRAEEILVGDFVQILGRIRMMENGTSFLNATSLDIVPRAQYNIHESLCQISKVFHEKNLPFLPTGTRTNVPKKLGLEERAGQLDRFPIQMLEESSSVENNMGIWPKEIEELAGCMEADDSEDSDEDEEESEEESEEESEDSFDDGTEPVDQVNNASVQESLMKIEDSIEAGPDQ >CRE23684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:218511:219023:-1 gene:WBGene00068120 transcript:CRE23684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23684 MLSAIFCGCFSGPNEVTTNEVKPKDHDDIPVTYALVRSWGVSFENLMKYRIGQKHFADFLKGEFSDENILFWQACEELKREKNVEKIEEKARIIYEDFISILSPKEVSLDSRVREIVNTNMGQPSSATFDGAQSQIYTLMQRDSYPRYIASEMYRSALQSFGITEEVVNM >CRE23654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:209864:210975:1 gene:WBGene00068121 transcript:CRE23654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23654 MRTLICVLTVFFVATVTSRKLTTANNGWLQRRCGYYNSAEEMWFTYQFNERVMLNWAVKIYSEYLTIVDGTEMAVTTMAPGVILTPFHILTVNSIKHVNESLSLNNMETNIKNFSCDGNHLIVPEQFYPSFDYDLEWYRRFNRSRVFENEVVRITVLDGCTELTPTNLMVLEMKKSVLKPPSTAHHSFKSIVYPVCVANSTAIWWSLDAHYGYHISEGKFAADAYKPVKCETDGPYLCAKTDESGPCKMMRGTIVVRRYSYASQLLGVSFDCQTDSAGNNIYRFLDLTHHMEMICEVTGNCVTPDMPTTTTPPTTSTAASNATMPATDESTVSDVEEEK >CRE23682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:205193:206664:-1 gene:WBGene00068122 transcript:CRE23682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23682 MPILPVLQHNHSQQNFFQLNHRVSTNFNLGKMSKRQSKAVTPSQPSAKRARHVLGEQSSNSNQSVPRQKKAKRDEDHIETKSNASQFQQPQSTTLSEAEKKKNMGPTIKKGKIRVGVKFQAKIPKLLSTVTKQDYKDEKDREERIWAPYESDNKNVVEKKELEKKFHDNVRDVYFMSIWRQFDGHILFEEALHHLMKNKYNMADSLDTIDEVLKRRPLVVKHARMAQTANLELYGVNEMVSMKDLQQKALPNFSLEEVHNYSFQYIRNFMFHNYWNFLCMCKDKLCTIVEFEPRIGCVNCTKNSRNPSANEKLCLICQTYTALTGKRRPARDVCFTAEEENTIELWNTKERDVGRSLRRDQFEKLIKDEDMQRLRNLEITEEEKIILNFSDTETEKNYLRLNKKAKGKYLIDQLKPFKLPLFASCNCKGVKKRQDLIAKQGLVLPDECFGKNYIMEFLGNFNPWFDLNEQMEK >CRE23681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:193049:203191:-1 gene:WBGene00068123 transcript:CRE23681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rrf-4.1 MSSTVFIRLAVPGNENGRNQHIDDQEQFVIKFMKEFKVYDEIYAKNYAEIDGERLKIKSPDGFGLSLPSFLDGISSLVCELKKRFRELSGTVILQDPALFNQRLHPSIRKQPTERILFGNILHDEFYNHFNVFFKPGFTSTHPNGPEINTIWTHFEFDKVWPVTTEFTVKEWDDNSETWVLAKYLISVEGDAIRQIIVDQRHQPLQGSCVKRIYFVMKTPVVIKKQVNPMSAPEKKSYSNRVLDLPCGHNPNLRSSEKVLTENKVFCLEFGYNTFDECTFHIILSRLRCRWNIPIECGRFFDYYRKDIPHVIEDHKKSPYITEKPCDLSDRDFSDFLNAIFPQSRSGGDRKVADRISERRFTYTYLIEALLSRGSSVKDQLLLHRDRWLKFLRIIYDHVDDDADNSFWQRHNIYFQYFLSEKKGFQLCEAALEDLLNHVDNRPRLGDLIKVFKRLCLARKESGLSNQITEEEWKEGYRKVRKVILTPTRIIFLAPETIMANRAINGADHDGTRIIRATFRDDNFQKMRYNQLRDLLEERTLNHLRDGFVIYGRGFSYLASSNSQMRDGGAYFMERWNKTQLAEYKERYPRIRFPDPSFKPKIIDYRKKLGKFSKTGSIPKAMARLGQCFTQARRCDGVEICLQDYTMIVDAVGGAGQYKFTDGVGCISARLAAKICQSISIKCLPSAYQIRFRGLKGMLAIEPSIDEIADYFLNIYRKGFMIKESIESADLEPYTFKCLFRGSQLKFHSSRGKVEEWPIEMVKWSSPTPVTLNKPFINILDQVSAMQSLKCHKRVTARIEELLSMEMVNYSKWIVNEEQCRNRLEGMPRRVHFATLERKYGFVLSAEPFFRSIVKAAIDSSMSRLLRKLQIPIPSHLGRSVFGVVDETGQLQYGQVFVRYTENVDNKSPLKDFGTTLTGKVLVTKFPSLCEGDVRMYEAVDIPELYHLKDVIVFPQTGPRSQPDEMAGSDLDGDEYAVIWDAELFFERNEPAFAYSSEKPEVPFTSSEMDHKMNLFYAEYMKQEDVGVTSTNHLHQSDQFGINSDVCNAIAVKNAMALDYSKSGVAPPPLTSEWTFNHVTGINEPPERSERRPDFAANRYNGNVSYQSSRLLGQLHRELRCVNDVLASSSAKQVPIEIDPLLVWKRWEKYAEVARVQMIRYNGQLRSIMDTFGINTEAEIFSGCYREIRNRHSEREQDDMSLYNTETIIETQMTEIYRKYREQFFAEFLEGENAYMRLTEVENSRMSDDEKDVLRRVCREPNNKMMAKAVAYYRVCYAAAQKTGDQKLSFAWIAYDILNTVRQKNMFASDDILVPRPPQFKAICNHRKTFIDDDKQECIKNGGTHKFGKFIDRLLVTSSPFAPPLLDKQEERATDIIISYVQNNLELQKCLFIIESWAKAKGLLSDNLKTPQNGLKWYHLALMVIMVGTNKLGYLIDENQRQSESSIIDFIPPSNIISQAPRNKLSTANQDPPNPRKLTDTDLDRLTLSFFHYLSTQDFRRLRNLNFKPFGLSSLFMRGEWIEYHTAATQTYFNILLNLRFDDLPISTDRILDRRSNVIEGDPFVVELPFGIKEKELLEKLRENSTCVEIQGRVDKKFVEGVERFVISCRGTIEAINQLKDFAIIKLPRYQYQQNERMAKPLAHLVYYQVMGEKYVDKKPNRPKNQKNDKGRNRRSMPERQVSMPGPIAPRRGGQYGHAFQGGPSRQTVGGAIQELSEDCF >CRE29224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig319:52248:52859:-1 gene:WBGene00068124 transcript:CRE29224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29224 MHGIHIFSLKHCYFSFKPDLKFRAHIKKSVSLARLRSSQILKSFKSNNPAFYSFLFKTYVLPILEYASVIFCLAPSSPLSRLLESTLRVYSRKTLQRCNISFSSYSHRLELLSIHSLRHRRLKAQLLLIYKFIAVASRFPNLNSFIRLSSSPRRPMTLINLSPLSDNFFSFILPIWNAIVANVNRFLSPTQFESYLDTAITRF >CRE23680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:184840:190101:-1 gene:WBGene00068125 transcript:CRE23680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23680 MSRRQQNKKNLSSRGHPAIPLPQDQADSQNQKPDSRGPQSSEPIRAKTEGPPSNSVVSSKGYFHRFINGVYNFAFGKKTDEQESTSSEKHVSLRQASARSLANNVDLVEDAPGPSGFVGFNHDMKQENTPVRPSLMEIQDNVNLKSGRVTKTPRSQNALPPRKAIKRTRQSPCPGSSSDENSTPAQVKRSRREMSPMDNDGDEVESMQVVEKGLEICRKLRLRNAQGRCLNQEEIIQQKKIEMKRCQVGDTYQAVIQPLLRSNPTLEYDDDVEREELIWEPHESLAHTEKEEAKEKNNHKMIRNEYFRAIWRQFGGHILFEEALLHLMKNGYDLAVSLETVDQLLKEKALIIKHARVAQVGNLAKYGVNEMISLKQLQKKAVSYQNLNKIQKELPNFTLPEVTAYHFQYMKHYMFMDHWDGLCQCWDDLCQLEKFESRVGCANCTKHRRTPIKGQGLCLICKTYKRLTKRMRPAANVFFTLQEEEQLEKWERKERIAGKALKRVEFERLMGKDNEERLRKLKITDEEQEIMKSMDRKTKKLYEGMTVREKGDWLVKQLAPFKLPQMWACYCNWTRIKAQIIKEQDLELVEHPEPLFVFKFFENFNPWVAGWKPNKKTNQKGGKSENVEK >CRE23653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:182110:183425:1 gene:WBGene00068126 transcript:CRE23653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23653 MNTNKPLYYPSLKVVLLYMEANKRISLSQRCPLLHKLEKLLPLRIEELKFSDFATIVNNVSYKLGIHQKDRYGPTPAHIEEINQEGGVLGDIDRYGLETNHGSQYVFPGDVVLREQLQHDEEFDVENYLRRLLQIFKKVEENSKKLVDGNVFFNDALLGDTIMTTLTEGLPIENEDVEAIVSSFVLDPKQYMKSIENTNNKLAPYNNRRLGVDAPYFNSIQLTISSAHRVERVVYNARIFQAMNYLNSVLFGGRKSAIFVKNLEITSDQQVLRLPAGLKLKVLNLEYYNSTMSSIGALKAILDKSSFPLNSLTLGGATDEFMDYEKALFGEVKNLILDNDFMERESWTPILQGLNHPRVTLLYENTLEPANDYTDLIQFWMEHKRHIGTWYTFSIIEEETVLRCLNTMKLREEVTEVSERCV >CRE23679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:171385:174911:-1 gene:WBGene00068127 transcript:CRE23679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23679 MSEQPMSYDEELLLDRGPREEEDMQAEYQRQRNRNRGQRTDDGEERRRRIRQDKDEDSDVQIIEKPLRNSASVSEAGSACKTDKPPPQVAVGPLGSGGHWRDSENGERSSRNAGETTRKRKLGEANSHDKDSIHEENNGDQGDVESVGEDDSEGSSPVIKKRKILKTRRLRNDNQRRLTQRHRERNWGIFREVPEDNGDIGREMEDNVQEDYKIGGEGKDDAPHVVNISGTSTAPQNGGTKIVRVADLFSHYTKGSTVLRPDIAARVQRGADLIASIASCQAGLESERGRASRAAAKRPTISEMKDGRKRKIDEKMKMAGPEILESEPCFLVYQKLMCYGHSDMSDYTKFLVHRTSKMQDELQRHTIDVSALRLVLGDPKKYWSTIRMSPDSHTNRFTGWDKTKLRAELMVTQKELGTAFKTETTGFTLYHCRREWNEQLEETLAEMWKKENPAWVPRKTFSLVNMMERKDNPTGLFFPKTLRELGARRIGVPRSAWKPIKDVIKKHGISWSVVTKDMDEVLDPNFTLPQGKFYRQLLLNIGQLFWFSEEDKKWHFEEKDELELERTILENIPCNDRGEPFVLPPRNLRRV >CRE23677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:148819:150271:-1 gene:WBGene00068128 transcript:CRE23677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23677 MQCCEFIISSHVKNYSNSNFSIFLSEMQHFSGMTSYGYLAFPSTAGAPTFNYQVPPMSMFSGTPYSAAMIPPKNRRERTTYSRQQLEILESLFNETQYPDVFARERVAEQIRLQESRIQVYIGKKVKIKFESENFQVWFKNRRAKYRLQEKQKPKMKQIKSQELKSEEQQQLTGEALRGSVSPGYQPQIKSELESCDNAVVSSGNKLSTPKSVSPLDTTVSTTSSNNSTTEQQWGEVEKKNENSSSTAVSPTEVPKSATLSFQPTVYNTYPAIYPQWSNFDYTTYANQPYAAQFAHNTYAATQFWHQNGSS >CRE23648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:133276:139569:1 gene:WBGene00068129 transcript:CRE23648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23648 MSFYPTSSTVPAVNRLTAVKQKQSTMSITSTGQELAGISAPTLVAAAQPTPAAVDQSASAAAAESIRMEKQMNKGNYADVKFIIQLVKGKTKYFFSEFEGVFQFQKYYLRLDMIKRVTGRVYPKVTKQVSISNAPNMKETEKTETNRDNNLPGSKLARSTQLVAKIAAAKIQDITTGSSSGPTASCSSGVLTQTVSSNTGKTRNVEAREDDQDASNKKRSTSPVFFQTCATRDLDGPCGRYLVPISTENYIAAKSKEKKVKQAGSTIAPMSLRNSIAKSSDNLPGSSRKSQDSIVFRNSAFYAVDDPLFYCPMSETALEAMLEKSNESNEDEVVRCDEESSFYKPKMDVPQSSQLTLPTSTTTKMVMEPDASGWMEEAELMQTLAIQKHLKVEKKTYQATSSTFTSKHQVEILYVETKAPSEKIQKINLERQSQEKDVVAISNGFEQERLTPPPTEQNATTPLAECQSEEVIPDIGSPQEISTEVFNQYLQELLSLAENSEEQAEILIGEVKRFEERRGLRLRQVHECTESPVSGRSTAPVGSGALHEVESVEEFDLSDEELILDEESDEDSDGESGKDSRDDSGERYEEETVEDSGEEKEEEIDEDGDADDEFEEDVKENKLLVQMMQSLDLKPKRDGDEKKYWVGKASDGGKMSKNEKSEDHETKVDKANEEQVEDEDEYENNETTDEKTDVARDDYLATNIMQRAKRLRYFLIKKSNYMNEMSKLGPLDKERAEKVWEQTQHIEEPAFEIISRALYLTKEKPIFHLGFFQELVDAAVRHFNIYGVTIVDKYQSEKRELLAKDQLAEQ >CRE23645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:114177:116143:1 gene:WBGene00068130 transcript:CRE23645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23645 MENLTLKRKREETKTLNQDENSSGRQSPVSRRRRVESSERSTTSEVSYDILEMEKLLTHFQYGLPDMENMEEDDMREYEVIEEVDSSKASSCSDGEDADDEREEDEGDEKTIEEKTGENSKKENEDNEECDSSMDDEEESSEDDEEDDSRSSTNSDSDEDEALADLKRLPRYQKKADNDDDEEKMDNDVFYNLFVKEAMNFFLEKDEDYQLEENLAKTVWKMVKGITKKHFAIIIGYTFFTSPRKTFDHFLCEELVSDTVEYWKRHKIADGGDDFVKEVERLEEEQRGMTKKTDIEKNEEPSESVKPYIRPDSTSPVSCVPEKELCFNMLNKLIRKDLRVETNLKEWFLKELEGYGELNEQRADRAWEIVGKLDRGFHSIIGDIFYLTEKEEVDIELMLELLERTWDMLEEFGTPENW >CRE23672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:103717:106653:-1 gene:WBGene00068131 transcript:CRE23672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23672 MENSTLKRRRVSSSGQQYLVSSSRRGEFSERSTTSENGLPDMENMGERDVREEDNIEKDESREVSSGSDGEDGDDEREDDDDENEDVERDKDKSEDDSKDEDEDQEVSKKKDERDEDDSSDSDEKDEITVPRRFPWKKAYDDNDNDVGTADKVEEEAEDKMKQDKYYKKLVKTIDDKELDEDLVKAIWKFVKGGDKRFAHIIGLTLYDTEKEFFDHHLCEEIVERAIQYWGEHKDLSDEENEETENGVDEETKNEDDKPTESDLDKAIESSPNQSKKTEENPPSDIEKELLNSRTYSREELRFRMLDRLIEEDEFKKDVDPKEWFLEELDYYEGGIENVNQQRADRAWQIVRTFGSGFNMSIGATFYLTTRKEIDLEFLEEMLDIAFMQYKEVEDELPKKDDEKD >CRE23671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:95990:97709:-1 gene:WBGene00068132 transcript:CRE23671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23671 MHFPASSKKKLTAKSLFNVASVHKVNSDHLHISTRFRLEFWKEVEVELYFYTENATDLVNAIRQKVTFTHDNSGLAASKWLERILDVTNCETLNQVDLRGSPQLDVRDTFATIQNIEKLYIHGECPNSFAKKFLEIISPVATGITLFKIPFETREEFQTFLKSNLNYLTIFTNKFPTFKFTVGDLMVTNALKLNIGELKLTVKEINEFFKNWVEKKCGTRLEHLSLSTDEDADERNLLKGLKTVRFPESRKRTFHYSKELDSSSDSFSGGYDIRRADRNKATITFGDVYGETYIDFYAWR >CRE23643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:92221:93751:1 gene:WBGene00068133 transcript:CRE23643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23643 MVRPKKRLTEDRLSRLGEMEVVRSADKRRRTTKPAPEPSARKVLPRRGAQKKKVIDDADDEKIKEKKEDKNTPGTSKGPSSVKVPRKSRNKAKKFKTNQSPAKPMSMLSTATPNRTKSKPVPQKNSEVHANIQKLLMQAADEMKLEEEEKQQSNAPSGPQRRSRKHNHPQKIQNGVEEEEPGPRAPFRAPVSESKLPPACAPIQTSIRVPTQTSILASLRTPVNDISPVSNYSHSSMSIDIGDDHGHGSSQESVRAEGVSPNSDHQNPDTSIDMDTTKEVKTPGRRSNITTTNTSMIRPKKVTFLRKSINYINFFFQRFTEAERLSRVGEMEVVRSADKRRRTTEPAPEPSAKKVLPRRGAQKMKVIDDADDEKIKKKKEDKNTPGTSKGPSTVKVPRKSRNKAKKFKIAPSIPSDQAGSDANPIVLDDTPEEMEVIFLLFIRPKVVSYIRPPVSSRFNSKPMVMNIPPFQAVQSYNDSSVSARAQQGLLEKE >CRE23642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:85324:89390:1 gene:WBGene00068134 transcript:CRE23642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23642 MSSMNIVVNEETDEDALDQGSPVLEREVGPPSHPRPNIQPCKLADAASYGDNLGNVKFDLMHPDDLLELKLAGITNPHKKLEIINLHNREMISYRIAEAQHIGYRFNTPNGALRSFVDETDARYLISNIIPKPTHGERPAEDTPNVGIPPIHREPPPQKPRVIPKTNQSPAKPMSMLSTPTISATSNRTKSRPVPQENPEVHANIQKLLMQAADEMKLEEEEKQQSNVPSGPQRRSRKHNHPQKIQNGVEEEEPAPRAPFRAPISESRLPPTPIRVPTQTSILAPLQTPVNDISPVSNYSHSSMSIDIGDDQGHGSGQESVLGDGVSPNSKGPESPADKDTGLGSEVAKRLISSPSADTTVVNKDTKQADKYIDALPTDKPKDSPSAWSNPIVPQRDSAPRPSGHVSVKGAESKNNHQNADTSIDMDTTEEVKTPGRKSMITTTNTSMVRPKKRLTEADRLRAMDFGPKEGGKLGDLEVVWSTDKRRRTSLPSPEPSAKKVLPRRGAEEEKAIDDADDVEMEEEEEEREKKDEDKNTPGTSNGPSTVKVTGKRERKSMADGNEKAAKSQKRSESKTEDHEGEKESPVEKESGSQRKKESVKDSVAEIAPVLTPVQRSAEPSSISSSKTPPTVQSTPRNNQAKTFKIAPSTPQAGSHSNPIFVPEDTPEAVETLEPVRSSPADSPSGPTTSSIQVVKVHHINQPKVVPNLLPVQTVHQEHHSQPTPVARKSNPQPAVMNIPPFQAAPPHNSLPHNSSSTSVQQAIRAQQGIQPQRPPQYRVAQNQAQRHPIAPQPQSRHQQQPYTAQQRQQVQQNVNAQQQQHQQQHQQQQLQQRLEHQRQRAPQQQQQRRPNPHRPTQNKIPPNLSRLPVIPIAEPARPVNLITEPARPVIPIAEPARPVIPIAKPVRPTEPVLLKEHLNKTGKSIPQNFDPNYYNWTSADLSTWVKYVLNLNDDADPLLRKIIDEEINGMLLEEFLADGSNVLRALDMNTGRSGRIKVAAIKVINNHLRIQYVIDMAEYKLQMRLFETQQREIMQN >CRE23640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:79797:80264:1 gene:WBGene00068135 transcript:CRE23640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23640 MIKELTHSFLLVTSLPPMTELVTIKPSTSTSTLLPHTSTDHSLQILLLQSTNLEIFGHSILRSPCSNFSYESMSRAASIAEQALDKRLQAKLILTITPGFEQVCATIGRDGLSNILAKFGGMVLVNAYVPCIGQWDRQDVKKREREEHHFLLLQP >CRE23670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:68325:69386:-1 gene:WBGene00068136 transcript:CRE23670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23670 MTTPFPLLKLPQLPFIVYVQALHLWSLINLSVCSLRTRNLIKECRKEISVLAKPRSFMISCDGVRFFINLEDERTGERPTDIVQMNKTSVRIVRKQDNFWLIKCSAILDGWKLVMEHLMNTFHVSINLEIVGERHLFEYLTGHPKNPKIDELTVSSNFVDDVDMKFYLENFHNDELCFLSNQNKTFRFNGIFGSFKIFTCMPAEWITRENLLSLNCERIKLDQCSLTNEDLNMALKKWIDGRSPQLSVMEIGLRLEYIQIAKIMDGIEILKEASLQEQNNLNFHVPRQSYILNVDGLKGFFTSMQTNFVFTVSREDNNQ >CRE23669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:63567:66298:-1 gene:WBGene00068137 transcript:CRE23669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23669 MAHRPLPIQQFPDMALMKIFGLMKPLDVVFMTQTSSKMKTIIRKNSRTRPISMMLISDAKGSYVSIMWGESVNTYIELIVSRTPCGYVDHKDGLKFHPKLFGCITYCTGLYSGYCAIIDFLNELYFIDSFSIDCHWKTQKEMKSIVQYAKTVGLKLDYVRLIGSLTCKSENKEMLNECKEAGTVYLQASEICDFNDLQVDRLTLEHPKNFGVNHLLTTLRCKSVILLDAYLPPDELNEFLHVWKNGNDTFGYFELDRDYDLRSVIGGLEATSVESVVLDGRRVQKFLPYKCYRFNKADGTRALVYCLHFKFIVRIEK >CRE23668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:54047:55097:-1 gene:WBGene00068138 transcript:CRE23668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23668 MSSAFPLLRLPENALELVIECMDYVDIVGFSLVSHKTKEIVRSLYLDIGRLVLTVNDIIRIRSDPDRDGPSSMVWSFYPEEDNAGPVPIPVYMPARVTGMRDLITHRNFVEYQNPCLSIQEWVEHFQYLFSIEEIDYISFANETCKFDWTSLKDALGKFNINTLFFHNFCGLDCAQKALKQFSSVTSVTAFSPSFNDPSHYSNILIQNLDTLSLGHENMALKIGLDDLLLMNSKAMSIQSPTLTDKMLNQFFKHWIKGSNPRMDVAHFAFVNDQVVNKEIILKDLHCQEVPLDDVRDDGEVTEKYNIMRKGGSVGTITIQQTDEGYDVFFQQVQ >CRE23667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:51250:52871:-1 gene:WBGene00068139 transcript:CRE23667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23667 MRKKGGRAQNRTSALWAATTTLDHSATRTLSRKGGQAGLKISLKQVAASQSARIHDFLIFVCVRFPRDSLGPFFPGHFLFDDWWGHCLHCISIDYTPPERRTLAILLFSTVMSSDFPLFHLPENALKLVIQCMEYIEIVGFSLVSNKTKEIVRSINLKIEQISLTVDDVISIRFDPDEDGPSTMVWSFWPKDNNDAVPIPVYMPARVTAMRDVDTQQNFVEYQNPGLSIEKWVEHVQYIFYSSEIDYLAFADEICKFDWISLKDAVGKFKILILFFQDGCSLECAQLAMRHFPSVRSVTSFSPSFNDPSQYRHILIQNLDILSLGYEDMSLKIGLDDLLMMNSKTISIKSPTLTGKMVNQFFKHWIKGSNPRMEFAHFEFVNNQLLNKETLLKGLYSEEVRLNSPMRVNVEVEGYDIFRNDRTAGTISIEETDQGRNVYFQIFE >CRE23637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:42809:44983:1 gene:WBGene00068140 transcript:CRE23637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23637 MFFFPIFKSQIFPSRSYTDAQQIISILRYSFDSDSRRLIQKTLGSQHHLNNRVSTNFNLEKMSKRQSKAVTPSQPSAKRARHVLGEQNTNQSVPRQKKAKRDEEHVETMSNASQFQQAQSTTLSEAEKKKNMGPKIKKGKIRVGVKFQAKIPTLLSTVPKQKYQDEKDREERIWSPYESDNKNVVEKKKLEKKFHDDVRDVYFMPIWRQFNGHILFEEALHHLMQNKYNMADSLDTIDEVLKRRPLVIKHARMAQTANLELYGVNEMVSMKDLQQKAVSYTKPSSSFYKFNVFYFQLPNFSLEEVHHYSFQYIRNFMFHNYWNFLCMCKDKLCTIVEFEPRIGCVNCSKNSRNPSANEKLCLICQTYTALTGKRRPARDVCFTAEEEKTIELWNTKERDVGRSLRRDQFEKLIKDEDMQRLRNLEITEEEKIILNFSDTETEQNYLRMNKKAKGKYLIDQLKPFKLPLFASCNCKGVKKRQDLIVKQELVLPDECFGKDYIMEFLGNFNPWFDLNEQLANQKDQEAIGTTQKKLKK >CRE23636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:38158:39098:1 gene:WBGene00068141 transcript:CRE23636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23636 MSSPFPLFRLSEKVLKLVIQCMEYIEIIGLSLLSTKTKEIVRRLDYNIAQIDMTVEDVIRIRFNASEDNPTSLYIFSIEEIDNISFANETCVFDMRYLQDAIGRLNIHTLLFKDFCSLECAQLAVKHLTSVRSVNAYCPSFDDPSQYRNILIQNLDSLVLGHEDMFLKVGLDDLLLMNSKDISIQSPTLTDKIVNQFLKHWIKGSNPRMKNAVFEFADNQVVSEEIILKGLRYREVLFDGVGVDGDNEKIEGYEILRKDGTAGTLSIDQSFEGYDVHFNVL >CRE23666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:35467:36523:-1 gene:WBGene00068142 transcript:CRE23666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23666 MSSDFPLLRLPEKALNLVIQCMDYIEIVGFSLASNKTKETVKSLNLQVSPLFLTTEEIIKVHVQRPSRMFWNFYPGGENAGNGPIPVYMPARVTARRGLTREILEYLNPGYSIQKWIDTVQYIFSHPGINCLVFKRDRCKFDMNSLMETIGTAKVNAFFFDDRCGVDCAKMAVRQFPGISRMYARSQNMVETSLYTDILMQNLDVLFLGEGDVIMRMEFDNLLLINSKEIKIRSRDITDKMINQFLKHWIRGSNPRMEFTLLLFPDGRILDANAILRGLNFREAPLDQVRLFTKPATQERVEIMGGYDIRRVNGSVGTLKIVQDDGRGAVIFCVWN >CRE23665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:22658:23464:-1 gene:WBGene00068143 transcript:CRE23665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23665 MFWNFYPGEENAGPEPIPVYMPARVTAMPGNTREILEYLNPGYSIQKWIDTVQYIFSHPVIDFLIFKRETCKFDMSSLVKTIGTATVKALLFYDQCGVECAQMVVKKLPNTKIMLAVCRDLEEPSRYKDILVQNWDGLILGHNDVIMHMEFDDLLMINSKEISIRSRNIKDKMINQFLKHWIRGSNPRMEFTLLDILDGRILDINAILKGLNFHEAPFDQVRLFTKPATEETVEIVGGYDIRRVNRSVGTLKIEQREEGGAFTFCVWN >CRE17477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:295446:297238:-1 gene:WBGene00068144 transcript:CRE17477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17477 MRLELTILVVLAVYSAAAPVMGGEKTIKPDYKVIKYTFTRDNDTDVMDQEPPNLQLIPLDFLCEFCQVVILKLKERQATEQDFEEKIRAECLNSTENDSSSLCDVINRVNLDRLKNDDPKDICDSQGMCVGSGNSGNSKKEETGPPGMTPDQKLGGGGGGDVQKTPEVKNSESHDAKDSEIEKHLTIDEKNVNTTLNVEDIAPYN >CRE17476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:284751:290299:-1 gene:WBGene00068145 transcript:CRE17476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17476 MVFTVFFNVFHLKIAFFDIFPAEINTTMTISKLTKISDVLFRKKTFDGGSHLNSQMKRCLTILDVMFIAIGHMIGAGIYVLTGSVVRNQAGPAIILSFLFSGFAALLSAFSYAEFGARFPRAGSAYTYSYVGMGEIWAFIVGWTVPLEYMIGNAAVARSWSGYFDTLVYKSVSNWTLTNVGHLSDGHGFFAQYPDFLAFILLYLVAIAVAMGSKFSTNVNTSFVVLNLAVLAFVIICGFTYADFSLWSGTYPDGRSKFFPYGIQGAVSGASTCFFAFIGFEALATAGEEAKNPHRTIPLATFSSLAIISVLYVLMGASLTLMVPYDQVDPDAAFAAAFEMKGATVAKIIMSVGALAGMLNNLVTGAFALPRAVYAMADDGLIFGWFGVINSRTKTPLNATIAFTIINSVLALVFDLEALVDFMSIGTLLAYSMVSLCVVILRHQKMLKDGSDDEYDDGGTLKPWVPFRSFWDHFSEGISIRCATGGLIFGYVCLALPFKTGIFSNAGGIILLVVGAVCSIISFIFILGHEQNKSTATYKVPFVPFLPCLGLLINVFMMVYLNLMTWIRLFVWLAIGILIYIGYGIRHSKEAKKMKNTVVSSDMAVLKNGANTKVH >CRE17418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:280349:284028:1 gene:WBGene00068146 transcript:CRE17418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17418 MTSSSLFYFLLIGLTVAAPVPLPLEEDFNVFHTKQENHEQRFLRVDQNKDKVVTFDEFLHMELAYVDAKKEEFDTLDKNHDGKVSLAEYEEHFHEASSKNEKSRTAYFAKVFEDFDEDFNMALSRDELERVLAERFLVKPRENFPKLFFKFDVDKSGGLDLTEYMKFDAEFPFDQTDPVGGGPSKANSHHDQMHTEVPQDADAAAIAAVLAQASPTLNKSPAGAVHQGGPGLHPVAPGAFQPAVPIKKV >CRE17417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:273554:278558:1 gene:WBGene00068147 transcript:CRE17417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17417 MDKPLSYDSLKSVLKHMSLKKREQIDYILPSLTTANSKFPYHLSKVTIGDCHFKVNNRTWDVHPAFQKMNEPLTAEDVAAVEFVEIKGRASIRFLVKHQINRHKDLHRYAYDQEINQDNEELFKKLIDEYLKNNTRIDTLNIYSFPKCLEDKNPETWQLKVSEFKFRNTDYATYQKIRPFILGSLPLKRLCFCFRGDTLPMFDDPLSTISISSTTYLSHAVTIPIDDVSELVISGAKFDTYWPPFQWNLKMEVMPIGATVPK >CRE17416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:271511:273257:1 gene:WBGene00068148 transcript:CRE17416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17416 MDKQLSYDSLKSVLKHMSLEKREAINRQIPELRTINYRLPYVLETLFIGSFFEINGKYWTFKPTWVQSSENPLNYNADPKKCELTITQYNPEKEARQSMNKSDEEVLEQLFDEYIRDGTVVRGLLGCHGIPEFLKRRRENEVDLKVKATNLQLKIHETEDYEHFIRFIDLDVLENVEFLNTGNAQALLDKPEIKNCKNLIVDAMSLDIPSVNELLRLRNQHLQIEGYRFTRNEVHQFVQDWIITGREIGTRFSWPQRRSEDVVNMLDYLKTHFETLEADSNLESYFSDKTIYGNGTALKMRENRELVMFCGKSNIPSEWTPFTFEMEVVASATGTVPTPDV >CRE17414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:266443:269524:1 gene:WBGene00068149 transcript:CRE17414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17414 MDKPLSYDSLKSVLKHMSLKKREAINRQNPALRTISSSVPYVLENVVISNATFETDGRRWFTKSAWLQKSDLPIILVPTRDVVTLEETELTILQGNFSQAQFRVNKSDEEVLEQLFDDYIRNGTVVRGTLCIDGIPQFLKRRRENEGDLKIKVTNLELDIYGTKDYEHFIWFIDLDVLENVKFLAVENSLELLDKPEIQTCKNLTAIVKFGPGFPSVDQLRRLRNQHLYLKYYGFSLHELQLLVEDWITTGREVGTRFTWENHQFELEDVLGILQHLKTHFGAVEAGSNGNSTTLKMREDRELVMFCGKIQSGRDINYLFEMQVVAITPATGIGPT >CRE17413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:263425:264284:1 gene:WBGene00068150 transcript:CRE17413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17413 MKVTILWLEIHETEDYDHFVRFIDLDVLENVEVLVGKNSLAILDKPEIKTSKSVTVIVKIGPYFSSVDQLRRLRNQHFYLKYYGFTLHDLRQFVKDWITTGRDIGTRFSWGPRPSEDVQPIMEHLKTHFGAVEAGSKLEYYFSNRTIHDNGLTLKMGEDRGLVMFCNKIRSESFSYCHWSFEMEVVASTNATGTVSTPGV >CRE17412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:254888:262514:1 gene:WBGene00068151 transcript:CRE17412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17412 MSGRSCRSTSPAARSRQTTSAHSEPGKSRNRSKSRSKSQSRSLKQGIVGPTKTVITKIVKRAGELLEDSEAALKFLCDPDNKIPEDIDQNTLAAAATLTFNLKDTACKIETLDSFIYEQFQKPEMKDSPDRETYLREVNEAFVVSGADQILIELCKRIDNMHEALVNRGYKFPEYNDVENTDENVQNTNPAGDMNAMNEPNDVQILSEIPANGDGSQKSALRSSSPGPITSSAARDLSLMEYDYEDLPRTETVAHRAKIWKLEEENRRLDREAAQHLRAQHADTVRRLAQEKQDLKYRESLQAELIRAEALDRQCARRLQQMIVEREAEERAHKAPPAAEINMIEKTQTTNVTQSKISPPGALTQIVTVSKPPAETAPIAAPIKQQQHRSIINTTNHISNPIVDAYHMHPQPPVATQNIDLRHVLNAVNNIAEAQVASERNILQQTTHMVQDMEQRLGAQIHERAESIRSRATSRSHPQSSASSESSFMRRYERRDRSESETETEHSPPPRSQRSRRCNAENRSESPRRQGDGLKVDTLIRFLHKFDGSGDLELFQTLYNKFIMSNRDLSAEVKYAVLLNHITGPAQKCVSRAQDTVLAIATTFASLNKVYGKVNSKHNLLQKLQQLPFNQSNPEAMRLDAAAMSVVIQQMTDRGVPADDYMTMWTIAAKLPEDLRKSLARFSVKMGDSLTHEMVLDRISRDIETLAMEQIYTSQVNHHPLNELPTSYASVNFANANSNSSSVPPNTAQNRTSQTQNTHNSLAYIPSQHPTEYIDPITKSKLEGYYAPGPKGVHLKVIPRSFPYTKEEDTKCRASPNSEVNANRETSAPTVPENTTLQNVAHNTVADTVMACITWEAAHSKNTTETRRTIPPTPNRLKRFFVPTPSTNLSRGITADTESKVPNSVSQADLPTALLKNPLIKTWSIRSTNPVSKNCSSTTIRGIANPKTIEYFKNLIKNDYPEEPDSDKLALLLFTKFLARSQPHQVHFTHARDDYGRLTFVCLETARGQPLLALVDSGASLSLILEASARKLSLTILQETQLTVQGFNSASSSKTNIYALEFSLLVPKTPLSIMIVGSPKLPNTKFAAPIFSTEDSLYLKNSSIDMSRVLSSVHHNGQKIDMILGNDMLSWISAQSDYRKHILPSGRALEQTQLGIIVHPVPRLILWHKSQVPPLYEEYQLSINIATVLMDSSEPEDAMTKLTHQIAQFWRVENLGIENVSVSESTKKATIDLLQVFYKTVKFNKEGKPEVALPYNGNELRLADNYSVAYKRFISLVATLKKGKNLLNIYNEIIVGQEIAGFIEKVTTAMMKTKGPKYTIPHRGVVKEDSLTTKLRIVLDASSHARGELSLNDCLHAGTNMIIPIYGILLRMRCPRYILVGDIEKAFHQVPLQEEFRNVTMFIWLKDPSRPADDDNIQLYRFKVIPFGVSSSPFLLAAYIVFNLDNNPHDLNNEIKENLYVDNCLFCTNDKSEIASKIKGTKLIFQKMGMNLREYIVNDPDTMQSLPPAERAQSSVIKLLGYRWDSVNDTITIKIAKPDIDHPTKREVASKLAETFDPLGLVTPLMVPFKRLMQKVWLKSDTNWKDKIPKELLSDWRALCNIFIDREIVVPRQLTTNYENSELHLLLFSDASQDIYGACCYAYFAVNGKPPSVTLFTSKNKIRPSKNENWTIPKLELLGIQCASNLACAVVAELKVKVTSIKLFSDSACAIYWILSEKNTRLWVANRIKTLQDNRNRMKECGIETTIHHCPTKENPADLATRGMSTTELQNSKLWFEGPSFLKEDQSEWPCMIEGKVTCPAEFQELVYAEIIDPVTKKKKKPLMEKKTAPAEKVPEEIDPAETVMAANATISRPGSFIPYTATNSLPKLCKIVVQILKTFSKTLKSKSWDSYVMKQFHSSDCPLHQLKVARLLIISEHYKDCEFQGYTFPPDIEYHTDTDGLRRVHRRIESPVLPQEASEPILIHPRHPLAKLVALETHEINGHMPETYTASAVKTRYWIPKLGSILNNIIRECVQCQKVNNFPFAYPYTKTLPRCRTTPSKPFSKVGLDYLGPIVYKKDDNRKTGKAYVLVYTCLTTRGVVLRVVPDGTSQMYILTLKMIFHEYGVPKTIFSDNASTFKLSGSMINRDIREATYSHSLVEFLAAEVIDFKFITPLAPWQGGIYERVVKLVKIQLTKECGTRTYDYFSIQYIVSSAQSMVNNRPLIPHSRSPKDMIALRPIDFIAPGVMLEVPAGEVNSGAPPQSTEATVRAHLNKLEQAVDRLWEIWSTGYLLHLRENVHKKKRSSLLRPAVGQMVIIVTKLIKRHKWPLGVIVHVEKSQRDGQIRSAIVKCRGKLYSRAVCQLIPLELNPLNRPNIAAEDETDNAQDDSPHELPAPAVLKNPDVTYAPELFPSKDLPNIAEAENPIQNSDPKNSNQNIPLNLNIDEIENLDDTDFELNQSRLVDGGIYTDPQTVIPPDVTDEDIAELPTGRVREFLSRKAKSKPINYVHVAEVQSPAVTSPPGSVAKDPPLGTPLLGYQSQSDGPL >CRE17411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:250503:253673:1 gene:WBGene00068152 transcript:CRE17411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17411 MAHSQLRLAHVSSDEDNRPPDIRGPARRFPPRHVPPRTPDIKEVNIKYEAFFGVTGGFTWNDIRRFVVLESDWPVQMLPRSIFDGSSLRLFVWAGKRPTPTKHWEQVVIRLGPAFNHPDIQSFFLRYARAVLEPSKKGLSRRCPLCASMILCFAVYDHGMKECPIRKLSTVLRFRFLCVNSVAFCPRCNSRSTTHYECTPLPCQRCDSNQHTTATGFCLPDAPPELESVDEYRIRYNAQIVRRQHYTSVRELARSGLLEYRLTSDTPYTEFLGAQQPLRGEIRGLYLYVDSVPPEFPPIADWAYTDEIVEYPSMVNPEFHHDRQDRIPRFDFESVRYLEAIGEVVNALRANPDAERTIQLPNPPAVDRIPTFQRRIPAPLEMIQIPPVQNQQRVRPAVEIMPHPASMPEPVRPPPAVSLETYNRDAALGIRTTPRNGARDNGNGRASTQQRRRTRTPPPPMFDHPDDQPSSSNQVGFRARGSVSLIRDSNVEKRMIHIKDLDIYPSPSAIFQRETYETTSGQWSDLMNQRDEEENRANSVLQFNSIASQLASSEATIREPTAPAGRQQGPADIQALTDRIEHPAVSIQQERSSLEEANGRISSASKGSTETGGNSQDSGENAETQLSNQRESNLEEEVKATAVTCCHEPIRVKGVPARPTLQIKAFNTILDLGETQGAPALVVRIRALQFVLTAQEDSRTEIFHQCSHTTLRGYYQMLIDVGKALVHAPLIVAKLEKTSKKDIFAKLKPGRDILSIPTIDLWLNGVVANTMRTISGIHEFGHARAIHTFRPAERRRWFDLPPLATNNGDPEQQIADEDRCFHTYQPVPVSVDHDVETLIDAARERLPTSLQALSRRIDWLSNFLLSGDTMETYEITNHHKIDYVSLLRSVLNLKIYVSTNLKKKVSVNSYYCAQELAAGAAFGHAIVIPTPSLFAEFPWLQWQAFLQTAWQQVVDILMEETQECDCNTPAFQEDPAQ >CRE17410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:245136:248485:1 gene:WBGene00068153 transcript:CRE17410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17410 MWHNDENSPNYSNLYLPPVSQLRNYAMSQSPADGGAFYERHQVPPMNSNTHPIHSIGEISTGEEDDIIFQSVSGESEGNQGNPEAFNNVLTHMPARPESRFSRRFDNTVDRLGSMAEELMGFQMENPAVARPPAVARPPAVARFPAARGSTETAEAISRELRGNSHMIDQLASQLTGLQLKVVNIESRVTLKSAPRGTTFSIQGAAKWSSSRRFMGAHVIISMTGPAVANSAAYIIYNSFAKQVFHINERVPAGAEKKVKMVKHQEQWIKDHQGNQVIKERADFPLVAETNNSHFPPTQCQCIPNMLSSSVLHDGDVHCESRRLFQQLALEVHHQFTKRTLQELKSIKIDWVPPKELEVCTHRRENHCDQINMANDVKSPPKRDKKTDEEAEDSDSENPPKTSPAAGPSNGIGKVPPQKKIRKSQKGDAKSSDSSSQDVKLPEQLPAEKKDDPDDLIVLNATFGNKKEPSQETQADIESKQHILVTKTNVETIIKMLQVPPECIQSCARLSADMRQIDTSIKRLIESSNSVVKVLQELCTRADTDIHGDREKLWLENMKRVAEINDKVRNTINSFGRSIGRVEGLLTREQPPAVLLPIPPSQGRQDHPTKGNSVNRGCVLCGKPNHPTHVCKTYIKSAERIKRAEEIGICMKCLETIPEEDCGVHNNCPNKHVECRNCLDTFDSPAASNHNQVFCSVKAPLKVKEPAAPPSSRNGSKRPAGKQLHLPGPEKIPRSFWN >CRE17409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:238810:240566:1 gene:WBGene00068154 transcript:CRE17409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17409 MENQEVLRSKPLSYEALKAVLKSLKLETREAVNRRIPALRTINSRLPYVLENVIIENRGFETNGRRWVIEQTRFQSNPLSHVVEPRMRVVTIYDFNSHKKAEYRLNKSFEEIWYQLFDEYIRDGTVVRGSLCIDGIPELAKRRENGRDLKIKVTNLNMYTPNLEDYEQFIRIIDLDVLENVKCVFGRNSLAILDKPEIKTCKKLIVMVHDNSGLPSVDQLCRLRNQHLYFTCSNLTLHDLQLFVQDWITTGRELGTRFSWETRRFELGDVFPILEHSKTHLGAVEAGSHLDYYFSDKVIHDNGITVKMGEDRELVMFFKKSKLTCYLWEFEMEVVASTIAADIVSV >CRE17473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:233520:238042:-1 gene:WBGene00068155 transcript:CRE17473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17473 MENQEVLRSKPLSYEASKAVLKSLKLETREAINRRIPALRTVNSRLPYILENVVISDDFFEIDGRRWIMRPVWVQISENPIRQVVDPEKSEVAIYTRNSDNPLNKVVGQRKRTATIRQNKPRKRTYYRVNKSREEIWYQLFDEYVKNGTVVRGSLRFSGIPECMKGIRENEKDLKMKVTNLEVDIYGTKDFDQFIRFIDLDVLENVKCVFGPNSLAILDKPEIKTCKNLTIYSLYIGRPTNLSIDQLLRLRNQHLKLENTSFPLHELQLFVQDWITTGRDIGTRFSWRRLQSEDILSILEHLKTHLGAVEAGSNLDYYFSNKTILGKGITLKMREDRELVMFCGKSNIPCTSCTFEMEVVSSTRAAVTVPTPDV >CRE17471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:226800:228240:-1 gene:WBGene00068156 transcript:CRE17471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17471 MENQEVLRSNPLSYEASKAVLKSLKLETREAINRRIPELRTVNSRLPYVLENVVIGERSFETNGRSWIMRPAWVQSSENPLRQVGDPGKWELVLQFIKGKEVCYRVNKSDEKILEKLFDEYIKDGTVVRGTLCIYGIPEFMKRRKNEKDLKIKVTILELNTFVTTGHDQFFRFIDLDVLENVRIIAYENALTLLDKPEIQNCKNLTIYSRFLIQPTNLSIDQLLRFRNQHLKIENIGFTLHDLQLIVQGWITTGREIGSRFRWEPPLSSEDVLPILEHLKTHLGAVEAGSHLDYYFSNKTIHGKGITLKKGEDCELVMYCDRNNTQWEKDYPWFFEMEVVSSTREAVTVPTSGV >CRE17469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:222777:224103:-1 gene:WBGene00068157 transcript:CRE17469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17469 MENQEVLRSKPLSYEASKAVLKSLSLETRETINRRIPALRTVNSRLPYVLENVRIGAKSFEINGREWVMRQALVRNADNPLEEVVDPRKSEVTIYRDNLNITGPYRVNKPREEIWYQLFDEYIRNGTVKKKRESGEDLKIKVTNLELDKQAAVDYDHLIRFIDLNVLENVEFIAVENTMALLDKPEIKTCKNLTVDFWSGRPTNFSIDQLLPLRNQHLILDDDSFTLHEQQLIVKDWITTDREIGTRFTWETCLFENVLSILENLKTHFGAVEAGSHLDYFFSDKTIHGNVITLKMREDRELVMYCGESKMTDYLWTFEMEVVASTTATGTVPTSGV >CRE17468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:219751:221511:-1 gene:WBGene00068158 transcript:CRE17468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17468 MENQEVSESKPLSYEASKAVLKSLSLETREDINRQIPKLRTMNSRLPYILEDVVIADDTFQTNGRQWTITPALVRNSDNPLEEVVDPEKSEVVIRQYNSHKSEQYRVDKSQKEVYKQLFDEYIRNGTIVRGELFLRGIPEFMKEKRENERELKIKVANLKLDKQAAADCDQFIRFIDLDVLENVKFIALENSLALLDKPEIKTCKNLIVYFIVGRPTNFSIDQFLRLRNQHLKLDDDSFTLHELQLYVQDWITTGRKIGTRFTWGPCQSEDVLPMKHLKTHFGADEAGSHLENYFSDKTIHGTSLTLKMGEDRELVMYCGKDNERTLYSFEMEVVAITTDIVPTPDV >CRE17467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:217682:219166:-1 gene:WBGene00068159 transcript:CRE17467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17467 MEKQEVLRSKPLSYEASKAVLKSLGLETREAINRRIPALRTINSRLPYVLENVVIGDNYFGTDGRQWIMRPVWVQSSENPLRQVVDPEKSEVTIRQYKSHKIAQYHVNKSPVKIYEQLFDEYIRNGTIVRGSLYKKKRESGEDLKIKVTNLELDKQAAVDYDHLIRFIDLDVLENVMFLALENSVALLDKPEDWITTGREIGTRFTWKTFPSVNALPILEDLKTHFGGVEAGSHLDYYFSNKTIHGNGITLKMEKDRELVMYCYQDKTQSEYHNFPWLFEMEAVASTPATGTVPTTNVLSH >CRE17406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:214190:217537:1 gene:WBGene00068160 transcript:CRE17406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17406 MENPLPDEATKAVFKLLSMETSLLISRIAIINRIPSLRSINSLLPYTFKNLKIGTTFMEINNIQWFFCPVKTPEDLGKVDIQIIRDKKRAPTRRVNKSAYQQLFDAYVKEGTVVNGSLVLNGAPEFVSSQQDSKLKVQCKNLELNIRSPKDYDLLVGLINMDTVDVLKFPTTPNTVELLDKPEIQTVKTLHLVITQIPGHPSLQQLLALRNQHLKLRHTRFPLDHLDALVRDWMDTGRNVGTVFSWELISYEDALMMFDHFEAKLGALRSMHPRIGQTFGDNGVTIRMKEEKDLVMFGGRVMRKDKEFDTSGLVFQMEVFETAPIIHERSDDWW >CRE17405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:211300:213735:1 gene:WBGene00068161 transcript:CRE17405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17405 MEVPTNKPLSYDASKAVLKSMNLETREAINKRIPSLRVIDSLFPYELEKVQMSTHRLNFNDREWFFIEKDNDLCDLMMSSGTQEAPIRRLDMSADAAYRKLFDAYIRNGTRIRTLYHTDIPEMLMEKDPKELRLKIKTCEKLILIPEENPLNLGQTLEKILGLQNQHLVLHYTRFSHLKDLVEIWLASDKPIGSRFSWGQAYYDDVLDIFMHYEKEKGAVPWKHPRLGNSFHAHGVKLSMGGGRSLVMFGGATKKYKWFDIAPWTFDIEVMAADV >CRE17466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:209653:210672:-1 gene:WBGene00068162 transcript:CRE17466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17466 MSLPLSYPGLKCVLENLEAVKRVHIIGRSPGLQKIDKLIPLRLKDFYVVDSTKMIINDLTINYYKDEVVEFEMHPKTSIRQRAESQGEKMKKLINYYFCERSIIHVGELCWLDPKFHAFLPVDLKFRVNSLTAAYRQHLDTAILFIDPRSFPLKILTTYPDTLTFDNQVVKSAEILIFNLFMDRIVTVEELKKLSNKKVEVELRSSSRIDIIPLIKYHVETKKVIETTFIISTGDKDFIDMMFSEFELAFSEFKCDLDDVNERFLPGSSRFSIPINNESRIKVYAIENPEEDCPYQIIVKPVSIET >CRE17391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:169779:170780:1 gene:WBGene00068163 transcript:CRE17391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17391 MPFPLSYPSFKCVLEHLEAVKRVHIIGRSPGLQKIDKLVPLRLENFYIANDRMTINNLWITCDKDEVYLKMNRKTFSRQRAESQEDTMKKLGHFWISGRSKIYVDKLNWFCSLPPDVLPVDLKIRVYSLEAGSDFETAILFIDPQSFPLKTVVTIPDASTLFDNQVVQFAETLNLNIIIDRIVTVEDLKKLNNKTVVIKSFWVFRIDIIPLIEYHIETEKDICTTFVISTGDKGFIREKLREFKQAFGKYRRNLNGLNERFLPGVSRYSIPITDKCRINVYATDESKKGFYNLFVKPVLEL >CRE17464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:198456:199465:-1 gene:WBGene00068164 transcript:CRE17464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17464 MPLPLSYPGLKCVLENLEAVKRAHIIGRYPGLQKIDKLIPLRLKDFNIVREEMTINTLRIGYGFDKDKVKFDVNGNMFIRQRGESREDKMKKFVNFFFCGRSIIHVHRLCWCDRMFQNFLPVGMKFRVNSLTAISDYFNTAIPFIDPRSFPLKTLFTSIANTSIVDMQVVKLTETLLLNLAIDRVVTIEDLKKLNNQRVIFKRCCFSRIDLIPLIKYHIETKKDINTTFVIPTDYKGFINYMLRQFKIEFGKFRCDLDGVNERFLPGFSRFSIPINDGSRIHVYAKETSQKGFYKIIVKPVSGL >CRE17399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:196567:197637:1 gene:WBGene00068165 transcript:CRE17399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17399 MVFDLLLSYSLSNLIIPSIPIGECLSLFLSFRAHIIARAPGLQKIDKLIPLSLENLHIKKNELVINKLWITCDKDEVNFKMNRKTFSRRISESQEDKMKKLINFYTCGRSKIHVDRLYWHDRLPPDFLPVDMKFRVNSVEAVNDFETAILFIDPQSFPLITLNTDIYYISIFDDQVVKSAETFYLNLAIDRIVTVEDFKKLNNKTVELERFSYFKIDIIPLIKHYIEAKKEIRTTFRISTCDKDFISEKLREFELAFGEYRSNLDGVNERFLPGSSKYLIPINNESRIQVYAIEEPVAYGPLKIIVKPVSGL >CRE17397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:193679:194516:1 gene:WBGene00068166 transcript:CRE17397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17397 MALPLSYPGIKCILENLEAVKRAHIIARTPGLQKITKLIPICSENLTIACNNLTINKLEIECNKDEVKFEMNGRRFRRHVSDSQENAMKNLINFYICGKSIARVDKLYWFRKLPPNLLPVDLKIRVNSLEPFFDFETAIPFIDPRSFPLKTVVAIPEDSTLFDNQVVKLAKSLILHLIHYRIVTVEDLKKLNNKTVELGRFSYSRIDIIPLIKYHIETKKEIRTTFRISTCYKNFLNERLREFEQAFGEYRSDLDGVNERYV >CRE17463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:192410:193416:-1 gene:WBGene00068167 transcript:CRE17463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17463 MPLPLSYPGLRCVLENLEAVKRAHIIGRSPGLQKIDKLIPLCLENLCIDSDEVTINKLTIECDNDGVKFEMHGKTFSRKGLDSQEEAIKNIFKYFLCKKTITRVDKLDWCDSLFPDVSALDIKFRVNFLFAPSRHNFETAVHFIDSSSFPLKNVITARDTSTFDEPIVKFAETLNLNIFTHWTVTVEDLKKLNNKKVDFQCFRYSKIEIVSLIKYHVETKKVVETTFIIATYRGDAINDMLCEFELVFGDFRCGLDGVNERFIPESSKFLIPIDNESRIQVYAIEVPEESGRLKVVVKPVSAVL >CRE17462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:190044:191525:-1 gene:WBGene00068168 transcript:CRE17462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17462 MLNNNLQPLTNADLMKLVQDLNIEHFRGVFMRDNLPKKPEIIEVGIVNLDSSNNNGTHWVCYKKDQHGLYYFDSFGLDPPIEIIHYLKENKHNEITTSTFQIQKFDTQHCGYYCLLLLKLLEKLNFQDAVLSLIDNLKSGGNIKPIKPSEKSKLILENTFYNPETGVCGINELQRKTKRPIKEVKQFLNEQDVYTLHKPARKNYKTERVYIHDIDEQWQSDLVEMIPYADENNDFKYLLTVIDCFSKYAWVIPLKTKTGKETANALQSIFKERKPTKMQTDNGKEYYNKEMKALFKSFDINHFSTYSDKKASIIERFNRTLKEKMWKMFTYQGNHIWTGILDKLVRGYNNHYHRSIKMTPIEASKKENTDQVHENLFSDEKISSNSKFKIGDSVRITKYKAIFDKGYLPNWSTELFKIDKIHLGEIVTYSIKDLADEEIQGKFYEEELTLFNNVKEEYKIENILKRRTRKGRKELFVKWYGYPEKFNSWIEDA >CRE17461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:186295:188748:-1 gene:WBGene00068170 transcript:CRE17461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17461 MRIISAVLILLTLYKDASSKSIICTDNSPVQYFRIPKLHKCNYHRILNSRSEPVQYEVFKPNVIEYISEAKLCKKLVSEVSMYTDFSGYEHMVEKELNNNPITLAECREMINSKKCGYGTLSQGKSNSWSTNNKIEVDYPNRFTSFFTPKRYYKENCIVIETKVYSHFNQTKPTNVLADMNNCKYQDGFCEIKNNEIITWDVNKDQKCQYISIGILDGMYNNNLWVNNENQIALNFQTNKTIKDCNSDLTISDEGFAVKKINRSQYAPRQIPVAIPTYPQRQQQEDDRRRREQEETRKREQEDARRREQEDRRREELRNQEDARRREHERQKHNEDFEKKKQEKLKKEEELEKKKQEKLKKLQEEAEMKELMKQRQGEDWTIHDKSLKNGSNKANRTKREIADSAFFQPLPLPEYNEYLKIKQDCENLPNYEAFRNSREGCDSLTTYTDFINKIANFEKEQHMNKETWQPLPFPGYEEYLNIKEDCAILPTYDEYKTIRESCDAFPSYTEFIKRIANYELFETGKQRRKRELYSDFQAAGEFQYLENQSNNLLRHTTEVMCDIFNEQNEVLESLIRENPRRYIQKQLNHSAVKVRFIDSAQVVQVTFCKEIDEEDIDFIDITHFDGYKLSMALALGQIPIKIKSLGDKIWYFKNDYSGGIISSKPQLHPMIDYRTNITNMKDFKEFDLKFLDDKVVFHEHILLDMKTNIEEELLDEIREKADVAIDIHASNQDSTMPHEILDDVEGFFGKWWLRIWRVGVTIAVAFVYIFIARTFWLILSPKTFIDKKNKRERNKQYEGLELRELVRRPTVASLTVD >CRE17460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:184884:185627:-1 gene:WBGene00068171 transcript:CRE17460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17460 MSSDFSDYSIKNYYSARNNNPLLPNSIRACIIGKSGCGKTNLLMNLLLDKFQGDDYLDYDNLYLFSTTLFQPCYQALINGFENGLTKQDIRECFKKQNFIVEKIDKKNITIHTSENCADIPDPSSIDPNKKTLIIFDDLMLEKQNKIEQYYTRGRHNNIDCFYISQNYIKLPKNTIRENANFFIIFAQDKLNLDYIYRDHCSEIDKKKFLDLTSAVWKDKYCFLTIDKTSDVDNGKFRKMLKYFIIS >CRE17394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:179948:180922:1 gene:WBGene00068173 transcript:CRE17394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17394 MHSVVEIYDPQRRNDIVQSFLKNRKEHKLKNLQERTDLEHVEDYRMDVFKPILESNKKLQEEIIDEKNKIVETLNNFKAPTQRSIAPALSTSTPATPRNQSLIRKPSVTLPRDAPSLPSTSDLVVSNLIVGYLQDNSDRSNAGYSIKFNKDEKKYTIGNKDITFDQNIIKVNDEEYTATVGLMELLIKKTPNIKNVTAEDTSNYQKILICSDALYQGFDKTCKRYNADSSDKWKFIKTNYFVAKTSTASGSSISFKPHVGGSVNTLYLPSDSNSLMDSLRLSIGSYQAGNNGEYNKIQAMLDELVRQKLIKKKDLGVIYLNIGM >CRE17459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:176211:179843:-1 gene:WBGene00068174 transcript:CRE17459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17459 MERVDYSTLSRDQLRVIVKNRTDIKGVDLKKMNKKDMIELLKTIDEEVYNTKINSTMRDLFPFDKTTFIDYKEVGNTLRDTSHTGNSKNIETFLDKKETAVLNDIYEDNVKLYVTLECEMLKEETEEMQIAHLTTENKPLYIGDDKVEWFKSLKLDLINRLEHYQARGSGFRLNRMLGLRVFQTKIRPLSGSKYMELPDWIKNKKAVINVQNKDNMCFMWCVLAHLFPVECNAERTSKYKEHVNNIDFNGFEFPFQVKSLDAFEKKNNLAINIIHHELGQQKFQPYKVSKNTKVELNRVINLLLITDSSGEGHYCLIKSIDRLMNTQNSRSNKFCIRCHNNFYSVEKYDKHLSDCMSNAPIQMIKPSKDYTEFSGIQNTQKHRYVCYADFESVIYKISNSTNSPNKSWSENIGKHVASAFCVVVVDSFTQSIYEMKSYVGYDSLFKFNEYILDVCRRLLNMSDVEMNKLTKEEWKDFNDCESCPACGKNFDEDNGLPTKVRDHDHWTGEYRGPLCNECNLLKRKNNFIPVFFHNLKGYDSHLIINDEKSAEFLVDKGVTIKNISANIEKFISFSYHFEGEEFSHNGKFFTKKYEIRFLDSFGFMACSLDHLSSLLKTEQCAITKQYYNCEDTFKVMKRKGVYPYDFTDSFEKYSNTELPSIESFYNTLTDENISNENFEYAQKVWKETNCETLKDYTEKYMINDVLLLADVFESFRKVSLEKYHLDPCWYYTSPGLAWDAMLLKTGVKLQTIKDVEMYNFIEKGIRGGMCNAMLRHSKANNKYMSDYNPEEESKYLLYLDANNLYGWAMSQKLPYDEFEFVEDFELEMIDDLTANGKGCILEVDLDYPKELHDKHNDLPFCPENKRVGTSNKLISDFSPKRNYVIHYKMLQQVLDHGLMLKKIHRVVTFKESNWLASYIELNTKLRTAAENDFEKDFFKLMNNSVFGKTMENVRSRVDVKVLSDMDKVMKLAGSNNFKQRHIINDNMILVEMTQKSIKLDKPIYVGMSILDLSKYLMYEFHYDVMLPKYSTTSTMLPKFGNNLKLCYQDTDSFIYEIKTDDVYEDIQSMKEYFYLSDYPKDHKLHDVTNKKVIGKFKDELNGKIMSEMVAFRPKQYAFKIQDWLETKKNKGVKKNVVKREMTFDDYKNCLFKRTLERRQQALINSKKHDIHSVKQSKVVLNNFVGVDKEAKRYIVDNIETLAFGHYKIAK >CRE17458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:171361:172515:-1 gene:WBGene00068175 transcript:CRE17458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17458 MVQFLPGYSRYSSPDLSYPSLKCDLENWDALKRAYIIGRSPGLQKIDKLIPLCLENLCIDSDEVTINKLTIECDNDGVKFEMHGKTFSRKGLDSQEEAIKNILKYFICKKAITRVDKLDWCDSLFPDVSALDIKFRVNFLFAPSRHNFETAVHFIDPSSFPLKNVITAPDASTFDEQIVKFAKTLNLNIIIDRIVTVEDLKKLNNKIVVFQCVLYPKIEMVPLIKHHIETKKVVETTFIIATYRKNAINDMLREFELVFGEFRCGLDGVNERFIPGSSKFLIPIDNESRIQVYAIEVPEERGRLKIVVKPESAVLGL >CRE17401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:199982:200714:1 gene:WBGene00068176 transcript:CRE17401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17401 MNRKTFSRQRAESQGDNMKKLINFFICGRSKIHVDKLNWFCSLPPEVLPVDLKFRVNSLEAGSDFETAILFIDPQSFPLKTVVTIPDVSTIFDNQVVQFAETLILYLIDRTVTVEDLKKLNNKTVVFKYCTSSRVMVSLIKHHIETKKDIRTTFVSPTCNKRFISGMLRKFEKAFGEYKTDLDGVNERFLPGSSRFSIPINDKCRINVYATDESKKGFNIFVKPVLEL >CRE17457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:166750:167759:-1 gene:WBGene00068177 transcript:CRE17457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17457 MPLPLSYPGLRCVLEYLEAVKRAHIIGRSPGLQKIDKLIPLRLKDFNIVREEMAINTLRIGYGFDKDKVKFDVNGKIFRRPRGESSEDKMKKFVNFFFCGRSIIHVHRLCWCDRMFQNFLPVGMKFRVNSLTAISDYFNTAIPFIDPRSFPLKTLFTSIANTSIFDMQVVKLTETLLLNLAIDRIVTVEDLKKLNNQTVIFKQCRFSRIDLISLIKYHIETKKDIRTTFVIPTDCKGFINNMLREFNIAFGKFRCDLKGVNERFLPGSSRFSIPINDGSRIHVYATKGSQKGFYEIIVKPVSGL >CRE17389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:164936:165971:1 gene:WBGene00068178 transcript:CRE17389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17389 MSLLLSYPGLQCILENLEAVKRAHIIARAPSLQKIDKLIPVRLGNLTIDTDWFNNELTINKLSIKCEKDEAKFEMNGKNFCRKGLASRIDKMKKLVHFYIYGKANILVDKFNLQSKFRLHSLLPDFLPVNLKFRLNSLDAFSHEDFEAAISFIDTCSLPLKTVVTIPQLSTFDNQVVKSAETLYLKLGHYPRVTVEDLKKLNNNQTVIFKHCRYPRIDIVPLIEYHVETKKDIRTTFVISTGDRDFINNMLSEFKLAFGEYRSDLDGVDERFIIGSSKYLIPINNESRIHVHAIEEPEEGDHWKIVIKPVSGL >CRE17454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:159956:160954:-1 gene:WBGene00068179 transcript:CRE17454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17454 MHLPLSYPSLKCVLEHLEAVKRAHIIARAPGLQKIDKLIPLCLKDLCINSNDMTINKLLITCDKDVLNFAMNRKTFKRHRAETPEDKMQKLINFYICGRSIIHVDRLDWDTDFLPVDLKLRVNSLSVFSHWEFEEAIRFIDPRSYPLKTLDTLPDFSTYDNHIATSAETLSLLLVIDPIVTVEELKKLNNKTVEFESDYSEIDIIPLIKYHIETKKHIRTTFVISTGDRDFFNETLREFKQAFWKYRSDLDGVNERFIPGLPKFSIPINNESRIQVYALEVPEDRCRLKIVIKPVSEVLGL >CRE17452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:156660:157675:-1 gene:WBGene00068180 transcript:CRE17452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17452 MPLPLSYPGLKCVLENLEAIKRVRIIGRSPGLQKIDKLIPLRLKEFSIVCEEMTINKLRIGYGFDKDKVKFEVKGKIFRRLRGESREDKMKKFVNFFFCGRTIIHVHRLSWCDRMFQNFLPADMKFRVNSLSAFSFSFYTAIPYIDPRSFPLKTLSTTIANTSTNDDHVVKSAETLILFLLNDQTVTVEDLKKLNNKTVAFERFNYSRIDIIPLIQYYIETKKATGTTFTISTGNEILINQILGNFKLAFGEFQCDLNGVNERFIPGSSKFSIPINNESRIQVYAIDVPEQRRYNIIVKPVSEVSRL >CRE17450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:152815:153815:-1 gene:WBGene00068181 transcript:CRE17450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17450 MPFPLTYPGFKCVLEHLEVVKRVHIIGRAPGLRKIDKLIPLRLRDYYIICDEMTINDWIIQYSDNDEVKFEMNGKTFSREGSAGLEDKMKKLIKFYFGERRIIHVDKLCWFDCSLPEFLPVGMKFRVNSLTAPFLFDNAIPHIDPRSLPLKTLATIATAPILDDPVVQSAETLHLNLVYCRRVPVRDLKKLNNQTVVLEHCSSSRLDMVSLIKYNIETKQDIRTTFVISTSNINVIREMFSHLELAFGDFQCDLDDVNERFICDFPKFSIPINNESRIQVYAIEDPEEEDCPYKIVVKPVSR >CRE17449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:149623:150453:-1 gene:WBGene00068182 transcript:CRE17449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17449 MPFPLSYPGLKCVLEHLEAVKRVHIIGRSPGLQKVDKCIQLRLKELYFNLDGVTISTLTIKCDKNEVRLKMNGNTFSRERSASREDKMNKLINFYFGGRSIIHVDEVDWDEGLVPDFLPVDLKLRMNFLAANSNQFDILLPFIDPSSFPLKTVVTFCEPSTFNSHIATSAETLILGSYFNTTITLEELKKLNNERVKFERFSHSRMDIIPLIKYQMETKKATGTTFVIATDDKGFIDMMLRELEQAFGKYRSDLDGVNKR >CRE17448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:147820:148826:-1 gene:WBGene00068183 transcript:CRE17448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17448 MPFPLTYPGFKCVLEHLEVVKRVHIIARAPSLQKIDKLIPLRLRDYYIICDEMTINNWRIRYSDNDEVKFEMNGKTFSREGSAGLEDKMKNLVNFYFCGRRIIHVDKLCLFDGLLPDFLPVDMKFKVNSLTAPFLFDTALPFIDPRSFPLKTLATIANAPILDDPVVQSAETLHLNLVYCRRFPVKDLKKLNNHTVVLEHCSSSRLDMVSLIKYHIETKQDIRTTFVISTGKINVIREMFSDLELAFDEFQCDFECDFDVNERFLVGSSRYSIPYNNESRIQVYAIDVPEQRRYNIIVKPVSGL >CRE17386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:144604:145638:1 gene:WBGene00068184 transcript:CRE17386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17386 MPLPLSYPGFRCILENLEAVKRAHIIARAPSLQKIDKLIPLCLGNLTIDSGWFNNKLTINKLSIKCEKDEVKFGRKGKNFSRKISVSLEVKMKKLINSYISGRSKIIVDKFKLQSKFHVHSLLPDLLPIDQKFRVNSLDAFSHVDFEAAISFIDTCSFPLKTVVTIPQLSTFDNQVVKLAETLKLNLDHYARVTVEDLKKLNNNQTVIFKHCRYPNIDIVPLIEYHVETKKDIGTTFVISTGDRDFIYNMLSEFKLALGEYRSDLDGVNERFLRGSSKYLIPINNESRIHVYAIDEPEEGDHWKIVIKPVSGL >CRE17446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:140985:142085:-1 gene:WBGene00068185 transcript:CRE17446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17446 MPFPLSYPGLKCILEHLEAVKRVHIIGRSPGLQKIDKLIPFCLENFTIDSEDNLTINKLTIECYRDNVIFRMNGKTFSRQISLSQEDTIKKCINFYICGRSITRVDKLDWFRRLTTNFLPVGLKLRVNSLNADLETVILFIDSQSPLKTLITTNKYSSLFDNQVVQLAETLLLILVTHRTVTVEDIKKLNNKTVEFKRHSFSRIDIIPLVKYHIETKKEFRTTFIISTDETNFIDMMLQDFEQAFGEFQCDLQGVNERYVKYMGVKPIISFQAYSRIFCVL >CRE17445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:138172:139175:-1 gene:WBGene00068186 transcript:CRE17445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17445 MPIPLSYPGFKCVLEHLEAVKRAHIIARAPGFRKVDKFIPLRLKELYFKLNEVTINTLTIKCDKNEVRFKMNGNTFSRERSASREDKMMKLINFYFGGKSIIHVDEVDWAEGSVPDFLPVDLKFRMNSLAANSNQFDTLLPFIDPRSFPLKTVVTFCEPSTYNSHIATSAETLILGSYFNTTITLEELKKLKNERVLFERFSYSRMDMVALIKYQKETKKATGTTFVIATDDKSFIDMMLRELEQAFGKYRSDLDGVNERFIPGWTKFLIPINNESRIQVYAIELPGEGGRREIAIKPVSAVI >CRE17444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:136430:137421:-1 gene:WBGene00068187 transcript:CRE17444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17444 MPIPLTYPGFKCVLEHLEAVKRAHIIGRAPGLQKINKLIPLRLRDYYVICDEMTINDWRIRYSDNDEVLFEMNGKTFSREGSAGLEDKMKKLVNFYFCERRVIHVDKLWWFDGLLPDFLPVNMKFKVNSLTAPFLLDTAIPFIDPRSFPLKTLTTIATAPILDDPVVQSAETLHLNLFYCRRVPVRDLKKLNNQTVVLEHCSFSRLDMASLIKYHIETKQDIRITFVISTSNINVIREMFSDLELAFGEFQCDLDDVNERFIYDSPKFSIPINNKSRIHVYAVSQNGSYKIIVKPVSGL >CRE17443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:133192:134186:-1 gene:WBGene00068188 transcript:CRE17443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17443 MPLPLSYPGLKCVLENLEAVKRAHIVGRSPGLQKVDKLISLRLKDFLIHRNELIINNLMIICDEKEVKFKMNGKTFIRQSAETQEDKMKKLINFYFCGRRVIHVDKLWWFDGLFSDFLPVDMKFRVNSLTAPFLLDTAIPFIDARSFPLKTLAAVANAPILDDPVVQSAETLHLNLVYCRRFPVRDLKKLNNQTVVFEHCSSSRLDMDSLIKYHIETKQDIRTTFVISTSKINVIREMFSDLELAFREFQCDLDDVYERFLPGSSRFSIPINNESRIHVYAIEDPEEDCPYKIIVKPVSR >CRE17387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:146086:147104:1 gene:WBGene00068189 transcript:CRE17387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17387 MPLPLSYPGLKCVLKNLETVKRAHIIGRSPCLQKIDKLIPRCFENLHIGKWKLVINKLWITCNEDEVRFKMNRKTFIRQRAESHEEKMKKLINFFTCGRSIIPVHRLCWCDSLFTDFLPIDQKFRVNSLYALFREEFETALSSIDSRSFPLKTLVTSPMPSTIDSHIAISAETIILFLAQTVVTLDNLKKIYNKKVVFQSLYPFRIEIVLLIKYQIESKKATGTTLVIAADDKGFIKKMLQKFKKAFGEYRSTLDGVNERFIPGLPKFSIPINNESRIQVYAIEDPEFFKEDFPYNLIVKSISEISRI >CRE17441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:126339:129192:-1 gene:WBGene00068190 transcript:CRE17441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17441 MNKRRKRSQVLELDDISDSCSAESSVEDDTFSVSFDQLDEEDSIPEYEEYLSESSVDSLDFERCESSSDEEKEVGVSERVGTEHVSDHEFYTEDEDSRDANCSQEPNTKLEDYRVLTYMNFVLSEGISVKTMQRMESLMTVLYNSPPPILYGEINKKLEDLKKEAVRSVSYYCHNCGTKKAGKKAGCSICSLSDNRLCETVTLIQCDYMKQIRSLLEEKGHEIVEAHRKIHSKKEMFESNDIRRYPGYQREVECRDDFTNHKINLVCTISSDGARFKRVSKREATPVLMRVEGVDMETRTGGKCMILIAMCYSDGGVKKNFVNVFVAKSLQELPSLKIDVKLDGISYQFNFQLLGYLADMKEQLSLVGLPNWHKEDGCSKCRTRGQKQPKKNNISFNDSYSAPLRDDDSLRWAAENGTEGFSQKGIPRFYELFSPTKVTIDPFHIRGAGICKYLIEGDYTWTAPEPSMLNILEILTPNRCSFRLKRGVLDRILKSIQEIKCYTHDTIQVLNARKMGKATGREIDKVSILCIYMCALIGTTESCESGDQSVFFFGMYHCLQFLGSRMSNTGSLRELLDNVYDLLVFLWPKNVTIKWHTFFKHLQDHEENYGVLYTTEPFEREHKNFMTSVHYQATNSEFALISRYVGQQLYQRKMRQLISLMESSTVTRVQSTMKMRPHKQFDALSLNNVVQREEIKPDHVTMLEESLKITQWKCLSVNIYGTSPKKSSFQKTSASRNSNWKVLFFIQILLEKQKDNIFSALLFGSD >CRE17382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:118559:119588:1 gene:WBGene00068191 transcript:CRE17382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17382 MPLPLSYPSLKCVLEHLEAVKRAHIIARSPCLQKIDKLIPLCLGNLTINTDCFKNGLTINKLSIKCEKIVVQFKMNGKTFSRQMSVSLEVKMKKLINSYLCGKSKILVDKFQLSSKFHVHSLLPNLLPVNLKFRVNSLDAVSHEDFETVIPYIDHRSFPLKYVDTTIANTKTFDDQVVKSAETLVLNMCHNRIVTIENLKKLNNKTVVLQSLWKFRVDIVPLIKYHIKTKKDIRTTFVNSTDDKDLIDSMLHKFELEFGEFQCNLDGVNKRFIPGSSKFSIPINNESRIQVYAIEEPEEAFLYKIIVKPVP >CRE17436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:111335:112332:-1 gene:WBGene00068192 transcript:CRE17436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17436 MPLPLSYPSLRCVLEHLEAVKRAHIIGRSMGLRKIDKLIPFCLEDLYLNRDQITVNRLIIKYDKDKVEFKLNGKTFNRKGLESQEDKMKKLINFYFCGKSIINVNWLGWNLYSLPDSVDLKIRVNSLGALYSCFNAAITFIDPRSFPLKTLITSIANTSTYDDQVVKSAETFNLTLINYQIVTVESLKKLNNKKVVFNYCSSSRIEMVSLIKYYIETKKAVETTFMISSNIKFFIEFMLIEFELAFGDFLCDLDDVNERFIPGWPKFSIPINNDSRIQVYAIENPEEGGGWKIVLKPVLGL >CRE17434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:108060:109064:-1 gene:WBGene00068193 transcript:CRE17434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17434 MSLPLSYPGLKCVLENLEAVKRAYIIGRSPGLQKIDKLIPLRLKNLDISSEEMTFNNLIIQYYYKEEVKFETDKRTFSRQRTEREDITRKFINFVFCGRSIINVDTLRWSDDLFPKFLPVDMKFRSHYYFNIAIPFIDPRSFPLKTLFTSIANTSIFDIQVVKSAETLILNLDVDRIVTFEDLKKLNNQTVIFKYWSSPRIDLIPLIKWHIETKKDIRTTFVISSVYEGVINGMLREFEQAFIEFRCDLDGVNERFLPGSSRFSIPISDESKIHVYATKGSQKGFYEIIVKPVLGK >CRE17433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:106251:107257:-1 gene:WBGene00068194 transcript:CRE17433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17433 MPLPLSYPGLQSVLEHLEAVKRVHIIGRSPGLQKIDKLVPLCLEKFCITRDEMAINRLKIKYDKDEVEFKMNDKTFSRKGIENREDRMKKCINFYICGKSIVNVDSLSWNLYSLPDSVALKFRVNSLGAPYSCLHNAIIFIDPRSFPLKTAFTTISNTSTYDDQVVKSAETINLFLINDRIVTVEDLKKLKNKKVVFERVSSSRIEMVSLIQYLIETKKVTETTFMISTKRTDDINEMLYEFEQAFGEFRCDLDDVNERFIPGSSKFSIPINNESRIQVYAIEDPEEDGGWKIVLKPVLAVLGL >CRE17380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:103715:104553:1 gene:WBGene00068195 transcript:CRE17380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17380 MPLPLSYPGLKCVLEHLEAVKRVHIIARAPGLQKVDRLIPFCLENLNIGNNDLAINKLLIVCDKDEVKFKMNGKECSRKTLEILREKMKNFLNVYIRGRSKIRLNKLNWLRKSLADFMPVDLKLKVNKLQALFPGDFETSRSLIDPRSYPLKTVATIPLISAFDNQIVTLAETLILRFVDDFIVTVEELKKLNNKTVIIECFESKIDFIPLIKYHIETKKDIRTTFRISTDTIGVINEMLREFEQAFGEFQYNLDGVDERYV >CRE17379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:100707:101704:1 gene:WBGene00068196 transcript:CRE17379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17379 MPLPLSYPGLKCVLENLEAVKRVHIIGRSPSLQKIDKLIPYCLENLCVDFHEMTINNLLITCNEDGVKFEMNWKRLSRQKLETQNDKMKKLVKFYICGRSKIHVDKLDWVYSLLPCFLAVDTKLRVNTLYALLCEDFELARSFIDPHSFPLKTVVTIPETSNFDSQIVKSAETLILYLLIDRTLTIEDLKKLNNKTVVIEYCSSSIIDMIPLIKYHVETKNDIRTTFMISTHVNVINEKIREFELAFGEFRCDLDGANERFIPGLSKFSIPINGDSKIHVYAIENPDEGPNKIIVKPVSGF >CRE17432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:98954:99984:-1 gene:WBGene00068197 transcript:CRE17432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17432 MPLPLSYPGLRCVLEHLEAVKRARIIGRSPVLQKVDKTIPLCLKNLHIKRHKLTINNLSIEYDKDEVKFKMNEKTISRKGLESREDTIKKLVHFYICGRSITRVDKLDWGYSLLPDRVMPVDLKLSINSLDAFSREDFETVLPFIDPHSFPLKTVIAIPETSIFDNQIVKSAETLIVNLCPLILYFINVRMATVEDLKKLKNKTVIFQNLWVMRNDIILLIKHQMETKKATGTTFVITTDDKGFIKKMLREFEQAFGEYRSYLDGVNERFLPGSSRFSIPINNESRIHVYAIEDPEEDGPYKIIVKPVPEIS >CRE17431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:93909:96175:-1 gene:WBGene00068198 transcript:CRE17431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17431 MAATTVAASRGSPLGYEPTQAVLKHLKFDTRQEIHRHIPQLRTTNALLPYTFKNVEFSKNSISIDRNHWTFGQRPDTRVPNNGRVVFPRFAPPTVNTGTVKYSGKGEYLHFKTEKTAEECYELLFDTFFKNGTVVRDTVYIHNLPTFVQTKVTQGFLKIRMMSKLVLGNIETPRIFYDFVRFADFGKLESIQFKAGYRNVDCVGTPEFINCPTLKLDVSNLEFGTENKHMNDIYNLKNRHLEYEYHHFKVDQVETLVEKWLSSNREIGTRFTLKVFSPERYEKILEMFDRCRKRFRGVWSKHPGLGQSFHANGVTIPMREGRELVMFGGFESVYKPDTLEMEVIKGGSSVKRAWRRGD >CRE17430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:92646:93105:-1 gene:WBGene00068199 transcript:CRE17430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17430 MPLPLSYPGLKCVLENLEAVKRAHIIGRSPGLQKVDKLIPLCLKDFSIYSNQMEINNLRIYYGRDEVEFEMNGKAFSRKGFASRQDTIKKVFNFYFCERSTIHVDKVDWGESSLPDALALDIKFRVNILISSFRRQ >CRE17429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:88738:90995:-1 gene:WBGene00068200 transcript:CRE17429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17429 MRWNILLLLFSLFGSSYSAPTDPQPDKRVTPDRICFTEPAHHCSTALETSRNSKIVLTGNSGYCIDVKKFCTPNVAACYIGNSSEILETCGVQIDQLSTASVSTRATFPTTPATISTTRPPVVTTATPISTTPATLATIPETVATTRPTVVTTAATFATTPATISTTLPPVVTTQAIVPTTHATVPTTTVATTHAAIPTTPTTVPTTTVATTPIPTTTTPIPTTTTLIPTTTTPIPTTAGTVPTTTTTTPIPTTTTPILTTTTPIPTTTTPIPTTPIPTTTTPIPTTTTPIPTTTTPIPTTPIPTTTTPIPTTTTPIPTTTTTTRPSIVTTLPATLPPVVTTPATVATTPAPSCAQKLGIIRNRNVVNQECHRKIFDKSSPHKSLELMFQLSLSDASDIDYVFCNNTYIFGWAMNDGFADIKAVCGIVERDRVLKIFYELRNCLKCEQLGFPLVVDVNRSSEIHFGSTVATTRPPVETTPSCVKKLGIVNNESVFNQECIGKWSGVPQLYKIIVQMFQTLTAESSAVIYQYCNITHITSFGMSLQFASIKNVCGIAERDRVLNKFYEIINCMDCNKFGLPVVIDLNP >CRE17378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:85427:86976:1 gene:WBGene00068201 transcript:CRE17378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17378 MTATTAASSGKPLGYEPTQAVLKHLKFDTRQEIHRHIPQLRKTNALLPYTFNDVKFSKNSISIDHSHWSFGKRPYLRRTGENYETRGDARRTPSPVLRTPPTLKTGAVSYCGKGYQRLHFETEKSSEECHELLFDTFFKNGTVIQGTVGIHGLPEFMHTKETEGFTRIRMMSELRFSHFVASRIFYDFVRFADFGKLQCIEFKADWMNVDCVGAPEFINCPTLKLNVGNVEVRNQNKHLIDIYNLKNRHLEYEYHHFKVDQVETLVERWLSSNREVGTRFTLKVFSMERYEKILEMFDRCRKRFRGVWSKHPGLGQSFHANGVTIPMREGRELVMFGGFESVYRPDTLEMEVMKGGSSVKRAWRRGD >CRE17377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:81440:83018:1 gene:WBGene00068202 transcript:CRE17377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17377 MKKKLKEINFHRQELHNHLPSLRTINSLLPYTIEKVRIESDELRINRKEWTFCKNPEQEDDEDSNERIISIFNRDTHRRSPNFSVNKSSDEAFEKCFSVYLKNDSTIKDFDLSGVPKFLYERDESDGFKLNISKLRTNGVLVDVFDYFIRFVNLDNLEYIHLVLSNKVFGQGDNFGILERPEIVNCKNLDLYVIAPKTAPINYITGLKNQTLVLNQNYFDVNDLRLLIENWKISSRPVGTSFCLWACGFIKTEILNSLELQDTFPVEIQDESTKMQGIGMKMDNNRDLVLYQGQHQVGNRYRPALKMEVISRGSEKKIGDSEPHVSG >CRE06186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig413:19803:20549:-1 gene:WBGene00068203 transcript:CRE06186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06186 MAREMSRQQDQAAVLVVGPPALSDEMIRREPVREVIIQMEEDSVQMEEQFLLDKEEEEMEEQFLLDKEEEEIQLEDDEELVQSGPLHRHQENKKDSHGSLKGYKIPKKKMEPQEEEDEEEEEPKKLKSQVARAIKTRILKKNPPKFNQGCAFCEEKHMSDRCFNFPEYPERKKKLMKKQLCLKCLRRHQDGEKCKSQKECHYCQQLTHHSSMCPEKLEIKWDENDGPSKGAKKRKCEEEPHDSKKSKE >CRE17376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:65614:68319:1 gene:WBGene00068204 transcript:CRE17376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17376 MEGPIPPRSKPLSCDALKSVIKSMSFEKRREIHINLPSLRTINSLLPYTVEFVEITPNYSLQINGKLWIFHKKPEQSNPDDVDPNQTTISIVDLDTVKRSPEFHVNKSCDEAYEKLFNVYLKNGSTIQELHLRGVPKFLCEREDPDGLKLNISRIFMSIEIVDEFDSFIRFVNLDNLEYIHLLIVNEDNEGGECFGMLEKPAIINCKSLDLFLIASETPPINYFTGLRNQTLLLYDNNFSVNDLRMLIENWKTSDRPIGTGFCLYSFETDANDMFNSLELQDTFPVEIQCDLTDKPGIGIKMDNNRDLVLYQGQHQIRSGHSPALKMEVIASGLTCIKLYFTTSYY >CRE17375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:54787:56886:1 gene:WBGene00068205 transcript:CRE17375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17375 MEGPIQQKNKPLSCDALKSVIKSMSFEKRLEIHTNLPSLRAVNSVLPYTIETVWIRPNSLQINRKEWTFRKNSELSNSDNDDPNHTTSDDDDSNETTISIVDRDTYKRSPYFRVNKSFDEAFEKCFNVYLNGSIIEYLSIDHVPKFLCERNGSDGFKLIISSFNTDIGIVDNCIRFVNLDNLKHFRIAFPRMDNGEDFGILEKPEIINCKSLDLFVSVPETPPINYITGLRNQNLILNVNNFQVNELRMLIENWKTSDQPIGTSFYLFSFELNTRDIFDSLELQDTFPVEVEHDSIMYSGIGIKIDDNRDLVLYQGEHLLEEENAALKMEVIASRSKQKNGDSEPMES >CRE17374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:50020:52197:1 gene:WBGene00068206 transcript:CRE17374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17374 MRLWSPYFILLPILIVTSSEFPLEDKFDGLSRAEPPHCSKTPIVRAQTVQSVMLSIAQMQLSVGLHTAVCFRLYENDDNAGNQTSLLHTIRLEKLEHHHPITQRYTFGIPEVHASCICECDATASACTAESHQFTACPESEKSDESTTCYRTFFPNQTPIGCSEDDSPKLCCDVRFKPYKNMTFLAVKLEQPMTYATFVYAAYDFVNGYWVEKDKTTIRSQLDGGTQDRHLDLKRRISLAVTAGGGASHQLETGMYFWRTSNGGETEELRMQPLNEITDNNFDRLGWYRMDESGHFHVNNGVVKMDGIHKAKVKNCKEQTYRSILAANNYMPNHFNLSRPLEVSKPWIQSARILDSSSPQAVVTHAEGTNLQISIYCKFNYSYSHYFNFSVDDEVESQHLVFFHNASRIRDFSGTIIVDSKSNRLFNLTVYDASGKIDGSVKMSPGFGSDTIHTFTTYVSDLHAANRSMIIPLPAIVGEGARTICLRADSMADIDSICHVIEYFESPLEIDLIEGKWHEMIGTCATCNQINFNGMMKFLNPVRWIKRIRSFGDGVMIVTDIVVYVGVMFVLYLLITKIIIGDRSKKEVRRNS >CRE17426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:45215:47419:-1 gene:WBGene00068207 transcript:CRE17426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17426 MERPISPRSKPLSYDALKSVIKSMSVEKRQELHNHLPSLRAVNSLLPYTIKDVRISETELKINRKKWFFDKNPETSNSPDDDSNQTTIWIVDRDTYKNSPVFHVNKSPDEAFENFFNVYFKNGSTIQCFILNHVPQFLCERDGSDGFKLSISRLETNNPIVDKFDSFIRFVNLDNLEYIHLSIDDRLNEHGEQFGMLEKPAIINSKELDFHVWVSASLINYYTGLRNQTLLLNDNQFDVNELCMLIENWKTSDRPIGTSFCLYSYSYSNDRSNVFDSLELQGTFPVENRGDSADKPGIGIKMDDNRDLVVYKGFHQKNQITYPALKMEVIASGSEKKNGDSEPDVSG >CRE08391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:259416:261897:-1 gene:WBGene00068208 transcript:CRE08391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08391 MEPLFEVGEVFVCLFEKQHPYEAKIIGMREERGVDHYVIHYIGWHKRHNEIIPFGEEEGKMFKGNLKQFAEEHPEIEIPADAHKGAKRGRAPNPKILEGETSVEKKDEGGEEEEVEKKKDEEEVEERVEDGREEVVGPVENVVEEEKVEKKKNEEIEEQVVDTVKDVGGPEEEVEVVEQVEKKPEKKAEKPKSKKKGKTNVVVNDQPPPVFPKNPVCLDALEPPLSNTLRDILVEDLDYVNKYFVTRLPVGVSVEDIMVEYKKHLQATKKRQLKQLRNPDIEKKKKEIIAETDKLLATANGIVPIFNAALGCHLLYTTERQQYNKVRKADAIKNKFTFKDITELGKYDFRPSEQYGMVHLLRMLTKLPELLQLSDWNDHMRIAIGLKDFVKFLHKNASKFFTGANEYTTLTAEYFRQPQTVGD >CRE08338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:238576:239842:1 gene:WBGene00068209 transcript:CRE08338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08338 MSTPASESRKIRQRHSEASHKMTTRHHPYPPQLVDSKEMERLRKQNEAYRTQIQLLQEADLHAQKNHRSVVDDYNELLNEKEETDKENEKLREQVQQLEESKVQENEKFRQLVLKYNQLVQQYEELKGDIEKLESEVEESNEKWMDYSKDMEEKLEEAEKEKENAEEEVETMKEHFKIRRENMAQNMSVLREQMEEKDKEIEKWKNHCNEINHKAYAFRSRQVKRRLFHRLDDKLEFGDEKEQKAAERDIKTMRVMLRKGEEINELIDVEN >CRE08389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:233012:233893:-1 gene:WBGene00068210 transcript:CRE08389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08389 MGPTEAFLLSLCSKRTFRCVKAVWKKYRCETGVDVDGNGNILYYVTTDVFNGQRYNILRLKEQNADGDKPDKVMKSSSSVEVPFDFDNKQFVCRVKKEDLPLHEIHNRLCELFRIEKDAVQINGNRMAASLMTGMVTKSRYTSDSHSAADLNAFFTSNPAHTLSIIDGLCPPEVIRPFHPVCYVENLMLSDTKPDMLFFLNFKGKHLIVKNAEFTKGGITYPFAFMIEKMKIPFESMIIILKNRIEVDWVLDQWREDNLFTSEKPPANIFEYNSE >CRE08387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:224877:227924:-1 gene:WBGene00068211 transcript:CRE08387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08387 MVNCLGYARIFRLERQSNNRQGGEGYAEATQVSHGVGEWADHCVSPPTAVPDLAEVTSPVIRIKPSGASAESLNPSRNTPETSGISGFGLNTDYIANHLKAIGEIRDLENFADDEDTSVNQRKYTEEQVETSDEEDFEDGFIPRVMAPSGRATPTLDSYGETSQEDFNGSVRALKGRVAAIEEEAPDGDKERAFPTMLKDQAGGSLETIPNEDRGRDHNADKVGSMNNPLRNCKPLVPWNAQKSADSVTDDTKSTIQQLLLMKKETIEDLDMACKAANKLAVEQRINLQLVQRQVDRALFVAEKLNHPEEALSTKPVYLDRKVSDCGFRNQEVIGVGSGNDHRVIPPTAATTTRTRSLSPNSGTNTQHPYTHVVVRHQVPSFLMASHSNNLLQDLESEDESQEEVNRTCPTGPKDGARDEEDFDEGFIPQVMVLSFGTSLPLESYGGTTREGFNGFVRAFNDRILAMDADPSDEGKKRVFLTALKDQARDRAESILMDNPNATFKDIVQGMKAIFMDTSHRQRSKALLPSSKQVTGESTESFVHRISKPARQSHSDNATFQKKETLEKSLNGQNPDIKSLVMPNTPATPEEAPSDAVSVDGCATPADQPAPNAQLPAELIASPTNIVIDCKDRVRQRNVSRNDRRQDRNRGGNGSPKRKCYYCLKTGHFVRECRQKREDRENRIITQRSNRDWDPRHNHQVDVNAVGRDDEVKALRDAIQARDEQIEKLSKQLGRISQGSHYSTSGSSTSEARHLRSTYDPPGNPSADSLFHKALKLTMLAYHEHRKDSEYLNEITLERFLEGLNQSIKRLAIRETPSTTDQTPDTAREGEACLVPSEQQPELALLSTQLAASLTNTATDHEDQGYCRDFRSEQQDRDDDFRGRSSENDSQTPERQQQLHEQGPYKSSRPTKRHGPMDNIWSKFNLV >CRE08386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:215044:216982:-1 gene:WBGene00068212 transcript:CRE08386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08386 MHAQSRPTNFYDPNAKEIVQVGINVQLCKRLMLVWLHGVNRCAIMHWKTVDCDFIKVGSIIEIAVKPIELREETFWAPYEVTKCIKVLETVKNEVKIQDYTCFALYEVDFANRNPMFDDYSAISGNYILDSEIGNVLLERTAKSNEGIHASKKIIEDHNGKNGPSKVVGWFKYVRCLVPAAMIQQGCDVREEVYSYVWRMEEVLGTMAAYKAKQSRILSETIPAEKLPDWTKEDDVARQKRQARLEFEANQTKAQAGPPSAVSGSTFSDSVFPHETTRQPAPAPVYNLPPGAPVLPNFGYDVYGTARPSTEGLFHRARQTAQEQAEFAQQAAREAEQQAELRARQQAEQQAQLRAQQQADRAQQAAREAEHHAQQRAQQAAREAELHAQQRAQQQAQHANHSAFSAYGAAGPVGNGPYSASSLGNGQYAPSVASSRGTDQYGPSAAPPAPQSNSGAFGFGYAGQPAASSHLPPPQRQITIEELAKKLDDLTAYVYRQDREKEALHSEKEALHQTNCHLRNGLAKIIFAAESMIDIPKVKAQMKIQDIDTFNVLEDAIAEVKVSFCNLSSIGKPYE >CRE08385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:203241:205052:-1 gene:WBGene00068213 transcript:CRE08385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08385 MHAQSRPANSYDANANEIIQVGINVQLCKRLMLVWLHGLNRCAIMHWKTVDCDFIKVGSIIEIAVKPIELREETFWAPYEVTKCLKVLETVKNEVKIQDYTCFALYEVDFANRDPMFDEYSAITSNYILDSEIGNVLLERTAKNQEGFLHTSKKIIEDHKTGPSKVMAWFKYVRCLVPAAMIQRGCDVQEKVYSYVWRMEQVLGTMAAYKAEQSKIQSESILADELPQLTKEDDVARQKRQARLEFEANQTRIHADLPSAVSGLSLSDSVFTHGTVRQQAPAPVNNLPPAAPAQPGYDVYGTARPSTEGLFHRARQHAKEQAQLAQQAAREAEHHAQQRAQQQTQHAAYSNYSTFSGYTAAGPAENGQHGHSVASSRGTDQFGPSAAPSALQSHSGAYGPGYAGQPAAPSHMPPSQRQITIEELAKKLDDLTAYVYRQDREKEALHSEKEALHQTNCHLRNGLAKIIFPTESMIDIPKVKEQMKIHDSETFNTLENAIAEVKAASSDQQSRPRGY >CRE08336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:192667:194398:1 gene:WBGene00068214 transcript:CRE08336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08336 MLDSQKNRRSVYSLELTDDYIKRLFDFLKQQQGATGGVEMKQAVCMEYEGLAVENKVKSVLDKACNEEDEYWNKTKLILEDYYTTAHGIRVEITQQHHSMGGGNPSMKLKPYQLRVSSGWSHCTSTISIRFWPRSSRDNGVFLTSCSQLSSYRAQLLNNGSVLHSKKPPRRSSSLQKKCGPSWRHASKQANEHKEDELNSEPADETPEAREKRYDGYMKTLVEAHPDKKCDVMFAKLDDATIRKEVEFYREQDMKDRETHPSEIVNTLPEKEHTKANIEYLGLVLLGFHGKLRKEIMSHTVLVSPTEFFINPWSIRLTKHKFIQEFNQHPEREVLGKKRKSTNYAFLQNLAKHIREFREFYKNSLNKH >CRE08334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:181898:184687:1 gene:WBGene00068215 transcript:CRE08334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08334 MSFSTERTKKRSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVSGGIVENAKKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSEYKKTLKEEREASSELRGLLRKQEREPKKAGQARDTKEVPVPSRLEVVRKWSSRDLDDEFSMHDRRGEFSDSERSWGEDWKSVRSSRYSAGNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDDELVAILEERYLKGAAKSLFKSLEDRHERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQRAPGQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKERMGRLRDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVENAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWNDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSGIQCKSVAVRGERGRRASTGDVGEVFKSEGEEKERKKVKIRASVAVIGPRLEARGSGVLEYRNKTITGWTQKFDWGAVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVGNVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNTDRRPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPHGITEVKGNEYSRGNRKT >CRE08332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:167728:171646:1 gene:WBGene00068217 transcript:CRE08332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08332 MIDGRELDGPGPIYPDISSVFTQEFLLYGCSTHDLLIHLFYWILVITGFYICNKKTVVWWERTGMANLRGIVQFKAALKEKSNTMNIFFRLRHGFISAKQFEPGKWKEALIPMENVDNWGLSENRRTRTQYKLKKKYYMCNFHTGGKEGRCTYVGTYSSVNEHFSIHTGVYLYHCWACESYFSEGCYSMEDLKKKVTYGTRT >CRE08329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:146678:157379:1 gene:WBGene00068218 transcript:CRE08329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08329 MNVIEDSEQSLDKSQPYAVHLCQVPHGCWDHNIYGNRSDVRHGVEDKMGSSLVMTAQTFQSSRNGTWLRSTNSSANYTPQTVNNTKDIGMWMIDDVETQEHSAADWNQDDAETTYNSKFSTPATPSSSQNRRPSTSAKRWKVSWSWLKTRRIIVKYIPLKTVKESILSIDQRQAEKKCDVWRSIQRNSIITFGVSSRRGVCRDGLVGVRKLNGKHIHGFFVVWTNKKHYHTNGKAGRYLVDKFSHQHFPLFIEYKSWETRLKMAFDRVTAYNPNLAEIIRANRLRIHLF >CRE08380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:115215:119681:-1 gene:WBGene00068219 transcript:CRE08380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08380 MEFLSIPRATLALNYLNTNSTTHTSPFSAIAELVDNAYDADADTLEINLVRDYNDYYLEFKDDGTGMSQEEVSKMILFGHSNKTSDKIGRYGNGMKSGGFHLGRELFMITKKDGINTCLLISHAFHADNKITDEVLCPCVSMDDRGNPVENRARKFPWILQTHEKELDIINQYAPLRGRTLQEMIGRIRDRSGTLIIIGRLKKTGDVGKQLEIVVNGNDIETKTEDGTLIERSLREYLSVLYLFPKMRIILIEEAVQPKKLCANWLGRYHAELSAESFKHGHNKNVSDMVSARDRIKQQIDGFATDTQYRNESVQTIDQMKATRRHLNERTASLQEELDSCDKILKDLQNANRQKKFKVIMGCDVQNRENNGMHFYINNRLITWGHKSAIFRSSTTHTLGISLYVNVSYDVFSPTHNKQSFESQEDFKLLVKKCNAFLNIYQKQMEYQWIPDHLKQKWAYELQPDADVWAEFWQKYGYSDATTANLPRSQLADETKKTIVSCCGVWILCREFFHTFIVRSRKIETMYASFLSKLLKNGRIYPTPPTENTIFSQTYFKKASLVRLLSLLTCDFFSESCKTWRRTPGNTPPGEHPYFRCSAINLQCCESRNLPNRVRSDDEHTRRLPPQAPLPPVHVAPAIPVDRMASNINSEGRRLTERSVEETKRSVPKINKAPTPAIVGEVEYEDSQPVEALPMVPKNEQAHRANEIVEENQEVDELEEDADGEQENDEDEQVDDDERVNNQSVQKVRPQNVYAKKPATKVSRRRQHESSSDDSSGEDEETTKKAKTTKVSSSSQRLTSAVKPAVARPGIQEEQVSISKAHLDNYNQFLVWFNTTPISSGSRRVIDAAQLIKTRQGMIDREKRTVAAMSTRVDQVLDEFSKHSSITRIRIPAKTQNVTTLERINKVVTNISAAKGRKAARK >CRE08378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:104417:105389:-1 gene:WBGene00068220 transcript:CRE08378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08378 MTIVLVNKEREFSADSENSPVDKISTKDDPGSYDLEKVLDCYRVEYQKHNDGSTLKFKRSDNRTIQVNNPKKELVHFLRHQSDILERFSLSDDLMESIHNCLKSRDALLKVEELTLEVNCSEHLNMVVKHLHPDYLQDIRIFNVAEEDIPKLWNLKDLSESTQWEKAKTLHIEGICVNIPILNLLHFSIVDVSVSVITTSDAIWLRNVRDFLQFIIVSKLVPFQTAIHSSKFHSFLIRFQYFENEKKISDSFGQWFCQTETLDGDRFVWWFSTKGSGHAVRVIFQQNRFFKFTRVKLKMAPDDILIIR >CRE08327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:102143:103322:1 gene:WBGene00068221 transcript:CRE08327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08327 MHSLPNLQNLPAPALRKIFKGSNFIEMQSYRKIHPKITYFIDSENPESNLLVVIVQENDRGIHVELGSHWRYVEVDYQEYVEGCLVSTANKTNLLTGEGIMERSVDDLELVLKHQRKTLESFSLKFTSSLYNVFFDKMATYLESREKPLKMKELTLECPDTSDFKRILSSIDSEALRTIRLSKPVTETPDEGIWDIHNFSTLDQWMNARELYIENMTVSIPIRDLLHFCRFEVFIQRISAEDVISLRQTAVHSHHFDYFFLGFEVYYDELYLLETLGEPDVQPTPENDIEKKWWFSVSDDDRIVEIIITNDKSIEFLKTNGLGAQNITIIV >CRE08377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:89109:95693:-1 gene:WBGene00068222 transcript:CRE08377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08377 MVIEEFESYSHLYFILSVTFIEFVNFFVKLLILDTIEAKMDSMNLYNFIYDKCKNACTFLSLKEICDAYNQPPRIKLESIDDLYNQVLHELTHNGCLQCLRTRSILKILHTLRIPMDPNFSKKSITNYYILTDNTHRIVLCEDSRGDVVTGKADYTRPLVEYENQHDLLCFLAEYSITVSVPLSTKELATEYLKRNKSALPVDDLAMQMEMVKPAMMKEHKYFFHTRIQMAFVTQYPVNEALKKKMRVHGEGIMDDDVIIKYVSHDRKVRLEKPNSSKTASGVKSRIEFFGRLEPQKRGTRNRIKMKTRYEPYYVKLEHGGGHSVKTSSNTANNVSHLMASEINPGELYLDILDQNFCKTQEDLKKRKEILQSFMKTWFIVPGLSLNQHQQETEQLRLPVSQFDDDSSHVQSQIDDSSCKEALPSLETSGPAPTLLKQNNDHVGMIPGCEIQNLCRPPCLPADMTIDHQTSILPMDEAVAPYKTVNLTTILSRTYTDPQVSLQQKFLANSQNYRGRRMQTVYGTQEFLHHLYATLILFAAPNSSEVRIKFRNLMNQISPRNVTQIKTIKSALHLSLRKVMGSASTNRDVPQSVEVIQALNSFLDLIKSLDLSPLLSLENYILKLVEEQRPLKNDAVLILGCSAIFAKRAVASKTGSLAAVSCAKHDKKEQKMKVIPHNSPTTRPFDTNRSLILPICSWKYIFLERGYDVKYVKIKGASWASQNDAVLILGCSAIANRGVAAQKESFAAMLCVNHYR >CRE08376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:70368:71407:-1 gene:WBGene00068223 transcript:CRE08376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08376 MIYQDSYQDIYCTVFCVPLRLVSRTRENTFGRLDLLDFAIRPQLAHLTQIGHWPFLFAHGNTVRLLKRSIQQVEEATNADCKKAIQQYRENTLARKFSHTYKTISQLLDRKIRQFEKVVVFDWCPVVNVLLDAKIQILDMQFLAIDANNNGSGTRHVKSFVVRGYDVKDFTMKGASWASQDVSFRRRSDTRMICNIRQRRCRRTERITGCGVLCKTLKVEKPRNFFKNMEKIGSEHMFERHEEWKKE >CRE08375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:60480:62535:-1 gene:WBGene00068224 transcript:CRE08375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08375 MAEFGGHVVYGVIEEGGRIVEIGRPLTAGELEERLGGPGYQEDRRRQPELGIQPIFGGQKRRHDGLGAFGGSGAFLPYEVSGGPSGLSGDQQDLSGPSSFEAPSLLMGPQPGLEGQPLLPPEVEQAAQEDRAIAGDRAALQAMVAPPNSEHLLNDPVMFRGAVLVHVLTNVPVSVAFNGFCNLFKKTFMEYTAFEYLYSKLRSGDLDFNYESPPRRTTTTINNLPGTALDMITKHLPPVETFLLRGQNRFLRDYVDNRPIFKSLEAVFEPGNCQFTMSHPWFVPTVVYQDLQVVGGGFVCSVTCGSKRKVVENTCSTEMLLTQLRLHLRHGQFIMNRIVIENGGWDDFDKVGHLLKNLKDKLKTKNLKIVASKPGDEMMILQHIDPGYLEELEIELKDYQNPMTKEQIDSIVGMGQWEKATTKILKIHGQQGFPIESLMKCPNVKLMLLNEEHPMDAIVYITQMVLASSAVKRFEMGTMFQLNPDDVFGRLGFQPPRRNTRIRAFRNAQKQRYVVEVGMTNVTISKFN >CRE08324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:56787:58018:1 gene:WBGene00068225 transcript:CRE08324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08324 MGRVTKHFDAKSSADYESFARNSICKRSENQRPCDPRLTNDDRSVLADFKLLKKIDSDISNLGERYFEEDDQVTRSLIHSILKKLDTIGDGTEESLNIDTIDSFRSYCYRAKDLYNDKIARLRAKKSEQEESLTDRKESLMAAIERKLKQATQDADRSIDLNAISSHDLFCEKSATGDSAHSEYTKSTQQDEVTGMDMLHGQNYGFMTSYGEHRGGLVWKYVFLSIIVCFRDSTPQKPVFEFLAPKLPSETKKYNHKLEQHSSAGKSTPKAVTNVKFTPYKIPQQRKMSARSDVMCTESPSKAVSGALSQLSVSATNKKTSSIRNLLTDGGHQSGLPQETQATVTVRNTTTTMTSLHE >CRE08374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:53482:55045:-1 gene:WBGene00068226 transcript:CRE08374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08374 MPDIPIQYESLKAILPYMEPNTRFQISLRMPSISSLESRIPLKIEKLTFSELFTEVNKVSYQLGVHRDYGRNDIPSDVLKVNSRGGSFEDFDQYGVIIYPGVNNVLVGDIDLRRRIQHNDWLITEESEEYLVQQLRILKILLSERLNLEYIEDDETKSAIDGRLNRSNALLETTYREITLNESEEYIQLQIQSIRDRLSAFNNRRNNQNRPYTAWIQFTTRSPRKTIQRVAYNKYLYEAKKTVLTKLIGNRGSNISVKNLKIEIHNQILRFPVGTILNIENLEVLCWNSLAFERFKEVIHPSSLPIQQLKIAINNSTDYFQHNIVREAKSLIIYNDPSENDSWSPILLNLTNRRVCLENENALNPANNYLDLIENWLQQGRPVGTTFCMGVKSEETVKHCLDSRRQRQEVLGSSEKQVQLCIDAVFIFNVSYELIEQRGRLVREDDSKWWLRLKYVFVEDLTVIIHSNLVVSHFVYFNYKSLQMVSPKEN >CRE08373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:45401:46696:-1 gene:WBGene00068227 transcript:CRE08373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08373 MSDDLKDEYFLRKNQNCIFQPYIVRNASARLGMSHLKTEGILMKSVHLRGTPSPTSDSIDSYSGDLTPLVTYSLNKRKRWVVLENVGDQMLENVQVFHENSADEILFLERVNLKTFDWKYLPYVTCPQDFHIQTLHSCKKSGDTGEVTYSKSTLNSIFGQTYSHFLDKNRKSDKKIAPENEKDEKSTLVVYNFIRAPKNYMRLGEQHFVASNFGGRYPYYAYMKRKANWNEFKSEIKEETRKHYANKETTGNDNDLDTCTEERNGCDRNQKTKYYDLYTHLKEDFVFVKRGKHKH >CRE08372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:42100:45045:-1 gene:WBGene00068228 transcript:CRE08372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08372 MGWKFAVLLLAVLVKDAHAVCSCYQAGNYTGATAPISNAYNNSDFSACFSSACSYVAYSGDDTKGWTGFTFNWVLPLANAGGSLQIFDGTPDLSSNPAPLIQINEGENVIANTAKNLIKSTSPRITIQYTQTSTTTANVYYGSIKATPGLQPIAEPTSTTAIPSTKTYDTQYFKYNPCLITHDIMVVVNQRTNGGIKALTALNGIVSNFVTPLCVTTVPNTIDSTRLALASLTPYQPYFAIRGEIWGMDTTDVTTNLPQSGVSIDGDIDAALTGLVNLTFLVNQSKSTDSRTNVQRSVVLLTAEWPSAATLNDTVKSVFDAKGVNLLVVGYNLTIEEWGRLASNGRWYNVLNAANSDDSAVANFVNPFYFNDNSSPNSWCPPQSVQNLTGNTVFFQEPVNYSGPKSSDEIWVDPFDGQSTRYCNFADNQYTYTNPNGKGLQVQVFYELEAGKDFLKFYDSSNNLIASFTGFEVSGSTFSTTSSTITARFTSDYESVYRGFYVQITPQ >CRE08321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:38932:39265:1 gene:WBGene00068229 transcript:CRE08321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08321 MNQFSLLLVFCLLALSALPSLSYPSDLSLDAMEAFNTDDIADESPRVKRYGGWGGRGGWGGGRGYYGGRGGGWGRGGGWGRGGGWGRGYRGWGK >CRE08318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:1895:6257:1 gene:WBGene00068230 transcript:CRE08318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08318 MKKDMETRSMEKELEEKKLVDELKKAMDGKKKAQDSVNAFGKMDNEEINKLKTELKKKEDARKKQVMEMKKENELRDIVSTELEDDTNDHHIKVKLAVVLTSPISEDILQRIQSSTSIPLVIMRKLRKLKISNFQTSEKVMKQSDSEDLMMDLLSKLSDGLEMITNTYFIQKYKEVTKTIESERSIERAFSKVKQQIFQSTKYDLSRKVKMLYCSKARCDLDVFSELQKDGIVVLDGIKNSITSYTSNDGSLKLGPPIEDTKRRRKSNSKDSLDLRMSTMQVNDESDDESDNDSDSDNENTPASNEKPSCFWIESDETIGVKSVLQFISNLSRSILLPEGPQLTSMEEMLTEVEKIYRTQNEKKIRTSTILMLLYVELLKNVNSATTTSPTNNEFLSIEMYLKMFLDALRYIQSHEVERYRSGLTNTFSSFKGTKKMVPVVDVIETLRSVFKFVVDYLKSDKKDLSEKSKKSNKKPEH >CRE02555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:258662:260362:-1 gene:WBGene00068232 transcript:CRE02555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02555 MELSTCFTQLHELQKEFVAPTHPEMCNPLVQEIYAENKNDVLKTKQYLQRYHLFWSIILQLHNQRSQAIKENNGETKRMFETIINNLVRERNHSLGFSRKLIFDLHGFTVKGALEYVSDIKSAIENSEARHRAITLITGHGERVNGAPTIKAEILRKFGENVQEEFLNPGRLTFRLQEAFMDSECFPDLGAHAYKPQFPENPLSKMSRRPLAQLDESSDQDQERRESIARRQEEQNTPNFDFGNIWVEIPQENLEDYDEEEGEEDEEDDDNETEISSATESEDDDDDDVEYSENEMEVDDDLEEQHQESRND >CRE02556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:260701:261749:-1 gene:WBGene00068233 transcript:CRE02556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02556 MPRRQNNSKLNARHIDQLQRETGYPHNVVKITYYDSKKNVDLARKELGFGKYVFKGVTAKMVNESFGIFDAMDQLQSLQDKYRQNGKYGISNYWIAHNFLLNEGSFLRTTAYLEEDKIRRDTIRCLHNSKSDVSQIVRQRNISLDDLFNIPVEHLKFDLHWFSCMKALEYVKEIVEKMNSGSPHLYRSVDIQLLTGLGNHSANSASIIRQALQKEYGLRIKVDGGNPGVLILTIPKKPTYSDAIWC >CRE02557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:264158:266093:-1 gene:WBGene00068234 transcript:CRE02557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02557 MLPFETAGVFTKTGFCISFLANFILIYLTIFHVKQIFITYKRMVVYFAVVGILFSGLEVVARPFAHNFKGVFTAYGLVVSEVPKFIMAPYDSDGRIKIESLQNITVDLFLVCSHYLVILYCGIKMHFNMKKELKKFSAPQRKLQRQFFKALVIQSLGPTIFLVLPASPVLLTPVIAPLLNVQVHWKTGWMFSLIGLYPPFDSIAFMIIVAEYKKVIKKNLEMSTRKHRDQKSGDFLRKRTGHDTLTVNEAYRENQGNVSKALKSLGHETTASYGVHSTTHLRPSHHENAHFLEMSKLHEKLKTANSSTEVQKIEVEMYEKGKKFNESRSPPNRYDLHYLTVNVAVRYALEVVEKEKKEKKEKKHKEILLVTGNGNRSVDGIAAIKNAVLRDLGWLGYCTAKKDPRNPGVVLVKFK >CRE02559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:268026:269185:-1 gene:WBGene00068235 transcript:CRE02559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02559 MYSTLSEYYLLEYPKCNAQYDFLASWQGLAWPSHILQGVAFPFQVLTFYIIVKNTPNHMSVVKWPILINHFWCTWLDFTICAFSTPYIFLSYLGFLGVGLFSWYGIPYLFQIVLAILVAFCELDVQNMCLQNNFVSGASGSYIYLFESRSNSLQENRFKFKKSTSRFIYHVAIFILDLSLFGMFWSVPGDQDSARLQVLTLDPCPTPEFFFGNVFIVTTDTDTIRFYTWFLIPFLLFHSIGHVLFHAACTVYYIFIAPTKSTSPLTRQIQRQFFVGLIFQTGIPVVFLAAPITYSMLAFFTDNLEQKWMNIAVIVAGLHGIGESLSVLMVHSSYRNAVWRLIPGCSAERDLVFGRRKSSKQIIMQKLSD >CRE02504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:269721:270349:1 gene:WBGene00068236 transcript:CRE02504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02504 MKTAIIFLALIAGISAQFSATAQQQNNGIPPSELPPKTTQTPVQLDTLEQLDSKRISSGVGLAESLEPSIHIGNVLNKPIYKQGATCSACPSPTKCDTSSGLCV >CRE02560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:271344:272112:-1 gene:WBGene00068237 transcript:CRE02560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02560 MKTAIIFLALIAGISAQFSATAQQQIVDAHNKLRSSIAKGTYVAKGTKEPAGADILKMKWDPSIGTSAQNYANTCPTGHSGAAGLGENIFWSWTSGQFGALDPYGVTASNSWEKEFQDYGWTSNTLDVATFNTGIGHATQMAWSLTGTLGCGIKMCGPDPSVKNYNRLIVVCQYKNQGNVLNKPIYKQGATCSACPSPTKCDTSSGLCV >CRE02480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:138854:140517:1 gene:WBGene00068239 transcript:CRE02480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02480 MDENQKTCHICYKPSRLFNYGVPCCNACKMFFRRVKTFRTPLRECENNEICYKNADEIFYYDCKSCRFQQCIRAGMIENQEKIRFFDIIPILHQLEIEKRNILENYEAPFKNISFEEVTDTEPIQFKPKSLDTRFNSYDYAFMTHTSTFDYLKKLDFVKMMTSSDSKAFLKSSYLNCAIFSTAMHCYSHKMGFITFPEGIDIFPTDIDIIPIHFPRLELGIKCRMIGKLSELRITQEEFLLLNIIFICNPDVPNMSETGLLLLNCHQKMYSSLLLKYCQMTYQHNAPSRFNDLLSICHVISKTRQDTSNVALIFQIYKPGIKWRDMFKGAIDFLLK >CRE02528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:145376:146854:-1 gene:WBGene00068240 transcript:CRE02528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02528 MDEMHISLFSNEIYLTSYCIILVLQLFCAGINGVIIIMFWKLSDLRKNKNFNLVFYFSASDFLAAVFEIPYIIYTILKWNPSQLNFDQLVILISSTPLPLQRKVSAIITIGIAISRNLAIFFPAKFRKLEQSYYSEITLIGIAFGVFDAVLMFISSPITRIPNCGTSGCFVGNQFRSYWGTSNMILGFTVVILSISIFFKIKSVGKETLVIKNPLKHCSKFQQESLMESYLSVATVMHVE >CRE02530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:153193:153986:-1 gene:WBGene00068241 transcript:CRE02530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-224 description:CRE-CLEC-224 protein [Source:UniProtKB/TrEMBL;Acc:E3MWR9] MKLLFVLFLKFVFISAQLDLNSIKKQQTYPATGPTTISTSAASTVGPCVGCQYGWVPYGGSCYKKMLDVLTQSTAEQECITLGAHLASFETNDKATAIKNLVLSAPLFSTDLFSYSSSSQESWIGLSKTSNGAWKWTDASEVEFANLPTGTSVTGASCVSMNTSGVWKPNDCTSTVTSFICKRLSSPL >CRE18208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:5992:7305:-1 gene:WBGene00068242 transcript:CRE18208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18208 MPFNKPQSFLHYVRYAQLVAQLGFFSTAFFCFILVFLTMFGVKRNFGSYKYLLILFPMVGIFFASIELIFYPNVYSNNAGYILFSTSRPFNMSQYAVTWFIGLYAGVYSSTISMLSVQFLYRYWAVFDETKLRFFKGWRFLIWIAYSIYFGFQWGFGVYYFDKIDDYAKNYLRLEMMQKYSTDFSEIAGVALVAYDKDGNIRWFNICCTLNMTAIMLVQYSIIIYCAIWMYIEMDEKVQMLSLSLRNLHKQFFKTLILQIVTPTITLFSPVMLIIYLPLLDLECDLPTGIFLSAVTLYPAMDAIIVMYIVSDYKKAAKTMLKKALDRASAWLNTVETDQSSTRTRSTATNRSAALNRS >CRE02482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:155853:156822:1 gene:WBGene00068243 transcript:CRE02482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02482 MLAKTIQVRGLTVDVKKLRSEKLDEKWNKILESRPHSILFSFGTMFKSIYMPESYKQNFVKVMKSFQNVTFIWKYESEETSFSQGAENIVFSKWIPQTALLADSRLSAFLTHGGLGSVNELSYLGKPAILCPLFGEQPGLDFLTSIVLLTLLVIYFAIKTITDILAKKLKQD >CRE02483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:160089:160991:1 gene:WBGene00068244 transcript:CRE02483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02483 MTRFYLLLFLLISILLPNKVQSYQTTTGYDPKKETCPIFGKFHIVYCEIRYGFKLLWRQNSIAWSPEQTRNMTFEELKKPCDQNFACKENSGCFQDFVNFQFLDQCIDDMFVLGPMELCEEKLKEVLKKTPDQISECVKKSFADVSAFEIKKKNWIVVFQKNPEKFDCVSIKERGECFLADVEKHCDSEFFKIFKEHQDLRLYNRACDGRLRYKDWDYSGSQDFEIRNIPGGVKIVDPKRNVTVQRNLTNNLSYILSVLLASLMF >CRE02484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:162662:163593:1 gene:WBGene00068245 transcript:CRE02484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02484 MPSSPKFLLLLFLSLLPLSHSGSSRKYGVDRWKTGCTAVGEFDMSYCDWIVGMKSLWRTNSIAWWPDQTKNMSYVELKKHCDDSFMKMFHQVCLENTGCYKDFVNYQELDKCVDDVFWLGPMHFCEKKLREVMDSTPDQLASCVKKYLKRKNPDKFDCLSIYEKGQCFLADVEKHCEPKLLSMYKEHQSLRLFNRACDGRLRYKDWDYNGAQNDALQFNVFSVKSSDPKRGILKNPDVEETTDGNNSTEV >CRE02533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:163725:164170:-1 gene:WBGene00068246 transcript:CRE02533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02533 MSKKICFVFAVFILVISWIIVGSVDTTTPKPTPRPINVEFFDNVTIPMPVSANYRRIVEMTYGVKEEQIYRVCTGKNKKTCGFWENVETKAKVESGKTTYNKNKKALIIKKIMKTDF >CRE02487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:171605:174408:1 gene:WBGene00068247 transcript:CRE02487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02487 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MWS9] MLDFIFKFWLFYGSASLLLMVFLIILLSTDIIFSHSFYRIITMDILLNIFFWLNTWPLRIIYRGEDSETLLWIYESSPKILDIVSFLDIASFHIQTMSSIIICIHRLSTVIVENSNRFWKRWYLFCYLGIGVYSCLAAKLVALPEISYDYESRVFLEPSIKDESQIIVGFSLVYIILIIIIGTVTLLKIRNRLLAHAHHNTFLLKKISKITIVNSCVYAVLVLRTVFSSTWSFKYRNELVMTISDVIHSLFFLSFASKRTKEMIKSTSHRKYISLCIDTYSVPSRVSLTLKVNDTYKIVVMWSFIRPPLALEILPPVVLDIDGLKVKCCLGFDAVTSAPTIFCNQNIRKKICASIHNYAWNLFGQPPHIIVMCNKELEDVGIHGTAKLCYLPAQCFDGRIVDRFFRNFNVTNTLIMGSVAGTHKYPVPDDSPFYKTNNLGFGNCAWVPNILKKFQGRNGVFLGATVENSEVFDFLKTWSEGEGNIELESIVVRKRTGIHGDSLLDRNLILESFYMKQWDPLRRQAEFDFQIDMKHFLTCSKVELSFDCTDQFDIERKTDGMLATVVINTGGFDFFVWRNSAII >CRE02488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:174618:178600:1 gene:WBGene00068248 transcript:CRE02488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02488 MNSSVKITKKAEKAEKERFPSVSSNGNNTITISEVSQEIRSTASDSESDDDNDISQVSTRRDSSSSSSSDSDDDIDLTAITKTNQKPVRTRFGVLLQHRIKLEEDSKEIIRKSGQKMDENFFSFTPEEDRKIKENWQRFAEFHKFSGHIFEFLGYDTDGLELKKGKRPENVSIHVYHRELWPSLCRGLDNRFAKLVRLRISYIFHPYFREEQDWDIGEVKRRLDNDESLGEISETLQIPPRFLERKFAKHEKVETLYLEPKQRIFMLKMLFESVIESGDNKFSPKNFDWKVFRNNFPNEIPKKFDFRCRENSIDPPEPRTVNETFRKRFSGAEKAIFQHLDPPATLKNKLIYVYEAYLRFSIKVPSNNQRRSQLPLIRLDTLFTIVFEDYNKNGEWNPPPALERYAKQVDLEKVRERVTTVIQKRKRLNETPKAKIRVIVKKRSTLEDLRGSTDSRGDDSEDQELEVGTSGSIDGRTDEVFRKQIF >CRE02535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:180587:182081:-1 gene:WBGene00068249 transcript:CRE02535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02535 MSCYQPLLPNIHDMDHKKRSAVSLCNVLLTIFGFFFLFFWFSQFHKSHPEDNLELEPIPLRFQRPETTTVEPRTETAATLFPARTTTFDSFQNAFSGFQFTKPIACGKRIVGYYNGWNGQKLSDSQLQKITHLVFAFMRIHKNGTVSFENDEKKSLFLEMKNKAKEVNEEVKVMFSIVGKYNSALFGNLNADFKTRKTLINSIVSFLRENQFDGVDIFWKWPTNQDKANYVFLLRELRQKLQNLDLQNPKILSIVTPSVGLELIDGFHLNGILKYVDFVNVETDRTTGALTGAPAPLFSEIGQYKEFNVDSTMRHLACVTGKPSQLNMGVPFYGRYWKGVEGAVEKGDDMWRTTGEDVATGTVFWRNMEKEGWSKPSATWHQESRTPYIWDAKNRMFLGFENEKSVSEKLKYTIDKNLGGLTIWTLEMDDDVDSLLNAVTTTMSEDCGGSEGLRYQCN >CRE02489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:182534:183967:1 gene:WBGene00068250 transcript:CRE02489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02489 MPNETTYGPNNRRFANRLCSQKTIYTNFIVFVLICVVCAPVAYFLTSMLTDLLDWESLPSKSITDSTAICAKRVVGYFTFWGFFDLQPWQLNNLTHVIFIFVRIEKDLVVKTDQTYAETKIWDMKKAVSEAKQNGLKMMFAIGGYQADSEFRQITSLRASKETRTKFLNSIIAVIDHYEIDGIEISWMWPPYISRVPYLMLIRDIREHLEKHRIAKKRADDYVLSITAPRNISDLKSFYFNEILKYVDFVNVLTDEYQYSNKLIGPISPLLGNPELSLDATTKYLVCQTKRPSKFNLVVPLFGLFWTNATLNEAFPAPKLNAQGPYEMAWRLWKREPWSSYSVSWDEKSRLAFVWDSSSRMFATFENERSLREKSIYVRDKNLGGITMNSLDKDEEGTLGNAIKTVELCTDDELEDIKYKCDN >CRE02536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:184109:185218:-1 gene:WBGene00068251 transcript:CRE02536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02536 MITDVELANDFRAIHLIFGGMGSILNLCLLYLAIFQTPKAIRLYSTLIINFAVTDALTCLLDIFIAIRFRVLPYPNEDSMAHIMNGVCKNFGLTTCVIGFSLYLHTLSHSIWSLLISFGYRYLILFNTSFVKCSSVTLVILAFYLPSFLQAVTYWTNFVERSEILPIIKRVHPDYDFTESVGLLTGITDLSSVSVVYGMIHTTLPITPVYISVFIIRWKTVKILTKKRDFMSQDTKIMHSQLLKVLTLQAILPATTFGTSYLFIGCKSILLPKKKQLSVTVRLGIISGQIYEHLVFSIAILMPVVSPLTYFVFVKPYRQFFIKYV >CRE02537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:190852:192092:-1 gene:WBGene00068252 transcript:CRE02537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02537 MSTSFLHQNSIAQTVGTLSFLISSFFGIIVIFLTLFGVRKIFGTYKYLIVTFTTVGIGFTSLEAVFHPCLHFYNNGYVFFYLSYLSGLSKETLKVILSMYTGVYSVTISLLAVQFIYRYWALFSLKHLTYFRGCKSLIWAVYCIFFGGIWLMGSYYLLEMDDVAEKYFEEEILVRYGVSIREIPALTFVAYEPKDGLIRWKNASHSVLINSIMVFQYGVMIYCGWNMHSKMEEKIAHLSLIRKHHNRQLFKALVFQISTPTIFLFSPLIIFIYLPYFQIELSLPGGVIMSLFNMYPAMDSIIILIILTEYRIAARKMLSDVMRKSSAVFSAKSSNTSQITGQIELPTIRTML >CRE02493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:196052:197803:1 gene:WBGene00068253 transcript:CRE02493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02493 MRENGVETVEELRELCEKGVDMVPGGIVENSKKARDELALLQDAWNEEKETLFRELNKLKEEKRLAEEAVSKYKMTLKEEREASSELRGLLRKQESEPKKAGQVRDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGKRGNRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVENAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRRASTGDVGEVFKSEGEEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVDKVAEQWKEWLKTSANVEVVDPLMPVGKHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNTDRRPQSGLKKDLGKGCPIAERRVKWLRRSSTFSKPHGITEVKGNEYSRDNRKT >CRE02539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:198545:199755:-1 gene:WBGene00068254 transcript:CRE02539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02539 MSNTEESDFYHEEDFEIDIKKLKFLELIEKGLFTKVQKAELCNKLSVVLKSASKQEDAKQQRMILDELQVLTNIKKHPSILAFVGVILSTENHVSIVSEYAENGCLLEFLRSHQDSFKDQLENEEDSGFVILNPGMSNNEESLKKYTVCTSDLLSFAYQIANGMNYLAGLNYVHRQLALRSIYLTTDKTIRIGDLGLARRNDEKHYYRIVHKDLPLPFHWLAPETLGSHKFTEKSDVWSFAVCLYELFTLGKMPYDGVEDVLKYLDRGRRLPKPEYCHQEIYNFMLTCWNMDPEKRPTFSDCENFFENHLQKFAMGVLENVKEKMESATEHQKQLEALAK >CRE02495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:201482:203502:1 gene:WBGene00068255 transcript:CRE02495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02495 MQHFELTDILTKLGFLLSFLFNSFFIYLTVFYIKDIFGTYKKLIIFFSIVGILFSGLEVCARPFAHNFNNSLFYFSLNNSGPESLVIFAIMLWAGFYVIIVSSIAVQFIYRYLCLLESEKVRKINILKNLCWMFYPVLPGTFYTGALYMLCWPDEYSDSYVRNVILENYQLDVANLRRFVMTPYNTDESIRWNNFTFHVIAAVLVCFHYSIIIFCGFRMHISMKHELENPLLPPVLGIKINWQTGWLYSFIGVYPPFDSVAFMVIVTEYKVLIRRRFLKFFNKNRESTVYASVARPMNNRSSALD >CRE02541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:206608:208418:-1 gene:WBGene00068257 transcript:CRE02541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02541 MPPALHISGLCEVCDQPAFGKHFGVLSCRACAAFFRRSGNWLKQKKCDKENCKIFEKSYKCKICRLKKCYKVGMDVSKFQKNRDLLSNSSNYSQRSKIATPQSLANFLGRPEFILCFEPDRASSTKTIIDVSYLLEKVSRIFQHDPSYLGPYEIKSSLERVTYAMDTMKSKKINNTLEVCKVIGKREALLFWELTFIGSAHWLAEFEEFRNLEMDVKLDIQKSVWTIWMRLTALAETSEYNRMNVLKSEEEENGLFVCSGGARVNMKEIKMDLSWCTNYSTEEIARFMGPDVGANWNSLLDDLTKLNPTNTELNYMLLHICLHDAGKKYQGKVLEATEKLLGILADNLHAYYLNKMRMTNYSGRIAQMMKINRMIEVELRDRREKNYLANLFDVYKIEWSHPEMFELI >CRE15020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig343:2878:5299:1 gene:WBGene00068258 transcript:CRE15020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15020 MKPRAKALIFYGAVSTFMLVVLVFQSDKVSYRPSLLSNTLSNFELWNRFRRTEAIGDGEKEEKVSIDKNCECKSKKTQKSYDFCYKNPKNSSLIGRKFNCSYLTILEDLKLVDAPDQSLVDLANPVRNETIFVSAISDNHFGNFREMYKLIKQHWSNQKVILYSLELSAIYIKKLKTEPNVEVREFDTSKYPKLVKNWAEYRFKALILAEAIRDFPNVWWTDSHNRWNQPKPLAQFYGEIAKCMGDPDCDKKSSIFMFVNASHSNYAVLTDGLLDYFPTYGVNTLKYNDKGLQLSAAFVYLARTPLTLEILKWHTLCALEENCMNPPSAKLKCDREPQWDIYAGCFRYDQSSLNLLMFNSFRDHNHYFMDAGEVSRTYGHY >CRE02547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:216156:217917:-1 gene:WBGene00068259 transcript:CRE02547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02547 MLKFLFLFCCSIAGFIGAVDFSCYTQPITSVMLGGNLPLDATNLTDVSNGTNCTFTFVIPTNYVLFLKFSSFLASEDDSVIIFDNNGRNRFSLNQLSYPVYDRPLWMPAKSTKVQVVGKSGNSKFFMSYVYQPLDKYTKISKTTGEPISLRSMADNTFTTVTSPTAGEKVILTPGTRAGTSDTPLIHYFVYDGDNITGANFLGRLSEFKSQMKKSTGQSVSIVRFSDETSASYVLGNDASALNGFGEYNVFVTSKGKIMTNTLNDVTTSAKGTANTFICVDCSTFYLSRLIFYNIGNVGNGACITLQGQTPTHKREQLIKYDPNTLTANQFPQLLPTSVFTLNIYLGKADYNLNTINDDTAWKRPYVGRKGYIFSPSLWTSAANNFTYEFRDNSQNFNFTLNMQKMSFPASSDQMTLNIGSGSGTPAVNKQYPRDQTSNSQVMGNGNYMQIGLSASVAADVRLSFEIQKGNSAKAVGVLISVVLILFYSF >CRE02497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:219939:221535:1 gene:WBGene00068260 transcript:CRE02497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02497 MKVTLLFVLLSSATLGFTCPTNPITTATLTGNLSLGVTNVPPGTNCTFMFNIPNYYVLLINLSATAGYKEDTVTIFDSNNAPIFVSSDNPMPDFHFPPIWIPAGSAKIQVIGVSGHSQFLVSYTYESLDNYQRIRKRTGEYFSLKSIVYNTYVTITSSSPKEKVILTPGMKQGGIDNTLQNYFVYDGEDITEANFLGRLSEFKSSMKKSSGQSVSIISFSDTKSYSYVLGNDASTLQPFEKYSVILTSKGSEMHGVMNDISVKESAYTFICIDCSTNSWTQLAFDFVGNIQYGGHITLQNLTPTHRKRKMAKYDMMTFTNQYFTEILHTEIFTLNMHLAKAEYNVYTTQNDNESRNLISWRHPPCLWNSENEIGDILKYYNTSASQPRTALPASSDHLSPEIGHQGRLAFSAETKIRLSFEIQEPRSASDGYHISFSIVRILSFYINSFFSF >CRE02502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:232722:234636:1 gene:WBGene00068261 transcript:CRE02502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02502 MTANFNVLVVILLNSALTLTAQEFSCPTAPITKDAGLTGVLPAGATGQVQVPANTKCTYTFQIPKGFALRVDTTADYEVSMENSINFDNIFISPPAVNVVDYAMNTEVPFEIVSKTGDLAFITKYSYIDRGFFRWEHFKISRQITVSNYKQVIIPTGTHLNTTLDSNKYYTVKASNDNDQVNLQYGSRQSSVADLTLSEVFLFDGNDIFNSKYIGRVPSVYEKQNLFYSTSNTVTLINLYGNPSSSLFLGNDASVIKTLNNYGVLVMDSDKEYDDWMYLLKGATVVTDSWYTVICKDCTTFSIDYMLFDTDKDYSNANGYVEVQGMTPSHKLQTMLHYQYTTSNNQSFPQIIPAPMSTFHLYNSSFHFKLRPGTLQKDFDTSSGATRYVSSPQLWNPDAKSSFDYTFSDFNKNFNFSINLQSLKLENDGDSLNIEVGTADGDNSLDKKYTKTSLENQQIAGVGSYLKLVYTGTKNSTVLLNFEMIDMTETTVSMETTTKESVSSLPLWVLIVMTLFVM >CRE02503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:237125:241941:1 gene:WBGene00068262 transcript:CRE02503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02503 MEDINLTVYALQPAALVGTVLNWAVFYSIHKLKSFNHSFGFLLTNQTLFDALNSTSFLFYFCPMVLFDVPIMKQYSHHCGFFILLCSEISIMTHLAISFNRFCAVWIPYSYQSIFSNKNTKFLIAAILLFCVSVALLFYEKLCYLYYDEKIHFLTFSTSDLCSFIGWYGDFLKNASIVAFVVFMDILTVVKVRMITKKVESSINENAQNKMSSREMRFLKQTVAQGSVFMMELLAYFFVPQYTTNKMIVFFSTSFAWVAMHAADGPAPSPSNEVCEVCGDHKVNNKRYGAFACLGCTVFFRRSITKNKKYKCLKHQNCFISNLYRCACRYCRFQKCLRVGMRGEAIQKRDVVGPRNLIISPEIIFVEDPSFLKPWVEFQRVQVAEHLPYFESHQVDPAFYKDSSNTVKYRRRARAHDVDIMLKLCLKQATAWGNQLKPFKKLSLVSKKNILAEYCLAFLLIDQGFKTAKEADSGIWLLQNGSFMHSDYFFGLPYADIDMESMKIKTQLHYNFVSDFLETVAKPFRRLEVDEVECAVLKVLMLLKRKIFFKMFTQPYFLFPASCSERAIYCGQEGVVAGLYSRCVDELMEHTMERFPGNGEERFGELLLLISAIRCGVKTLYNQTRVSDLFNFMKFDNSVKEVFLT >CRE02549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:242033:243272:-1 gene:WBGene00068263 transcript:CRE02549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02549 MKIVFLFLSFILPTLAQNLTCMYGYDLVMNKCLRYYGSDNQQHSEIYECRLFGGTLVTINNAIENRAVVQYASDQGQERIWLGSFCFGNTSSSCYNDDYSDSRYSNFAPGNPLVNGSDGSCVSMITKGSHVGRWFSSNCNHNFYSFICQLPTTVDGSKYKSDGKWFENFNNSAYYVVKGSIDEAEIECQKNNGHVVSIHSKQENDFILNRMALVPENVRLGAKRVFNNSYAWADGTLWDFDFRDQLDDMPESLDCLEIFTVHQLWSRTDCDTKASNICKIPLPPIEDNSHCNTTLLMSPSTFTSYGYGMQGLQSPCTWKIVAPGPYQVNLQFLEMRNSSVTVLDASGKLIASVNSTVKVLAESNIVTVVHNGQGTFKASALAF >CRE02551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:248589:250185:-1 gene:WBGene00068264 transcript:CRE02551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02551 MKFLSFVLLISFIYGSQQVEQLINLNSFIGGNVSNPFSRNESPCNMYVSASADDESLLSKIYLVNDDNQKISLNDLRLSRSANTGELQAFSVFSSGYLITNLTTSQLQTLTGFLFQSTRDQWSNSNLILIYYILNKQRNLDNFHVFEADDIQDISRYSTDQSTTLFLNSGRDNTGSQSSVTFSKWDQGDDARVNIYNGFRSSEREDVLIFSNPLAVQDIVMLFPKVETFSVPCRTFYIKSLGRISYRIQPGSQNLFATSSYTTTGLLVKGTDQESSATYRILQDSQDFTGVTGFNTLAINNKNNTQVQVAVYNNPPDNSYYNTSIIPGNAVMSWSIPFVGDKLDIKSRRDNTSAIFTQFFIIQGPPRLNTTTQTPPTTTTQTSTTQASTQTTTMTSKTTTLPTTTTTMKTTPTTTTKPQQPTSKSSTTTTTTTTTTTTTVATTTKLASVSKLFVSIVVILSYVVLI >CRE02552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:251053:255804:-1 gene:WBGene00068265 transcript:CRE02552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02552 MSNNMSNAASLGCDTDTLLSNAETYSESSDSDVEEIERANPIRLDALDLAFDATQEQFIAPFFADRADWINVFSPEEILRIRHNLALIHLFNNSTAFTLEGVPNSDSFMRVFVNSFVVTMRRDLDSSSEMLIRERKENIVIILLGYRHLCSGGQCLSGYKSDKKTRSGEMYGGFLITYSMEIGWDELVRSMSPNLKGFLDSLDSLHYFIDHVVYKANYLLLHYFTGRPGLYPIVKGVVREVAKRVFDLDINLVVQGRTQRSVHMNNGERVEEHVVFLIKNVGENRRDSDGSHSGLLASSNPNFPESLDDTLQMSLDDFSKALPYHFVIDESCKLVQCGSELHNHIPNELLQPGTPILRIFEINRPQIPLDFENICNFINAVFVLQVKTSPLKKKLMDAMSQEELKQEVEALEEEEDKSNELTQGHHLKLKGQMMLLSSKKHIIYLCSPYVTSINELMQYGMRLTAMPLHDATRDLILLNQQRLTDVEVNLQLEANNEQLETMTRELEQERLKTDSILKDMLPKRIAQQLLSGEHIEAAEHDATVMFCDLPTFQQTIPQCSPKDIVRILNEIFRKLDRIIVIRGVFKVETVSDSYMAVSGITEFTPEHAENMCHVALGMMWEARSVVDPVSKNPFLLRIGLHSGKIVAGVVGTKSPKYCLFGETVTLASQMESQGMAGKIQCSKWTYEKAMETGRFEFSPRGRINVKDRGQTETYFLTRSLKKSIWEIVDHERDVNVNSIEGYEELETSIENAITVKANNLRPGHLNSAACSIS >CRE28963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:58:545:-1 gene:WBGene00068266 transcript:CRE28963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28963 MRISTLGTGRRCFSLLIRPPSVNSTFRAMSSGADAQKVEKQKEKEVFELDGRQYTPDSMYNLSPAVRRLLDRKILQESSNPLNLLKRRVVDYVHHTYRKPGTGNYGNKCLGGEK >CRE28964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:1742:5473:-1 gene:WBGene00068267 transcript:CRE28964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28964 MVVIDVITLDDDDDDVCLQKARIPTPRYGIFRSIRGDDDTPIQNPDDVPWKIEDFFFSSEDVTSSRNSEALRHPKIEESESQGILNPENSGNSSGDSGAPTSSGFRNSKVLRDPKIEDSESVPPPKSQNSKNLTSSENSGVVTRSKSILNPSKSQNPNSGVTTSSGPHQNLPNQTSTSSGYSGAPTSSGFRNSEVLRDPKTEDSESVTSSKFQNSKNPTSSGDSGAPTTLKNFTIPKISNSGIPSLLEIPLISKPPRLLNSTSSGHQNHQNPPRNQNSTSSGNSGVPTSSRPLQNHQNPPESGDFPVLELYKDPKKMSRGERKKYRQKLQLEAVMVAGQIKQLRMLSAEYVKQEDQEVTQFQRANQEKLTQLMLQDTQIRSEFRKACGSTAYLDQLIRNAGCAGYIPKPSAPPANTSDHPKRTFPNRDVIHQNPVIPEKRIKVETA >CRE28926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:7206:8582:1 gene:WBGene00068268 transcript:CRE28926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28926 MTPISLLEILDLPMETIMNNLDFPTIQSLRKTCWYLRNFIDDKKPGECLNQLALIESPNKIDLNLRNKVNWKLYPDGSAICLLFENLENGGTKIYWQRSDGDREKIVEKMDYLDGALKDFEIALNHCKSEFENVHFSPTNDIKFLEKISKILEPRKTIPTKNLDIGIQCPAQAQHFLQYFDSKCLKSIDMSMAQNGIIISENASSAAHLAILSIPLHIIDAATLRALKKQFLESGDDKKHFIRHGMNLKKEILIGAFGPPAQAVLQCTWYFKAFSEKVLQIDANSQFFTLSFVKKSEVPNGFVIYG >CRE28927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:10011:12807:1 gene:WBGene00068269 transcript:CRE28927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28927 MFIFYQYFHEKLRFFPIFRSFFQLFLIFHQYFCRMDYPGTGVKQPEVSIDLNSVDGFYSSDFQTFQNTSTPMMTGYDAPNGSISSPTGQISANAYRRTNAGAAGKFMENNGFGWLLEVNEEDQDQIPLLEELDIDLTDIYYKIRCVLLPLPYFRMKLNIVRESPDFWGPLAVVLAFAILSLYGQFGVVSWIITMWFCGGFMVYFIARALGGDVGYSQVLGIVGYCLIPLVVTSLVTPLISGFRLLSNALGMFGTVWSVYSAGTLLCVDELQAKKPLVVYPVFLLYVYFYSLYSGV >CRE28965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:13768:14515:-1 gene:WBGene00068270 transcript:CRE28965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28965 MLALLLLLIPSCLSYCDLDCKRLEDDPSKMVWTERATYCENGYGDAHCDSLYVGQPNVTAGGSAVRPDYCWGTTDANGVTTENLDTIANSIKFCAKRCGYCCVTEDHTCNWTIPSGYTAEIQKICNEVTWDKCLNSVEYRPIYAKYCPNYCGFCMFNGCVDAVSSCSKDPAVCRSTAMLTFASQYCKKTCGYCTACPDTRTDCAEMVRLYDYCNWQSNYQLKKECAKTCNMC >CRE28928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:14795:15554:1 gene:WBGene00068271 transcript:CRE28928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28928 MLVATLLLFLIPSCLSYCDLDCKRLEDDPSKMVWTERAIYCENLYPDSTCDAQYEGQPNVTAGGSAVRPSFCLGPTDANGVTTENPDTIAYAKRYCAKRCGYCCVTEDHTCNWTIPSGYTAEIQKICKEVTWDKCLNSVEYRPIYAKYCPNYCGFCMFNGCVDAVPSCSKDPAVCRSPAMLTFSSQYCKKTCGYCTACPDTRTDCAEMVRLYDYCNVVSRLQKKKECAKTCNMC >CRE28929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:22534:25225:1 gene:WBGene00068272 transcript:CRE28929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-241 description:CRE-NHR-241 protein [Source:UniProtKB/TrEMBL;Acc:E3N588] MTVLDLSLFCFVMSSEEPSTSSKPPGSSSPKGTCAVCGDVANGRRYGAMSCLGCIVFFRRTCLRNMKYRCQRQGCCEITTETRCVCRSCRMKKCLDVGMNPESIQIRDVIGPRRPRPQSRSPQLPSPSSSLPPSVPEALNIGVFLELQKNQREQHCTEDEKKFTEGCVYMKNDYHHRATSSDINHSLKLAIEDSTDFAAHFQFFQNLTEPSQRRAILAEYSISFMLIDQAVKTVNEADDGFWLLQNGSFLGLHPDFKFDEDMADEESERCHFEFVTQLLSTLAAPFRRLKLSDLESTVIKLLLLIPISLPGLRNQVTQNLMEQISMDAERFGEIILLISSIRCADKAFYNMTKVSDLFRVEHFEQVVRDVLVE >CRE28966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:26719:28065:-1 gene:WBGene00068273 transcript:CRE28966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28966 MLFLVVLAVFGVQTADARIDPQYLPCAVHNGSDYVYSRTAANCLNVMSDSYCEIAYPKPTPDESRPAEGNDAQRSLMCYTLGDATPAPANADAESVAVASCPKTCGLCCLTSAYKCNNRVAPRVNCANVSKAMCLSPIWRQILAEDCPAECGFCDLNGCIDLVVGCENDPMICYTAGLEDFVNTNCRRTCNKCNVQPPCPGGGR >CRE28967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:29274:31034:-1 gene:WBGene00068274 transcript:CRE28967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28967 MIIRNIHWIIMITSLISIVKPEEKPVDKKLILDRYNEYRRSFARQLNVSNMWELEWDENLVERGIQMTSSYDRTNSDSEDFIHLVQGSDCRFFFTDTANKTLEKEDWFFIKYPSFEMANMSLKDDWKGPQQLYSSHIEAIYPSQKKIGCISYLIAAYGGQSKLQTFDAFCLVGPHGFAESRASIKFGPPGTDCTDGHGDDGLCVLGPGPQTLAPFKWDGDDEEGGTKKKKKKKKDENDEEYDLVLILTNAVRGSGISVVFGLLIMVFYWID >CRE28968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:31649:33928:-1 gene:WBGene00068275 transcript:CRE28968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28968 MTNIFENCSYHSIYEPFLLNCTNTTEQCYLIRYVDTIQQLNFWLNTVIPIILLSIALILNIYFLFILVPEYIGMSDVVRKQYVFVLSRGVSMLTATSAELVIRCIPVPSIDYTFFFLFFIIDDVGFYTLLGSYVGSAVLLYLATVRPIFYSLRISARTIYLFAAGNLVGSVLLSITTAIFQAAVQAEGPFSCDVEHCQPIINVIVYSIIAISFIIPIFTLSFVLITLHFHKNRMGGIGSDTSAFRSARTRLAWTLFTFTLISLSEAIPDFYMVGMKIDSLMGTCMNFYRADHLVIPVIMNSFQILAWLVTVRYSSQTTKRFSRSIALIVDPLCGLLFDPRMRRRLLGHVSCLLIFLSPTETVKKFQVTYVYSCCGTNEEKAGGHS >CRE28977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:50238:51263:-1 gene:WBGene00068276 transcript:CRE28977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28977 MTTPFPLLRLPRLALIPVLQQMESIDLIAFSLLSNKAKNLVKMLCKITAGSISMVVRSRYPRITINSIDDTPVGLSLYTERGPDVGNKMIQNKTISCEKGSITVAKLVEQIASVTSCESLEFVVLRGPLQLEVCDTLAQLTKLWRLYISEDCSDSFAKKALEIVSKVTTEILLGRIPFENKEEFQTFLKSNLNFLCIYSCFSRFTLDDLLVTNAFKVTLREVKLSATDISQFLTNWFHSKCNSRLEHLSLLVDEVVNEMCLPRVLKAVPFPRNRKRTFFYSKQLDTSSESFRGGYDIKRSDGKKATIVFVPCHGLTFIDFYVWP >CRE10443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:74398:79499:1 gene:WBGene00068277 transcript:CRE10443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10443 MPFFYDHVSKKEDKHHPSGIVFSFNDEETDGRTWHWHYTDSTEYRCKYVGGSAGVSTVVTLHGKECLVEDYFISVACEDVEAFVRNQKKEMYYIELEAWKPYRDQKLLEQIINRMCRCLENSLQSRTEKLKVQNLSLPVLKISQAISAVNLLDRDFLSMVTVHLPFEDQVFTADDLIPLIERQGWRRLGLTIQLHKFSSQVLEEVRKFINCTSKQLNLIIIKYQYIDEECYKLIEEATFCKVDICMTGEIVFGVNDFRDQLQEITMRKRLKLKLSDNTISINIFEVPLIMRSIVSNLECPQIQSLRKVSRGIRQCVDYVEPDPHILSYYIWLGNSLRVRIDSMMNRPIWAHYKGSLEQDAVQIEKDFDLNTRHQKSCMDELYIEMFKGIWKLLEEDHWVRSMIFIRLKHALMSRTSPLKVRKLTLITHWQCLLMEILPYLDAEYLESISIQRIRGIDEECTIDLDEIIKTEQWVKAKELCMKTLTARTSIQDMNILNFETIDITVETMSSEDITYCRKNLTQPSVFITFKIQIKNSSIADFLALLGEPYRVVNNLKYIWFFRIENTTEYLHVKLPMPFVYDHIHPSYKCHATEISFSFNHLEVQGRIIQPLGCTKFHYEHVGRSAGVSTAVTLHRREHLVNNLFILVVCEDVEAFLRHQMNQLSRFSLNTFEVDQKMLEPIIYVMCQCLENSLQLRTEKLKVKHFDLSVLEINQVVSTVNLLDREILQTVTVRLPFEDQVFTADDFIPLIEGQERKRLNLTIQLHKLSLKMLEEVRKVSVFNLLTYTSQLISITIICKTIDEKCIELIPEAKYSSDGNTVKFSIDHAEDPIEGMTMLTLSDNKCHLNIFEIPSIMRSIAPNLGCHQIQSLRKVSRRIRHCVDYIKPDPHILACSFWLGNHLRFDIKDMMNEQVVARYKGFLEQEAIRVVNDFDLNTRHQKGCMDELYIGMYEEIWYSKKEDDPELF >CRE28980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:60594:62892:-1 gene:WBGene00068278 transcript:CRE28980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28980 MPFFYDHVNTLEKHHPSGIVFSFNDEETDGETWHWHYGDSTEYHCKYVEGSAGVSTVVTLHGKECLVEDYFISVVCDDVEAFVRNQKKEMYYIKLEAWKPYRDQKLLEQIINRVCRCLENSLQSRTEKLKVQNLSLPVLKISQVVSAVNLLDRDFLSMVTVHLPFEDQVFTADDLIPLIERQGWRKLGLTIQLHKFSPQVLEEVRKFINCTSKQLNLIIIKYQYIDEECYKLIEEATFCKVDLILTGEIVFGVNDFRDQLQEITMRKRLKLKLSDNTISINIFEVPLIMRSIVSNLGCPQIQSLRKVSRGIRQCVDYVEPDPHILSYYIWLGNSLRVRIDSMMNRPIWAHYKGSLEQDAVRVVNDFDLNTRHQKSRMNWLTIGMDEEIWNLKEEDDSRIRGIDEEYTIDLDEISKTEQWIKAKRLWITNLTVRMSIQDMNILNFKTIEITMETMSPEDITYCRKNLTQPSVFITFKINIKVCSTADFLAALGEPYRVVNNGKYIWYFRIQNTLFYLHVVLQQIPQTTEGRLNLAKIHQDNTPFF >CRE28931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:64611:67093:1 gene:WBGene00068279 transcript:CRE28931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28931 MPIVYDHIITRDKRPPTGIRFAFNDQKTQGIILHPTVLVTPTYEHVGGSAGVSTVVTIDLRDYLVDDNFISVVCEDVEAFVRHQTEELTRFYLTTYPNTDGDQKMLKTIINRMCRCLENSLQSRVHKLKVKHFSLSVLEISQAVSAVNLLDREILQTVTVHLPFEDQVFTAEDFIPLIEGQGRQRLDLRIQLYEFSLQVLEEVKKLLTFTSQLNWIHINYKTIDEKCIELIPKANHQKKLLTSTSQFNCIHINYKTIDEKCIELIPGAYHCPDQKKVIFPINHADDPIKEMAMVSRYILLEKTIIRFQFTLSDNNCLVNIFEDPLIMRSIASNLEFSQMYAYLKILSIDEQPFSLNCFEKCPEESVTAVIIEGMINGLTCGGYKGFLEQEAARIVNDFDLNTRHQKSRMNELCIDCNIKEEDDPALSKFFKLLRNVLIYRKSPLKVKQLKLFAHWQCLVMNILPYLDAEYLECIIILMFENDKEYTIDLEEISKTEQWSKAKVLQITNLTVRMSIQEMNILNFERIDITLETMSQVDITYCRKNLPQSPVIEKFKIHIKNCLAADFLASLGEPYRVVNNLKYIWYFRMENTQDYLHVTLEQIPLQTGRRLQFAKILQEDTPFF >CRE28932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:69735:71532:1 gene:WBGene00068280 transcript:CRE28932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28932 MPIFYDHIISLDTRHATKMSFCFNDQEAYGTISQFFDLAKCHYRHVQGSAGVSTGFTCYSTEYLVGDYFMSVVCKDVEAFVIYRIKKLDQLSINTLENVEDWKMLKRVINRMCRSLEISLQSRTYKLKVKQFSLSVFDIYQAVSAVNLLDREILQEVTVHLPFEDQVFTAEDFIPLIEGQRRQRLDLRIHLHEFSLEVLEEVRKLLTSTSKKLNSITISYKNINNKCIELIAETVHSFDEKTVKFLIDHADDPIEEMATLNLSDSKCPMNIFEIPSIMSSIASNLEFSQILSLRKVSRGIRQCVDYVKPDPQIHLYCILLRHSFYSVAINKTMNEEVVDWRKKSLEQEAVQIVNDFHLNTRHQKSCMDNSIIDVFEGIKYLKVEDDLVRAKFFRGMKDVLKSRASPLKVKELLFATNLQWLIMDILPYLDAESLEEIFLLKMKNIDEECTINLDEISKTEQWIKAKKLRIEELTVRMSIQDMNILNFGWIRIIVETMSREDITYCRKASCFDYKINFFECCFYFLFYFILN >CRE28937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:79001:79980:1 gene:WBGene00068281 transcript:CRE28937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28937 MTPFPLLRLPRLALIPVFQHMEPSEIIAFSLLSNRAKNLVKMLWKPSVKTISFLVVSNDLSILAYLSNYDKPLRLHIKTKTVNGMIPLSKWLERVLDVLNSFSIFQINLHGSPQLEVCDALAILKEVRHLYIMANCPNSFAKKALEILTPVTTETIMFKIPFESQEELEIFMKSNRKYLSIFIEGFSELKFNMDAFLVSNSLRLSLREKSLSARRIKQFLSNWLQNEHNSPLEHLTMIIDESVDRLELLEGLDAVPFSEKRTFHYSKDLEIPPNTFSGGYDVRGMNGKDATITFEGGYQRTRFDFYVWN >CRE28938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:81926:82950:1 gene:WBGene00068282 transcript:CRE28938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28938 MTTPFPLLRLPRLALIPIFLHMEPNKVITFSLLSKRAHNLSKSLRKLSATSITLTIESDSHHLTACFKYRGAVPPFYSNSTAYQYIVFQNETNIREKVGLSESEWIERVQDVTNCKSLKRMHINGVPALDMCNALSSLKNIREIFIGLWCPHSFAQKALEILSPVTTEITIWRIPFEGREEFQTFLKSNLNFLNVDTHDFSRFALDDLLVTNALKVRLRGFKFFARVVSQFLTNWFHSKCNSRLEHLSLVTIGDINETCLPETLNAIPYPRDQERTFFYSKQLDTPSKTFSGGYDIERTDGKKATIVFVPPGDGLTFFDFYVWP >CRE28940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:85082:86109:1 gene:WBGene00068283 transcript:CRE28940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28940 MTTPFPLLRLPRLALIPVFQCMELIEVILFSFLSKRTHNLSKYLRKNTSFRCILEIETDCVCMRIALTDESILLLYFYTDDSTMIEVMFPYKNIQWKNIGLSTEQWVERVLDVTKCQSLSKLKLEAVPKFDVFSVFDVIPKVTVLEIWSNCCNALAKRALQVLSPVTSSINMHKVPFSNREEFQTFWMGNVECLSIDNDNLSRFQFNLSYLLISNAVKLELREVVLSLRDLNRFFSYWLDKTSNHRLEHLSVKSLGHFDENILLSGLNATRFSENRTRELISANTFNQLRYFTGGFDVRRKDGKLAAITFAKTFGRTFINFDVLP >CRE28942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:88260:89270:1 gene:WBGene00068284 transcript:CRE28942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28942 MTTPFPFLRLPRLALIPVLQNMELIEVIAFSLISKRAFNLSKCFYKVSPGSIELLVGPYAIETRIDDLTMFFYGNVPEWVEILHHDKLMRWINAGLSTKQWIQRILAVTKCPSLDKVILNEKPKYDVLSVLDGVSKIFNLHIKPKCCNALAKRAVEVLSPVTSSITLFRVPLSNQEEFLRFWMGNVECLCIRYDDLSRFQFNLNDLLASNAVKLELRKVPMSLRDLNRFFSCWLHRTSNHRLEHLSVQSLKNINEDVLLKGLNATRFTEQQAIHFQSIRVVCHPEFTRGFEVRRIDGKLAAITFYTTFGTTYINFDVWS >CRE28982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:91857:93169:-1 gene:WBGene00068285 transcript:CRE28982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28982 MTTTFPLLRLPYPVLMHALKQMEFLDRIALSLLSRRTRRFPKLLIPNSINMHMKEKMDFMESQQSCVTFLGFLLLQFTN >CRE28945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:96133:97409:1 gene:WBGene00068286 transcript:CRE28945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28945 MTTTFPLLRLPYPVLMHALKQMEFLDRIAFSILSRRTRMFVKLLKMKSKNVNLKWNGNRIDMIVFCDFTRVLEVNMYIDEYQRSTFKNQYEGVYSWRDSSLLPVDYVLSIMDVMHCKSIDKFIVVGISEHDCLPIIAKLPKIDEVVVEHDWPDVPSYEAYFQKERQLLRVLRTVLPLSPAVFITYQFQNRNHLREILNGHFDAVILKRSGNWITLNDLWITNAKTLEIHTTKINIRDLNRYFKLWMKKICNDRLEYLEVRICDKPSMDLLLDGLNAVSVPIETQREFRVLGNVKRFVPGRFEITSEFDITRADGRQATIRISNYGNVFFYVWPESTNPVPNQSSLISTFYNSCVHHFERLFLRFLLACESFFFFFSTNFNWNRDYDVRSICLCFLTVLLACWFLNSLF >CRE28984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:103399:104549:-1 gene:WBGene00068287 transcript:CRE28984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28984 MTTPFPVLRLPYLVLMPILEHMEFMERIALSILSKRARMFVKLIKMKCKHINLRLEYNTVKMSVCFDNDEELKVDMFTDRYKVDLRYGKDHISWWPPGTLSPMDYVLPIMDVTNCKSIKKLIFREVSEYDAEYGTTIPLLTKLSKIDEVIVEDFTSYIFSPDSPLRNVLKIVLPVSSAVTISDHVQKPKYLREILKGNFDAVSVQLLGDWRFPLNDLRKTNAKTLKLDRVAFKVEDLNQYFKMWMKKKCNPRLEYLSVRQEGNVNKDLLLKGLNAVPVPIETKRAFRVPGNVEQLGWGERIVFEFDITRADGRRATIRIGTHGTVCFYVWPEFTNDTTNLVANQSSFMRRFSWISNFYNSFIERFK >CRE28989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:123779:125020:-1 gene:WBGene00068288 transcript:CRE28989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28989 MTTPFPLLRLPYLVLMPVLEQMEFLERIALSVLSKRARMFLKLLKMKGKYINLYLTDNKIKIGVLFDNGEQLRMDISMISYQEVSMIVYYQNSKNEQCYIQRWHGTLPPMDYVLPIMDVTQCGLVKQVIFPKVSENEPGYDTTISLLTKLSKIDVVGVVDFTSNSFYCDSRLQNVLKIVFPVTSAVIIPYHALEPEELREIIRGNYDSVTVRKYSADYMPNRDMKFSLNDLKMTNVRSLEIAGPAFEVEDLNRFFKLWKKKECNPRLEYLQVATRGWERSKLIKRILKGLKVGLYCCFSADQSFLVLGDIKQFISEIEDEWLTWETEITRSDGREATIGIGSHGTVCFKVWPESTTNREPNQSSFTRVFSRVSTFYNSCVDRFK >CRE28990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:125522:126703:-1 gene:WBGene00068289 transcript:CRE28990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28990 MTTSFPLLRLPYLVLMPILEQMALLDRIALSVLSKRARKFVKLLKMKCNHINLKLKDDRVEMKVLFDNSEELRVYMYIYKYRVDLRYGKNYFERIISWRPGTLTPMDYVLPIMDITHCKSIKTLTFPKESERTPEYNALIPLLIKLPKIDELIVEHITSYVFSPDSPFRDVLKIVFPVSSAINVSHNVQKPKYTREIFKGNFDAVSVSLYGSYIATFPLNDWRFTNAKTLKLDCSGFKVEDLNQYFKLWMKKKCNPKLEYLQVATRRELSIEEMNLLLKGLNAVQMPIRTDRTFRVLGNIKQFNSNDSKEEITSDFDITRADGRRATIRTSNRRTFCFYVWPESTDETTNLEANQSSFTRVFSRVSTFYNSCIERFK >CRE07962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2095:491:1901:-1 gene:WBGene00068291 transcript:CRE07962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07962 MCGLNVVTSSETRRDTSKTFKKNNIILSARPHYIFNSKNTNKNRTSFYVNSNSTSGIHTFKNVVAHLAQREHQTYSFVTIGGYVWKLVLGVFDEMYFRPYLICGNGNPKVEVLIRYYLKVRNSNEILQEKFKQEAFTNLERDKIAIGKPIPLLEVLNLKNGWLKDEKCTVEYGIQIEAVQGDDGNRKFNFYGKLFDCKQKQNMIRFCQEIYSNNKRYLHCHKQILSHNCPHYSDNTTESHAKLIPDHDIEFSDLEKCLQIAHGVRMKSSTYLLFEMIKIAQSLYLTNASHFIEEQLIWKKYKDEVFIQYAIKCDLSRFLAVHLKKVTPEQALNIIRSTFNEGQIDNSSMEIKKMIVAKVLYRKY >CRE18685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1890175:1892940:1 gene:WBGene00068292 transcript:CRE18685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18685 MGSATVRTVFTGYSRNRRGCLISLERTSNSEKEGGVAAGVATSEVGTWDDHRVIPPTNACGAGEASTVIHTQPKDASGVNPSIIQNPTEAGGISGVGSDANLIVTQLKEIGEIRDLKSLSDTDGTWKHQLLGYTEKPVKETEEDNGKDSVPRVLTETAREDYNVSASANKDRIATIDEKTSEYDEKRAFPTTRKNLATTWDAVETIQAEQPNNLVSRTGQMNRAILEAGRASQLVDSPSTKTKCLERKVIETCLCSGVVDMVGKWDDHRVISPTTPTSPRARSLSLNRGLRPQILHTPVVVRQQGVSRDESAADMDLSQRLIDASEGILENSHPPMANILTPIRNGGPQSEEEKDENILHLDNTVRYEVYVSTPNQRNEESPNPEDVNSTTVAMISDLPVYWPVVLHFDKPG >CRE25958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig566:1254:2018:1 gene:WBGene00068294 transcript:CRE25958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25958 MTTPFSLLRLPRLALIPVFQQMEPIDLIAFSLLSNQTMRLSKFFRLKTQDIWLRLEANNIEIVVFLTCGRQLRLQYFFENSRVVWRIERKEIVWHKVGGLSMIECIYRIIKVTHCDFISVLIVAEIPQYDVFPLLALLPTISEVLVSNDTPATLVLRVLRVVLSKTSKLNLFPTNQLTKMGKFQELLIVNLDIITISGEINVPFTLDDLLITNAVKIRLNEPTLNEKDLNRFFQAVDEK >CRE28952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:157314:158529:1 gene:WBGene00068295 transcript:CRE28952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28952 MTIAFPLLRLPYLVLMPILEQMEFMERISLSILSKRARMFLKLLKMKCKYINLILNDDRLEMTVVFENSEELNVNILMIGYQVVDLRYGHDHISKWPCTLSPLDYVLPIMDVTHCKSIKQFIVFEIPQRDIFLAKLPKILEKLPEIDEVIVDEVIADNTYYISSSDSPLFKVLSIVLPVSSAVTISYHVLKPEDFQEIFKGNFDAVTLTKYWDISYFFDMPNDDMKFALNDLIMTNVRSLELQGPAFTLEDLNLYFKLWMKKKCNPRLEYLVIAIRRWAKSDILNPLLKGLKAVQIPIETKREFRVLGNIKQFTSEVEDEMLNWEFDITRDDGKQATIRIGTHGTVCFYVWPEFAKDTTHIKPNQSSLMRKCSRLSSFYNSCIKHFK >CRE11477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:153027:157033:-1 gene:WBGene00068296 transcript:CRE11477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11477 MPFFPQPLSSHQKASIKEISVTLHADTICLWLNFFCLSSGPIKIQYQQEESNTSVMCKQKNFQAFMEDTDFVTVLREDLTTILEESEPELQELHIGFQESEEQIDRVYTSIQNVIKTRKDKLKVKNINLEIKNVEQLTSVLPYLDSETLKTVDLSLKGIVDLRNVLELDAWKEKNGLEMNVALHSFSVIDLEALKENLIQQPTFDTVTIYYDHILQDVFESLHHQPLGVSHYPNSHKISFSRVHLISPPNVVPQTSNSVSGVFGNYVIMRNILKYVGGVDIQSLRKVSRTIRNRVDFIREDPGIQKIKISLKEHGNILVAYDDSKQIIYEKYGFGCSIGQQYIPQDYRSVFLNDFEIILRNQRDIIKTARLNFSKDLSVMEMIRDHLKSRDQFLKVEHLELEVLGQYEVISILQFINPPTLNTLNIQASVSSGLQIGIDEVMKLEQWNNLETLIFDSLIVSTPIQEVSFGNLVNVEILVECISMDDLFFLKENILNSTRLNKFKIRFNFFSDSNNQNEQWPDFDQDETGTWAFRIPNMNRYLSVLYLPFQSVTFCRTEMPPEIGIMEIEANPYSRNGILIVY >CRE28995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:174826:175873:-1 gene:WBGene00068298 transcript:CRE28995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28995 MTTPFPLLRLPKLELIPVLQQMEPIDIIALSLLSNRARLLTKTAGLSVTSISILAKNRILNIDIVQRDGKKILISLMSQNHTEFVVVFVDYYTPVWRILGFSTAELIHRILDVTNCESIQEVQFCETDSFDALPILATLPHIEQIYISRDCNEVFVHKMFEMLSKVISNISMWQDRFANLEQFQKVLMLNMNSITINVMTARDPTRVRLSLDDLLISNAVHLHLFEVMISVKTLNRFFKLWMRKKSNPRLEHLKFMTMENMSTDVLLKGLNAIEMPQTTTRTFRVSDHANSRCQEKVVTGGMDVMRSDETRATLEIKVMPGISVVEFYVWM >CRE28996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:177590:178634:-1 gene:WBGene00068299 transcript:CRE28996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28996 MTTPFPLLRLPRLALIPVFQQMEPNDLIAFSLLSDRAKLLSKTRGLSVTSINVVAENHSLNMNIVQRDGKKLLFSLMSKNYTEFVFVLVDGKAVVWRILGLSTAELIHRILDVTNCELIPEVQFWETESFDALPILATLPHIEQIYISRDCNEVFVHKMLEMLSKVTSNIDMYQDRFANLEQFQKVLMLNMNSITINVMTARDPTRFRLSLDALLICNAVHLHLFEVMISVKNLNRFFKLWIRNKSNPRLEHFILITSEEVSRDVLLKGLNAIEMPQTTTRTFRVTDHANSRCREKVVTGGLDVMRSDGTRATLEIKAMPGISVVEFYVWM >CRE28997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:180564:181564:-1 gene:WBGene00068300 transcript:CRE28997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28997 MTPFPLLWLPRLAQITVFQCMQDIEILAFCHVSKRTRSVAKCLKWMSPKYFTLKQHASSMEIILNFVRRPTVRFCYRKENNSVTFHSGTTTWTNIGFTVVGWTKRMFDVSNCDKFETVMLHKPPELNDFFSIFSDHARIENLILLSGFINSSVNQVVETLLPITSNIEFFFSKDSFKASEDLRKILIQEIDSMEIHIRDHPSYFNLSDLLTSNVVKLVLRGVTLTPKELNEFFKMWKENKCNPRLEYLTVMLYDVNFEDAILSGLDSVRVPEGSLLKYSNSGYSDNIGGFDIRRIDGKIGTIKFGLNFVNFYVWS >CRE28957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:184589:185619:1 gene:WBGene00068301 transcript:CRE28957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28957 MTTPFPLLRLPRLVLISVFKHMEQVEVISFSLLSKRANNLSKSLRKISPCYLYLEVTSGHLNMSIRFERWNEMGLSLFFYTENVPDLADVMIRNRTFTHKTRLTASQWLKRILYVTNCESPTEISVDGAPQFDVCNTLATLTTLPSLYIKESCDDSFAKKALEIFSTVATEIQLYKIPYKNREEFQIFLKTNLNYLFICICQFSRFTFVDLLVTNALKVELLGVMLSLRDLNQFLTNWFHSKHNSRLEHLEFCIFEVFDETCLPEVLNAVPFPRVQERTFRYSKQLDTHLKTFSGGYDIERADGKRATITFENKMNTMYTDFYVWP >CRE28998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:190337:201303:-1 gene:WBGene00068302 transcript:CRE28998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28998 MSQTTRLNREIVDSTRISVPRVKIVVDDSDYVITMYTGIEKILKIEIKKGYDQKTVVSRSENNSETVQSKPSEKSTMDVFVEILASFFKTETVDIGVLEWDFKQHAVPGSENDLKMKKRQGIFVNVLSSKIEKSTGGSTVFHTEKLVISDKCASDFTKGLLNLCDKEYLDSMEVLTLTSIEKASKTGAWCDVYTENAESFYSQGVFYEEVPCVDKFLMTTSYRTTNWSTLRFARLNEKAMKIWSRAGSVLSRTPDKKEFKIVREKAEKKILLHKKTECGYSITVYTDEKFEKLGGMKWFETEFMNQKCDLGWFCKKCSDPFDYWYHQNLPTLNSKVLEINSDDTFVKSWGFKKSEGVGPPTATTTTEKERRPNNERAQLIAQYEQLYLEVSQLAENFTKKISEEIKKLEVLPQKKEIKTGLMKCKELSNGANALVETVQSMLLKLKKSEKFGVDVIRVRIGQILEAKSRLEASMKLFGDVGSKNKLPLQQIEKEILIAQCEQLFPEVSDLSVVVLTKMSEVAKKLEGVPLSAAVKTAMKPWKEWTRAFGGLPKLTSSLLNQFKNSSNQVSVKVIREKLDSIQKLRIRLESAMQSVEEMEGVSKDVIKTPDAGKAKLVAQCSQLFPEVATLLIKLTGIVTQNLKRLKDKKQTKAVKTEIKDCKDLLMSIDGLPGNLLTALKKIKNDEVEVKQIRVMMDQAQSIREKMEAEVKRFEGMGIYGDVGEEVNGNEGNPPDEKSVLIAQCEQLFLETSQMASKLLRIVTKYIKLLEAKPQKTEKWKNGLESCQNIANYCKKIPGCMKDYAYLLKIADVGAEEVRAEMRKTESIKRKLEVRLQQCHDYGYDTVDSEDVKKDSKVTPNGLKIIQTLVFRQLNEEKSALIAQCEQLFPEVSRMASRLIREMTNMIKQIEDLPRKTEELKNTLEACQTISNNCKPVPECMTKELTYLLKNSDTIGVEMIREKLGTAENMKRVLEDKLKNYENGSEDSEDVKKKTSVILNYEKYLLIAECEQLFPEVAHLASTLVSILSKLFEGTEGIKLKTEELRNSKEFCLEVIRVCEPVPQSTMNALTYILENDIGVERIRKELETVQENKLQLEEWLSDFENQKPSDCIDISDALDTVSLDKSKEELIAEYEQLAKEISQIAMNFSTNLFETAEASTRMAKPEELPKGFREDFETGAETYKGYSKKFDFAIGRSKKMEKGKLVKQILQMQKYKVQLEMEVETIAEKLWKKDSEDVSDAPDVKTMAVTDGVSEDAIKTSDDKKDYEAMNPAAGKAELVAQCEKLIPEVVQSVIKFLGFLTKYIERLEDASQTDAVKADLKDCKYVLKPIEGLPAKLLNVLRRIKGDDTFDVEEIRETMVKVHAIRREMETGMKRFEGMDMDVGEQANGKETTDGVSEDVIKTPNDSKDCEAKNPDCEEASEKPDVFQTIGKKMFGSIPEANALVDPRSRKARSINPDDYDYVGSMYPDDFTHYLEHRRKLENRDFDFDCSWARLQFLAVFFFVFFSILFVVLWIETFVY >CRE28999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:203915:209563:-1 gene:WBGene00068304 transcript:CRE28999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28999 MSEYLRKNPEAMLSLFFYEHIQNEKADETFPRLTKFLEIPENSHEFSRIMIEWVFEKNKIKNDRLKMANIIQNNKNAIHYCVLYEFLQKKPIGQSYKTFCNTVGKGVVDFKEFKNLFDRFARGKFAILGETCTSEIQCPNMTLPEEILVKIVEKSGLKERLTLRKVSRCFRAIVDESKLICEKIDIRFTDLFTEICYESLPMINYQQKNEGCLIDFGGNRTIMNGERHIECALRDLNTILKNPKFELRVLKTTFLLGIPFLFNGYIPTEWTPIYRFMELEDFFQRFKRRLHVKSFDIQFEVHWNDDTEPILSILPHLKPGILEKIRIKMDVDFSDQMKEVVKLEQWKQAIELDMRKTHFEIPLENLTHFKRFSVFIPKITEGQVISIKEVITLFKSTNFESCTLNYYEDELDLDYIQSIVGPHIQDNNTGALLFKGQETKTVFEIHVTGLRSQVNPSFPFSSFKPLKHYFEMSQLLLSDRTALLTCILYDTLAKVPVYESYQKLCKIRGNYDIDYVDFEFHYYRFYNGDSNLDCDRSSSPVPLSFSTLPVDALLQILQRIDFIDKLKMRNVSKDLRDIIDNKKIKCKEMSVLLKPDRVRIGLENQSMSYIFQVNGGGFIKKYEISVDNEYEVVESKKKFHEGNFLEMAMTDISVIVSNQNLEFKKLVIVQDYAQDAVNRFIQILTSLDFQIHVEKCIFYGLRDENTLRILSCLDPDALKCLELRNNLLKQPNFEVCSLKCQSTTDYPIRHAFVEGDDPFNVVLGNEENDDNAFRCDLQTIDRVMSQCSEYDTATHRYHIPDSQEYFQFECISEECVNIWKSSADIEENWMIF >CRE28961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:217677:218751:1 gene:WBGene00068305 transcript:CRE28961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28961 MFLINVVLLISTFSLFKMSDYQKFPVHKSIVITNFLQYPSPRLIAGDIHRIADLECVIAVDKRDDSSVETLIHLNESNKIKRVRARYSVGMFNETAEELKSWEGEKEANTDEFLFLKPWTVPQPDKSFTFKFGFHVSAVLGIDNIWMFNFYDALFNVENDSKMIVFKEKNNQKVRLYTHKKLMMFHSSKLSIYRNNLHNENGFIIPACVSMNMLEKCLQIAHGVQVHCSVEDLKKINQIAKLLGLKNVIKYYERQRIENLNQVKVTDQVFHSMFMRDRRHLLVRNVLMS >CRE29001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:220323:221934:-1 gene:WBGene00068306 transcript:CRE29001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29001 MRRMQRTLYYLKMIDFPPNSPTNLRRLIVYDISQWKTIDKSFKIYEKLCKVLGNEEISYVNYEYWFNRYLKENYYSPYESSARWVPDLEVCILADVIDGKSLENSYRDLCEAFGKDKIDKEYHASCYGSYDSEEHRHALIMAERSKYSNEGLPDTYNDHGLKFSEFPEDVIAEIVDRCDLKSYLNLRIVSHSLRTIVDKRPPPCTDIEIIVRDDSIQIKANNEILVDSRPIELIHSRHCSLNLIGKRVVRKLEFLLKNPKLRLKSFRIDLLTYDEMGSVKNDVETRKYETNFLKLLDQFSHKIHVERCSIEMKQKKHIWRVLQCFKPGSLQKLVIGGSLSINEIDRIARMNQWKQAKHVKLICFAESSIENFFHFSTFEVNFESISTNDLVRLCDNLSKSTDFESCIIKSMRSLNIETIKNALNLQPSTSPNKYYVPNSNLVIQFPIGYVAYQISIRKL >CRE29005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:226423:227844:-1 gene:WBGene00068307 transcript:CRE29005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29005 MSSKSTRPLLKFPIDLRAHVFYDAYQRISTEKSYKNYEKLCIKFGKQAISFEEYESLFNQYLEVDERELPDIRGCILSDVTNGKSAEKSIDDLCDAFKNHKIDKEDHGYWYKRFENGQSFTRVTFSNFPEDVISEIVERCDMKSYLNLRKVSHALRAIVDHLAPPCTHIDVKCGDEWIKVSVDDTVIANSFYFEKDKSRLSLELFEKLSLKTVELLLRNPKLRLKSFEIVPYPYVQSTWMKTRTGIVIPPRNHKKRFFDLLNSLDHKIHAEKCVIKVDNEKEVIKVLQCFKPGTLKELEISTGISMDGITEVVKMDQWKLAKHLKMNTFVLPSIENFFHFSTFELGFPPITLEDMVKLCENASISNTFEHCKVIIQGIFELETIKSALNLQPTSTEQSFSIPNTNLIVQYLSKVMLSRVFKIYKN >CRE29008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:233550:235154:-1 gene:WBGene00068308 transcript:CRE29008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29008 MKILSSFNIFIVLLLVIPVTVSLLTSGLGFGYIEKEDDSRETCEVIDIAMEFGDAHVFLENLQKKCAARGRINKTSAPIKTRKGRAVILAVGGVVAGATALTIVVFDHLRNEERFNSIKKQEEIHKNITQLINNKSHLTQDLMMEMEGQINNNTYEHVVNTIFGTGDIEVVTSFFKVNLSEILHNMGFDDRMGLDAAQKLSYKFVCGHTSRHYQLQICGTENPTRRFGEVKLVAPPGNFGNKGTMYAYYKVPKFVVFTDRGPVSAISCQPFGNYYNCVESKGKCGYATYQSCEVKRVHTPNSVYVTELGDATVVASAVDHYSVYENGSDISFTDHKFPASGQLLIRAPHTTKIRIGDSTIQGRHDFMELKEVHMSEQIPQLDHAKLEEWMNDDDAIEKGFTDLEKERMHANVEFEWGLDSILHWFKSRVAEFISALKICAAGVIVIGIIYCLCCCYRCSKKATVLPN >CRE28962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:235763:245419:1 gene:WBGene00068309 transcript:CRE28962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28962 MPRKPTIADEEKEKERNKKRIQRGKKTIEGKIEPAKPKPNLKSMTKEELREYKNAMRRNSRAKQKLAAGTPAKPKLNFKSMTKDEQRAYNSASKRKCREKKKLEASVKPHDNCEEEIESIDMKSENGSSLAGQPEVESIHDMSMNTVSEFMEIEPNEPSSVLEPIEPEVEPTNEDVPDIEPEHKGRKRNASDAHCFAVPQTPKKTCIKEKDDESIGRDIKKCKVRIGMINIFEVETDAIVVPTYAEKLSKEELNFEIFQRTFKKMAPEKYSIFENSFNNEWEDHLNNYHSRIFNWGVPKEAGSCWKTIHVKPPVVKNDKFTILSEQHLRAAYMSCLLEADKSDACQSLAFPILGHGACFKKSVTIGLQAIFAYMQAVEHTNLQLIYIVTPFDAVYDKLGDFLSYIREFDLTHWLKKDLYFGYEKYLFDKIKTEVHYATIPGTDMIWRCFKLSTHQKKSKETNEWLLKIHNSMLKQTGIETGGFTIYKESKRRGRVLNTKMIKNGAYKEKVVSPHILMNLTFEMDHFCGSNAILRKLWIMSYYQIYFQDNSLAVIDLTSSSSAYKLRKRIFDNQKRMHGVLLNEWKKTFNHAPYVCSCTKENGYHENFMVFTTKFSHPDLFNETWLLDRRSFVFSYSDGLSLESIEKYFPTFMYKEDGKFKSEAVRNYEAMNSLLDDRISSWMDVRAEILERQHQRFQNVLSDHNDVMVGNEAILHDYDPVQFDEELDAGRCDDKYRNHLIQHLRDADDAVRKNQSSKNVKLQKYWEIALDINRYELHMTRDAEVERCLRNRLFRMDFSDNLEIDYPRLSTMDQDSDQDSENQDSVGEENSDWYIDDQVVSVDVFIVRFLEKMGYAGQMIPPISPVLFTDQKKDWPKLLDIGDRTNLCRFCAAYLFERETKMPCCQAGAVNIPPLKLLPKEIQAIFQKAFKTRVISTNAAFAMASLHMDRQHQAPGGINTMKVKGMVTAHPSALNPKGAPRYANFIVLQCSNKEIAQQRLETLPGKVQKRLEAIFVDIQTYMDKHNGLYQVFKTMKEIEEEFLKDNNYIGYLNNNQMIFRIVSPGELDDDKFKELNAHNGVYARPSRMGDYVAVAYTHDAGKTTMLPKGFDVYPRNPADLKKPLRPITSYSDMCDLMCYPLFFPDGVGGWALRKYKRFVGKKSDRLIYEQRFKKQIEDIEERGEHPEDYFDFDDPSTPIDLRELYRRVKSKSQIEEENENLESDATDMNEIGSDESDILENEDPLRFLSNLYKSHLPLLFRYDDEQILAYGELEDMQNDPTGVETGRQANITRDDRDGEWYARIDRDQREVDIPLLDIDPFGSDDDRNSDSTEEAPYRSRYDDGTDNYADAPSLSPIHNSESSDNPVNEPSVTDDNANISFADRSFNDDSDNGQNDDAPFNFEGPEADDMDNMDDLDVPSDDEGMNRQSVVNEIDDGNFGDFEMEDVCYGDEHAAESNALGRHNDGRHVKNLGQRTHTSISETVYYNIQDRPGIESRYQGKAGSLGQLWVIDTAFRAKEMRMNAIAYNRLEIPRATNKSAMMKTLAKMVKENYRGLLEIGSLVTIPSSVPGSSKYQRELVMSAVTIANRLGPPDLFITYTGNPEWPEIKRATMMKACKWADIPDIIVRVFDVKSEVYFEDVLGKKKKMSSMNGKVVREVGMFGQVRWHNYSVEFQQRGMPHIHQLVCLEVSITTAEQVDEIISAEVPDFPTDTNSPNYEDDLRYYNLVRDMMTHAPCEHDNDAYCMKDKKSHWRTCTKGFPKQFSDETVLCDNEYPKYRRTRKNVFIFMRKGRRVIAGSDYVVPHSRKLLMKHGCHINVEIVSSLKSIKYVFKYIHKGADRILLEASEKNVKGSKASDSMTLDGCVFVPKNLNQAKVRERQEQAIKTMKAAGVNVTENHIAINDCTYMLDLSAMTAPEAIWRLSGRHMHGSSHIVNQAFIHEENKEPMYTVRGVDAAKAGRMCQEKSKGMMNAWFEANQKPDQITDDISTTDLTLSEMSSYYKFDTKAQKFILRERDYSHRIIGRIQPPQPRFLERTATRILAEAVRGPTCWEDLRSYRGTVYASCLEAARARGLMNGDTEWDLALTEIAQLRIPVECRRFFASILLNCAPSDPKNLWTLHWKDLINTNNTWSDAQRIAHALRHIQFLLARHRMELSDFELDKEYDEDNLPNFRPEDDVDNPNIVHFNRNEHKEKGREMFKKLNKKQEEFVTGVLKLVNVVGKSRMVYVGGAGGTGKTFCYKTIHHILMSKKKSVACVSHYGIAACLLPDGCTAHRKFSIPLEVVDRMVCKVDAEGSEAAALRLLDCIIWDEVCMTDRRIIHAVDALFRILKGEADIPFGGVLIIMGGDWRQILPIVEGVRGHGVSDYTLKTSTLWDEMTKFELTENQRAINDPEYAKLILHIGNGTNYVDEKRQMVHIPEQFVERAGDKALADWVFPDVNKIEETKTAALLTIDNKTALRMNDFILDKLDGVVRTFFSTDTSDKTTGFTADVSVFQTVTPSGMPPHRLRLKIKAQVVLLRNLSVEQGLCNGTRLTVEAFGNDVIFCSVNTPTSKSPKIVFLHRMIMCPTGNGANSCGFRRLQYPIRLAYACTINKSQGQTLSRCGLLVHSAVFSHGQLYVAMSRVQRAEDFRMWHTKRVTEGYDNIVGGGILVRNVVYRDVLRDEPIKTTLESMKTTADTLLVTRTSPTKSIDSETSDETDMAPKSSKTTKSSKPSTLQRFFKIFNKKPVQKSSDVVSNIGNNSGAAPFAPQRLDLPYLLLDTDGTDCFINTIVNILYNCPEVREKYVNCQHPNMPLGNILGRIFRKETFSAKEWRQTLPAEFHTGQQDLVEVFDMLMRALAVEDGTTIQMEHAPETKCRSCDEEPSYGNATAATHIEVQMSEDANFEDLFNDIYEMRHLDTPCTKCNAKDMWTEPKIIINGSQIFVTVIPNMKRFWDLNVNAVVSMFGEFYQFQAFAEYSSSDGGLSGHYQAWVRGEDGMVCISDNKKECEQYDVDLENYVATLLAFVKI >CRE11154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1302623:1305937:-1 gene:WBGene00068310 transcript:CRE11154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11154 MKHILLIFSVTSLFVLPTVIPCEVNFIIENSRSINSSGSLVLKKSVDSLSQCTRYCSSIENCTHFTFSNAAEKNGVSNCHLIHSTSPSSPSNSPTTSGPLTVGTKTCLESKCPKRSFAFEKFSGKSLLNASFIIKTFSVSVDECLSKCQKDSTCRAALHNHDTSLCQLSRISLNSVYNPRLYFKPSNSIDLYENNCIDYTMTTSGCTFMRVNGGGLKSVSDQLVQSVGSVEECEQISYTYDNSTLECYLMWSSARMLGRSPLESMRPNLFHGDLDDCVNFSLKCRENNLEIKASSLRMFIGKMMTKKSKKIMCEENYQGEFDFSSRFDFKKCGLDPNKSKDSTYRGMVHVKEGSTSLVTIRDKVLQVNCRLHKSMPTEEQSLSVQMNVRENNKTSQVMSDDVVMTSTVSPTNPKFSLKVLGLDSNEADTVHIGDFGWIVLAVKNSAEDFTVTNLVARDVITGRVLKIIDEDGCVLRRDIVKEIRKTDNYVKLKISFSGFRRQTEVVYHAMVETCTVGCMPKCNLDMMLPEEETNVHSLPLSLDLPRHRMVRRSLAGEPRQLQLISDVYKVTGHKLTLLNPPPPQKAFDFKPPTSSSSTYDFNDAREFHEGDVIVVKHSVGLMSAAKTCLMDDVTCMLTVILGAIQMFLMFSCILIIYCYYQQWRFYRELNRPQEHNVQYYQKPPEVVAQT >CRE11001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1310137:1310598:1 gene:WBGene00068311 transcript:CRE11001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11001 MHGGISLKLENWKNFETNRGAIVRIREDGKFGISVFNKTKGGKNPLNDELTRADDIPNVSAKKKSDTVVNDIKAVLQTAMNSMNSQK >CRE18299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig710:478:2711:1 gene:WBGene00068312 transcript:CRE18299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18299 MWKVLNLMSNDLDSSLNYYIRNGFEPHPYYYNCSGIESIGEERPILGIYFMTVGVLILSIYIPCLFVISHSDLIKSSCYKIMLYLGLMDVCCLTVNSLVTGYLGFIGATFCSFPQLIFLAGSIGCGCWMGSCATCILLAINRCSDINHNLPFRKIFVGRNIYFTLMIPMSYTFYAVFFTKPILFNSVYMSWFFNPMLGLESDLYVSVPHTINNCCVSLCTASSYGYLSLLIHWKNRHAQSEALSKTQKQIFIQSVLICTCNATAAFIYVYMQFFHSPPPVILLGQIAWQCAHASVCIVYITWNRTIRRKVVNLLLPKRFRNRVGVTSTFISTGPIINMIPTEIIKSTGTKTNSNTTIF >CRE11155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1313674:1314687:-1 gene:WBGene00068313 transcript:CRE11155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11155 MIENCKNLHKESENLEFHHKSVTDFKFNEKFHVATAVFVLQYVHDKEELQKALRLIWEHLEDDGLFVGLIPNGVEGVVAPKNAGKVLGAEIEKRQVPFVDGGLVTANFYEGDKVKCTSTMALHSNEFYELCFKAAGFSKFEWLSPKISEKGSKVLGEEFLNQFMNPPCDIVFRVWK >CRE11005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1316828:1324050:1 gene:WBGene00068314 transcript:CRE11005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-18 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3M5Y2] MVGGFRIGMHFLASALRFLEPRVDDDFVDRLHYLYTSTMVLMFAVLVSAKQYVGHPIECFVPAQFTRAMEQYTENYCWVQNTYWVPFQDLIPHRLDDRERRQIGYYQWVPFVLAVAALTFHIPSSVWRMLAGQSGLNAGLVLQLVCGEQNVDPVVRDKTVDIVARHIDDALMYQREHGARRNSVYIFAVVKLGKFYGAYVSTVYIFIKLLHLANVILQFMLLNSFLQTSDYPMFGAHVLYDLFMGREWRDSGKFPRVTLCDFEIRVLGNVHRHTVQCVLVINMLTEKIFIFLWLWLTVLAIVTALNLIFWFIALASNTCKENFVAKHLDIQSDQISRFVHRFLRADGVFLLQMIASHSGNLMAAKVTEQLWMIFVRRSGKPVCDDKIEDRGSVRSTNGNDWESQHDEGKASLKRGDSWHETSLPPPMPSLPIRTRTDYV >CRE11006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1326258:1332640:1 gene:WBGene00068315 transcript:CRE11006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11006 MQQIYLLATVGALAALAIVVHAQTTPLFPVACELNVLIVLDRSDSVKGGFNKSRKFVTDVSEELQIGPEKHRVAMIVYSGLSYRREVFPWNFAKSNEEFVQKTNALRAIGGTTNTKKALEVGLELMAQRNVSIPTLVMVVTDGRSADDPKGPGKLLQAQPNTWVFAAATGDPESVDTRELMDITGNINHIVMHRGRDLATDITRRLLREAQDKCRTTTTTTTTTTTTTTTNPITGCELDLVLVLDFSTTTDPVYNSYKDLSKRLVSQLKIGPHYTQVAAVTFATVGRTRVRFNLKKYTTQEEVLRGIDKLQSKGGTTAIGAGIEKALTQIDESEGARPGIATKVMIVFTDGWSNKGPDPEKRAKDAVNAGFEMYTVAYTARAPNSVTLNNETLSAISGSSGHAFTDVTFQSLVDKIKQRNLPCMP >CRE11007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1343637:1348387:1 gene:WBGene00068316 transcript:CRE11007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-76 description:CRE-NHR-76 protein [Source:UniProtKB/TrEMBL;Acc:E3M5Y4] MEILGKQRVLAMMRLNGAGQLTGYPFETPSSSTSSTPIHPPATPATMSSTLLASSYMKMSPPSSATSSITSSYMKASPPSTVSSPPSTSTSTSSAAFIEKKECAVCKNPNSSGYHFGCIACAACSAFFRRTVVLSRRYYCRRDPIGNSLCPLDRRHRSNCRACRYVRCIEMGMNPSSVQNLRDSIKPRSPNSESTVPEEEEDKKDDILFSAATPGSWISSTSTCNENPPLPVAPKETSGENWLEILLSVYETSITRRRMFYCNGSLREMIGGTGLKMRPTRFDERYYKEKMRFELILYVEMMNSMSVFKQFDLDTKEALIKGCAVSLAMLEKYYISVKYDGLKTQKLIAPDGSYTDLADEGAQFEEEFNKIAGDTVMDKDQCLKLLYNPLKTCLNELGTALEHSKMTDTEFCALFAILLFNTAADNITDATRNLVMMARNRVMKDWFEFYAKQGKTSEEAGLLVGNTLLLLTAVRNAMAVHRENFHVIRVFNVMEYDKLIDDLAFT >CRE11008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1363243:1363743:1 gene:WBGene00068317 transcript:CRE11008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11008 MPRRNPLWLRLPGSSSPSSTSTTEELRLAALSPYNNPNDETSSIPDSLEHSPAKTSDDGSEISRANFSSPTSSPSRSPNKKVSWSLFDQVSLSGEEFLLPRRFEAIELIPGTSTRTTFASCSATDLLESEHVTIHPATARKDTKI >CRE26014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1806:195:962:-1 gene:WBGene00068321 transcript:CRE26014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26014 MTGTERKCFQKYYPSDFDPSKIPRGSKSGPKQFVQRVMVPFNIQCNSCSEHIYKGRKFNMNREKVEEETYLGLKLFRFYMKCHNCLSEIVFRTDLEKCDYKMEHGATRLSEGGSGDFKQEDSEDSEDVLDPMTLLEKRVKQSNVERKKIEELEDLQEMRNGKESVDALEILKAEEEKQKNKEKEEEDRIVKEMLSGRVEKTLVDSGASTSSQKPANSHVKRKIEQKDRFNGLKLIKKASESSPSGLELVSVYDSD >CRE26015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1806:1242:2656:-1 gene:WBGene00068322 transcript:CRE26015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-102 description:CRE-COL-102 protein [Source:UniProtKB/TrEMBL;Acc:E3NTH3] MGDQVYHNWIANTIKVNHRIENNQLFLLKMSQAILGVVTTVTAVSVVTAIIISASILIDINNFSDEISQDLVQFRGHYDDAWRTMMVNEGMRGLVARKARQAGYATGAAAEQQCNCGAQAAGCPAGPPGPAGEDGTPGEPGTDGEDGGNGMPGVAQMAMDVNGGACIKCPAGEPGPAGPDGPAGPAGPAGNPGKDGDAGAPGEAGPPGPPGPPGPDGKAGEAGKDGEPGAAGTKTTNSPGPAGPAGAPGPPGPDGEAGAGSGPGPAGPAGPPGPAGKDGEAGAPGKDGDAGADGAPGSDAAYCPCPPRFAALGAGGGAEPAGAEKEAAAEPAAAEPAATEGAGGGAEPAGAEKAPDAAAEPAPAAEGAGGGEEPAGAAEKAPEAAAAEPAPAAEGAGGGEEPAGAAEKAPEAAAAAPEAAPEGAGGGGYDGGAAEPPTNGQGGEAAPAYPTHKNIY >CRE11011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1376997:1379400:1 gene:WBGene00068323 transcript:CRE11011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11011 MNTENLENEGLPVDSTFGENWHGVQKVKADDRQCMGDAMNVEESRDEAGETEETNEEIEYDESEGVTDEEDEEEENDGCLESCDNDTDYLKNNKILSLDKLESEMKEIISDVETILEVSTGISQNLLQKFRWNKETLLEKFYGSEDTNEFLMNQNVIPSDPEDFPSEENTQCTICFDDESVLTGLSCNHQYCIGCWNSYLTQKIVDGETEISCMAPECTLLFQPEQVLNQPERHIFIVFRFQITFYINDPTVMSMYRKAVVSNYVDTNRLLKWCHGAGCEKVIKVPHASIRHVACSCGSQFCFSCNKDSHEPASCHILTHWLKMDDQESSKWILSNTKDCPKCQAPIEKNGGCNHMTCTNRNCRYEFCWLCMGDWRNHQNCNQYQPNPDSKREKHLANLERYAFYNGRYLAHQQSLNLEENLREEIKSKMNKLQEFFALSKPEVLFLQKALNALSQCRRTLMYSYVFAFYLEPNFNSIIFEANQQDLQSATEQLSEILERKLEDDDLDSLKQRIQDKYQYVETRRKCLLDHCAEGEEKDDWVYIEY >CRE11156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1379550:1380577:-1 gene:WBGene00068324 transcript:CRE11156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-116 description:CRE-COL-116 protein [Source:UniProtKB/TrEMBL;Acc:E3M5Y9] MIRLVTCIIIASAASIFGSLCVSVSILVGINEFHNEISDDLGDFRGYFDDAWKTMHVSEGNSMRILTRRDLGRERKQAGYEFDGGASVAGGQSQQCNCAQPTSNCPPGPPGPVGSPGDPGTPGEPGTDGGPGMDAMRQMAMEATNGECVKCPAGPPGAPGPDGPPGYDGQIGLPGKPGDGPTPGLPGPPGPPGPPGPDGRPGCEGKSGIPGEFGQHSVNLPGPVGPPGPPGPPGPDGIPGESTQGDPGQVGPMGAPGNPGKPGDDGSPGVLGDDGQPGQDAQYCPCPPRTPIGEGADEKTDGGGYFFFKS >CRE11157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1380901:1381919:-1 gene:WBGene00068326 transcript:CRE11157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11157 MFNMNSMLLSSLLILQFVGVSGDKTCAGKEVQDLDDDCTKIEKNPLVFKGNQDEKMVRDKVKNIKHIASGIEVIETDLETFDYLKHVERIQNSNGPAMLFKKNKNLKRLNFTSLGELKGKEKEKDIVFENDHFAKAFREETDSFDDFIKLELIARKSHLRNKVCSNEFYQFNNKKESSDSQTGAIIMIVIAVILTIVDGFLFWYCVKERRAKKAREEKKTNKVMTDVPIGTDFGPRTPASGTTTPSATIETPKDKHI >CRE16587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2704:2840:3335:-1 gene:WBGene00068328 transcript:CRE16587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-praf-3 description:CRE-PRAF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NVE6] MSSPNENMQIMNGVELPPFRNFHEFLLETNRYERPPFNDFQKWNNRIISNLLYFQTNYFVTIITIFLLQTLYSSQTFSSD >CRE30413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:1613:6665:-1 gene:WBGene00068332 transcript:CRE30413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30413 MIQFWPHNLDRYTTWPMFARDIGPDSGSALSTQNLYGVHPFYMCIEADGKAHGVFILNSNAQEVVTGPGPHLQYRTIGGRIDMAFFPGPTPEEVVNQYLQHIGFPFLPAYWALGYQLCRWGYGSLDAMKTVISRNQAAGIPLDVPYADIDYMNHYEDFTEGDNWSGFPAYTQQLHAQGLHLIVIFDPAVEVDYASFQRGITADASFIEWARDDQVPHSIQDQYPMAKNTRVMLGNVWPERNTAFPDFLDTKSNTNDWWAGEFAQFHKTLPFDGMWIDMNEPSNFDTGTYSSMEEQLASAKLSCPISGPDSSLERPPYPTQAVYQRDGEYLFSKTLCMLGKTARRSRNFYDTKNLYGWSEARATYQAIPQVTGKRSAVISRSTFPSSGRYGGHWLGDNTARWEDLQTSVIGVMEFNMFGIPYVGSDICGFNGVSNEELCLRWHQFGAFSPFSRDHNSEGMPDQDPAVWPSVATAAKIALGFRYYYLPYLYSLHYNAARYGHTVIRPLFFEFPKDEETLEISEQFLWGSALMIAPALYQGQSKVHAYFPSDTWYSLQPETYGQKMFAGFNDVNAPLSSLTPVFVRGGFILPRQAAQTTTTASRLNPFEVLITVKTNAASSGELYYDGGDDLIPNDDIEQHPRVHWQFSFTSSIVGGVFSGNCETCSTAVKPPTLDTIEILGYPSAPNFSGFKLDGSSVTLDMSKSSYDASTQKLIVSSKNFISLMALKKKFTLSFSNN >CRE30393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:8231:8854:1 gene:WBGene00068333 transcript:CRE30393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30393 MFSKLFTTSCLVAIALSTAQEDDVSVPTKVSSTKRRQYVAAQQPAVPVVAPVGQCPGNSPLNSPSLTFQMNSGGPSLPIECDPKRPWPQCPPQSYCYATNSVDIGPYFCCPVWSTYGAAWRPATPFYNYVPPVPANWPDVAKMTANWPAAAVSVPVKARKPTKSDGDNEEDQLPDGISSSINSWVQRQKL >CRE30414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:9209:12316:-1 gene:WBGene00068334 transcript:CRE30414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30414 MTIQKGVYLLFVLFQVLNCQTVDVSKRVDCYPEPGASQDSCQSRGCIWTEAPSGSPVGTPWCYYPTKSGFTVQSSGTNSFVLAAKTKNPFGDNISPLNVKYSTNGATLLLTIGNDDRYVPPVNIPKKPSTSTESLKFTSGNIGSSDIFSFKVTRASTGIALWDTSIGGMQFADKFIQIGTYLPTKNIFGFGDHIHKKMKKAIPTTSIS >CRE30415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:12765:17488:-1 gene:WBGene00068335 transcript:CRE30415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30415 MASEPMEEDDSFNQPVPRSTPYIPPQQSKKEDSDPESDDSFETFAPTQTTNGGSLKSSASSTQSTGIKAPLPPSRPFQPPAPPFGTQNVAPGAQKYSSKPLPPQLQRSQYSHAPPPSITSTYIQSSQQMSQRVVVQPFRPVINQQNTNKIGVHSAVTNTKKNGDVTTQQQKATSSQAPASPNSLARHRSANSGLRNSPFQTPALRTGNIFIPRTTPPSDPSSRPATPSGDVLMLRDQLENEKRKVMRLQTEFQKDAKKKEDEHQRNLAAKDLIIDKQKKDLEMFKSTIACRKTDIPTSSSFSAPSPILKTPKIPNTIRPMATSTPTLSSTSEIKKVYPKIPAAEPKVKTPRRRAPMTNFGHISAFRHPLKDDEEDDTFQMNASFEPSATSTPKMSGLVRTGLRRPLDLGDDGDENIRGAPTPKRKPVVFKEKRKKRTRKEVQEKIQKIWEGIDKDSTKLSTILNRKDVEKPVEKPPESMETEYLGFGEKYDWVDRILTKKMESVELRKTQPVQTERFLSARVRNRVMNEAEQRKIITKNCANCHMDVEISKPEETPKTERRIGRKKVKKEPKEWEIRESDRRDLLKKEAEERRCLHYTTMLHSINRKGIEEIEGMKKKNELAEQQYRKRVEAVKIRNLDEIREEKLQEWRKQIVSNLEENSDQQSCFEIREVGRNEDYIWTEDERDYDAKFPEPYHLPKSSLCHQCQSHQQRQRRICRKEWEQSRIKLKCLEEFGNERREQMRNASLLTKSRIEAADKSLFRENGVVETGLRNDDVDEEYDSFM >CRE30417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:34779:44249:-1 gene:WBGene00068336 transcript:CRE30417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30417 MYKLLLFLFSLNFVNDVDARKKIYARDVRIPMQSSDDVDAKWVNSMKTEGSSEIVGYINEHAKAAGRYKITLLVEDPGLNTESLISTRNEEEDFTEEQIAPQRIKRKSSKELSNGPSLTEADEQFLVDKAEKSLIEAYKDCQTSKKTGAEFDDCIDKAQKMTEQEITSSLNNEQKCGGAELEKCKDRIQKKAVSTIPFSIPVEKSYSGVMAAKYGNVRIGMILAKHFDGCYHGQNKIALLLKCLKEGEQKSPLNVAFDSIKAILEIYCKNKETDNKCLEKIKNIPADYSKGKKLSDDAEKCKKEKSSVTFIECLSQVMEKSSTKKSIAEGVSKTCKGNEKEDFCRQNGKTTVEKTLKEAVNALLTNYCGKTYKKERLYQSCLKTNMDQISKDVQLPAAEIDFEPELSLKPIVTEKKYGAIAIEAIKSLILMFCKANSPKYQFDYDTCIDGRISVSKTIAAGFEVPFKFLDEVPLSEIIKDQNGRIEIGATLKKEADLCKTPNPQTRDQYFNCLKGKPLQTALIELAEKLAAHCGKGERTHVKTCRTNGKAEVEAKLKNSIDAVLTNFCRETFPKAQNEYKECLNFDGAVLEPLSKIVNFPATIYAPIDMLKFKTSDDHGDIKIGEVLQNSVNSCKGKKALKEYFECIKGADGAQTSPWLDVTGQISKELADLCGQKQKIKDCRNTGRVEVKSELKEAVEALLLNFCGQNAASSQQVYDSCTSDGDSLGIALDKVIDLPISIRQEVSLPSLVIAKYGDIRIGEIVQNQAETCKNRKTFDEIVDCLKTSKGSQPSPSKSAKDSVSEKFADFAIKHTFGDGDWKKGVAEVQMEFEKTIQAVFSNFCRENVNYDQDNYVECLDDGLKRSQEMSREFSPIDLFLQCGSKTTIDDFNQCIDGANSHRLSLWTVGCASIPRKKECIDEATESSRIIANVAKIAAKLVSCEDTQCVQTEKQKVTDSFAKNIEKYCKVPCKDSEAKVEAALIKLLPDCSAYPENLKCRAGFSLALKEDVGCQSLPKDFEVCDEFCGAMERTNVDDGFTPLEICLIVLNFIAFLCLCFFIGYKCRKTRKSKKPATTVINSNETNLETGQAVDVKPTPGLEKKEEKIDETGVQEAGVQEEGVQEEGVQEEGVQEEGVQDGTPALGNNPELERMRDRRKQLQGNIKILTTHTNKCAEEHKKDLANNFTPMGPIFIPKEPEVPPPADIPNNVSLTNVAWKDGLNEQWDVGSDVDDIELDQDFVLNPDSVTESEASQKSGKAKSSTKSKSEKVPSTSGTGPSTPGTGPSTSGTGLSTSGTGLSTPGIPSVSAPAPTVPAAENLNASETKKNKSKSKSRESSAEPRESSTSSSVQPDQQPSTSGLPSVSGPGTRFYHLAPTAPAAKKPNASKTKKRQSKSKED >CRE30396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:47572:48782:1 gene:WBGene00068337 transcript:CRE30396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30396 MAHLLLTLLIIIPFYVVAVSEKRCIGTETKQLDEGCTIIESKPLIFKDVIDESLTRKKVKSITHLKAGLEILGTNIENFNYLSHVKEISNPNGPAITFRNNKVLKRVNFTGLTTLTGKKELLLDHDNLSKEASGNSASFKDLMQLEHISRVSNQRSEKCSKNFWEIKDFDKSSEGGRGNGWVISLSILLLVLVVILATLLTRPCHIVRRILKKKKSEEIDGKDRSKVEDDKEKTPKNRANKKKKPKKSTETNSKTSSTTVSTPNPKPTTSKQSSKTSSVSNLKSISKENSKNEVSKVTKLEKKGKQRKGKKTGN >CRE30418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:50515:53372:-1 gene:WBGene00068338 transcript:CRE30418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30418 MHQTLILLLFLVSVYSAVHADSERYARELRNSTESSGGPEHGKLNLTKMRKNPTIKHSVSHFPINKTSEVKGNLKKHTPRKEKTKIHHTTPKIHHIGNLDSEKTKGRSHSNRTLTHNLHTVSSQKLTGQHEKKLGKTMIPEQMGRVTEKVNAILRSTKNNRSFKERNSGRTSMKLNAYSTKHKVKPKRKLMRKLSSGSVLTDAYERTLVDQTLTFSKRVLRIIIGFRPEVATRTIQKLKTKTEMNAEKEKEVELKQKDVVDGSTGNSTDSMETSTTSSSSTTTSTTTTTTTPSTTTTEATTTSEAVTTTTESPTTTATITVDVKTRDSKEDARRREPPSSLAIESPPYPANCPSDSLFLTGNVASAEETTSSFVKTFASFGVIIYIVSYILCCLPCGLKPDVKVKKLETDSVVGLGMRLRILIAATQTIIGFVQQLKHFHSSSQPSDFSEHILVCVFVMVTSLIIVFAGRRIGSIEAVIISFLFTAIGELILVFASTSQFGMILSICGLYSLWISLFLVVEIFLSVRSTVQLAYFLIAWIVGRAFACLFALEPVFNGLDHIFTFILTVSIGILLFYAIRMLQKSKRLKKILDNSSGPLTTGISNSAGGEYISLTAQDVLDDDDLIDEDEMDRSSMDLDFELEQLGLEPVGASSSSRA >CRE30397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:55333:56528:1 gene:WBGene00068339 transcript:CRE30397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30397 MPHIEIFKEPVYRTYRSSECSWAAFYIKLINIFRYFLPILIIFLTDGLWKKTNTFREVPDVSVTGDFIVYAFGHDRSIISSSYSVLNSAASPDQLSTSQILHHFSDSNSENTALYQKPNRNLNIQFQMSTQNLSINTVIYAFSLKMKLDYHSIIDSELFLTDTIQLPSFPTSQIQTTARLTVDQSVPFQSREKFRIIDRRRQDVEHYQIHSVMRRISESPISWKMERKSSILLSAPSPPPTLSLSIFLTISEMEFTYRTGFWELMKWFWIQYFAVFYIIDYLFTSITSYLFRNHVFYVNDVVR >CRE30398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:61148:62167:1 gene:WBGene00068340 transcript:CRE30398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30398 MFNMNSMLLSSLLILQFVGVSGDKTCAGKEVQDLDDDCTKIEKNPLVFKGNQDAKMVREKVQKVKYIASGIEVIETDLETFDYLKHVERIQNSNGPAMLFKKNKNLKRLNFISLGELKGKEKEKDIIFENDHFAKAFREEPDSFDDFIKLELIARKSHLRSNVCSNEFYQFINKKESSDSQTGAIIIIIIAVFVTIADVFLYRYCMKEYRAKKAREEKKTNKMQTGVPIGTDFGPRTPASGTTTSSATIETPKDW >CRE30399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:63464:64200:1 gene:WBGene00068341 transcript:CRE30399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30399 MPLACSIGEKILTMHGGISRKLENWKSFDTVSKQRTSTRTYLFFKFFANKKLLTIFSAPRYMDETDNRGAIVRIREDGNFGIIIFNNTKGGKLVFPTAHTSHYQKYFAEPIYMNILLQQWQVAQEDDRSIGVNGLDQLCRQKHMQSDQEASF >CRE30419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:66743:67874:-1 gene:WBGene00068342 transcript:CRE30419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30419 MHWNHVVALISLLLMSPLTVEAAEKTCRGTEVKELEDGCTIINEASLIFRDSKDEALIKRKTETISIIENGVEVIETNIENFDYLKNVKKISNKVGPAIAFRRNLKLKRFNFGGLKYLKGDPVRISEQKFSRIINFQVDVVFEDDHFSSVGSSSSQSFRDLMFLEHISRSTNERTEKCSKQFIEVRTNEISEDDYKQKAIIAMVAFAILCIVSLIIICNWFKHRRSVEKNDVNDKKNKGNTNKKHEKDKKTEAGTTPLKKSKTLTVFD >CRE02761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1521:4470:6741:1 gene:WBGene00068343 transcript:CRE02761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02761 MTDIISHNENKEDFEDGELPEDGEICDDEEESVKAAPPSKPKEYQPPPMAAAHVAPAVEKPREPRERVRRFEQNSPPRERERERDPDPFGSHSDAPDGNEYFGDKDYRSAGAAASSEEEPFTDTDYRTNRRRRLSPSHDDAEYESRSKRPFFNGGGGRGGFRGGFRNGPKPRFQTEHQICKFFREGYCRDGDNCSYSHQAEDSLRRPVLCNFYANSYCKKGLQCLMLHGEFPCKDYHRQKCFNDNCRFSHVPLTDYTRPIIEKIIADEDARQPQQPPVYRQNPVANAAAAAAAAQVMAPRRRVLLPGVIHAPVPQASMQNQLPPPTVVVPTIQRNPVPLHQQYPVAQGGGYFNNGPSSRPEQVQGLPPPRTIEPPRPSTHMQPMSMQQQPQLIRPMNPMNSMGPQQMQHLQQPGLVVPPQVVAQPERRAPSPPVFNLEAMLNKLANSDKMRQSPKTNVIDDSPASPPAFTTNMFGSNNRVAVIPQTIQVVWGLLRIQKRLPYSNVENPDRIPMNDPRRAKAISKQFDAFSSLLSAGGRGGVSDPRLRAQKEKNEAAAREQQQPPTTTTSMPFSSWMPQIS >CRE30400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:73122:76085:1 gene:WBGene00068344 transcript:CRE30400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30400 MSFHDDSHILSQLGILDSHERSPKRDTAPIDKKFVDVKLTASISDDDDEDEDMLFDRNNLLSSNKRQNASLIRGRADNQTIRFIILLILFLTAGLVSTSSSLSVCSVRHEVIACLWTCIGMFCGAWTAKLTSSRSNLMLFLFSVLLTLLVNLFLAFSFSFLFAIRGFFVGATLYGGALVWFAFWRKQPRKLLILLFIFSAGGLLAMTVKTTTFSDATSSLADMSIVTRSIQDTVSRLKRAVEDEKTLLPFAAVVDNSTHKTENVTDDSAIKKPEVATGTIQKLKTKTEMNAEKEKEVELKQKDVVDGSTGNSTDSMETSTTTTTPPTTTTEATTTTEAVTTTTESPTTTETITVDVKTRDSKENSRRREPPSSLAIESPPYPANCPSDSLFLTGNAASAEETTSSFVKTFASFGVIIYIVSYILCCLPCGLKPDVKVKKLETDSVVGLGMRLRVLIAATQTIIGFVQQLKHFHSSNQPTAFSEHILVCVFVMVTSFIIVFAGRRIGSIEAVIISFLFTAIGELILLFASTSQFGMILSICGLYSLWISLFLVVEIFLSVRSTVQLAYFLIAWIVGRAFACLFALEPVFNGLDHIFTFILTVSIGILLFYAIRMLQKSKRLKEILDNSSGPLTTGISNSAGGEYISLTAQDVLDDDDLIDEDEMDRSSMDLDFELEQLGLEPVGASSSSRA >CRE30401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:76999:87711:1 gene:WBGene00068345 transcript:CRE30401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30401 MHQTLILLLFLVSVYSAGHPDSLTFARELRNSTESSGGPEYRKLNLTKMGKNSTIKHSVPHFPIKKTSEIKGNLEKLTPRMEKTKIHHTTPKDHQKTKGRSHSNITLTNNLHTVSSQKLSGQYEKKLDKTMIPEQMKRVTEKVNAILRSRQINHSLKERNSGKTSGKLGANSTKHKVKPKRKLMRKLSTGPALTEDDERTLVDQTDIIGKQLKGGKKCGGEAELETCKDRILKKAVDVTPLSIPIADSYSVVATPSDYGNVKIGLILAKSFDNCYDKNDKSDKFLECLKAGGEKSPLIVAYDEIAKQVGYFCDAKGDATCRPGAVKSNKNVLETAINAILGIFCNEKNKDPNCQAKMKTTAREIIKGNLLDKESDTCKKETNIDKYINCLKNISLCLFHLFISETFAKKVADNCGSDINSERSCRETEKKDAEELLKKVVEALFTNQCGETEKRERGYQLCLKTKLDKVSTDLQLPAPIIKFQKIPLLKDIVADAQYGKIAIGSTVGDKVKGCQKTNKSWKINDFLNCLGTHEKAPLKTAMEEIGNQLTSHCAKVPSDERECRRDGEVDVKKKIKKFIKTYFKGFSLSFKLLDEVDVSKFSRKDENGEIKIGEILKKETESCKNFKTRDEFFNCLKAAPLKTAKNALDAILTNFCREVFQKSEKEYTDCLNFDGSELKTLSILDNIPATIYAITDISKFQTPEDYGGIKIGEMLQNVLVPCDGKTTVNEYFSCVSGPQGATTSPWIDITAKIGKELADVCGQKEIISDCRNYGKIEADTKMREMAVDLIMNFCGKNAGENQQTYAACSSSVDGMVDTLPTGEIIQNLTGMCKNMKTLDEAVDCLKTSKGAEPSPTKAARDSISDKFSDYALQQKFGDDDWKQGVEEVRKEFKKAIQALISNFCRDNVNTVQNSYIICLDNGLINSEVLSQGYTPTDVFLQCSLKTTESDFNQCIDTVTSQRLSSWTASCASNPSKETECRDAAKKSSAETATVAKVAAKLVSCDDSTCIQTEKQKVIDAFAENDGKYCNTPCKDSKVQVTAAVNKGFSLALKDSNCHQSFPDPNDSCGGMCAKMARAKAVDDNNSWKIWFDSFLYASIIPGLFILCCIGYSMCKRCKWCKRKPESKKKPTDVQSGVPISVLKSKKIINKDDEKEEDEEGRKPAVEDDNPAEDGGPSLKNNPALERMRDKLKQTQDNLRLLRTHANKCAEEHKKDLANKFTPMGPIYIPKEPEVPPPPPDAPSSNVSLENVAWKDGLNELWEVGSDVDDIELDEPYVLNPDSVTESEASQKSGKAKSSSKSKSKESKKVPSTSGTGPSTSGTGPSTSGTGPSTSGTGPSTSGTGPSTSGTGPSTSGTGPSTSGTGPSTSGTGPSTSGTGPSTSGTGPSTSGTGPSTLGIPSVSALAPTASAAEPRESSASSSVQPDQQPSTSGLPSVSAPGTRFNPLPPTAPAAEPREPSASSSVPQEIQPPTSGLLSALPPHNNQPK >CRE30421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:87772:88602:-1 gene:WBGene00068346 transcript:CRE30421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30421 MTRSIISSSYSVLNSASFSRSVIHFSDFASFLRFKFRKCKIRSENCRKSLFQTALYQKPNRNLNIQFQMSTQNLSINTVIYAFALKMKLDYHSIIDSELFLTDTIQLPSFPTSQIQTTARLTVDQSVPFQSREKFRIIDRRRQDVEHYQIHSVLRRISESPISWKMERKSSILLSAPSPPPTLSLSIFLSISEMEFTYRTGFWELMKWFWIQYFAVFYIIDYLLTSITSYLFRNHVFYVNDVVR >CRE30423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:90681:91437:-1 gene:WBGene00068347 transcript:CRE30423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30423 MTKNWGPTQLYFSKSSPRIGITRRRWTSTNKNSLAEHAKEHFKSEPVLAKISPPVIVVGGVHGQYADLGSILNSRFLGFVNYRLVFLEPSSKCIQIIFRFVFLGDYVDRGENAVACIALLFALKIHFPKQYVLLRGNNETKTINNACKFREELVKKIGEDDGRVGEIPRSVLWMPLACWLEGVLTMHGGISTRLENWKNIENIQRPLVDVNVNQLACDLI >CRE30424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:95602:96210:-1 gene:WBGene00068348 transcript:CRE30424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30424 MTDKNQSDSDEDIESLTNHETSLEAAAKARKRRLLAMKSKIHGVEMQEEDYDQGETSTKKNRENGREFRNHKPDEAVGTQNTALDLDIVQREITDHLKDVLHEKAIDSVDLAMLAPKKIDWDLKRDIESKLQKLERKTQKAVATIIRQRLAEGKGDLAATVNAAAAQNL >CRE30402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:96784:98137:1 gene:WBGene00068349 transcript:CRE30402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30402 MLRQGAGTLQLVSHGFVNAEQARGFATLKDISIRLKSVKNIQKITKSMKMVAAAKYAKAERDLKGARAYGVGAKAFFDNIDPVAEDTATPKEESKKQVLVLITSDRGLCGAVHTSIVKEAKLILNNAGDKDIRVVAIGDKSRAGLQRLFAKHLLVSGNEIGRAPPTFADASIAAKAVLDSGFDFETGHIIFNKFKSVVSYETSKLPILPLEAIKAKEALTTYDSVDDDVLQSYSEYSLAQLIYYAMKESATSEQSSRMTAMDGASKNAGEMIDKLTLAFNRTRQAVITRELIEIISGAACV >CRE30404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:102167:109130:1 gene:WBGene00068351 transcript:CRE30404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30404 MEGLICGISINYQTGGIRQTVLLLQNSSTSFRPPITWKDLLENDEFFILFFKLHSKIRHDETLCTKSMNCLIQLASLTGDCMPVADQDASTKYVRMYISNLLELFSQGPSKWETNHFCMIVNRLFLYRPLSSIMRQEAELRTRFFGFLRDYTIHLTNQAMQKAIGEAEHDDHTSLALIYDAIVVLLRGKWRTTFSSEEEADAIDNELVKWPVLMIVNSFIQNVLAPPKGSRPEAKEEDDGDDDSEDRTLFVDLFNPLSSMICYSVGEFMENMATNLHSSLAEFTSMATGTADMSRLPIWQEDQHWLMLILANSIVGEEIDGACHVAGDVFDYTQKLFHSGRKFDCLKKAQYLQLCIEHPTQDRQVYAQEVDPFVIIMGELFAWAAIEHDVFANASREMISPELCRSTFLCLRRFLNAASSPVDCDKWNDYQGVASEHLPVMPKDKGFSAVLVRFVVKKVLAVLVNYGSEEKLCQDAIDCLLSLVESHASDIASSAELFEYLNSLDIARLPNRSNLMKALVLIGAAANDQELQENMFKMILVPLSERFVAACSVPSQSEIDSQIVDYLQCFDGVAKASQSHSAPVLFKFLYAIIEKCIGLMQSRNQNEIVVSNILQLILDVTTKVSIYIDNEDESNALYSSLLQIVDSYRNDQIKRFSTFTADDEDKAADLALFIDILSNVLSKDFLTLGEENCSTGAKVVIHSLEMLLTIMNDRVLQMPEVALKFFRLILYLVEFSPESLAEMSDNLMSSLCQCIRLGMTGQFGMEITSTSLESLTEVVLHYGIESNKPRCTQNLALLFKEMLPTVFETCLSNTCENSIYAESCSALYALIAFERSFFDEYVNNLLSNRSNQQARGVLEAAFTELMTVTPEAGNRRGRVQFRSRMEKFLNGIQGLLSYT >CRE30425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:100910:101722:-1 gene:WBGene00068353 transcript:CRE30425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30425 MRPLHLCSALLFTILVPVLVESQYPITYGCMAQILAYSPMNKLNTFVNNINNKDTTLALKKKRANSWVPSNMGAHKFPGLDIYGTSSGALSGVISLLDHRNTVGLFWNDLTPGLTKVFNASVAKTYKNMWAKTDKVHDNAFFDALNEWYAYCHYHSPAGKRTGLYNAIQTVTAKYTNNTNLNFEPMGSSFNTRFFLMIMMGMW >CRE30405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:109745:110375:1 gene:WBGene00068354 transcript:CRE30405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30405 MTDKNQSDSDEDFESLTNHETSLEAAAKARKRRLLAMKSKIHGVEMQEEDYDQGETSTKKNRENGREFRNHKPDEAVGTQNTALDLDIVQREITDHLKDVLHEKAIDSVDLAMLAPKKIDWDLKRDIESKLQKLERKTQKAVATIIRQRLAEGKGDLAATVNAAAAQNL >CRE30426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:111156:115054:-1 gene:WBGene00068355 transcript:CRE30426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30426 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NAH2] MLQNKTTISELATSSDYHSNHFDTWYVGFMILNKVGLLLSASDASVQSDDLHNVVSDVSAASYSSNSSNQNWTTENDGSTINFIYDKEFVHGDMITRIKRDANNEFLDNVAVVSHIVSGIALQHSLINNGSLVEMFVGELLKFGNFSISDVVGFNISKIETYIDSIKGVRGSFNTNSLKFEETALSYDEVRNESESIRDFLNSSKSDRYFGDIEIAMKMDLGKLDTLKTSIDHLISEFKALKIDLSETTALRDTIQKFDDLKASVGSLKPLESLRNGTEIFTDFVKILKLASDRENLQSVTIKRAELDTFHSHMNLIQQMMRENNSINNEISKLKSFSANFASETTKSSFGFQYGLDDVKMLMEDVKHPWLVNMTGDLSYRLYRLSDGFRPLFVLTKQMIRLKEKLKKLSSVDTFPSLTHFDQIQKKLLSPSSLKLIDVVEKYKACTGFPISQNFKEKISNISRDAKIVERGITSLIVAVKTTSKIDLKSMFHNVEKNNRSIIVHQDLALLKTLYASVDRMRLSEALASLQNSRQIISAFRQNATGELAYHSCIHKLKTGSEQLVQLIQAIQKIRDVDEKMISNAENGVSIISEVIEELSGIRKRFKNMKKGKWQEFLNMMRDSEAYSENIFQTLKCLREANSLIELRASIAGLESIGSSVEMETREIGKLDDGKRITKQWGDHEKDMDQLNDAIREIKLFYDFLNISKATTIAEYGVPILGMLHFPDVGMNSREKSEAIGELFEGVILDPKTRFELETNQKIFDELALLDLQFSSHRHDFNATPEAFREFGVFLKLFLEIEEVSYTIIIFSCAVALVVLAIAMFVYVKFYYLPNRIPKIHAGVMRWIKREFPKNAKIALKIHEDIMRMMEAPIEHDQLFSYAKLDPGKHRNPHIFCNPENRVLIYPKDPRDSRVHGNCIRTRKKEFVATQGPIGDELMGGFQYKNERGELVLVDYVDTREDFLTMLVERKVEKTVMLCDFVENGIPQCGVYFIKELGGVIVIGRFTVTTMTVDSILNGNGSKRVLKITEKGKPEVLLDHYQYLAWLDQDIPNGHEDMYRLMNMVNKSNKPIVVHCSAGVGRTTAFIALHYLTAEVALCETTSFTEFATDLNMKRWRALQTVHQSYWVQAGVVYKTLRDRKLRMKYYKKYMKRFEEMKKWEVEREELARKERASHVAIQMPDETE >CRE30427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:115987:120240:-1 gene:WBGene00068356 transcript:CRE30427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30427 MLKRAIALVVVVLVNGSLAYEFNDRSGIHQSLLILVTMTILILRTLRPIFTRTLSNFIMVRMSHQDLFGILKCLLPDPSLLTQRPNPNPQDSLNLTHIRTVRNANDKLADYLKHTTIVAHLANGIAIQNGLMNGKIKPDELVGELLNFGSVAVPSIANFKKDSITALVEKLKEVKSNLDNSLENIENQALEWNKLIKGTSQTSNAKSLPGGKDFFTDVDKMSKDVSLNSLGAPAINIGKLESKLTTISKLNLATILQTKDASNAYREFQSLNDLLTSTIATIKDFKNSLVVLNRYKFLKDGPSTLEPVDILIQMIKQRTSLLSLTLDQATATSISNNIVKVVAMVTISQACEQDAQNINSLAASRAFPGSVTRKYTDGLPNGLADVNQLLKDVRDPWIGQILSINGSNLNGLADGLKPLFNLQKSLGVLDEQLKPISSESNKKALIDFKQIQSDLSSLQQDSSSSVSGLFTSLKKCGRTTPPGANTYAPSEKVIEKTRSLKKLFDNSNSALVGLDVEKVETDFKKQFESFGFKNFGGTTVNHASEIKTVLNKVKEVLPGFTKIINELKERFGGIPASITTEAKGILDNSATFNNFTDIDDELGVHNCLAPLKATSDKVSQAIRAIRKLKTMDLNKLADVVTVAQAVSQVATGLSGITSLPDTMKKDSSKVTTELNKLPDSLKNSEAIGQSVTSLYTASQLRGLETQIAQLKTIDVDVQAEIQKMLSPDDRKQVESQWGAHKKDMDELEKTLAEIKTFDTGIDVSKAKTLGAYGTPLEQLTSLSSSNIKAKEKSKALEVLLSQPSQPPIDPSTKSRLEATQKTLEQLSSLDLGFASHSAQYQSAPAAFQALHDFLSKFLAVDHQQTTIVTEEGGVSLVYIGIAVATILAGVAGSIWFYCGFWKPREEAKNFIKNNRLNNKKRAAIYHNKVHNAMNAFTKPPGKDFGTIGIRRHRHAIYCNPETQVKVKSFEHGIHANHVTTKSGKKYIATQAPMGNDIPGRVSTLADFWCMVLQYSSEFVVSLCSDEEMINTDGNGPKCGLYFAQEKGDEVIIENRFAVKTTSKQEILNNNVVKRIVKISDNTNEYPNHTLTHYQYITWPDHGVPTDHETGIALMDLVKKSKKHVVVHCSAGIGRTMSFIGMEYIYDEVLANPGIVWYDAMIKMRDARWHGIQTYVQSIWVLLAVFFKLIRKYKLKSKPYTEDLKIMKEINDDPAAAEAPEAAPAAAPVAAPVAAPAVAPRAPAAGEEAEGTDEEDEESENEPRNYVIIPIE >CRE30406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:121079:123756:1 gene:WBGene00068357 transcript:CRE30406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30406 MQPNMINGGKRSADEELDTGVGQSHRSIPVEEPDIVEVAVHPASYADSNLSDLVLVEKTPSIQLMPRGRGSRAVRGRPRGRPRLIQDQDMVDAAPEAPNTRGRGSRGVRGRPRGATPRLIQDQNMEDAAPDAPNPAPAPEVPVAAPEVESPAAPAAVEVEEDMEIVVEAVPEVRNYVSYISFSNDSSDISDFTIVVENHRFYVDKRKEFQHLTRHSTILGAMISSPDIQNATLVLENVKEAPFHWFLEVINGVYHSLKAENIEDVLELVTRWKARYAKWACGDFIRRCPLISIKKALELAVQHELKAAKTLLVRKIQSLATLEQVRDSEIIFTDPVVLGLLFNKAMELAGATPPARLQGGLEQVDYRNGHHQQNGINQNHRYNDPNHPRAPTPPRPRMPTPPPPYHPRIEDVEMGSGRSYSRSPSPRGRHDRRYSSSESTFDSEYSESYSDDSRPRRRRHYYSDTDYSMSPESRRRDRRDHVNREERRGRDHRSRSRSPRW >CRE30407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:136053:138414:1 gene:WBGene00068360 transcript:CRE30407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30407 MHLRGIPFFYYLISQLISSFSSTLAQKTYHYGVTGREIRHEPSSRLARYQSTSVSMNPLEDNLRVQQILKKLEDPGGVEIRRKSSSRHLHGVISEPFGKPLVLSGGLSNDEKAGGGVVNKQKKRSQVSRRREKSPEVNQFEMDLARQIEEDQLKFVEDEKNPMKYVVENGLLFKQSRFSPLARVEIPQIAVPQVQPPKKRKRKERKLRKNKIKSISSGGNGGIGGGSVFRTNVFRGNINRQIPAIPLPSPYSAAYGKPSFAIVREEDGSCYTNRFGYRCCDEALESLILKSYEKLRRRSDSLEENLAKIASTLRRDSRQVFARNFEAIVSSSNFGSSIPSDFSCKVELGPSRFIAQVFVPELGLDAKTTVRRHRIPYHELSREDLSDSTELVVSRNGIIVSQVL >CRE30408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:151803:154805:1 gene:WBGene00068361 transcript:CRE30408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30408 MNDVTTSSVFLDSLFIDDSLITCEVCFEAFNHQTRPPKLLPCGHNFCESCIFSLCLHQEYYLLDSIKCPTCRREFTMKTARQAPTNYDLCKILENLWKRKEQNVTVIEVPDKSSDLPPPMKEVTVSRKMTSSLSAATSTEQKNPRHHHHVSKKMKALAKRSEESKHLKCADCHRKISQKNLFRVSRYCVDCTSTSRMTIVCLECCVNHHNGHELLTEDALHHNQLKTITELRKLRHKILDISDEFDTRSEEIRMSGMEVCGSLVAEKQSLLTYTLASIDDVMRRIETSPILFPPVLRSIRDEQSHNFSRLEKLGVQLEKSLVSRKNTKPTSLTFIENMNESDIQGPSGLGPKPPKGVGLSLNRSLSLRQSRVSLRGDRDDSLFHESIASLVRLMHKHPMCLQLDFHHSTVNPKDTVEQRKQKIMSCAHATTCMLDIDTQISMVPLFADVLLNCFYQLNRLSKNKFVGDKKSFRRIDIWKQIQDSYTVLFGITAKHFPSHHPERVDILDDLAYLCHLYSDVADQGTVTICLIEAARARASDPTGLSDIEQQRTQERLELIDEHLTECRRLQKLLELRKTSKTKKKGGLKRLFGGCFTSQELVNESLVY >CRE30409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:156038:167317:1 gene:WBGene00068362 transcript:CRE30409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdh-10 description:CRE-CDH-10 protein [Source:UniProtKB/TrEMBL;Acc:E3NAH8] MREFALICFLLPAILSHEFHVHSLKHVQKRHDIPLEFIMNSQEHSDIRRSVHMEEDKENLERLSSDKFVVRRDEIPPRSSDSSIEVDGDATSVPDKFDQPSYDFMLPEGKNEKSTILAVVDFITRKHNVKPTFIVNFDENQWFDIGEIQKTRADNADVYKATVILRENANVEIAKTEGGLYKFVVEAQQGDVVLATTNISVDVISLAPTTTKRARVTVPSEPKMMETSTSEGVTSSTASSSESTSESPESTTQTEPEIMTTTSSESLTTSSDEILTIGGSDDDLTTPVSSESTTEDSEEEVEVLDSEFSGDSDSEGSADSSSETLTTSTTAEEASGELLTSSTSESTSSEISESEDPITGPITILPLKNSPDGTLEPLENVHLKIQGAPNDVIQIRRDARPGDVIRDVHVDFQGLQGHEIELSVEPKGFVEIRPSTILSGESTAFFVEKILEKSEDSDSEDPRIQKLEIVARFDNSSIRTPFQINFSENSDSSEGSEMLSLREVEFGVMESAESGRTIGKVDGDLKIIGGNGNRRFSLVGNDLILSCGQFDTEKCLQNDPQKTFSLILMPKNGELLPVQVTIQVQQQANLRTSDKVIRISDNRIISPFAVITERTRKNIKLDGEAAKFLGFLKAQEGLYQLIVVNSAASGRYTLKISLEDSEDSPSQSVDVFVENSQSHAHFRKPKYSVEIDANTIENGLKLTQVELEGVPIDEAKIMILDGNPGWVTVEEYGGKVNVGKFDGLIYTGKSSIRIGAVDKKTWTILTETVVEVEVKNGQEPEENDKNLTENVDKSRVLEATFDREKSEVFEIQLDDQNLKLDPKSMYGIDEKGRKVPLDPTSITVTPTHLQFTPESLKTLRILGLSLKNPESTQTTNVLIRLISSPDYLKTQEKLAARPIYPEPWTRENNEIHVELKEELALGQVVGVYPAVSRQNMSLVSRDIEMEGAMKDAFEFDGKTGELKIKQRIDYESLTENQKMFNLTLSSGDEGFKSVAVLMVNVLDADDNPPVVDLGSFEEIALPENSAPGTKIAEFDVSDPDKSEIFTVKLEGEGSENFRANITSNGTSILSIFIAENAKIDRELEDALAVVAIISDMSGNRASLLLPIRILDVNDNAPEFVKKMYEVEVMEDWPRGVIIHRVEATDSDAPGPSSDVKYEILKSEDVADVISVNSSTGAIEVSGDLWGLAREVPYKYIVIAKDDGAISQNSSAILSLRVREKEDVITEEFVQFLEPKFVRAEVIIKENLPLDTKIFTAKADLRGLPTKTGGKLVYSIKDLLNSEKEPTFAIDKDSGDIFVAKEIDYENKKEYTVSFKRSFR >CRE30410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:176818:182640:1 gene:WBGene00068363 transcript:CRE30410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30410 MGEITIKKEMGKLEKLGRSILKKFGGSRRSTSRRRREEDVAANATSSSSTSSDEERDQGYIHKFQVVPPEDVIMNSEDVASEDVRMASPAASDEGSAGYATDDTALSSLSPLDIKRVSVDYSESQGYYSNAELSPIPRIEITVPPQDGTVGSLSPRSPMRSPIQQAPPPIPRIVGVTVPEVTVPVSRTPLYTKKPAQEYPRIDVRNRLKPKPIYKSSQLLAENKENVLPSEKIERSATLSNLTSSEEEDPIERFMKSHIYKTKMITTVFEAKDRGFEEISGDVIHEIDRWFESKNMMAVACELHWSVPTSKRSRQLECKLKEKLLQALRENNKRLLYLRGMGHGKTPVKLAESKTMENISKDRETDSVRGIYQRSYTRLLPYLHKETPEWHSQYWTLQDLENSDDVTSPEYPIQPMPQKFLFDTEPSDLLITATNSEDDAVTSSTILKVHVENLDDNEPRFLESAVPVFQVLANTSKPTAIGRLTAQDPDFNPIFYHLLPNCGSTEISKNFSIDSEFGEVSYLPKSTVAPGKYELCFIVSPEKNLDTSEVFFDGNKPNFLKVLVDFVVDQNVVGSEKYDNIGVSGNQSISRVGDVLDRVDIPMLMNAGSADFELKNLQFVPANYELGRDMISPEGAVELNKKTGEIVANGRILDTPQGVYTAEISRGQGRAVKQLHHIRNDRKLRYVLSMSRNEFGANLEKFKRQILEAIAKDDQKAGKQLDIHFDEPKAERKNSTWTSVCFYLTRENAILDDKQSSALISPSNGHISKLHHIFKVQNVDVCSPRVSASSESSTSSATSSDIPLNTLILIGVVGLLIIALVALLVFVCCVSRYQTYLKQKAERMRCSSSTGSYYKSPNLIPPPPPGYMHTPPLPPPPPPQAIAYY >CRE30429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:188323:189167:-1 gene:WBGene00068364 transcript:CRE30429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30429 MKLLLVFIGILAMTIHHVSSAISDKKSISNKEEYLKSFNDLRREYAKKSRIPNMHKLVWDDYMETQVQMNDLSEYGKTMRVSRRDGNDADKEWRHKMVYDYYWADSTRETMIKQSIDHHMAGLEDLTPHQKRIGCAPYNYSAVLDGENIITETMCFLAPEGTFASWNRTRGDAGSKCTDGYENDDGLCSPVAKSEKVTDGGSLENSQNGTATNSSGINEKTYGIRLVFLLFVILTMF >CRE30411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:189419:190295:1 gene:WBGene00068365 transcript:CRE30411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30411 MKMFLVLFGILATFVHYSTSTKMMVKSIANKEEYLKSINDLRRKYAKDSRIPNMHKLVWDDYLETKAQFEDWTEDKKTNRGSRRDGNAAAEDLRKNQIKYHWSFSKRKETINESTIYHMIGNEDLTPHQKRIGCAPAESEEKLGNGAILKFQTICFLAPEGTFDSWNMEVGDPGSKCTEGYKNDDGLCSPVEKSEKVSTGGSLENSQNETATISSGINEKTYGISLIFLLFVIHTMF >CRE30412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:191151:192000:1 gene:WBGene00068366 transcript:CRE30412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30412 MKPVVVLLGIFLASLFSVSIAKQLNKEEYLKTINEERRVYAKKSRIPNMHKLEWDDFLATRAERGDCDNKSQSCRIALKDGNAEVERHAQDQMRYYFPHARRSELIDAYGKYHMNGHEDMTPGQKKIGCAPVTIKQNENKELPRFGMLEYQTRCILEPEGTGESWNMEVGDPGSKCTEGYKNDDGLCSPVEKSSKKVSSLENSQNETATISSGINEKTYGISLIFLLFVIHTVF >CRE30430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:195481:198615:-1 gene:WBGene00068367 transcript:CRE30430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30430 FPEERIPLWTNPCTDPRHQAILPPSINCTLKKLPDLLILGPQKTGSTALGSFLSLHPDVSQNSPVPGSFEEIQFFGGKNYLKGVEWYMSNFPNESTVIYEKSATYFDNPLAPKQAASLIPHAKLVVILQNPAQRAYSWFQHLLAHKDPIATSFGSLESILLNTTSSPESLKIRQRCISGGRYVHHLDKWLEHFSLQQIQFIDSDELKEQPVKVLATLTKWLDLPDYPYESHIRFSKSKGYFCRFIDEKTKCLGESKGRKYPEMDEELRMKLDKIFSLDNSSLYKFLKKNRLRIPKWLEDVVRVRA >CRE10999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1291428:1293090:1 gene:WBGene00068368 transcript:CRE10999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10999 MSRPLDNILHRPEKFDATTESRAMYASSSVPRTQIIRPRDQMNWPTGNSHAGTITGTTYQGGTGERYAATRPKDTIDRPTDSLDSTTINRSTYQGGTGERYAATRPKDTIDRPTDSLDSTTINRSTYQGGTGERYAAARPKDTIDRPTDSLDSTTINRSTYQGGTGERYAAARPKDTIDRPTDSLDSTTINRSTYQGGTGERYAAARPKDTIDRPTDSLDSTTINRSTYQGGTGERYAAARPKDTIDRPTDSLDSTTINRSTYQGGTGERYAATRPKDTIDRPTDSLDSTTINRSTYQGGTGERYAAARPKDTIDRPTDSLDSTTINRSTYQGGTGERYAATRPKDTIDRPTDSLDSTTINRSTYQGGTGERYAATRPKDTIDRPTDSLDSTTINRSTYQGGVGERSNVVKHDSTIDFGSRKVTDQSVSRLTYTQFPIERMSLSVPVEKWISAGLSFPTEYVTEQRSSFRPKPANSESPAVRIVSSKKRTPNHMFLY >CRE10998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1287119:1291012:1 gene:WBGene00068369 transcript:CRE10998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10998 MISLAKIILRLFLILLPLLSGAHSEFEADVRWSCSEDVVSVFVRTNQPFEGIVQTSASTSDACRVQGFGTNVAVLKLNLKSDECGIKYDVTSRKHSVTVDVHSHPVLIVEGDKSVNITCREMINGTQHFMSQMNTQTTPDYELRILSSRLPVDTVKYSQPYTLQIRPFPNPSQNAYSFFVGQCTAQPVGGNVTVQLTDPVGCALFKSIMGHFARRESVEEAEIPSMFRFPNAKQLQISCIVTDCDGKCEARTCDSDASASSLLERTTASTESEEFQRVTVIVNLEEEKLEMVKVEEPLISEAVHDQEVIVHHVARDAETPPPNLIVQKNRDDVVQSECISEHEFNLLYYLCIFLAVCSILGFTMNIVLALMLKRRSDKSAKRKPLPVEQLQIPKSTVTPPDFWIIENAKETEEPEPNYYAARRDSFSSYASKPNRRTIPVASEYGVPTSRNSDASSSEDRSSNEIYRRPNQIAMARPEARHSNSTFMTHSTTMETDIDSQASNQATSYH >CRE10996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1270412:1273852:1 gene:WBGene00068372 transcript:CRE10996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kvs-5 MALLIAPTVAAQIASGSTSMAAVSGCERENSVDNQPDRASIDDRSSNKMYDEDVESRMIPLERPSHKGSIRDNTPDSILRLNIGGSSYRIRTRSIIKFGPKTLLGRFCRMNHEHRRQWADWYFEDQDEYFFERVPRYFDPIYDFYATGKLHVPKDLCFDKFMAELRFWAVSKSRMDECCSPFAQYCVTMGGDPKYTEKDHFIGVRCANIRRRLWLILEGHSQSKWWKAFEVISTAFVVLSISALILGSIPEFQVPQKSEDGQLVYATATYPTYPNGGGMTIESRTVVREGSDNSVEMTEHPVFTWVENVCVIYFSIEYAMRFIVAPRKLAFARQILNVIDLLSIAPFYFELLLWICGISGENVRKVRWAFLTVRLLRVLRVIRIAKLGRFSPGLANFALTIRKSKKQMQMVGIVMMTVVIFFSTLIYFLERDEPGTKFTSIPATFWWCVVTMATVGYGDLVPVTVAGKLVGSGAIVCGVMVLALPITIMVNNFMQVVKLREEQIVKKYAQQHGDQV >CRE10995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1259686:1260994:1 gene:WBGene00068373 transcript:CRE10995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10995 MLSSTGRNFTPLDGSNGTSSRRNPFVQVTSTDSCTLPDRPSLNTPPISSRVRGEKLVNYMERSPPSSSVAIIPG >CRE13210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1660:2433:4001:1 gene:WBGene00068374 transcript:CRE13210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13210 MEFITSDIDQSYNYVIRNGFHLNENFYNCTGVDGFQLGVPRPWIGSYFLIVGVFLMIIYLPCLLVICRSDLMKSSCYKIMVWLGVLDICCIFVNSIATGFLGIQGATLCSYPIFIFSMGALGCGCWMGSCATCILLGLNRCCDVNQNIKIRAIFIGKKIYVTILVPMIYTFYAIFFTKTASFNSNYMSWFFNPLLPNGREVRTFIELVHRQTHFFQATDYVNIQHAINNCVVSGATTLIYTYLCVILFAKSRYIKSESMTKTQRQVTFSQLKFKGVQRIQVFFQSVMICFFNAIAAYIYVYMQYFTFPPFMILIGQIAWQWSHGSVCLIYITMNRTVRRGVIDLFVPVSFRNKHKIGTYRKEVHRLSIGGTEGKSTIPTQGTSSGTAVF >CRE10994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1248390:1249287:1 gene:WBGene00068375 transcript:CRE10994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10994 MSPDQKHRYKLYYANSRGRAEPIRLVFHFLGVDFEDYRMEMGDFNDAMKAKAPMRQVPFIEIDGGKTTLCQTVSICRYLAKSVQPDKWFGGATKTDSAKVDMMADGFADIYQLAMFGKYGPEDIRVKSAHLAETHCFLFQDSLQHMYKEMIGPKLKIMEDILKKSKGDYFVGKSIHWCDVYILGILQALDEYDDGVLDDLPELRAFYLRMRHLPELKEYIDANWPATKYKE >CRE10993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1245789:1247248:1 gene:WBGene00068376 transcript:CRE10993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-23 description:CRE-SRT-23 protein [Source:UniProtKB/TrEMBL;Acc:E3M5W5] MELLTSDLDQSLNYVFRNGFQLNQHFYSCEGVDGFASGQPRQYLGTYFMTVGFILLIIYIPCLIVIARSDLMKSSCYKIMVCLGILDICCILINSVATGFLGFVGATFCSYPFFIFFMGSLGCGCWMGSCASCILLGINRCCDVNQHLRIRTIFIGRKMYLTISIPILYTIYSTFFTKPILFNSNYMSWFFNPMLPGNEASDYINIAHTINNCVVSMATTSIYAYLCILLFAKSKHFRSESISKTQTQIFFQSVLICSFNAIAAYIYVYMQFFYSPPEVVLIGQLAWQCAHGSVCLIYITMNKTVRRGVIDLVVPRVIRDQAKIGTNRTTISRPILSVVGVDAKLGTTRTGTSSGTVF >CRE10992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1244167:1245341:1 gene:WBGene00068377 transcript:CRE10992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-103 description:CRE-COL-103 protein [Source:UniProtKB/TrEMBL;Acc:E3M5W4] MTASTTFAASAAILSGITIVGCLFFAAKVFNEVNSLYDDIMVDMDAFKVKSNIAWDAINDVVAPKGGNPFMREKRGYAQYGGGHGGGGYGGGGGGGGYGGGHGGGGGGGYGGGGGGGGGCQCSPSSNTCPPGPRGPPGQAGLDGLPGAPGQPGANGGAGSNGQSEGGHGGCKTCPAGPPGPPGPAGQAGLPGNDGEPGAPSFGGGAGAPGAPGAPGDAGSPGQPGGPGQPGRPGKNAQGGASRPGPPGPAGPPGPPGNNGAPGGGYGVGPPGPPGPSGRPGGPGQPGPDGQPGAPGNDGTPGTDAAYCPCPGRGGGGHGGYGAGAAGGAVGGGHVQGYSRRKVARKVLAKKRVAVHRRIAANRQA >CRE10991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1241359:1243434:1 gene:WBGene00068378 transcript:CRE10991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10991 MMLPNIIQLSLLLLTIAFLVKAQLFSPCNGKSALGGVCDVNGDCDNKGSICLRGQCRCHPHYTEARDEKGRLPKCAPLPSKVGAKCSNKCREPLFCRNGECQCVQRGTTRISNGECITTSRVGDRCSRHYDCTSPFSACLNSQCVCISGTIQQGSRCVAAANCPLGGLPGQSCVRRSDPSLAFNIPPNQDNCPSGQICVTAADSQVGHCCPVVCPLASHVDVKYSCDPDAVPALKCPSDSHFCHFLSGKLLLQIVKHKFLSDIPDGSFSQAVCCRRPCNSMAPNALYANNQCIPRGQLNSACTSNAQCGGGEGMECMKGQCQCQQNFHPAVDVLTNPLKNPSQSCSRDCENESLSKDTSCMKAVPLNSLCFIQKQCPQNSGCYRGRCMCRCGFAPKNGKCVEIPAPSTTTSAPAPAIIPGVNINPGDELFKLFGQFLGGGNGAGGLKFG >CRE11150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1235559:1238093:-1 gene:WBGene00068379 transcript:CRE11150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11150 MDQIKQKPSKRLDLQGIRALAIIVVLGFHFYPEYCPNGYLGVDQFFVLSGFLMCMLLKRAENQSPCSLVSLFYSKRFKRILPLYLLVIFISMICLYYFFPNTAIETNQESAIHALMFVSNRPKNDQEDYFEQLSLAVDIFTHTWSLSVEIQFYFLVPFIFMTASALPGKFQYFCYLLMGFLSIVFFYISPSTEAFNSVLARIWQFMIGMVVYLLSTSKTEVQYQALNNEEEEIECKKLLGDEESQEMECCPNEQFSKLFKMSKKFSYLFLMILMFVTAFPKVSPPELVRPLVTFGTGLLMLISDGNLILSNQFLTYIGDISYSLYLIHWPIYAYWKLTCDGDRYLLLCALLSSVILAIITFETFEKWYLKLSSTSIGLIVVMLFFMNAVVINKDEITDHIDSIGQNTSNLDNVTDDMTLDDAARLNHRWSIYDRKFLRVPSCIYETKSHLGWCRHTGLSPSGKYKIAIIGNSWAANHARMFYQECGYKAKSIMQGAAYGCEPLYPSGNTELCRGNFTHFEERIRKEKPDIAFIFTRFMSIGAPFPKNVNSFDKDPTYQIMKEQMLKLISNIKYKLYILDAIPRINRKIIEEIVPFFRNHTDLEKIDNLLIKPDNFEMARKRHAQLIKDCNGKCVLVDYLPEFFNNATGTFRYFDDRGFSYWTDPLHLSPHGIEHIRHVWTEICTNL >CRE10990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1232913:1235499:1 gene:WBGene00068380 transcript:CRE10990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10990 MDQHKKKQSKRLDLQGIRALAIIVVLGFHFYPEYCPNGYLGVDQFFVLSGFLMCMLLKRAEKDSSCSLVTLFYSKRFKRILPLYLLIIFVSMICLYKYFPDTAIETNQESAKYALLFVSNRPKTDQEDYFQMLSIAVDIFTHTWSLSVEIQFYFLVPFLFLLSIRIPERFQYGYYGGIGLISFAYFFTSPTTVAFNSVFARIWQFLIGMVVYLLTLSKSTSSYQVLQNFEDEKKLLVDEESQEIDCSPERKLEDFIQPAAYLLLTVLIFITMFPTVLPAVIVRPLVTIGTGCLMLISDENLILSNSILTYIGDISYSLYLIHWPIYAYWKLTCEGDKLYLFAALISSVILAIITFETFEKWYLKLSSTNIGVIVVILCFLNITAIKKDDIMDRIYLMGKNVTSLDDVTNEMTVDDAIRLNTRWSVNDMKNLYAPSCTYEVAKSPLGWCRHTGLSESGKYKLAIIGNSWTANHAKLFYDECGYKAKSIMQGSARGCEAFYRSLNRESCRQNYTHFAERMENEKPDYAFVISRYVSIGAPFPKNVTTFDKDPIYQTMKEQMLRFVSTIKYKMYILDAFPSIDRWKVPQIGPMIKNGTDPVAIDNVLVPPQNTYFMARKRYAQLIKDCGKKCVLIDYVPEFYQEDTKTFRVFDKKGFSYFTTPSHLTPHGLEKIRHIWTDICRKL >CRE10989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1226993:1229544:1 gene:WBGene00068381 transcript:CRE10989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10989 MDLPKPKPSKRLDLQGIRALAIIVVLGFHFYPEYCPNGYLGVDQFFVLSGFLMCMLLKRAENESTCSLVTLFYSKRFKRILPLYLIIIFIAMICLYNYFPDTAIELNQESAVHALLFVSNRPTTDQEDYFQMLSIAVDIFTHTWSLSVEIHFYFLVPFIFLFASDLPGQYQYGYYGIIGMVVYLLTIPKSADSYEALQKQEEVRELLMTNENQEVDDSPSESRSARFTQPAAYFFLIVLTFVNTYPVALPALIVRYKKFKLEHVPLVTIGTGCLMLISDENLILSNKVLTYIGDISYSLYLIHWPIYAYWKLSCNGDQIYLFIALTSSILLAIITYETFEKWYLKLSSTSIGLMVVILFFLNITAIKKQDIMDRIYLMRNNVTSLDEVTYDMTIEDAIRLNTRWSVNDMKNLYAPSCVYEKEESPFGWCRHKVRASQNLSTVNLRSQGLSKSGKYKLAIIGNSWTANHAKLFYQECGYKAKSIMQGSASGEFRGTTPRKTLLILGCEPFFPSMDKERCRRNFTDYAEHIEKEKPDFAFVISRYLSIGGPLPENVTSFDEDPTYQTMKAQMMKFISNIKYKMYILDAFPAIDRWKIPRIGFMMRNGTDPVAIDNMLVRRTSDYFMSRKRYAQLLKDCGEKCIMIDYVPEFYKRSTNTFRTFDKKGFSYFTTEMHLTPHGIEKIRHIWKDICGKL >CRE10988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1222756:1225776:1 gene:WBGene00068382 transcript:CRE10988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10988 MDLSKPKPVKRLDLQGIRAFAIIVVLGFHFYPEYCPNGYLGVDQFFVLSGFLMCMLLKRAENQPACSLVTIFYSKRFKRILPLYLLVILVSMIFLYNYFPETAIESNQSSAEHALLFVSNRPKTDQEDYFLMLNTGIDIFTHTWSLSVEIQFYFLVPFIFLLASKLPRKIQYGYYILMGLFSILFSFTSSEIVSFNSVFARIWQFLIGMLVYLLGVSITQHSGKAEYQVLKEEEGKKDIEDLKLLLEDANDELEDDEEEVENVISNESAGVCLRFSGIFSYFLLCSLLVITAFPFAIPADVVRPAVTIGTGLLMLTSEDNWILCNNILAYIGDISFSLYLIHWPIYAYWKLTCEGNEYLLITALLTSIALAIITFETFEKWYLKLSSTSVGILVVVLFFVNIVTIHKDDIYDHIRTIGRNYSNLDDVTENMTVGRSTSEFKVFQFAVSDDAIYLNHRWGVNDNKNLYAPSCDYESIKTPFGWCRHTGLSKRGKYRIMTFGNSWTANHAKLFYQECGYKAKSILQGTAAACEPLYIRSITYKCWGNFTTFVTRIAEEKPDIAFHITRHIAIGDGFPENVTTFDKDPIYQMMKTQMLKFIANIKYKLYIVHAIPSVSPFKLGKVAEGLKNGTSRVELEKMILSPHGFELARRRYEQLMKDCNGKCEMIDYLPEFYNNYTKTFRYFDDKGFLYWNGYQHFTPHGIEKIRHIWTDICSKL >CRE10987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1217273:1221000:1 gene:WBGene00068383 transcript:CRE10987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osm-3 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3M5V8] MAESVRVAVRCRPFNQREKDLNTKLCVGITPNIGQVNLIADDGTPKDFTFDGSYFMDSTGEQIYNDIVFPLVENVIEGYNGTVFAYGQTGSGKTFSMQGVESIPAQRGVIPRAFDHIFTATATTENVKFLVHCSYLEIYNEEVRDLLGADNKQKLEIKEQPDRGVYVAGLSMHVCHDVPACKELMTRGFNNRHVGATLMNKDSSRSHSIFTVYVEGMTESGSIRMGKLNLVDLAGSERQSKTGATGDRLKEATKINLSLSALGNVISALVDGKSKHIPYRDSKLTRLLQDSLGGNTKTIMIACVSPSSDNYDETLSTLRYANRAKNIKNKPTINEDPKDALLREYQEEIARLKAMVQPGAAGPAVPDASLIEEERKKLREEFEQAMTDLRGEYEREQTSKAELQKDLEELKAEYERANANLDNLNPEEAAKKIQQLQDQFIGGEEAGNTQLKQKRMKQLKEAETKTQKLAAALNVHKDDPLLQVYSTTQEKLDAVTSQLEKEVKKSKGYEREIEDLHGEFELDRLDYLDTIRKQDQQLKLLMQIMDKIQPIIKKDTNYSNIDRIKKEAIWNEDESRWILPEMSMNRMILPLANNVKLFSGYMSEPVKQEQTLLRSNFDDKLRERLAKSDSENLANSYFKPVKQINVINKYKSDQKLSTAKSLFPTKTPTFDGLASGVIYTDALFERAQSAKRPARLASLNPK >CRE10986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1215790:1216655:1 gene:WBGene00068384 transcript:CRE10986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10986 MFFFFFVVVAVLLFGVLCLTAFMAFQVRHSNHSAKIKPKREKASLCAVLGSGGHTTEMLDLIKHFGDEFDERTYIIADTDTISEEKVRDIMSVLCPIVLLFKAVAHEKSRNNEKFCIERIPRSREVGQSYITSIASTFHATVFAVKLIYRIRPDLVLLNGPGTCIPVALAAAFFDIIRLHDTVIIYEESICRVRKLSLSGAILYYLGMVDCLIVQWPGLKKLYPRATYIHDLEFKPTSASESNSPITSDDKKSI >CRE11149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1213842:1214929:-1 gene:WBGene00068385 transcript:CRE11149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11149 MLVSRQILLNPSRLLVNEVAFQSTKSTPFVENSKLVKSREVKTPPKYPSFAKRRRGQKMSQQFEYLLILDFEATCQENSKGPILPVQEIIEFPVVQLSTSDWKEIRRFHQYVRPTESPKLTSFCTSLTGIIQEMVDEKPTLSGVLEEFDKWLKEDSRLENNNFAFVTCGDWDLKVALPNEAKFKNIPIPDYFHQWINVKKAYAEHTNDFARGMMQLLKIYKLQHQGRHHSGIDDVANICEVVRCLGKDGHNYRITSNDQPSTTSSNRRRFREAVAPKMNK >CRE10985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1211334:1213148:1 gene:WBGene00068386 transcript:CRE10985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10985 MEKDDRTVEFSDELLYFHEFDDIRNLSMGLLRPSFEEPTARLMPGPVTSEEEEEFSSSERRRYKNYTVMQKAGFQHTEYAQIMVHLCRAEILISLIFLAHGTTCPGYPNEAEYQSTCHMNTVSAIVSLLTGAMGLGAVHRYRWRTMLILWLVFCIMSAVGSLLAVITTGIWLDHYSKMKVRTGLGNGLSGFMLLASVALGVCFILTAVMICHYWNSNTTGYQPVQKIAVSDFFWFQTINFVFQKRARSLRRRLSRAKSCDKKEARPPTSNPEDKGYHIV >CRE11148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1206635:1208645:-1 gene:WBGene00068387 transcript:CRE11148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aat-8 description:CRE-AAT-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M5V4] MVKKEDNKIGLIGATSYIVGSIIGSGIFIAPKGIVEHAGSVGLSLIIWVFCALLNMITAIVSVNFSSRILTFGFLSGCLSICDRKTFQNYIELGTSIPESGADLAYIGYMGWTPIAFSLLWLSLLIQSSSSAAVLYLTFGKYIVQALDPVICFSPADADNASKLFGFGLLLFLTLTNMFSLNKFASRVQIISMCSKIFATLIIIGIGFFFIIFRGATSHYSSSQIMKGSDWKPGAIVLAIYQGNWAFGGFTTLNYGSEEIQIENFRKTLPRACLGGLVISAVIYVLVNISYFAILTPQEIIDSSAVATTFIQRTVGNGAAFAVPAVVGFLLIGTLNGDVFSWSRYMVAGSRRKMMPTCFSLIHVDNDSPRVSVFFHTFTSIIFAFLGDTDQLVDYLNVVGMLTTIFALAVLVIIKWRKMPVAADPVKYSIFWPILNIIIMIALLVIPIQQDPISSIIGFSMFLGGVGESGPCEKKSRMISVVYFVVKFIVNHTEFLGVIDRKLTHLCQILTWTVVDLGPEEKTHL >CRE10982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1191362:1196999:1 gene:WBGene00068388 transcript:CRE10982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zag-1 description:CRE-ZAG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M5V0] MVDIAEALPSTPTSLSIPSDEALRKFKCPECTKAFKFKHHLKEHIRIHSGEKPFECQQCHKRFSHSGSYSSHMSSKKCVQSASPSMVTPFNPYQLMMYRNIMLQLQTPQVSFLPNTNNMDNAYMSLLQANLLQNLDNGSSPTATSPPSSVQEPSSPEPKIEVVDEPEVSVKIEEESVKSEDTQNSVPEESVTPAVSMSLSPTPEQNGNDLMMNNGGSGSDGKASPDWRPLRSRSFLNDSQVAILQNHFKRNPFPSKYELSAVAEQIGVNKRVVQVWFQNTRAKERRSNRLPSMPRGSSAVAPTSPTVWQTPAQLMAVWAQQCLQNGNNSLTSNSQDESSTVRNENTVTDEVMDHDGVTTKEGKETPLDLTLSTEDTEPEWSPEKLIGFLDQTGGVIQELLRQAGNGFVTNQEEEEEKPVKAEESPVSSTSSSIWPSFIQSYPSILDSASLSALEKALDNQKSSEDDASSLCSNESKLLKFPTTPIKEEEGLFSCDQCDKVFGKQSSLARHKYEHSGQRPYKCDICEKAFKHKHHLTEHKRLHSGEKPFQCDKCLKRFSHSGSYSQHMNHRYSYCKPYREQPGATSPSDPSDALNGSLTVSPSSSNTPPPN >CRE03561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1450:4066:4876:1 gene:WBGene00068389 transcript:CRE03561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03561 MSTDQEESAVCIINSTLPKYQPNVFLCNPGSSCCTRRGIIACCQNDVSFSQVLKQSLPFLILWLIIFGLAYLVHLFFEDDETGKPINKEKPLDGKLELLLPIENDYTVEDPVFGKLYEDQVPKMATIPRKRR >CRE11146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1170299:1189770:-1 gene:WBGene00068391 transcript:CRE11146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11146 MMMMMMKNYMKFREFNVVSVGLENSVDSSFPNVEMFVKWSEWSCCSACCCPRAVCKQFETSGDKCKSVKSYQNRRGEFMIRRKGATGNDYLEALFNKHHVVKLSMALKISEFQQNLENSRISLNRKLKVPSETLAVFQTKDCKDHIQKMDCWELAKCLYNSTDMLPEKEVAKIEERDVCENASIFVLRDAYNILSLMPEKLYRLQIDRKIGAGNIVKVNFTLDGEGVEKLGHIKDCAHQPKRVKRKRRKRETMSFRIGRRYFSKQSYDAIIIGGGHNGLTAAAYLAKAGKKVCVLERRHVLGGAAVTEEIVPGFRFSRASYLLSLLRPVVMQELNLKKFGLRYHIRNPNSFTPIRDTHGSLTLGMDMAENQREIAKFSKADAENYPKYEHFISEITHSFEQLMDYEPLDLQKPIHKLLPHLYLLFKTVQPLGLKNAVDFYELMTAPISKIMNKWFESDVLKATLGTDGVIGLAASPMDPGTGYVLLHHVIGGLDEHKGAWGYVFGGMGAVSNAIAECAKTHGAEIYTEQEVQEVLLDGNVAKGVRLTNGKEIHSKIVMSNATPHVTFNNLVKKESLPADFYRSISQIDYTSPVTKINVAVKELPNFLAKPNHGTEPMPHHQTTIHMNCENMQVVHDAVMDYKNGRYSRRPVIEMTIPSSVDRTIVDSSDGHVVLLFTQYTPFSPKDHEWTEEKKTEYAKHVFSEIDAYAPNFSSSVIGYDILTPPDIQNTFGITGGNIFHGSMSLDQLYLSRPVSKWANYSTPIESLYLCGSGAHPGGGVTGAPGRLSALHALKHI >CRE11145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1167146:1169154:-1 gene:WBGene00068392 transcript:CRE11145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11145 MANIVQTQKLNLHDVAIVQALVYTSESNCAELRRTFQLDLATGYNEVKIQNLPFDLVQDSIRVSGAGEAVIHDVSVKNQEGADFVIPERVLAIKAIFEEKERAKDKINDSRTAVQKRIEGLDNLITEIAKHGKDGSFHFDGRTIESLNALHDYHQEKTVTLRAQIRTLDQEFRRAEEEFHRASQDYDNTGYRWRNSAQYASIVVESEGGSVELTITYQVNNVAWFPFYDIRVTSGEAAEMHITYFGKVRQFSGEDWKNVPIVLSTATPAHGVKTLPKLGALEASIVVPEPECNQRGGYGGGGYGRNMVMACAAPQVERRAKSMRMTSAAVKSSNIASEFSIGRPATIDDRTEEYKVNIGQFTLPTKLSNVTVPSRNAAAFLVANSVNSSDYPLVAGQASIFLDGAFVNKGEFEDAVVSQKFEVSLGVDPNIRVEYKPVRNYQEQSGTVEKINSQVTEKTTSVTNLRPNTVLLTIREQLPRSTDSRIKVSFRVRLESPEAKEVAESSTEPVVGAEITPEKILDYTVELAPGQSGTFTVRYVTEHPQAEQVSYEEKF >CRE10981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1163494:1164037:1 gene:WBGene00068393 transcript:CRE10981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10981 MSDDAKKKQMMQNFLNRKRKLDLKVEEEKEDDQKPSTSSEKKEVEEIEKKKKEEEKYDKLPSQVHSETQKNILKNSPRGVHDEPRWSVNSMQLPCSPLEQEGFAKLSVKFFYFQAKKALLFEAKRAKERAEQVGPQGYLKPKSLGTNKEFLRRTIESTMPRRKKNDE >CRE10980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1156435:1162791:1 gene:WBGene00068394 transcript:CRE10980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10980 MRDTKEWRGTTSTSRVFVQKGYQLSDELALRKVQVLERRYGGTHLAHRAATIIQRAWRDYCLMKQWMVIRHKHDTIPDHLDARCGVSNSQRNELSLTSRYVTSLSAQLRIDRAARSNTVDRSPPSPAPPVLANLQNSNMIDSLMSPRLGNRRFASSTARCAPAAAELASTEVWLPRPSLVNHNPAHSNSLPRLDKHRVHERSESSNGRKKSEVKPARQMTDQERKRQYRIALNFFNKKPERGVQLLTAWGFVKSSPDSLASLLFGRRGLSKSMVGEYIGTLHSPYHSLVLKYFTGMIDIRGLEVDVALRKAMQFFILPKEAEKIDRIIQAFALHYSKSNPKRTSNFRGGWDTIHLLSFAIIMLNTDLHSPNVKQRMTQADFVKNLRGQDKISGEKNGEDIDRKTLEGIYDRIKKDELKAGDDHVAQVQRVDRAIVGKDKPRLTETPRRLVCYCRLQQVSDPTKRQSSTARERDVFLFNDMIVVAKGVRRGSTSMAGCTYTLKQWTVLLGAHVTEFQRGQYEFGLTITCPNKDKIHFNARNYEDRCHFVADVTESIREATEMEQVRLEMEMEHHTTIRSDNQRDSGLPDMDESMKLSNGSNSSAGSSNSSTNGVNGSSFRRLSFNSLDSGVVEEHLDAC >CRE10978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1140141:1144359:1 gene:WBGene00068395 transcript:CRE10978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cal-3 description:CRE-CAL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M5U3] MSNIFVSDRKIELSAKCFRVASTKGHKSQHPTVPETIKFNITHGFPKIPKSFWLSFEATMSRNTRVIMSVMRTRTLQEVFEESKLVISQLTEEEIYEFKEAFQLFDKDGNGTISIKELGVAMRALGQNPTEQQMMEIIHDVDLDGNGQVEFPEFCVMMKRIMKETDSEMIREAFKIFDRDGNGVITANEFKLFMINMGMCFDECEVEEMMNEVDCDGNGEIDYEEFVKIFS >CRE11140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1125837:1128678:-1 gene:WBGene00068398 transcript:CRE11140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11140 MNQPKPSKRLDLQGIRACAIIVVLGFHFFPNYCPNGYLGVDQFFVLSGFLMCMLLKRAESLPAHSLITTFYTRRFKRILPLYLLVLFVSLIFLYLVFPSSAIETNQESAKYALLFVSNRAKSDQENYFQMLSVAIDIFTHTWSLAVEIQFYFLVPFIFLLATKIPIKYHYGYYGVLGMMVYLYGYSISQNSSKNRKYCFLMCEEGGVKDNANVQFILENRGENDEIHGEEEEGNVRKQNKKGVVSSYILLGSLFLITALPWTLSSKIVRPIVTIGTGLLILCSEGNLVLSSKGLTYIGDISYSLYLIHWPIYAYWKLTSGGTLLLFVALLSSIGLAIVTFEFFEKWYLKLSSKNVGALVMILFFANVIAIYKDDISDTIQYMGRDYSNVNHVTENMTVGKPYFLIDKNLLRIFLDDAIHLNYLWSKNDLNNLYAPSCIYESVNTPYGWCRHSGLSKNGKYKIVTFGNSWTANHAKIFYEECGYKAKSILQGAAYGREPGSRLSIKHVEISACEPLYISGNYASDVCKSNFTTFETRIEQEKPDYAFHFTRHVEIGAPFPDNVTTFDKDPVYQIMKGQMLKFIANIKFKLFIMHAIPTIVVDSIGKVARMVKNGIDLINIDNFLVHPDQYEMARKRYEQLMKDCNGKCEMVDYLPEFYNNSTKTFRYFDEKGFLYFTTNRHLSPHGLEKIRHVWTDICSKL >CRE10977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1123591:1125708:1 gene:WBGene00068399 transcript:CRE10977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-45 description:CRE-UGT-45 protein [Source:UniProtKB/TrEMBL;Acc:E3M5T8] MRAPLLLLLLFATSSLCFKILVSVPKFGYSHMKTMGKLADILVESGHDVTFLMPVDVPIPQNGTELAKVVLVPPTDEVSQIMETSMKSGAVANLWTHSANSKQGIMWSTDMIGAVSYHNTKSLINNKALVQQMKDEKFDIGITELFDFSGLAFFEVIGLKNVIGAHTTSVFEGTLMATGAPILPSFVPGSQTFTDDSGSILSRLNNLYMTYWSYEFQNKIQGFAQKALDEHYGKAKSPKIWVGVFVLILTKLSSNLFQNLVKDITWFFVNSDPIFDFPKPLPPNIIEIAGISVSKIHPLDKEWDEILNKRSKNVLVCFGSIASPTTMPESVKKSIVDTFAAFPDVTFIWKYEDTESGLTAHLNNVHIVKWMPQNDLLADKRVSLFWTHGGMASLMESAQKGVPLIVVPIFGDQMRNAQIAKRHGGAVIYDKMELGNSKKLIGVLKEVLENPEYKKSADLLAQILATDRVSPKQKIIDTIELAGRFGQMPRWTSAGKEFSMLKYFNLDLVLLFIFIVFSVLLSVVLMIRSVLVKLGFSGNKEKSE >CRE11139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1116416:1119058:-1 gene:WBGene00068400 transcript:CRE11139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-20 MTVSYNSDVSSVSSTNFLRLLLRWKGSIWKSVSSELLIWCSFYLSIAVVYHYFLFPNGYYDAFNDVAYYCGKELHNYIPLTFMLAFFVSIIVERWRTVFVNMGWIESVALTLNTVIISRCEEARLIRRNIVRYLVLAQILTFRDISIRVRRRFPNIDTIKKAGFMTVAEEKMLEDLELSYNKYWVPVNWCVTLTNQAGAKGYTSSPPGLVHLIQEIKNFRNGLATLCNYDWCPIPIAYPQVVFFAVRVYFIFCLISRQYIRVPNKDFESVQLFIRPFITIIEFICIVGWMKVAEALLNPLGEDDDDFESNFLIDKNIFTGMKIVDCFDEVPPLVEDTFSDPGAVPIYSEDSQRNYQNGALVGSVSNVTLAQFDENITMVPVAPRLSVGDIHSHDRPSIRRRYRSGNASQTVSRASSFREPRLQRQSSEGERNDAYEMDHEPYLEEPSSPTKHAKFFTNLTKVDENDDEDLPATAKTSVVSRV >CRE10975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1109304:1113834:1 gene:WBGene00068401 transcript:CRE10975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10975 MPPQSLTLLNYILPLLLLSQIPISTAKRLPQIYWNSTNPLVERYAAIGDTLDIICPFFDKDSDDRTEQSIIYRVTEEEYENCQRKSSAKELGRCTQPFQEEKLKVAFRLMSPNPSGLDYRPGVTYYFISTSTGSRKGLYNDQGGLCASHNLKMVIHITDRNGDIGIQHHRHHHHKKTTTTSTTTTLSPETTDEETDSEKLWEQFYEKVMPIDNQWPEVTRGERVTLYQGNKKDEYEQVPAEVVDFEIHEIGDVESLYSSSEQLQYLLLLPAILLLRLL >CRE10974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1091503:1096849:1 gene:WBGene00068402 transcript:CRE10974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10974 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3M5T4] MPKASAEIKKSLRDQLPVVAKDLAIHGIFVNVDAIRQGIKDGKLQLKTVVAELLNLNEENVDALENGSLEGISKELEGLVSKVSKLCNGKDEPCGQFNILSIGISDIQSVDPTSVNGFEEVAKNDSSLHLLATVKKNSPGQLMKTVDDFKKIIGNPTKHNTIQVAFYLQSYTTDLIEGSKVLSVKFSQDQIKKLDLANLKKDFGGIVKAKPLFTLKGNNFGVDLMNKKLTSLENVISQAQSILSVEFSKRLEEKAELLKKNCIESKIPFDKRTATHGFYNGVEDLKSVPTIVKSSFIKKSVNGPGVKRLENLVTFASELEKKVDAILKAKNSDQATKLIASVYELIEELKSATAEVNSLKNVAQLRQCVQVIDELLKTVDIVAWEQESNNINSIGSDMNTYIAALSNIQSNVSEITTFQLGLKNIKVEEQIAFVSNYGRKQELLNELDGLKNQLSTINVPSVYEWAENSKVDVLVESLREWITTGQSRNLSECLQNNETLAIAKNYIANRTSLSTFMSLNPDNQNIVAANNLEKLMVEFSTEWKKLYSNPTTIQIIGDAQSLPNPFKTSHDLNAAVKMVIDLAAASGMQSDLESFEKFEKEILASFDKLPSAKKAESVALWNAEINLVKTLLNFIKQLSTKIFEMPKHLADYSSVFAEMGDFTGMSTQHVEELVEVCEESGSPYPTPKARANLKTLELDFANGNTVMKNGLAAFQLTLPLFADPVANEATSAPQQNKTSTEPPQEDSKEGLGLWPIAGISLGCVVVAGGVGGAGFYFYKKKKKTDKIDEKLVFIQDPSGDTKILYVSDKSLVTKMAIDPLYEEPFGRTVKDLKKEKAPAFKIVDKKEQLKNKHVSKRYKSVREICEKQVALQETYDPPKPEKVVHDAHVFPGISPADISLFQPIQENSEQVTQASTSNNHVSLQMESDMSTARSEKAPKPVDPAADLSEKIRKEINALGKVPSEEKTQTLEEASRLQGSGDKKNKKKKGMKKSREKTSTSVEKKKRKRLTPLQVAQLSYDQILKRGKAFERSSEEFDESEEQNRMRVEVENPNSNLFSDDVRKNDEVDVNTMISEMLGEGFISYVPKEVDRTVQCNHSNYHLRQSKFEKIISLAMDECHKDPAVLRLQRVEFLVVTDIHGRYHDFCHHLTSAFMDKHVTFVFCGDYLDRFDRSLDVLLFICLLKIAHPKRFYFLRGNHETPTVNMGYGFLDECRDAFGFHGGTKFWKHSNDLFKTLPVCGILKKKVFVVHGGICEQMGKENPEVFFSKVQKIPSTEEEALINLHFEWADPIVVFDSDSKNPVKFQVGKARGMPDVYNFTAAGVEYVSENCDFDVTIRGHQVLTEGFEFFAGNRVLTIYSSTNNMGRNKSAHVRIDKDCNIRIVRFHNETDPPGPVRDDKTERDPKPPKN >CRE11135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1063485:1064412:-1 gene:WBGene00068403 transcript:CRE11135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11135 MSDQMIIVVTPTYKRLTRIADMIRMANTLSHVKNLHWIVIEDGKKKIPAVENILKRTNLPYTYLPYKTIEGYPKRGWYQRTMALKFIRSNTSQILGKEHEEGVVYFGDDDNSYDIRLFTEYIRNVKTLGIWAVGLVGGGYVEAPKVVNGTVAEFNVGYLPSRTFAVDMAGFAVNLRVVMNSTAVFGLHCKERYTPETCLLEDMGLERKDIEPFGWEGEKDREILVWHTKTSTPNIPKAEKNATKPAPPPDTYGYFVEV >CRE11134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1061715:1062757:-1 gene:WBGene00068404 transcript:CRE11134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11134 MNVLILLVFLIQICLAQYGMYGGYGGYGGGYGGYGGYGSYGMPYGMGGPFMGNGMYGLPDAPPDFPIPLPPNPSLPNPTKPPTTESQRDCRGLPSMRSRSLCRYGNPMMNGMGGMYGAGGCMDMNPQCSVWASTGEFLMGGGNNLRDGFPGQCALNAIVMRQTCALSCGTCEFFNRLEICGTKLVLGASGLGGLGGIGNLGTSSIYSPYGGLGGLGGLGTGGLDQYPLSQFIGRSIYETGLLNRQPSPHSSKNIGIITKAKSEQLPSRR >CRE10968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1052527:1054342:1 gene:WBGene00068405 transcript:CRE10968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10968 MVYTRRKTSVKSHENAAATSTKVLKSGRGKANKAVKSGVKTVRDTEREKEKETLTLKTGPPEVVDEMGPVPAADFVEDDMEEIAAPYSSSNRLVDSPVYEDLENVRHEELLSANVKEEHTSTPKNAKLIPPPRFIKKEHLLTSSFRTVNEQSTSHSSSFANGLREYNVAGTPSQFCPTPSYSQRVTPFNSELGVRERQSHFSTQNKTWNPVGFSANNLYDMTTGIPLPRLECEVCYSLFVQSIIHISGEPEEVDQNYLDADYYPFLQIAQRFHNVNKDMEMLAMGQAFNVAMLNKMTARLRQAERANETLERESDIIRGVGFDLKKATEVEEVVELPCKKNFKLSSIVTVAEASKYTSSRFYGDSKHLIRFVYKEVILDNGLHMNNIYPFQVAKRDDFFAGYSAQDSSNAGYFPFGDKFYGPIVDFVIAGFRQPRDINTLTTLHCAARESMVNLLDRFRKEYKDKMETTPKEVAEALKDRLLRLSLEEDRSYSEPLDFSESSPPHDNYTCLK >CRE11133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1046298:1047721:-1 gene:WBGene00068406 transcript:CRE11133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11133 MESNAVSPSILKEILESEEFKALLKSVVDSALLNVYKRLDNVESRLEKLENQRKNEEDSQCKQEKGTRQFVDSCFLKYIFSEIPPKTPRMQLEISLAMKLLPNQKTFEAFVLSPVSIILGIHPFFQNANPETRLRIAESFLLERATDEEMTEYFIDLLSVIKATRLRYNMYSHGCDFCEPNPNVYWRFGLHGLDEKVVNDFLSTELKFIEFEPEDKIINSITYSQLFDEIFHTFSQSKRDFYSTKDSPQMRGFIQFTDAQHNFSENDTFQMVEIKMRVHVSLHIFLPKTRFGLEHALNNLGDGEQLYHLISTAKDKYVNIRLPRFTINTETDLGSLVKSIEFDRELYDIVSKNYSEKTPTFVHKAKFEASESGRLVLNTVFQLTFVNNNELGDIDDKDYDGLVDYPYDIIDRFYSGPKLEFLADHPFLFMLVKDTHVVYFGCYQ >CRE10966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1043239:1045842:1 gene:WBGene00068407 transcript:CRE10966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10966 MFFEIYFLRNSSKKGATDEDTSEYFIDLLSVIKASRLCGPYIPDYYFSCALNPYYWRFGIHVLDERTINDFQTRKLQFIEFKSEGKEDMIINSIPYNPLLDDVIHDPIFRERTFNFSEYSARTILFMEWNQHKHKYAENETFQMVEYKMRTFVSFYVFLPKIRFGLQNALKNLGDGQQLYHLINTAKEKYVDVKTLCFETRKKMYFQIRVPRFKIDTEADLGSFINSIGIEKDLYQDVSKTVFRKTVRFVHKAQFEVRILDVQRYEKFEDGSNRDCNGVVDLGFKDTHVVFFEILPKTPRMQLEISLAMKLLPNQKMFEAFVLSPLSIILGIHPFFQNANLETRLRIAESFLLEGATDEEMSEYFIDLLSVIKATRLRYNMYSHGRHFCEPNPNVYWRFGLHGLDEKVVNDFLSTKLKFIEFEPEDKIINSITYSQLFDEIFHTFSQSKRDFYSTKDSPQMRGFIQFTDAQHNFSENDTFQMVEIKMRVHVSLHIFLPKTRFGLEHALNNLGDGEQLYHLISTAKDKYVNIRLPRFAINTETDLGSLVKSIEFDRELYDIVSKNYSEKTPTFVHKAKFEASESGILVLNTVLLLTFVNNNELGDIDDKDYDGLVHYPYDIIDRFYSGPKLEFLADYPFLFMLVKDTHVVYFGCYQ >CRE10964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1038787:1039820:1 gene:WBGene00068408 transcript:CRE10964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10964 MASPSPHRSSILNLFKAGVAPVDIIKRLGVPSGTVYDSISRFKKLGTFLERRGRGRKATVVTPDRIKAVKERIRRSAHRSIRKMAKGMKISRRLLGRIVKNKLKLTCNRVRKAVILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEQLFTVQAEFNPQNHRVLAETSEEAFASGKTIHQASHPASVMVFGAVCADGKSPLLFVDEGVKINKEVYILQILEKIFLPWVQKVLQNKVNAKPYSSIEALKKTLLKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE16265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:328616:329636:1 gene:WBGene00068409 transcript:CRE16265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16265 MDYATAAKEIVKAIQKADAEMKKRIRKMMKQRTLEDVAVLQTMLERVSESSNQQLKIDFEKHRIPERLKKVASLVTNTHYHGFAGTQGDGKQFTLFTCLRNEGFWIEKQRAACGAGAVAGLLLLGGPTVYMGFIIADMQKHLGETETDLKFVVEEIESIYDQTNSLMLANIV >CRE16296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:325411:328228:-1 gene:WBGene00068410 transcript:CRE16296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16296 MLRNILRRKQQETLIYVQKWKKREGFNVSLKLTTVDGGVIDQYNFRVDTTDSQGIYVYTSLRPGTLATTISIAWATNIPPPNLSTTTTFTGTTPTPGPTTTAPKLPADCDEVEDKTSGIQTIYPDGSSPVNVYCDQKSAGAYTVIQSRGTATNITFDIPYANYSDWFGESGIGKNFWMGLDNMNALSKNGKSYSLQIDLCCGTELRAKQIYHNFKVDTKANQYKLTATADLPGIGLDYSSTVKDIGAPFATQLTYNLPKAKVECDQFEFYDDDTDVGPSVGYGGWWYGSCGNNLNGFMYPSTNLDCSVKKFDSTLLLGINMRITNGQANGGYDVELVSYDRVRMALFTFDSLNVDKTDSSFCG >CRE16264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:323759:324608:1 gene:WBGene00068411 transcript:CRE16264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16264 MESDSPVRYAVAGYYLFEFLYQLLTVLFCLMRLLVFISFNNQLNVAIGLMFRFWPIISTIIIASMPHCLYGAVAIQLDAPFQYGAFIFTTTLNFTSKVQSLGKFFFSVVLTISIIVMTFMMLFKLNNLKRLSSISNRNIKAETTLAITMFLILIPTVLNLIISVILLSYFNHAFIKVYILHTILLRISLMDCRMNNVSWYFYWTYPYFKKKTTVTNSLSIKPTILT >CRE16295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:322076:323425:-1 gene:WBGene00068412 transcript:CRE16295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16295 MSLTTEQPRLFYVSIYSNPEFENFSYHFDYVTIIVLASFICYIPTVYATIKMVLFQHPQKSSTDIHPYVYKSFLCMQVSKLMSSILELIVIRIPQTTILTSYYSTLERDSPLRIFTAACFSLHNLSQLFTVLFCLIRLLVFLNPQARFETYRCIFWIWSIPSVAFCTIIYIIHFSKGVACMTFFFPFQYGAILVTSNLYESKINFWTKNCSEKSSKIQIRADRAGPGQNRAGPGRAGGPARNLASLLYIAVEAIFDALTATCVVVFTLLKLVKLKSMKQLSSILSKNTKAEKTLTITMFIILFPTLFDWITAVNSFSAIYFTNKYHNSRL >CRE16291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:313472:314625:-1 gene:WBGene00068413 transcript:CRE16291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16291 MKQSPNAYKLINSIPEYQNFSYHFDYVSIIVLITMICFIPSLISTSKTVLYYHKNSAQNSPNTIHPYVFKSFVYMQVSSIVYTVFDFIINRIPLTSVFTSYFSTMTSESPVKHIVAGYYLFEYLSQLFTVLFCLIRLLVFIDSKNYLKVGTDYLKQNNRQFQASSLIFRIFSVLSIIICIIVCVPHNLYGVVAIQLDIPFQYGAIVFTSTFSFTNRIQSIGRFIFSGFITTSIVLLTLTMLWNLKSLKKLTTISNQSMKAETTLTITMFLILIPSVLNLIIILASFFATNYASYTILIRLILMDCRVNIVSWYFYWTHPYFKKNTVSKTVNAWSLTNC >CRE16290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:305781:309890:-1 gene:WBGene00068414 transcript:CRE16290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16290 MILLIIGSLIFLYLFDLFYWKRRNLPPGPLPLPLIGNLYLMTDAVKPGYKMYEKLKEEYGPVFTFWLASLPMVTVTDWKLIKQHFIKDGGSFVGRPEFPISIEIRKGAFGIIESHGDRWIQQRRFALHILRDFGLGKNLMEEKVLNEVTAMIDSIRKSMDNVDMQNVFDASVGSVINNLLFGYRYDESNMTEFLELKDRMNKHFKMAAEPIGGLVGMYPWLGYFPILKGFKRGTTQEVRDLIVRNVISVVTDNWGGLMEMFRKQAEEKLATIDYDSDEYSDYVEAFLKERKKHEHEEGYGGYEMEQLDSVCFDLWVAGMETTSNTLYWSLLYVLLNPKVLEKVYEELDTKIGTDRIIATTDRPNLNYINATINESQRLANLLPMNLSRTTTADVVIAGYSIPKDTVITPQISSVMYDPEIFPEPYEFRPERFLESDGSLKKVEELVPFSIGKRQCLGEGLARMELFLFFSNLFNKFHIQFHESNPSPTIEKDCGVTMKAKNFRVVMKERY >CRE16263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:302604:304291:1 gene:WBGene00068415 transcript:CRE16263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16263 MDHICCTKCQFWSKRSNNVVKHLRSMHDATEDDIDEFKKKLVSSRALKNHGSGAWECQECKKLCPSERSLNTHIAKEHVRRILDPAEITLASRPSSPIASLSSPKKVQCQKIDECDVIIEKTASAPGKPKEGAGKMKCPMEDCGEMFSTREKVAIHFIETHNSDMELDTAFFETETEFLVIKKTFLVVQTCFNRIGESRESRRAVAVGRLDQPVSSGIGPSALFPRCEHFFFESSVSKATLQENCHCVCGACSYRFTCTCLYQLSGISCKHIHLAIRYESSIEEEQIRDETEPFANLVPHDTTATFDLPDSFHTPSRTDASGKIYLEFNQVLENLEQFLSSYFQNIDQLCQSMRQAQKNPDKLKEMQAVLELVQKANDLIRSNRNVPSLPPRRDASSRPKTAREVMNHTRLQRDPELPDDMDALEQDSSTTTWLRCSRCKLPVHFMCSAGTCPSCDSKFENYEPSESEEFDESDDSFN >CRE16262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:299641:300439:1 gene:WBGene00068416 transcript:CRE16262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16262 MMSSGPLDPTDVTLFSSYPEPIIVPLDFVEKQTKAARDDLGIDESGMYIMSKALEEFMRQIMRESPQNGTFELDYDSLAKYFSNSEFKALEEFFPERVKYGDVMDEMHMNGQSSSS >CRE16289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:284657:296118:-1 gene:WBGene00068417 transcript:CRE16289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-49 description:CRE-LIN-49 protein [Source:UniProtKB/TrEMBL;Acc:E3N2K6] MALSVCIMSERRERQKRKSQCFFFFGDGCLFSSFSCDFRTFLKDEILIFAEFFSFFFSNFSYFQPISFNIITSQKVKTPRREKSTINSSTSRPAHLDLSGMKGGRSGGGGVSFDPTEIDVDDDESVSDRTQHVMGEFEGKVVSMVEMCSTSQEPLEQRQKRWRSTSQEAKIAKFKKYYQPQISKKLLAFMKEPFGIGNNYFKFPAGSRFGFPLGEFPELKYDFYKIIRMNDEKGVDYIADEYDLKWMELMNKDQHYNGNEMYSVAIFEHWMDRLEKMSIWKPKEHLKLKDENGRELDDVCNICLDGDTSNCNQIVYCDRCNLTVHQDCYGIPFIPDGCLECRRCLISPARRVHCVLCPSRKGAFKQVDHNRWVHVLCVIWVDETHFGNTIFMENVQNVEKAIHDRKALSCMLCKDRKHARMGACIQCSEAKCTASFHVTCARNSGLVMRITESDDGTVSRFVWCPKHTPELTEADKVQHQLMLRNARRENEKNLPGMSMPTLTTSIITRIRLEQPFSDFREIIYFWYQKRQSRLGAPLLKAWKQEDPLDSPLKSTPDETRRRRSSVQMRALEDVKTPVTTPSNSKNPEKEQAEKQLNSTKKSMELAIELSKMMTKREEQKRELILTSIRMITLGFKTNDIIISDAIEDLKLIDKENVFAEPVDVFGYEKVIENPICLRDITEKATAKRYDSVTQLTADITLMLQNCATFNKKTPWFIAYGKKYKKESTPILEAAQKEESERAALKNDEKFMTELLNGVMADYNGSQNLNLNPPTSSKKSEDVAESRSASSQNRRRRRQHQSPMTVEDVTNTVQEDVKSEEVMTTTKKSSRKRGIQETLLSDSDDVDPSKPSTSGIIPVEFAVRESRLKARNIEEIVPTRTIFGTLRKRVSLFENSQKNLKKPLESPLTQKQTKLTNFFVTTPKVTFFDRIQRKNIDDSKRSLFPLDASKKSTLFTFTSLPPSTSPAFSTSIGRPNTRSSSLFPSSLTSSGGSRRSAFRMSSSMIQSPLPDPKKVGIRAMNSDDDDEEIVIQPPPQQLSPKELEAERLRSAENEAKSKFAHNQLVIVDGKAAKVIESQLAHLTDIHQEQRQSMLKKRREVLSEIPTTPLIYVEFFQKSSTYENFQWVHPDKVELLDLNNINQRSPKITGLKAARDWHQKVLNGEDV >CRE16288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:234967:250914:-1 gene:WBGene00068418 transcript:CRE16288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16288 description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:E3N2K5] MVATRLNDDEQEVWKQSILRAKDNLPEKASGPLVALLEGTSGHHHWTETNHPRPTFCNYCREKLNGVPWHGYTCDICKVKAHRKCRDNITEPCKWTNQSSIPQHLQFISPENSILPHQWMEGNLPMPAKCSVCEKPCGSVRKLVDYRCIWCGCCVHDACIGNLARSCSLGHSALSVISPLALKGVNSNGTAILREEAYGGEFPGGSPLIVLINAKSGDSQGQRIIKKFRRILNPIQVFDIIATGPDFALTFFSQLESFRVLVCGGDGTVGWVLSAFDRLNLHSKCQLAILPLGTGNDLARVLGWGHAFYDDTLLPQVVRTMERAHTKMLDRWSVLAIEGPQADAVRRYEELVIEKVRTFLDAEQSSDIIHAANQLCTTIRELVHNVSQNYSTCIPTTSGVSTTTPMTSQNSEDKEKSEQEEAEGRQSSDTMTENCNTLLGKLDRLMKSLKEDANYLEESGFEPGDDDECSTGDEMLKRRDSVVNRANSLKKALREVISMAEKGIDQHYRDTNTAACTRRERFRKKRSKTTPSVLRISHSNLSSSSACSPPCSPAEEEETEGKEFRSASCKATFDISHSQSSSSAVLTALFNSQSNSQSARNFDELEKLEKPSIGTVHPPTPGATREPSTAYDDDVENSGEPKENQTSESSRRASEDVPTNENMKGSPDRPRIYSDTTLNKNSDKIKSQSLHPICSSGVDKMKQSHSDSSLYGEYEHMEGSSSGCGVGASGSTLSPSRPSDSATWSRIKERKRTVGSDLGLSSSSHLRSCRNMLSGFAGGSLIAEILLLNARVLSQMSRAVGSIPNTGGNVNQINTSVDCQTPFTQYKEMCVMNNYFGIGLDAKIALEFHNKREESEKTRSRSKLFMWYGILGGKELMHRTYRNLEQRIKLECDGVPIDLPSLQGIVILNIPSYSGGANFWGRNKDGPEFTVQSFDDRILEVVALFGVIHVATSRVPNAVRLQNHRIAQCRHVRIVILGDEPIPVQVDGEPWLQPPGIMQIVHKNRAQMLARNAVFDATLKKWEEQKEKATTAPSTPTALGASGGTAEHIPFLTRAREFLRLIEGEIARLGVSSVLLGSLDDANAIVRGGASGEEDPE >CRE16261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:231390:233125:1 gene:WBGene00068420 transcript:CRE16261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16261 MSIRDRKYRWVRRARLLMAIELFLSIIFDFTMIGSVKRGQMLNCLLVVVITLNILTGARLLLKLRGIKCASSIMAYVLWKIVQEVVMVPALVVFSFICVDRYLRSWDNVNHGVQILVIVWTYAIYSLFLILFLAWLARFLWQLQSTHASNTDKNENATQISFIDGFHSEADHHQLLDTTSSRMNGANSNEALELREIPLRETTTTTTNSNRPFIPYDHVVPYLSP >CRE16287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:220384:227568:-1 gene:WBGene00068421 transcript:CRE16287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16287 MSKSKRKEKKENFSPRKAIDDLEEREEAVASVERVVELLEDHFGFPQRTRAPAGQPKLGPTPHFTFEIHGDEPDNWRYVINSIRQEMDREEAAIREARKQDLIAGKPKRSRFTAWFHKRFGTRSSPFAFDNIPYWTSDEVCAWLSSIGMSEYGSTFRKNDIQGSELMHLERSDIMDIGITKIGHVKRLQSSIMDLRAQNQRARRAQARKKRVAKEYKPDQSGGGGPSGLDKRKESSAERYQDGQPSTSSAGGGHMSGSKRRIPPKDGESAPAVMQIDPASTDT >CRE16260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:218810:219561:1 gene:WBGene00068422 transcript:CRE16260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16260 MGVTGLCPSSKVSSDDGPADDVPPTNQPNQQGNAPGPSDVVPVAPAPVEEAQEPVEEVAAPVEEVPVEEVHEPVDQDAAQPIEEHVPEDPVPRQPPVAPAQRVVRQAQYFHAVFPVGHPPLRVDYVQAAFEMVHPQGNPNYVAQYRVPINNAHDEDPPQEGDRH >CRE16258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:202875:203776:1 gene:WBGene00068423 transcript:CRE16258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16258 MVNFGIYIQTSVQRDKKILQNLLFQLFTIFYLLFLGGYFFFVNIFHKEMVKEALGDHSDSISFIWHFADIVLIMTHAWVLSVTSKCRKYFRWVAEGGQKGEQML >CRE16256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:186549:195759:1 gene:WBGene00068424 transcript:CRE16256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16256 MVFRYSPPDSLFQTLLSLEHIFRVSEYKLNAQTDTEPGPTRERPDIIMIQRDGPEVLLADVTVPYENGVVAIEAAWDWKMKKYSHFIDYFARLGKRAVILPLVVGSLGSYWPDTSNSLRMLGLSDGQIRNLIPDISMIALESSKQIYWRHIFGDSYKIRNHKFGTCSLVLKQSFFARSECNQLKQYVTTSTRNGNVLDLVFSNVPLLSLNISCPIGSSDHSKLAFQVDLLHAKVPVYTEIYDYKNINWFACCEYLDKINWGLIINDKNTIDQIFDIFLTHMRQAFLVSVPTQKMTPGCHNLPQYLNNLRLLTESLHKKVASSRKKEEFLIYVLIARKYRKKLLKYQRNLELQNFKARGPSRFTHYAKFLLKPRKTLIPTLEPLPGCYAKSDQEKADCLASHFEKQYLNKSTSPLSFPSNFKSSQTTPWITDNDLFKLMMKSKNSSTPTSDGVPHTFMKMISPSISSPLSQICNLTMSRGSVPKVWKHSYILPLNKTAKPSQPSDFRPISITSQICRIYERFLLKQIIAHLDSINFWSDEQHGFRPRRSTVSCMLTALNDWTDNIDKGNQVDIVYLDYAKAFDRVQHELLLAKLVEVRLNPSLIRWIDSFLSERYFEVKVGKSYSAKRKALCGVPQGSVLSPILFGIFVNDVPKTLPPGVKCRQFADDLKIYASFSTSDSSNSLPNRLQLAIDSVILWSKKAKLDLNNSKTECITLGNRRAMNTYTIEGNTVGQKTLIRDLGFLISPKLDFSEHWHKATNAAKFLVSQIFTKYNSIDSKIMTLLYKTFIRPVLEYGTEVSSPYKKCDIRAIESIQNSFTRRLLSRQIGRYLTPSDPDYLSANQRNAKYGQASLEHRRQTTDYKMILKMQLGKIDINTEDFFTTNTFTKTRSNNTFHWKAGKTKTRRNFFIHRTLSRVAISSDRPPISPN >CRE16254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:151871:155652:1 gene:WBGene00068425 transcript:CRE16254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16254 MENQKEIQKSESVIRNEHYGNPGGTSLLNATPTLEPPAKRMKHDIESILGNHKQVKTRLPAAENTTKNMEVLVQNVKRIHPNPLRDIVHPKEIEPKKERMYRKIVENNVKYHKIRKNTPLERMFDDMKKELSWESPDIRLTQKEVTFISIHTGARQCDVIQFFGLKEEPVVTTEGVPQENESRESAMKEQFQKLLEMNALEYLSTNKNNNPNFSIENVKIDKEQEMQAKWYLLSKHFGPSQPQSQTQAPLRVPTTVKFADIGKCQVTTNAPPNTSERVSAITLQTQTLMHKMDRFGTTFNTFSNQNSTVPINKSDPDAQKVQDQKPMEVEANESQRYPDSETSQGSEMDKAARGLNDLQAMWNTTGTTVETIEKIGKPIAGEYKEPPRNVLEPPVDLKMSNSSKSPVSLSSQDVSIQSSSPHMVTASETSLFVSMKSEIPEKSSHNPGEPPGAEVFGSAISSILPRKSDGPKMVQSQTVVENEVETTGAKFQVMKVATERPVLKTAVVQKPTEREGAVEPRVKKARVRPSKKSEGKDVMVPRQEEAMSSNEQSSTSAMPSIQKEATTSGNIAGESEKIQSCIEKQKVLAVKSILESEAKQRTAKSSSKQDEKAEASPASTSNRNSPIMPEKYGRPTNEHLSQLEEQKDTDIQIIEKHKAKMESKTAFSKPERKVAIVLRQEISLASSSNRNDPTTFENLARSTAKVQKPIGDKQRFEDDKTIAALGSSSLKRKHEADDEAYHRSAKKLKIDALRKDCVRISKKIKKHVLSKSEHVYDSILKQYHSDLWETLLKQDLSTTNGPELFNPECFPDCENTEKPLKKGELPPCFTEDSIKIACPQCFQLSYTKPEVSKVYRNKVRDSRLYRERYFHFS >CRE16285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:121735:137959:-1 gene:WBGene00068426 transcript:CRE16285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdh-5 description:CRE-CDH-5 protein [Source:UniProtKB/TrEMBL;Acc:E3N2J4] MSFAIRFSFFTIVQKCIALSKIPEISHIKFFSRYRNQVFELVFYFFSSNQHPQSHDRMMRHRRRSSTSIFLIFLISFSSSVPSISTQDPQISDQPIISNHAPVLQVSSTEGFLAETAEIGTTVRVSPNSQSESLQILVNDEDLQPGMPPATYQYILTGLGATIFAVDQRGYVYLNVPKIDADPPNPSTYQLNVEAREVNTIPTRRSDPVTITIHILDVNDNSPQFEQPIYMANTTANGEERDVVRVVATDVDSGAFGQVTYAIAQVTNGAEDKFRYEPATNMLLATGHLTPGERYQVVIEATDGGGRSSQAIVIVLAMDPSQHTFSSLAPLPGMETFMPNPLAMATTPGTMVTSAESEETIQTFVTEVNENTPANTVVVSLGDESSKDLTYFNIVGGNEEGKFAIDDAGTIVTADELDREKTAMYSLQVETRSRNPDQHLYWTLVQVTVMDVNDNSPIFTDPQPIRLRLSIDDIEQLTANMIIGKIGVEDADADDNGRLELRIMPPHNKLFAISNEGILSVNGDFTAAHFGEHDVTIVARDHGEPSLETRARVQISIFGTLITMATVAPTNEVFEYTSSVEEEPQTTNPDEFLQTVTMPPSTKNQVPQQIFSSFPAPVQTSAPEPEFPQFPTFPTLSPHPQIDEETEEEETENPEEYPDTVPTVPTATVPQPDYSEEYGQEASSTTQVQGVWSEISEFPETTETDTFGTVPPDTESTAPAPETPPETPDLALETTEMPENHTEPSTENAEIENSWQEEFGTSQELPSHPTTKMAPPVTQAPPPQPAQKRLAPVFKPSQITVQIDENESQVEITKAHATYPDGLSGTITYVLHKGDPSLFSVSSYSGSINLLRALDAEANSTVTIQVSTSEAQTMEVDPKLAHFVSITINIADKNDWIPNFESGSYEFDVKEDTLPGTIVGQVNAFDQDRDDPNNRIRYRLLSAGGLEAHFNVNAESGLITLARPIDAFAGEKITLRIEGADSGMPPLSSTTTVLINVVATSSHLIPDASPVSNTPNEGELQFSLRNYTASVSEAVRPPHLVQVLSVMNKPTDTRFIICNIVSGNYRGAFGVTAGNDGNCELRTQMELDRETVERYLLNVTVTAGTQTDYALVSITVLDVNDNVPRFVYDSDLGLTTYFGAVSSVANAFTRVLTVKAEDADLGNSSLVNYALDPLSAHSKYFSISPFGEISTKQSMSTILSRNRLQFFEFRVSACDSPISGQQLCSKADVVVNVIGSTNRFKMIIYGLNPQQLKKHEKDLVKSIRQFTGSCNLLTIEKMIEHTAIENQIRTDIYWYAVNPTTKKICKKQDIRKLFETSNVQLIAGKVQPWFRLERISEDAGEEGNMSNGGILSTNWKTSNILLIILAVTVALGAIIGICAICVFWNRYKTAQRNASNFSHSYPQKLGPIYHPTMGMDPRTEYDYETQNVNMLISDEDLTMKSGSIGVPAHQRMGGGGPQFGGPGFGGPGGPQMNGGGGGGGGNQSYRTYGYRPAQSTAYEGDFSIEESMYAINPSGRLDPVTKRIQAIVIPTPDYHQRTHPNQHKSIL >CRE16253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:119558:120861:1 gene:WBGene00068427 transcript:CRE16253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16253 MDTTKPFPILRLPYLAIEEIFKAMHPFEIINFSLISKRSKQVTKRMTFYPKYTVVLSIDKNLEIEIRGTNEKISYSYVMTSDEELGRVIKQWKKDDFFKLKIYKYSKNTIERFQILCKYVLEVFKRQNIDILSMYMDESVDHNVSIIDFLKTNEISVDGCYLFHTDSDINVDEHAAYLLKNIKTNDLMYFFLHINNENIDLKFPKGLKKLEMVKSQWIGYERLLEIDSAQVILGTNQFSNKDWNAFFKKWIAMEAHLNLEFLDFEFKSMEEFKEFALHDIPYEEVDKAVKRIMKTSNDGPIEINGGIDIRRIDGKTATFSVRHTSLWDDCLVFIH >CRE16252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:117664:118842:1 gene:WBGene00068428 transcript:CRE16252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16252 MDLPKPLPILRLPFLAIEEIFKAMHPTEIINFSMISKRTRFVTKNKTFYSKYDIRLHINKPLGIAIQGTNNLVVCLYLMASGEQMKEKIADMIGSDYIIRRVFKYSKDPIEDWKQLCKHVLEIFKREAIDVLSMQMDTFVDQNISIIDFLKTNVKSVNKCSVRQSEEENDVDEHAAYLLDNLKVNIEFNSYLHIKNANFYRKIPKDLKELYIRNSQWIRYERLLEIDCEHVSLENNQFTNTGWNMFIKKWIAMETHLNLKCLKFDFKSLEDLTVFVLHDIPHEVVEERVKRTLITDRDKTEEISGGVDIRRIDGKTATFFAEYDIFSMMIVH >CRE16283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:112516:114554:-1 gene:WBGene00068429 transcript:CRE16283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16283 MTRRTSSRTGNKKQETGQNEVPDRNNEEMTPHEATDVSEVRRNRRRSSNNAPSTSTSHAVPESSTEMALTSSNDKPPASNIVTRNRNSDEEEGVDDEAVRQNPNSERRGSAALESFQRREMRSGNVSPASSKFGSRPGSGESSRTSDNGSDFSRPGTGSISLDEPSYSQRPSDEPMPSTSSKSSSNTSRRRENAGEGDEEAPKDMKRRSKRLEGTK >CRE16250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:109609:111036:1 gene:WBGene00068430 transcript:CRE16250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16250 MVPQQLEWSLLSLFHSLVANEYLLTISIKMVQASFGKDIESANGSKESTNAKLQKDRFQIEESKTKKEIWFEWIRNRLRHYLILEFLFSICLVLILWKLCYISSQISSIHSEFRNFKLDIESNRASKPTDTINLDGGNKKIEEFVEQVIKDIKNPSIENNQKSKEYPKQTTPTKDNSSSNNSVSQINAASLILGATVDSSRSSKSDNNPLFGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYITPISVSYQHSKWSGIVSDGAPRRYDVLACLDYYCNNLEPLVSNCEYRATRDNKQEQFCSIPFNRNHSSIGKVQFHFRQNHGNVMKTCAHTIRVYGETKVKEMTRKQATCSELTYDYHHNPWIYKIVCFLNIKPAIIYIIFSWTTRIARYFTRTTAVLNARNAAMNVILKISTLT >CRE16281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:107483:108924:-1 gene:WBGene00068431 transcript:CRE16281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16281 MVQASFGKDLESANGSKESSNAIEESTTKKEIWYEWIRNRLRYYMILELLFSICLVLILWKLCHISSQISSIHSEFQYFKLDIESNRVSKPTDTINLDGGNKKLEEFVEQVIKDIKNPSIENNEKSKEYPKQTTPTKDNSSSNNSVFHINAASLILGATVDSSRSSNSDNNPSIGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYITPISVSYQHSKWSGIVSDGAPRRYDVLACLDYYCNSLEPLVSNCEYRATGDNKQEQSCSIPFNQNHSSIGKVQFHFRQNHGNVMKTCAHTIRVYGETKEVPKVKEMTLKQAETCSELTYDYHHNPWTYNIFDYKNCTVLYSNDCCTECPECCDECVIEDINTGTFAFWFGFMIVVPILIFAIGFIFITTVLLFIAAGIGLFEIAKLQIGCLFRKRKQST >CRE25453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:745270:747445:-1 gene:WBGene00068432 transcript:CRE25453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25453 MEDEHLEGIKAEVTQRCKEARQTFIDVENLYQPDANDNEKELLKIGKQKLIDSLKAIVEGKEAAKQRLREHQHLSYSDEILYACEKEMEQHLMETNRFEEIRDSILSLIGKIQKLDEDNSTSLPAPVDNGGTSSTAAAPAATTTIPADVYNNQGRSVGPRDGNLSIEHAKNPVAPSFHARVASGNESCTDFSMTNQAEKVQNLHEAGPPAKYCRSSFRSNNPENYQPNTIKFSANELLAVLQVIKPFSGETCDYPLFISSFDFLVHENNNFSPIIKQSILLRLLEGDVFDSMRPAEMSEEEYKTLRHNLDRQFNTSKIQQSLLIDRIKDMVISDVDNDVMERDLNTYCNITHRLRVLGININDPYFLSCFVDRLPEAIRSKVNRKLMRGVTNFEALSNIAYEMVADKKNTDRLNKRLAKNTPDQTRIEDESRSWNQEHRGYQHGSNNVFNEEYSRSTEERRSCDIFKPPSRKTPCVYCDSSYHDACQCTMRVEKKIEAVIKKKLCENCLSKEHNFRQCKSRFRCAHCNYRHFSGHCNKVDAQDVNNFLLKYDLYDDDALAMHNSSSQPSSQYTSSSTSRCSQLSKSSSRMTQHILVTAIVMVVGSFAPEDQANEALERLRIESRLDHMPDHLEQYPRQQTVQNAKEKHNFQNRRSVKMETVRLSAQGHPLDTSRRLHNPVASATNLQYQPSSTTTSIKDEKLVKKQ >CRE16278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:88246:89729:-1 gene:WBGene00068433 transcript:CRE16278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16278 MVQASFGKDLESANGFKESSNTKLQKYGFQIEESTTKKEIWYEGIRNRLRHYMILELLFSICLVLILWKLCHISSQNDKTLELISSINSELRYLKLDIESNRASKPTMNSDGENKKLEEFVEEVIKDIKHPSIERNQKSKEYPKQVIPNEVNSSPNNSVFQINAASLILGATVDSSRSSNSDNNPLFGRDQSGYVLIDRSDPPSDKAWCSNEKNPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPSRYDVLACLDYYCNNLEPLVTNCEYRATGDNKQEQFCSIPFNRNHSSIGKVQFHFRQNHGNVMKTCAHTIRVYGETKEVSKVKERTLKQAETCSKLTYDYHHKSWTYNMIDFKNCTELYSNDCCTECPECCNECVIEDINSDTIFICFLLILCSPLFIGYLLILILLIGIPIALIIECLFSKRK >CRE16246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:85019:86715:1 gene:WBGene00068434 transcript:CRE16246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16246 MVQASFGKDIESANGSKESSNAKLQKDRFQIEESTTKKEIWYKWIRSRLRYYMILELLFSICLVLILWKLCYISSQISSIHSEFRDFRLDIESNRASKPTDTINLDGGNKKIEKFVEQVMKDIKNPSKEYPKQVILTRDNSSSNNSVSQINAASLILGAKVDSSRSSNSDNNPLFGRDQSGYVLIDRSDPPSDKAWCSNEKNPILTIDLAKYIRPISVSYQHSKWSGIVSDGAPRRYDVLACLDYYCNSLEPLVTNCEYRATRDNKQEQFCSIPFDSNHSSIGKVQFHFRRNHGNVIKTCAHTKARRVGTRLLKLLRHQKQILKRCVMHPRVLNAGRHWVGRNDSSNRLVKLSVTVSVGKLSNVFRLHLE >CRE16277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:79438:84172:-1 gene:WBGene00068435 transcript:CRE16277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16277 MVQASFGKDLESANGSKESSNAIEESTTKKEIWYEWIRNRLRYYMILELLFSICLVLILWKLCHISSQISSIHSEFRDFKLDIESNRVSKPTDTINLNGGNKKIEEFVEQVMKDIKNPSKEYPKQVILTRDNSSTNNSVFQINAASLVLGASVDSSRSSNSDNNPLFGRDQSGYVLIDRSDPPSDKAWCSNEKNPILTIDLAKYIRPISVSYQHSKWSGIVSDGAPRRYDVLACLDYYCNNLEPLVLNCEYRPTGDSKQEQFCSIPFNRNHSSIGKVQFHFRQNHGNVMKTCAHTIRVYGETKEVPKVKERTLKRAETCSKLTYDYHHNPWIYNMVCFLNIKLFDYKNCTLLYSNDCCTECPECCDECVIKDTNFDTVAFCFVFMMLVPIFILLIVILPIFLTDYPTADIRLQFIICCDEGEVEPVLRTIHNFFLSWIGSNIKYELNSCYYIPRMTNITSSQIWLLDSKPAALQLTSFLSYSPVPEYLCLIEWRDILLANFKGRELYVYKGELNDSTVIQFLNDSKSSHGYLNLRVVHIIVNESCELHHDIIISQCNFKTFDSMENLPIFHYKQRNNIHPIVFHSLKFSSQYYIVWESDGYVASFKVQSNSIFFTAWNMNEKDFLEHHVANEYLLKISIKMVQASFGKDLESANGSKESSNAKLPKDGFQIEEPKTKKEMWYEWIRNRLRHYLILELVFSICLVLILWKLCHISSQNDKTIELISSIHSELRYLKLDIESNRASTPTDTVNLDGGNNKLEEFVEEVIKDIKNPTKEYPKQIIPTEDNSSTNNSVVQINAASLILGATVDSSRSSNSDNNPLFGRDQSGYVLIDRSDPPSDKAWCSNEKNPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPSRYDVLACLDYYCNSLEPLVSNCEYRATGDNKQEQLCSIPFNRNHSSIGKVQFHFRRNHGNVIKTCAHTIRVYGETKEEVLKVKEMTLKRAETCSKLTYDYHHDPWTYNMFDSKNCKVLYSNECCTECPECCDECDIKDINKETIVICYLLIIIFPSLIVILFLSITLIILRLLSKRKLSKFRRVITQKNEYC >CRE16245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:75752:77943:1 gene:WBGene00068436 transcript:CRE16245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16245 MVDERENYSINGICRFENFADSFRNNDFPNFPIGLICGFDEWRLTLKIKEINGNSYVHPSVRSYWRSQLHSIRVRFFIAILDGDGSQRLVMGRRCHLTPRKLPAGVYLKLESLLDEGDGWLDNGALVIEYGFHVESIVVPDVIWEFQFHEKLMRCDKERDLIRFKCSEDPGIFLHAHRLLLAFHSSYLKSTCEAECNSFCRETVECVQIAFGVKIQLEIIILGISESYPIVILDMARELGLTNVIRYCERFLIEEKDQCSLLFEFQLAADYKLNHYLTYLLKSFGGKNQRKLAGILKKVGVESMSSEYMKQCTKFFFDNSKTRFL >CRE16276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:73573:74601:-1 gene:WBGene00068437 transcript:CRE16276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16276 MPLPFVPNRMHIRHVILFLFLSGLKSPDIQKKLTDIYQAHAPTAPTIRLWIERFEANDFDLEDRTRPGRPVELDLSELQKNVEADPYQSSREIAMTMGVDHSTIVRGLKSIGKVKKLGRFVPHALKDFDKKRRVDMSMLLLSSHRTKAWLDDLITGDEKWVHYSNNVRKAQWVDEDEQPAAVAKPELHVKKVMLSIWWSVRGVEYWELLDEGKTITADVYSSQLEKLKRAVAASRGEKARVFFQHDNARPHVSKVTNAKLMSFGWTVLPHPPYFPDLAPSDYWLFSHLQQKLEGQNFKTKDDIKKELTSYFAERPAEFWQEGIKKLPGRWQQVINADGEYFV >CRE16274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:65483:69241:-1 gene:WBGene00068438 transcript:CRE16274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16274 MNIEDRKAEYLLQLVYDVLLKKPTGPYLYNANLEHLATKTNKSVDYIRNWFEARRESDPRKHEVRPIEYSDKLSQIVTRHIQFVIETFEKKTSKCKKSKTIPKDPKHSIQVASEEGPSEPKQKRARFEKPEKINSNAEKTLKFLYYNLLCSGKGPYLYDACLKKLAKNIGLTEEEIKNWFMEKRDSQKNFLMQIPEPIDGVSKDKLLEKIIEEAILDEELIENPKPFQLPNTHSSDVQVEGMFKIARLLYRRDKEDTRNVKILNHIHTTLPNLLKRTRLSLTDIRFLSEKMEVSAPSIMEWFEANQKRITTEIPVENIRMSEAIFKQIPSAEVPPSGSSNGAASSSFAGSSNCGVISTSSTKKPISTTAASEKNYEMIAAPELFPNPDGRMAVLDHKTISTRRTKITGPAPKRPRLEKPEKINSNAEKTLKFLYYNLLFSENGPYLYDACLKNLAKKIGLTEEEIKKWFMEKRDSQKCSLLEIPEPIDGVTKDELLEQIMNEAILDEESIENPKPFQLPKTSSSIYHFEVMFESACFIYGSESYNTRRMYILDYIHAKLPELFKGTRISLEAIRFLSEKMEMSAPSIIEWFEANQKGNSPEIPVENIRMSEALFKQMRSLEVPPSRSSNGAAFAGSSNGGVISTSSTKKPISTTAASVMKMYKLIAGSELVPNDDGRVAAFDHETIPTTGVHHFENSTMARVASSESDKHRTTMEEEGPSDNDTPPKSPANESDPRERISANERSSQLQFPDFESPVEDAAALESSETSLSMSGFTAPIQKDSDSPFEDEEDVVDFVETSKSSSTHDTGNRTPSRIFFCKKKYWNSDGVDNGASVEDEEESDDEESRNDVEGEGPGMNELISDTDEEDNQESVRNNSLVSIDPRAPESCSAPPTSSSSGSQQTISEPASSAYNGFDDDKDVESEEPPANNSPLPATGSVTGNLTTYFFCNIPIFISENGKEDDHFVEEPDPTDYVRNWGQGDMVDHPTSSAPLVPLEDGPVCKVTKQVATITRSPPCFFTDTEEWCQHCARIYEERQKRHEELYQIISKFKF >CRE16273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:62062:64472:-1 gene:WBGene00068439 transcript:CRE16273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16273 MVFDDQTADVLFQVVYALLRERPTGPYLYEASLTRLANKTNKSVDYIRNWFEKTRNSDRWKHDVRWKLIFTPKEASDELNNTVTDCIEYAIECIEEIEFERNVSFYFFKINLKIQIQKSRAIPKDSLEEGPSEPKQKRSRLEKPEESKSNAEKTLKFIYYNLLFSENGPYLYDACLKNLAKKIGLTEEEIKNWFMEKRDSQKCSLLEIPEPIDGVSKNEFLEKIIEEAISDEESIENPKPFQLPNTHSSDVQVEGMFKIARSLYRRDKEDTRNVKILNHIHATLPNLLKRIRLSLTDIRFLSEKMNVSSPSIMEWFEAKQKGNSSEIPVENIRMSEALFKQMCTAEATSSGSSTGAASSSEQLTSNIYASQSTSQSPIIEERSNESSFESEEQFIPDVEGQEPIQNRTDDSFQDELDHASPTLPSTVSSKFTAANQKDSESPLEDEEDVVDFAAPSSSNKGNMEASKSSSTHDTDSNVADSERRSPDNYRVSVVNEEENQESVNNVANGRNSESSRDDEENGTDDVADDLLNPTTASLAHNARDGEEDVESEDPPVNNRPLPEFPAAHGSSRHSEPVADMVDHPTSSAPLVPLEDGPICKVTKQVVTITRSPPCFFTDTEEWCQHCTRIYEERQKRYEELYQISQGAVFHT >CRE16272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:60778:61506:-1 gene:WBGene00068440 transcript:CRE16272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16272 MTTLLRFVFIGVTFIVSAHGASVACGNLSLADSYLGASCGFRIKEFGEKLGHLDFDNNDEMKEFKRSCAALDDCTRILGHCEPMQDEDTKTAFSLMKSTCALIEFATTQFRSCDKKLDEAKSKCNEDWNPFQTQTDLSQKTDITEVCSNYFGKDNCLKKDVTDACGVNEWEKLKEHLLSLNDRVKKCDFKGIV >CRE16244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:56579:59424:1 gene:WBGene00068441 transcript:CRE16244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16244 MEDEEDNKPDRQVESVRLTIGEDVVDKDDKEKKRVWTTGRVLGKGAFGTVYHVTEKTTKQEAALKIERMTAGDNLLKIEREIMQAMKEEPTAIHIFDDGIYNDYRFIVMTLCGPDLQKIAEMMNNTFSPETIIRVSIRTLLAVKTFHEYEYVHRDLKPCNFAVDYDPNSLHVYIFDYGMARRYARTDKSRWYLRRPRENVQFRGTARYCSVNMHKRKELGRVDDVWSWFFMMMEMHKQLPWHDVQNQDKIEAIKEFQLPEYISKDPFYCSFKPILDILNGAGYADRPDYTKIFEILLAKLEEIGGKLSGPMEYDAARINAMEPKPGESVRQKVESVRMDEPATKQYLTDAFNKVVIPGGSQYVIPEWVDFFGNLNPKKPEKEKTEEHKKRIPKSPQSRTKTKKTAATGKANLPTSSVEKKDVTQGTVPRKDKNSKQKPKK >CRE16271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:49177:53271:-1 gene:WBGene00068442 transcript:CRE16271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16271 MPDDIPKLPRQRGKKNQPKDTAWKQQKLPALRPHYSITSAIPVTLITGLATLAMGIALFFGHQGSLEQEVLYTNCTLPNGTQVERVLRSEMGNETFQCAYNIILDQDYTEEVENLEADIISEIINNPSFQGDIKFYYGLNKFYQNNRLYFNSRNDQQLRGKINEIDGCDPLQYVDVNGTKVPIAPCGFVANSMFNDTFQLFYMNGTINGTTRVPWTTRGVLGETEMKRKFRNPVRAANQTLCDVFQGTIQPPAWRYPICQLGVNSTDPDVGIGFENIDFMVWMKVAALPKFRKLYRVLNKQVDMFSNGLPRGTYQLVINYNYPVDMYDGDKSFIIASENWVGPRNLFLPVIYLVVGTFLLLVTILFILMWLKQRLSRVHPT >CRE16243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:46480:47383:1 gene:WBGene00068444 transcript:CRE16243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16243 MSSTSSYSSTVSFLFITLILVSVCTANLATGRASLRPSAGKRSAAVLVGRTPAHYYQLAKRFENELTCNMHTMSVLDARYQQLQEEIEEIIELMEACQTIRTVNSL >CRE07633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:282394:285626:-1 gene:WBGene00068445 transcript:CRE07633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irk-3 description:CRE-IRK-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MPB6] MSLAEELERLSGENGAKKELNGWRLSSSAPELGVQRARLVSKDGKTLLNNIQIPERFRQAYKWRYCRNWFHLIIECNWRTICILFLLGFIVSWTIFAVIYYVISRLKTVKKDEACIANVDNLISAFLFSMESQHTIGYGLRYMTDLCPPAYLTLCVQCVVGVFLQTILAGIVIAKILRPKKRRQEMRFSRMAVIGPLDEHDKRPTLMIRLADIQEKLFLAESHVRLYMACSKINSRGDRELIGVKDMNVGYDSGWDRVLLLWPIIVRHVINEDSPLYGMSRDNIAKADFELIMTVEGIVEATGMTFQARTSFLPDEILWGYKFKPMVLMNEKLSKYEVHYSLFDQTERVCDFDAQTIETEETEDEHHHNASGFL >CRE07634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:299354:303981:-1 gene:WBGene00068446 transcript:CRE07634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttll-11 description:CRE-TTLL-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MPB7] MGCKISTEFCADNPVASTSKVHPSDLATSSLPIKPVEFYDPSQPVNNDQIFRKVSLTKKESKEKETPSNRRISLQVEPRKNLPLPLTRSSSLSSIMENRPPSGISNSSYTRIQRSKNTASRRFTIDTSRAKSNQFVVSLCAKKIGIIEYPEGRPDKQPSDVYWHNVVLSDMNKIVTSPHSKVNKFPGMTELAKKISLTHAISSMQKLFPDEYAFYPKSWFLPAHLTDFHTYYRKSQAAGKMEMWFIVKPDEGAQGTGIYLISNPRQIRDVNQQQLVQVGFALEIVNLSIILQEYIADPMLMGDKLKFDFRVYGVIKSINPLSIYVAREGMARFCTEKYEKPDSSNFKNLYAHLTNYSLNKANEAYVHSNTLQDQTKGSKRLLSTVFHQLESRGIKTKKLWHDIKLILVKTTLAMLPEIMLHYEHHFYDSPGPQCFQIMGFDVMIRDDGTPILLEVNAAPSLTADHIVPYPGRSLTEGGQRVRSIVDEVIKMPLVRDTLLLVLGLMEEEYQTTHSLKGETKSLDDFQTIKQKRKPHLSEIFPTRYGAHSGHLLFLDKAMYIYMQFVQLRNNVNITGAGLKQFVRKCNLADVMPIAQVDVKVAEINYYFTGDRQTSGNGLPFHAFLMFLFFVAEKKFHMESDLLAKVQRLLSFCDMSLRHYGVRSARLRRAEVDSTIGNVEIYMLPSRMARNRSGRYGRKENGMDANNNPNSFSHLPRITERL >CRE07635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:307690:308983:-1 gene:WBGene00068447 transcript:CRE07635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07635 MVRVDRDLKRCYFYRVKIEGDVVKPMDLRSPSPEFPKSGIPRQDIIPYNPHFRERASRHTSRPSSTRRISWSREADRVREISPRSEPGPEPAKIFYPPPRKSNSFSEGYVDPEEKYPLTEKKKKKKKKVPVSKPKSKPARKLTPEPVFQPVYIPPTTVKKGIPAKPKPKKKNDQLIRQHRDQRYRAQKLEQQSRKSQIPTSNLRKVKSETNLNFLRSVPKETLMKKAETGKTKHFNPPRWRHVGDRNRRRQGDKHSRSFASDYYF >CRE07573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:319534:321930:1 gene:WBGene00068448 transcript:CRE07573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-17 MDEPVDIYEQAALNMSIPDGCDVNRAVYASIKQLISHDIIDPSLVGFQNCEPLCGICYHGSKEWDYIRFNIIVIGIILPIVGVFGIIGNAISAFVYSRPEMKCSTNFYLFSLACSDTGVALTGIFLFSLETFRPFSLTVARMSGQLSAIVYPMGMIAQTCSVYFTMCAGVDCFVQVCLPEKIRRLFSRKETVHFLAVCVVIFSVLYNVPHFFEGFVIDCYHKELGGMSREVCPATLRYNELYQSIYYKYMYAIFLAVGPLITLIVLNTFIIGFSVFGSSASNTDDTMSLILVVLLFISCNTIALIINIFESYLSETLGSKINYIVDLSNFLVVFNSSFNIVIYIKYSRPFADTLFSYFCRRKPKTESPGPGPPIMITEKPSRSKKCKETLSRLLVASQPEVLI >CRE07636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:324199:332444:-1 gene:WBGene00068449 transcript:CRE07636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07636 MSSRRRSSRGRSVVLQAEEIKEEEPDDYEPEPQPRSVGRPRKIQGEAARIGEQREEPVQPRSTRSQNSSGQMHMPLNGNHEIKVEPDPSEARRGRGRPRKIIIGNAARSQSQCEEPSHVEPRRRGRPRKRNHEDSARSPSAGEQRSHQRVTRSQTPSLGNCHQKSNLGKSVTIRLPSEEASQEDSAGSAMESGEPFGLRVTRTLTRNGQSSCQLMSGEEWRRPKRSAPWMVERHRARREQSVSKLETTGRNERESRRAISCSRQSLPGNSGAIQLGTDGSNLAEMRRSRSQIRRNTTEEPVRNPMVREKSISFRVPNGEERATNQLQGEPSVPRKTPRLQSPSGQSSSGEVVRVQIRKEDPAPIRSTRSQSRVRESLPGNIGINQLGTDDSNLAEISRSRSQIRQETRKEPVKHQMVTEKSVTKKSSGFQSSSRVPNREERATNQLQSEPSVPRKTRRLQSPPGQSSSGEVVRVQIQKEDPAPIRSTRSLSRVRQSLPAVNRANQADVEESISMESPRPQRQPKTVPGDSAREQSTHRESLRLPSVANPKGSVKSGRIEREERSVQLLSLSSQSEVKQETPEASLMDQTSSSRGPNEEEFVINQLHSEQSKPRDTPCLQSSTNPGEIGRNQIQKQKEPVQIRSTRSQSRVRQSLPAVIGPNQAETEQIQIEPPQLHQEAHQTISGESELAQMQVEQPVPNESPRLQTQSEVANSEESVKSGQTEKEKQPVQVLSTRSQSKVPQETPEASQMDQKVQSQEGSQETADSIQIESPCSPCQSTSDESMESQIEKEEQPVQLPSTRAQSEVPQETPNESEIDRKVRILKKKLIPRDSPYFQTQSQVASQETAEKKEEEPVQIRSTSSQSASRQSTPGVVVKNQMATDEPIPEESEQVEQPVVTEESRNSFLNCLDEKLEELKKSLKKEQRSLSQSRETTPKEAMRRRTQKLMPTRSSSQPRQLLSGMNQPTPSAKWPRSQNGIRQKTPTESVMQVRLKEKVLPRKSHNRFQGQSGVRTDEASVNVQKPESSAQLWSLLPESQTNQGGVVKSQEKKKLRPSWAQTLSPQQQYRQTNTEESLKSRQMQKTEPVQIRSTETQSFPLQSVPKESPRSPPCQTSPGESVCNQLRDEEPVQLLPTSSESQLSQPLPAGHRLKSDDSIPTGSPCAQSQKTLEEPVIHPMTQSHVTNQEGSVVGQQDADPVQIQPAGSQNHCSQSLPEVDNQFDADDSIQMEPIPQESLLDKLIKEKSVPRVYLQTESLVLNPGESLKNQIEKEDSVQSIESVQNQCVVVNPEKSVKTQTPDEDSVQRQSSQSQSSSIQLLPGRSEDFAVAESPIEIPQKETSEEFFDSFDEDLRKMEESIRLEEQSSLTQSRETFQKALGRSQMERKRPRESSPFQNLARQFLPGVFGRNQVQIQVQSIQSEPPITQSRSTMPRILQRNQKRKDNHSVPKRPESSPTDFGQTQMENEQSSVRKESTHSQRSSRQSTSKESTMTRDHSTEMRSTRSNSQSSETQDSSEMPSSLDYDSSPEYIKVEERESREYSPPPVLEREAPFVNYEEESVENVELSVEDATKIAEPTKKKRSKRAAKEPTPVAVAVRKSKRLNWNGDVKNAEDTEEDMPHFDRGVRAPIGLVRKPFHMNQYLERYKFSIERRVEKQLEITMHTGSLSPRPKRIRGNDGTWVEVEQPKTNVSKWKMVTVGDNHQAELPAEEEISPEEYYKDAEEREEIVWQPNQLDDVAQFDDTLINLYWRAIHQQYKGHIPFEMALQTLMEENYDFCRALEAIEKCLLVLPQRMKPITRGQARLLAHLLKEDEKKKQKQLHPRNIQEIAMRNYHLGEIVPFVVQFRRFYKDHIKGQSERTIPCNCLEKTTGEVAFEPKHGCSNCTRKLRNVEYDSEKLCLICRTYSFLSPVGARRPAENPVFNDEEILLINHWNTLEKEMDYVDEDIVTRQNLETRINRWKKMIFTQEEMEMLEWPLYVKVSEKNPPTISEEERLLRGEMCSEQLVPFELPHFTRCSCLLSGDIPRLSPNVSKFSFSEEETILYRNAILRHNGNQTLAGAELGVEPELVERFVDKFPSGNPLYQGTAFTLMRRPKLRHKYLPKPIPPPFKKKPEEEEKDPTYEPEEQPKSSRKRDGAAKKPGPVKKARTSL >CRE07574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:342951:344962:1 gene:WBGene00068450 transcript:CRE07574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07574 MIGMTHLSIKCILPYMDAGQSDCCKKTRFITSLPYSTHNIFRIILQQYPRIKRIDKSRSAKIETLQLPQGLMQIDSLKFEIGIVRYYSGGYTPDWVREENEKGGVQFDVGQFRGLAQAHIVPRMELDRAPTEEETAEKMEAEQKLEDLCKEMEQNPERITVEAKAEREELEKLIHDYEMRKSHSQLEYEEFVKLTVTSRDGLYSVEYVKYQKSLENTWKYLIRRLVKPNVVVVNYIMSSGSEPEIWPFGQSLRVVNLRAAKKFWTSRDIQSMRDVLSSEHTFPLRSMETVPRRSNVYLGDHLARKFIISEAPSQELILKLKCPHVHFKDYQFKSIPFKLIFGLLKKDTLKHYLFETANKNCVHDLIEYCKIDRRLPETEILKGYERDQYPINFDFPLHGTIDVCGSVYRRNVSGTMKYIIDLKCQ >CRE07575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:348202:349494:1 gene:WBGene00068451 transcript:CRE07575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07575 MNHSTESLNDGVTEEFKGLGVEDDKTALTTDNLEKFSGLQKSESSTRSSSMSLRSFSTSSRSSIRREYPSPPPYLFEKFPEFSREQIKVFVDSFYKFDKDQDCYLNFMEIKRFMESLGEAQTHLATIDLLKQLKKDKNGKFNLEEFIMLFRLATKTDAVSCLYVFKKLADSINVQEVGVKAAARFFEAKAEEAAGSKVTKGIPANVEAERLAELEKQQKLEEEKKAKKAKFQKLVQKFQ >CRE07639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:351866:352477:-1 gene:WBGene00068452 transcript:CRE07639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07639 MAVEQAPVDVVAAAASNNAAPAEPVVYFTLESSDNQEVKISSLALQQSKTLADLVANLQYQNGTTETIPMDNISKATLDKVVEWCEHHKGEPIPVDNESSPKIVAIPDWDDNFLKMDNDQLFYLILAVNYLDVKQLMNYACRKVALMAKGRTPEELSVIFGIPTDEEDEAAERRAAERKEAAQAAAAGKAGSSTGGAGAEDSA >CRE07641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:354995:356466:-1 gene:WBGene00068453 transcript:CRE07641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07641 MVLTRAQKKFKFMKTPFLVKKEVINLMEFTTKETMAKCSKNSRKLVGYAQRHHFYYMKFTNSGRKTKSINVVTEDLTGINEWSFDEFYEAYKSVECKFDTIEFPPLDQNVKNIMKFMELTKQCFFLNARELKRDIEKYPNEPQWKRELFKPFVCKEVLLNLHDETEKYLPFFLRLIDGSKVKKLTMKGKLDYSSYRSIKKEVLWKNAETFYCEFCFGNHGYERMISQHVDYRELAHFQYLDYGAEDITPYEVRTFINSFRDQNHPRGSNFFIYCDESIPYKSILKLYDVKPENRPIPNVDAWHTQVFPLENTQELVLVVVLHQDYVMGKICRVSTIEEDFKRRISSDEPQQIHVYRHYDPHHHQFSLYTNH >CRE07642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:362581:364748:-1 gene:WBGene00068454 transcript:CRE07642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07642 MDQQNVRDEEHAPGLEEDEPMEIELEDARESDVEFDESEESDVSEESDESDESEESDESDEMEESDKSEEPDVSDVPNNRKINSPEPEYRKWSYFKCKEELVQAARDTDDKFSQWKKLPSLPQRHIMKMMNFLEQESMGQLSQKTRNLMIGRYHFKKIKFDTLDEDWNKVPNESSEHLSDEQRYYSYPDVKVITEDEIGKTETFVWDFVDSYEKSNYTFDKIELTTIGGDDVALEALIDYFKGYKPLKAGELVLKMDDMELRCEGWLNKLVDEEAVHTLTIPHLSRDLYSELRIYNFWETAKHLKVRRCFGNTGEPPQMHESVNLQQLVAYNRDMDFQDLSHFENLHFNVETITANDAWEYIKGYRGRNHPEGSTFFIGYPGNINCELLGLFDVPVRNQPIPRLPAPHTQIFLQSDPSLHFIVMFGDNYFRGFVWRCNRNN >CRE07643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:366272:367685:-1 gene:WBGene00068455 transcript:CRE07643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07643 MSQDQSSFPTNQNFPYWKKLPHLVQTEVGLMLSFFERKKLAFCSKATEIFVSGLPIYRFQNLKFCIKHGVFSVELKDSRGSTECSFSTFYETYKNSRCFFEKVEFPKGWEQINVSLRLFAYTRIPFRCNTFVWHFGGIGTLPEMLDWIDKKPIKTIFLHNTFCQRTHAEIKNNMTWKKAENLYIEFCNENPGIKDIAFQNRNIDWIDLSNFKNLELNIAGISANDAWKLIKAYKTCEHPRGSCFIVKSRSTIHCKTLTALFDVEVNNQPIPNIPAVHAQHFPKSDKRLVLVVTIHPKHFEGIVCGVDTIKEDVQVASGGVFSKNRISPSVTPIIL >CRE07644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:370132:373006:-1 gene:WBGene00068456 transcript:CRE07644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07644 MSSSSEVDESKKWPPMWLSFLSLILAWGSTFNFGFTMLITNPAQEAFVNFVRASNEQNEGYGFGLAVDTQWFVILAILFIGHIIGSLLLPIIARHGRKNSFMFAVLMEVLALLATIASFWLVNHLLFTIARILLGMGTAMAMGLSGITVLESSPTYCRGVASMVNGIFLQFALVVGAVLAMPIVLGNDANLVYLFVFQLCCNLIVLCIVPFLHDSPQFLAHSKEVDHEKTERKVIASIMFYHGITEEQAVPLAKILMETKQGAKAGVFSVFNDPFNRRGVGLGVLTTWGMAMSGITVINAFTLEILMTMGLDQGTAAIANSGTCLFSLAGIITSTFIVDRWDRRSLILRTFSALIVINIAIVILLSFAGYKNIYVSGCLIVAICLFNFVFSMGPGPLSMFIGGEIVTPDSRSAAAVYSNTTMATSRFVTLLTYHSVAKWCSPAAYGIYFIPSMVITVYLLHKHLPESRGRNVADLKAEFEMEKLIE >CRE07576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:377382:377774:1 gene:WBGene00068457 transcript:CRE07576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07576 MSRYFRKTFASRLTRSSSRSEFAELNFKQSPLRCMKHSELEMSTAGDYFCENGGATMVNSLLMCLHRLNYSFCNKDSTKRELSEIEQNSMFLTIFMIRLLLFSTIPTITDLPTTVPARKKLHRGLRLVQG >CRE07645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:382819:383743:-1 gene:WBGene00068458 transcript:CRE07645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07645 MVSTFSVFLFSILLVGLTHGSASKNATDIAVQKFLDRMEKAIRSENKDVVAGLFSPSFILYECDKTTDKSEFLCGKSKLYFLFSEAIVDRLANLSPSSLYKFYEMSSLDNGDTIRFEAFIQDQGKTIMDAELVLNKKEQRLDSGRQLRCQKQQSDSGASYEAAKYFERFIKLVQHKELEKLNEAFVPDFVLTGCGRTLSREDIVEYLLNLPTGPSGAMYFPVRFAEADGNLLRMNVAVEVGPHLINADFILLRDGQMLENGIAHECKLRF >CRE07578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:385058:385413:1 gene:WBGene00068459 transcript:CRE07578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07578 MLSLLCDFVKPFYSNNFKNMMFFDFHTSSLHAVTSSEFAEDSGFLDSSKTSHYIHERSKEIGTKLTTMCDGFDAKMMSYSRSESTSRSLLGRFYDFFAF >CRE07646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:387997:389221:-1 gene:WBGene00068460 transcript:CRE07646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07646 MMSSSENYLFWISVTHFVPQIGFVSSIFFGLILLTSNYLGAQKMFGSYKFLISAFTTLGMIFATVEIIVYPVLHRLEIQNFKFFQNVHNYKAGVLFFSFTESFGLSGSKTKNIPIAGYTFFHSATMSLLSVQFIYRYWAVFNVDKLRYFKGRQAVVWFIYCSFFGFQYAIGTFVFWALDDVSSDYFREEVLLRYNANISNFPAMSIVAYDPVDGSVRWWNVMGILNIFSIVNIQYGVMIYCGWSMHTKMADKIKSFSPTLRKHHEQLFRTLILQIFQITAPTLILFIPITFMIVLPMFNLDISLPSGVLLCSFTLYPAMDSIIVMCVVSEYRITAKKIFKVIRKIIIEINQSRNEPTATSSAP >CRE07579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:396128:398093:1 gene:WBGene00068461 transcript:CRE07579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xtr-2 description:CRE-XTR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MP33] MNEKTNLRDIPAEPIKPELKSSVNDVVPDKDLCNSLNRIVLSENRQHEKGCKTNQELKHGIEKLLSLNTQTNVDPAKKKDTTESSDQKPTKKCYRYQLFYKKGVTADYKLDPVFEICEVQNGMDVDVRSYMNFAVSLLPEIGIKTGNNPVEDFNRNFYLTRVEANNTAVDNTVFRRCVERNVKYDPPILQEILEKVSKLPGGPAKKHPKAIFYPPSFSYQMVEYCEDPFWTERVVEIPEGYIRPHKRIEIANGPPPPVDWTQKMSDNSHVLSGQPAWEPAVQKFKLEQRLESESLTEKEKEDINKQIDALF >CRE07580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:405071:405824:1 gene:WBGene00068462 transcript:CRE07580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07580 MSGNVAPKLKDAGHFPCRHCYKIFKYERNLREHVYGNHPPTHHCFLCDDRLPNEHKPLQIHMTTVHKLPGTFTCDCCDATFARKSIFDNHCKEVRNKAKMKHATPIAKTIRYRDPILTAFPSVNYKKNVEAEQPAEKRKSTRELPFKVIYSDDKVIPRSALPILAEAAVDIINAMGLENLGIIVKKQNLDRGPMSKKREDGILIEL >CRE07651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:417557:419963:-1 gene:WBGene00068463 transcript:CRE07651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07651 MTTEHVSNVYSVESLLSNVEKASVSPTESVDDRNEFLISEEIMTSWQRMAASFSLQQKLFMIQQSVSRPPPVNMSGNFPLGFLNAPVFWQQYIRSMAMGMVPQTESPPATVWNRTPTPPVEIKPFHCSKCTKVFSTIAALEQHQQVHNSDKQFECKQCGKTFKRSSTLSTHLLIHSDTRPYPCEYCGKRFHQKSDMKKHTYIHTGEKPHKCTVCGKAFSQSSNLITHTRKHTGFKPFACDVCGRTFQRKVDRRRHRESHHPGHPEECVPAAQISSDLSPKGYMTPPTSSGYLDSSDEFLNVLPAELLAIKSEIGEEMEDEAEEEEEKVLNLSVS >CRE07654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:436801:440218:-1 gene:WBGene00068464 transcript:CRE07654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07654 MDENVDLVFLLKIVRDCDKAGSQNKGIYKGEEDVERGLVAGQCFCKTNVDGNRDSSLEKTVINVCQKSTDYLYMLMDARLVTVILEDFMIIFVMFRLDSASVEKDSVKKNEFKCETSDSSFYCADIAHYVYEAQYDNRTSREVKTRESPTQTRKTEEGFGQVSGGSTITVNPIVEVSQKYNVIFRHDAARDPVGWENVRITVVKPETEGSGFCADVSPSDNFLIARIYPGSRYIEVQPAICFEAGVQSELRTYCCVAQSKNQRNKVCERYVCPVAAALSKKTNGCNSDATGSVSETCTVHGGQCECKPNVVGKRCEQCAIETYGFGPTECKKCNCDAVGSLGNDNPNNFLAERKVLCVNLYSGDFLNTVLVSVTTTKKSVTGLLELVSSVVISLLDTIVIQYGYCEDARLGLEILCKPCPYLVTEENDAANALKTIGDHQQKLEELVNGATVMKIFICRWKEVLMLPLESVSTVFIVPKVLNVKIVLMGIMEMPNRRLVRGQLENKPTPLKKPATESPDSVLATTTSSECNVINALRTISILPVVLDARVVVVFQMELFKSMWVFQTFKATSLTDNVNVNQTVKEKSVISAKIWSVETRQLQMM >CRE07655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:449332:456112:-1 gene:WBGene00068465 transcript:CRE07655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07655 description:Histone-lysine N-methyltransferase, H3 lysine-79 specific [Source:UniProtKB/TrEMBL;Acc:E3MP44] MISKSRQLVFCFSLIPSAPRSVEGKRTTVGTEKYSRDYLLGTKRLSVNKSTVRRLDKFVIHFFSVLKKTENNKKMMSANSNQLSVIAQSFGSFQRTLRTRAPASEKILVSPYVFGEDINYSEKDSHFLTVFLQYIKEDKSGLLNMTKWKVPRGFENSSLNNLKKFMNELSKRCHQLKLSSISDLPRTADFELWNQANCNATMIEAIMSLVYKLTIRDASILANKKKHETYGEVMPAQLAQFCEKLNMGPDDVFLDLGSGIGNTVCYIAGACQIKQAVGIELLDAPAAYAKEMEANFEEVMKFHGKNKSPIALYKGNFFDPKWEKTILEATVIYTNNFIFDEEMNIKLEAIFMKCPKKPKIISSKSFIGERMKNNKKYQEGLRGATKQEIFKGSKNNTSWTAQPVQFFLLEFKDTANIPAANNPVMAHNVNDGKDVQEEEDDKENRMEDQEDMMMVGEIENEEHQYDDDREIDIDLDYRYDAPVFDDLDDMEPLPLDGFELGRYDPQMEVEEEEDGMDVPKAAGDNDEENEEDEEEEPRFHDGVVGSLRSRQTYEGSKYVLTNSTSSPMLTDTLSLLLMGDTQFYFACDEDNIQCKSLTDTLRPKFFLDKRLNFINHAEINDTLLEGREYCRRLESRFANRVQRQAIDALLSSMDQKPAALIINGDLTQYGLQYWYDNFSIPFLLGLGNHDYQDNFDECEMNICAHTMLSWYTEYVRNNSIVADIQGKASRHGLEISGSLAYTKLVCSTLEKICAHVIQLNNAVNYSVSFTSFLVKWNISTPEIYLKNKLNELKSTSYPILLNMHQCEKPHTPIIRDMITEWLLSTKSYFVKQNITQKIGAFYAHWHPNHNSTLECIHGTKVPFVYVGSVPNNRFSKIDITATNATITGYKALDSKMNNGKVLKTLKTFDLWGPCVETEKIIFEEEAVEIETR >CRE07656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:461232:466086:-1 gene:WBGene00068466 transcript:CRE07656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07656 MKTRSYRRPKKPAQNPAEPQNRVAPTKATGKTSGKKTLKKNEKKTLPTSNAATNGKRKAVDTTEAIDASVVKRAKRDSTANGRNQRQTERDKKKAMASAQQARESRARNRNRADPPASIRRRRRRPARGPAVQAVLPAAVQVVFPADLPADLPAAVQAAVQAAILAAVPDAVLDAIPVAVQAAVLGAVQAAVQTAILGAVPGAVPDAVTAAIPVAAQAAVLGTVPDNVRVDDPADVSDTVPDTVSDSVLNTVTNTVTNSVPDAVTDAVPVLSEAVAQYPDASSNDATATKETEDSLSGSKESAAGPSTSLQDKEDKKSRVLKAEADGNLDSDLTHSASSSPAKDGNQQDPFDLPNYPPSPLAHTSGVVSNGAQSPAPTFLSHEGNQYEKSAEELSRGSEDVDVIERQESNLNMSGQLVREPAIISERNSISEDHQIETDTHTKLSEHLNLPETSGAERSLDQDQTDPILISSKEYRQNADSVTMDSLQMPSQLDNVQATSGILKSDSAFGPHQTGAIAIGWPESRQNDDPAREDNLPMPSQIGKRESAAISVTGRSTSPAYSTDNLVKIETHSMTSQLAMTPSTSGTSGAGRSLDQEPADEISINRAEYHQNADSLRIDNFPMPSQIGTTEPGVSPVTLGHQKEALMPQTSEIQHPPAPQSTLDGTLSNILPNDTHSHHFYNGLPMNYPQLGGASGQVQHLPHQMFGLFPAPSYRQYAGSARMDNISMRSQIGTTEPGSSAVIQGHQNGALMPQTSANQHLPAPQPTLDPISTQFLPNEAQSLHFHNGWPIHYPIHYPPLGGALGQGQHLPRQMPRAYPTLPWDDFTGQGSSNQFGENPQNPMPYQLVDRGISGQQDNNWTEINHLFGVADDQFAFCDEQFQYQFAAYNQSFANFNVDQIMHELPVSVASIQPPPAMPELNAEDYAKDGPAIWIKREGIIIDDVLKLEESHFINDKIIANMGDLLIEKVKNEEKERMIIMDSLFFACILEKKPEYLDANIALDYNTRNKLSETTNKWFKTENLFDKKVLLFPINADNHWMLTVVLNPRGAIIEEEDPTNHPPCRIFFMDPMGSIIQYRIENMRELIRTFLRAHFEAVMNLGGRTRKGTKFAPTAQFAPDRVQIATMKNLPVQENMFDCGAYVVHFMDGILDWKDGFSNLPAHVDPDWESWHPSSNYTLDMMREKILNSLIDLSTEEKRSRFSGWKRANSFETGKRHRRCQSAEEIKKRRHKEYRPRCITPVVFHFDTHPSKFPSFKRNPREFVELEDTKTVRRRSDVHKRCNVIYH >CRE07658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:477582:479061:-1 gene:WBGene00068467 transcript:CRE07658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07658 MFLLVIPIFYMPVTIIIILRIFVKLLYAVKDRNVNVPLFSAICISQFMCLLFFICDFFYIRLMTSGVFTSWCASVLPNRYLIILYTTTYYVNYATMLFPFLVSTMRLILFAYPQNQVKVRTCDDLSREVFGDINATILRVALPIIFIYPFFSTFFMFTAEGYCVQARGPFPFGSVILGFQGSLYGLKNSYFLLFNNIFWMSACLINNSILLVKLVQLKRSLSLHARSQRSYKAEVSLTFTTFSMIFSYLSNSMIVITAQLGGDLTYYAIMLRPFGNDLETCVVPWVFYLTHPIFRKKTTTLRVFHQDKLNS >CRE07659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:481744:482897:-1 gene:WBGene00068468 transcript:CRE07659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-114 description:CRE-CLEC-114 protein [Source:UniProtKB/TrEMBL;Acc:E3MP48] MVLIYGQPSDWKSHSNRTATIKDCMSYCVTLSTCVAVYVEKNAKICSVFHVGQIQKLKETLSTQGHKIAMKVEQTTTCAKSFNLNSMTGSVTTATSYLSYKIHQGTDGRWIFTTTQVLECPDNYRLFTRPKGLWCIGVISVNLCISGDDSGTKCAATGGVLSGLQTMEETNYIYGLGQAALKKDPTYNKFGYWVNGRRKSSCMPPAQRSASCNGVNEFTYTDPLLSAYDGYQFATNEPNGIVAQSTTSNCLNILFGKTVLFGVDDNGCTHPNEETELCYKGYVCGVKPSAPA >CRE14595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:839637:843563:1 gene:WBGene00068469 transcript:CRE14595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14595 MTEAMDKICMEYVLKFMNPNLRSNLSRRCPAIRPFEEPLPLPIQTLSVTPTSLQVNNITYNLGIIRHYPIEKTPEAVQEINEQGGLNYDVDIYGIRYEPNIPRDPGDTLFRENKFVSEELKFMDRMEELQEELLELQLADDPFLIPRIEELQDELTPLYHRYKRTSPPFDHYLLLTVLKNGAPLKTEVVAYTKLLPDAMKYLQAKVIGNRTLIVDTMRTEGVLLDGLKIVSLKNLEIKTGASDILNYLYHSLNHQNLFDSLEIHGDFAFEHPLVQTAQKLIFNDFGDEGRYQTMTTLKNRDVLVTHETFFKEHVMDLIEFLMVEAEHGKCYQFQVREDGIGEVQMLMEALKEMEGAKVEKASSLIFPDSILLPMANSLELLVDCLLDLQLSVDAKNVYNFRLKVQLSRAQGSSSV >CRE14591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:826389:827270:1 gene:WBGene00068470 transcript:CRE14591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14591 MAPPSPHRSSILNLFKAGVAPVDIIKSLGVPSRTVYGRIKAVNARIRRNPVRSIRKMAKGMKISSRLMERVVKDKRKLTCYRVPKAAILSKATRKKRLERSKKLLPRTRNGEHLVTVFSDEKLFTMPEEAFANKRTIHQASHPASVMVFGAVCADGKPPLLFVEQGAKINKEVYISQILEKTLLPWAQKYFNRCHWVLQQDGGPAHTAKFSQQWYETHLPAFIPEDEWPPSSPYLNPLDYSIWGFTKQGQR >CRE14716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:804126:809049:-1 gene:WBGene00068471 transcript:CRE14716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14716 MLNWDVKIYSEYLTIVDGTEMAVTTMGPGAIVEISDFEEYENLEFDETFLSESALLRVIDSPGILIFTQQCVESQNEPPSDPLIFWFNGGPGRPSLDGLLKGCERGRKDTSRERVLMEQDGFSRLHRVPQPEEWHLETDLSTKSIDTSARFAYGHGLIDEKIWNTLERDCCSGCIDSCDLAQVAGHCATLVEDTFQFLWFGGLNPYDLHRDCDPNPSVNSKRMSHMLRGVAPAMSRFDEQLKNQTKSKLYQFLKNKSQKPLTADVPCLNDTEMLSYMNDPKVREGDCSLLNGRLNRYQDTFITIRGVGHMAPQWRAPQMYYAVQQLLLNHPL >CRE14715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:793597:796780:-1 gene:WBGene00068472 transcript:CRE14715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14715 MSSSPPQRVLSNSSVKSILKHMNVGTRLRMSHYIPPLRGIEAASNLAVIDHLTLERDQLIIDKTIFKIDYYDAEFSEDDENGEESSYLQVTMKSGRFCNVERLLNDNRYIPEAMQYLTKKLFGGRAPIRVRYLAIDKRGPDFFLPDDVKIVVRDIKVSHYAATDLEAFRPNMHSASFPLETVTIKGARELREDTEYYHPFIQTAKLIQILDKAGAFPWDRVILELTNSQIHLECSKFQETIIMALIEKWENRGGENGACFSMGVGCKTALAACLDKVARREDAELSKNQIFGCADIPNMIMIPMKTQKSKIGIYVSKNLWKHARGKFFSQYDVNMAIFDFSE >CRE14587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:792047:793016:1 gene:WBGene00068473 transcript:CRE14587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14587 MVLFLLTIILILPELARAQLKCPPGYTLVNDKCLMIIETPLTHSRAEANCTFNGGTLVNIRNAITNRAVTQFAATAGIDKTWIGLFCFENKNTSMCYYDDNTGPILDYNSFASGYPMVDGIYGGCVYMPTSGSLAGKWVSVKCEAESIPVMCEVPVSVYDSNCAHNFNGYCYTPSSELPITTAKFADARKICQDKKSDIVSIHSKREVDYIKSLYRGSKSQVLIGAQQILPNTYTWLDGYDWNTFDYRDPLDQQRTDYNCLTMDSATGLWNRASCDYEYAFLCKRPIA >CRE14714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:789942:791281:-1 gene:WBGene00068474 transcript:CRE14714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14714 MNLLLAIFLILPVLARPQDQLECPSGYTLVIDKCLMVIKTPMRHLEAESACTYNGGTLVNIKDAITNRAVTQFAATTGIDKTWIGLFCFENKNTSMCYYDDNTGSILDYNSFASGYPMVDGIYGGCVYMPTTGSLAGKWVSVKCEAESIPVMCEVPVSVYVANHDCKFADARRICQDKNADLVSIHSKREVDYIKSLYRGSKSQVLIGAQQVFSNTYTWLDGHDWNSFDYRDPLDQQRTDFNCLTMDSATGLWNRASCDYEYAFLCKRPIAWSTVKPPTETVLAQNPSDFSNCNTTLLMTPGTITSYGYLSTSPPEPAVYCTWRIVTTGPYRVRLSFTDISTYNDIYVYNEDGTTFARVRYSQSVISPSNIVTVDFQATGRAGYKGFRAVALAY >CRE14710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:782923:785091:-1 gene:WBGene00068475 transcript:CRE14710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14710 MERGKKFYNELSSKEQNELYSLFDASCNTYLDSKSYNSGKTETIGYLLCCEDFGMCGTSTSRPLQGWVIILIILIVLLCLAGAAAAFWFFYYKRKMGGRDEEKEIESTADTANTGHDISVETY >CRE14706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:766690:768076:-1 gene:WBGene00068476 transcript:CRE14706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14706 MAMLKETVQLPEDNRLVYNFASDAGLLQTWIGLSCFSSTPDSCYWDDGSGNAASYNNFLRSSSNGIPSCTIMYLSRSSESTRAQWVTTWCNNDRNSMMSLYAYICEAPSTEEGTVVYSCEFIYNGNCYFRSAGLGFGYSPSNATEAAYACPHYNGILTSIHSKMEVDYIKNIYRGTNISRIYIGAQSALMTDRLSWIDGTDWDFDYMNPLDTNRGMCLVMDVQGDGFWSRVDCNLQFDFLCRQKIIPFTPEITSKETHPEIVLDSSNCNSTFVLSPESFSTFRWPQVPDVMSYCTWRVAALGPYRVGIFFDYWGTYGSLTIYDEFGTNIGEFSGIYEREPFASFTPFNYATVKYEPGNATGGNRDTGFHAVIRPV >CRE14581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:750426:750939:1 gene:WBGene00068477 transcript:CRE14581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14581 MRATSSTSPCGSKSFDESRRRFHSWRRVDLLRDLVKYGTQDEPADRELLAALAHTRWLMLSVESGNPHPESLVDLHEQFGRANICRAALASYDGMYFLFKWNQKEQKG >CRE03787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1319345:1321257:1 gene:WBGene00068478 transcript:CRE03787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03787 MSKQILLCDGWKMEDKVINKYRDYNEYTAKKDENEAVVYRRIEGFEEKPLDFEGISSDVSGHVNVAERINKPVDANQKYKDPYEKKYIICESYPKSNESWYKNDLDEKRIKGLMKQLFAGLEYIHSKKVVHRDIQPENLKLFANDILKIYNFTSACYSNREANDLLRDRHRGTVQYRPIELLFCKAEITTAVDMWSAGCVLAELFMKRPLFDGVSQPEVICKIFGYLGKPTTEVWNEIIKDTITRDRFDLDGVVEQGSGFEEELEKNKVPANAIDLIKNLIVYRPEHRLTAAQALKHAYFKED >CRE03790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1325283:1326360:1 gene:WBGene00068479 transcript:CRE03790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03790 MATYIVIEVSEIDNALEIMNILMTQTTARFTITRNICEYAEERGKLERATTEKAENQKITEIEEPPLKKTKNTEEAKPITPPPPKELVFPKIEEPDVFDFQNNLIDSWNSLQPPALQSTISELIKSSQSNGEMNQSLKTSNKRQNSTFQCQMCGAFIKAYHFDYYKRSNHAIIHTNLQRYVCPVSGCGSKTRHRSNMVVHAKAAHGLIGKVDVKNCLSPQEDEELKQMIVTCFPEMEGTIQKMLKKEKNKNGEALEEDGAVGSDDDFVEEIVYER >CRE04003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1327099:1328088:-1 gene:WBGene00068480 transcript:CRE04003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04003 MTSDISVSLTGDKDVLWKSCFEMDHEMMITVPGRRIFPLLEYEIKGLDPLKIYSMSAHFELVDEMKYRFVGGNWTQSPSTEEKADPRIIFHRNGPQLGQNWMDQPLSFDQIRITNRKSNERVNGPSFILILLQVHLFTQHRYIPVLTIYEGDQIVHISKIDYTSFITVTAYHGNELNQFKTNTNPYATGSRQDRRQKREAESSSLSAKRMKKVSEPSTSDSPVPIFPFLPVLPCILPQQHFLRQYQFLSLMGIPLQQQPMDMNQFFSTLHITPPITPEASSQVESSPVNDEQVEPTIDI >CRE04004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1330538:1332824:-1 gene:WBGene00068481 transcript:CRE04004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04004 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LYB0] MTKAADQNSCQTAEAIGGTRESKTNLLVIDGPNLNSTDATENGGNTTDMEHSGHSDYPDLPKGGGGLTSDEIQNPDDKLILTIFQEYIRLNLLPHLWYHGVMFGKTSEKLLGWENSYLIRRAVLKDRKFLCISVCMDKKVLHLPLSCNSEGWACGILFEKFPAMPNKRYTHILDLLNAWSLSTNYIVPIPRDKMILLHSSIQFGSVLGKGAFGEVFKGKYTPIGGSDPVEVAVKRMIGEPKRELIQDFFNVRIDSEVSIMSRLDHRNVVTSYGPCTLQFPVMLVMELVPGGDLRVSLTVTMHFYFSFFQKYLQKTPNIPHKQIILFALDISKAMCHLATKVVIHRDLAARNCLITKDVRVKLSDFGLSVHETNTVVKNLRKAPIRWLSPETLTKGIFNQKTDVWSYGVLCTELMTRCAADPLAPRDLKEVQKWIKESDHPHRIDGGEPRELIEIVDYCCEKSPSARPDFETVRKKVHRLYQKFADLELAHALSPNPNVTPPPQTPSPNPVEKKKSEDRRSNTDRRSTPDRRPPSNANTLTRKKSRDQNARKKEKTVERKAKEKEKQGGAGKKGGPIGLSSRRKDQKAGPVALPAGMSPGNNNK >CRE03792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1334871:1337696:1 gene:WBGene00068482 transcript:CRE03792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03792 MSFSTERTKKRSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCQELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGEFSDSERSWGEDWKSGRSSRYSAENEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDNELVAILEERYLKGAAKSLFKSLGDRQERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQRAPGQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKERMGRLGDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGVGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRDWEKEVEVFGKPTFTILNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVENWVEKILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRSASTGDVGEVFKSEGEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSERVEFVKSVAKEVEKVWMMPRSLQCEFGDVAKVTEKWKIWMEKSVNVEVVDPLMPVGKHKIPLILEKWNQKSLDGLRQYLRMALPNNSTGCQLKKDETLGQDTTIWIGESLRKRTPDRREEGEVASPRFFSHETHWKRRNQRREGTWNPDDPSHVKRSNMNSS >CRE14692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:699058:699562:-1 gene:WBGene00068483 transcript:CRE14692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14692 MKMVEPTGPEVVVDERTKALNNYRRKLAECRDIEQKLKDLRNKESEQTKQFDKSENDIKREIHWQNDEWNTLCRRMSSFDQLGGIKAEE >CRE14577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:696557:697604:1 gene:WBGene00068484 transcript:CRE14577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14577 MSSSMKKFTITHDFCGMLDYDENYDEFSEQEEHFHMNWTLNLYQDDGNAELIIFGNPPEYDYEDSEPFDENYSIETEIEIKLLPKNRKIERKTHKVVFTYHETFHKVRLMSWDVLRKRCSVDEELKVEVHIVITKMSRVAGRKTVDFGEKMREFSDVVLTVGDKKFHVLKKFLSFESSYFKSMFLGSFAESNKSEVTLHDIDSYEFQKFIEVLYGRNAIDDDYLASILRLADMFDASIVRERCQDFLVEKSKKSLKEKLELASEYRMENAKKKCLSDIKALDNLN >CRE14576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:693633:694771:1 gene:WBGene00068485 transcript:CRE14576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14576 MDTTNKKFTITHEFYGLLDYEEGDDGYTEHEEHFHMNWSINLSRSDGNAEFYVSCNPPEDDYEDSEPFDKEYSIEVEIEIKMISNNRRIENKGSRHQKAVFSYHNTTHDVRLMSWDVLRKRCSVDGEVNAEINFVITKMSRVAGRKTVDFGEAMREFSDVALVVGEKKFHVLKKFLSFESSYFKSMFLGNFAEANKSEVTLHKIDVYEFQKFLEILYGRNAIDDDYLVSILRLADMFDASIVFKRCETFLMEKSKKSLREKLDLSYKYKMENAKEKCLSDIKTSDDIRSAVPENREEMDPSLVAALFEKALDNLK >CRE14575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:687886:692140:1 gene:WBGene00068486 transcript:CRE14575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14575 MELKHYDGVVPKKPKYEGELCPICGDRVSGFHYGILTCESCKQYKCDSGANCHVEQSCRKRCPSCRYQKCLVVGMKMDAVREDRQRGGRNKFNSYYMKLRKDRSAMTAHPDSTSAGNQASRVATGQTAQIQYFDLTKYNANLQNLDSYSLPSSYPLVPITTNTSFLVSSSPSTTSISSASPILPLCFTPTETTVNQFFTSNTPTMEMSFIPRILSQTVKNDAHAFAVHVADENLHEIVKWAKQDEMFSKLELNDQMRLLQTSWLTIHIIDITNAMVLGTILPQYKIGNGGEDVSVGFIALLGNQNLVSSWEDIVVKLRNMGFSKNDYHAFRCLALFDEAAFAGKLQVLQAWSVSNTTLLEIFSQIRQLASNSTQYVWGLQTACPSLWERLNPNTSIALELIKCVATRSSGDRQMATPQTTYAPVVYMSS >CRE14689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:671724:678753:-1 gene:WBGene00068487 transcript:CRE14689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14689 MSSSPLLPSFSASHCENIGRDAASPTMHHPKMTDTSSLPECSKNISHRSVLHEVSSESNTEIIQESTEPDEIVVEITESHLACKICGGYAKGTNYGVLTCDGCRTFFIQYIHMKEDLKCRRNNNCVIDKFITKKCAKCKIEKCLIMGMDHNQRKTKKKSTCSKNVRTMASGNQIPDNHQLCKVCGDTASKIFYGVLTCEGCRVFFRRYAGKDVKLMCYSRGNCNLLEKFTKCQKCRMRKCLEVGMNRNAHVQKQNNQKEAKLRNEQVIESKFTKEVNKVVEIFKLSCTYKEEVIENFAKINFDLTLFNDPLIDRLNAWQAYAPIIDFENHQSGLFANHLPLIKTFEIIDKAILFKRSSFLMFILRNITKFNSDGFLLPSKRHIPFRTLETVYGDQTIINKIILVSSEFQSMQLTHQELSLFTAFIFLRPFSKEAQDWKIFKGFSTLKAVRNYYRSLLYQLLKKRENSMKMVTGLAKMSSKLYEINVAMDEKRSHSSENKVLSNELENVNIGQKLTLSAQKTDVQRNEYNFSDENCTYKLHNETISFLQINSKFMNLPPSLCEVYDIKKQEENLNPLDGNTAYNAAKTDSFEESEKINNPETQNMEWDSLDLDDIEFDSAEYDLSDENEEIQLDKYLHSNEGDIEFENALCAAEQENKDLDSIS >CRE14573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:667435:668754:1 gene:WBGene00068488 transcript:CRE14573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14573 MSKRKPLSYASLKAVIEHMDPNLRVLLSVKLPSIRHAEKATPMRLEDLEISEYGIFLKKNSYFHRIKVMRYINGLDGLRPYEARGVPYTTDYDVDKYGIIVIPFISTPGDLDFGNNADPHRLRTEEELRQVVALPPTSQDRLASTDLIDLEMRKADVESPYIHFIQLSNIYQDCKELIIEKVAYNQNLSIAIKYMIQKFLGGRAQGGPVKVKNLYLSCTSKYLRLPIDLNLDVQHLFVRNNKNGLSIFRPLLASHWSSLESITVDTLDNRDREILSSIDTVGVLGCPHWSTELPYRRMKFCHSNHTNQQYINIAKNLKVTQPSVGSHYTFILWKTKLKEVMELMKADDEFRSEQLQASKVTKYPECFILRINNRSDVLIYFSFDPTKNYASFRYSMEMEVQPRVENLHN >CRE14572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:665127:666461:1 gene:WBGene00068489 transcript:CRE14572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14572 MSKPKPLSYASLKAVIEHMDPNLRVLLSVKLPAIRHAEKATPMRLEDLEISDTDIFLKKNSYFHRMKVFVDPFKVCGPYKARGVPYSTDYDVDKYGIQVMPLTPTPGDLDFGNNEHRQRTEEELRQIMALHQNLLATTDLQDLEMRRENVKSPYTHFIHLSNITENQSPDNIIEKVEYNQNFTIAVKYLIQKLLGGRKKGGPIKIKNLHLRCRSKYLRLPINLDLEVQNLIVWNNRNGLSIIRPLLAPHWNSLKSITVDRLDNRDREILSAIATVGMAVCPHWSTELPYRKMTFPLSICQIQYYIFTAKNLKVTQPMVGSHYTFRVWDTTAEKLMEMMTADDEFENGPLRASKVSQYQECFILRINHRSEVLIYFNFDPVRNCRSFRFTLEMEVQPRVENLHN >CRE14687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:663435:664768:-1 gene:WBGene00068490 transcript:CRE14687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14687 MSKQKPLSYASLKAVIENMDPNLRVLLSVKLPSIRHAEKATPMRLDELQISETDISLKKNSYSHRMKVMRYINGMDGFRPYEACGVPYSTDYDVDEYGIQDMPLIPTPGDLDFGNNANRLRTEEQLRRIVSFPLISQDPLASTDLKDLEMRRDNVESPYTFFIHLSNICQTPVIYREKELVIEKVDYNQNLSIAIKYFIQKLLGGKQQGGPIKVKNLHLSCKSRYLRLPIGLELDVQNLFVFNNKNGLSIIRPLLAPQWSSLESITVENLENRDREILSSVGTVGVSGLLHRNVELPYRRMKFSQSLYQYQQYINIAKNLKVTQPRVGSHYTFTLWDTPEELMKIMNADDNFEKGQLPESKVFHHPECYVLPINNRSEVLIYFSYDPTDYRYASFRFKLEMEVQPRAENFHN >CRE14686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:660283:662751:-1 gene:WBGene00068491 transcript:CRE14686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14686 MSTSQPLSYGSSKTIIRYMEPNKRRLDLIDGKFIEDKYSKMFEDVDRYGFRIPVGAQPGDIDFGGGDVPDRTEADLNRAIERFKINEQTPDKSLVFESAKFQYRRKRLKPPYKFVICLSVGHNDELLEYSKPLRFAQKYLIQKIFEKREASNHPVEIQYLHLCARKCIRFPVGLKLKAKHLTVSKCLNNLDEQIRPVLTDCSFPLASIGTFGRIEDRHHPMIDSALEVNMYPTEMNQETVATFTHNRMHFNGDLNQLKDNILIIVENWQRTNRIVGTHFSFVTYKAEDVMGSLSLSGRTVQLPELKDCEINYALVFPIDDSSEICIQCPKNGEKRIDNVEHVIHMKVQMKTVP >CRE14571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:649791:655258:1 gene:WBGene00068492 transcript:CRE14571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14571 MFNRWVFLLLLVSVAFTETSKVHDVLKTVGKRFGSVLGLPDRNNQKPQYFGVYVSDADTYGTLTEQAVLELKNKTAFEVMTQFIVASSASNAGFKQALVLLAKRMLPDFVAEVCRKKMNKYQYLKYLTQNAALYFPTDNYKEAYNISKTDRYSNVFNFDIYQRDTMAVDTRIEFDIIMNATYHYGQIFHISYIHQGGLCPDIGKVSHSSRNSFDLIIDDVEGINEHNRTKMFLDLFTPQPWQYEHYHPNDLPTTWMYRFNKDETKVYVCQEGVMDVVEYTISQFDSWYFHFGTMWHPDAKMETSEAFKLSITLFKDYDIVGRSTMKLQMGVSPDASIREWNFKFQARRRGEENWIIDRVEVPCNPEVKYKDESLVAIRDIVAKKFVDYVEERNATQWYSTIDFVKEFTKKGKVDFVYCDAGAVSKSYQLILFTHDKGQLHSTKFTKYWMDKSDVPTPAPDTYEFRFKTMSEAASANPEFEYEHEWTIHFEWDQMDQFYHIDKIEMGCGKEFKDGEALAADYLIFNLGGKK >CRE14570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:647720:649059:1 gene:WBGene00068493 transcript:CRE14570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14570 MSPGLSYPSLKCVLEHVEANKRICLASRSPTVQRFEKTIPLRINFLELRTIGIQINDVIYVTGIICFEDFKGIGFINEKTRYKYLGVAPIELDSDERYSKMSCRLFEERALIQVGLLRPNTYWCIRNVPENFKIYTKNVVTVSDIKEEDISLIDTGCFPLDKLEMRVIRNSETYQLPAVKTAKKLVLMRIKGDQFLRSYSILENPVAVFPRLESDDSVLNVVESWVKNQQTSGIRFFETAGNNEKLLDKIMQKFGGSHVELEVPDERMIPSSKCVRISTSSTSSLLFYGSFIENCENKIFLKMEAESHII >CRE14569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:644258:645034:1 gene:WBGene00068494 transcript:CRE14569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14569 MKVNKPLTYLSLKYVLKYTDPNIRLQLASVCPGFSYTEKLVPLLKIDQLIIKPTSLTINDTNYTLGVIRHYPEVKAPKWVQEMNAAGAEVIQTDTNAEPLSNPSKFEIVAKKKKLEEEANMLRNRIRSYNKQLSLSSLKFEHYLQLTITSKSGTKFFERMVYDRTLKESMDYFIRKFLLGSRENLDVDTVQIDYIPEIPSSDRST >CRE14568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:639639:640454:1 gene:WBGene00068495 transcript:CRE14568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14568 MLEQLELSRLQLACVCPEFRYTEKISPLNIDKLNINPTSFTINGTHYKLGVIRHYTGVPLPGWVQYRNAEGGSSYDVGKFEEPRFRGSQLQTKEEISEREMRHFECEQKLLKLTLMLGRLETGKKLRPAELKRKKKIEEELEIDWSRTHFYNEYSLNYLQLTITSKNGTKLIERMKYDRPIRESMDYFIKKFLLGDRSHLNVDTLQFDYLPEFGDFKFRAKHLKIGYVDRVKAQNSLDEIACPLESKEVRERQFFMDPRFEAYSPHPFWEL >CRE14684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:637293:638387:-1 gene:WBGene00068496 transcript:CRE14684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14684 MESSLLTKLENTSISTLKPESFDRLKVIGKGGYAKVFQARKKDNNQIFAMKVVTKPDNKIDENHIKDEIRIFENVKSRFLCEMVHRFETTKKVYMVLEFLPGGELFSLLNREETLEEEAARFYIAQIALALEHLHNNNVVYRDLKPANVMLDRYGHAKLIDFGLSKFNLAKGERTSTFCGTIDYMAPEMLRNGGSYGHSVDIWALGILMYDMVVGGPPFSGETEKEMYANIRKAPLKLSKKFSSECREVIKSLLLRKVENRISISGMKQLDFFKLMDWEKLEAGELEAPFRPEITSDTDVSQFDSCFTDLPPEESPCKIVRSARQGCAGDEEFAGFDENLWFTSDWSSKGMTSKTTDKTKTSRR >CRE14683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:633149:635618:-1 gene:WBGene00068497 transcript:CRE14683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14683 MNWFSFFLLIILFQEGFCCLKIRPTVQCTCPDFRGLVIPGGNPSIIDEGGCDRKMICGKHFYTSVLFKFNETEISRPSDVEVYMDHWLRSTLDLGQNLESGPAIDFFDYFGIICENSTWYATKAPLGFEYTSIEGKWKGSGNGGELEGKKTKVDSFSCHPPGSAQCTCPDIRELVDPRDETTVTSMDIGLVPFTMKDGCVTSITCGTHIWTWIRTYYNESEITPPDDMYEYDASDYVNIDAVATGKWNDPPGYSIDMFSYFGLICENNEWYVTKYPVGIEYFTLDDIKRFGENGELDGKKSKVKKIFCMLPGETTPTTPVTTPANLCDKCDIHSIRANPENGMDLKPNSLTEIGQDGCVLTYLRCTIENTICISMQVYAKTATETVAIGNDDTIVSDATITCQPNGKYGFGTTKDIESVYCVYEGCM >CRE14565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:626425:628074:1 gene:WBGene00068498 transcript:CRE14565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14565 MSTNQPLAYQTSKAVLLYLNANLRLELAQRCLELRTADRTTPLRIYNLKLNPMDVRINDTTYGVALHKVPRRTEYLPEYIHENSHSSDNIFYDVDQYGFKDYSVGPDDSFFWESSEPSIDYEYYVNILLEMHSLTRADLSESAEKARSDILLSKTPDMSKLQCLFDRKDDDYLFPYILRHMGMNPHEMPYYYCIGLNVSTSNEKKTELVNYTCKLVNVVKYMFAKIFGNRELVIAKKMEIRSFYCFRYKFQSHFIVHDLTISSDYSKVLNELGPLLTGTEVLTVEEGLSNEQLQQLSNQRVIFCNYGYQREILFLVGEWKRNTPAVGTHYSFPVFAIADQVVYDAFDDIRQLEASRESLYPEARETKFPHCITFKLSEHSELNVYYEQEYMHMKIDPAGYSIEL >CRE14682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:623364:624636:-1 gene:WBGene00068499 transcript:CRE14682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14682 MTYDLSYPGQRCIIEFLEANKRIRLVSRSPVLQQTEKSTPLNLDHVRIASRSLTLNNICIVIFPTTEEITEDDARYQNRPAEDRMQPGFIRSGHSDSVWHRKFNEVVFMRNGVRIASRPLPENVKDYIALEKLNQIMLGGRKDIQVNILEFSVYYSRVILRLPEGLNFRIRGLSTNREDFKYYLPLIDSSSFPLKKLKIPFLGSEAFEHPIVQNSDCLTIDELSRLKEPISRNDIRKITNNTVVIQHSKIREEGVKTLIRDWLSGEKSIGTTLMLEKSFMPINQAILMSIKETYEEFEDDLENVEENFLPNCPCFCIPINNSSNLLVYGVDRGIDNNINWPVSAVVMKVVATTPEVMPGICQFENCVPLVLPSMIFVLFAFSYFCAPSTRP >CRE14563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:616355:620143:1 gene:WBGene00068500 transcript:CRE14563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14563 MTYDLSYPGQRCIIEFLEANKRIHLVSRSPALQKAEKSIPFNLDHIQIKINSLALNNISIEIHIATEQITENDPRYESRPDEVKMQPGFIRSGHSDSVWHRRFNEVVFFRNGERIAGRPLPENVKDYIALEKLNQIMLGGRKDIQVNILEFNTYYSRVILRLPEGLNFRIKELNTNREDFKYYLPLIDVSSFPLKKLQIPFLGSQIFEHQIVKNSDFLAINEMSLQLNESIPLNDINKLTNKTVLIQHSKIGEEGVMTLIRNWLSGEKSIGTTLMLEKSFMPINQAILMSIKETYEEFEDDLEHVEENFLPNCPRFCIPINNSSNLLVYGVDRGIDNNFNWHISAVVMKVVATTSEVIPRNYQNELSNEAFILSHCVAPLKISLKISVTPSDGNDKTTRIECIVRYLDSSQKLELAQRCLELRTADRTTPLRIYDLKLNPMDVRINDTTYGVTLHKVPRRTEYLPEYIHENSHSSDNIFYDVDQYGFKDYSVGPDDSFFWESSEPSIDYEYYVNILLEMHSLTRADLSESAEKARSDISLSKTPDMSKLQCLFDRKDDDYLFPYILRHMGMNPHEMPYYYCIGLNVSTSNEKKTELVNYTCKLVNVVKYMFAKIFGNRELVIAKKMEIRSFYCFRYKFRSHFIVSDLTISSDYSKVLNELGPLLNNTGQRSLQSLRIVVHDNNNDINHAIIKTAEFLTVEEGLSNEQLRQLSNKRVIFCNYGYQREILFLVGEWKRNTPAIGTHYSFPVFDIADQVVYGALDEILRQLDGSSERLYPETKKTKFPHCITFKLSR >CRE14680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:606645:612885:-1 gene:WBGene00068501 transcript:CRE14680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14680 MTSLSTHSIMSDSSTTSTAESDVIDQENINRDPIFYEPSRLQSTSILAENNQISTLACKVCGDKPRGVHYGVLACEGCRSFFRTNRDKKEELKCRKSNKCVVDKYSRNKCGKCRMEKCLKLGMDYNLRRPANGGFGKGRKLVIKKIQRAHDYCRVCGDISFEHFYDIVACEGCKGFFRTHHEKDIKLECRVDGNCDINIISRNTCKSCRMKKCLEAGMGLKHKVFSKSEFTEIVNKVGRSFGQSCTYKDTDIESVEKSNFELTRFDDPLINRINAWQVYAPIIDFENHQTGLFVNHLPFIKTFEINDKVILFKRNSFLMFVLRNIKRFSSDGFMLPSKFNSKIKIPYETLKIVYGDHLINEIISIASKLESMDLSHHELSVFTALVFFLPFTADAPERTRNKEKFKSHKTLELVYHCYKTFLNRMFSKYENGHDTISELDEMTFKLEDLNKLHRNNTISFLQVNSKFMKIPLFLCEVYEIPKPKSLSLSQLLESTNADCD >CRE14679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:590164:604191:-1 gene:WBGene00068503 transcript:CRE14679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14679 MPRKSSSSKETPPIEDTHARPRRNVRKNVLYNNADYELGHMETANSSSSKKTKAPVLDVEKPNLEKLKPDQKPKEIHITRDEDMDVAMEFEIPHDNDGPPVLGSVDDEDVEYGGMDDASEDEEAPDLEKNPLPKRRGRPRLPDGPKNRRKVQVNLTTHIPRVLPPTVTRATNRNQRIVKVFRDELEITPEGVAYAVVLDGCLTEFMRKEKIIEMLINADDYAQQFPTSKEQWFLPKPPRLPPLTTEKMCAVFYVDGQTCKNAKDISFDELRPWSFDSRNDSKSSKIKPNVRRHPVARLDGEFRIVKHETRLAEFHLTEYTARLPREQRLRKKVRLSRIVFGGVIFYLTRDNAIVGNVLIIYDYVREGNAPVPISLPHGNNRLRTAAMDDVDNAMETDTESPFEEDTYEGMRGGVYLKLRAGKLGWAHNKELLLDYMYNNQDLFNQVRNLNQSRPDLPPLIEDVGVFVYFVSSQYVTNQLHHAADGLSPWTTASQNEGDDMSRPSRVRSTKRPLYSESDGTLTLVRDQKEATDTTLFETMTTLARCNRVRKRVLYIQQNASRLLGNVCYIYEFLAIGPLPEIITSPENKPGHPTRYPKMEAHMISRQASYEDELQLIHPPITHKKEAPIMISSQESYDELVPDEDDMIMSKDMMESQVEEQLQYPDMLQNGYDAEFQEQDDPIDKAEKPEAYYDLVRELSTGHVYLTVRHKKIVTSLEHVLEWICNSNHVEERGVLNQTKPTHPPIVTNARAYAFFVAGTAIVPHDITKDDFSPWSHSGTEENPTCYRTKVRKMGVIVDPDTSIFMIKDGDYKDCPFHLVYLYSMNPRNPRLRKKIYYLMETESKMVISHSLILYDYNTSGDIVKLNAGLYKSLPKKMLRSTGQFDANDPTDEILVQEADRLSPFNLSPQQTVDGTYYLEVIDTEFWNDRNRQIQYLVNEPNIVAHLGCLNNKVPDLPPSISTRGMFAFFVNGEEVNCRLLTVDKLAPWSESSNSNSNITTTMRPKTAKTPLKLNNHGQLRVQRVSINDPTGCYQLHTYMATLPRCPRLRKKVVYVERNGRQCGHALIMYNYTEHGDPPQPLSSIQDWYSELEEPIREDIQQLSKSMTPGEVVKNIFELHGVHINPEWIHNLRHRTRLQDVMEPHVEEVVIEEHEMMVDGEIVIEQSEVIEASPIPTPSHFLEKPTPVTGYVRGNQRYEAMWRIAQKQYNDTHIDDTFDKLFRLLYEKDEQRLLHVISQQFNVNIIAGDMIEEEPMNFDDQMTGHFQEAEFVPTDDVAMNEQLDDNSIPFT >CRE14561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:586507:588482:1 gene:WBGene00068504 transcript:CRE14561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14561 MNASIKKIECEVCHKTFPNMYRLNEHAVAHSVDRPFECEICGNLFKSNSTLRQHMIVHTGTATFECSVCENYIWLVKRKLIFFTYTNFFSRRKNLKYHMKHVHGLVGDQLDIAIEKSINKKAAEVENGETEKEPRPQLHNFFPMSATYSEDPLVKNVKIEDHSQPFSTLLDAIKLEEYENQLVTPKSTSLKDQNQSPAAEVSTSKSVTGSHQSQLPQQESFEKSRSVRQPPLLSDHMDLNSHIHPTSKRKECKICGDIPVGYNYGVLTCEGCKIFFQSYYHRHAELKCRMHSTCFSKKNGQKLTQILSEL >CRE14560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:584876:585859:1 gene:WBGene00068505 transcript:CRE14560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14560 MNGSVIKCEVCHKTFPDINRLKLHAITHSNERPFKCEICGKSFKTKVSLKQHIAVHENVSFECSVCNKTIRQRNNLYKHMKNVHDLVGNQLDTAMYKSINKKASEVEIIWVENPQPQLHNNLSIIRMLAMDSEGPLSKIAKIENRSQPIKRVGLNDSQPFSTLLDAIKLEEYENQLIQEASESTPLEDQNRSLSAEVSTSKSVMGSHESQIPQQEFSEASKKFHFQNPQPSEFDEDSRQISHQIMRVAANSTKDRQDCFRQLLFATVFAFESSPTCTNVEEFFRMMGERYAKK >CRE23401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:15555:18929:-1 gene:WBGene00068506 transcript:CRE23401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23401 MSVVEEDEATRRAEEEERSRQENELLREEEPMEEGDEDKRVQEVRLEEIEKAINETCTDIKNQTKFSTKQCRVLLSPLIGKILEVEEQFRGKKKESEFWEKMNVKLNKTVLSLQNELEGKRPPQLPTSLEEPTATRVLSGQSIQGNEERIKLVSLLEANEIHTEAELNKLFEKYEQLEYELSVKMEYLQRSQRQTDSFRSELCRLKVKCDQQQQKLLTEEEKVKKMSEDLKAKNSNLRANSSTRGSECNQQMGERKESTRYYNADTSEIIDTIPLQESLDSGRNWNQRIVEQSAQRNIIVHNEHEMSNMNAQWRMAQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDIEAQKNFLETRFLAGNALTVYKGLPESDKNSVSRILDAIKRRLSQSEPEESRRAKSKFQALKLHKEQTVQDFCLIIDEVVRVGYKGVPEYQISSMKTTKLLDEMREHTVFEVLLQILGSQLRNCPVEEQYELCRVEATMFDEEWRSGKRKAAKNEMRMNRGQSDQYSNNVPRTGFTQNNASIPQQSSRFNRYTPNRQSNSYQTNSGFDSNSSQCTSTTGQQQNFSTSTDLNNQSVQKTDQQNQGPKGYVYNEKSPECWKNLVHSSESANNASSSALGFHKCSECNLTGCHAPTCSRAPGSNTSKVKINSTIVCFRCDQQGHIASKCPTRNASIPEVRVAPEIQSKVEDQKFKRKSDTKCSSDKESERELIDHEMETKDLCEGQSIAARVFKKDMVIGVDRVPIKSINSIEFSVMSSDEMLRSGDTKDDGLQTSCTKHAHTKDNNVGVNGEFTEANSQKNGNCDEEQKSERDQRLCSISLTKTKKRSVQQKKVSDVISSFGDLKRDQNEQSVQKSIVKCDSIQSYMSSEDGDGEKKRNTVDQKAVDNVMCQGPPLNSIEDSSSLNQNDNVDASEVTDIVKINTSKSKFLNQKCLTKPGLQKTGDDTCGVDFPKKTKRRNKEETRPRTDPPVSSPETSDYDYNSVFCFDSDLWLSDTSPGSMDSDNDNQLDSYQAWKKSVPKMFKSQVVPRPLKNPPVCTNQSTWSDTQFEPAKESPRPLKDPPDSWMEDVKWRRMQQEYRPRKDPPSPYCQHGHRNLLGCLQYTQFLPTPMSTVSS >CRE26775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:171654:172310:1 gene:WBGene00068507 transcript:CRE26775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26775 MFSFSHQSIPDPKRFTKLQLSILKARFSKCDLVNEQERIYLGKEIGLSPNQVMWWFARQRTNRKRAERRDTTTKTGTLTKFQLAVLKKEFSKCPRVSHGKKVELAKITGLRETQIQDWFSRQNGKNPVLRAQPSHPFPINFTPIRTPLIPMPFMPLMFPLPSLPIPFIGGINNKNSTMQVTGHGKVNWEYSVTPKLNESESEEPSTEVQEDKEVDIIN >CRE26786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:164230:166400:-1 gene:WBGene00068508 transcript:CRE26786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26786 MSAKLSKSKYDEFFKRRSNCAECVVCHKVIKFSTSAGTNSLKYHLAKFHSNELKKLNDEESASKRRKLDELESERLQSTIPFEPVSRTVCKASSSSVSLDNQLTKWTETGVKTKEIEEEIIKMIAVDVMPLRTVEKEGFIRLMKTVAPRFKLKSRKYYSETMLPQLETRLKKRIKNEMKGVHHFAVTSDGWSSKDGKHSLLAITAHWIDQTFTPKYVILGASAIKGRHTAENFITLFNRSLENYELKRESVTAIVRDGHRAMEAFTSRMAIAGIHCYSHNLQLVLRDFIETIDNMKTTIEKLKKYVRKVSKSSVSRDILIEIQNEESMPNRMLQKETEVRWSSMYLMLKSFVTNRKAITIIQLDHPELALPEISLDEWDKITELLEILKTAADTTKSIQSRFYAPLSVVIPSLRVMIHKMQRSLNGDTSETRSIHVQKFITLLEERTESYHSNTILRTATFCDPRFKDSYFTNSHKDHILRILRSDSQNIVSDDFADQSIPEIVEKPTSLFNQFLMDNCPIEEAPKKHDSLQEELDEYLRTPPISTSDPYDYWRLKTDLPELKKLAHKYLSIPSTSSESERLFSLSGLVCTPKRSSLKPETLDQLTFCSANLKIFGDI >CRE26773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:157074:158030:1 gene:WBGene00068509 transcript:CRE26773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26773 MAQRCLQKPHLEHLIFKIAEAPWRAKAPSTANTYKAANKKRQKWLEEKSLSNDVESFILYLADQASEKSSSALAISSAAFELENGQMETDFKTFATDLIAARRREEVRARSSPRLLQAGDVAKIIETNLVLGDPKTERNTLLALLSYAALLRASEVAELKWSDVTKEPKMLKITIRSAKNDQLALGRDTFVDCQPGSTLELLLLRWRINNRSEFVFPNLHNWSKLSASAVSSIAKKLIQRSGLQGTHHDFRRAAANILLQNGLRREEIQNRGRWRSDQGMARYLRDSPEAQGFRKEEVQEEEVVDPHEEHSYAFKLAV >CRE26785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:152115:154255:-1 gene:WBGene00068510 transcript:CRE26785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dsl-3 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3NDP7] MNHYYSLLFGILFLVFSQVKCTGYLEVSFKSDFNLKSVLNVSSLNNSSNSRLVPFLVSPNKTEKLAKIPIDFNETVILTVFVINQDRLDIDNATITATFTPRRGILSPLTVMYPFTGIKINIGCDTQYYGDQCNVFCCSETASRVGKECNSLGQLGCPVGKKGLDCKQSISKKWCKCKNKGSCISSFGKNLHERIQCSCPIGFTGIQCEKEVPSVEMMSVYGVDPKKFEIGTAKMLYESVVDNEMVEVARPHSSHLLHNLKINDA >CRE08487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:146624:147619:1 gene:WBGene00068511 transcript:CRE08487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08487 MFAPWVIENSLRKLPPRNGFSPHLANFLLIKKCATSLALLLSIVFNDSFRTSTVPQSWKKAVVTPVLKKGNASFSNNYRPISLTDPFSRIFERIICNRIKSDFAHMLSVHQHGFLAKRSCAYSLVQVISNYNNILKTHKSLDVVFFDLQKAFDQVPHNLLLNKLSSFGISPPLVAWFSDFLSSRSFSVKVNSFIDPSSSSISSGVPQGSVSGPLLFLLFINDLLLSLNDIPYLHVAGNADDIKIYSHLPSCLQAGIDLVSNWAESNFLPLAHSKTGLLRFGSLNPHHQFLIADSPISDSNSVRDLGLRVEPDLKFRAHLNRTVALARLRSS >CRE26771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:149298:150464:1 gene:WBGene00068512 transcript:CRE26771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26771 MQLCVSSDACTEDVALQNFFFSFPYLFVFVFPKFQVLILILKVMTAASPYRTAIINCSRQGMTPPMIVEKLGVPPMLVHRTINRYKRIGTLKDLPRSGRPISISTPPVIKAIRERIRRNPERSLRKMAPGFNMSPTTMRRIVKLKLNMIPYRIQKGAFLTEKNKQLRMKKARELLLGTHSGTHLTTVFTDEKIFTIEANKNGQNNRILARDYRTACQNGKILNKTSHPASVMVFGGICSNGKTPLIFVDPGAKVNQEYYREKILEAGVLPWAKSHFGNQFWTFQQDGAPAHRAKTTQQWCKDNFPAFISASEWPASSPDLNPLDYSVWGYLTQIVSTKNYANLNALKAALLKAWDDLDVNYLRAVVDDYPKRLRAVIQEKGGRIENHH >CRE26784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:140884:143369:-1 gene:WBGene00068513 transcript:CRE26784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26784 description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NDP5] MYRFLFFCAILCGVTNANKVEQVHLSLSGKMDEMVVTWLTQGPLPNVTPYVTYGLSKDSLRWTAKATTTSWKDQGSHGYIRYTHRATMTKMVAGDVYYYKVGSSQDMSDVYHFKQPDPSKELRAAIFGDLSVYKGMPTINQLIDATHNDHFDVIIHIGDIAYDLHDDEGDRGDAYMKAIQPFAAYVPYMVFAGNHESDTHFNQIINRFTMPKNGVYDNNLFWSFDYGFVHFVGLNSEYYAEKMTKEANAQYKWLQDDLSKNKLKWTIVMFHRPWYCSTRSAGGCDDPTDMLSRKGTNDLPGLEKLLKDYKVDMVFYGHKHTYERMWPIYDKVGYTLGDAGHIKNAKAPVYILTGSAGCHTHEGPSDTTPQSFSASRLGQYGYTRLKVYNSTHISTYFVDTDDKVGNFLDRFYLEKD >CRE26770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:123609:124577:1 gene:WBGene00068514 transcript:CRE26770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26770 MSDSAQVAVAAAAPAAPAPKAKATKAAKPTKVAKVKAPAAHPPYINMIKEAIKELKDRKGASKQAILKFISSHFKLGDNVIQINAHLRQALKRGVSSKALVQAAGAGANGRFRVAEKAAAAKKPVAEKQAATGEKKAKKPAAKKPAAKKAAATGEKKTKKPAAAKPKKAAGEKKAKAPKKVSKPVAKKAAKSPAKKAAPKKAAAAKPAAAAKKA >CRE26783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:115802:117606:-1 gene:WBGene00068515 transcript:CRE26783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26783 MKATGLYLLVAVIPVLVNSCLVVKSPPCTCLIAALDWSNIDQYAGQSWYDYVVQRALTTPTIKPFENNCGTYIHCPRPYTLYDAEFVDVNDKRRPTQAVGHCDQKTSTWNVTDGLISHETTKWSPICVDESTEEFCTPKTNTTFLFAYSNDMDAAKVQEMVGNMDSQSFNELRSSQFTSIANIRFDVLQEETIEYHKNYEEWITAMGSKLPDPSLGFTSPETGSDVLKIISKFINNTQVPICGSRIFILLKRSPNEQDITELVAQMRKYRVYVYIVASSISSGGSHPETIRRLTTQTNGWYYYYKDSSYSSFVRHNMTTTIEHVNNFQAFLYTPFFYNLNLIYAANVNLSPNGSIVLPKTTVPLHEEMGYVNYDNLVTNVMLLNASVYDMKLDYEISDDVINAVQIRIYAYGSNSYWIPYDD >CRE26782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:110302:115472:-1 gene:WBGene00068516 transcript:CRE26782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26782 MLWNRTRERILLLLLLIGIGLCARGDESETKGNPTETTKSNCSWLSDPFNVTDKTGKVTFTYNGSCCSDVAAEMLKNSSYQKEIESNKNLLEQMTAYNFSTAKFINAITDAKQSALVKLLYCIEDACPGMDSVWDNCSETTSSSSTTETTTSPVTTTKKLDCYWLDEPFNSYYAVGPENLVSVEYTGGCCTEAAKSALENGPPDHLHLESYQEDYKAEALYNYLFCIQGACPYMIPIWTNCSDLTTTTEAQTTPTTTESTTVTSEVTTTKKRQGGFPSGDTTRTSTSTTSEAETSTEKKETRKTNNGEPSDDNELTTRLTTLESTTVKTTTTISKHLNKHSSFETVFFSALGDLLSGPKNDHSSTTSSTSSTQTTAPSSTTTSEAPKNEEPIENEVTEEHEESTTTKISSKVTTTTESKETEKASTATTSVTQGKTLNNLKITDEYIISDSTVTSTAVQQEETSSTVSKTRSSSDDAETTNTDKETPETTTEADLEPTFSTTFDDSETSKDPEETSESTTPSETSESSWSTESFTTPLINLISSLTGSTVSSSSPKTTEMPMDSDETGSSTTQTSLEMTAGSTTPESGSQSTDPATLTTSGTHETGTTSSSTVSSSSSKTTEVPMESEGTESSASSGMTAASTTTGSENQSGTHVSSTTTRQGSSSTVSSSPPKTTTDSDQTDGPTSSGMSAGTRSSDQSTDPTAKTTPDTSETGSSEITTTSGSSKTDVTGTSADVTTTTGSSTLSSTHSESATAISSTGTSSRETVTGSTKSTAHGSTEKTTATGSEAVGSGTGTSTIATPGATVTGTQKTLSPSTSSTQGTGTVTTRNTEGSGSTSTAYPKPTISGHIIDYTGTVETTPTAKTSESTSGTTGKGDSSPMGSSTKSSSSSTTTKPTQETGSIGYTTGENKTSSTSIKPETGTSSDGSTVSTSGTNGTTKSSSSVKTSTTAPSNNGNTKGAGATTSSKSEGTKTTTSKSTKASNNSENSKVTDSQKLSTKPRTESSVSSSSSVTTSTTTSTPPPTSATCPMPDIDRRFTNRPTDAELKPFYAPGERVIHLCIKYYKMEWAGQPLRIYQCGEDGKWIGTFQRCVPDVPLPKKEL >CRE26781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:105555:107689:-1 gene:WBGene00068517 transcript:CRE26781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26781 MSSPLSNIKTESRPIWEQPPPPYIVLPELPPEDPSRHMTLQQYKKLACAPEIARQTWARVGQSCEAKQLLELTILHCFTEVPPLGKKRNSHQKVQNHYAIVAIKVWKRIGILYSQQVVSGCLMAAKIGLRRRLRTFTIEKKLSKEKVEEKMWEWPLYLYMRTYRHEDYEKGLRTKALKNKNGQPFVFELNDDDEKEEMENDRSVESIAAYNIMLPEKIPQLNTSASLDMSAPSRHAPYFASDTSGSSQNMPGPHSSHSAKGSLALSCSKRSAFLQVKQEKEEPQTKISQVEPNLSGSSRHVPAVSSQHQEAQYKPTQRDLPAPSRHVTGFSPDVSGPSQNMSGLSSSHSPSSSHQHRVPYYDPSLQNMLSYSPEMPGPSYQQAQAHHMNQSGFQQHRDPVGNQQNMSSFPSARSETDRYHFNEDMKYLNRKLLRIHEKNETLYKAARDAVLQVTSVIERKTPAKSLESVFLGLAQFFKDVKVPE >CRE26780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:91974:94021:-1 gene:WBGene00068518 transcript:CRE26780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26780 MQSQMLMPKQEPVARPLWEELPVPPHVVLPSLPIENPGAHMTVPQYLFAIQAPNVQEQKWATVVSRNSKQLLEWIILDSSTKYPMEGAKNRANIHKWYCTTAVSVYKRTGLVVHPSIIRDCLRAAKQHLYNRLLKHIKTDKLSPKEVEEKLWAWPTYPFVKPFRTEKMEKKMRSANLVDENGAPIVIDLGEDDSDDDDDEDLMPPAPATPAPATPASFKPTLPLNAIKAEYYSATPAKRRYAEVVPDQSTIATSYLPATMPKASFAFVPADQTMDTSGPMEPDSSASVNPAPSEQDLQDFEADMMAIHRDVMRKARKDPKKMDLIRAAHAQMMAEINTTKTNDLGEMFMNVGRRNIGNIFNTD >CRE26779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:56925:65419:-1 gene:WBGene00068519 transcript:CRE26779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26779 MSYAVNNMPISPSHRITTLATLVTAAPGGTAGECDKPKRDKPVLPESSIRTRSQTRKAKEEELSTLAASTTASTSAPTSASTSASTTASTSASTTASTSASTTATTTAPRKPKKPYSWPTKSTKAKKSTRSTKSTGNKEVKVEVEEPPVEEPPVEETTAEETLIAPKENSPSNTKGFFTTLLNFNPFGKSTAAEEDKQETTENQGIPTTPPGKSVRVNPFDIDLSDSELFGSIFSSTPISSPSSQLTPPFSALLSPISSSPLSSPFVSANSSPNFSPPESESGSETLTVRHFQLNSSIASSSKMSLNGEQNEQKPVLSDSEFDDNDQCAMDGPRLRVNSKKIWKDTADIIRLLEKSTKKFKDGRAADLVVWLNDVGKLLRLINVPAKTGIPLMPFFLENPARERFNTLKEDELTSWKTMSDAFVKLHECEADKEVALQEMATLVQGKLSIREFAEKIKRVGSYAYDDLETVSRERLMASQFLNGVHRNIRTEIRRLPTVPRTLRDMTLQAEKISRLLKIEAEEEEGDAMIAAVQFYHQKQQGGFNSNQNQGFNGNPNQGFNSHQNQGFNGPNRGNSRGRGGNRGRFGRRGNGNGFQGFQGFSGFQGNGFQQQQFQPQQFQNQQYQQHQFHPQQQFQQQQQQQPTGFPQITNGTTHGNRGNQGNQPRANINSISNFLMGLMMVFALFHGAAASSSHQICGFGVNGNTFIPPPIIPCIFNNELQLRRHQVNVFVARSEVNQLEAVKCFKTEIEAKTFGILSIYHRTVAEVGKQLEVPEEECRRAASEKKFDGGKLEEISPGMWRSNSLGTEINNKTLWFGSYVNHVLEFTLQTGHVGTLDGSTIVSDLGSMETCSWESGSCRTGQATIVWMPQSPRRECPFRSAYSTEAILDLNHVALEETGVFSRIDKDLRKLNQIKDDCYLNEALLTDDGLLIEFPDHFHRRPKHQFLRDEPFWIRKRREVAGIKGAGGEWIQFEIGQNFSTPIVWKVLGVRRLEEAPKLTNPIDNADILKEFKMYNVSNQLLSTRTKFYPEDRKHPQGEMVIALKAIRIAQYAWRERRRLTQLKRELTREERTMLGLIDSKDAFMFDPLLEREFGPSAADFLHLDSKYVAPRFDEAKLKSQPDIRPLDEASWSPPIAPTRPATTTTTIIRSTTTDMTTTTTTTTMPPPNVKPPSHQSKTTTSQLPQDVIIEDSMDRSSISKKSIQESFVDTCKDQFATASLFETLLSIDPTAAVRHLLKRKDVSAKRVGDTLMVSKCRTVTPSTIHWDRKVNDTCYDLIPVIVDGDLWFQLPGSDDLVGKAVVIPCNERPPGIRLENNRWIGAENREVNPQQLNRPNKITQDQFLLDAPKTFYTTMDEETGVSTGADKEKERRASRYQRDLENSLIQGGYLSDGLEFIKNTTSKVHKSAKEIYKSTVTSIGKGLEKVFFSVVQLLMWIAAPVIVILLFICFIYLYFKFRAFRRAAKLTKRATRETANVAIGLATQATQALARINNVHMDQRAHYEPVVAADDYPVIKINAVVNKCRFKIAKLPHIDVNMEGKILEALVDSGAAVSYMPLSSVRTKINSTTVPPAQAANGSSIPFLGTTQATIKIGDFYIPHTFLVSRDGDCPAPLLLGTDFVNEINKNHDFSINVHKGYVKIGTCEIQLNAVTVEEKIEVFPQKETIIEPQSEAVIPAIIPNYTEDMGTELYMEDTQEDSDDVYAVGRVLVRVGPKGKTMVQVFNPSSTSVRLKKNKPIAIGSKIGQVKTMNEVRGSPEADWESKLPKLPQETPKNFRISDWVDLSKSKLTENQKEVLRVIIDAHAEAFVGPDGILGEYTGPIKHRIDLEEGCKIPQTKIYRIPLEKREEIGKQIRLMLEQRIIRPSNSPFLAPIVLVRKSDGITWRFTVDFRGLNAVTKPVQSVIPNIQEILDLCGGQELYTSLDFQSGFHQILVEPEHCQRTAFACFLGAFEYLRMPMGLKGSPGTFQRCMNLLIEQVRARIFVYIDDVIITSGSVEEHLQDIDEVLGKIEQIGMKLKAEKSKFAMSEISFLGFLVSKDGIKPDPAKTKAVTDFPRPKTVKDVRAFIGMASFYRRFVNNFSKIAAPIIELTKKDEKFEWTSERQQAFQQLKDALTTEPILVAPRLGKPFVIEVDASGKGVGAVLYQQQGDDEKDLRVVAYASRVYNRFEKNYPAIELEALGLVFAAKTFRPYIDGAHTTIITDHSPLKSLMYRKELAGRLGKYQLTIQDMNINIQYRPGKQNIVCDTLSRYHPEKKEDAEATPDKQNTKKRGKIATADVPPAINALTSNHNIDFAKVREEQSKDERILDMANNLKKFTIHDDVVFLRTPEKEWIILLPPKSQYGKELTKMIHESLFESAHLGFEKTLQRVKSIATWKGMHQSIQKVVERCAVCQQNKDSAKLRIRAKLGRFEKTTSPFERVHSDYIGPLPETTQRSRYIAVFVDAFSKFIIAEPVQDQTAEVLCSVFKDRVVARFGTPKLLVTDQGTNYTSHVFRDLLKSLKVEHKMSTPYHHEANGQVERANQTIEVMIRQIEDKDEWDKELQTLVHAYNNAINTTTGVSPHVVMHGQEARSPLRNALPPKDHAENVNDHVQTLKETHEVLQKECHANIEKKTRKQEEIHDNKNNLNDVEIKIGDKVWIREARYNKIGKQFLGPYLVTDVQNPNVTVQHSGPNTRSKTEKTRTVHKNRCKVYKSEEKEVPTTPEDPAEE >CRE26778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:19535:21145:-1 gene:WBGene00068520 transcript:CRE26778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26778 MRRWLPAGDTMLQMIAFHLLSPVSAQKYRMEMLYEGPHDDDAALGIKNCDPNGPLMMYISKMVPTSDKGRFYAFGRVFSGKVATGMKARIQGPNYVPGKKDDLYEKTIQRTIIMMGKYVECIEDIPCGNIAGLVGVDQYLVKNGTITTFKDAHNLRVMKFSVSPVVRVAVEAKNPADLPKLVEGLKRLAKSDPMVQCTVESSGEHIIAGAGELHLEICLKDLEEDHACIPLKISDPVVSYRETVQAESSQICLAKSANKLNRLHCSAQPMPDGLADDIEGGVINARDEFKSRAKILSEKYNYDVTEARRIWCFGPDGTGPNLLFDVTKGVQYLNDIKDPMMAGFSWATREGVLCEETLRGVRFNIHDVTVHSDSMHRGGAQIIPAARRVFYASQLTAEPRILEPVYLVEIQCPEPVIGGIYGVINKRRGLVIEESQVIGTPMFTVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQVLPGDPLEIGSKPNQIVTDIRKRKGLKEGIPALDNYLDKM >CRE26777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:12427:13391:-1 gene:WBGene00068521 transcript:CRE26777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26777 MLVLSVGRMLFPQLNYLVTGLNTHENYTFGLKLKRLNNNILKQIEGGWEERKIKVKAPWESNEIFLDTCKGSVWMKDGVFFKSAKIYSEKKRTRLVTETEEKRQEVLLINTRCRYIPVLSIYSQKSEIASMQFLKSFEFEETQFVAVTCVKVLLSNFVAKSHRIFIFQNTAVVNWKTAKNKFARVDFKENLMKNRKRDSKKSEGDSGILSANNTMASSRDLKIVAKRRQEDSECYQATVFTMCASQSNGNSNLESLLAHGPSTSTVAHYAPNFSNYNQTNIKQWSTSVPDECL >CRE26764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:6932:10509:1 gene:WBGene00068522 transcript:CRE26764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26764 MSSNSTLWPNTIHFNEAYMNYRIQWNGWPQICAVLPWIYILPSFHVICKIFSVYLSANWTRTEPGLNQHVFLVISLSQLTVFIFFLFDWFMVRLPSTGLFTSWCASIEPNHFLKFIFMMAYYTNYCAMIFPFLMPVVRLVVVSFPRNHFKVRMTQPGGGTFYRISYRQKLTVVSILINSILLRVSVPIIWLYPLCFTFFLIPAVGVCRQISSPYPLGAIHIYYANAAFGLRNSYFYLYNTIAWLTLAILANILLFLKVAKARAQLISFQKSAVSYKAELSITVTTVVMIHFYVINGDFIIIYVLYYGTSSYFSFLVIVKAFANDAETCVVPWIFYLTHPVFKKKAISGDLVFSTSSFKRRINNS >CRE02693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig361:10631:12739:-1 gene:WBGene00068523 transcript:CRE02693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02693 MGFNQFSPINFHPLFPFNKLGFGLNRVLLSACTAYHLVSSHTQTLHLIPRTWKTNTETLQHVINEIDSDIKSFIPFTRNVPILNDISSEDKIILLKRHAFSIYLVRSAPAFTDCGFLLKNGGIIAWEKFHKLFYGGLSIKMKSFATAIELMHFSEAELGVFLMLIMLQPILMKDVVKTGFDNVFMLIENYALISRTLYYKLSTRDQEERLFDRIQGLLEQVNTINNLHNQTLDLIRKNLICFSVPRLFSEIFGVPRTVLDEEVAEHIRRIKESQEYLKSRGLLNIL >CRE11624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2057:70:3594:1 gene:WBGene00068524 transcript:CRE11624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11624 MIVPLFGILVRFRFPRKVIVGDIEKAFHQIPLQEEYRNLAMFLWVKDVDKPPTRDNIEAYRFKRVPFGMTSSPFLLAASINYQLDNNPHELNEEIKENLYVDNCMFCTNDESAIPRIVSEAKQVFNRLGMNLREFITNSPEAMAAIPEADRAKSDVIKLLGYKWDTVTDTITIKIAELNIDHPTKRDVASKLAETFDPLGLVSPIQVPFKRLMQRTWQQQGSDWKAPLPNELLQDWRALRTAFIDRVITVRRPLTPDYSDSRIELLMFSDASHDIYAALCYANFIRDGQPPVTQLLASKNKVKPSKELKWTIPKLELLGIVCASNLARSIIAELRVPIAKVRLFTDSSCALYWILSGQNTRQWVANRTGEIKANQARLLECNIETTIHHCPTKENPADLATRGMTTTELQNSSLWFNGPEFLQKDHDEWPCMINGTVSCPAEFQELVYAEIIDPATQKRKKPLMEKAAPPECNESVMSMTATIASGEKQPSFIPFTATNSLSKLVTVVTIILRTFSKTLKKKQWETPLMKEFTASEDPVHQAKVARFLIIKEHYKDAEYLGLKFPSSLSPYMDSDGLYRVQRQIDSPVLPQEAHRPILIHHDHQLAKLIVLETHEINGHLPENYTRAVLRTKYWTAQDGMLAKKVIGKCIACKITNGYPFAYPFTATLPSCRTTPSKPFSKVGLDYYGPIVYLRDDRKSYGKAYVLIYTCLATRGALLRLVPNANSETYVKTLKMIFTEVGVPSEIYSDNAGTFKLGAAIINKDIDHFVYSNTLTQFLATTSITCRYITPLAPWQGGIYERIVQLAKRQVLKECGSRVYEYHDLSYVISGAQGMINNRPLIPHARSPGDLIALRPIDFINPGVMTEIPSDHDEPPNPTGVTEASVRAHLNNLEATLERVWKLWSIGYLTFMREAMHQNRRCSTLVPEVGQLVIISVNLLKRHKWPLGVITKVNKSARDGQVRSATVMCRGTLVERPVCQLIPLELTSLNHQCNKDMSEDIKSNDAGISETEAPGLIGVTNPKTALPTPATLESLDITYAPELFPANVLPNIAAKSANHPAEKGTAEDEPEDQKQSNKTQIGTSTNPENLILEDAYSPEDGVYQDPQNTLPDIARDYGAENLPEGRSRDYHPRRAKATHINYVHTADMKILSRPSPPECCQLYHALHSFDNLKAL >CRE02691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig361:42436:45177:1 gene:WBGene00068525 transcript:CRE02691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02691 MGVMLEFFQECVFDKDMPDDLLLLLDSWTSWKDIVAIDSVKPASTSLKTVTIPPGCTGKIQPLDVGVFGQFKKIIKAINAYAQRNYPNFHVAVRDNILKVISLVFWQMSHPSLKEWVKEAWFLPGYLNSHPLPYDTPFDLLFPMDVAGHLNLIPSKWNIAFCVCASLEGVFWRISLE >CRE27837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig165:3428:5418:-1 gene:WBGene00068526 transcript:CRE27837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27837 MYPNYYQNSHNYSPTSGTTQNQQRDPGTWNGQHGNQDYLQYAANQYWTQTGYMNNYNPPAPPVQTPFEPVNPDAIKVTLHDSKLWKAFHVLDNEMVTLPNGRQIFPTLHYNVAGLPHSENYIFGLKLQRVNKNYLMEYRGGEWKETGKSVKADLESNEIFAGVMGGGVNFKNARIQSVRRYNTRGKDKEESEKPKADQNKDISLFVTSHCRYTPILSVYYMQSTEKKFLKSFVFKETQFVAVTGYKNEAVRKLKTNKNPFARPDYKEDFKEDYKEDVVENSEKDSTWDSGISSMNSTVASSIESPTSRRDSGVSSHSQNQYQFWGSSMTPGPFIKKEPTPENSRFDMNYTSGGASTSDSQNQYQFWNSSATRGPSSGVKMEPISFNMDLNSQYNNVLPLHSWQQDPYPLTATPGPSNQPWDENSFGQKQNYKF >CRE27838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig165:26259:27848:-1 gene:WBGene00068527 transcript:CRE27838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27838 MYPNYYQNSHNYPVAAQNQQTDANGQHGNHDYLQYAANQYWTQTVYMNNYNPPAPPVQTPFEQINPDSIKVTLHDTKLWKAFHVLDNEMVTLPNGRQIFPTLHYDVAGLPHSANYIFGLKLQRVNKNYLMEYRGGEWKETGKSVKADLESNEIFAGVMGGGVNFKNARIQSVRMNEEVHCN >CRE09846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig322:41416:42465:-1 gene:WBGene00068529 transcript:CRE09846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09846 MSFSLSRPSLTLATLPFLPATHIIDYLDIDSLYCLAGTARVFKEFIQDMKIRTGGYNISIQKGQYEISLPEVNYSYYHYEGDAGYLVDLMNQDLKDFMRLFPGYIDCLQVDPNLVRHFHLFNQACTVLSVGGQPFQYHGQKVDSCTPEQLEVLLNFVNFQKGLALNGPKPLITENEKIFNIDWLQIRNATWITSEFLKKLKNKIVFLMDTEELTEEHINQYIRGGSIDNQYLQVIGFSRETFWNKEAILNGLGTVRAVDQIYKVADFDKRITTEMFLPKVSNNRDIKIEDSFDFTTNDGTKVSIDISRRTVRVYIWNQNQYQDKEKIQKVTKKRRHTEDINGPDAKKSC >CRE09845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig322:25809:40622:1 gene:WBGene00068531 transcript:CRE09845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nkcc-1 description:CRE-NKCC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJ77] MNGNGENGTDEKQKLLASSLAGGGLLTTSEEVVEMNRVSSARFQVSKTDEETGEERKLKSGSEPPPPLQNHESIAMVTRKMSATGRFMVTSDTHPGQLVAEIANELDIVAPLEAPERSPASRAKGVHFSVGDKDSGSLSDETDEKRRDGTHENQTTFNMKSWRNMKTIEHPPIIDFYRNSIDTDGVFNRPSMAQLIHGKQHKEEDLGFEELNAQHHHLDDSPVRETKKEYRMEKMNAPPSSQNRVKFGWIQGVFVRCLLNIFGVMLYLRVSWVAGQAGVGLGSCIVLLASLVTTITAISTCAICTNGDVKGGGAYFLISRSLGPEFGGSIGIIFSIANAVGAAMYIVGFGEALQAVLKDYGVPELIDGGLWDVRVIGFVTCVILMGIVFIGAEFESKMQMGLLVILLASIADYAIGTFFDETLLQSMSNNTLRGVTGYSFNTLQSNFLPHFTDNNTFFSVFSVYFPAATGIMAGANISGDLADPQRAIPLGTLLAILVTTIIYLLMVWMTGSTVVAFSSGMEIAQFNNSVFLPPECTPNCPYGLVNNYQVSRNVVEMTSLWGPLIIAGIFAATLSSALASLVSAPKVFQAVCKDRLFPRIDYFAKTYGKNEEPKRAYVLGFFLAMGIVSIGDLNVIAPIISNFFLGSYALINYACFDQSFADSPGFRPGFKYYNMWISLLGAILCVFVMFIIDWFSALVTFFCFAAIFMYLLHRKPDVNWGSSTQAHSYKNALSAMIKLSTTEEHVKNYRPQLLVLSGNPASRSCLVDFANNITKGSSLLVCGQVVQYDPSDRIHTVIRKLDEIVAIWMRKRHLKAFYRAVANSSFRKGAQCLIQLTGIAKMRPNIVLMGFKSNWYKEGPTEANLNEMNEYFGTIQDVFDWNMAMCVLRNGHVGLDFSEAMRNLNLVEPNRLNVPNIEEKNEKEKNSSPETVHLIEKGDETARTEKSGDDASSSISINETYGSDENPDDNDDDDNDEDGDESGADDEKANEEDVELGVIDQEEKRHFSLRRRGSRRHTVEQKALLSSIQRFQRKIKKGTIDVWWLYDDGGLTLLIPHLLSIPKSYLEGARLRIFTISTSSRTMEQEQRGMAALLSKFRIDYSDVYVIADIGKKPRQETMNTWQTVIDPFIAQDGSCPTGMTTKSELSAQRDKTYRQLRAGELLQEHSIKADLIVMTLPVPRKGMVSSSLYLSWLEVMTQNLPPVLFVRGNQQSVLTFYS >CRE02576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:35372:35896:-1 gene:WBGene00068534 transcript:CRE02576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02576 MVLKNFQKPHREYIKGLLADASVSVRTAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTEMMSLNKKAKAYCRTANVDTPPYSLGNLFGNMLANGAVLSK >CRE02577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:46981:49771:-1 gene:WBGene00068535 transcript:CRE02577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02577 MVARPTRFIGVEVYSLVHRNFILPAPAIRLVPDNRRTPMVIRHQVSFGGGTTSVRRRSFAEVVIGASRPQFRAFSGDAKRTGDPMQFNATTSVSSEEMPIREEASAVIVPIREESIALVSSIREGSSVLVSTIREESDVLVREGSDNWHSPICEESCEMDPWHRDESRGLDTPYSINTEVRSSVSSGIGKQVSPVVTATICEGSDTDAVASDNVKGCYENILTELCSNSETHPRVMTISLVDDAVIVSKQEAESDHVTSSGNEDRKQCSHMHSTPHVMMISLEDDVRLSRYSGSDNEDLAEFVRSFEDKFAITGKDDNVKGKFFLAYLKEDARDTVQEVLDNNKNATFDQLVESLKKRFMNPALNDRFKQQLRSRTKRAGETVEEFYRGVTRLVKRIHSSTSSAVAKDAILDQFLYGLDDNIMKMHVKLSKPKTPQDALETALSVEGVMTMPKHTDVLSIPRVLAATAEKVTSRDNSLRESDDVRKSSVGSQQCYYCQDEGHYAWQCPEKARRHHQPGSSRAQIGCIHVNARVDELQQALRANEVLQQRRDRLTDQEHEGNFRSECYTIQCRNENAQKGPSCNAEYKDFGAPASIISASIPIEANDYACLALVDTGAAITLTSGVMCSRLGLPEPEAPLKKTVIGIGNASVKIAGSRVITFTIGSYRINHRVHITAEPLGDYDFLLGIDLLSRLPNIGFDFREAKMSIGKDVLPLGERSKCQECQRRSLENRTLKDQKSSWSEEAHEGTYTDFVIVDSWAEEMESSQVQEAVESKPSKLTKRPKLQSTPKRKGTCHYWGRTSAPVTLSWPRRSKLSGNKLKSCSCRIESSFLNVLPHANTHTRAAPQQSTEVEKTEVEVEKTEEEQNVTSSSHCDQEQKDRQSSM >CRE02578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:66333:68798:-1 gene:WBGene00068536 transcript:CRE02578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02578 MNYTNLEDYIIDDEPTGPTTPFDINNLETSVPEGSTKEPYMPKPVTKPKPGQHNYSDDSTDGYSGGTGYTDYSDFPDVPGGSSELPMESIPPVHWTKSTPRDFTISQKIDENSAGYQQLLHMLEDLGHRRKDDIIEKQQTGLMDSIDNSFTNVAISNITMAQEYIKGLLAAASVSVRTAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTEMMSLNKKAKAYCRTANVDTPPYSLGNLFGNMLANGAVLSK >CRE02579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:95652:97873:-1 gene:WBGene00068537 transcript:CRE02579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02579 MSEIVDDERTGPTTPFDINNLETGVPEGSTKEPYVPKPVTKPKPGQHNYSDDSTDGYSGGTGYTDFSDFTDVPGGSSELPMESIPPVHWTKSTPRDFTISQKIDENSAGYQQLLHMLEDLGHRRKDDIIEKQQTEFYVSRASSLMDSIDNSFTNVAISNITMAQEYIKGLLAAASVSVRAAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTEMMSLNKKAKAYCRTANVDTPPYSLGNLFGNMLANGAVLSK >CRE02580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:114549:117658:-1 gene:WBGene00068538 transcript:CRE02580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02580 MIPDIIDDEPTEPTTPFDINNLETGVPEGSTKEPYMPKPVTKPKPGQYNYSDDSTDGYSGGTGYTDFSDFADVPGGSSELPMESIPPVHWTKSTPRDFTISQKIDENSAGYQQLLHMLEVNTKKAITKGGYSFFSRMNEAIRTLSVNQGAPLKSMRFIPENGEIALEVQASENSQIKVFSKADSMKLVTKLKDVVKAALLKTFLEIKPVKSKVVQVLQLHPQSNKFVNDGKNISISTQKFVHPARLSQLVCNGNNFSKELPKNCRWCGYECESQAHILQHCTYSLSSGITQRHDRVLNRILQEVIKGRKNNNFYDIIVDTEPGPTRERPDIIMIQRDGPEVLLADVTVPYENGVVAIEAAWDWKMKKYSHFIDYFARLGKRAVILPLVVGSLGSYWPDTSNSLRMLGLSDGQIRNLIPDISMIALESSKQIYWRHIFGDSYKIVTELYCRKDQQEVRFGDEPMDNVQVSDRFQPFKTRPEEKRSEEEKKRRSKSKKDKNWRGSKKQTGARQTGKDSQNQLFQGSDLGDRRKDDIIEKQQTEFYVSRASSLMDSIDNVRNEGNEGMTHIQF >CRE02565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:130418:133033:1 gene:WBGene00068539 transcript:CRE02565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02565 MSDKTSSKQSTLKIDDDRMIVVETQMSVKERRKKVKKFTKLIASSVKVEDETRLQLGELTTKCSEQEADAILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAAESEEAEWSVGKVNLELQKVQYEKNLLTECWNEEKEMLGEQIRKIQREKEVAEAQVSRLEKALKQLMKTVERQERKPNGLWDETQGNRSWCERVENWDIERNDEGNRKKGGEDAFRRKTLSRSGSSEVNEMVQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTESDDELVAILEEKFLKGAAKSLFKTLPKRYERSIQSLFEEFEHKLRKRQGDSKIEALNEFEGLEKRSDQKMWEYLVEVEKWSRMAFPEVKQETLSQMRTTKLMKAARADETLHKMLIMKRFELSLEEQYDHLKDIVLQQENEQRRGNCQKGRYSEGWRERPKAENDGEKDVAEKESGENRYWMEQRCFSCGGVGHLARQCPPKPVQSVEVRGKGEGAGKVAVETVKLLGQERRMVIDSGAAVSVMSTGAWDGLKRNCRNWMEVVKRLGKPSFEVIDTSKKKMRIIQQIEVPIQVRDRKAEVVFQLVENDAEIMLLGTNAFKSIGVSLEWKQERMDVQQKKGKRDATSSEEKKVFMVGNLGIRVENTKPSGKTAEKSKEIALDTVTEEKKEGMKPKKTVIRESKILITPRIGVKGKSIFEYRKSALNTWKNRFGFANVESIVFLLELTEDEETNQKLGDLVRKLAEEGKEITIIPYKMDCAKSGLVKSWITADNVKWSDSAASAGEKFKTWEQLLEFLEARTTENMVVAQLRKESVTSEPRKKENKWSHDFQEGLEQRRFHHIFQLRHQFHTVSFREYFDL >CRE02582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:149611:150452:-1 gene:WBGene00068540 transcript:CRE02582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02582 MESSNSNVQIKLLINIFYPCRSLKPLLQDLGHRRKDDIIEKQQTEFYVSRASSLMDSIDNSFTNVAISNITMAQEYIKGLLAAASVSVRTAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTEMMSLNKKAKAYCRTANVDTPPYSLGNLFGNMLANGAVLSK >CRE02567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:151109:151600:1 gene:WBGene00068541 transcript:CRE02567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-51 description:CRE-UGT-51 protein [Source:UniProtKB/TrEMBL;Acc:E3N4V0] MDTAAALDHSAKRAHLKKGSHVNEKSSAGLTAEGGEDRLVSYKTATTWFKNSKEENYNLDDKSHSGRSRLDIDDDITDVLEDEPRSSILEVSSHTGPSFATIFRHQKEYGRTAEYRQVISQELTDSQLKRSCDLSQSLLRRSLCSVASVVLIRFSNIVLEIAE >CRE02583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:173345:177874:-1 gene:WBGene00068543 transcript:CRE02583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02583 MIPVEEIRNNQISLMMNQLDPPLHSISTIWRQVFQKGLQKNRTCRNRLLRLHRCPGGSSELSMESIPPVHWTKSTPRDFTISQKIDENSAGYQQLLHMLEDLGHRRKDDIIEKQQTEFYVSRASSLMDSIDNVHTAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTEMMSLNKKAKAFCRTANVDTPPYSLGNLFGNMLANGAVLSK >CRE02568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:185646:190171:1 gene:WBGene00068544 transcript:CRE02568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02568 MANLIKCPKCVNYTSQKSSNVVRHLQVVHKATNDDVEEFKKLLIASRAFDKHGGGAWECEICKVSVATKKTLESHMTRKHPKKPLDPSLITLSSSPPLKSPPAKKIQLQKIDESSILIERVATAPQKPQTSEGTEGRGNVACPKEECSAKFNSRQHMAQHFCSSHAPDSEIESHVFDTDSEYRDWVNMTEEETCTGWKVRNAYEAGDVKVSYRVCRHEGHYKSIAKDRQMGSSKKKTGESKCPAFLKTEKNEISGKISVTGYFEHHGHLQEHALRKLSNEEICHLIRLMKDGFSNSQIIKKCDAFDMSSRLAYLVPDDLRNIRASNNLYEGRFHENDLESLKMRVDRAWPEDGIMKYSAPDEKGAGFTLIIMTPAQQEICEKYSHRGICIDDTHNPTKYPLKLTTMLVLNGQDRGIPVAFMLSSSVTSEDVAELFECVKRQIPLFNPQFLMSDESAAFWNAYIKVFPNNPTRRLWCRWHVLRALERNADDMLGKKDAATVKATLSDVIREPDRISFDRKISSMLQFLENSGHGGEKYAEYFRSYYIDKTEIWATCHRRGAPFHTSMFSENWHSGLKKNLLNRNTNIRIDELVQVLFDGFTWVVRRIAKQVGRQLKKSSARRSQNLKNCKLASTQLDKYSINRNEDGQFEVEKRGTDDVYIVKDSLGCQCFADVRFSLKRLMLLFLRNFRKIVTVTVERALIDSLVHVCINCQALLANIFTSQSDTTDPFDSPIPDDFDIAPFQNLQLPPVDQSFHLPSSLELSKCRAEKIIDDFNREIEALSQKMRVLKRKPENIEKMNQILELVKEANELIPETTNSTLSVRRDASKKPLRQIDINIARSERPIDRSFCLLQTACHKYDVSLPKAIKTKSFHNYIFIETTHIPLLVWYFDSDTSETRRLHVIENNMFLFLEFFVVTTKRKKASKRNNAFPSGTPLKVDKDPAICNVCHKVDPPLNSDIDDEEVDCQVTEWRRCSNEKCKLPVHFLCSNSTCPSCQGTFERYVPSSSSGSSDDSSSDSEIDFSALQ >CRE02584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:216686:217728:-1 gene:WBGene00068545 transcript:CRE02584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02584 MAQRPQLKDVTTLNQLSTFYPTEKDIAHLLMNEKLIRNEASCGKCGNKMSLRSRGDGYEWRCRLSKGKDCSSKSIKSDSFFAKSKLSLYQAVKILVLWNQRLSSKNIASESGVSEQSICDWKNFLREICVRIEERYPKIGGLDHIVEIDESNVHTRKYGRGQGIQEDWVLGGVDRSTGRVFAQRVPNRAAITLVPLLQKSIEKSSIVYSDECLIANRRVCTNGVEAMWSRMKKPFKSSNGTSSDLLSSYISEFVCRENEKDQFFATILQEIRKLAA >CRE02585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:219983:223119:-1 gene:WBGene00068546 transcript:CRE02585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02585 MRSIRFLLFLAVLGLEVVLIRGKINPVALGSEHSGDTDENFISQDIEGSGVPPKNLSTIAEQPNVGNEELVAEGSGGPMIPPKPDTSGSDDFEDGASGEPMFGGSGDPGVPRTEKPLPPFVEDLVTGQPEESTMPPKDPAEFATHEPDIIDDEPTGPTTPFDINNLETGVPEGSTKEPYMPKPVTKPKPGQHNYSDDSTDGYSGGTGYTDFSDFTDVPGGSSELPMESIPPVHWTKSTPRDFTISQKIDENSAGYQQLLHMLEDLGHRRKDDIIEKQQTEFYVSRASSLMDYIDNSFTNVAISNITMAQEYIKGLLAVASVSVRTAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTEMMSLNKKAKAYCRTANVDTPPYSLGNLFGNMLANGAVLSK >CRE02572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:262992:266240:1 gene:WBGene00068547 transcript:CRE02572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02572 MILYLFVVALVAHTAISLDTTKTLTSQLHEFQLNNSAGVMSALDMMPKECSKNGKKYKPDESFEIGNLRYKCQNYGVYTIEGCKRKDGTEMKLGESVVVDNVKHQCLGMGSSVFYKETTCGVMGQPECDKIPLPKGFEEAMKRNGGKTETQGKTSVDGVNLPKGWSLVDGGKKQITGTNASVVTHILMFNPTQTRVRRDGFKGSGVGSVIGVESMSADQIGKPLSSKGISSSSSSSSSASSSHSPSSSSSKIIGTQTVGATLQTIKKGDKHDSDLVDLNQRNDQRMEGSDKMKAGSVHGSKSNVDWKGRKVIVNGKTVGTGEGTFSFGNKPTA >CRE18395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5589:577:990:-1 gene:WBGene00068548 transcript:CRE18395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18395 MKTSTVVNGEFLFLIRKKKKKIKFPAKHFKLLVVGGGAGGLGAASKFARKLPRGSVAIVEPREDHYYQPGFTLVGGGLMTLEANRRKQETLIPKGATWIRDKVQLFTPTQNLVKLRGGDEISYDYMVIAMGIQLRFDM >CRE20904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:198152:198792:1 gene:WBGene00068549 transcript:CRE20904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20904 MMKINGFGKKMTAKQLRTKFERQDSCDETYFDEFIHLQKKSHKWREPPEKALRFENLIIQETNLPLEQIEESKKMLGDALQLCGIENEIASFMKRKFDAKYGGHWQCIVGRNFGSHLDPIQFIHFTVSKISVILFR >CRE20903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:193402:193822:1 gene:WBGene00068550 transcript:CRE20903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20903 MMKINGFGKKMTVKQLRTKFERQNMCDESYFDELKESHKWREPPEKALRFENLIIQETNLPLEQIEESKKMLGDALQLCGIENEIASFMKRKFDAKYG >CRE20902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:188182:189032:1 gene:WBGene00068551 transcript:CRE20902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dlc-3 description:CRE-DLC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N3S5] MLLSCGYRGRSPIITLPRTPETSQTKDHHLVFLFRLKSDTFRDVSLPSISHLSFLNFFSKSLIFAYIIAIKMMKINGFGEKMTAKQLRTKFERQDSCDETYFDELKESRKWREPPEKALRFENLIIQETNLPLEQIEESKKMLGDALQLCGIENEIASFMKRKFDAKYGGHWQCIVGRNFGSHLDPIQFIHFTVSKISVILFR >CRE09709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:406736:407901:-1 gene:WBGene00068552 transcript:CRE09709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09709 MAAEAKPERNIKISSSDNETFTVPRNVIRLSTTINTLLQDLGLDEEDAVNTDPIPVQNVTAPILKKVIAWCTYHYQDATPTDDADNREKRTDDIASWDVEFLKVDQGTLFELILAANYLDIKGLLDVTCKTVANMIKGKSPEEIRRTFNIKNDFTPEEEEQIRKENAWCED >CRE20901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:148816:149123:1 gene:WBGene00068554 transcript:CRE20901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20901 MFGDALQLCGIEKEIASFMKRKFDAKYGGHWQCIVGRNFGSHLDPIQFIHFTVSKISVILFR >CRE20900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:134165:134793:1 gene:WBGene00068555 transcript:CRE20900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20900 MMKINGFGKKMTAKQLRTKFERQDSCDETYFDELKESRKWREPPEKALRFENLIIQETNLPLEQIEESRKMLGDALQLCSIENEIASFMKRKFDAKYGGHWQCIVGRNFGSHLDPIQFIHFTVSKISVILFR >CRE20899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:129627:130255:1 gene:WBGene00068556 transcript:CRE20899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20899 MMKINGFGKKMTAKQLRTKFERQDSCDETHFDELKESRKWREPPEKALRFENLIIQETNLTLEQIEESKKMLGDALQLCGIENEIASFMKRKFDAKYGGHWQCIVGRNFGSHLDPIQFIHFTVSKISVILFR >CRE20898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:115644:122443:1 gene:WBGene00068557 transcript:CRE20898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20898 description:5'-nucleotidase [Source:UniProtKB/TrEMBL;Acc:E3N3R9] MTGLSLDEILSLPQVHVKDIKSLTDKLQKFTTGGADQLMVISDFDFTLSRFSDKSGNRCSSCYCVFDSAVGTNNPEWCRKFVGLYHKYGPVEHDHSLSIEEKVPFMEAWWQQSHELIIQGGFKKQAIDDYVAHCNIQLRDNADIMMKKMSNHSVPFIIFSAGIGTIIEMYLKHKFGRVEENTHIVSNMMGFDTNGYVNSFSDPLIHVFCKNSSVMPADRTFSQQIHGRKNVMLLGDSVGDAFMDVGVEEEQVSLKIGFVNHDADKLVDKYLHYFDIVLVDDQSMDIPNQILEAIYAKSY >CRE20897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:102283:106996:1 gene:WBGene00068558 transcript:CRE20897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20897 MIRLLLLTLLTTNVFVTATTTGIPRIHPTTTTTRIRIPRTATTATLKMIPVTSIPVTTMTLKTTTQLDQPKSVEYVTPELNSSILHTDMKTEVISDSAPIEIKMDDVAHISLSETETNSNEGENKIETKHDTGDAPKINEHVHEPMSEPTELLPLLKVEEAVPGDDEEYANSNFDSSTASATSDEGDDVEIEKNSEDDVTSTTSTSEASATTPTPDSTTSESTTTTTEIPNIIQLSENNNETTNSEGVVLPTDDQNERDNDTYVDKPFNGDIYPLTFLDRTNNYIRSLTEEPGRFSAAITCVLFTVIVILLFLVARECCKSKRRFSSINRRDRNPPSVTSDLYNTSDCSHFYQKPTLLLNQQEVTMQLVSSDVSTDDIV >CRE20912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:87701:88709:-1 gene:WBGene00068559 transcript:CRE20912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20912 MAPTPKFGPKRIPSLSAASCLVKKVTVPSTSTQQNLNGQERVELRKLEKMLPVSPKNSDPTDVVLRAASYIDQLVATVQARVKNGTLPIEALDSLPPLYSTCIKSSIARHAVTGKKTKRNMEKKR >CRE15243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2346:30:2498:-1 gene:WBGene00068560 transcript:CRE15243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15243 MLPNDVPESDPEGELSSPLAKKTRGGQKRKFGAGTAKSNQSIPARLKSLESTVITLKLLIEDQRKTIADQAKIIEEIRGVTKLTGIDCTDEFPPLTNSLTRKASPKSCALYNEVAKRNPKVVEVSNRLDLVTDIIAFNKKSCTAVIENLPDSKEESQTVQDKSFISKFALDCALPIPIEIFRVKCKNESSVSRPTKVRFGSQVERDDFLKGFYGSWVNYSGRKVGPRPVRARRDMTREELNVLFAIRKEVYDANAKAGLIKLSCVLSNIRSIATIERIAFLQNLMENKGIHLAFLTETFLSPAIPESICNSINFSCLRSDRSSSHPKTRGGGCALLYRSFVKLVKIDLDEKNYITHFCDILVVDHTESNVRFILVYRPPDTSNIQTHNLVDQLNTLMVCPKKSYVLLGDFNLPGIQWAHHSRIDNTGLTDMTESHNMVQLVKSATRISQRGTENILDLIFSSNPKACFNIKVGEPLLMSDHNSVLFSTNLTKEASSRRKVKQLLNYRKCDVDALNSYLASFNWAKQFSFFATLDSKLSHFLNIFNESIDMFTPLMKINSRSAVLSRQYTYKLLKRRNKTLNPTKLKSKVKLRLKNIRKRIRKSENEIIGSANPRRLFGFVKRRLTSSSSITKLLVNGSLITKPSDIADQFIKTFAESFTIPSSPHPALPHPKPKNIFVDVSPLVCSLPFLNYSPNRILN >CRE20908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:7759:9735:-1 gene:WBGene00068561 transcript:CRE20908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20908 MANNPPRLAHVSSDEDNRPRAIRGPKRPNPPRHFPPRTPDIQEMNVPYEFFFGVRGGFTWEDINRFARLEGDWPIESLPRSVYDGASLRLFVWAGDRPTPQTHWQHVVTRLGYWIDLPAIREFFLRYTRAVHEPAPNNISRRYPLCASQILCFPVYDHGLQECPFRQLSPQHKFFFLCVNLTAYCYKCNSRSITHYECKPLPCKLCDSEKHTTASGFCNPGVPTEIELYPEEALRANAQTIRRQYYQSVRERAQQQRLKYRLPSDSPYANFRGKAQPILGRIRGLHHYVDTIPPEFPPLPDQAYTGAIVEYPHMVNPEFFHDRQNRIPRFDLDSVQYLETIGEIVTEIRRNPASADTIQLPQPPAVNRRPAYARRNPPPLELGQIQPVQNQPRVEQQRPAAARVPAPEPTPVQIARPPMAMSRELYDPTTVSGRPAAPLARTNGTGRGRGRQRRLSRTPPRPIYDHPADQTTSSNQVVIQDRGLDLNAYSSPSAIFRPESYPAESEKWSDLMNHFEEEESRANSVLQIQDPLTMPMHSSPDVTIREQPASAGQQQVPASQQEIPAVVEQSQQLISVLVDETQECVCNIPALQKDPAQ >CRE20907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:538:6894:-1 gene:WBGene00068562 transcript:CRE20907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20907 MSSRSHRSASPADRSLPIEIPIPLTQARDCGAKKTVITKIVKRAGDLLEESEAALKFLCDPNNKIPEDIDQDTLASAATIVFNLKDTACKIETLDSFIYEQFQKPEMKNSPDRETYLREVNEAFVVSGADQILIELCKRIDNMHEALVNRGYKFPEYSNTEATEEVVLTANPAGDTNTAIEPNDVNIQSEIPPNEIYPSWNEYDDVRTESAAHQAKIWRLQEKNRRLEIEAAQHLRAQHADTVRRIAMEDQNMKYMQSLQVELMRADAKDQEHARRLKQMIAEREAKEKAQKALPAAHVNMVARAQATSATQAVSSPPGAHAQVATLQNPTYNAVLTNQKPSMFSNACHVGNPIIDACRIQPPVATNTIDLGHVLNAVNQAVNNFAETQMASERNILQQTTHMVQDMEQRLGAQIHERAESIRSRTESIRSRTGTIRSRATTISHPQSSATSHSSYVRRHERRDTSESESDTEHTPPPPRSHTSRRNNSANRSRSPRQRGNGLQVDTLIKFLNKFDGSGDLELFQTLYNKFIMSNRDLSTEVKYAVLLNHITGPAQKCVSKAQDTVLAIATTFVSLNKVYGKVNSKHNLLQKLQQLPFNQSDPEAMRLDAAAMSVVMQQMTDRGVPADDYMTMWTIAAKLPEDLRKSLAKFSVKKGDSLTHEMVMDRISRAELAVFVPENTTRCAANCPEPNSEVNAKQRVSVPIASKSTTSLNVALFTVADTAMAFITWEAAQEKNTTETKRTIPPRPSQLKRFFVPTRATNPSRGITAGTESNVQNSVSQADLPTALLKNPFLQSWSVRSTNPVSKNCSSTTIRGIANPKTIEYFKNLIKTDHPEEPDSDKLALLLFTKFLARSQPHQVHFTHARGDFGRLTFVCLEIARGQPLLALVDSGASLSLILEASARKLSLTILQETQLTVEGFSSISSSKTNIYALEFSLPVPKTPLSIMIVGSPTLPNTKFAAPIFSPEDSLYLKNSSIDMSRVLSSVHHNGQKIDMILGNDLLSWISAQSDYRKHILPSGRALEQTQLGNIVHPVPRLLLWHKSKVPPLYEEYQLSINIATVLMDSSEPEDAMTKLTHQIAQFWRVENLGIENVTVSESTKKATIDLLQLFYKTVKFNKAGKPEVALPYNGNELRLADNYSVAYKRFISLVVTLKKGKNLLNIYHEIIVGQELAGFIEKVTADMLKTKGPKYTIPHRGVVKEDSLTTKLRIVLDASSHARGELSLNDCLHAGTNMIIPIYGILLRMRCPRYIIVGDIEKAFHQVPLQEEYRNVTMFIWLKDPSRPADDDNIQLYRFKVIPFGVSSSPFLLAAYIVFNLDNNPHDLNNEIKENLYVDNCLFCTNDKSEIAPKIKGTKLIFQKMGMNLREYIVNDPDTMQSLPPAERAQSSVIKLLGYRWDSVNDTITIKIAKLDIDHPTKREVASKLAETFDPLGLVTPLMVPFKRLMQKVWLKSDTNWKDPIPKELLPDWRALCNILADREIVVPRQLTTNYDYSELHLLLFSDASQDMYGAGCYAYFVAKGKPPIVTLFTSKNKIRPSKNENWTIPKLELLGIQCASNLACAVVAELKVKVTSIKLFTDSACAIYWILSEKNTRLWVANRIKTLQENKNRMKECGIQTTIHHCPTKENPADLLTRGMTTTELQNSKWWFEGPSFLKKDPSEWPCKIEGKVTCPAEFQELVYAEIIDPDTKQKKKPLMEKKTAPAEIVPEVTVPAETVLIANATISRPGSFIPYSATNSLSKLCKIVVQILKTFSTALKLKSWDSYVMKQFNLSDCPLHQLK >CRE20977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:122077:125615:-1 gene:WBGene00068563 transcript:CRE20977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20977 MTANIFDDPLKKLGKQFPCISDDPNNLDNVIKFRAKCGHPLQKQDTLNYRPKKRFSAYENAAPMKFASKSVLEEKSCAAFALYVVVQSENNWFAAVPESILVPSEPLRALKKVQLQHGSIDILNRTFVNNNNETLECSHLNRLLVGDRIQVFDVVETKIKDDWIATVKEYAVIERTFTYSSAVAVKKRGGEGVHTVYPIDSKLAQILPGAPFSSNLCRYLGVETLPDCFYIGSVHWFVPYTKVFRFPLGTTNEYDDPQFISALTDIITPFTDSEDYAPSTPALKVVSCLKGSMRMFEQMLEDNVQTGILWKSVLTCEIIANNTQRCNDSRYLRFRVEEKEKTSDNLLFLTLSTSAVMPPNHWKTNDSVRMAGAGHKNPVYGRVEQFYNDGTSQKNLLVVGVRDFCGVDATGEGFTSKQGFKAIANVAVGRFIVLDQVTTSLYDPLFAESFENVHLLQETLDTELCRSFRTDWARPDDQQVNENFIHVEAQEHPEAAPDLAPDPTIAEVEYDINASREAFKKRLGFDPKPEQYEFLQCTMACSTEAFRGKTLFVNSAFGSAKTSTTVAATVTCASITPHKVFVLSAKKNHAVVSYCEKLPRDLPAGVKIVVLQSKHFLDGIRAVKTVYDFELLMSEEFRKFFSPLTRTDIKRLAHDAENAKKMAAMWRFVNSQRDIVEKPFRKDLTLVFNNVANPKFVSRVLRAEFYALYKPNIIIGTTQMVLEHFAQFHLSPALLCIDESGVMSAPEFLLLRRRFRHWISDALTILLGDREQLPPYNVISPLTKYLGVTINTLLANSDIPKIEFNWSFRCHPHVTHVLSSVFYQGRLLHGKDKSVDKYQQYGNIGGIHPFMPHQSEGIRFHPHVFRSMETATSFANLDEAEMILGAVNDLISWKGTPKDAKIVILSPYLPQVAYLTRRIDEIRLLKDRVTASTIRAYQGRQTEVSRKSFTFSLTFLCIQIVFISLTCSQFPQKRARSDANEENYKQSIDRALCLSAISRSTEFSMVFCHKVFVSYENIWKEVFDQVNHSAAALQPK >CRE20979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:131050:137011:-1 gene:WBGene00068564 transcript:CRE20979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20979 MSQESTSTAAPNTTGTSSKNSCLFLDFLISVPPKERQRMLQKPSCCFFIYRMLPPIAQQVTIQLIWKGSFPKADDLEVTKSIEDQVKLLEDLGIVSKQANGKYLIDNDYKRSYMYAAMLGAASISSLVLETNDEKRRGKEVEKKAVERWDCILRYLALPSEENTQAVSETTRNLFKKANFTSGDSRIEITTSGFQFLLLSPVKQMWTYVIEYLKLEMSQGQDIVEVIEPLIQIVLLANRGFKAEKECYQIDPSWSIPQQELLNHLRELGVIFIRKRKDGVFFLTHLLTHLATNETIDDTSAERVSNGKVIVETNFRVYAYTSSLLQLAIIALFTEMTYRFQDMSVGMITRESVRGALQHGITAAQIISFLRANAHPQCIATSGAINCLPITVADQIRLWEDERRRMDLKDAYIYSHFESEDEFQGVCDYAQERGILLWANAQQKLVIVNEEGHEYVRQWYKRSKGGETST >CRE22174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig472:1055:11445:-1 gene:WBGene00068565 transcript:CRE22174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ntl-11 MTRKKQKKDKKAAAAAAALNNEQKEKEDDLDMLEDIKRDEDLYISESDVTNISNFIRTTNKSFASLGNTVVEKFNNRCSLAILHALTALFDLDSTDRDDVIRRLNIIYIVWRLPELEQELRLKPTKSMSDVYSHPFATFLFYAEQLEKHKLESILARIIVTNNVSVMEKLTAPDFMQKAESFDIYKVDRLGFEKWTEANTDHWPEITEEMVSLSKAMREMGTERKDYEAGMAEAFYPLVHNPPTMLPPRMPPLDAEDPLVKAYRKKKDPQNEDAVRVVYSVSAGTDMQEKLRQAFIDLEPTIEEYQAEIFSGMTQAELDEAQRVITFNETMAESSDSDDGPIEIDSDSEAEAESEFRNMTQTVNYELNDKTLEGCVDRVLAGTGLTTSKSETLASFVRKTNMSHPQYQRLLQCSESDENVIMMCRTNVKVAGAFMVRNALSDPNSFEIFKRYLHIAEQLNSIVHALECVLKLSKEFATSNRLSDKHRDCVIGYIHHTIKSIEETESPPARSIRLVAMLVRKLICEGVLTVEQVTADIRAFCLKHTENRECTALYKMLTGEKSEETTTSTKVDTQNQLTTTTTTTTNVATNATSTTTTTTVVKHCGPAVIKQTSRKVVLQVGIWGSAQGAPDI >CRE22173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig472:11723:17664:1 gene:WBGene00068566 transcript:CRE22173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22173 MSSILRLAQRATRLLTIGRPQIKKSFTPQIRQFTTTNLLKTGDFEYEDPKSEDEVVNITYVLRDGTERKIRGKVGDNVMFLAHRYDIEMEGACEASLACSTCHVYVDPAFKDKLPEPLEEEDDMLDMAPALKDNSRLGCQIVLSKELDGITVTLPTMTRNFYVDGHVPKPH >CRE20641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1634:11566:12604:1 gene:WBGene00068567 transcript:CRE20641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20641 MIQRGAEAAQAVANYMLFDDNPLMKRNKYFYGKQYKKDELFTPSQEMMDIYQKRELEARYLEFMEKIFVIKDGELPPEQADDHNPLPMNFHVEDNFPYSEISKLLTPSECKILRAAFDTKERDIFVKELEARVKLLWPNSSFSSVSCGSHVRESKCERAIVFSSESNDCGEWLGKWFTGCVVVFCDHKHVLA >CRE17510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:209127:214191:-1 gene:WBGene00068570 transcript:CRE17510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17510 MVGVVGWFMVIIGVVLGAREALMDDYGEFLPHIRLAKDLMDPRRYDSRVRPVMNHSKPTTVSFSMSLYQILSINEKQQNVDLNVWAIQKWNDDFLGWNPYLYGMINTTILPFDAIWLPDTYLYNSVVMNREETERYINVVVTTNYWKGEKGAEVKFMYPALYRTSCLLDIRFFPYDQQACKLTISSWTSSKSDINYEPEYESVNMDNFLPNEEWVVVSFNIKRVEEKFVCCPEPWVLLEAVLVVRRKPLYYIVNLVIPTSVITLVAVTGFFTAASTSSERREKLSLGIDSLLAMSILMMMVSEQMPTSSDFVPLFGIFYLSIIFIIFIGTLFTAFILNVHLQKMYAKPVSPIVSYIFFGRIAQWLRMRPPTMLLELWNETGVTFGKKDKMKAKRVEMKNQKMPKVTSSSSGLNLLKSNSGSGRAPLAAPISARSYISMDDMKREAARRNWRRLVKKINSNKQNGVKNCGGGERGQLRQAGRKKVPAAISVPHGEPGPLMLSASAISAFSVTGDSTILESKLKRRYALEWEYLASVLDRVLLIVFSLVVFTVTSVMILVGEAMHLSYELAAKEF >CRE17494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:170857:171769:1 gene:WBGene00068571 transcript:CRE17494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17494 MGLRVMKNVERNSTITKNRSKKEGIPRINDKKEANKITENQMLMELAKKLVTDHPELSIEQALEIERKRAADRKRRAEEVTIDASIHLRIDQEMEEYLMMEYEEDDEKENSEVTEMEYQVNISIKLNQLELSNGDGLRDKNKIMN >CRE17493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:164670:169229:1 gene:WBGene00068572 transcript:CRE17493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17493 MDVSTGLQLLNLISLNINLTPSPAEYEKRFEADDKIRRDIFRKYDGSMPFRKDSPLQILPVLTIDHIRSLDQNKGTMTVAMSFLFTWMDDRLAWNSSNYSGVQTITGHKFDFYLHDLWLPTLHLADMPGSAKQQDLFKNHDVDIVIHKSGTVRASIKALITTPCYFGFGDYPNDYQNCTFTLMSPYFADAFQFSDWGGFAYSKYLLEDRISDVQDFMLINVDSHRYFMYLGMDVVENIGTLPPGYCRGFYRYVLTLKRMNKLVFSQLTAPMIVIIVLMTIAGFLPNRYGLPVLLATLGIELMFTISMTDVLPDNFNGMPNIGILAILLVIETMVLTAWKVFSIYTRNNRRLKVVKEEDQIYQIVIWTDRVLMVALIVEGMINIHIAKAN >CRE17492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:163214:163519:1 gene:WBGene00068573 transcript:CRE17492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17492 MFRYFMLFALVSIASASLLSICQNYCASYNGGASYDNCSPWISFATQTNQTCYNLCVHKCHVVYEGSCMTGNQYRCCLETFPAKKQPFKVSGCNKLYNNLI >CRE19791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:257491:261310:1 gene:WBGene00068574 transcript:CRE19791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19791 MPDDTQESDPEGELLSPLAKKSRGGQKRKFGAGTAKTSQTIPARLKSLESTVITLKLLIEEQRKTITEQAKTIQEIRGMTKLTGIDSNEFPPLSNSLTRKVVPKQCALYNEVAKRNPKVVEVSNRLDLVTDIIQFNKKSCTAVIENLPDSKEESQIVQDKSFISKFALDCALPKRRFLKRVLRKLGKLFRLSCVLSNIRSIATIERIAFLQNLMEHKGIHLAFLTETFLSPAIPESICNSIKFSCLRSDRSSSHPKTRGGGCALLYRSFINLVKIDLDEKNYITHFCDILVVDHTESNVRFILVYRPPDTSNIQTHNLVDQLNTLMVCPKKSYVLLGDFNLPGIQWAHHSRVDNTGLTDMTEAHNMIQLVKSATRISQHGTENILDLIFSSNPKSCFNVEVSEPLMMSDHYSVHFSTDFTKEAGSCRKVKKLLNYRKCDIDSLNAYLDGFNWAKQFSFFSTLNTKISHFLNIFNESIDMFTPLMTINSRSAVVSRQCTYKLLKRRNKSFDSKKLKSKVKSCLKRISKRIRKSENEIIGSANSRRLFGFVKRRLTSSSSITKLLVNGSLVTEPSEIADQFIKTFADNFTIPSPPHLALPHPKPKNIFVDLSPLSVFLAISKLHPKIGYSTDRINFYANSISVPLSLLFTESLSARKFPDCWKTATVIPLHKKGSTLDPSNFRPISLTHPLARLFERLILKPIKSELAAQLSKKQYGFLSNRSCPLALIDATSQYHLTLSKPKAFMDVILIDFRKAFDSVPHDLLLFKLMHFGLDSSLCDWFRSFLSNRESRVKIDDYISDNSFNNVSGVLQGTVTGPFLFLIYINDLIQSLPSDVYSIAFADDLKIYSENPASLQETLNVISDWCDQWKLQLAENKTVVLHLGVSNPHKDYFIGNAKLASANAARDLGLLVDCDLKFEAHIAKIVNSAKFNCRRILNSFRSNNIKFYFKLFNSFIRPTLEYACELFHPSNSLSTSQLESPLRIFSRQVFHRCNISFQPTSSNAHLSPFERRLEISSQMSMYHRRILLILKTYFKIVTHQCHFPNLALYVKPALSPRFPYRIVLCGKKNNSFLHKHFSTWDKIVPYFPKTVTEQTFASRLRQLPLQAIFPKI >CRE17491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:156435:156740:1 gene:WBGene00068575 transcript:CRE17491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17491 MFRYFLLFALVSIASASLLSICQNYCSSYNGGASYDNCSPWISFATQTNQTCYNLCVHKCHVVYEGACMTGNQYRCCLETFPAKKQPFKISGCNKLYNNLI >CRE17490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:153436:153741:1 gene:WBGene00068576 transcript:CRE17490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17490 MFRYFLLFALVSIASASLLSICQNYCSSYNGGASYDNCSPWISFATQTNQTCYNLCVHKCHVVYEGACMTGNQYRCCLETFPAKKQPFKISGCNKLYNNLI >CRE17506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:143268:149928:-1 gene:WBGene00068577 transcript:CRE17506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17506 MANKNKKKSEKRNPVTMKTIKWSVTRELTRTRTLVSLADRALALERNTQNITVLDGYLAQLLDQLALIEGLQENAMEMLKSNKKLCTQNVFEANRAEISNHLAGRGYDGLVNQITNLIGELTSAVNNARPGNVSTPGTPPPPPSDGPASGNSQVLDGIAPNQEHPERRNPEQSVQTASDQSDQLRQGVVSNSGRHPPNSTKPCESNHSSDVSAHPTSNILNRGSSEASQDIAEFADDLAVRIGAIEHTQTLLLDSSATANRAIKNLQDHMQTSSDTTDRAIKNLQENMQKLQDMMYEVFSRQSSWQNKPEMKQEETESATMSEATKPSSGQPDASDIGQSSPIPLENSSPLPPASTPMNDTVESPIRRQNHPGSQDSSNPQITNSTVYTVMNTVPVFDGEPADYSMFMQLFNSMVHDKDDIPVTLKHALLMKLLSGKVKSMLRSVSLSEEDYHALRDSLERQYNREKDTKQGLIHQLNKFSFSEDSYEDMEQDLNTYCTIAYSLRSKGCTLNDSFFINSFISKLPQQIMGIVFKKNHEKDRTFQELVGITFNKRSSARTASAPTTALKSATRNSFAIIVADVITQVFVKTTERTRTNPRMASFFEARRMEPPINKSPSSNHKPLKSSTNGSSVTRSQSATTSVSLSTLESPAAVTTKSLEVNKPTSSLAKINTPHDQLRLVNNAEESNSESMDIGPGDDNESFQIYVSRGIEEDSKLPFMTLVTPEGHTLLALADCGASTSLISTQTATKLKLRIVGQRNLRFKGLISETRDEECKFYRLEIADQSKNVWAATVASYGGIRIPFTAPNLSPSEYKQLDSLKFNTTKIKDLQQFNGRPIDIILGNNLLGNIHQQLITLDSGRMVTRTVIGSIIYPSMVKNALVPVDGKKPIVVTDDMEHIVVHTLDTPDYDLPEDGRVDSKSNVSNQKLAKQVEQHWNLDLLGIEPPEIVNSKARLNEHIVEQQKQSSIRDENNLISATFPYNGREQYLDDNFPIALQRLVSLTKGQTMDTRMEYDTIIRKQIDSGIVEIVTTDMKPSGPIFYFPHRGVRKESSVNTKLRIVLDASSHGKGKLSLNDCIHPGPSILQSIFGILIRCRLKKFLMISDIEKAFHQIRLQPQCRDSTRFLWLKDPTKDATPDNILVLRFARLPFGVNCSPFLLAVTILQYLENEPNPINAKILENLYVDNIVMTTNDEDELFAYYDQLKNTFNRMAMNLREFLCNCPEVMEKTKEDDRAPDTANKLLGHTWDSLTDVISIKIATPPNGIPTKKEVIAFLAQNYDPTGIITPIVVPIKKLITLLWQFDLKWSDLIPDVLTPLWNSIITHFTETEYVIPRQVVSSYNYTGVQLVLFSDASKDNYAAAAYLRHEFPGQKYESQLICSKSRVKPGRVGITIPQMELLALESATNLALNLMNELHMPIKQVIFFSDSTCVLHWVLHKVGNHVGLKWVANRVTNIHKNLAKLTELQLNPEMRYVPTNANPADIASRGCTLAELKVNKLWHHGPSFLERSGEDWPQTLETAPPDARMFHLFVVRDGEEVLSRELHQLPKQESQETAVVNSMEEKENGEYESIVPYSRTNDMRKLTTTCNYVLRFVHSCIKKRNNRFPTRQYSYQSKTLQKYDDADKENDEVTKRRITRTFIIADHYRDSKHRMNEEPPAHLKPVLTPEGLYRHSRPYVNSRHPRHSDEMKRPIIIIHKHQLARLLVIESHTSLLHQGVKDVISDIQRKYWITKLGVIVRAVRRQCVTCQRMHARPFEYPYSETLPSIRSQLVAPFAFVGLDYLGPLKYKSKDEYEKIWVLLVTCIVTRAVHLEIVQDNTTHSFILALKRYFGRRGVPQSILSDNSPTFKLGYSMMNADLKTLISKSLTLTSFLADKEIDIRFITPFSPWKGGIYERLVALVKNMIHKCLKKITVSLLELESLLIETECIINCRPITANKIHTADAEPVRPIDYLIPQSSVVLPESSKTISEVLQSGKTEKLTRRLIESTAAVRDNLWNVFSDEYYVLLRESIPRSAAHNKNLPTPGTTVLIVTDKVARYMWPIGVIQKLISSKDGKVRAVEVKIGQKTFQKSVNHLIPLEIPTEDNQDQDKSAIGPPDTQQQMIPASIPPRRTRPYLPRRAKENNVTIGHDQQLGSPSNPPQASA >CRE17487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:128478:135596:1 gene:WBGene00068578 transcript:CRE17487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17487 MNFGYNDGGIPDTRFGLTVELTLHEAARRTTLPRPQVYFEKIDVSFLIFKAQKIFNIFQPIGFIFKEKEVLCMKCGRQFKCPTTEKKVVYNCHARVAWSGHPRVIDAETYILKIVGKEVFVPHRVEFDRYGIELSTTKIKLYFLSTKSLNHSRFYGAICDSRARFQSLSFTIQILNSSNVEHHTRSENRYNQTITFQKIAEPLVEPESLSSNSDMFARIRHLVPFDATSRQSTGTTPGTSGPPPPTSKPSASSLKKQNSKSPSASNVGAVATFNERRKSHTAGQPHPEAQENQKASKKRPEKPSKKSKKAKAVEPESSNIRAGQPHPESLENQKEPEYITLSDDENDPTPAEIEVLAIVKDPRAVIPRSLQIARVEAAVVLGERDEPPRRRYRRVSDDSDIEIIEDIPEFDPPTNGTEPLAPEAPNALKRAGSPVEMISAKRFSKDDSPDVGTSVNADEAAEDNLPQKSSPIAEEPRDEESGSEDTPMDSAAHPEASSSSKVKKNPEDNDRDLYDFIFTEEPEDQSSKQKAVAPKFLLKEEKSVPAPEHWAPKPQDKETEVEIKPEEDQEMIDVDQNNSDVNEASRGDQSISDDSEIEIIEDISRGAQAPAPGPEFDPPTNCNDRAEVSIETEPLAPVGSRQSSPVFEWSKSSQDEEYNADSENFVENEEPEDRDVSVAIPETQKDSEASTSGPSHADETNSTTPGIELDVEMVEDELEMDSIPREPEIIKSRESSPKIPILESNGQQDEIVLDEDMDYEEDISSEDDESQEPSPIEKEIVTPNASRQSSPVFECSKSSQGEDYDAESEDFVETEERKDRDVSVAKPEAEIDSEPTSSSAATPDVQFDVEMAEEEKVKSISPEPEVINVQITPILESNGHLDVIVLDEDMEDKNIMEDQYAAPEEDNSLEELEEKESQEPSPIKSQEDIEEEEDLLHPATESDDGSDADGKESDEDVENEKKLEDADLDQVDMNSDGLMSPGSVYENISEIISENPDEESLEDMNDNHPREKSPDLESAEPHNVESEKLLENQNDESDEDMDDSLADERTHQSGSVSEEPDVEMEDEEDPEGEEDVTATEQPLVVETFDVTVQKTPESPTTCSPILRSLLLDQSPKRRTMSADPNLEVEEVSEDEEPQDVDAREMEVENSNEVEPELETPGVAPKDDGDVQMEVDVAEEEVAEKKEEKEDAKPTKKELVVTIRPRGRARVMFQIDRGTSIPTGLTRKPFHFEVKVKSRIVSPKPEPEPADEPEVKPGVKRGRKAAKKEEKEEEEDKNEKKLVPVGPEFQAELPEIQESGDYSSDEESEEEEIYWEPLEDQQGGNVDERDMAVYQRAILLTFNGHIPMEKALGHLRNCGFDFGDALDSIDQCLKELPQAMKPICYGQAKQLAEFLMRDNQHKFRRWRRHIQEQAMRNYHIAEIHNFLLRTMIPKYVNHHVVLFPDEKTLDFEPKWMPRGELPCTCNFFTHEDIVHESRISCTNCTKMFRNVDGLPEQLCLLCQAYEGFKGRRRPAENVVFNGDESTYLRKWDVYEKTQNCRISKEDFDKVLLEEDTARWMRMELTEEERDIVDWTATELKDWNDDLPEDEKTEYGEEVAAQLKPFEIPFLSRCRCKESGSIPRHSENSEKWTFEEDEKELFRDAILKHDGDQMAAAEELDVEAELVERFAQLFPSGSGLYKRKKFVLRPRPILPHTNLPPPPPIPIVFKKENVSEKQRRRAKGEIDEDYDINEEKDSDYDPNENKMISQAKAKKKRAAAAAAAKAAAKKKPAAPKKPPAAAPKPPSSAPRKPPTERQPPPEPQVSARELRARARQ >CRE17486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:105941:111956:1 gene:WBGene00068579 transcript:CRE17486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17486 MIGYNYGGVPDTKYAVTKELTLEEAARRTKLPKFQVFPEKIYVSFPIFKAQKIFNIFQPIGFIFKEKEVYCMKCGRQFKCPTTEKKVVYDCHARLAWSGHPRVIDAETYIIKIVGKEVFVPHRVEFDRDGIEEGAEPLAEPEGQSHPESLENQKEPEYITLSDDENDHIKFLGIVRKPPVPGWNDSRQIAREVAAAVLRERDMEIDEPPRQRDRSVSDNSDIEIIENVPIGARADAPGPEFDAPSNDTETLAPEVPKALKRAGSPVEMISAKRFSKNDSDAHSSASAPYPEALSSSEINKNPEDNSLEESEDAESQEPSPIKNQREMKDKEDPLLPATEADDGFEADGEESDEDVENEEKLGNADLDKSDMNKPSMCDPEDGMSPGPVDENISEITSENQNEESREGMSVDHPEEKSPDLNSAEPHDVESEKFSENQNEESGEDMYDSLADKRAHQTALVFEEPDVEMEDATVTEQPLLVETDDVTFQKGPESPTTCSPILHSLLLDQSPRRRTMSVDPNLDEEESGVEKPQDARDQKSMLLDDDAMDVEDSKKVELELEAPGPAPAQAEDIQMEVDKPEKVDEIVEEKEKKEDAKRATKEPPVNTRARRGARPIMIQIDRGTSIPTGLTRKPFHFEVNAKSIFKKQYKGKPEVPIREGAQYQAELPELPDSGGSSDEESMEEEVYWEPVEEEAGKSVEEKDMDVYQRAILLTFNGHIPMEKALGHLRKCDFDFGDALDSIDQCLKDLPQAMKPICYGQAKNLAMFLMMKDGKWRKHIQEQAMRNYHLVEIQNFMYRTMVPKYVNRHTVILPNGEDDWIPRGERPCTCHFFTHEDIEHEPRISCTNCTKKFRNVEGLPDKLCLVCQAYQGFKKRRRPAENVVFNDDDLKYLKKWDTYERSNNHRISKADFDKLLLEQNTARWQRLELTEEEKDMVDWTAKKLNGWNERLEDAKESKYGEPVATQLQPFVIPYLSRCRCKESGNVPRNSENWEKRTFEKNEQDKFRDAILKHDGDQMAAAEELDVEAELVERFAQMFPSGIDLYEKKTFELRHRPILPHTDLPPPPPIPIVFKEKKQRGRAKVEIDEDDVVREEKDTEYDPNENKKISQDKAKKKRAAAAKAAAKKKPTAPKKPATAAPKPPPSAPRKPPTPRQPPQEPQITARELRAKARQ >CRE19595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1469:1413:2329:1 gene:WBGene00068580 transcript:CRE19595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19595 MYNYMWRQFYDKEKLLNRLLIEMGSYRRVDSFVDIHFSDSSPSSESSPERSPSPSSSPPDSPPSEASSAEDDDGIYVRDEDRNKKVVYQEKNSEDETEQEDESEAEKEDEPNTDQEDKSDTESEPESQDDSEPDHQQGDIGTSCTCPCEPRPSRNRHREHHQEQHVSDLSQIGPTVEDQTTEPPVVAAQHVTRGLRRKLTTEAPIDVPTTKAPPKLKPTRKPKPTNSKPPKTTKPPKTTKAPKATKAPKSPKSPKSPKTKKPTRKVNDCFPKVSFFSNSNKNYISAKIMF >CRE17485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:84435:85607:1 gene:WBGene00068581 transcript:CRE17485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17485 MACHQHGFLTNRSCASSLVQVVTNYKIILKTHGSLDVVFFDFRKAFDQVPHALLLNKLASFDIPPLFISWFSDFLSSRSFSVKVNSTTDPSPAPIFSGVPQGSVSGPLLFLLFINDLLISLHSIPHLHIAAYADDIKIYSHLPSSLQAGIDLVSNWAVSNDLPLAHSKTSLLRLGALNPQHQFHIVGSPILVSNSVRDLGILFEPDLKFRAHIKKSVSLARLRSSQILKSFKSNNPAFYSFLFKTYVLPILEYASVIFCLAPSSPLSRLLESTLRIYSRKTLQRCNIPFSSYSHRLELLSIHSIRHRRLKAQLLLIYKFIDGASHFPNLNSFIRLSSSPRRPMTLINLSPLSDNFFSFIVPIWNVIVANVNSFLSPTQFESFLDTAITRF >CRE17484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:79035:79340:1 gene:WBGene00068582 transcript:CRE17484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17484 MFRYFMLFALVSIASASLLSICQNYCSSVNGAASYDNCSPWISFATQTNQTCYNLCVHQCHVVYEGACMTGNQYRCCLETFPAKKQPFKVSGCNKLYNNLI >CRE17504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:76371:76676:-1 gene:WBGene00068583 transcript:CRE17504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17504 MFRYFLLFALVSIASASLLSICQNYCASYNGGASYDNCSPWISFATQTNQTCYNLCVHQCHVVYEGACMTGNQYRCCLETFPAKKQPFKISGCNKLYNNLV >CRE17503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:64613:67067:-1 gene:WBGene00068584 transcript:CRE17503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17503 MRRQLGPSQPQQAPSDPTDQENIITISSGDESIICITPPRKKKKRQRNERRLMEDRENLSSDDEENGIEGPVAPVLNSHDITLCGQGDTASFACSPSCRVHFQKFQQMADEDEVGVVVEEVLAVDPDIEEEEEDKNVGVGKKIVLIGPNYPPELPEMLTTTPFEDQDRDELIWTPPASHQLKDINNTPQQIDKFYELTRNVYWRAIWRGFDGHIPYEIALENLMKNNYDIFDSLDTIDRYLRVLPRQMKPPCAVQMKFMYKNSMKDVKALRQVQKRGMQNYNLAEINQYRYKMIRFFHLQPHFKLPCNCDEILCSILHFLPRYACENCTRRIRNIAEPNENRLCLICKTWRHLNGNIVDRPARNVVFTDEERENVEKWNQLEHRLGRHLKSREFDDHLKKERDERWNRLELTNEEKIIIGKKWSKMSGKEIVDSLQPFVMPLFVVCRCQKQPNGGDLELTAEQKEECLKLIKKSVLKKQLNEKWWTDQAKVAKKMGVAVEKVERFVESHNEISDVKILLFYKNYIPNLPTTIDRFAKKIPGDIEPWRVREDHEIEEEPKIACQASTVANEISRKKSVAEIQKTVVTTKNAPTAGRRRRGRK >CRE17482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:61841:63351:1 gene:WBGene00068585 transcript:CRE17482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17482 MSTDNGSPVVIDNGTSTIKAGFAGSDFPPLVFPSNVGEPGLVGSKAFKKRFQFDLTHPMKNGIISDWNSMEIIWDHVFTELNADSKDHPVFLTESPLTPKENREKMTQIMFETFNTPAMYVAMQPVMSLLASERITGLVVDSGHGATHTVPVYDGYAIPRAICRMELSGGGLTEYLQRLLTERGYYLTSSGERQAVQDLKEKLCYVARDFAEEMKSTFMTPPMSYELPDGQVIKIGNERFRCAEALFNVSFSVLSFIIISRLQPAVVNPEFTGIPKMVSDSILKCDGSIQEELWKSILLSGGTTCLPGFENRFVDELKVIAPKDQKVGITKSPDINSAWMGGSILALSHGFDYSWVLKEEYHEVGPSIVHRKCF >CRE17481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:50426:55111:1 gene:WBGene00068587 transcript:CRE17481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17481 MIHFKLLGAALLLASLFCLTLAGNFDDSSCESSEEYGGGKHKHRHHKHRPKPPRPPPRPHARPKCEQGWYTSYRPQGIWCLRVGIGKLDYHQSQAQCKTYGGVLSGLQNNFERQLIANETVRQLLPTGVTIAGVWLGASKVPGTNTFKWNDGHTTGTGGMFYGPEQPDNAKGDPRGPQNCLQLIVMTPAYWSHPDKWVPFVRLIDDYWCHMTHDPPQRLYASPRPRATCESGWLTSYRPQGLWCLKIGIGKLDYNQSQAQCETQGGVLSRIQNDLERQLIANETIRQLIPIGVTIAGVWLGASKVPGTNTFQWNDGHTTGTGGMFFGPGQPDNALRDPRGPQNCLQLIVMTPAFYSRPDKWITFPQLIDDYWCHMTHDPPQRLYACGKPGPVDNMVG >CRE17480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:47657:50017:1 gene:WBGene00068588 transcript:CRE17480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17480 MGSIVWNFFIRPSSEDDFVKCVRCDKSLKFYNSTSNLLAHMKSKHYDEYKRLRKMRDSSDKRLTSDKKSESLGDSQNSMVSRDNLLARFICTSGSAFRLSENNEFRDFVASFGSEWTPPSANTVKRYIENENDKFVRMLRADLKDKKDFTIVTDGYSDRKKDYSFYSAHLYCIEKSFERKHFFIGIKSTEGSCTVSTISSSLIQLLDKVGIRLSDCLAATTDGARVLSSLSSTHRLFHFHCGCHVANLIFEEFSKIPKVKRMLNKAKNVASYIRAHRIDREEMIQKSRLLRTGEPLPVPLSPTRWASVFLLFKRYYANISSFGAFVEVQPFMLTPAEQPLMKECVELLTPLYNVMKQMESNDSWASDVLPHFLGVKDEVSEFGTVLGRKLVKIIEKRISDYQDNDFVLILSVLDPRIAFVPGLLGKRSWKDAEELLLNRFQQDAPSKPVLSNPSTSDDDHGLIRFLQKQLGPSAGQNATVEEEIINYRALLNSNRPTFSSDPLSFWKTNEKKLPFLAGLSKKVLAAPASSAESERLFRINYWKVNAENGAESSESCETLVSVEDEDDAVDRIENEDDDMTIADED >CRE17502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:38336:41305:-1 gene:WBGene00068589 transcript:CRE17502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17502 MSRSKTLVAIFGLALLLVTGNEAAKFLDQVSKQAISVLHGSQRTGEVRQCTCDEMKDCYHSSKLQALDCFETCWKSEIKRVSFQDEFKLTDNPDDLKACFDAKKPFVDQVINCFQTKVKACTENGEPGVRVKEYDYKDMIKRVEDAVNSQINAFLRSIGNDNVKAVVTAGTAIAQCVKTCFLEKNKDGFCFNQIGCEPLIEDKNARIAIRQCSRSVAWKKEMETFCRCSSNAGINGLSSYCGMLNIIGK >CRE17501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:29560:32284:-1 gene:WBGene00068590 transcript:CRE17501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17501 MKAPRPVYRRAYLNLDSLFGHVYSNLTGEPLTPTKVATFYLLRILFQTHFGLRHKPSFYGLFHYLEKQKLFHWLYALMSSNTELTYKDYRLVTRMAFGEDREINQNFYASMEQLGSSLADINFGIEDEFYTNTYAKDPNLRWDDMDHDLVALETQDDLHFTSNFSFVYRWLKGILAQYTKTSNGDLFKLNRKMRQWILAEENNPSPIENMGAVLPYVIDCSMRARKWTNETIFNVQKDPTHTMPFDDIFNYVRIVQKRHPDVIEAFLLEAIIHIQMKDGSRGMKALKSYFELSMFELNSNMVHCMKTYRLGVPNFAPLMYSPILQARICRLFGDYPTAHVYTANKYFGRAAIALDEALILAEGTTLLGIKALIRRRMATMMMCQGRYREAQELLDYCFEEVLRHGTFIEKACLYMTAARTARFLGKDPRDFLRMARTLVHGKWPGMEKLIFSELSFLHKPDGLMPNTNRLSQVCEQFGKLTDDHPGKCDWLLL >CRE17500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:14343:19933:-1 gene:WBGene00068591 transcript:CRE17500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17500 MKAPRPVFRRAYLNLDSLFGHVYSNLTGEPLTPTKLTYKDYRLVTRMAFGEDREINQNFYASMEQLGSALADINFGIEDEFYTNTYAKDPNLAWDDMDHDLVALETQDDLHFTSNFSFAYRWLKGILAQYTKTSNGDLFKLNLKMRQWILAEQNNPLPIENMGAVLPYVIDCSMRARKWTNETIFNVQIIHIQMKDGSRGMKALKSYFELSMFELNSNMVHCMKTHRLGVPNFAPLMYSPILQARICRLFGDYPTARVLLDESLRQAQIRNDEICHQMANVEMHTCGIIGCGPILEDNAQRIIEYVDKDRRILRKALRHIDDLHGQHRTGPCCLESEDDFEVVAELDSYGKMVMILKTTSRKYNRVAETGFNCQVGSDSQERGQKVFAFGYSIMSSNMIRNGLYSQAKKISQELLSGNLETDKGGSFHTEPFAVGMANLAYSHAAAGNYEEALTVVDEMKIKFPEELVWQGYRHAHICETIINFEKLFLMNKYNECNSIVGDLATYSELEYRIRRCLLLSALGRCDEGTTYLMSLLVEDVYGNIRILMQRATIYTANKYFGRAAIALDEALILAEGTTLLGIKALIRRRMATMMMCQGQYREAQELLDYCFEEVLRHGTFIEKACLYMTGARTARFLGKDPRDFLRMARTLVHGKWPGMEKLIFSELSFLHKPDGLMPNTNRLSQVCEQFGKLTDDHPGKCDWLLL >CRE17499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:846:4876:-1 gene:WBGene00068592 transcript:CRE17499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gfi-3 description:CRE-GFI-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N7T6] MKAPRPVYRRAYPNLDSLFGHVYSNLTGEPLTPTKVATFYLLRILFQTHFGLRHKPSFYGLFHYLEKQKLFHWLYALMSSNTELTYKDYRLVTRMAFGEDREINQNFYASMEQLGSALADINFGIEDEFYTNTYAKDPNLRWDDMDHDLVALETQDDLHFTSNFSFVYRWLKGILAQYTKTSNGDLFKLNLKMRQWILAEENNPSPIENMGAVLPYVIDCSMRARKWTNETIFNVQKDPTHTMPFDDIFNYVRIVQKRHPDVIEAFLLEAIIHIQMKDGSRGMKALKSYFELSMFELNSNMVHCMKTYRLGVPNFAPLMYSPILQARICRLFGDYPTARVLLDESLRQAQIRNDEICHQMANVEMHTCGIIGCGPILEDNAQRIIEYVDKDRRILRKALRHIDDLHGQHRTGPCCLESEDDFEVVAELDSYGKMVMILKTIAAGHYKLKYNRVAETGFNCQVGSDSQERGQKVFAFGYSIMSSNMIRNGLYSQAKKISQELLSGNLETEKGGTFHTEPFAVGMANLAYSHAAAGNYTQALTVVDEMKIKFPEELVWQGYRHAHICETIINFEKLFLMNKYNECNSIVGDLATYSELEYRIRRCLLLSALGRCDEGTTYLMSLLVEDVYGNIRILMQRATIYTANKYFGRAAIALDEALILAEGTTLLGIKALIRRRMATMMVRSVLTFISNSLFQMCQGRYREAQELLDYCFEEVLRHGTFIEKACLYMTAARTARFLGKDSRDFLRTARTLVHGKWPAMEKLVFSELSFLHKPDGLMPNTNRLSQVCEQFGKLTDDHPGRCDWLLL >CRE19371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:6337:6901:-1 gene:WBGene00068593 transcript:CRE19371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19371 MKTVIILISFVVVGVAAKWFTPMAPLQDGCKKNEERVKCGYNCEAQCGFEPTVCSLDCTPNVCECKDGFVRNTLGECVHRLECTPETSRCPEDEEFQVCGAVCQPSCEDPYPTFCQYTDCARNVCRCLPGLVRNGVICTELSICRNVPSRPLELFTL >CRE22224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1151:6375:6945:-1 gene:WBGene00068594 transcript:CRE22224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22224 MKTLTLLLCLIAVSSATKTCGENEELVGCHNTCEPQCGYTPKACTEQCIMNACDCKDGFVRNSLGKCVEVFQCTKETTKCPENEEFKGCGTACEPTCENPDPRACTKQCLVNVCQCSKGFVRHGFRCIAKGDCPK >CRE22225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1151:7171:8261:-1 gene:WBGene00068595 transcript:CRE22225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22225 MNLSILILLALIAIGSCAVIKHTEDQPITHEDALCGTEKCPDNYRCELPDPNTDCDNEDGCSTAPKCIAIPFPKPICAENEVLKTCGSACEPTCDNPEPECISTCMTDVCQCREGFVRDSTSDKCVGKNSCSKGGGIENLRIQMFRFSEKCTRECSENEKCEFVTLPCAQEPCAVVDVCVTPPVDRITQK >CRE19373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:12153:12961:-1 gene:WBGene00068596 transcript:CRE19373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19373 MAVVIIYLNSFANEDKPRLAKENRPYTILSTADNLKDYDRLCVNGADIRCFGESICKIARITCVPNTTCDPIMPICTDREVEDYQPRTFNTTSSR >CRE19374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:13124:14082:-1 gene:WBGene00068597 transcript:CRE19374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19374 MCQSVCKTVTCYGQAICHEIDEPYYNGTLLPTPKVAQCSDKNIEDFIKDIIASKNIELLVQKPDYMNSNDKDVLCQGINCYGMNACTIVRVPCVDDPTCNNYLPFCDDSPITTTTISIL >CRE19375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:15751:17614:-1 gene:WBGene00068598 transcript:CRE19375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19375 MSDNYVLVDPLSAKPIDCWKKYVKQGRVCEPLKPMRLDTPIFENKVRFVCISDTHEKLHEILPYIPDGDVLIHSGDFTNCGDIGEVIKFNAEIGTLPHKHKIVIAGNHELGFEDGEEMSERQLAGLNMLGINKAYELLSNCTYLCDKGYEAFGLKIYGAPWHSMPGYSFYRPRGQKILHKWNQIPAKVDVLMTHTPPLGHGDFNAWDKMDGILCGCAELLNTVEQRVKPKYHVFGHVHQKHGVTTNGETTFINAALCDHKLRSAYDPIIFDIPLPPGKTKE >CRE19332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:23211:23564:1 gene:WBGene00068599 transcript:CRE19332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19332 MEQRSTGTILLRPNQSMKVILFLLLLVAISWTLLDVVDSKGVPAKRVKRQYYGYGGYGGYGGCDCPTYAPCSHGECYISTENLNENISAPYYGGYGGYGGYGGYGGYGGYGGYGYKK >CRE19376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:23687:25502:-1 gene:WBGene00068600 transcript:CRE19376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19376 MQSSILLLFLLLILLGYDGEASSSPRTMKLCLYLKAIRRSMAECDKVTLDNGNNVEDRASHVLHFCNPSSNSTMSPLAKIIANIEKRNEKQKLTKKFRKLAAPLPQCSSNLDSGYKQCRMDITCSSGYSCETKSKTRCCMEANHSPEIERKTEDFKTCPSHQQMSYFCQTSSSLSRARKTCKTDTDCMFSNVQKCCDAGCGFNVCVVATGNFTRNGKGAHFPGELII >CRE20119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig908:19088:20382:-1 gene:WBGene00068601 transcript:CRE20119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20119 MQLNILQIVRLVLTNNEEISQMIDWEEGVNLVQAQIQILKLKTVDGIEFDISVVMDSFLSSMHNSFLIKQMVLIDHRFGPLCAVVKEWAASTKVKNPKDGGFNSYALVLLVIHFLQCGTFPPVLPNLQFLYRDKNFIAMSEKDFPVRLDFGAPLPFPLPKIQKNEAPIARLFLEFLNYYSEFNFDKFYISIKHGKTKIRERSASETVQNENRKQVYIEDPFDSHNPGRTVRSLKNIQKIMRETMDKFDPVKFEKENPHKEKSDYQFPTLGSILKMEALSATVTVETEEEENVVPRTSTSSA >CRE19379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:34042:35137:-1 gene:WBGene00068603 transcript:CRE19379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19379 MLVANKKKSFLMKLLKFVGRGNQVQSSKSCLAQIYEQRKKQRQRSSINSQFSHIEPMPTILEEDEFSVAAECR >CRE19380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:49569:50105:-1 gene:WBGene00068604 transcript:CRE19380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19380 MSLYHYYRPTQHSLFNELMRDFTLIDRLNKNSSEITNTDEKFAINLNVSQFKPENLKINLEGRTLTIQGDEEVKSEHGYSKKSFSRVILLPEDVDVSAVASNLSEDGKLAIEAPKKEVVQGRSIKIQKKEALEEKAQE >CRE19333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:50349:50864:1 gene:WBGene00068605 transcript:CRE19333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19333 MKASYFFGIPTMIVLRSPFSNSSAIDNFFDELTGPVQLPYWRNADHSSFNFSDTVGEIINNESKFSVQVDVSHFKPEDLKIQLDGRELKIEGCQESKSEHGYSKRSFSRMILLPEDADLTAVKSAISNDGKLQIEARKKANTSRSIPINFVAKH >CRE19381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:51074:52602:-1 gene:WBGene00068606 transcript:CRE19381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19381 MQTQTLSSSRPPVPVSSPPPFSSFQNPFCSHFQKKTRPLMTLPLIAPPTPLINPPAPVAPKQSSQSGWDYWTRVYSDDSQPPPASRDIRVSDMLSSDTLVRAKLLFLNVPNLPPAHKSIIMNLVKKEIRDDISIQDVIVVPPGKWFLNFFRAEDALKVLKYFEGFSYRGHTLAVRFCYPDGTYGDEAALTQLVQCSNNAKGRLFEKKEIVQDVSQGTNPSKFSKFSFQTITPECWTVAQCVELKIFETELINLLKTHAYLPYHNVLQAMNNLFTNRFTSSLSSIFISEALTQWPTGVIRIFNRSIKVISNTVCLSTSSYYTQRIHDSALEGGCTIHRNTWEPITPEDIRSDVQLIQYFNAFLLHFGPQHIDVRQSSVRFETISFHFQIDAPIRIVAQSLRGIWPKSGTELATLLTEISSGFVIINRFIYLASNPTHHEKIIDHLAVIQVSPGFHLPTIFQTFQDDCSDTYYLHLPCVVNEEEMMVVDFEDL >CRE19382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:53615:54070:-1 gene:WBGene00068607 transcript:CRE19382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19382 MSLYPFANPTSHVGEMLSELMPVSGTIDNSNREISNTDEKFAVNLNVSQFKPEELKINLEGRRLSIQGEHDVSNERGSSRQSFSRVILLPEDVDITSVDTNLSDNGHLVIEAPKLILPQRHRGAAIEENRHD >CRE19383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:54800:55308:-1 gene:WBGene00068608 transcript:CRE19383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19383 MIVLRSPFSNSSAIDNFFDELTGPVKLPYWRGADHSSFNFSDTVGEIINNESKFSVQVDVSHFKPEDLKIQLDGRELKIEGCQESKSEHGYSKRSFSRMILLPEDADLTAVKSAISNDGKLQIEAPKKANTSRSIPINFVTKH >CRE19334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:55568:56032:1 gene:WBGene00068609 transcript:CRE19334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19334 MSLYHYYRPTQHSLFNELMRDFTRVDRLNKNFSEITNTDEKFAINLNVSQFKPENLKINLEGRTLTIQGDEEIKNEHGYSKKSFSRVILLPEDVDVSAVASKLSEDGKLAIEAPKKEVVQGRSIEIQRKEALEEKAQE >CRE19335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:59474:60111:1 gene:WBGene00068611 transcript:CRE19335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19335 MLLLRSPFSSGRGASSDFDNFFDEMTRGSLLPYWRDADHNSFNFSDTIGEIINDESKYAIQLDVSHFKPEDLKIELNGRELKVEGSQETRTEHSYSKRSFSKMVLLPEDVDVAALKSAISNEGRLQIEAPKSTNNSRVIPINRVANH >CRE19336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:61462:64008:1 gene:WBGene00068612 transcript:CRE19336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19336 MMYNWMERLSDDAEVPTARRIPPCLSVAFEYVEAEQEFNFYIRNVTNYPMTPTLHKTESRAVMHLVKGISRKTSVMGWARNITWQDIKEKNPFTYRTLNVVRAPTTIYNEFFTCKVSPREFKNTLLRIQICDIDEYDQNVVVAEMDYWVNTHIISQFTQFELPFPPFTPDIGEIELHMTYLPTSQRLIVHYSTVTNLKLDDACKEIYIHGVLFVGGRQFERHQSEVKKRAENACTDDGMHFTHKLIFDLDRKFVPKAEVLIHVMQVVDDKMLVVGQVTLSMLHSEQCQQMLKNLRTTISRIHRLTPSAG >CRE19337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:65860:67536:1 gene:WBGene00068613 transcript:CRE19337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19337 MTALFSCFSLHSSIKLSPFFSTHRFSAFFFFFFFFQPIHSILSRTMSSIVVGSGALAETVVGLLCAAGRRVSLVAPSGKVNAQKFDSVVIKAILQEDSEKKIPFEFVDDLRQQQKLAEKNFKKINVTTDLSKIEAADQIIDACSADETSLFSHAAKAVPNATIISLNGDKSPIHSNHVSVKMYSPICETKTAKMFTNSKVSKKTFDEVNQLLDSMGFTVLSEEDSQVADRLVQDMQQVEKSSPLSRLASILVNPLNTPVTPSVQKEQYLLF >CRE19386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:71695:73519:-1 gene:WBGene00068614 transcript:CRE19386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19386 MQLTGWPEYVSMIYLPIILVGLVGNGLSLYVYTTPNMRKSTVAFLLYSLSICDIFVLLFALPLYSISYLPIWDNVYGAWSKRRMFLAFSTKFFYPLCMTAKTASLYIMVVITVERWIAVCRPLQVHIWCTFKNSVRIVIAIIAFSIILNLPKFFEYQIGYSDSLGYWPKRGILDAEEHWWYYITYFIFISVIFDYLLPFVIMFVANMKVINELRKSRKERALLTTSLQKEQNTTVMLLVVTILFGFCHFFSMALKLMESLFKDFLTRHNEYFEVMIEISNILIIIHIGTTFFIYYFFSARFRNILSYLFQKRRDLPENSLTDVNKRKLLHKSDSTCTTFAKTSPKTSMA >CRE19339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:83271:83645:1 gene:WBGene00068617 transcript:CRE19339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19339 MRFLIFSCIVFISAVMGYPYYQSPTNPYYQQPFKLWYTPQAYKIGTGVVPSSSGQINSGQIENPYDPYSSNNGYNQNPYNPYYPYYPYNWEDTYNTNYYNPYNNH >CRE19340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:84129:85467:1 gene:WBGene00068618 transcript:CRE19340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19340 MNFIAILAVCVIAVAAQQQQQQYTNNGQTVTTNQFAAAYSTNQYQQGPQNDQSTLQYNNGATSQPIQYNQNQQYGTTQQYGQNQQYNNNQMYSTQGNNQQYQQGQNYGTQPQYDASQNQMGGQVQGYSNNGYSNQNSQMSQSQASSGSNSVSLMDYSFNNGNCQYKDGQVVENGQTRQATQQELQQIQQYRDSVDQYMNQVNGYVSQVGQWINSVFQTLPSVNNSFPNIPTMPTMPQAPCLCSAQNCGNTTNTNTIGTTPQRDAYGNPINSNSQYQQNQNGQYQNTQFQQQNGQNQNNQFQQQNGQNQNNQFQQQNGQNQNNQFQQQNGQNQNSQYQQTSNQQMNDQQYQTGNYQQTSNQSMGQNAGNQQSNNQQMSTQQTQQQQQYYGRKK >CRE19341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:89481:100176:1 gene:WBGene00068619 transcript:CRE19341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19341 MAPGGAPNDANLTEMARGQEPDKSTTTLSTIAVKAGVNASIVVALLKSAGYIQLRVDEMQPKLLSIGNSSQEAKDLLNIHDDLIRRLQEKDDQVVALLSRADSLGAEKTNPNEAIVYDEMAKSLRETWRSLNRQLLLRGYMLRETVQFYTLAESHEKLSTKTIEIVQQINEQNSQQLQSSIDKLINDIIDTTASVVDLGSSVISQIRTLGQLDDNPERPQEILDASVKIESIMLRVASDWERSEHLWQERKSGVSRTTTTTEDELVVIEQWLSYAEKKVKALNEAGQKNVLSEGNKHVHRLRELANTPSSDGGRISHLSGRIEEFLHYLKTRMNRSQRIHGFLQAAKSMLSQLNMMAEDMKSANAAMAGELAPLAKQKASPLIHEGKDIACEFLNLIVEKYLYFLAKEVLSYEEQRLVRQYVEDLSEKLKEIESLAKQRKESGKTTSHFSNIKAWLDGQAAAFLAQKGDLGGNLNDARDFVVAHKQFATELINRDADVMTLLAKKPQMSPDEEQQLTEFVKEYEKVKEILENRIQIGTTYEQVHVFGKDLEGSFDALQTLLENNQEYTNDKVAAQISNVFQMILETLSQEKHQGEKFISNATQIGKSDEWLNIQRAQEAVRNMITDHENRFKYVQHKWTEWQMDTNSTTKVESVMEEIQMWQTDVLEFIGKVDNSSVTKKEEVEEIQKRISSFKNAADMHKTTLETLKEENKNEEQISRINVLIDKNDYIKTRLDQLSHKVELTSLLKIVEDVQIWQEEMVEIIRNMNQVVTTQSNNQEQFEHLRRKIEDLKVEVEKKSEHLEACKTLSQNETFQTQLHKTIQNQEQIRLTTIELQEKLEISKLIRVVQEIQMWQEEAIEIIRMLDRTQPANIQEANELIDRVHDLQQTIEHKSSRIQEVKKMSQVPEFVHKMEEVEHVQEQLKHLTVELEEKLEQQKLVKVTEHIQMWQEEMVEIIKMFDSTPMKTVQESQELQEKVKLVKEAIAVQQPKIEEVVSKAKEISVKTQIAKAVEQQKVIREMAENLERKAISATFELSQPQQTIEETKTIELTSLEQTQITLTPEEKQELQILKKIIEEIQMWQEETIEIIRLVDKTPKTIQESETLVKKVNEIHQTVEAQTRRIEDASRFTKDETFTKTVQETMTKQQQVQQLVKELHERVGKKFAASQNIVIRKQEEERQRVQAPQILTQLKDDEVYTYIVDEGCRYEFSARINGEPEPKISWLKDGIDVKSNMDYRQEYVNGVATLVIEESFIEDTAEYTVKATNVGGSASSSANLIVKSRSAMSSAILEEDKPRFVKQMQSVQVNEGETARLDCVVVGKPEPEVTWFKEETAVKESQRVHLTFSGDHCQMVIDQTVPLDTGVYTVRAKNVHGEVANFCQLRVVPKKQAPPQTPPKPRTPIQKPPVIQPALTNTTWQEGETATLQVFSYGEPKPRVHWKFNDSPVQTNGQVQISEQEDGWSRLTIQQISPVNAGMYTVVAENEIGEAVTGATVHVQPSLKRVVTTEHHLQEDMNEHIGQPIQQTVITKKHQEMETRERQDFPQPREPEKIIDEKRWVELVEQHFEEHLSQRSISPVPQIREVRKTESQQRWIDTIDEIWSPVRDVEVTETRTVSGMDQYSSHNVHEPSPRPQGYHTTTTTTNISHIGQSHEPIQPVMGRSTSSNETVKTMNIATIRQSPQREVHEARTTPGPTSEHVATIRKTPVRETHQSSISKAPSLENVAKIRQSPAPETHRSTLTRRSPSVENIAKYQQASQPETYQATMSGGQPVANIAQVHAPTQPDRQAIIKEAPQIDRIDDIQSVPREEKVTTVVKQTEVITSDVDKKYQEQQQKQKQVTSTVTETTSGEGWVQHQHQDFVEPHKSTVTVKKLDIEESQSVNQQRSFFLFQFAAQPEQKNVVAEKIEEDIHRESRIPVKREPQTTTTVTEMTSGEGWVQNTHQDFQNPHLSTTTVKRLDIDEGDHVNYQAQQQQSQQQIIQTRKEEEEESRIKNESKIPIKREPKTMTTVTETTSGEGWVQDVHQDMRRPEQSTITVKRLDIEGGEHVQHDTQQTRNQQVITTKKEEEENQRIKNESKIPVRREPKTTTTVTETTSGEGWVQNTHMDAAHPHSSTVTVKRLGIDGETTKKTTARPISNEYRRQTDEESSVRQRDSFIQASDVEGFWTDGAYTDSAPTPPPQPIHRSTAEDNMQRIGLSRTTTEPEFIKAFEREYTVEEGGRIAIECILVGNPRPAARFFFNNKQVTEKSEFLKVSLICHVNDTYSIIISPARLEHAGYYKMIAENKRGVTESLTVLHVRPRSLQTYQQKRQEEARLQQQQAARSNAPTEYTTVEEEFAMFEYEQRRPLKHEASKLSTPPPAKRIELEHKKDEEHLETYDLEGKKTNGHPPHFTQTLVSTVVAQGENTTFEGIVTGWPAPTVEWTIDGRPLDLKDIRVSNIGGRVSLNFLNCQLSHAGKYMCTAKNNSGVATSSAQLVVRPKTIAPDFIQRLISEEIEEGSQLKWTVRVTGDPMPKVIWMRDGYEIPDCEEVRIVDHGDGYHSLVIVRVEGADSGQFTCLAENIAGEARSTADLVVRPPGANPGNYFHVTKVTQEKQAKGAEPTTTSAFSIETPRQSEML >CRE19343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:104538:105360:1 gene:WBGene00068620 transcript:CRE19343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19343 MNFKTVLFFVFIVGYVTACLDYCPEECTDSGCNLWVCESEFDCFCDDCDWYGGQLALSEVARVKKDVIRAKLNPKK >CRE19344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:106708:107259:1 gene:WBGene00068621 transcript:CRE19344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19344 MYTRDARAYVFRQSTRAAVIITPSLPIRYSYSIPMNLKNDSRYNNINYYWYGNYVYDASHPLKCEYPIDLSADKEFQNVTYPDGSKPPSLQFGCLNYEDCCGLECCGDSRTSTVLICGIFLFLLATCVGYKKHQRYQIKKNDEMAMVTTNSALQPLLDDTNIEVHAV >CRE19346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:108762:113062:1 gene:WBGene00068622 transcript:CRE19346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19346 MNVSSIFSILLLLIFKEKCSARSGGRGGGGARGRSSFHSSGGGKFYSSSSHSSYIHHDSSAFRSTVFKPSTSNSYFSSSSGTHGNTYIISQPATPIIYDNHYYYWHGYYHSHPSHKLVCQYTISQEDGELFNVTFSNGTSPKSIIFGCGSFETCCGMTCCSSLGTWIAVSSSRSSFVSHGSSSFRSNVFKPSTSKTYFTSGGSTGKTYIISQPATPIIHDNHHYYWHGYYRSRPQKETYCEYAIGEDDGELVNVTFANGTSPKLISFGCGYYETCCEMSCCSSIGNWVGLSRSSFFHSLAFRSIVFDPSNFYMTYSGSTEKSYVIRQPETPIIYDSRPYYWHGYYQSIIGQNNYCEYAFGEDDRELRNVTFANGTSPKSVSFGCEMFEECCGMSCCNVFSGWKTTLFLWILLFVALITCCMKTREEQDDLIGYMILNL >CRE19348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:115929:117354:1 gene:WBGene00068623 transcript:CRE19348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19348 MKVTWLFFFLLLLLLLFDNTLARGGGGRGGRGRGRGHSGSRGNGGSRGKASMRSGIRGSSSYSGGVRSGAYGYKTQSKTSSYSNFGSQSFRSTHFYHNADLQVRPGHPFVILAATKPLFYDNRNYYWSYGLAKSTNNETHPGVTCEYVFGEDDGELQNVTFINGTQVRSIFFGCKGKVDCCGMYCCHDFGQWFELLFVFGFIALIILIAWYAKQREMEGPTKSVDPVPVRHVKRKAKTKPLLPK >CRE19354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:143937:145244:1 gene:WBGene00068624 transcript:CRE19354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19354 MSRRLFWSSLLLFSCLLNQYDAKGSSGGGRGSSGARSSSSFSSSSRSSGSSFGSSGGSYSRPSVGSSSYRSGNAQYNTNFRQNVFHTTTTTTMFSYSPLTHTHVIISPVTPLYFGSYHYYWGGHYVHAPERPQQCEYTITDDDQELRNVTFSNGTKPTTLTFGCKSSESCCGLECCTSSSTWITIIVIIVGIVLLIVACSWCNKKGYCQNETVVTTGIPVIATTTTTTHSYTTQGPPPPPGFQQFGPPPSAPIYSNPPAYNNYEQNSPYGGRPISCGQIHTTYKQ >CRE19355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:145424:145819:1 gene:WBGene00068625 transcript:CRE19355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19355 MRAKQIAQRHPMKKKLATKYAGKSAPAIGGVMKPHRYSPGSHALHEIRRYQKSTELLIRKDPFERLVREIAQDFMREYRFQSSAIMALQEASEAYLVEIFELKNLCALHAKRVTIIPKDIQLTRRIRGERA >CRE19388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:155882:158928:-1 gene:WBGene00068626 transcript:CRE19388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19388 MDTTTALLSDITTTVANFDQSSVLWALIVGIILAFLLGAGMGANDVSNAFGTSVGSGVVTIIQAYIMASIFETLGSVLVGWSVIDTMRKGVVDTSQYADDPKELLLGQVAILGGCAAWLMIATVFHMPVSTTHSLVGATIGFSVVLRGFNGIQWMVIVKIVASWFISPILSGIISSILYIIVDHTVLRTANPVKSGLRILPVFYFVCLAFNALMIFWDGSKLLKFDQIPAWGIVIIVIGVGLLAAAFAHFVLKPRIRAKIQDSEVPPTPPIFSDIESGRGTTELKEFAEGETQPLPRKPESEPGKIRQFFMWLMPDRSRVDSRSTTQLFSTIQVFTACFAGFAHGANDVSNAVAPLAALISIYRYKSTEQKESVPIYVLLYGVLAICVGLWCLGHRVIKTVGQKMSEINPASGFTIEFGAAMTALLASKIGLPISTTHCLVGSVVAVGSIRSGEGIKWSIFRNIVISWVVTLPVAGLISAGIMLLIKWIAL >CRE19389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:164589:166713:-1 gene:WBGene00068627 transcript:CRE19389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-38 description:CRE-TAG-38 protein [Source:UniProtKB/TrEMBL;Acc:E3N551] MEVLTQNLYVAKDLLVTELQKYNPIALVATTFAVTYVLTNLRHMQLDDMGIRKRISTWFFTTVKRVPFIRRMIDKQLDEVKVELEKSLQIPDHTTEYFRTIPVKSVGREEVLRLATIYDHLEGPAFLEGRVSGAVFNREDDKDEREMYEEVFGRFAWSNPLWPKLFPGVRIMEAEVVRMCCNMMNGDEETCGTMSTGGSISILLACLAHRNRLLKRGEKYTEMIVPSSVHAAFFKAAETFRIKVRKIPVDPVTFKVDLTKMRAAINSRTCMLVGSAPNFPFGTVDDIEAIGQLGLEYNIPVHVDACLGGFLLPFLEDDAIRYDFRVPGVSSISADSHKYGLAPKGSSVVLYKNKELLHNQYFCDADWQGGIYASATMEGSRAGHNIALCWAAMLYHAQDGYKANAKKIVETTRKIRDGLAGIKGIKLQGPSDVCIVSWTTDDNVELYRFHNYMKERHWQLNGLQFPSGVHIMVTMNHTHPGLAEEFIADCKAAVEFVRTKPVSESDKKSEAAIYGLAQSIPDRSLVHEFAHSYLDAVYALPEQSKKSF >CRE19390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:173281:175701:-1 gene:WBGene00068628 transcript:CRE19390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19390 MRIYYVTSDLSRMIGGRDRESDLYFDEITVSGTLQINPLYGPCALNNDGEKCDKNGYFEIVQCNLKSCYCVTPHTASIAQGTRTNSSKTVPICGTCLTYLQKLFANGDSPRNSFVPKCDVDKGDFEPVQCDSAKNQCYCVDTNTGREVPGSRKPLNSTTKMDCSINIDTSNKTSIDQTPSYPNPWCTTNRDAGHTCSQNNTSIRYWFDPETFHCFPFEYKGCGGNRNNYKTSGECLRDCVAANYSSCAMQSEPARRENGRLYKCSESYGCPQGYSCYMGVPFGMCCDDKITSIIRFSDFFLKFHLFSDRYHAALEPKCQNDKDVNREYSRPLIGKSCSDYFCSSNFTCESNELFAHCCPK >CRE19391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:176695:179895:-1 gene:WBGene00068629 transcript:CRE19391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19391 MRTALLLLALGLCQTNALFGDRLLRARNPATGPCTLNNDGLKCNENGYYETVQCNKESCYCVTPHTALVAYDTRTNNPKTAPKCGTCLTYLQKLFANGDPPENSFVPKCDVGKGDFEPVQCDSAKNQCYCVDTNTGREVPGSRKPLSNTTKMNCMKIDFSIDSFVDFPKFEKSDATKPKTDQPIGKPWCASNRDAGHTCSQNKTTIRYWFDVETFLCYPFEYKGCGGNQNNYRTSSECSFDCVLQDYFSCAMQSQPARKSNGQWYTCPEGAAPLPPGMTTTTTPGPKLTSDGCPKGYSCMMGAFFGMCCEENLTNRYYEAFKPKCKNNKNVLETKHDEYSSAMIGKSCSDKFCPSSHTCERNEFFAYCCPK >CRE19392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:184402:185332:-1 gene:WBGene00068630 transcript:CRE19392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-144 description:CRE-COL-144 protein [Source:UniProtKB/TrEMBL;Acc:E3N554] MEKILVTASATAATFAVFAVLFTVPSLYNTINEVHDQVLDGVSVFRVETDSAWTEMMDIQITVTPPTKPRVNPFNSIFRQKRQTFSGLPAWCQCEPTKPTCPPGPPGPPGQPGAPGTPGAPGPKGEDNTATYAPITCAPVSQDCVKCPEGPAGPAGPAGPAGPAGPDGQPGNPGAAGNPGADGQPGAPGDNGQDGTPGSDGQPGAPGQDGQRGSGAPGGPGAPGNAGPAGPAGQDGAPGQDGQPGPAGPAGQDGAPGNAGSDGQPGAPGGPGLPGNDAAYCACPPRSAVFVSRH >CRE19394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:192335:195442:-1 gene:WBGene00068631 transcript:CRE19394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19394 MSRRWVLFFLFSSCFGTQKYDMPKKIDLFNDIISQTTTPAAPKCQCLPPSTPTTPPNCIPYDSRLQAASLEEAIVAFPDLTITRQEKTQSAMQTATLNNCKTKQCRDCYKDLRSQLRKVGLLPGTIDQVFHNQRNFTTCQKYRFARQDKGVYEKKKKAKQHYDWDYADYDNDDSDYFWDGILWREKDNKLRNRIKRDVEATTAISQPPNSSALNSTGIIGIRFPISCTTRGITPDGLGTVSLCSTCWVWRRLPSTYYPAYLNEVVCDYADTSCLSGRTSIERKRTHCFFPGYASCQTGTQQLNVLRNDSGKLVPVSVSAGINCECRLSVGSSLESLVLGKGTSSAMPPVGGISSTNGQPPTSTTSHP >CRE19395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:196483:196988:-1 gene:WBGene00068632 transcript:CRE19395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19395 MSSDPAPPQATRRSAKIDIDNQTGTNFRFKVQHQYTGWETDVSKEIIYKPDEKKTIFDNVEYNTGFLTTGVDNWIVEGTKLNQETVNGKKELVDGAKFQSGTGALSSWKVHTLTAEDDGKTTVIRVFPTEIHFISDSGKSTTSFTVVKD >CRE19396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:200438:205125:-1 gene:WBGene00068633 transcript:CRE19396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19396 MPTGIFFNVNGRDIHEENVDPELTLAYYLRNKLGLRGTKLGCEEGVCGSCTVVLGTWDDGENKAVYRAVNACLVPLFHVHRTFVITVEGVGSREKIHPIQDRMAKGHALQCGFCSPGFVMSAYALLRNHPDPTMEQINAAIRANLCRCTGYRPILEALYSFSPESGGCCGGNKNGGGCCKDQKSSDDDEGYDKLPNFNDFPKYDTTQEIIFPPSLRVGGLILGNAGNSHFQTYVESEDLVTLKGDRVELAIPKTLEQFKMARSGRNVISSGLITRFVTSRNPAGFSQKWITTRYVKEFNEIIMSQDHIEIGAAVSIQRLADTLSANLPDNIAPEVTAFILKFSSPQIANFATWSGAIVSASKSSISVSDVLLLLNALDAHLVVLSSDGNLERVSLDTFVLKKLYETGTIVSSQFLRNDTRRLFCLKLGETSEEDSTNFNFAALIGDKKQESRIFVGLGGQPKRLKDLEKYVNSGDSYELEELYKVSGLERNNNSTVALTRLMSFLKDGRKVEAKENVNYLQYFKPTTNDCVGRPIVNYFNERAITGEAIYVNDIQTYNPVHLGFVLSTVPHADISKVDYTEALKLEGVIGYFGASDIPGCNTPGLQKTNVMFPDDTPIFADKKVESVGQVIGVIGANNVVLARRAAKLVKIEFNLLKPLTDFKEARDAESLHGRVQHYGKEEKELEESFGKAQKVLEGEVSMGGQEHYYLETQSSLVVPGEGDELVVHCSTQGTSFTQLMVAEVLKVPAHKVIVKTKRLGGAFGGKISNPAWIACMCAVVARKLNRPTYGFLSRADDLAITGKRHGVYAKYKVGIDSKGKVQGIHYQAWLNGGWSKDHTEPVTMIMGTLVDDAYNMGVVRFDGYPVKTNSNSNTAFRGYGNPQAKMINEGVMRRIAREVNKDVEEIKNLNFAREGETRYLEDRILNDALLECWDYCMKWSEFEKRKRKIEQFNRTSPMVKRGIAMSCVRHGLPLPGHQGHGIASLLINLDGSVQLSIGGTEMGQGLNQKMLQVCSQALNRPIETITIVDTSTDKVTNAPETGGSHNSDTNGMAVLACCEKIMSKLNPILDKNEGDWEKSVREAYTAFVPLQCTEYGYVDRKKFGFGDFEPPYNTTGACAVEVEIDTLTGYNKVLRVDIVMDVGESLNPAIDIGQIEGAFMQGYGLVTCEKITFNNTTGFLDQNSAGKYKIPKASDVPKDFRIKLLGINKSTGAQVYSSKGIGEPPLMMSCGAVHSAIMSCVDDWRKENGIEEFVDMISPLSAEKIQELCSK >CRE19397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:205458:212064:-1 gene:WBGene00068634 transcript:CRE19397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19397 MPPGIFFNVNGRDIHEENVDPELTLAYYLRNKLGLRGTKLGCEEGVCGSCTVVLGTWDDGENKAVYRAVNACLVPLFHVHRTFVITVEGVGSREKIHPIQDRMARGHALQCGFCSPGFVMSAYALLRNHPDPSIEQINAAIRANLCRCTGYRPILEALYSFSPESGGCCGGKKNGGGCCKDQKSSDDDEGYDDKLLSFNDFPKYDSTQEIIFPPSLRVSGWKRGSNGNSQFQTYVDSGDLVTLKGDRVELAIPKNLEQFKSVRADRNVISSGLITRFVTSRNPAGFSQKWITTRYVKEFNEIKMSQDHIEIGAAVSIQRLADTLSANLSDNIAPEVATFIQKFSSPQIANFATWSGAIVSAAKSSISVSDVLLLLNVLDTHLTVLFPDGSLKQIPIEEYALDKLYETGTIVNVQISRNDNRKLFCLKLGETSEEDSTNFNFAALIGNKKQETRIFVGLGGQPKNLKELEKYVDSGDSYELEEMYKVSGLERNNNSTVALTRLMNFLKDGRKVDTKENVNYLQYFKPTTNESAGRPIANYFNERAITGEALYVNDIQAYNALHLGFVLSTVPHADIAKVDYTEALKLEGVAGYFGRFDVIGNNKPGLQKANMNFPDDTTVFAEGKVECVGQVIGVIAANDVVLARRAAKLVKIEYKKLKPLIDFKEARDAESYLGPVQHFGKDEKILGEALEKSNKVLEGEVSIGGQEHYYLETQSSLVVPGEGDELVVHCSTQGTSFTQLMVAEFMKVPAHKVIVKTKRLGGGFGGKVNNASWIACMCAVVARKLNRPTYGFLSRADDLAITGKRHGVYAKYKVGIDAEGKIQGIHYESWLNGGWSKDHSEGVTMVMGIMVDDVYNMGVVRFDGYPVKTNSNSNTAFRGYGNPQSKLVNEGVMRIIAREVNKDVEEIKKLNFALEGDSRFLGARIHNDALAECWEYCTTWSEFEKRKRKIEQFNRTSSTVKRGIAMSSVRFGLPHPGPAGHGIASLLINLDGSVQLSIGGTEMGQGLNQKMLQVCSQALKRPIKTITIVDTSTDKVTNAPETGGSQNADTNGLAVLACCERIMSKLKPILEKNEGDWEKSVRDAYGAFVPLQCTEYGVVERKKFGVGDMESPYNTTGACAVEVEIDTLTGYNKVLRVDIVMDVGESLNPAIDIGQIEGAFMQGYGLVTCEKITFNNTTGFLDQNSAGKYKIPKASDVPKDFRIKLLGINKANGAQVYSSKGIGEPPLMMSCGAVHSAIMYCVDDWRKDNGIEEFVDTISPLSADKIQELCSK >CRE19361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:212978:214610:1 gene:WBGene00068636 transcript:CRE19361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-16 description:CRE-PQN-16 protein [Source:UniProtKB/TrEMBL;Acc:E3N561] MFALLASTNTLRSKRQQCNCAPSCSCNTASQSQTCTCQNTGFSTQNNCNCDQKPVTIQVSTKQCAPACQQSCSQQCNSQNNCLTQCQAKCQAQCGANTTTKAPTVIRLEVCTPKCQQQCQQQCVSQNQSSGQCAMECGSQCCAQCASQCKSQCSSMGSASQQCQQQCQMDSCSSFQQAPTTTTATPTIRIVLHSSVLNSAQCEPKCEQTCQSQCQSQQQSVQQCAQACQTSCVTQCQPMTVSCMPASSSSCQCQPNYAQCGNQCCRN >CRE22265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2711:3:467:1 gene:WBGene00068637 transcript:CRE22265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22265 MARIFNVNLVNEAQAVIGLEELRAVLGFAPPGNWTNYKEPSREEIAAALTIEEYYELREPRSKMRSLNSTLFFEKNFPPAIAFLDMRMPAIRAIYRLKFEEIRRHHGPKGIADRKEIDRMLEDFRTTSLRIDRAFQQIFLRNSLCLLAKGMLHN >CRE19362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:221536:227011:1 gene:WBGene00068638 transcript:CRE19362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spas-1 description:CRE-SPAS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N562] MFAFSKAPSASSTYERVTQRFQDGSNKLRAAIEMDELTKTNGTTDEKLQTAELYKQARQMLKEANEFNIMDIPESKRAEVREKREKMLNLEKSAQERLIKICNEVDPNMKRAQTAGPCRAARVTPRPTRATAPTPLAIAQQEAVNRAALLKGVDKVIGERLLDEILDNTGVRMDDVAGCHSAKAALEEAVILPALNPNLFSGLRQPVKGILLFGPPGNGKTLLAKAVAGESKQMFFNISASSLTSKWVGDSEKTIRGLFQIARNGQPSIIFIDEIDSILCERSEKDAEVSRRMKTEFLIQFDGATSSPDDRILVIGATNRPYELDDAVLRRFPKRIMLNLPDNEARRELITKTLKRHNMMEGLTSSDIRYVASNTSGFSNSDLVALCKEAAMAPIREIDRSKLSMTDGDKLRRIRASDFDQALRTIRPSTSDKIMSKLSDFSRNFGC >CRE19398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:227309:233284:-1 gene:WBGene00068639 transcript:CRE19398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-1 description:CRE-PTR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N563] MGWFDDKIHEVFYEVGVNVHKFRLYFLLLSFAILTFCSFGFLWFTEQTTNDPQYVFSPANAPWRYERAVLTEHWPLDEEKFWPGKSYDLHGYVDVIASGKVHPDFGRPNILNIRYLDEVARINDYIVHNLTVPVDINGKHYDISRYTHTSIFQIAYTDLCMRYDWACFLNDHITMLMPKTRWGNFSGPFAEFASDIINTQVNITYPIGWRGTEPIYFGALVGAPNLVDDEGHFDFATAIRLTYNTREGKVDEYGIEWRRKLAKWLTDKENPVSELLEFGVNHNMTLPEGLQDVADTLAPKFVGTCAILFTFSFLVSVVLRRHSAGQVMIDWVRSKPIVAAAGLMTPVMATVTSFGLILWCGCLYNAIVNVSPFLILCIGIDDLFIMSAEWHRTNPKDSAEKRIGHTLSEAAVAISITSITDITTFAVGCYTTLPGVQMFCMYTAVQCFFCYVYQIIFLGPVLAYAAEMEQNDQHALLFRKAVDPEKTNSKLKLWLLSGSVNRQVVRKRVSKKVTPVEEEGSEKKSKLGEMVAKLEHTLEQHDEDPGHNAEETLVSKIFREIIGPFILQRSTQRYQKVINCKNKTLLLIYKIHLIPKFQICALLLYIVYISLAIGGCLNIKEGLDPKLLVRESFYLSKFYEIIDETFWREGLQMQVVVNNPPDLFNPDTRKGFDEMMADFEGTQYTMNANATMIWLRAYETHLETEVHELNIQKPNSSEDWYRRCRDWLIVAGGRRLWQMDMVWANTSFEETPKITAFRFQLGLRNYRTPTDHTHSCKLMRAIAEKHSKFNVTTFHEYYPFADQYLELTPSLFQNMFMDLGTILLVSMVMIPEWRCAVAIVLSIASINVGVLGFMSFWGVNLDSVSIITVIMCIGFAVDLSAHIAYAFSQSYGNSHTRAVAALETLGWPVFLGASSTVLGILLLTLVDSYIVQIFFKTVFLVINFSILHGLIFLPILLMKFVSGVRTRDGEEDNKKNALEMEAQVAA >CRE19365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:257505:263863:1 gene:WBGene00068640 transcript:CRE19365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19365 MSSQAQLLLDSAVRDVDDPLLLYHLNASLIEAARDGVNATVKDILSEVESFRNLNDSFFLQGVPAMESTPGRSLIQNLYYFYMPFCVFVGLTGNTMVWILIRSNRMLSKLPTNVYLLCLAAMSSIFLLSLLVFWIEEVAYIYFYDIFQDSLLRNSYYSCIFNTFLAHVCDFASVWLIVLVGMERLLLLYRKTRGLTVEKARAQVFILLGFAMIFNSWILFVADIETREGLCDIKTGVRKNPGSPAVSFNTADIVLTTQTSAPNSTLKSYTRISSRFSIDVEKTPKKKKKGIRYTDIQLTRSLLVVTWAFILLNIPNYGYRIASILFGVPEQNSLMTAISLGAHVFLYTHHAFLFYLYIFYSPQMKRRLKPTAMKLLECYCFKPPGDYTDHT >CRE19401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:263992:265892:-1 gene:WBGene00068641 transcript:CRE19401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19401 MPDCSENLSAVIENHVPSLEELKEVRLVIRCSKLQPTQVFQKSLDANFETVQVDVVDCPNLSEPPFHQTSSGFGQNLKIAEVGGPGNLFPGFHTDHQFDIRTIGKVCEHPEASVFGPGAGPWPVVKKNCEMVADVNLKTGKTATKIAEIYQEKYRQRTIEEPKFSLMANLALSDADKSAKVVHFKASVRTGEKNVTHCIRDGLQEHFGERPVSLAGQFIIHTGQAKLHVMPHFPGCPFANNEEVDKWLNYFTMDAPLVCATVMHSYDPGHNLRLEHTHCYSEHGDAGHYHYDVTPATVAYEGWFAPAGKVYRIDEVANR >CRE19402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:266709:268825:-1 gene:WBGene00068642 transcript:CRE19402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-177 description:CRE-STR-177 protein [Source:UniProtKB/TrEMBL;Acc:E3N570] MDLSVFSSFLKITQTIGTCIANPLNLFLIYLICTRSPKKIGNYKYLMIYVSFYEILFSVIAIVTEPLLHSFTTRVIVIVKAKGSMFSREICSILDCLMCAMYGSSMNVFALHFLYRYVSLFPKARRVFDGMRIIFWLLIPQVYGVVWLVTYYLVFRETPEYTEFIRKAILENLDIDVDDVVYVGPYYYMEDKDGIHDLDWTAFWSMAIVWFLIMSSAFTVFICGYGCYVKIVRGLATSTNSSQTKSIQNQLFYALVIQSAIPFLLMYIPSSIVLFCTLIQQNVGSASLFISYSIAIYPVVDPLPSLFIVRNYRKAITEMFTCICSCGTKKNETKEPTVQLAAIRNRSLMSSRI >CRE19366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:270283:272472:1 gene:WBGene00068643 transcript:CRE19366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19366 MRKHEADRLDRVALYFLLGAALMNLIVFPFHHNFLIPADLYTHFPALIHPFREYFPRSRNINKLTRGIENSASTYNLPKTGQLLCFVETSEKYYKDRVPSVAATWLSRCDNGRFFSKTPLPDPKMPFTTVYRNLEDSYYDLFRKTLLGFYYSYTYISKDFDWYLKADDDNYFAMDHLKEYLDTLDATEPLFLGYRMKPFLEGGYNSGGSGYILSNAAVRLFVEHIYHDEQLCPYDWAEDRGMARCLASMGILPADTRDSNGLHRFVPFRPSEMREVPAGYHYYPLRNGSLISEKFVSLHRISPRKMIFLDSILYPQSGKRLFTPEFFDLL >CRE16550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1585:914:3004:1 gene:WBGene00068644 transcript:CRE16550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16550 MRKYEADRLDRVALYFLLGAALMNLIVFPFHHNFLIFRIPADLYTHFPALIHPFREYFPRSRNVNKLTRGIENSASTYNLPKTGQLLCFVETSEKYYKDRVPSVAATWLSRCDNGRFFSKTPLPDPKMPFTTVYRNLEDSYYDLFRKTLLGFYYSYTYISKDFDWYLKADDDNYFAMDHLKEYLDTLDATEPLFLGYRMKPFLEGGYNSGGSGYILSNAAVRLFVEHLYHDEQLCPYDWAEDRGMARCLASMGILPADTRDSNGLHRFVPFRPNEMREVPAGYHYYPLRNGSLISEKFVSLHRISPRKMIFLDSILYPQSGKRLFTPEFFDLL >CRE19367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:272610:274764:1 gene:WBGene00068645 transcript:CRE19367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19367 MMYFHFLILLVSIIAAYSLFQIPLEKVESLRFKLLREGKWKDYKEFKNRIRSLSDAYSGSLFDYETAGYVGKITVGTPQQEFRVVMDTGSSNFWIPDSTCGMEPLSCGRPVSGDATCYGSYCVPGGPNTTASCTTQNKFDSSKSTSYVKFGNSFRFDYGVDNANGLLGYDTVRFGGMSDNQLVVPGVTVAQAVCFPTFFEQTNIDGIMGLGFQWNAQQDIVPPFVNAYENNIVSPVFHVYLQKGPNGAGQITYGGRDTTNCKVVNEYHPMTTYAAYQFYLTLVSAGKYYSSKGWTALADTANSFITGPAGVIAGIADAVGAKWDTYTDTYWIPCEPKVTTQMVNFTIGGTIYPVTAENLIVPYRKFHILYYSSNFRFSANKTGYICMFAILPLSNNGFGPSWVLGDPFHREYCSLYDMGSQRMGFSAHLN >CRE19368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:276064:278758:1 gene:WBGene00068646 transcript:CRE19368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19368 MKNLILLASLVACALAAVVQIPLMKIEPYRNRLIREGRWVEYRKEREIRRFMMDKQQVAAAVGQYVNDYEDEAYVGNITIGTPQQQFKVILDTGSSNLWIPDITCGTKPENCSAVPTCHGILCQFECDDQACCGAGPNYTDSCLLQAKFDASKSTSYKSNGRSFIIEYGTGSARGFLGQDTITFGGIGEPQLAVPNTIFGQATSLAAFFEGQPLDGILGLAFKSIAVDQITPPFINAINQNLVDLPVFTVFLEHEGDQNGVKGGIYTYGGIDTTNCGPVIAYQPLSSATYYQFKMSAIGSGSYRSSKGWQVISDTGTSLIGGPKAFVQGIADAVGATWRDDYGVYIIPCNAKINTVDITIGAQVYNIDSSNTIIPLGDGSSNCIFAIFPFSSGGFGPSWILGDPFIRQYCNIYDVGTQRVGFAKSLQSK >CRE19403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:278993:282262:-1 gene:WBGene00068647 transcript:CRE19403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-10 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3N574] MVLAAVLSMLRYVAGSDDRDFVDRLHSYFTCNLLIGLSVLVSFKQFGGKPVECLVPDIFSSSWEQYAENYCWASDTYYVPTNEPVAGLHIDEKRQRKISYYQWVPFFLLLEAACFRLPSLLWKYLAGHSGIKINEIVKLSSDPNNIKPDIKRANIKSLTVHLQGALRFHRRLQKKQIRPHRFLWLFNLPYSAFFVTSMYLCTKFFYLANVCLQLLFMNRFLETDKYKWYGLGALVDLLNGTTWEQSGMFPRVSLCDFDVRVMGNMQEHTIQCVLVINIFNEKIFILLWFWYLALLIFTFGSFFYWLIVSLWGHLNQRFIIRHLEMSDIQFDSSEDGAPEKVTRFIDNYLKSDGVFVIRMMTLQSGVIFGTDLVQELWRNFHGTEPQLKRSNSAPRIEEREQWWPAPPLVNSINPWRYRDENQANALRWRRALGANVDNTIATQDLMEKLLPQNAHLRPSDDELLRRYPIAVKASYIDDDQESQKTSAEQKSQNSGQPLQQPPSNQYSTYYRSPSFSKPPDSRPVSTATENNDPAKKPPMSTFK >CRE05215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:144409:147711:1 gene:WBGene00068648 transcript:CRE05215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05215 MATTISFHAPIKSIAFCGSSNIAALFENNVKLASTTTGTHFATFETDQDSTDVHGCEDCECTFYSKYNEIILVLLVANRKQRMDLLDLQTSKPLPVNHINARIKRSPKDQNDPKNGGNRWGFGSAGGSQRTMFAIRDKKNGQASVDHRLKGTEHIDQCNIAICLWRIAKKKHEDEGDVDSVASQKVNEGPKIEDFVHIRDVGQGTYGLVGEYRSKRTGHRVAIKTLNRSLRYNEAYLIQRETINMAKISHRNVVHLYQCFSTQLHVYLVMEMMTTNLSNIIDSSGRLDEYDASQVLKSIGEALSYCHFKQLIHRDVKPDIILISGDSVKLEDFGVSTFEQGRTICGTEGYMTPKMITDQMYSYQVDSYALGVIVHQILTTTMPFNDEKDGGKSKNVERTSGGKTEKRWTMKQIKRSPKDQMIQKMVEIGGDSVLLEDRNGQCLLYEIRRMDKPRWTIVSKEPSTSINVTSQYVCLERQKNTVRVVKICFGNEIPWISMRKNYSFCGIWREMRVKNFLLYCSVNLFSNMNHI >CRE05211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:115311:125706:1 gene:WBGene00068649 transcript:CRE05211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05211 MQRKQVDYTTGAGGLDNWRRWTKEGKGDHNHAPNPTRARRRPIHSTIHSVTRHTNCALLPPNQTSISNLKTPCPNFPLCYCIPSSKETDTPRTTTPKIGPFKRFNWRKPTGSSDRVLRSHSKVVQGRTSSPLPGHYPPPLNFEEDLNESKPEFTPNQTVLFTEETRRELQDKRERFQKTPTPENGEENLLRESPPNDTLFRTAIFNPQIGEKLGNGENLGDRTLTPGQIPKLQSESSEFTPDQTLLFNAETRREMANRRVPTWKEQGEMTKILDRRISKFNDGKSSELKEWLDQFSRALHRCHIAPEEAVELIPLYLSGPALLKYNRLDEKKMTSWEEAAKMLIEAHDCPAEKEVALQELTTISQGKKPLSAFGQQIRTLGNYAYDGLNSESKEQLMATHFLTGTSKKIRTRLRRLQTIPKTLSGMQSEAEKIQRLLQIEEEEEEEDNLIAAVQRLNLQKPQRNDRRENEKGNTSGNPQWNQQGNNHGNSGNPQWNQNPNNQENPGNNWNQNCQCQCNPQNGGGNQNPNNQGNWSQNRNSNQGNSGRTQNSNQGRQLRWDQNTGRPYVNNIGHFLMGIATILTLIMAPTTEANPQICGFGEAGNVFIPPRPILCTFKQDIALKDHRVNVYSLRHEAIQMETIKCFKHEVTGERFSFLMIYKSTEATVGKRSPVSQEECRRASISKKYNDLEMKEISPGIFRTEATQDIASNHTLWLGTSVFTTYEFTLEVGQIATIDGQHAISNLGDLESCNFSTGNCQDDSSTIIWQAVDTRKECQYEFLQSATALISQQQIAIEEMGIFSNIDGDLRRLQSAAEGCFVHQPYLTDDGYLVEFYEAPLTGWVPDMHVESSPERRRPRLWNRGPREVGSIGGHGGMEFQFELGENYSTPILKKLFGTNNWTEIPELKNPISEPALLREISRYNISNTLLQNRARFYPVDRRAENPLLLMTLKAIRIGQYGARQLKELNEMEHQLTKGEEQLKTLIERQDAHVFNKLLEREFGISNPVFTQGDDSFEPPKILPESLVQYQGLKPEEEAIWRMPTTPLPKTTTTTTTTTVIPTTTTTVKRKPTNPITTTKGTSTTTTTPPTKPSSTTKVKSLETSPRPTVQTTKEKPQVQLEDRDPIPEENVNVVYEQPDIPQPKPHNEMQVLLEKPKEQVFREVCQEQWRTTSMFETVLQIDPTAAIRQLLRRSDISAKRIGETLLISKCQTVSPDRIHWDRKVNTTCFDLIPVTVKDKVWFFLPGSQDLVAEAMEIPCEERPPSVRWEHNRWVGLKNQEVQPQHLTRPNKREQQHFILKAPDTFYTILGEENGVSTGADKEQSRRLGKRLVTEGILKETIEKISNSTSAAGRSARKMYESAMGKLRDGLKMAVFEILVLILWIAIPLSTIVLILGVLYVYIKYKTIKRTRKLGRRTMRQARNALVEYAHNQIARVNNVELERSTRRPLRSYREEYTTFSVNSVKVNAVNAARLPHIDVELDGETLEALVDTGAAISYLPLSSVKSKINNRNTPSARAANGSSINFLGAYKGTIKIGNFSIPHEWLVSKNSECPAPMLIGSDLIKKIQQPRTWIEHQPPQKHHPNRQHVPRKSITETSPFSLLELRDEAQLRRGDVTRRGLLGKKKNATSKDPQKIKMIQKMVEIGGDSVLLENRNGQCLLYEIRRMNKPRWTIVSKEPSLSINVTSLYVCLETHKNTVRVVRISSGQELPWITMRKNDSFCGIWREMRDGMLTSEKECHCIPISPIKRHQIILFGTVEVSLLRKNNKCQIKVENRDRRLKGALEENQRYESNFFKVKKKHEDEGDVKSVVFQIVSDGPKIDDFEHNGDVGQGTYGLVGEYRSKRTRHRVLRHVQTLNRSLRYIEAYLIQRETINMAKISHRNVVHLYQCFSTQLHVYLVMEMMTTNLSNIIDSSGRLDKSDASQVLKSVGEALSYCHFKRLIHCDVKPENISISHEAVKLGDFGVSTFNEGRALCGTGYTAPKMFTDATHSFYICYIREDIETWPSTLVHVLYLLNLLFPMEIRSLENHERLKKRTTNRPLKTPKDKTMVEEAQGMNVHISMDEVLKALADGRLKLTTKTTEGAGSGLVDSISRRIANFEYEPEEGQAFEDWYLRYGDTITGEGTDLSDEAKRRLILSKLNQRDYNYFTNRILPDKTSEFKFEEIVNKLKECFPSTRSTFARRMEFLKIIDEGGDLGEYTGRVKKAYADAKFNEMSSEQVQCLMWIKGIRTNGTVDIRARAMQIMETRPTTTLIQLDHEIMKLLEFREDAKNIGGCRTSEEVFAVRKMRTEKAKEQKRSSQRHLLRKEKQRENEGSSTDEKDDSDEEKPTRNHNQRKKKCHRCGGEHNAMNCWAKNKECFDCGRRGHVSKMCQEQQDDDEKSDRLCHRAIYAEDDCYEKTRIYRTIEVNGLDVKMQVDTGADISFISREDWKRLRKPKLKRTKTEIFDAGGLKMQLDGTFKCKIKYQGVEKTVEAYVGDAKSLFGLDWLKKIPDVLNIEKIWMNHIVAKTPNRRRDIYKVNGIYVKESKPRKGSTEVVKNGSMKRHVRRWPDEKFVKIQRNREETGKERCKSFVTRSPNPGRKEKVGAFERHDRWEKKNPKENSRLQPELKVSFPETLGQHSAWSRGWNQQGSSAPSLPIPPQTSFAMYPWRNNFGCSEMTGCYVWIPNCYEMRMMSGPDANWRGFHQNDVAPVDFR >CRE05226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:105670:107036:-1 gene:WBGene00068650 transcript:CRE05226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05226 MSETAVNVISFISEKYVYFEYIPACVGTFLNVFHLIILSQKSMRTSSINAVMIGIAICDFLNMVYILYNSTSYFVAAQPECINSASYLKTILGVLVIGLRDICRRLTSWLGVLLSTIRLIIVKSTLNPKLNKISKPSFGRNISLICLILSILISAFYFGCFRFKPSEIPWIPADHCSGYPSNYSEVQFQPEFSSVFMLDPETASKIFYITDGALKITSAILLPILTIFLIIELNLARKTISVAQLRNPSNSSKTDNTTKLVIFMTITFVIADGPIGVISLAQGVLAEKNMMKSLMTLSMILSILGIFITLNSSIHCIICLVASSPYRETVKSLFCCQKDDSNTIFKSTRHSGSAISARQKTSN >CRE05208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:103956:105569:1 gene:WBGene00068651 transcript:CRE05208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05208 MMFSNGMGGPDGKKILPVEKKNKFYVLIFILIRIRNATILELFCSLLGNLFSFVGKSTRDRNNMIADEKLAGLIVFPIALIGVFANWTVALLIRRLPSLKNSFGRLTASQSIGDAIHSTVFAFLFSPMCFFGIDFMKEYSSVIGHILLIAYDISTYSHLCISLNRFCSIVAPIQYDTIFRYFPLSFLFDNTIFSMSNTKKLIMFSWACAVLPSFYLYIYHDCKFYYIDDFWVFTFSTTPVCGTIVWYADFLKYNSIVLSIVIIDIITVSKVRSFKAHLSSTSSQSHAKKRSAEMNFLKQACLQAFVFVCELITYFLITPRVDGSERWLRFFLSTVAWVCVHMLDGIITLSFNKEFTQTIFRGIKIKDLSGYSTRHPHTENTSNAGSKTKH >CRE05207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:98815:101383:1 gene:WBGene00068652 transcript:CRE05207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-105 description:CRE-NHR-105 protein [Source:UniProtKB/TrEMBL;Acc:E3NE99] MTFSDPMDPPIPEFLQNDDDHEEAGPSVKIEEDGPSCLVCGRVANTGHHYGVTACLGCKTFFRRVVLQKNSPKCKYKNQCRLEKSVNAKRLCRSCRYRKCLEVGMTEDALHPCRDVIGRRVRHHSVDSSPISSPPSISISPQISICKMMSLCDGDFELLQTITEMDTEIRTRTAHILGEPSNGDQQIHFYSPTPDYTNVSVNHGIGPSLKVDIILLKEWVGRIPGYLDLSERCQEHLMRRFCLRYTVIEHGLFTAQMPYHKNVWFLSDRTCLVSEFENLPEEIHRHLTPKVIREQKLLAPFTEMLIHDVADPLRRLKPDSVEIATVKTLMLLKPTCLKEIEGEYLATQRDLKTINEVRNRVIAGLYTHFIKKGIDPEQLPIRISEILELTGGVEICANRALEEMQLLRVFNLSSFDQYSADVIFGFYRDY >CRE05225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:93715:95076:-1 gene:WBGene00068653 transcript:CRE05225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05225 MDTLINLLEIKQEDVEGEEEGNGHSPQSHEEISRKRKRKSDLRTSLDQSIRNGKFNLLVAGLAIHNAYENGRPGIPQDIAAFAAKNATCVPFPQMPECLPTDPLSMNSMMHGYGLAVSFEEKTYSTLNIKIQAQLAMAAASKTSKAVRIITPTKDNFNFVSDSPSCVKSVYSYPSSYPFSGELIAIDSIPLMDSSTPAHIRLQNYLFQLLGKSCINRPDIQRFWIIPGEKNHRYGDPKPFPKELFENLQQLFLEFFQLDYDDLDEPEYCDEFSEMMILREHPDYESKKLKFEEMSAARKVFKTSIFLLEFKAALLVFIRNFFSKSFFFSKELRHGTFVFTSGTSEGHFAKRQRHISKRLLNRDDEHKENGVLPKQKEIKRENDSGVADVG >CRE05224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:92121:92837:-1 gene:WBGene00068654 transcript:CRE05224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05224 MASEQNIQTFRDFLTQYNLVAEQCFTSCVNEFGSRTVNAKEESCANNCLDKFLKMTQRVSQRFQEHQLLNAQANGAAM >CRE05206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:88576:92185:1 gene:WBGene00068655 transcript:CRE05206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05206 MGGVLSGDRVFNDTKAIHNVDRQMLHNHDPTLSVSCPEVTTEMHDRLNFPKVELHLHLDGAARFDTLLELSQQKGISLAGAKTVEELKKVLVTHEPANLSKVLEAFEIFLPVIRGDLSAIERVAYELCEDQHNNGVVYFEGRYSPHLLLSNDYPEVTAAHVVAAVKKGFDRGEKQFGIKARSILCCIRGLDKKFPQLILDLATDLKQLGVVAIDVAGSAHGADEQYEPEVVAAFQEAFKRGIHRTVHAGESGGPKEVLRAIEDMHAERIGHGYRVMRDEQMYIDNFVNSKTVHLEACPYSSVMTGAVPLDWKNHPISRWAEDDVNFSISRDDPTCFDNSMLSELTLSHKQVGLNVHQLWKAQLNAARSCFLPEDEKAELVKLVQAGEPPRP >CRE05205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:82539:84340:1 gene:WBGene00068656 transcript:CRE05205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05205 MHFLFQKQQEDLIRTMEAVCRDHNQTWRATVNFTWRRFDESHVENIDLDLLCRCRVYDQLKETFQKNFCPNNDIEFSWCKFPDRSQLIPNKFSVTAEGRRIITSGYEVYNHILPAYPNKLYLRLGVQIIETPVLGRLVELNNLVKECKSAMNSDKKWRMLKDTEIAEEQLSIRELLEKQQKELQGLKEDMAALKIENERRLKEEQKQFSRLEVHNAACDQCGDLIIGHRFKCTICIDYDLCPKCESRGAHPNHALLRIVSTQHTTVPDHLVPEKKLPCQSIPEKKVPGRLIPEEKLSSQRILENMASVQMASDELKFLRDRGLENFERFETVFDGTRNGFGFESFFKPTVDKLPEQPRKFFNGITHGPDVNKIRLASQRTECSDNPRIFHLHTISKILSATSSDNISQSNGSSSNNLMNDNFNKKKEKKYKEDDQDNIEYWIRFFKQNKEECLNESDLEDDSDDSDDSDDSDESDSHSASNSDSDSGSDTDSDSSCSSDSSCSSDSSGSSTYTASSDSTKSSDVSLSYEMLSNVLRDLNVDKNSNENSGNSTSEEYEIVDDKEEEDDEMHTAVAPTPEAKD >CRE05204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:76828:81255:1 gene:WBGene00068657 transcript:CRE05204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trxr-1 description:CRE-TRXR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NE94] MKSLGELFGCFKRQPRQGDATAPANQSTSDTPSMGAVASGMPPPKRPAPAESPTLPDERNVDEPGIPLKEALKEANNAKIAVFYSHNTFDEEKQILEIEAILKALKDRADVEKSLEIPDVQRIRVSSASKKAIQYLTLHNSWPLIYIKGNAVGGLKELQALKKDYLKEWLRDHTYDLIVIGGGSGGLAAAKEAARLGKKVACLDFVKPSPQGTTWGLGGTCVNVGCIPKKLMHQASLLGHSIHDAQKFGWKLEGKPEHQWSHLRDSVQDHIASLNWGYRVQLREKTVTYINSYGEFTGPFEISATNKKKKVEKITADRFLIATGLRPKYPDFPGVKEYTITSDDLFQLPYSPGKTLCVGASYVSLECAGFLHGLGFDVTVMVRSILLRGFDQDMAERIRKHMIAYGLKFESGVPTKIEQIEEKTDEKAGKYRVYWPKKNEETGEMQEFSEEYNTILYAIGREAVTDDVGLETIGVERAKSKKVVGRREQSTTIPWVYAIGDVLEGTPELTPVAIQAGRVLMRRIFEGANELTEYDQIPTTVFTPLEYGCCGLAEEDAVKKYGKENIIIYHNVFNPLEYTISERMDKDHCYLKLICLRNEEEKVVGFHILTPNAGEITQGFGIALKLSAKKADFDRLIGIHPTVAESFTTLTLEKKDGEEELQASGCUG >CRE05203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:71508:75350:1 gene:WBGene00068658 transcript:CRE05203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05203 MGIKTLFILALLTVGVYSDLNEDFSPVHYCFVNPPVKTRLSPNLLENITSCTHLVYGRIPINRDNGYPEYSVSDVESGYDIDNIRTFLRMKSQHPRAKFLMGVERTTPFEDTLHAGKVANGLKKHAKSKRFDGIFVTLNGIHLEYRSSTTFLETISKEKSLILTFGITGRRVFAHEAVRRLQEINSLVEHIYLDMGELPSNEEPSKITQINPLFSNTSIPFEETIQGTVEELSKEGILPSRIVVGLTAGGWKYEIKDSQDPLRISHGMFAKEAGKRVAYQDACKARGAVIYDWKSMNEITVYRQSWMSVNLPTMTAMGEKIKWILGQNFAGVGISDALTDDPRGDCGTDPFPAHRLAMDLIRDTIPANPAKCTRLCYLDPEEVDETFPIDNLKSDYCSHIVVHYFDLDLKNTVVFSEKAVKLVKKIDQWKNKIIDVAPDLILSLGSKQITGVWQFILANDFRRKELADELVKTLNTSTAAGLEISWTLEPMANEFDKKNLKALIDDIVLADVEKKVDLLVATTPLSSYSNFYDYQHLNELVLIFLSPSSSIFSRTADLIVLHSHRLHSESLPMTGHPSPLRATSSMRDAKMTWEALLNHWTDQKVLRSKLVLSLTASTLSMQSLADVRNSLSDPFGQPAFVSLLRSKNSDIHSQQEICESLEAATGITHWVDVAEVPYLRRYDQMVAYENTRSAHIKAVWASMEGVGGLALHNIQQDDPNAVCNNRTSFPLLDSLSRAQVCQKCLKQHDFKKCEQHDFIVSCNFELKKNTPLFKTDIVPYERCTEVVVEQAKLVLGGNITFKDSQQEQVLKNLTAMRPKMLKCGMVLSLSCGDSEKHLNYILGDNMTAAIDNVMNVMDKYKFSGVQLDCEKAIRRGNHQSIQNNFTEFQIFFNTFVRKLAKKIENAKASNGCNRTLSARFSHFTRAPSTYYSISLLNRLSHISIRMTDKDQVDLPFFFNSSDPLFPSTEKFVNLWKNVGLKSEKLVVEVSPFGWQDGQKEGEKRRMSQLDNCETVGNKAIFQHDYETLTGYTTHQNTTVHMPMIEDFRYKIGYIQREQLGGIALNSVNGDDYTGICGRGSFPILKSIYSSNNCR >CRE15138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1602:1674:2359:1 gene:WBGene00068659 transcript:CRE15138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15138 MSDRVRRRRLEASDQFAPQLISSRRAQGGLSPASRIRIAADAHRHSTSDDDSGCALDEYAWVPSGLKPNMVHAYFACLPENKVPFIGSAGEKWRQRQSRYQLPPQDSDVRYCEDLNSEEADTLRMFERTRKTECLGSGVVQYAPFDTKCEKVSFNFYFLKSAGNFTFLLILLLIFDPSI >CRE19903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:24919:27804:-1 gene:WBGene00068661 transcript:CRE19903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19903 MSSSYAELTPVASCSSSTNSEMDAAAATVVSTTQQNIDAFNLLIEELEFEPSREKKREETEYSDVEQVPDTYDEDIVEEEEEIDIKYPYERKDVFEGLKERIEQRLKDSGDEELEKKTRELTQLLHLKQEEWKEEEKQERLESIRSLRDFSSSIFTCVMCSKAFADSDQLQTHIKQSHDLNGTNFKCKQCGMSYKRKKNLELHMKLHSREFECKQCSLVFQTEDKLEIHEDRFHSETEPLKKCPHCETHFHEKNFKPHVYYCQNKEKIAEKRKQLKAQSVPTSPALSTISTTSFMSYQPGPSSFRSPLASPIVSYRDKSCAVCGETFASRQSMLRHVGRKHPDVKNDPNVTAVRYVSTESPTHQYACVECGKRLTTRAALTQHRARAHAADSRKQECSHCQKSFVLPSELKKHIQRVHLNTQKSTTTSLARLDDLPEIEDIF >CRE19904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:28285:45120:-1 gene:WBGene00068662 transcript:CRE19904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19904 MTDRQTDVLKDFEVAKKKCAEAEKQLAIESARVESLSNEKSHLEQQLYDAHVEMDTIGTKLNGMVRQRDEEIKKLKNQMEQMAESGWHETSPPVSFPSATETDQIRELRQQITHWKSMAERGEDGETKETIREMEKRIESLRQQKEHEIQTIVESHADAMVEMREMYEEKLSAMQILPYNASSSTSNADTLDAVLLEKDELMGVKSSTRSTNGSDGEAAVVVDLGAHDDLVDERIRQMEAELERARDEKEEIQTALTRQVETLQEQNQELASAYTELNTDFEEFKQQNTVTVNANTDLNRRIDSLKANLIEYEERYEMCKKENADTVAQLEKLSGDFVRLRAGVANVSQRREDCDVLVNEEVEKLRHALDESRGERERLREDVQKFQVAVGEIDVELEKLRGANRQLLAENNALTENLSRYDMTMKEIISSSEEDIGKFREQFKEIQDNHSQQREVMTAENNALREETEAIKRQRDILMEESALLKEVNEKLKHKTEAEEEKNKLLQEKSDLLQQCLEKEKAERHQENLENEEARRRREEEIVQAGSDGNLESENEVLKLQLKEALSANTEKTDECEKLHIENRNLEREVDLRQSCVDEMIAQTNTLQMQQENMSTANKGYQLQILANERQIVQLEEKLAEETQRNEENERKVKRLEEVIVGDFMEKREEESTSDDGKIRDDVGTLKRYCAELQSKLSETEEELKIVKELKVEESNEAAQMRKALEKELFEAEEVDRGLLEGIEKRVKGVEELRSTLTALKIENEELKTSKIDDLTTMEQLRAQYTVLQEETKELKGTAKMQQEEYLKALSGVEELQTEIQKLKLENLELKTAQSSTETDSATVEELRAAITALQAENEHLKGVAKTQNEENQSEIQKLKSENLELKTAQSSTETDSVTVEELRAAITALQSENEHLKGVAKTQYDENVKYYEQFQAMATHNQQIQDQLNQNNQENEKRAKELQRLREHLMIVEENSTREAVEAEQRETELRERIKGLEARGHQVEEGATETNQQYQVQIASLTSQLESLQKKANQWEGKYKNEQKTREHTQEALTSLQNVVRELSVDHERDAATASHRNLELQTLIGSLNEEIAQIREEMDRQSIGRQAAEEESERRQLQLDSKQKIIGKPIVCLFLETESESSRTAPHLPLRTQNEPPPRMSMDSSSQRSPNPPNNQASASSSAAALDSLLR >CRE19905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:50263:52981:-1 gene:WBGene00068663 transcript:CRE19905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19905 MMRALAESPPPDNSFYGLPPQEDLEVQKLWVAEVSTILEGYKVYKTDVHLIKKFLDTRYDFDVYDYYAYDLTLDAMAHFQAGITQTNMEMNKKFMLILQKLYMMFAEENKLTFHREFVKEWQYYPIDYCPYFETLLYKYSETLNLKLNAVCRAPEDIEHDVMEEIRWNLLIAPVNTLLALFKRCVDNPNVIQFTIYLCTHLPALFHDRPLKIYHNELETKRIEPLLCVVFRRFLCSLRCQENTDSQWEILYQLMMAFCDDEKKPLMSCWQLLEVVLNELYTPNRLPTKSVEMLSAMASRILSPENKMKLTFKFATSMRAPQMDCLYLTPTIIIMFLNIMDEYHENKKSIEVIDNCKSCLKSIGERMTEEHLEFDDDTKSRLMEELSKRPWYVEYAVSTWYFALNVEKRRVPTAIFQGICSEDKSPSTSTQKIPEFIEITQKSISKDELPFECLRSLMKLGLFDPECALELLHISPATRIQLDVKDIASMMEFVMKEYFNVKLALVNYECARELMMYILEAFDKPATLDHEEIIKTIIEELPVPVIPREWPRHSAKMEKSLIIQPLEKPRRNSDDPWPPPQKFISLEMRELHAQQKRERDRLYQISVIRTEKAERIHRERWAQDDSIVGWTLDESPPNEPVFLEEKRVESSESTSTSKSSEKKKVKRVKKEEVKEAEPLTEPEPPRQYKSIAVSPERLADPVDPASLAEKLEKVEVDRFAVLRRCTPSEFLEGFNRNSHTIFGGSAKRGGRH >CRE19906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:53346:54013:-1 gene:WBGene00068664 transcript:CRE19906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19906 MVAPSATRNVLQSYGKRGIYVSLVAAIASTVAFNAFYVWPRHNAYEEFFANYDPYTRMKEICAANKGYMHTCPKELAKLYEEKGKTVAEH >CRE19907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:54351:58147:-1 gene:WBGene00068665 transcript:CRE19907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19907 MISIKIRLVGGKDDRSFNVIQVDNKILYVDLKKKIKSVTFIPIDFQELHFRGERLPVVERPIQDIKFGEEIVVKHSLLETWRMYLMLCDSANDTEKEKSERTMCAQSAIDNSKRLEDSGFFLAYFNFVTVWIDTIGEMMRLVDRTQDAFEQSATRFFTKKFPNSTLKFNPKQGGSRAGIVVTVTCNGEETTYYMKTYHREMFAYRLLEVIGVGPVVFFPFYDGPTNIHYIATEEVEEFKELDKIDDVVLQKKLVVEVYLLSLILGIRDLHEGNIGSTREKALSIIDFYVVDTDNFQRRRILDDLKNKSNAGEIGKVREILTEIEYEERMKIAKDALPKWSRINSITIDIIGVEIRELQELGTKYRIGTDDVESYLEDIKFNYDSICLAFQ >CRE19880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:74875:75254:1 gene:WBGene00068666 transcript:CRE19880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19880 MCARRTPLVIHQTSSIQPIAQIEREMDHVDHVVKSSNRTSNEFEHVEQVQRDILQIMDQAEQLAKKNPEKAEMMSQALLEIVQMCERSDTTDVGQLFAKLAMRNDKNLLK >CRE19909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:86209:90351:-1 gene:WBGene00068667 transcript:CRE19909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19909 MKAHKCYDLIPTSSKLVVFDTHLPVRKAFYALVYNGVRAAPLWDTDNQRFTGMLTITDFIKILCKHYDKGDNAERIRALEDQQISHWRDQFEMDGTLRPFVYIDPNESLHRAVEILCESKVHRLPVLDRKTGNITYILTHKRIMKFLSLYMRDLPRPSFMSCTPRELGIGAWGDILCCHIDTPIHDALELFLKNRVSALPLIDENGRVVDIYAKFDVISLAAENSYDKLDCTVQEALKHRSEWFEGVQTCMETDSLFQVLEAIVKAEVHRLIVTDQDKKVVGVVSLSDILKYLVLDPCQKPPSATTTPAAAAAGPPSRNASGTSQGGISTDSPPNSIPEGVEIDEDDEEDVTDPPTTTSSDAPTSSASATVATSKA >CRE19883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:90816:92801:1 gene:WBGene00068668 transcript:CRE19883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19883 MGKPSGGKEVKTEDVLGGIMQQLTLLNERSEQLMEENRELRAEQRAVAGRSSEKSNARLMAELSKRIPKFSYSLSEPDSFKRWIARNELIFTEDGADLTERERTRLLIGCLEEATYQRYIDAQRDETDVFDIGYENTVKALHKVFGGHRSMMIRRQKCLEISRSSGMYGDPLEYTNSVGEAVMEAKLSSMTSDDWSIFLFLRGLDMPGDAKAKVWLMQFVEQSEKSGQKLKLADVHDEWCRYMQLKVQTEVVSSTAANPHEEVNVYGIENRDDRRSEHRTSSYRGGFRGRREHFGNRVLTCYACGEPGHFSYECPKRKSERNRSDSRNVNKNEPESRKVNTITIQTIQVDGVTTESQARPRMMVKVEDKMLEFHLDTGSQITLISEKSWKELGSPSLSEVPFKVACANRTELVVKGRVSVKFELKGVTYSDYVYVTNRDMNLIGMSWLCKSPEIEAVLKDMVANSKIEEVEEGDQTSWTSQSGERVNQVREVSESEKNSKIVERKGCFRCGGRHVPERCWAEKKECYQCGEKGHIAKICQSEKMFWNGANKRMRGRLSHEDKEKFGRREANGENFHGVCPLKVNIPGSAWNNRSAAGNRSWRDTGYGVGRMRPRGPSMRNNYWLPSHDNDGWFYMSGRKLRWILEEKSNRANFSYCGGGVV >CRE10609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:174140:175406:1 gene:WBGene00068669 transcript:CRE10609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10609 MCSALALRANNSKLKLWSFIFACVGLTVTGFAPRIAVFIWEIPPQLIILRLKRVTSRPGSTRFGSVCKFKCDDCFLEYTDILIPRVLKDCGHTICEDCADELLAENYQRHLRCPVCNKVTLVYGTGKMLPRNYVITDLMAMAN >CRE19884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:153513:154833:1 gene:WBGene00068671 transcript:CRE19884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19884 MISVCPDVCIPSDNHKIQHYTTYNTTLSFNRILYADLKKDIQSFTNIPNDFQELRFRGEDLPVVERPIQDIKFGEEIVVKHSLLDSCLK >CRE19885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:155853:158759:1 gene:WBGene00068672 transcript:CRE19885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19885 MTKILEYSILVFHFLIFVLNTTEGTSGICSKCIQRGEVYCPMFDRCGIFPFCLKWIDKILNCPDTRNITIAYDEDFARNKMMPLAAAAYSDNPAPCVKKVLPAMRIVSTYSVKCSYETDSLIHITTSWVAYLLDRINWIDYNPPPIFSSDCFGYIGIDDVSKVIVMGFRGTEGLFQLFEQMLQYHRGRKPFFENGSIYEYFYNAFHLLWIGGFEQGARDVLGQATEEYELWITGLSLGGAIAAVTSSYIAKLNLFPPSRTKLITFGQPRVSDYDHAAWHDSTFPYSFRVINGRDPVPHIPPKIGPIALFHHGTEIWYPTEMWPLSNYKVCREADGDYCSNSMLLWNIMDHIYYFEVDVGEYGKNGCQ >CRE19886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:159746:160887:1 gene:WBGene00068673 transcript:CRE19886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19886 MICSLFLISLLLVSPISGKSKFHFYGNLGCPLDCFTYHVEVWEEDFFEKSDDLLTNQTETCSFRPHKYQVSAEDTDDGLDFLRKFEIYMVIYHNCTRTGNMKKFRHDWGNYNIDVHEVNEWKDLDLCDQGEDV >CRE19915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:163436:168181:-1 gene:WBGene00068674 transcript:CRE19915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19915 MPPDTSSPIIHFQVSKITDAQAQLPFIQLQTPSGEKIIALVDSGAQVSIIATKTAERLKMTVVGKRMTRYSGFVADSQPTECIFYKLEIKDLSGKCWSSCVPSYHRMTTEFRAPSHTREDLEKLKKWSLNTEGIIDLGTRDGQQIDMILGNNILNKIKSIERPKTYCLPSGRSIEKLMVGYVNHPPVMEDSFVPINESTQVNIVNDMEQIWIHTLDSEDMDLEEANANKVPSAVSNKKFEKQLEQLWSLEVIGIEPPTKREDKEALNNDLIKEFKRSAVKDPDGRIQVALPYNGRQNELGNNLAVAKRRLVSLLGRQLQKKEDREAYHQILMGQLASGIIEEVIPGTPADGPEYYIPHRVVIKQESLTTKLRIVLDASSHMKNQLSLNDCLYPGPSILQSILGILLRSRLPKFLMTADIEKAFHAVMVQEKFRDAMKFIWLKNPEEGFKESNIATYRFSRLPFGVTCSPFLLAVTILTYLDLDLDDFNERFLENLYVDNVMFTSNSEEDLMNCYTKSKSTCDKMHMNLREFMCNNGVVRAKIPEKDRSAQTTGKLLGHQWNSEEDTIHIKIATPPEGIPTKRDIVAFNATTYDPSGLLSPILVLLKRFITIMWEKDIDWDQKIPQDMWPLWKTVAAQFTEKVYSMPRQLVTNYDYDSIQLAVFSDASKYHYATTAYLRFGFKNERFESKLIFAKSRVRPSSGGSEYSIPRMELVALEIGVNSAVNIANELHIKIKDVNIFSDSTCCLYWILSKVSNNLGSVWVANRVKKIHQNAQVLREEGIPITFRYVPTDENPADIASRGCSIQELKESDLWHKGPKFLSEREDRWPKKLDNTIADPHAFREQARSLGIIPSSAPEKSTTLLKVEVNRQLPVYSSIVPYERTNSMSKLTKTIRKVCKWICYIVEKRNRRHPEKTINFTGSMLKKFKEAFEANNSVEETLLARKFIIQDHYIDAKERLNETPSSRMTPAVFDEGIWRFSTRFSNAEDERITPEMRHPIIIISKHRLATLIVQEAHENLHHQGIQDVITEVHRRYWIESIGLIVKSIRRRCVTCQKKHGLPFEYNYTRILPPSRTTMESPFKHIGLDYIGPLPFKLGQRLGKVWVLLLTCLVTRAVHLEIVSDNTTVGFINGLKRFIARRGAPSSILSDNAPQFKLGYRMINKDLKTYVNQDPSLTTFLARHEIKIKLITPMSPWQGGIYERLVGIVKNILLKVLGKGHHTFLELETLLIETEGIVNSRPITSNKKDIEDSPAIRPIDFIAPNVCLALPEKTDTTTGEDKAGQTEVKWRTLLDGLTRVKQRLWEEFTKRYFHTLREFTPRKGAHSRIKPRVGQLVLVEFPIIPRHTWPLGVITEVTRTKDGEARSVMVKTMDSTVEKSINQLIPLEDPEYEEEKNTLSVPRNIPQPDTSSIIPTPGDKESGSNSTSQAAQAKANCPAKTPQTVKRGPGRPKKWTGLYHIKPRPKALAQATKRGRGRPKGSTKGPTTIPKTQAPPTPRRSARLCQKAKSDLEERSDGATGAAKEGKEPKSTASPKRAILSRGRRDQKTWKARIAQAVQDHINLLSSVSPGKKVHPTPGMSRP >CRE19916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:168450:170879:-1 gene:WBGene00068675 transcript:CRE19916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19916 MTQAFITQFRTRATKTVNKTKAICEETEKILAMDPRERSLGDLNKKRQALIERIDAIHSFQQDIKDQAEEAVRKEMVTHLESVNTKDVLEKAVLTVEKISDKLAQEEDQAVQQISQVLPPAGNDDEEEEIEEDNEEGDVHPQTVRFDPTARVNAQRLFDEEAPAAPRGPSKARNLAAQIQPPAPQNNARDSVEEEEFINNWNATKKARQELVDAIPNRTDSSLHINSIYREAYNPDEASNESGESIQFEKALLERLIGMEVEQRKSTKAIKAINRAVDNIDPEEFNALRTEIAEVRKLVKDKQAQMSGNGGDRRDLGHQNQEEFGRTSALGAEEDFHRAVNRHVLDEQESRRGPTCSTQKRSQPPRTVSFGDQLTRMNPPQHQSRSYNNFYEPSDHASYGNGGAPRVNKEAQYPQVYQNQNQTGYGAPAYVEEMFYPPRETPIRGYRTHGQNTNHEDFQVRATKAQVGATIVNSLMATMSPFSGQPYEFQAFMAQFDNMVHENEDIDVKMKQTILFKLLGKELATLHCPIEYSARGYWTLREGLIKQFGNPNTQMHNLMMQISSMTFPSEDYGLILEELHKFRTYAAKLANMGVNPADPYFMFSFVNKLPRKLKEEAFQFLAYRNNAVSFHELVQRTMDKAEFKHRMEKGRLEEKEVYTTQVNYVRKNGDYQGKQESGGRLSSYNPPASRGSGKFAKSKFTPPSKMKPCRYCDDQDHIAVECAIPIPKKLKAVIDKGLCHNCLSKGHSVFNCASKYSCFNCHGRHFTGHCSRLPKEGNFNVNIIVGDLEEDEELQNQLFQESGAGTSEF >CRE19917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:172148:173080:-1 gene:WBGene00068676 transcript:CRE19917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19917 MQSDSPQHQYQEEELLGGFSEAEEATEKTPEVPEDVEMESQSDDDDYNERRIAEDPSSPSKVFNKVVCLRREDANFASQFPQLLDDDENSLLAGAHSVESRLHRKISHHQNVNKINVVMQKMKQQIQDIWAELDDKTNDVIEEIMDINDDEAELEELEKELESMERGRAAIVKDPQQFKDACVFCNSDTHASKNCGQYDEAETRKKRLQMVGRCDSCLEKVDHTGKTCDKIGTTFTKECQHCKNGFHSPAICTIFSSKQRVAARIQTQKAAFDILYRKWKEENEEKKESPAKKKEESGGSRGREKISYIP >CRE19919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:174859:178216:-1 gene:WBGene00068677 transcript:CRE19919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19919 MPSGFTTKLRWCVTVNECGFTPCVTSIGRALNCPELPDKAHAYDDTFVRSKILVTTAAAFNSNPQKCFKNAMPTMSLSKTFSVNCSEVGPQTNCFGFTSFDTTQKVIVMSFRGTQGATQLTEEILDFFTGKKPFFNDAGHIFTYFYDAFFFLWNGGLSQDIRNLKYKYPDYELWVTGHSLGGAIASIAASYVVHTGLFTGDQVKLVTMGQPRTGDYDYAVWHDKTFPYSFRIVHHKDIVPHIPPQYGKDELFHHRTEVWYNNNMTTTDTYHVCAEADGLYCSNRQLDSYPPDHLTYFDINMPDWGTQGCPKM >CRE12665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:153394:155362:-1 gene:WBGene00068678 transcript:CRE12665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-16 MTVSYALSVSSARYSAFLRLLRKWKGSVVKAVWAELLVWICLYATISIIIFWIIPMQYRTALNKEAARLDNLLGILDIKFMLGFFVTIVVDRWKTILQNISFIETVALSVSTIIRGTDDNSRLARRSIIRYLVLSQAMVYRDISMRVRRRFPTMKSLMEAGFIFENELHELEQTETGYNKYWVPVNWCNSIVWRMQEQKYIEAPVSTNNVLNNIRDFRTQLENLCKFDWVPIPIAYPQIVFLAVRIHFFFTLFTRQYIPLETDEFLWYRCIPLIPATSFFLYLGWMKVAEALLNPFGEDDDDFEGNWVIDKNIKTGMQIVDDSHGECPILNIDQFSDPKFGPMYPSILVEHPHVYQGSAADVVIPESEKSKMVHVDSKTSVASGESKERQSSIRRRFRRLSSRLNLRRSESQPAHSKGQTIKSPGAESTHSAFSNLQFVTEENEDPRFELRIDMDENSDKKDNKLP >CRE12490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:156648:161662:1 gene:WBGene00068679 transcript:CRE12490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-8 description:CRE-UNC-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M769] MSARSSGSRSTSGASRMTKESPERLVSATAESRRLVHEPESMESRSSPYIRPAPYSGGVHPHFEEEDDRSKLHASTLYSERRTSSRKSLRSQKIDYHATTIKSLWFDFCARTSSHGIPYVATSSFFGRYVWAALFMCMLMAFLLQTYWTMSEYLQYRTIIEMQLQFEAAAFPAATVCNLNAFKYSELIQYDEIKEGFDYWERVINARMMSDSMKPGGDILEAISVRKKRARYREELVFPIDDEDLEGAEYQPVFVRCTCMNMEQCVPNRNPLEVNASICMCFEDVTRGLIWPCYPTSVWTVKKCSGCSISNTCPDPDGPNVSKQVAKNQNTLPCLCQSISHHCMVHPKDEIRWWNPNNYTVYSVTDPPTTEITETEEAFGLSDLKDAGAITTQTKENLIFLVAALPRDTRRNLSYTLNEFVLRCSFNSKDCSMERDFKLHIDPEYGNCYTFNFNDSVELKNSRAGPMYGLRLLLNVHQSDYMPTTEAAGVRLVVHEQDQEPFPDTFGYSAPTGFISSFGLKTKELHRLSAPWGNCSDTFRPVPYIYNEHYSPEGCHRNCFQLKVLEICGCGDPRFPLPTEEHRHCNAKSRTDRQCLSNLTSDSGGYHHLHEQCECRQPCHEKVFETAYSASAWPSINFKIGTDCPAVSDIFNDTEACTEYYRQNTAYIEIYYEQLNFESLKETAGYTLVNLFSDFGGNIGLWIGFSVITFAEFFELFCEIGKLMYIKGVIYVQKKIQRKEYKSSSLMHIDFLQRSPRKGTQPEPGEDEVSTNESTKELMSKSFN >CRE12491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:162761:165894:1 gene:WBGene00068680 transcript:CRE12491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12491 MGDDSSQDNTGTPPSGGVPTAGNPQQPASAIRNKVDVPPGFGRRVSSVEVVKNAMPPTKKASVVIFETPDTPKAESGNGLEDRHRNISTASVGDSEFFFAFKKNDLR >CRE12492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:167559:171662:1 gene:WBGene00068681 transcript:CRE12492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kcc-1 description:CRE-KCC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M771] MTASNGTGPPVPPSFKFVIGGDEHNDGGGSHEHSSLLSTHHQVGPSGNMAPSTSGIVRAPTKVSSRFLLSVETDAPPPMTRDDSHRELYAWGSNKDAGGNHNLALFEEPSMPFFSSYLKAHITPGPLERAQSSSHGGHGAKADLGVLLGVYLPTIQHILGVTMFIRLFWLVGIAGLGQTFLLLFLCCFCVSFFLCKTVTFLTCISISAVATNGVVESGGAYFMISRNLGPEFGSAVGILFYLANTVATSMYLVGGVEILLLYIFPWLTFGGPEGQHDTSLFGTMTNSLRFYSTILLLIEFAIVAMGVKFVQMLAPVSLICVILSILACYAGGVTKTISPESGQYVCMFNNRLLQSSSLSNDSFDLASICDYCDKNNTYLYEKVCGLKGCNNTETEKGFQCINGFPGFMGGQTLLANLGPNYLDKGEAYPGAPADIKSDVYQDVRTTFFVLLAIYFPAVTGIFTGANMSGDLKNPQASIPAGTIAANLTTSFIYFSLAFIFGGAIDGAVLRDKNGQSVGGQMVVALLSWPSPWVLLIGSFLSTFGAALQCLCSAPRLLQAIAKDEVIPLLSPFKKVTANNEPFLGLILTTIIAEIAILMGSMDTIAAVVDFFFLMCYAFVNIICTLHSLLGAPNWRPRFKYYHWFLSLLGAVLCFFIMFSTHWDYAIVACLLCLVIYKYVEWKGAKKEWGDGIRGLALTTAQYSLMKIEDKEPHPKNWRPQLLLLLSMQWSKEIIDVRYLNLLNLASQLKAGKGLTVVTAFLKGDPTSPDDKKKGEQVKARMDFDMNQVRLRGFAKTLVHSEDQVRGSMSTLVQSVGLGGLKPNTMLISWPVHDREEDLTEYNTFIEKVHAASINDMAIVVAKGIIDFPSAVFRMSGMIDVYWIVHDGGLCLLMGYLLKQHKVWRGCKLRVIGIAQESDNNVKMQEDLQKYVYQLRIDAKIMIVELADPEISKNAFERTLLMEERTMMMRDLQKVSGGGMSLSLPPSNAPRAVSPLVTSEKRENSKDSDDGTTGSEETSEKKSPTADNEQANQDTKTKKEKMKALDRSKVSKMHTAVRLNELLLQHSANSQLILLNLPKPPVHKDEQALDDYVHYLEVMTDKLNRVIFVRGTGKEVITESS >CRE12666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:172434:173876:-1 gene:WBGene00068682 transcript:CRE12666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-23 description:CRE-GLB-23 protein [Source:UniProtKB/TrEMBL;Acc:E3M772] MFNCLETESKHDSTCSLFSISFFIISLGHYSCLLVIHFLLMHFECSYFSEKKRRKHQIKMGNTHPGASSTPSKSFKGKLGRQRRLSDPSQNQNVKNCHLAPGSSGNSHSCTTPPTIQINGKESFDEYEHGQLRAKSASTVSFSFFLLINLSSTSQDFSSLRSTSPPYQKNQKNSASERRKIFLSSSTNQDFSARSLDDSMTAKMSCMIRTKSHSPLKQMRTYSFRSIPSEENLIDKESCEVISESWRIVESRSASSIPTSCFGLFVFRRVLSKIPMLCPLFSLSESDDIFNLPESHPVRRHARLFTNILHISVKNVDELEAQVAPTVFKYGERHYRPDITPHMTEENVRIFCAQIVCTVFDFLRETEATPKCAESWIELMRYLGQKLLDGFDFAKLTAERKISINRNDHHLFLML >CRE12669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:183151:183989:-1 gene:WBGene00068683 transcript:CRE12669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12669 MQNLTEILNSTLLGNLSDAASSTSTVSISTTTTFLVKTEEDPITTISPPSDHTTATSIAFISLVVFGVACALIAKIVISHKKKRAELIGSARWRISASRQSASSASSGGNGGNSSATYLPMGRGGNNRSLNNQRQPLNSLDHPTADRLDWERQFFDDSEATTPSRLVFR >CRE12670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:184376:185599:-1 gene:WBGene00068684 transcript:CRE12670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cap-1 description:CRE-CAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M776] MSEITDAEKVRIASDFIKHAPPGEFNEVFNSVRMLLKNDDLLKDKCVPAIAQYNVGQFVPVKLDGVARQTLITPYNDLGNGRFYDEVSKKSFKYDHVRKEASDLQPHPAESGITEQWRQALQTQLDTYIDEHYAKSGTGVVFARNGAFTICIESHQFQPKNFCNGRWRSEWNVPVGDGKSGSQEMKGKVLSQVHYYEDGNVQLFSEKEPVLKVNVSADFDKTAKDIIHAITEEETKYQNAVQENYANMSDTTFKALRRQLPVTRAKMDWNKAQTYRIGQEMK >CRE12493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:186938:187988:1 gene:WBGene00068685 transcript:CRE12493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12493 MKMLKNENPEEEDDEKDEVTITDINGETRQIDFPGCYRVKVSFKMLRPIENPYIEAFMQLGQNVPCKSEDTIQNLRGVDSICANVTRPTQWCPESYNSQLREMLGGKTTCKFCSLCENVKENVKDNESKLNKLKKFLSNEGKEECSTTDNIHRYTFKMCTPTQDDLNKEGSDTKDKVEEYWQYLKQGIMTTVIHVMDRNPMKSGRAEQCQKMCEVYGDSSKMSNSNYKQTLTKSIEKLCAPVDTYAACLYHTVKFDVNSDL >CRE12494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:191665:193299:1 gene:WBGene00068686 transcript:CRE12494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12494 description:Elongation of very long chain fatty acids protein [Source:UniProtKB/TrEMBL;Acc:E3M778] MAKYDYNPKYGLENYSLFLPFETSFDAYRSTSWMQNHWYQSITASAVYVAVIFTGKKIMEKYKPFQLDTPLFVWNSFLAIFSILGFLRMTPEFIWSWSAEGNSFKYSICHSSYAQGVTGFWTEQFAISKLFELIDTVFIVLRKRPLIFLHWYHHVTVMIYTWHAYKDHTASGRWFIWMNYGVHALMYSYYALRSLKFRLPKQMAMVVTTLQLAQMVMGVIIGVTVYRIKSSGEYCQQTWDNLGLCFGVYFTYFLLFANFFYHAYVKKNNRYTEVKKDKKKEEEAPIEILEPKEDINANITEPITTRSVAARRKVQKAD >CRE12671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:193677:194475:-1 gene:WBGene00068687 transcript:CRE12671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gstk-2 description:CRE-GSTK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M779] MPHRKQLKFFFDVISPYSYFGFEGITRHRSVWKTPIHMKPFFFPAVVRHSENPGLPLRVPVKEKYMHKDLLFSAQYWGIPFRLPKDYTNMMLTTSSIIPQRILVAAQLRDNALMEDVARALWHRFYAYGKPIFTKSQVAEVLRDLHVKNSEELVMMSDSAEVKNILRENTDEAIGNGCFGAPWMHITDGHGKVLQTVFGSDRLPQVADFLAEPFKGPMREKKQNA >CRE12495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:195834:196948:1 gene:WBGene00068688 transcript:CRE12495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-afmd-1 MFPFSVLLLLSLFSLIFQKMDEMTRLFSPSCWVPGKTRDEVMIDFFAGIQQAYDSLDKDQTIPRQVDLVYGQEENQKVDIWGEPDDKLLIFIHGGYWAAGTRKDCLTPARCSLNSGFAFASVGYGLATNGRSLTESVEDVIEGVDFILSKYPNVSKVIIGGHSAGAHLVVKAVTRIRNPRIQGMLLFSGCYFLEELVGTDIGNDINLTSAQAQHNSCDLSLLDGLQLKSLVILGLQEAPKLVQQNRDFVEKRKESSIAEFPSSGHYTIMTNLLNEQSDEYSAVMSFLEKI >CRE12673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:198354:199300:-1 gene:WBGene00068690 transcript:CRE12673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12673 MPGRFSGKVAIITGSSFGIGRETALLFAKEGAKVTVTGRSEERLEGTRRALIDAGIPESKFLIVAADITTSSGQDSLIAETLKRFGKIDILVNNAGASIPDSKKRTGVNQGIETYEQVMKLNVQSVIEMTQKIRPHLAKTKGDIVNVSSIVALSFGWARTPYYPLAKAALDQYTRSAAIDLISEGIRVNTVNPGVVQTGFHESATGLSADASQKFYDDMGNNPSAIPIGFSGRPEHIAKAIAFLADRDSSEYIIGQNIIADGCTSLVLGIHSQISHPKK >CRE12497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:202736:203679:1 gene:WBGene00068691 transcript:CRE12497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12497 MPGRFSGKVAIITGSSFGIGRETALVFAKEGAKVTVTGRSEERLEGTRRALIDAGIPESNFLIVAADITTSSGQDSLIAETLGQFGKIDILVNNAGASIPDSKKRTGVNQGIETYEQVMKLNVQSVIEMTQKIRPHLAKTKGDIVNVSSIVALSFGYTRSAAIDLISEGIRVNTVNPGVVQTGFHENATGLSADASQKFYDDMGSNRSAIPVGFSGRPEHIAKTIAFLADRDSSEYIIGQNIIADGGTSLVLGFHALFPQPIEN >CRE12675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:203894:204825:-1 gene:WBGene00068692 transcript:CRE12675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-2 description:CRE-GLB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M786] MDHPFSHRRTSPAPDSPSVGPKTINLSIAERQCICASWEKASTQSDIGCELVARLLNDNRTRFRALLECKSGSFLGSGNYTTEDVNGMKRARSVADGVNCFFNKVISKLMDTNYVEEIQDLSLQLGAMHFRMKVWFQAENWLCVKNCLLDSVVSALLKDVKGTYVICGGLKKVQTVEKHTTHAWFKFVQFIIQNMKKGFLAEALNSDSHQPPKTECASPCSSASNHSI >CRE12677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:228558:231085:-1 gene:WBGene00068695 transcript:CRE12677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12677 MFRLKALACSGCEASGNRDVFYGIRKKKSMIFQCKSSGVETKRPLFLQCGHPMCSTCVKKFEKCLICKKDVVNIENYAARAIYEELRKDPISVFKSWFKANVNTKETCTNCYEPSRKLKLCITCELSLNNLEIEHVQTDGTEWPDFGQRELRRKIHEVNLERSKKSYHTTRAPLELHNEKYWRPRFRFEFYILLNRMICSNCIIDHHKDHVCKTLQELEYTEERLKRSSSWIATSFIRSELNSRKGKCLIQTMKMHRTCEKLAHLASFYYPDPNAKVFDKDEYHDRYPTELNKFFESLPRRNVEMVPIEQGDTWIANLEIQSKHLDKQANCDCSKVWDELNKLCFVNQIGKHFIQIINQLGDSIISECPFRLEEIQETRKKALELLKLSCLQASDLKLYAIYKSFCWNFGKKRVCCLFDNFERKPCICYHCKTSTCLDCVTSNRSYKCSFCGELFFDQGLGSGNECVIDSEVMDLVQFYVRNCVDLYEKWWICEASELGFCVSCSSYSNQLEICVHCELTTNLNALKTKPGIESHVQFRQKMPLYFKYNKMEMFPIRWQCVDCKKRLEADWEHKYCDDLKSNEWKGTWNRGCNHVITRLYNTKTCSGYDVHGDKCEYNAIALSDIGYYELAMKVTTIGLVHKILKRGIEEMIACKTKKIRLLQIYGRLKTQTRYYFKSAMDGVKIEKLKHVSDDIAKLIDNLKLGWRNSEHEGTECLCFNIQSEADDLDEESILPWIFLS >CRE12498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:239510:241540:1 gene:WBGene00068696 transcript:CRE12498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-27 MEGFSSNFTTTSSIEDESSEDDQQPHVDMSFAKGFMTFVYILVCLVGTPGNLWIIYKLFRAKLWSGASVQLTVSQRSRIYIFALACSDLLLLLTLPPTASYNYNGTWVFGSAACYIIRSVEIFAKLFSVILLTVMSLERYIIVCTRLRHVYRAWMSLVPLGIGTVLGVLVPTIMHYLYLQHFTVGYEGSEVTWVCLPIMTNEVFNTFAQYTFAVGFIIPFAIMTACYILLVRHVKSKYKMRRAQQTTTLAKSGKEPRYMSEVRKSIWRIAVFHFVCWAPFWGFTMLPNFIYQIDQYLNGDHEEESGGESIFLVYCRLVANCLPYINAAGIIISNEKKPNYCFSGNWVLYALLNVDVRKHIYNQPKKKRKFTLKFNPVNTSSNC >CRE12678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:242728:243668:-1 gene:WBGene00068697 transcript:CRE12678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-4 description:CRE-GRL-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M790] MRRGQVTIRNLFKPFSCHIPSYCFSSFTGTEQSLLLIQKSLNYPLVILSKKNYSSLYHSLNIHFQMLLPISILLSIIPIASSTFGGCCSMGPPPCPPPPPPMCAPPPLPCPPPPICPPQFCPPPPPCPPPPPPPPPPMCPPPPPPAYSPCQSYAPAPVFSQYAMQPANDCCCRCGSPCRFMARHRTHGSKLFTTEEQEEDPTCNSKKLRRVMENNMNRDPTISKRAIQKAAEEKMFGKFNVICAKGDFSYVAYTETYCQVANDDVTCYAFRPM >CRE12679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:244289:245207:-1 gene:WBGene00068698 transcript:CRE12679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-8 description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:E3M791] MGISRDSWHKRYKTGATRPVPHKKRKFELGRPAANTKIGAQRVRLVRTRGGNLKYRALRLDTGNFSWASEQTTRKTRIVDTMYNATNNELVRTKTLVKGAIVSVDAAPFRQWYEAHYAVPLARKKNAKLSEEDNAILNKKRSNHTLKKYTERQKTAAVDALLTEQFNTGRLLARLSSSPGQVGQANGYILEGKELDFYLRKIRAKKAK >CRE12680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:246143:248363:-1 gene:WBGene00068699 transcript:CRE12680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12680 MPDLEINGVEMENGVGRSDSLKAEEANALREWDPKHLLKALYEISYEPRVETKRNRFVTMEGHVEVPADEVTKADGLDNEGWMKQYIRMKEGRLQIFASHYAGEAAAQELVLSGADVDANKDERTLTIHGGREHVKYYCRVPSAVFDKWRQSFLSHCASSLIDAYVKPIPRAFQHLTERVLILELGSCSIRAGVLTTEPSLPQAFFPAIAVRTDDGKIIVGEDAYKPEVRHNGDFVRPISATDPSVERYSIDKDVLKAVIGKITKELKVEPWKYKVLLSIPQNIPTVLIGELLQICLEDIKFQAAAITRQPSLILYAYDVTTGVVVDIGERVNIVPVIDGYVVESAICSIPYGSQQMGDSLRSSLSAHNKGLYAFQSPVEKLILRFALEQTTYVPEDYQKEEKTENKEARISLDAFTPVPGMQTRFDIDNSRFLCTEGLFKPKKWGLDTKGLHQLIHEAILQSPIDSRRTLYRNIYLAGGASLMPGLAEKLEQELSTVVPNTIHTQVNISPWRYNAAYLGAQIVASASTFEDSCISLQQLPKFLAQLQSSSF >CRE12681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:251597:256602:-1 gene:WBGene00068700 transcript:CRE12681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifo-1 MGDLQVDLNTALVAVKQKCVDLDEKVRRENEKQENRGRGYLGVRRYIYALTTKHNENSLQRRGRSLTRVYVEQKFYRSKSVPSPDQVQRLEDCTQDYGPNNEERLEYVETIDAPLDELIKEDQSHHHMVSQRLPKGKGEWDHELAVYEEDGVSGRLEDRTLTLRAGDHPFGMNQFNEVAGDVSARIAKFFSEKEENHIRTRELSLSVHPVYHQSNGDAYTSFGMSRSKSMGSVAHETKQQSSQVQQRSAETHGTSSSSHVASRPRLDQVSSTTKSLSNLYSVDTRHDYHSRYGQYMSGYGHYGNPLPPPKNQEVMPKMPVKKEEHIYDQPIRRTHEHTTTTNVENFASHYERKYDFKSTFPPGVEMPEGYHDYYDPSKFMFLDPKGYYQVYFLRYSKDPTYQFQGNITRPLHYSYSRDYLEKYSDYDGSRRQSPIPPPKTPEPEYIVLQKTEDLPRHSTHLTFSFPDYYDHSTRIILRRTSDLAHHKFIALKHPDYYDNSTRIVLSHTKDLEHQEFEVPEFPDYIDLASRYVALHRTEDLPHMEILVQEYPHYRPYETQEIIETFDSEPEYEEIYEPVLIELHYNRDPDQFVFIPSAPLTPPPPPPQIIEEIHVAIPVQAVEEPPIPAERHYDEIYIGREALDVSVSPTFNKSDDHQKNLGLEKSVHDRVREMEESLRNEDRQRLLEEEKRRKYEERQEEIRAARLFEEQHAYNAYEEIEESKNTPAPLPQPVHVPDVFVIPEKPKRAWQPPPKPKEESDEHIDKPLGPVIFHELIYQQGILRRKFEEEHRQNMARPVSHPVSRANSRPTTPGLEVKSIDFPRLEGVTSPRDHSDSAGHVDYVHERIERYNAYGASAEQDSQLENRTHSHVHSHTVNAHTHSHSHSHDHHSHEHHAHGDHAHRIHSEVHVHPQAQIQHVNSDSHVYDHVHGAQGHRASVVYDEPHGQAVYDVPHDQGEELGHGFKIRSTFSEHHYDTIHHGHHEHHHSQQNEHDIQYELARTNQAIRQMSKSNKWEEKEIQAAKRSHIEAHIPENSPFTRKEGSTVNSSVSTTTTTTVLHHSNSLNRQNIPPPLKIENGNSDSYHDVHHQHVHFDNENSENITLHSSKSVTSLDYQPVNVGHVKNLAKLFNKHDEKPITTEQVIYRVRAAPPGHNFQQEIKPRPRSMPPIPMDEIELHEHGQRDHLTRHHLELHSPVEDHGHDYSLGGGVAVVVNENSGINTKTHHTHNIHHNSHYHYQNVDEEPTIRVRRVYKALEDTSMLSPISMPDNDEPMYDIPTDGVH >CRE12683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:258467:261147:-1 gene:WBGene00068701 transcript:CRE12683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ocr-4 description:CRE-OCR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M795] MGNASSAVTAGIKNQVNASNNAINKLADMSGRGFLADLAMEAVKTGNHEELNRQILEVSLSKDLVTVVLIQKVEPMLYNNGLGEMIPLSDIIAQRHKERTGNAFAVTNPSTECRFICWKLNSRGAVGETLLHTCFLAGLPDHMKLLAERLIAIFPKIINDFYLSDEYYGETVLHMGIVSENAEFVRYLLKNGADVHARCSGNFFTCDDQKGSRTDHPEVEHAIISKHTKYPGHIYWGEYPLSFAACLSQPECIRMLVAHGADVNAADTNGNTILHICTIHENWEMFKMALTLGADLHIQNRQNLTPLTLAAFLAKKEMMQKIVEEERTVNWTYGRTQSAAYPLEHVDSIEPTSGSINQKSVLTIAVYGEKAEHLSLLPHLLEQLVHHKWIAYGRKTLFAQLFMFCIYFVCVTSCFLLRPSPFERKQHISNDLICFYDFKTRSFNESAVSTIIYHLLHLICVTGATLYLVQALLHIKNVGYHLYVLGLSGFPAKAIFLFSCILMVITFFLRIFCLDEAEDIVWIVIVLLTSLKFLFFCRGFKSVGPFVLMLYKIIIRDLMRFFLIYLVIVVGFSQAFYVIFLGYKRNDSGNREPSIMSNVAESYVRMFIMSLTEFTVFFEQLEECEHTVIGKITFVVYMLLVTLLLINMLIAMMTNTYTEVSGNSLEWLRQWSAIILMMEQSFDPATRLRYQRRYGIRFDGGEKLVLLLKDKMTEDEFECQRKKMHEDRRKFREELRTNQRRRPAFICKQGANYFKSKHRHTNNKLIVPS >CRE12684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:261673:262083:-1 gene:WBGene00068702 transcript:CRE12684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12684 description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:E3M796] MARTKQTARKSTGGKAPRKQLATKAARKSAPASGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE12499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:262344:262655:1 gene:WBGene00068703 transcript:CRE12499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-50 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE12685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:263922:264290:-1 gene:WBGene00068704 transcript:CRE12685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12685 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3M798] MPPKVSAKGAKKAAKTVTKPKDGKKRKAHRKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE12500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:264550:264933:1 gene:WBGene00068705 transcript:CRE12500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12500 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LKS0] MSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE12501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:265357:266354:1 gene:WBGene00068706 transcript:CRE12501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12501 description:Pyrroline-5-carboxylate reductase [Source:UniProtKB/TrEMBL;Acc:E3M7A0] MTVNVVSNNGSSGIPPLVFIGGGNMASALIKGCEKKNFVSKNNIVVSVQTEKSAEKWRKQGYENVFTNTLEMLEKYPTAVYILCVKPQVFEEVVSSWPVNSRPKFILSVMAGVPLKTLSGKVSFSRQQNLNSFYFFFQLPFVSGNTTIIRLMPNVASSIGAGASTMCYERNSTIENQEIYIKYARDFAECVGTVEIIPERCFNPAMAIGGSSPAWTFMYIESLADGAVAQGLGRSEAKRLAAQAVLGAAQMVLDSESGFDIETQHFGLLKDKVCSPGGTTIEGVRTLEKNGFRSAVMEAVIAASSKADDMAKATAK >CRE12686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:266513:267534:-1 gene:WBGene00068707 transcript:CRE12686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12686 MDVDELELLQEERDEQPIRYLPKVLNGGISGIVGVSCVFPMDLVKTRLQNQKGTATYTGIADCFKKSWLAGAPGRLNQVKGMYQGASVNIFLITPEKAIKLVANDFFRHALMKDQAERLSTPRGMLAGAAAGFCQVAITTPMELLKIRMQQSKDKVKATKLIWNLLTKDGGIRALYRGLGPTMARDVSFSALYFPLFAYLDGLGPRKKDDSGDAVFWASFVSGLTAGATASFLVTPLDVVKTRIQAGCSSYTGIGHAFYRIWLDEGVKALFKGAICRMMVMAPLFGIAQTVYYVGLAEKLLGLEKGSRV >CRE12687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:267742:269007:-1 gene:WBGene00068708 transcript:CRE12687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12687 MNVEEYFPEGLSVIHPDESQGEGRTSNRGSHKLPAAVHCHICYKNISGPINNVDYIKPCDCQLMFVHVDCATRNRKKFGEAKCSNCGQGNTIPRSTKMLQSKKTDRISSCCSDNAPPCTACHDKNYQYKPFQEKLQVQLGYKISPCFCRRVFHYGCLRPFIEERPLCSKCSVVYSGFEPATPMQFFRNKWAWFIVYISILSIFTTLFVLAVKNSLVFTKQSSNEDDINKEISKFHLTCKSKFLMSYVSVLTILSVFFFVVIASTMLSVIKYSISTGIPKFKITHGKVTLKPYKNGSQLKSPDDDFSPSSERDFEEIPLNDLRTESAGDVEEPIENARGNDNDDMTLGQHMFGVYATHHSSSTPIDKPSLEFVFNSV >CRE12502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:270825:272011:1 gene:WBGene00068709 transcript:CRE12502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12502 MLAVHDKDSKFLLEVLSSLESSLDEVISPPFFIMSDQLDRQNSINYVVGLLRQALLDSRVGWVVRMMFGPRERALTAEEIISLNSRAFPLNAKLPDNFLEKFKGLPRVPMETMLRMLGWKDIHNLQLVAGPFADCIAAGRRNFPMHELVQGAALLVGTEKVIVYRYNGKVEVNHDQFARSLRTVRVKNLTLKQEGTLRAVSYHIPELSCNTLNLRISDAVDPVEEEELAQVVEQIIVNPHITELSLIARKIGDTRALMNRLDAIKARGQHLNQAKSTIVVISP >CRE12503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:272547:274324:1 gene:WBGene00068710 transcript:CRE12503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12503 MKFTLLLWILPSISAYLFSIDDQTKECGVKPEKVIDDVFTRFFGIPAKNDEEDDFEAINVTTGSTITQLKLPDNEKIKYTTIGKADNPKICYTSETKPTVNGVIYFVEEVYADMDVYQSRGNNYTVEVSKNYTTVFSRDSTGSTLGILNADKDTSFEIHSGLPGDNDNLIFQYDSSQKVDQLYIAQNYFYVLNSGKPFSFTVSDKIGDFPVDPSTTTFGLNGFIMSPNFPATPPDNYEDLKVALKTREVEKILKLKVTTIQSSDAVKTGEEGIVLDEEPSVYVKIGDFDSNIPTEAKIRTTPFDVPLPTTANTIEIKSVNSAYTVQFDIEDVPTSTTATPSSTTTVTPKPVTSGTCPPTTCPVVTCPVSTPSTCPNVTCPVSTPCSCLTTTTELSTTTMGASTLSVASIITIFLVYSLF >CRE12690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:277046:277311:-1 gene:WBGene00068711 transcript:CRE12690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12690 MQFFSTCFLALLAVCAVSAQFLPFYPADHYEPSIFYKPPQVEEPGLFNFIPNAGNVHTINRPPTPLGWGRK >CRE12504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:277802:279101:1 gene:WBGene00068712 transcript:CRE12504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12504 MRLYLALSCLLLVVESEIHIFESKTTEIPAQRGTRIYFLTNGNEDDLRDITIKAEKSHIDTNVSKYSVPQVDGSLNPLTITADNDTVVITRPVGNTTPVTVYQDRVTDSLNVFPVFSNSAIQFKSGKNVFFAVDKPNGKILTIKNLKVDRQNNGFLRAYSGVPGDLIPPPYLFFSSDLYDDVNFYQQLDIPLSDFSLDVVFSGVSYTVSFGEATTTLQSAGLVMSPGFPTTMQPSDVSYTVRRTGDELISLHMNPYFYREQSYITDINVKFGFGVEQGNSFPSELNGRLSASGAINSVEVKSIGAFAIQYYTNSTATSGTVPVEIGTTPSVQTTTKSSYLKQASLSFLTLFASLLLR >CRE12691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:279774:281264:-1 gene:WBGene00068713 transcript:CRE12691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12691 MYISLVLLSFITFLCCGCHSPPMLSFAVLLIIIVINCIYIFIQLVKFQTFTFLLFSSFSGNQMLLFILFALASISSASIFPLSAYTGTESLYKVNKTHQIYIISNSPQEDLQLLTITTNNAAQNSNGFTLAAPTTDGSLAPFVPTQDNDLVSVVFTGKTPLSGWLYMNSVTPKLNVFPLLENSSISFKQGTNVFFKLSSPAQQIPVAQNVVVEKNQPLYGFIGLPDDIGLPSDSRFFDSSSIDTVTNYNQLELPLDRFYFSSSSSNVKYEIKYGTRSGMTIGSSGLVMTDGFPSGAYGTRDFNLNNQNGINVDAYLVPRFDQSRQFYSTNVTVFMTPTTMDPTVFPVPSYGSTNITSNSPMSRILIESDGPFAIQYTLRDTTVTTPSPFETTTKSSSFPQIIASLLLAAIFRF >CRE12505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:286421:288012:1 gene:WBGene00068714 transcript:CRE12505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-224 description:CRE-TAG-224 protein [Source:UniProtKB/TrEMBL;Acc:E3M7B0] MAEVTSPVVDEKPCFKANPQIFKLGLARQHILAHDIGQGSQCRNEPTCRCEGLDLHFWRKMCKNCGCRMDEHDVVLPNEYDHAQIVIGRLFGVKEHFEKVLTRSGMYKPSDQNDTKENNMMSSRSQPTAVYNYKMSSSDSESEKDGPTVKKDKVQYAWAPLPDKDLVSRYMKCLPEEERPLVGSKGEQNRKSRLQFQLPLYDCNVEDARFVEEKDVKTLQKFVENVRNNVIGVGRVVEIGKDSDNYGDDSNDFEKSMNAALKGLKVGETDCKDCSEIMKNGEIGVECHHHTKTDTYHPNCFRCETCRQLLVDNIYFFYKDKYYCGRHYADQLYPRCAGCDELIFANEYTFAEEKSWHFDHFACFKCDFKLGGSRYMTRDENPFCLECYLKLFAKTCDTCQSKIGPDEKRLNYNEIHWHAEERCFQCVQCHMNLIGKKFILKNHKLLCSSQCKANYNSAHPDAQL >CRE12506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:292636:293161:1 gene:WBGene00068716 transcript:CRE12506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-valv-1 description:CRE-VALV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7B3] MPNCPNCEKPVYFAERVSSLGKDWHRPCLKCANKACGKTLSAGSHSEHEGKPYCNRCYGALYGPKGYGHGGTESHTFLHGTTGK >CRE12694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:293514:304494:-1 gene:WBGene00068717 transcript:CRE12694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-82 description:CRE-UNC-82 protein [Source:UniProtKB/TrEMBL;Acc:E3M7B4] MPLSSFLCNAGKLVKCVRRAISSNDQGSTDRCIDDCNNNHCVVTHEPTCSKYIYGTHPMSGAEPCSPTKKEKHRFEITKKLGSGTYGKVSLAYDHKFDREVAVKLIKKSAIESKADLVRIRREIRIMSALNHPNIIQIYEVFENKDKIILVMEYSSGGELYDYVSRCGSLPEAEARRIFRQITSAVLYCHKHRVAHRDLKLENILLDQNNNAKIADFGLSNYFADKNLLTTFCGSPLYASPEIINGTPYKGPEVDCWSLGILLYTLVYGSMPFDGRDFNRMVRQIKRGAYFEPETPSTASMLIRNMLRVNPERRATIFDIASHWWLNLEENMPVIQELPENQIIDHTPLTEREETMVVQDLADEQDVFMEFGHLSSETRRKIEDFRRRRKEAEEFNDNSPVKPPKARKTDELTGKVAKEQPEMRTAEKSLRGVREEKEKPKVVDPNDPLERLRQIENRLGQQKKEKEAAAAARAEVVKTREEKKAKSPEQQPEDPKTARGTSKSADSRAPSFVPVKDKPETSEPERPRTRPHMTASAYRIETDSLNMLMNQVLEQMEKGPVNLNIIARIKAHPLYDTRPMVKELLESIIAAQPEPVQKQTSKVVEQQTFSRQNTLTRKKKEDPVAEEEIPAVPSPPRKMKERPWHSVEVGFDPDEEPEHDRMQESIASNATEVTVQDTSFEDDSSDEDGRKKTPVADELVPKTPKLIIENPESSKTIVVEEESDEDEDYSDAEMEELADEVEKKAPEDMKRVPTSENLEANPPPDPSSSPQFLDAFDRGLIKRQSKGKYQAKEILQTYPNNKLDARGIDVELRRKLRMEKVKADLLKQPKDGDKPRNSYVGSVPPRTPPPIVVKSDGEDIDDDDEEEEDEDEEEVEETDSGEGSDFENFKPKRPIIAAVRRDDGAVVPVIHTTTRPAVVSPNSQNPRYQVASPKTSTVIQATPEKVPVPGASAKYLVSVAELKLKPAEKKEEVKTESQLKDQLKDSEINSERRTSQTNLLFRPSAYMASDLYRRINAVTKGAAPSSIVSQESDGKDTFESASAYIRRKNRERRQRNRTIGTAEEALRALERPSVDPYEDRAFSPISSDPFYSHHSSGVTAPSALGYVRPRYHDDSYRTHRDSEYRPMSPTSRYTAAVSRDDRGKSTSYDPHDASRPSYDRSYLTSSNASPSYTRKFEHEQPTLNSWANDRKFEVYKTRAERDAERNTYHSNPSTGASSYRPSSYYTSTSDRPVTGLRRPEESYTSSSTAYGRYDGRSTTPSNDHYAISSALADNQASSMANRFRPTARRVASAMTDADRRNYHRSRSMDRNKVDYEYGSNLLTRLTTPDTHGAGDYSYVNYHDSSNGRSNVTMEKDGQPRSILKNKQSADIEPRVESSYEPSSGVRSVFERLRRHLSLEKSVSPQRQVASVGPHNRAIDTTSSALDNPKKKRSLLSFNRRKTSEVRMGADGKLVTNGYDDAPSNRDFKRPSSPIDRIKSLFRKSDTTGTGHSDYYNSSRAYTSSNPTSTTREPYVAQYRKYPGSTTRDTSSALNRYSYTPGLTDQRRHWYDDPNIY >CRE12695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:309565:310417:-1 gene:WBGene00068718 transcript:CRE12695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12695 MSSKKRYGTYGRAITSPALLSSSVGWTRPDATSQIDRLRSQATSVISSPPPHEYAVPHAYGGSSNGTLASRPPADPKQPQRSRAERLRHRISERRTNAYMIPPSTTPGRDTAIDALMNKYLNKSKENLDDGRKSRESSRAQELLARTKSREMSPTIDSLMKRYGNNNSSAHRIKDSTTVSRRESMENTENENSR >CRE12696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:312297:314800:-1 gene:WBGene00068719 transcript:CRE12696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12696 MWTPEDSLYFTSLKQKRNIQVSMVRPTGYAKMLEDLLYEILPVVGFAMVACTVFILIVMRKNTNDTLIFCLFFAIADLFSGIGTLIDGFYGVIVTIYGSTVETITPFDCLTRAVNIPIFLITDYLHLLLLAAYAVDRLIQIVFPVSYGKIYPYFLNWKLFIVLCFASAGLSVPGLAYPIESRFNTSIRVMSQCRFDEVVGEEFYLRHILTIQWGPIVCIGALSLNIILYCIRQSKHKWSYNWSEQTGTTKQLFGTIFIRCFLSCISLHVPLLLIARTTEGHELISIKDHIIRVSYWIVVIIFQPLWHILILSSFQANVFSLFNRYSENTERKWQSANDPPEDGPSHFDRHGSPNPFGSWYSMTGNVTGEAGVPVGNERSVSFYYQD >CRE12697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:315051:315875:-1 gene:WBGene00068720 transcript:CRE12697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12697 MSVEFLEWINIRIHDKKYFTARYLAHLSDISGMQSETIAKILCGFLFTILTFCDQAHFFANSILIGVPLLLIFCYPEEKPADESFYIYFPVFGGITLFDRNLESVPCYYVMKLMLFLLFFTPPYTLHKQISDLLSKDPGVENTIQSRASTGKSTGKPLEPSTRTAVSRQPTSKSSVKTDVTQLTTPSPMAFRSKPKNSDVKVTTIEEYYREEELLSPNGTVINRVITGPFRKETTRIENKKDK >CRE12698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:316961:328263:-1 gene:WBGene00068721 transcript:CRE12698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-19 description:CRE-EGL-19 protein [Source:UniProtKB/TrEMBL;Acc:E3M7B8] MIFTVLASMMSSGEDEEQAATDEQERSDLWQQTLQAAVAASSSQDATKKRPAQRKPLRQTNVVERSERSLLCLSLNNPIRKLCISIVEWKPFEFLILFMICANCIALAIYQPYPAQDSDYKNTALETIEYVFIVVFTIECVLKIVAMGFLFHPSAYLRNAWNILDFIIVVIGLVSTILSKMSIQGFDVKALRAFRVLRPLRLVSGVPSLQVVLNAILRAMIPLLHIALLVLFVILIYAIIGLELFCGKLHSTCIDPATGQLAQKDPTPCGTDGSAFKCRPSDSLTNMGVRWECSSNTTWPGPNNGITNFDNFGLAMLTVFQCVSLEGWTDVMYWVNDAVGREWPWIYFVTLVILGSFFVLNLVLGVLSGEFSKEREKARARGLFQKFREKQQLEEDLKGYLDWITQAEDIEPVNEDEQEDEPVTQAVVGEEADEEGEERVEDVRPSKWAARMKRLEKLNRRCRRACRRLVKSQTFYWLVILLVLLNTLVLTSEHYGQSEWLDHFQTMANLFFVILFSMEMLLKMYSLGFTTYTTSQFNRFDCFVVISSILEFVLVYFDLMKPLGVSVLRSARLLRIFKVTKYWTSLRNLVSSLLNSLRSIISLLLLLFLFIVIFALLGMQVFGGKFNFNPQQPKPRANFDTFVQALLTVFQILTGEDWNTVMYHGIESFGGVGTLGVIVCIYYIVLFICGNYILLNVFLAIAVDNLADADSLTNAEKEEEQQEIEGEDEEFDEGEEEGDEHGAEEPEGEEETARPRRMSEVPAASTVKPIPKASSLFILSHTNSFRYAFYVFCNMVVNHSYFTNAVLFCILVSSAMLAAEDPLQANSTRNMVLNYFDYFFTSVFTVEITLKVIVFGLVFHKGSFCRNAFNLLDILVVAVSLTSFVLRTDAMSVVKILRVLRVLRPLRAINRAKGLKHVVQCVIVAVKTIGNIMLVTFMLQFMFAIIGVQLFKGTFFLCNDLSKMTEAECRGEYIHYEDGDPTKPVSKKRVWSNNDFNFDNVGDAMVSLFVVSTFEGWPQLLYVAIDSNEEDKGPVHNSRQAVALFFIAFIIVIAFFMMNIFVGFVIVTFQNEGEREYENCELDKNQRKCIEFALKAKPHRRYIPRNRLQYRVWWFVTSRAFEYVIFLIIVMNTVSLACKHYPSSRNFEDFLDVFNLIFTGVFAFEAVLKIVALNPKNYISDRWNVFDLLVVVGSFIDITYGKLNPGGTNLISINFFRLFRVMRLVKLLSRGEGIRTLLWTFMKSFQALPYVALLIVLLFFIYAVIGMQFFGKVALDDSTSVHRNNNFHSFPAAILVLFRSATGEAWQDIMLSCSDREDVRCDPLSDDYSKGGFNESRCGNNFAYPYFISFFMLCSFLVINLFVAVIMDNFDYLTRDWSILGPHHLEEFVRLWSEYDPDAKGRIKHLDVVTLLRKISPPLGFGKLCPHRLACKRLVSMNMPLNSDGTVCFNATLFALVRTNLKIYTEGNIDEANEQLRSAIKRIWKRTHKDLLDEVVPPAGKEDDVTVGKFYATFLIQDYFRRFKKRKEMEAKGVLPAQTPQAMALQAGLRTLHEIGPELKRAISGNLETDFNFDEPEPQHRRPHTLFNNLVHRLSQVGQKSPTEHEQLERGAKLLPYDSRSFSPTHSLAGAEGSPVPSQMHRGAPINQSINLPPVNGSARRLPALPPYANHIHDETDDGPRYRDTGDRAGYDQSQNRMVVANRNLPVDPDEEEQWMRGGPSNRSDRRNLPIRDPILMARGAALSLAGMSSEAYEGTYRPVGEGKSVRLPFSSRPVLRPAEDSRPADRLIGQSLGLGRYADARVVGAARREIEEAYSLGEQEIDMAADSLAPLMQHVGMHDIRDINENSRSALLRPAENSSRQHDSQGGSQEDLLLVTTL >CRE12699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:337096:339572:-1 gene:WBGene00068722 transcript:CRE12699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pitr-1 MIAPVLVGIGAVIAFILAFAIGANDTANSFGTSVGSKVLTLHQAYVLASIFETLGACLLGHQVTDTMRKGVIHMSLLGTNENGTTVMSNDELAQTIMLGQIAILAGCGVWMLLATAFKLPVSTTHSIVGATIGFALVANGSRVIIWEKIYNIFASWIVSPLLSGLMSVVIYSSLDHLVLRRKEPLHSGIRVLPVLYFLCFAFNVFAIVYKGPSFLYLNNLTVVECLIISGVFGLVVALVFAFFLAPYLKDHILSKELLEITGKQRHGHHHDKNGSKRKDIELEEGNGKCLEMTINEDHQKVALLDQPTIVVSTCPANGESTSSFTNPKNTIRPSSSLASFFRSCKPEDPQASRLFSLLQVMTACFGGFAHGGNDVSNAIAPLVSLVLIASTGLEDSVKMSTPWYLLLYGSAGMCLGLWILGHRVIYTVGENITKITPASGFAVEFGAAVTVLIASKLGLPISSTQCKVGSVVAVGLLQSKHQVHWGVFRNISLSWIVTLPVAGLLSGGTMVILQLLTFGTSGQPENSPLRSFF >CRE12507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:344267:347265:1 gene:WBGene00068723 transcript:CRE12507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12507 MSLLNNNISEILQRGDTPGKQRSEILPSAPRRTTPPSGAIVAASGDEKRRDSQPLFTVMASFLDFLQVNKHKTFRPKKKFPQGTLRYSLHKQAEATLHSGVDLRHAVKLPPSENFDDWLAVHTVDFFNRINLMYGTISDVCTRESCPTMCGGSRYEYLWQDGLEYKKPTRLPAPQYMQLLMDWIEVRINDESIFPSSTNVSFPKDFRQICKKILTRLFRVFVHVYIHHFDRIRELGAEPHANTLYKHFYFFVTEYGMVSTKELEALKDMTERLLEPSNRRAPIPSANAFRQ >CRE12700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:347519:349195:-1 gene:WBGene00068724 transcript:CRE12700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12700 MPKLWFFSENNDYQLEDDEKGNLSFMIIQKMTISASATYSSIRTGILSLTPGDQKCRLYCSGPRCRFCVVVTGQSEIQAIDGLYSTWITSDILAMARLQVEHFEKLDIIEKFKINHIVSVINLQESGEHSFCGNGNLSSGFSYDPEKLMHGGMYHFNFPLPDFQACTPTRLLDIVKVVDFALTLGKIAVHCHAGHGRTGMVIAGWMMFAMGMSPSQAVDTVRSRRAKAVQSKEQVETLHKFRLLIRNNGGMIIPKQKMMLISEYVSYNQKFLCKPESRLYGKVPKIVYTAMRITLQKMYSSVSFEIEDDYRLTIRCGNPLPENKSLDEQLLDAQLNDEGHEKTQFWYSDQVKNGLTISTIHRQLQNEDFRDILRLLDLFFHSTFHQLTHKEEIYAVLHNWDQAEKDWSPTFWFLLKCIRQMPRPLHLALSRLVAKWFLRSEVDLAPRIQQILSPPVSSNE >CRE12701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:349684:350870:-1 gene:WBGene00068725 transcript:CRE12701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12701 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3M7C2] MSKIKRSKKPRSATTERSQKSTVLDEPTTIERKQQKKQILKFVARTLEKMPQGLRAEFSTMKRYNDFEKMKAFKNAQENGKNRYKDVGCLDNNRVKLNSPWPHEYIHANYVAVPTNPQRFICTQAPLEKTCADFWYMCLQERVEFIFMLCNLTEKGSKKCYEYYPNKKKDVLEFEEGGQKITVKLESSSQFQFRAPDAKAKVIASEVLIEGPGGNSLKTIHYHWNDWPDRGVPAADMAILELLDQARPSKGPIVVHCSAGIGRTGSVVMLEYVMDQLISGQSIEDGDKILVKIREQRNNSIQTDAQYLFVHQVILNYFRKKKLMEEAGVQEAHDAFIEQYKKSVV >CRE12702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:351162:356297:-1 gene:WBGene00068726 transcript:CRE12702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12702 MTGDQLTIYHVISSLQLPVMWYDVNRFHIFAFITWQFANFFGSQNIIGIYSNHVPKWKCGNYSQPTKDCNVYISCPKNKLMFVDPAFSSAAMEFDWICGSSSFSQSFFTQIQYFGVLIGTLLFGYLSDRFGRKPVGIFVLSNGICSTFASGLAPNVSVLFALRFCVGLSIGGTIVVLCAWIMEVILPQQRMVLRGFFNWGWSRIGLCYFTREWRFASFTAAISLIPALLLVIFVLPESPIWLHSKGLKARMTQSEIHIAKVAGVPYTPVEHKTLRPKTLKETLKTRGMFKKLRILWSMWFIIAICSTAMDLNSGNLAGDLYLNQLCFGVLLVFSKMLLLLVDTHFSSFKRRTLHQGSLIGTLICITVLTCFMSTGVLMVYLLGTVFIEYTWDACYLCAIELMETSSRASATGSCSLFARIGKILAPILIHANAWWPYSVNTTVLILGATNLLISYFFLPESKGVNLDDVHVDDEANVEVLPAERLILEDSQFD >CRE12703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:356448:358136:-1 gene:WBGene00068727 transcript:CRE12703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12703 MSSSFVINLPFSLRTMLYDINRFHVLAFITWQFANYFAGQNIFGIYSNHVPKWQCGNDSQPTKDCKMYISCPKNKLIFVDPVFDSAAMEFDWICGSSTFYQSFFSQIQFGGLLIGTLLFGSLSDRFGRKPIGILVISNGICSTFASGLAPNVTVLFALRFFVGLSIGGMLVVLCAWIMEVILPQQRMVVRGFFNWGWTRIALTAVCYFTREWRLASFTTAISLIPALLLVIFVIPESPVWLHSKGFKSRMILSEIHIAKVAGVPYIPVEHKLLRPKGLIETLRTKGMFKKLLVLWSMWFIVAICGGAIDLNSGTLAGDLYLNQLCFGVLLVFSKMLLLFVDTNFPNFKRRTLHQGSLIGTLICVIIMTFYTASDYHGVAVLITYLIGTAFLEYTWDACYLCAIELMETPSRASATGSCSLAARVGMILAPMLTHSNQWWPYSVNATVMILGTSNLLISYFFLQESKGVNLDDVHVDDTPSSDSKTLEETERMTQKVRE >CRE12704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:358370:359578:-1 gene:WBGene00068728 transcript:CRE12704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12704 MAGFSLEESASKILGVSIAVIAAIYVIYPPGLLLIPLSLFVFAYTTKNEKCSSTDVETFFSGFRIGGHRGAPKSFPENSMAGFAQAKKDGADLIEFDVALTKDAKAVLMHDDDLDRTTDMTGPIRNKTRAELDRCNISATFIRTAPGDHSRFEAVARERVPDMEDVVKWAVENNTRMLFDVKDSDNELVDQIANLFSKYNLYDKAIVCSFFPWVVYRIKKGDQRILTGLTWRLKFWSYHDIENLRQRYSGPKQTLFELIDVAHVWLLKRVTPWYLGADLLLTNNLDISQALILDQKRRGMRVAVWTVNDMAEMHWMLKTLHIPILTDYPELTKQAAHLDELQKIDYMPMHKSSSDL >CRE12508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:370490:373112:1 gene:WBGene00068729 transcript:CRE12508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12508 MDSNNISLVENVFEDAVSDDCDYSPPIFLEWKMVFVGIIGLIVALISIIHNSLLFYTFSTSTVLRKRNLTYLMWISGCDVFISLCYIAIMCVQVYTDYFESFNLLVLWHEYLRAAFTVSHITLSAASFLLMAATVERYLQSTADNRTLKLFQILATHRTYVVFCCFLGSLIFRGTVFFEIEVVTQPNCTGFSSMGLAVVPMFGESMDVVWRFFVRKIVTVFLPFAGIILKTSVFSFNFKTSVLAYFNAAIVMNVRRTDRDQTVKALVLFITVGTRGEVTRLRSRLRAVTRMLVMVVTGYLLANILDIIIAFWEVTVHFETPFVGFFQTINIQSLQEQPSLYTVLSDISSFLPIAACALRLPIYTINDRQIRVEVRRKFCDLITRCCPCVLCDNHQRKKLYDHQLESERLWPKNEPTPKLEIRNYGLQSLIMARASLSTKEIYDIQRFRESYDV >CRE12509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:375135:386139:1 gene:WBGene00068731 transcript:CRE12509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12509 MRRLVLLTLTVAGVAARQYFQQQQQNAEFRVPPAQYSSNTAHGVWKPKRSAWLNDSPPPDRKVGRRDFSDLVFVLGASSVNRARYISRSFGDPVSLITAKPPMSPNIWLSAEEIKEMTQPVAEASIEMKGQSEELLTSSEKHDGTKRIAKHITSLEPSGYEGGNEGNNLEAWQSVQSSNHGGVFPDGYDGLSAMPRTLINEMVGSSSGAPFQRPPPPPPQPPHPNPPRRVYPIPPPPRNPHPRYPPPPSRRHVPDMRPPGFIPPDMTALSPLSGDFDGEMPLDRSGRDSGDSGDSGVLASTTVREEPSTTTTEEISTTTTTETTTTTEITTEITTTESEVTDPPTTPDPPGDPTDDNETEDIGDNIEKKEVSSGFTIKTPFAPPVFENSVTALFEEPDDDDFEDPQPSKKSTLPNSSSAKRSTSYANPLTVDNAAAESRNTKLMCVPCAPGTVPVPPKEEKLPPIEPLPSVAGPYQPPKTLPVAPGEPKEPTFVNIHETENPSARPPSPPASSTSYSPAPAQKRPVALPSAPSVSPSIPSPPVTISLPAPSRPAAPFRPTPSSPETKQPGPSSNNGTPPVAPEPSEPSPPKAEPIPSEPSPPKAEPIPSAPQPSYGSSPSVPPASPSPPRPEMTEVPPSRPTEPNPIATSAPVPSGKYGSVPEQPSKSETSHETAPPPPPSPSQPFPAAPSYGPAPSEPSEPVEPSQPSEPEEGAPPPPPPSGETSIPSTETEPVNSVSAPSPGPSSPAYGPASGPEETPEGPPPGPEVPVMIALPPPKSTYNGGEDNGGEIDNSQEEVNPSERRPEISSISAEKSLENISKTNVPTGMPTRIAQLFLFFSFFFLFFFPKSTTTGRMPSSVIPSDNLSEEEEITHNQAPSVLPSEFSSNEISTKSIIPSKQSPSPPAISPIEQKRIKETSVIHPSSXXXXKSTESENGFMSAIPPSEFVDVSSEPSISFGSGNQVPTTTDGSTPMDPLEEDYDVDVPIPTVGVTSYLAMLTSEKTRQSLIPSIPSDEKPATIPDWIMAMYTTSSPKATATSAPVPKMTATKPTDPRVEVSASKIPNPSPTFRTLSPGLGGTSQTSSSAPSTFSVTVDAESGSSEYQTSTEYVDSVTSSPSSSTQGSPPTVLKPKPKPSTASPPSAIPPWVPSAKTLTPPVAPPTARVTSRVTQTSTSRQRSRPTTTSRRPGITPPLAPKTVAPESIPTTFSSQIPAGPTPILVVSGQMPSSVFPSGTFIETDDSSEEPEEENPRLITEESLTSATPGFWTPDMMSKYISTQSRTASPTASGPLPPASTASSSPATVQKRPTAPPSAIPPWALSTESGKSSEVPTSYLSSKTPIPSVASSVKTPANPSSVRQKVEVTASSHFTVEQSVTQAPDSSSSPEPSIPSVPSAPSVPSSTFSSIPSAPIVPSAPSVTAPSESTEAPAPVVDVSTPSKSREHETEEGPYEPLNPSQPAVGEHTEEYQSTIDTVIEHSATSRPEPAPQPAPQPAPQPAPGPAPAPEPVAPFKTAPGPVEHKYEIPAPQPAPQPAPQPAPQPAPQPAPQPAPQPAPQPLPAAPQPQPYEPVAPQPLATSAPQPAEHTYGAQGPDTAPVVTSAPYVPQETQPPVAPSNPEPVQPNPSQGIAVAPQPSGNTNIEETINIDAKPSYPGQSSYNNLEEDHENGNVAELPAPDPVPAPTPAPSVPGESNYGYFLSSVHYFSKYRFSEIWKKITKETIILLKDQTLDQMDHLSHPVLLNHQYLLQLQEGQVSVIWKKTMREHLEESITEKEIDREDLYRRIVIKITGNVSEIFNACTDYNASDRKSKEEEEQEYENETQNTGDESDDSGESISHIDNEQIPQVVVPTKPSGGFSFHNQASFQRLGCQPITEFKVNPATKPYRPRPSPAPRIQPVRPYNPQPVAIPRPQPIPQPIPQPILQPQPQPQQIPQTYIQRPALSLPFQQPQFPAPIAYPRPAPFMPFQPMAQRPSPAGCCGGQLFSQQGGLCMPINFNSCQQQQNNCGGGCGGGCGGGGGSSCGGGCNSGGKTCGGGCGSSCGGGGSGGCGGCGGGGGCSPSCCQPQTSACCNQAVSTCCQPQQMPCCNQQVQTCCPPPVQQSCCCPRPSSICRHKRSLGYAMGMCQRRRA >CRE12706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:386607:387427:-1 gene:WBGene00068732 transcript:CRE12706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12706 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3M7C9] MLDYWIFVALKRAVGFGGVCYRPSIYLLEVYLVTALVPSDTACLASFSGKIRRTALWIFGEEMVERLLLGARTGAFARDTLEDFVAKRVHEGQGLGGDPSFGVNLLENTVDVDRVGLLAGGIAKTGGKAASRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHFQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE12707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:388377:388688:-1 gene:WBGene00068734 transcript:CRE12707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12707 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE12510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:388949:389359:1 gene:WBGene00068735 transcript:CRE12510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12510 description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:E3M796] MARTKQTARKSTGGKAPRKQLATKAARKSAPASGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE12511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:390163:391336:1 gene:WBGene00068736 transcript:CRE12511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12511 MKPNINFPESVECYARAQNYDFQLVVDSNYSCHQRDKFFRRHCVVSHILPHYDVLLFIDADHGVVNPKRRIEDFMNPQFDLTFYDRFFNWEVMAGSYIVKNSPYSIDFLTEFANFEQKLPKGAHGSDNGAIHIFLADKIFPGNLEVDTCREIYYKSGNSDDLAAYTGCIRGVFGTRTDFGNIRIMKKGTGWSRDDWLTSGLWNPSRDFMLHGWKTKQLKDSPNETLKPIPMSYDQWYNPLAGPILVGRCFIGNTTWSYSPRLLADKRQLDDALLDYARKVDKEKAKILGRLPIILEKT >CRE12512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:393495:395581:1 gene:WBGene00068737 transcript:CRE12512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12512 MATTSATTATAATTTTPTEINGSRSKRRRYFANGRSRTIELSTLAEIDEEEFAKAEGASSQPEGTQKVLITQDDMCIGTTGGSYKSLKRGSVKAQALKLSERLAQKVAADNLAKEREEKNEMEKQSCSKPIVVDVKLRRNLTEIRRDIRNFETTTDFSTSQDWPQQQQHEFQPNCSIPMQRHPISRSASFVAPTPLIVYGMPPQHGDRMSMIDGRCDSRIGEYAMPLYYMPAPPPPQPMFLPYPGASKMGMTHFDQPSMVMMPGHPLQYPEMQMRRKMKSVDPHRRKSWCSRICCAGFAQLLWTIVCIISFGIIASLILALCYM >CRE12708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:395686:399169:-1 gene:WBGene00068739 transcript:CRE12708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12708 MFLLLLFVPVVFSQTDTPYDCGAHGYCYPANRTTATTCSGCTCSDQSTQTSDTVCTGPTSCSPSPCTISNQQCNIVDDIPTCTCAAGYTGSDCTMLTSDPCSPQTCLQNGVCSSSGVTYTCACAAGFYGEQCQYSGDPCAGYCSNGGTCELIFSETTPYCQCPFDYYGGTCQTARSVQTSYVGCYDDSSATFTDYYVYSTTITKGNDCRDALVAYREANPTSNYAYSTMSGTKGECLFSTTNTLTDPPQAGLLGGLLAALLATCSYSDMNSGSASVYSLNDVCTPGPCGDATGNGKCIQTSANAYTCLCNPLKTGSACQSDATLTPCASVDCGVGTCGITDDQIGSYCLCTSTNQTVPCVGDPCTSKPCLYGGTCTDLGNGSYSCACLNLYMDTNCETFNSCYINKCENGGTCIPTYNLLDSTFTCQCTPDWKGTLCEEERFYCDETPCQNGGECEDIIGPPNSYNCTCTPQWTGTNCTIDVDECAEDTTLCKTKDPDATCVNTNGSYYCVCGPNMFGKSCLFNKIIYQILNATYGNLGPDELDEMAQELTNDPTLVRDIIPFLIGGYSLETRTALSWTAEDMFLWVAYEQQLIDLNSNFVMWNDKVLGNCFTFNHMNSSFQYKARESGYSGGLEMQMNVKQSEYLPWTETAAVMVFTSTKEEVVTSESVRINTAPHFESRIAINRKDYYRLGGRYGKCVKAIKEVKSYYYDGDYTTDGCLRSCYQDVVSESCQCMDPRYPMPSDGISCNISQKNCIDALVDSKGDPSTWPQCSCPLPCSQTVYTSKLSRLPYVNKIVDCENSTVNKTSCYEKYFDSVILRIALPKLDYMIYSETPAMDLTKFMSYLGGILSILIGVSIVSFVELFFLFVQLIVILLFNKRL >CRE12709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:399346:402005:-1 gene:WBGene00068740 transcript:CRE12709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12709 MKIQKWGKHIHYFCTVTLYIIFILCVFMNFYVLLSREDTIYYLRIYHLIVALLMFCCGIIQIEFLYRTRQLPKLDEPHEIPDPALIGAIALTLGIMLGYLYFIVFDYAFSSCDKLVDALYPSELFIEAIYDILMATFSGLSLIYVLHRRFYGAISSNLDKVGRLFINITFAVVWMKVVIYKGYLSHQELCQRKELEGYWCPVIKRHYECNPASELHGTQKMWYYINKGLLSSSIISCASEFFPILLVTHWLACGGAEERAEDIEKRMKRKEGVRGMLREFMKDVSRVYVEHPALENKPLIVSKYLVAFFVLISPIVMIMSALKWLFYFYYTIDFDELVDEHYMTNDYINLAANVCQVFFFGALYAFSRTIPNERLDAHHKAHARGDISILFGCCVVLLIKLILQSVEIEYQRVDGFIIWSDAVIQNVDLVMVQLTQWLQYFAVRRLLALSDKDCIATKRFLPLACLAGLLLAWIHFGVTFFQTSLIKYQLTDEKFPFSSTTLICMIFTQTLFPADYLFAFTVSGCYLEFLQRYLNMGYFQLGEPRVNITHGHGHGHGHSSTDHEELHGEEDHKSKVASMLYAASVLHRKRFEERGNSVSGLCRFCCWNVVFRHRHQKFHHAVQYPRRKIDIIINKTISCILKPSFTHELVPLNLVV >CRE20165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3108:62:1660:1 gene:WBGene00068741 transcript:CRE20165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20165 MVGKWDDHRVISPTTPTSPRARSLSSNRGLRPQILHTPVVVRQQGVSRDESAADMDLSQRLIDASEGILENSHPPMANILTPIRNGGPQSEEEKDESIPHANLDNTVRYEVYVSTANERNEESPNPEDVQAAVTASGGTTSPGSTPPLRLSNGNFPKIQEAVASEANDEDEDEANQVNQRRAEINKEEGFLPHVMAPPFKSATSLPAHEDINNSARSFKDQLVTINSDASQEDSRRAFLTVLKYRARRRAENILIEKPASTLNELVQGLKERFECTSHVQRNKTHPRSSKQSPGESSSDSLFHRTIKLAKQSYHEYQKNLEYQKEDVTLEKFLEGLNQSVKSLVIREARPITDQTRNTTLEGEARLVPNEQPLEPTQLPAQLEASLANTATDHRDGDYRGRSSENDSQVSRREIFLTFTGKCRYCGKVGHMARSHNLKQRSVANQQKSKDPTSNHQTIQVDAEEEASCLREMILWQDLRIHELKEWNDRLRRDNSSSTSRDQTSQADPPSAMALWTIFGQKNKFPRSKFNLV >CRE09733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:41832:49362:-1 gene:WBGene00068742 transcript:CRE09733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09733 MNSLVNADNPPPTEQVATPTSPELPKHITLATETAVEHIRTAKLTGQTLIELRECVSADLRAIHLRLGRLTELSVDRFENLEARVGILEGTPSNEPASTQTLVGSSSEKTAEDPNVLSTTDDSTTAPEKDSQKTDNMKTPTRPPPLVLQIAPHRPDLDGTPYPPTTTRSAPPANEQDDSEATPRVTRAAPKKSESYYFNVLNGKMAAHIPTFSGAPHENFAAFARSFTDHANAMKDTLTNDKKKAVFLTYLTDFARDKAEELLESKPDALFEDLVEHLKSTFQDPTRAEMERQQLRQCSQHQDESVDAFGARVRKLAQSAYVGKSREYIADKAKEAFIDGLTFTLKFHVKGPRDFQEAQNSALKFELLLAEAAKANTITPQGLSFAPPPQNTPSQPAHPPQPAYQHQQLNFPTPPRRTVCYSCGYEGHYAADCRRRQGNHYQNRDPRNNNRGYRGNNNGGYRNNGGSRGNNGGYRNQEGGQRQQFPVNDPVQRHQIPSPQQEQHRRFVNSLAPTENPLVEQLRTELATSRAQLDALVQRNSELATAASTASPSRRINCVSRGSYMLSICALATFLSLMGSASALEPLVCMHYAPESYVQVPSPLDCTIANTMTPTAKATPLELAIFRDNTINYRTNGTLCKVVKQVTTFSVNIFGARLQESSSKQLPVSTEACANMVKFQECEFGDLHHRAGIFKTENAHIINWPSAFNIFAGTQVVETTNCFMMPTSVYSRFGSETPSSPAGSLLGCRFSDGSCSTRGGATFIWTPTQDQQCRFVFWKKLRGVQSGRIWLSEDKEFALSFLPNNSRIADCGRKIVVTDQGYGIVLPSRTKRQAEGLNELTNFVTSNQLAAQLLANEEAVMESASKLVRFGYKNLCHASNSQRAMVLSAIVSNPTMAARKLTKKDHIKAKFLGEGLLAIKPCSVVPKGLYEFIPFKETCYSKPSIRVTTPTNTTIVTFVDLTTRIITNRAHPVDCNLIANFEYMVNGTLFSLNPFTLELKTHPDFRARQISETPAFQNPIGEETPLIFHNLIIGSLSENMPESHYNEIWEAMQGSPEALTRIVSTHSDPSSGPLLAKQIEEAFDFWERIKSVGRVIFVIWTVVCNTVITILTLVAIIAGVARFYIGPWLVSLKRTEPKATQFIGTGADVQSPAAETPVVSPQETPRILNKLAGPIPDCARQMKRLRSPASVLTYTDPKYFTAQIPIRVNGIHFWALVDTGAGFTVAGREICALIGVGKLNPPTVDHALGLGGNEVGMAGSATIKFEIGANTIFQTTNFTSGQCCPEGVSNYDFILGNDILSRLPKFFLDYPNKCFEVGDEKLPLGSPKMETVFPYRYKVHVAKNTVIPPRSEAFVKCVVPLCQEEKDLVLLSQANSLVAQDLIVAPAIFVPSKAFLLVTNPTNEPKTLYANTTAATATDAELEKHINSLLRSRRITESNTPWTSPIVIVTKKNGSLTVCLDFRKLNEATIPDNFPLPRIDAILEKVGGSNFFSSLDMANGYLQLRLDASSSYKCGFITENKVYAYTHLPFGLKSAASYFQRALRTVLNGLEEEVLVYIDDILVFSKTFEQHVISLRKVLQRFRDFNLKASPKKCEFAKKAITFLGHEIGKDSYSPDKANVAKIVEFPVPSNVNEVRRFVGMAGFFRKFISKFSEIAEPLTRLTRKEQKFTWDSAQQAAFEKLRTALASEPILGFPDYDKPFHIFCDASAVAQGAALMQTRPDSEKDFYGIAYASRTLSDPETRWPAIQVEMGAIIFALRQFKPYICMSKIILHSDHKPLTFLLQKAKAHDNLSRWLIELQCYDIHIVHIDGKKNTVADCLSRARENESLEELTELKDIIDFPICMTLALPDPQTDHTNRATGPVGIGSLVVSQRKVHLTRKNQPTISLDFAAEQEKDSNILIVKKILKNQSPEDSVPEPLASRLTLSELAPNGTVLTKPYGFSKRALPWVPAHLTSLIFEAFHESYLSGGHFNGMKTRVKIERRYFWPGMAKEIWAKCKACLKCQAKNSPVPAYRERLRTVVTTKVFQKVGVDLTGPLRTTPWNNKYILNIVCWFSKFIVSVPLPDARSDTVARALLNECVLKYGAMTELVSDNASTFTSHAFGSFCQLLSVRHHYAIPYHSKGNGATERSFRTFHQLVSKYVNKSHTDWDSILPAITFCYNTTVHSTTGETPFFLMHGRDPTFGIDRIIDPTPAQLSSEDDNIENFRQELIANLREAWFHAKEQADKARTLFARTYDAKTRPSEIIVGDRVLFKNYLSKKDLSRKLVLPWVGQYRVLEVTPPEAVIQDIGSPKKAPRRVHLDQIKKFVEITGPAATDRDEGPDSDEEAEQDVRAAQADQAETTGIDTAAEGEVRPLETIPEEGLDQTTGANDIRESSPTEGDEQQHRYGLRRNRNPPERFERR >CRE09732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:37800:41279:-1 gene:WBGene00068743 transcript:CRE09732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09732 MQSNESPPPLERSLSEILLERTPSETEQEEPMDTEAVLEHLMDLEEDPVSPVAKKMRQANEEPEAGSTSGMTESRVQSDSRASSRAPSPAASEVQSSVPNPKEAKEESTTGSTSEMTESGVQSDSRASSRASDPATSEIEILIPDSEDKAKEVSTAGSTSEMTESRVQSDSGASSRAPGPAALTISVSEPVLALPERKLPKNLVEKEHHPWESNYNKDLGHVPKNVAKAIAASTTIEDVQEFRNKSVQWARVVTPSGGPTLKGRFVEEMKSIKSRPQTPGAIYILKSSNGNLWLATPLNLEVSHPDRPDLHGAYLSTFSEDLLNGTQLHHNNFVLGDAVYVTEMRPRPKRETEDIATHWKDVHAKEAKGFWDISKFYILERTYKDALTSRMPHNKKNSKNTMVTASGVNVPISVRIELYHAAKIPNVPNAKARGRIYLPSLQPGQPLSSIIPGKPRNMVLARTCDKETFTTVYPSVLSFQHVSAEEAESLRHPDVFKDWNPQKPDPLRAMIRSTFLAFSGTLAVANQDKDLQPKVTIVDNVSWVRGRPVVSCDIRSLYGQPHPEKWTRGTKLVMETADRPFDVEIESSTPDLGKITLTLRPMSSKPTVCDSARNWKGQQVILAQQLENNKRSFQTFPLVRDFQEMRKDAPIRLLLEAVLGGNKIARQEVRDQEVKVALEGCPLTAEQKNYVNGFVRSSHPAVVADSPFGTGKTYLIIVALRLAALELEKDKLHMATAVTNGAVAALVSTFLKFPEDKKIRALRVISPSNHDQIEEKVRTPIDYPTLAISTLLDYVKKVDSETGEDRVPCHLARTAVQHLVRDNASALDGWCPKNKELQSVFQTKEEPSSSCWSTFLRIYKPNVLFGTAASMIEFLYDGPLKNHRNTIITNQIDEASQFPMHQLITLGSLCPNARYALIGDVRQLAPYAHSGLSNEFKKIAVGALLESAARSIPVFSIMTVRRCPQKLTQVCSDLFYDGKLKSARSPVEPNPYTECVGIESKFPIQIISTQGEDQLAGTSRVNVEEARIAAAMVEKIQKAHPSKTVAVLTFYKAQCGHLSRMEALNNSFVGTIDASQGLEFDVTIVLTTKSSDFNSKDAKEDQATFVEDVRRINVALSRAKGQVFVLLNRKAAETSKIWKLFFRKVPKGATHRGSRFVQH >CRE09712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:74331:76257:1 gene:WBGene00068744 transcript:CRE09712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09712 MSSQPPSSQDTPISQDTPSTTPSSFTPSPPSAKFIAEPFYFDEKNTGEQIDSFVLNGEKYRRFEKLGEGAFGAVYKVVSENRRVFAGKLIKVQEDDDEHSGYSRVKNEIKIFDLLRSVEHANVLQSKKYGMMEHSIDGFHKHILIFPLLGPSLSETLDDAMVDDHKYKKDRNSTAAPAMAFKTSIVREFAQQIAKGMAFLQKYHVLHLDLKLDNLCFANVEPDISYLFHKRSISFITMKHSAIKIVDFGQAQISHHPKANKLFHATTYRCPELLLGLPYSEVSDVWPFGVLMIEMYCGERLFQSDAKEKRICEAWTFRNIQSVMGEKVTKELWMEARLEASPELRGSVRNDSVPGDATLPLFTELKRNEEADLLFKCAKRALTINWHDRPTFQQLLQDPYLSGTTDE >CRE09713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:88769:92373:1 gene:WBGene00068745 transcript:CRE09713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09713 MSHHNRSPTSPIPSDSPSTPPTPTSPSHRAPLNHSSDSQDSLSTPPIRSPPSPQFILDTSATEDESDFDEDNNMGVFEFSGRKYSLRKMLGEGSYGKVYLAVAESRRMFAVKFFKMQKGETQEDYGAAIGPEERILTLYFTLETLFKIFTHFDTSVHKNIAKITGIGFVDYAPDDCYRKVILMPLYGISLATMIAQSKDLDIENRRLNGLNEQPKVSFRIRDIQKLGSELLSGLEFLARYRVLHLDIKSENLLFMHHNAFHVEFSRERHSYIVPDHLDIVISDFGMAKLDKDVGPIAELVQTEIYRAPEVFVGCLPNNRSDIWSAGIIILELYTTVDDFESPIPDQQELRRFRNLQYALKDYMTPELWEEAARTEGGDAVRGNIKLFDNGPADRSAPRLSDLKRSHHADLLFAFLRDCLVLNWNRRPSARQLLLHDFFQKK >CRE09715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:99562:100170:1 gene:WBGene00068746 transcript:CRE09715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09715 MGRLEREITLIIWTGSLLPSPSNKNDTILVVDGKDLHVSEAFHSYHSDYFRALFSSNYKEGQVQEIPIGEVSFENFAFFRRNFYPKPVYVTDATVEKILKMARRFLVSSVILVTEHHLLNMSKIKNEKMLWLADEYEMPKFLEKCIRGLDLKKKRKNRRSMITYRTRLS >CRE09734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:101382:102372:-1 gene:WBGene00068747 transcript:CRE09734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09734 MRKGNMLRFTDSFFMILFLQYPSLSFCYFITVFSIFLLCTLFFLFFFLQSNLLFLLSFLQYLFLPLLFFLYFSPSPTLTYLQYPLFMFVFNVLVMDTGFARFQRVLVFFFSKSLYFVVLLFYSISSSLISQIICHFFRKQEKMARTKQTARKTNAKPTPSIPAVVRAANKALVLASARKAAPVTLGTMRPVRFRPGTIALREIRRYQKSTDFLIRKAQFQRLIKQIVQDLNVEFRFQSAGIEALRCGAEGYLVELFADTNLCAIHAKRITIMPKDIQLARRIRGEKF >CRE09735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:106985:108495:-1 gene:WBGene00068748 transcript:CRE09735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09735 MGEIILTCPEAPLKRLGSANALEDLKKELKKLKPQVTIEKKKGSRAKAEHKEKVEKLKNEKWLIDHEIIQMHWDHEEQTDDAYNKLVKTTTKLKKQLIKLEVLSAKKDHKKTIQNLEKRNKQLEKALNNGLEVKPWKQCAVCFTEFKETGDKVPKILSEDIRFIISKFKHIFLECGHTYCWGCIKQLATSDYIQCPLDETIFIFTVEYDIDDVVKNFKALSM >CRE09716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:114064:116317:1 gene:WBGene00068749 transcript:CRE09716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09716 MPNLFTQVIHIEMVRSTRLSLEQQAQIDLMVELGLYFSEMSRRIGRSRDCIRRYVNDPLAYGTAKSPGRPRILNQREERAVVRCALNTVKSANDVIAELDLKASKSTVLRTFHRSGHLKRAVLKPVPKMSDAHKLKRLAFAKSNMAQDWSKIVWSDEKKFNLDGPDGAHSYWRDLRKDPMTFSRRNFGGGSLMVWGAFCNNKAVALKFVTCKTNSVDYQATLQSGIVPFFSRGNRKKTHIFQQDNAAIHKSGATMNWFATKKIKTLDWPANSPDLNPIENVWGLLARAVYRHGKQFQTVSDLKDTILDEWNKLQPSYLQSLTDSMSNRLCQVIQNFGGSTTNVEIRVQRGENVVECSESPNDKQEGENIVLRKPVETQPSTSKSNAPEARSPQQSQRKRLHSSKATNLDEIIKFIILEMKEKKEDFDLSEDCKKYIEHASPRRKCRTMKTKIVQILYKVPVEEFVLNKEDKVVRYKSTVSLNFMEIN >CRE09736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:131695:132552:-1 gene:WBGene00068750 transcript:CRE09736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09736 MALDDLREPFLKLQLTIQSFIDENERKHKRKQKKRKRNLITCFSTIFLDNFEHAVGHTGDQSPQFSSSDCIPLGLNGVFELLDRIELLSMLKNIVQVLFSDEKKWNLDGPDGNRHYWRDLRKDPQLFSRRNFGGVSLMVWGGFCNGMKMKLQFITTRETSVSYQSTLQKPIVPFFRNKRRTHVFQQDNASIHKSISTQNWLKAQKITNLEWPAVSPDLSPIENLWGLLVRRVYKHGKQFNTIQELKDAVETEWNAITAAELRALVASMPNRMFEVIQKNGGETSY >CRE09737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:134240:142902:-1 gene:WBGene00068751 transcript:CRE09737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09737 MSLAGKKAALTKAVKGLEEKLTATTTQLDFIEDKTTEETLPYKEDLQLLLTTIETKSDNLDKALNNFEVEVDKIPPANEEATKNAETRIAEALDVREDAIDSLIRLRHQLNRISSLTTQQASREDSRTLPIQPNNIPAPNPPQQFGFREYLIENTRISKFKGNVWEFEAFWTQFEELIHKSEQPDLFKFNKLLNLLEGEPRELIARFKITGDNYNKAIALLKKRYNDQEQIVSQLTAQLKKETATSGHTTDQRKLFEKILITTNQLKDYQENVDTRMMKDEIVSKFAHRIQEDVYKKKLDSPGDWTLDKILEDLENVIIREESLNMLLKKEEKTKNMDNSTQKQQKSKDNKRDNKTPFRKNDDPCIFCKEKGHFFGHCPTKPNPMDRLQILKTEARCTRCTKTGHTPKDCKSKMCPVCNKDHHSSCCFEKHKEALPPKTFKKQDQKKSSSSSTTTAAMALQGDNTVCEMDNSENKPDEIHTIASAKTRGTNRGFIPTIVTKAYNHSTGQWEGITVMLDSGSDQTFITRSLLNRWNLPNLGEVKVDANAFDSTCQKKQFGRSQIQLRLKDTRIQMDVYVADSLVGRISKAPLTHQDMQFLLKEKLEINEDSLRTTSEPDMILGTDYWMEIVTGQLIQMPSGVGLIETKDGFATMGSTKDNSCQPRYEEDKVIVMALNSDPHDPGRKTEDEQMRDTLMKKPHEFSGSLKEEQSERDKKTIQFFEDTVEKRDEAYFVRIPYKEEHPPLPDNFSIALARLTQMRRQHSTENLQMIKDVFEDYKAKKFIEEVNVYEETPNKLHYNALQAVITPSKTTTKCRIVVDASAHYKDKPCLNDCIEQGPTILPDIQDMIIRFRSGQTVLISDVEKAFLQVFLHEDDRDVTRVLWFKDINKPVNEDNIIVYRFTRVLFGLNVSPFLLGQTIIHHLRSLKDDPIIREMPHNLYVDNSIITTDENAENVIQIYKKVKKTFKDANMNLREFRSNCKTVNDGIAEEDKSKEEDMKVLGIWWTSSEDTITMDTTFDLALTNSRRTVSSDMASKFDPMGYLTPLLLPPKLFQRELWDTTQYGWDTKLSEQHEDEYRKLIQNINGFTIKMPREIVLKTGKNSIITFCDASKEATACCVYVKNDKGTHIILGKSHARPLKEKWTIPKLEMHALLLGTEKTMKVVKALQLGQTTIDQVVIMSDSAIALAWIKSLPTQKEVGTLIHNRLRDIVSHVEEMETMVTTVKFGHVRTHENPADLGTRGCTKEEFENSIWWKGPNFIQTDTHTWSPEHQLFQVERPGQIHTAALVSKESEPLLNSQATNSLQKMIRIALRVLKAAKIFSKPLGSERFPSLKDITLNDIANRVELKTAETLVIKDHQKGISCKTLQQYGNLGIIPNKDNILVAKGRMELAGLEENARNPIFILPNSQLAKQIIADCHGSFHKTMEHTMDSVRRRFWIPKLRQQTKSFIARCIPCQRNSKQPCRYPDMGRIPRDRVNKQRPFGSTGLDNFGPIQYRKDDGTLANAYGTIFTCTTTRLIHVETVKNASALEFIQAFRKFVAIRGRPTKIVSDNGTNFVLGQKIIEEAFERSDCPPDMHKIDWKFITPYAPWKGGVYERMVKSVKEAFYKAVGRSKLTFEELTTVLYEATASINQRPLTKLEDDINAEAPIRPCDFINQEMEIRLPLEGALDIKEDFCPAAELQSKESMLNTVDALKSSIKASERVWKVWNSKYLAEMREGHKLRMDKKRGSPKLPKVGQIVLMCEDLQPRNVWKMAKILRLNESSDGVVRDVDILTPNGRTLNRAINLIVPLELDEEDKEDETEHPSPQLDKPKEDPEKSSDNKKRYNLRSRKVVNYNEEQPVNNFVFSSGTKWTNMMFICTLLMMFSGTTATNNIIHCTPNGIKIEGQFESFESCVENYCTTKNRWEWSRGQGVNVWFPPAIKIYPHHVTTKIKDGDLLQINEMDCEAVPFCQTIDCTVCWTNIINPECHISWAILGVGALIFLLLFVIHATCKAPVKCKDVLGTGWTIIRVLWWILSTPIRKAWKWFRKETPVRRSTWKRLFTIICETLTEEIMHLNNAHKEGCLRIERNRTILRDIRVQLRAGCGCFYLSSGCLFYRIYALPRGNDSMEILKCVDYIPIVNLRITVTTLNTWKNKVETVNISSPLGRSTWFKDMMFTVVDINTPPSPSLNTWFITNATSMATWPENLLPHYQCNQKLNQCVLDEECQCSPAEDTMICTCKDTDMRELFRQPDRVLPVQAGHLRLEQDGNNVKGKMKFSTSTTMSIKMTDKWTTSIVKTKESCSVASTTASGCYKCEKGATAEITCKTNEESTTANIECGEEEFAVECSPTGTKTSIKFFGNKASFQRHCTVDCGGKQKGHFEVTGVLKYSGSIWTAMWHLLDGNTTIFNEINLPDMGHIATSYMSFMKTMVAVTATVGIIFLLTYTVITNAGLAIVKTFVKICAWILWQPIRGTIHLTSLITTKCRRRRGHLHVMILLTLVHNITPTNLPTTHHLAHAPDTLEHLIIPNLNISHSQSSDIPNFSLLTLSHLNFSNPHSSSPNRPSSQSHPSRWITMILTDMDTRQDAPVRAMIGRLAAVEAKLDLILDMLVPERGGASSPIGNDSHSPRPYPDSPLIVSNVPSPDNYVEIVEEPIVHGSDNDSHQDTDNTIHHEPDNDAHHEPDNNVPNEPDNAPRAGKLQDQDDVHKRRRSSKRQNRKSDKEEKSTKRFKEDNRLRCVFCGHGHYSSDCQRYKTYEERVRRGGPNMCRKCLGIMGTNGHECRRRTKPCHHCRSTAHHTAFCKIQEKIRGPE >CRE09719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:148477:149731:1 gene:WBGene00068752 transcript:CRE09719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09719 MRQLFTLPPVAKTALHLLRRLRPIAKLRLFLEVGLPILAHQYNFFDILLNGRRPLYSYFSRILVYFLLFCHQGPKIFIGTPGRIDQLLKLGVMDLSHVDLFVLDEADKLMDDVFRDDINIIINSLPPIRQVAVFSATYPQNLDNSLSTFLRDAALVRFNADDVQLIGIKQYVLTKCSPMLEKFHMC >CRE09720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:166019:171031:1 gene:WBGene00068753 transcript:CRE09720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09720 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3N4Y0] MPNEIAEQKIVLSESAFSMEDWEIVSRIFRRKDTTFEVTVKSTGQLYTRGPKALSVENRSELTRCLECVTNQIVHTNDYLLYNTGTYSLKGGALSEPDGVTEIKSGFSKVTKVIMGDDNTKPQAVMTVDTAQSAFFKSTSMLKFFHAKIEEANGRGGGGRGGRGGYRGHGGYGGDRGGGYDGRLQTSRVYRGHGGYGGDRGGGYDGRRDSYGGHRESYGGNRDGHRGDRYRYRDDRRDDRRDDRRDDRRNDRRDDRRDDRRDDRGESRRDRQNDDRTRSRHEIDYDTEAIKKLEREFEEGRITKTMLENISRALKGLECYPTHLKDVKANRGFTVHSIVDQSAINVKFDREVNGAFNQITVRDYYLDKYGLKLKFPNLPLIVSKRMKEMNFYPMEYLFIVPGQRIKQQKMTPDIQQHMTGQNSSLPAQHIRQNEKILRDHLKMEGGNCYLDAFKIKLESKEPIKMSAQLLAPPYIKFKPSQPIRLEGKGVRFPTNDRTTFVKPAELQSLLIISFDRNFRGVDMFEECLNRQFQSQGIRKDRDYKCIKHQQDANDADGIYRLMKNAKKDKVTLVIAICAEKKPEVHDTLKYFEEKLGQVTLQLSTETCEKMSRGGGNRQTVDNVLRKLNNKCGGTNFFVEVPEQYQGKSVCRNAAEMSRKLFQDTQFIGFELSHTGARTKYEQQNSIVEGDPTSVGVCYTLKQSAELGGFSYYQHTRLHRLSHVGEKFVKCIIEYKNAAGKLPKTIVIYRIGSGEGDYEKIRQEVDDMKKAAQKYEEGYIPKFLVVLSQRNSHVRIFPNQIREGGKAIEQNVQSGTCVDSIGSAHGLMEFILCCQTPMIGTVRPTRYTVIVNDTDWTKNELMNVTYFLSFGHQVSYSPPAVPNVLYGAANLAKRGHNNFKTFTRLGKTKDIMKKVMDSHRDIINPAEMAELVTDDYIETISEKINKLTIKSRNFWA >CRE09721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:175240:184530:1 gene:WBGene00068754 transcript:CRE09721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09721 MPTGTTVFYWVLIGICLVKKPHQNALSEPTVVMSSLAAKRAFNRQPIGTRTNATSNATATMSAVKGVRVTNSAKLSLQWNRSEEEREEARRTANREREERLKRREKEQFDAFTKSVEKPKQASRPVRGNPADSTPRDLTATLPQTPGQLLNAELPTPRAHFPNPVEDFSSPGSNYQTPSQFPLKETPILSRREGPTGSPQPTHKVRDFFARFLSFFDNSEEDPQDQTSGKPTENEQEEVLTPNPSDLKEKNPTPNSTPPGKPGKEEKSFTRKPEKPNMEKKELAGDLQWEEDSPAETEPLKALRAVAGHVPKFSKGNTAALRRWLVDYRLALHNLNIKQEAGARILPFFLDGLAKQRYHQLPAAQKATWTDVVENLIRAFEVPGDRELAQQEITTLKQGELTITEYARRLRTLGEYAYEGMPENVRESLLLNHFMHHAAPHLRKKLLQMENVPKTLEEMIRKAERFQRLHNLDEEKEDEQLVAAMSQLMRPAPDQDREMTGRPSYRQPSAPPLESGTQPSWRYGGRDPPNYRNGGGPNFQRNDRQNFRPNFRQNDRPNYRQDNRPPMRGRGPPLQYPPNRFPMEPPRGRFPMESPPNRFRPEQPPGRNYRNEERSQDERNFHRGRGFPNKLLAYLTISMMLVGLAQAGKPQICGFQQGGNMFVPPSVLPCEPPKTPIVATKADIFELRSDPMRQIAHSCYKQTFQVQTFSFLKFYVTAKMTNPGENVFQSVRVQECREAVRLKKFAGKDLIESPKGVFRSAHASEVAENHTAWFGSTHFNHEEIIIVVGEVASFDGATTISTLGDTSKCLYSSGYCKTEKTTIVWMESAPFQSCKYQRMTSADAFISDKHIAIPELRMFAAISQDMRFTDTEAKGCTVGNVYFTDDGKMISFPELPSDLWIPDYVRMKEGHHRRKRTLLLVPGPNNITMALNLGEKFAVPIIQRLFTVDALEKIERFETEPISDPRILNEIKTFGVTNELLMTRAERYESERKNSLGHQLIVLKCIRIMQYKFRTTERLNNLKRELTAAESELLKIMSSDLVNVFDPLLDLEFGVSEETDSTPHADYKFPRFDEDKVLKMEYRTPYIEVEYVPPTIRTVTIAPTTTTTQQPTVVVPRTVAPTRAQVPNPPEPTNPPQTTTPKPQTPRTTPAITPPPKPTPPRLPPVTTPSTTTTTTNLPQVQTWEEYIPESNRNVVFEKPQERPFHSPAIDLFMNTCMQQREATILFQTVLNIDPTAAVRQLMKRTDIAARKAGQGILVTQCKTVEPEEIIWDRKINNTCFDLVPMMIDGKIWFLLEGTDDLVAESGSVECTTPQETGKIHKEDLVWRNEKGSETWVQTFNRPIRREANQFLFQTPTVVGKDLLGPGTSSAADEELSKMYRQKVNNIAFKLLEENVVKAKDFITNTVRVHKDRVANTLESIWNQAGKRVFETVKTVVFDVTKFLLIIIVPLAVIVILVIILYAYCKYKLARKAATVTARQMIEMATKQLRSVNYVDQTPTRKCYVATNIEDEYPIPGVYSVLHHHNKGHLPVIQVEMDGRTLHALIDTGAGVSYLPESMVQPEQIESGKQIANAANGSVIKFIGSTQQKIRIGDIVVDQLLLVSVNGDCPSEMLLGIDFVRNLNRQGYPINFDMVNKKLTIGKEVALICSVELAPERDTIRVVVLFKCIVNPRSEALIPAKLKNYRAEMGNEFMIQDNQRESDDIYTVARTVVNTDDEGKTLLQLCNPSAKSIQLYAGQALATATIYEQVWDEGYTPPEADWTSKLPRLPTETPPGYQPSDEIDLSKSIFTEDQKRRLKEIINQHKEAFVAPDGVLGCYTGPIKHRIDFVKDAIIPPPKNYRIPLERRREVEKQIKEMERQGIIRESSSPFAAPIVLLLEVTAENPYTNKANIDDVQFEVGNKILLRKGSKDKLSPHFLCPLEVIKTEDPNITIKGFGRVTRNGDKRETGVHKDSYNKVIESK >CRE09723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:196700:198713:1 gene:WBGene00068755 transcript:CRE09723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09723 MFLLFTTLKYTTDNVPTPPKGPPRPVIIVYSDGKIQFLKNTEDTAVNDFGGHVLAQRMSAVYSTASKGFTAHSVHCYFIRGGEKSIPITYNGKMIRDWRNFAIRYIKPVQHGNVVHLAVFPLQTLASLKETSSLTTELHQDPMVRSAISQGRHQKVDKGFDTR >CRE09739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:200269:206210:-1 gene:WBGene00068757 transcript:CRE09739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09739 MEMSPQQKPENTQLQFLADYFMRANYSPRWINGVDVTGQNQFPVYNVRNQGVQHCPMIAHQAHPYHVAPSLRRGIPVSRPVYFYPRYMYLNTTRPRLFIIPKEETKKTRKTRTPHNVCQLEKLEERYKKSPKINMIEVEKLGAEIGLSGEQVYCWFKNRRRHRQTVRRNVQSSTPGSSKPLNQKFSISSLLSENFPISPIKFADESTTDESESENLNGKKDTAHQYSIDKLLVKFQQKTQQLADNLKPNTPSLQGSFNATNSSNGYYLNGAFPVFGFHQPFIGIPMAPLFTPPVNSPAPVLFQKDHHNSSHLSLLPKSGINKCGKFTFEQYEKLEKRFNEKPNIEEPEKKKFAAEIGLTSAQVANCRLQLSGTMPRKTQPRKLQPPPPPQQVFPPSKLNEYSMLVTSLLVKLDRTSVDADAFLQDLRRTKNAKRMFIGSNGEALIASKNVQAGDIVLEMNGHVSLTTEVEKPAGGGNGMFLYEGLTSKKREVICIDTNELGSDTNPTRRSCKPNCVLKHIMGTEDTLGIMLVATKFIRINDEVTLPFDVDYKDSLIPLKCVKHTSNIHTCPFERRRLKLEDINETESNGIKVGEDVTGHANTVLVQPAKERSRPRSQSCSSKPRHVPTTSASTASSTASSGSKAKTSRPPGTTTARRNSASRAPVATKALTCRRLSTKARPIAVKALAGTTTNTKKLITSRQDVEVNDQNMPGSVAPPSTAPPRRVSLRKMSTSSALATASTNKAPLGDLRSENSEEAAAGKRRRHN >CRE09740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:207638:211267:-1 gene:WBGene00068758 transcript:CRE09740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09740 MSGNFSKDQSFSHTSSGSLVTSSSPVTDDAVEMVREKGLQLNLTQRTSSSHKSVSSSKAPSLSLSPSVSTPPSPSMKETNELFDMSTHFFCTRRPSISQIFSKQLSVNSSMSTEIESSSSQFVVEEREGSFYSDEFASSSTSEMDGSQKKLEKSRRLGLEFEGQLKNLSNLLRIITEELPIYLETKGRKLSKILVPHKQEDHILLQVINKMKMIKGFHAAFTDGFDVVDFSNIQEAPQFATVVIENADVLKSFIPFLKEKRELVEKMKLSRANDKELDLALSKFEKTNFKQGGHLNLQNQLDRIHQTIMRYGFLMDEFAKCFSDGSNMKEKAGEAVKKLKDVITIIEAEMATATENETKKVHERLQGRFDTFKKARKLLLQERVWSDNGMKRQERYIILFTDSLLVCRLTNSKDPGSTIEKNFYEFDLNTIEIEIDHYREYEKTLKVSTTERGFFFIFENGKLKTDWIKAILDSQEKMKHRIGYNQKVVEAKKKLLKPVWVLESGECLMEQCSEKFKSAEERNHCRRCGLSICSQCIGFAPVSQYLFERKIVCPDCFKEIYDDYVTGTLFPEELKHSRKGKLYVKTGKKEEVKAADKLFKEPMKRDLKKFDLEERKKETKAFGLVYLRLHTTEIFKINNSYFSRSGAMETEAFAWLKNDDRLVFVKQKLDFKPIFERNIGNHDVRRSKERDGLVFAFSKRGMTNDDFTFRVEEPYSIKKWNIVLTDHFTETK >CRE09724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:219277:222396:1 gene:WBGene00068759 transcript:CRE09724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09724 MDGFPGFPEQKGDFGLNGRPGTPVFWSNYPKSTVTVLINLYSGDPGRLGFAEVNGYSGSNEILWNVGRKFSRYAKKKRTTRKSSTQWKTRRSRFARTTRTPGTFRNQKRIGLPGFPEAKGEPGFLGYAGEKGHPERKRFPGADGPPVSKTLVEWNVSSDKPVSMGVSVEAVNPQTGLFKMVVGLFLVPRLNHSTQSSFDALAKVAVIVGPIEPADIEMLISAFRTIKNNQKNLDFQDIQDNKDIQFQDELDSFGIPSFKRELRGPRQPGLLRYPVILGDMESQELTDNKEPGEKGLPTAVEAPDLHGEKALDTETNGRRSVKLQCERVFQDYRDSRALPEETDFQKLIDYKYQQDQNILLSQEPQDCHDHQNNQKSMIHCRIQA >CRE09727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:236833:238408:1 gene:WBGene00068760 transcript:CRE09727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09727 MHQISGDAGIIPGPPGLNGQNENPRIIRTPGQPGQPGFPGRSGYPGRSGFSGHLGFPGQPGFPGPSGYPGRSGFSGHLGFPGQPGFPGQPGFPGQPGFPGPSGYPGTPGFPGHPGFPGQPGFPGHPGFPGPPGFPGRSGFPGQPGFPGQPGFPGQPGFPGPSGYPGRSGFPGHPGFPGQPRFPGHPGFPGPPGLPGPPGFPGRSGFQDYQDFQDTQDFQDHQDFQDTQDFQDHQDCQGHQDFQDDQDFKTIRISRTTRIARTIRISRTPRISRTIRISRSTRISRTIRISGPPGLPGPPGFPGRSGFQDHQDCQGTRIARTTRIARTPRICRTPRIARTPAIPRPIILSRRQVPLFRDCIVDDRREGREAPATEF >CRE09745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:244295:249431:-1 gene:WBGene00068761 transcript:CRE09745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09745 MSITVEAQVKRPKVAAIGSLESVKWKLSSSYLESNMKEAIADVQSNKDSLFDTFNEHPDTTAFLIDAQVIPKPSPTPFPDVPNIPQGCEICCELTDKLSGLACNHKECFECWKSYLTEKIVGGKQCDIECLDSKCKLLIEDEKLMCNITDSTVVAMFEKLTINSYVFIFIYIPFSSLLGFKSMKSHGKSRWVQQW >CRE09747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:257077:257987:-1 gene:WBGene00068762 transcript:CRE09747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09747 MWLHILFLSLIFTYSSCFDYGLDPNHDYLDGPREYDDHAVLCQLNGTFNKELYFNGTLIHCRSPPMTCTVAPHELFSFYRQNVICREPRDNLFYDIRKECTQEYGYKRPHFCNRDHRMCTTFLFFCYSKINFKPTFYFALLIGFLTVGYLLQITFCLIDRYHHTQSYDIPPSKSPLKRAGAHYRKKRDDDTTPLRNRYFPTPETSV >CRE09729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:278459:282923:1 gene:WBGene00068763 transcript:CRE09729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09729 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3N500] MSFNRYRGNGGGGYRDDGRNDQENGRGGHHQGGSGGRADLSHDMRRMDINGGRGGARGGNMYSHNGPARPRFERSASGVANPGDLVGSAHYPRIPVAKKDLEHNKQEFFKRPEGSVYERKVGNKTELWTNHALVHLPTQPYLIHEYNVEIYQNRKKLEKREEAAPMFRIICASKNGRSALPRSADYIFNDVNLLWSIEKLPNSQETVGDRRNYFVYKYTQSFEFGEGIAQRDSQLLSTLIDAIATARVRNPRLCQNKFTVFKRSMFMIQDEKYREDFDDAPLFLELKNGLDARIGVSIGIKLNLRAGITACYDLSHIMFTRPSYPLIRLLVEMIAGETINDEDFENHWDNSLKNAKVTHGNRDKMKSILHKMKLCYTLESALEVDATGEVTNNGALGNARQANRDFKFYDVTEKSAQELMFFNEELGREISVAEFFLNRRNIRLRYPNLPCIQKKPSRMNGNRFIAFPMEFVTLIAEPKRYAGLTTLEQKSEMVRWTTFTAKQRLVVLQHIMAQKNITDVPAVVDNNDRYMKRHGITIDKEMLSVKASVLPPPTVVYGGNDKFSDVHHEGEWKAVDHEPIRKVLEDAVYKRTTDKSAPKLKKRLLGSILKIGSPYNNKVDIEIDDTCYHNLMRAIESAGQPVCWENEEMGQAAIQGSTEFLQGVHLPAVIYNFLHDLKTNIDDKYKKSDDEVIIPLVFVIFEQRFTNIVNSRNMFRNDYNLLKYLADTQLGVFTQGMLYSTFNTIGATPATCKFTRLIVEKILGKVGTTHRKLESGGTHKSWTKVTNPKEPTLFLGVDVSHPSTRDLKDPESDVKKMSVATVVGNIDIECTEYRASSKIQSAGEERIVRFQDEIKTRIADFTMHNSIRPAHIVVYRDGLSEGDFQRTLYEERLAIENACISFDPAYQPSITYIVVTKRHHTRFFLKDESEGIEEQGFNVRPGTLVEDTVTTTNYYDFYLTTQVGQMGLARPTHYYVLWNTWHGCLPTFWPTVTHALTYMFCRATSTVALPAPVLYAHLASKRAKETMDGAIEAHRLMGRVFNMDVYSDVAELTQQINNHQDLDGMVFV >CRE09749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:293243:294416:-1 gene:WBGene00068764 transcript:CRE09749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09749 MKILLFVFIGIFVVDGVKKLGTEENEELQKTCGLKALRSKTEGNIRWEYPWAMSLYIDVKETINGTTKSRTAVITGTIISPNHILALNLFQMTNNKLNIAGRELLESNGTCLGDLLVVPEEIMSRMVVDFQSYVTMREAPSSQLPISNIFIPDGCSNFATAKLMVFELPIPLKFSNDLKPACFSESPKNWLGATMFQVYGPNKSKEFDSVQYSSSNCTIKEPFVCAEKVEKKTGPCIGNYAGNAVANINGRHTILGFYSDGNRNCNKESNTEPDYKFIDASFYRDSICKSFGICVSAKKLPLADTLTKKEKMPNAKMGGSTTNASEPMEVNIGSENLSNPSREININIFLDGKKRT >CRE09751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:301649:302767:-1 gene:WBGene00068765 transcript:CRE09751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09751 MVASASSSSSTSSSSSPSIKKEIHRAWTDTALPCAFTSVANVHRHVRQTHPSLRYEQVESALEDLESFTLHRPNRKRFPRARTQAAGVYTDLQADFVDMAKYRQKNDEITFLLTVIDCYTRVLFVKPLTSKSGAVVAAAFEQIFTEMGTTPTILFTDDGKEFYNSVCRKLFDEHHIKHVSPKNDVKCGMVERANRTLKTRLAKYMTHAYGHRYIDELSHVVHAINHSVNRGIGKRPVDVRLGDFPIPLPENARRPSFKIKFVVGDHVRLASKRGFFDKGYEQGWTTEVFVISEVSPGRPVTYNVVDTNGKAVQGIFYSQELTKCTYNATGTYRIEQVLARRTRGRRKECLVRWEGYGAEFDSWIPESSVLQL >CRE03372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:177786:179428:1 gene:WBGene00068766 transcript:CRE03372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03372 MIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQISEAKPPVDEKYLYEGNITEMDDTQKSYTSYEDGSDSDMSTTLSPAIPTPEQPSTDTPLSSPIATKPITRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVVVALEKIISKFKSPPIYVYSDFGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVDVLSKVVDGINRSVNRSIKKAPIDVKNGDFSFRRRERRVRRKYNIGDHVRIYAKQGTFDKRYEERWTQEVFVITHIFPTDPVTYTLADENGEVIDGKFYYHEMIRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSAEFDSWVNESEILDIQQ >CRE03395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:170514:174661:-1 gene:WBGene00068767 transcript:CRE03395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03395 MEKIFSEKEAVKPTTSVEQRRKHGPQQGVSMESVTSIASCSQERRTESAEVPAETTKRREGRDTETVRKEADSHHHWFTNEARNDKNTIKSTRRLPIRQEEEPSADQTAVGSRLDYEGSIEAEEKIDASKDSDAKATTQNIISPRGAKSRVQPSTHECARHLEDEHYLLNRDSHWARIDRGSDQQAASYGKRPQTTKAEENDGQPEDSKAKAMYTQKGGRRRVAIGDARNAEKKNDASEDFKAKAIVQPSTQECARHLEDEHHLLNRGSHRARIDRGSDQQEEVERRPKTMLGSVLDTQPKHYRFFNRIPAEETTRSMYTGRDHTNQIGVERFQTQTIERRNEDKLNENRPKLENSIATPDVISEAPIQTETKQFFNNPYEAPPQSTTHWTSRRTKGVKSDHDRKDSDATTVVEHHNSSSPSDNYTRRNINHFKSSSRRQSTSCQGAKKNHPRSIQDSSTASTRPSATTEAPKKPILQSQLIKFTKFKFPLIYFLRTPRPHHSKIILYAHSSTSLNPIKKHREEPIDNDANDQKQGNRVKERVTSTESPMEGERPKPVSWEDELDKLSMEFSDRIVQAEMRMEGEVEELKKHAEKLEDETKKAAESETIREAIETAEDTSQRFNKTEERIRSSFTENNDRLRSLLNSMKERKERAERELETCASEMSKASELAERENARKKKRDEDRQQAVLARKLKEEKKAMNEEAERQRQGEARARKEEKKKQEEEEAKKLMIAKEEDQNRKKTMMKEVGPKRFETRTFRQEKSLGPARRPLPSSQPLQTMQQLFFQPNFPPSFEQAPPFMNRIQQQPQNMPFVYMQPNTNPMSTTWRTVAHTGWTTTSPTIAERIQFPSQAASRQRSPRKRENPMTLSRDHGTPPIFVKRQKKDPEEMKVIVEMTPEKRGRNGGER >CRE03394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:168030:169733:-1 gene:WBGene00068768 transcript:CRE03394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03394 MIPRGEPGPQQRAQASAIGATRRITIPKLPKPDGLVAAVEYNILPPTEQLLKEIFRRCPWLQDQQFIEWFGKMRSHQMPKAESNEKGKGICGCCGSNIFGNPIKKHSMSECGVPLAVRAQFMAVNTRSICYACNGKSDSHDICVKKNDVCGYCEETGQGRRHHTPATGACSIPYGCEHEQVTIWRKQHYRQVELESQKEALEIKLHNDSPLLNPPRKCKYPVVGHQAFFDERKMFGVIQYTEYATYPGVIPQMEKEKRKEVEKQIQQVRRNYYEIDQPNRPKPSTEIQHRIQQHREAMEQVTRLKNEGYQVEIVEENDSEEDPSNDDQDNQVQEGVRSDESVEHHDPQVDKERSRSYLTEIALWQTGQRSLKLNTNNSQYYLTNEETTEQFWIKAKQVAGYLKECPEHIKETWTRVAQNKVSVEKTETTRETPEKHKVNKEELKEQLGRAAASKYGNSLAWCTTLQSQLTGMREATKRFNDGTDEDPTTEWTLGYTELIIRLATIIALSKAEVWTRLSTGNVRFSTYQPTGKEVIWIPDTTLYKALPTEERDAFFAIFTVNVANRLL >CRE03391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:161623:162981:-1 gene:WBGene00068769 transcript:CRE03391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03391 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIPLHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVTDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYFENLLMYSNEQKRSTLSISGYSPDSVIDDKDDSAFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE30517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig328:22882:31015:1 gene:WBGene00068770 transcript:CRE30517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30517 MRSQELMRVLKTKAIKHLEVRHHATTQYGTSLPGSRGYYEPPTPPPATNQLFQPMPKQNFVAPQPRDAQNYEEQDAGDADGEGAAENGEDPPGDAETEWIDSDSKDDTGGEPTLDFLIDRNYDCSDPTDRVMHQQEGPFKAIGGLSEDGRIVKDWMLDSYGPPHRTPPGMSEPTAPATTNASDETKYAKGDCRATILDRRKPSGVCGPENAAKMMPSPSRLTSATSSPVESFEIQKSTPPHGMTREKKNAHLANAPTNKEKSTNLNTLPLRGQQQPNPMDDDSRQKKHGSGGYDDDMGMSQNPMQQMRARTLAPQLPAHVQQQQEGEPDTATAKIGSNATPTTTIPTKISLPQCNKPPAPINFIEESIRQNKAFRMFVEKNVEALVTTELVGICQVILEVNGYVAISNEMKRQPGEGNRIFMYDGLMKDTAGDMCSGHDLLLVDLPTANKSVVCSASNDSITKFHGKNQISLVEVHKFSGKVIVLTKKQTIRTSHLECIVRRNSLTKSTSGQQCEHTRDTSKNNCI >CRE03389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:145691:146254:-1 gene:WBGene00068771 transcript:CRE03389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03389 MTINDEEWLNNLLYHDFDLIPEDDSSYRIAFFVLEGFGCIENNNEPGLQQVIRFLSRSLLSKFFVNVPLQIQILLFLELPSNKELAKVTGAKNKEEDDEDMEIPEDGDEEKGPSEPQESQRQLVIDDDGWTTITKRQ >CRE03384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:113943:115347:-1 gene:WBGene00068772 transcript:CRE03384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-28 description:CRE-SRU-28 protein [Source:UniProtKB/TrEMBL;Acc:E3N657] MSIPSPSPILLPGIHKNESYINFEFSFFTLPMTLLVIPIFYMPITIIIILRIFVKLLYAVKDKNVNVPLFSAICISHFMNIQQINFQCLLFFICDFFFVRLMTSGVFTSWCASVFPNRYLLILYGTTYYVNYANMLFPFLVSTMRLILFAYPQNQAKINGTILHVALPIIFIYPLFNTFFLFTAECYCVQAHGPFPFGSIILGFQGSLFGLRNSYFLLFNTIFWMSSCLINNSILLVKLFQLKKSLSLHARSQKSYKAEVSLTFTTFSMIFSYLSNSMIVITAQLGGDWTYYAIMLRPYGNDLETCVSPWVFYLTHPIFRRKKSTIRVVHHDNIDS >CRE03382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:85817:88273:-1 gene:WBGene00068773 transcript:CRE03382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03382 MEVIEIADGVEENKIPPVEWGVETPAAVVEFEVLGEELVKVKKEKSGGSSDDTKKKRKTKTSFGAFEAEKRGFSHYLPEMRLRFTVEKLSCGEDERAVVVNNKTHLHLTLDLKVSVSNWKRPLEKKGIIRGASILLTPSDAVDVMMKDIRETSAADMWVNPESSKTASESSKSAFTIMPRKSSQTLSGARKTQKRQAPPTSDEVPADASEAPTPSEMASVSSSETPVVKSRDQ >CRE03364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:80862:84071:1 gene:WBGene00068774 transcript:CRE03364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03364 METKITPSMVKPMLALFIYKPTANRIAGAPTIKAEILRKFGENVQGNLFNPERLTFRLQETFIDFEGFPNLGGKNSRKQTAVNNLHYMTVHVAVNYALDVVEKKKKRKSTMMLLVTGNGIPSVYGVAAIKNAILRDLEWLGYYKAVKDRNPGVVFVKFK >CRE23664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:20792:21857:-1 gene:WBGene00068775 transcript:CRE23664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23664 MSTPSFPIFRLPTEATKFVIQCMEYIDIVGFSIVSSKTKEIVKSLNLEITRLNLSTEEILSIEVEGPSPMLWCFLPGGENAGPEPIPVYMPARVVAMHDDDNRRIMAQYKNPGLSIQEWLANFLYIFSWTEIWYLKFARETSKFDMSSLMETIGTATVNAFYFYDECGIECVRMALRQFPDTKIVFAYSRNLDDPSRYKDILIQNLDGLILGCRSALTRIGHDDILIINSKEIVVGSGIITDKIINRFLKHWKEGSNPRMERAIFLILDRQHHDRNAIFKGLNFHEAPFDQTRHFTHFSGEILEVVGGYDIRRVDGSVGTVIFEQGNNDVFGLTFYVWG >CRE03363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:71429:79150:1 gene:WBGene00068776 transcript:CRE03363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03363 MATTNSGKLRRTIGQIRRRITEKLTEEIVTEADLSSFTKEEVYEAVLDLLDYHEQLHIQFQKLQRSHLEWSELEAQDPKETKVKRDYIEKYGHYLPLFQSVPAKLNEITQLYIFGKNRLSKIDPKQTKILPEAEELIDNIIVPTTTKQDDDTVTIQSSEEIKPTVPLSMGQPLQWAPMKVTLPQMTMPSFNGNIDTYLEFKEQFNSIMNHLNVDDVTKLKYLKTSVSGEAADLLRSIPIIPSNLQVAWKSLEDMYGGEFRIKHSLLLKIRQLPDLSNNKSPADLQSYAIQASMLFEQLHNMHSDVDNLSTCELIQSKLPKRVIHKLYGSGEENCPKTTKQLLQRIKEIARTELLVSEIHHSSDRRSHATTMSTMTHHNNRKSGQGNQKGQVTNFTGQHQHSGREPVTKPCPFCVEARMLHHPHLCRKFPTTAQRKKQAYDLQLCYRCLNSNHTSLTCNQTCSRCNGKHHLAICTGLQNRNDNRPPASTGPTSFNSQQRHHQSTNAQHLQTVQSFTRDGLGSSQGNSSYQGNSSSQGNSSSQGYQRYQRRQYQQNQPQRHYKQQEQTLITSADDTSDDIQAVTHINNSESQQSETKIQLDIVPPHAITLESNMDNNTSDMDYNTSDSTERKPLPVIMMTIDLPFTDKDGNEHLGTVFIDTGSNTSYISSEFAEKLQLTPVGSKKLRVNTFGSTTPQSLHANIFNVLVKTKTSTTSINLCEVPYIASNIITVDADQITCDKLLKGEQVQLNRQLKDIDVLIGLDNSLELLGQMKTLRLSSGLQLHMTDCGQILSGKEQHQEDVNTFSVIENQHSTAKNQHPTTKDDLCLQLQQFWLLESIGILDKNPHCKAEEETNEFFRRTTTRQSDGRYVVRLPYADQLKIPSNRALSYGRLQSAYRQLEKNPEMLQKYAAIFTEQLALGFIEEVVNEAVSDGPVVSYLPHHPVIKESSSTTKVRIVFDGSARSNKSIQSLNDHLQTGERLLPDISAILLRIQQHSILISGDIEKAFLQLSLHVSDRDATRFLWKDPTNGRIICYRYCRVPFGLKPSPYLLNKTVRTHLKSYDHPWAQIMVNSFYVDNVFMGLDSTTDAKQFYVFVKKLFADAQMNICQFVSNSPEVNEFFAENEQKPVLDRIQKLLGISWDTITDEMIFTLPTPKAEVLTKRTSLKSIASCYDPLGLLTPVTLHGKLFFQQLATANNSWDLPLSQCQHESWNKVCEKWKGTEWRIKRQLFSEDFNLNSDQIELHLFTDASQAAYGCVAYLRILNTDGKAASRILISKSRVAPISSKYSIPQLEALGILTGVRLGNYCIEQLGLQIKQAYLWTDSLCNLDSLYSKSSSGSRFVKNRIREINEHGEQFIFTHIAGRNNPADLLTRGLTFMELQQSSLWFNGPSFLQSTNALPLRQNSNKSSPVTSALTITHLPPIDTKRFSTFHKLLRMVMILVHFITRGKCSEQEKITRAKRLIFRLAQRSDPPSAETISALQLRKDDDNDLWIFDGRVPSRPLIFIPHGHICSLLTMEIHQRHFHASPAYTLSKLRQQFWIPKALSYTKRICKQCLGCRRINITPYSQPPFPPIPDKRTLPSRPFQFCGTDYAGPLLVKIGNSPQKIWIIVFTCLYSRYTITEVVTDMSTHAYLNALRRLSSLFGTPKQLLSDNASQFQLLGAVFNHVKNTMSTNVNNIDSTTFPAIHFLTPHSPWEGSVYERIVSLIKRALLRAGSSTTLFELDDFRTILMECVSVINMRPLTYQSADENIEPLTPTDFIFPHGRMTTDQLLILKTPQDFDDLPWTKRSLAEDWDRLSSVTEDFKRKWHQDYISVLQARKDFFHHQGKTSTYQPQVGDIVLINQPSTKSTTWPLAKILEIKERSAIVKNGRRKRIVEYPFKLLFPLESATTTDIQHADADSSLPPAPISTDTSTTSTRNSQEPQIRRSSRLRTPTQFFTALSILALITGTEGFHMCQCTSTTTVTDTKNSKPSVINTGQWISLAGLLLLFFGIHGWINCFLVLQCIFKYIEKGIQSMLLLIQLVSRYFRPNRPLAQMIIVLLFMAQGCLGCNEIASIQSTDDVCTLSADNNICHLNKIVSLHIRPNAQVGCFSISNNINEVITKIEIKANAIVSECNERSHHFTRQIKINHFYSHRCAGMGSCTGSKCEDLQPEDGITEFPAIAMDGPGFSRCYRSCGCVTCDCGSCTPSCLFSRISAEPLSFSIYEIFQCPTWNTKLEISVTINNITTVHYIDHGIPLQLENNMSLVITGFSTPPSPIHGALFLRRINLDGPSGISYSLSQPAEAGRPLKGTLSEVQCSTAEDATDFNCIFDEDVCNCFPQGTVLKCDCLVLDIEEIMIRNAINNTAVEGSIILQHEDNKVKTRTTSSGLLSMQLQLTNYTIHRMVKEDNCEIKKAVVTGCHSCSTGGQIMVFCKSTTFPHIISTIECSSFTSFANCSVHGETSTLQIFSNQINIAENCSSYCGPKKFFFTVNGTLSTVATFNKSLSQKFVRVQELLSSNTIWSDIMEYCSSIWNQITDYIGSIMEHWLLSIVITIICILLLIRCCRFCFCCRYFRRREYRRYYRRKFRI >CRE14432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1782:1151:2207:1 gene:WBGene00068777 transcript:CRE14432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14432 MSSDFPLLRLPEKALNLVIQCMEYIEIVGFSLASNKTKETVKALNLQITTLYLTTEEIIKVHVEGPSRMFWNFYPGEENAGPEPIPVYMPARVTAMPGNTREILEYLNPGYSIQKWIDTVQYIFSHPVIDFLIFKRETCKFDMSSLMKTIGTATVKTFLFYDRCGVESAKMAVRQFPNTKIMLAVCRDLEEPSRYKDILVQNWDGLILGHNDVIMHMEFDDLLLMNSKEISIRSRDIKDKMINQFLKHWIRGSNPRMEVIQLDILDGRFLDINAILKGLNFHEIPLDQVRLFTKPATEETIEIVGGYDIRRVNRSVGTFKIEQREEGGAFTFCVWN >CRE03360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:64572:65628:1 gene:WBGene00068778 transcript:CRE03360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03360 MSSPFPLLRLPEKALNLVIQCMEYIEIVGFSLVSNKTKETVKTLNLQITTLFLTTGEIIEVHVQGPSAVVWSFYPGEENAGNEPIPVYMPACVTAMRSNVREKLGYLNPGYSIQKWIHTVQYIFSHPVIDYLIFERERCKFDMNSLMETIGTAKVKSFLFYDRCRVGCAKMAVRQFPGISRMYARSQNMVETSRYTDILMQNWDGLILGQGDVIVRMEFDNLLLINSKEITIRSRDIKDKMINQFLKHWIKGSNPRMEFTLLLFPDGRILDKNAILKGLNFHEAPLDQVRLFTKPATQERVEILGGYDIRRVNGSVGTLKIEQQDERGAFIFCVWN >CRE03381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:63190:64242:-1 gene:WBGene00068779 transcript:CRE03381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03381 MTTAFPLLSLPDKALVLVAGCMKYTEIVLFSLASNKTKEVVKSLNLSVKHVSVTIKDIIRILFDTDDDSPSSMVWSFYPREDNAGHEPIPVYMPACVSVASVRDIVTQQNLMKYQNPGRSIREWVDHAQYIFSIDKIGCLSFKNETCQFDWISLKNVFGKIDISTLVFNELCSLECAQLAVRHFSSARCVTAFCSSFNDPSGYRNILIQNFDALVLGYEDMSLKIGLDDLLLMNSKEISIQSETLTDKMINQFLKHWIKGSNPRMEKAHFNFVNNQIVTKETILKGLHYQGMLLDDVNVNGQNTEVYYIFRRDGTAGMISIERDDQENVVHFSIF >CRE03359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:60118:61174:1 gene:WBGene00068780 transcript:CRE03359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03359 MSSDFPLLRLPEKALNLVIQCMDYIEIVGFSLASNKTKETVKSLNLQVSPLFLTTEEIIKVHVQRPSRMFWNFYPGGENAGNGPIPVYMPARVTARRGLTREILEYLNPGYSIQKWIDTVQYIFPHPGINCLVFKRDRCKFDMNSLMETIGTAKVNAFFFDDRCGVDCAKMAVRQFPGISRMYARSQNMVETSLYTDILMQNLDVLFLGEGDVIMRMEFDNLLLINSKEIKIRSRDITDKMINQFLKHWIRGSNPRMEFTLLLFPGGRILDANAILRGLNFREAPLDQVRLFTKPATQEPVEIMGGYDIRRVNGSVGTLKIVQDDGRGAVIFCVWN >CRE03378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:57542:58482:-1 gene:WBGene00068781 transcript:CRE03378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03378 MSSPFPLFRLSEKVLKLVIQCMEYIEIIGLSLLSTKTKEIVRRLDYNIAQIDMTVEDVIRIRFNASEDNPTSLYIFSIEKIDNISFANETCVFDMSSLQDAIGRLNIHTLLFKDFCSLECAQLAVRHLTSVRSVNAYCPSFDDPSQYRNILIQNLDSLVHGYEDMFLKVGLDDLLLMNSKDISIQSPTLTDKIVNQFLKHWIKGSNPRMKNAVFEFADNQVVSEEIILKGLRYREVLFDDVGVDGDNEKVEGFEILRKDGTAGTLSIDQSFEGYDVHFKVF >CRE03358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:50162:51996:1 gene:WBGene00068782 transcript:CRE03358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03358 MYLELDVVFQRVLFENEWDEVEDQEYEPGLEEEEEESRKVVVRQRKAEKRKTSVKARNDKKKVKSEDISAACAIFKKEWEVNQIKEEEAKNSMLLVCNGNSYGKDHPKDCRRCGYECESQAYILQHCTYNFSTGITQRHDRVLNRILHEVIKGRKNNDYYDIMVDTEPGPTRERPDIIMIQKDGPEVLLADVTVPYENGVVAIEAAWEWKIEKYSHFIEYFARQGKRAVILPLVVGSLGTYWPDTSNSLKMLGLSDGQIRNLIPDISMIALESSKQIYWRHIFGDSYRIVTELYCTKNKQEARFGDEPMENVQVSDRFQPFKTREREKKSEEEKKRRSKSKRGKTWRGSKKH >CRE03357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:44587:47143:1 gene:WBGene00068783 transcript:CRE03357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03357 MAPYQPTTDFQTHHMFQPEPMASYDPFHNARTQTNGHYFQQSMTPGTTSVGEFENRNYLTKQQKLCVAQKLQLPEYTIEVWFSNRRAKRNKEKNLRNKSLFGTMDSSLSNKELTSTEGSVTEKFENRNYITKHRKCVLLKSCNYQNTQSRQKWDKEHRQRQHPIWTD >CRE03356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:28086:30512:1 gene:WBGene00068784 transcript:CRE03356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03356 MVPYNYAAIQAVQTLQAQEAEMKRQCEEGKMHYRQEILELKPKIAERQVRVDEYNRKQETLKIVEVQERADEYNKKFVEDIKKDKVLLGNYKKSLEDHSEKLQEFVKKEYQELDEKVAETANQEGLKSELKELERLLKLRKMLRERFGVKRTQDLEEFQKAIAAQVKQADNETATSFEQAVEICGRLGHSGGADVGYGMRYL >CRE20601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig428:5545:5946:1 gene:WBGene00068786 transcript:CRE20601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20601 MNLKISCGSVQTIVKRQFNNKSYKLCKGQFFSAQSKALRLEKKLLADLQVRRVSDLIWTNEKIFTFEPLFNRQNQRQLLSQGDSNSPKRRQAHEPLFPKSFMVWPELTSNGKTLFVFINRNEKINSGSAKIWF >CRE06127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:2131:3205:-1 gene:WBGene00068787 transcript:CRE06127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06127 MERSFPLFRLPENAIIKVFKNLCLGQLFFISLVSTKTKKLVTSLGLRADFVKISISKLLHVSLDIGRSHFNLMLYNYTNDPNGELPGDITLPVEIQKVFIQNLNCILFDDVYSLDDMLLVNSEKVKFIRPISQKQFNRFVKHWIRGSNPRLQDMSLAIDKIDFPSGELYLNGIRCTAMEEKAKQEIRENYSLSVNADMVQVRRKDGTPTVVVTKDSENVLYVRFIVLY >CRE06098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:12119:13129:1 gene:WBGene00068788 transcript:CRE06098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06098 MEPTFPLLKLPENVIVQVLQNINPDGLLILSLVSSKTKNLVTSLGLRASSVYIDISYDIGITVYIGRFGWILVFRNNSNDQNVEFDITRPISASCLGQYKLFQLSTPFNFGDWLDHIWTVFSCTEPLDVAFRPGSDRFGMESLKKMMKNVSCLTITAGVTDIQSKRILKSFKDYNELTLRINPFKESCEIRKFFIQNLKLFVFYDVYSLDDMLLVNSEKVYFRRPTTQKQFNQFTKHWIRGSNPRLQDMSLSINNSVSRDALLKGIHCINVAKEEQEEICRKHKIVSDYMVEIRRKDGTPAVIATKDFNNILHIRFLVFY >CRE06130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:14135:15112:-1 gene:WBGene00068789 transcript:CRE06130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06130 MEPTFPLLRLPDNAIIKVFQNLPLGTLFFISLVSSKTKKFVTSLGLRADRVDIRIDRLSEVVVHTQVPYFNLPLLPFTLLEFKDWMNHIRTIFCYTSPPNVRFFQGCERFNVQSLKDAIENVNNLLLSRELTNAFSRNVLKHFNIPNNLCLRKNPFEEVLEIQKIFLQNFESIAFHDFFSLDDMLLVNSQKTIFTHPTTQKQFNQFIKHWTHGSNPRLQYLYLLINKTDVVSGEVYLKGIRCMEMSEDAKREIRQKHRLSVNADMIQIRRKDGTPAVIATKDENILYVRFIVLY >CRE06131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:15852:16912:-1 gene:WBGene00068790 transcript:CRE06131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06131 MEPTFQLLRLPENVIIEVIKNFPLTQLFEFSLVSSKTKNLVSSLELEASDVHILICRSIRVAVYIGENHLTLDFYRGSNNQNAVADMTLPVDAPIDFEGTRIQTSILFNFSNWLKHIQSLFCLNQPLNVFFYHGCERFEVQSLKDAIGNVNFLSLCNQLTNVLSREVLKHFNTPSRLFLWKNPFEEACQIQQIFLQNFETISFRNFFSLDDMLLANSVRVDLWHPISQKQFNQFVKHWIRGSNPRLQRMDLPIDKTDFASGVVYLKGIKCMEMSEDAKKEIRRKHRMSVNADMIQIRRKDGKNAVVGTEDSQDTLLIRFVVLQ >CRE06132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:17556:18622:-1 gene:WBGene00068791 transcript:CRE06132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06132 MKLTFPLLRLPENVIIKVLKNLWLSQLYLFKFSLVSSETKNLVTSLGLKASDVDIIISRSIRVAVYVGKNLKNHLTLDFYKDSNDQNAVADISLSVDAPFDYEGTRIQTSILFNFSNWLNHIQSLFCLNPPLNVFFYQGCERFEVQSLKDAIRNVNFLSLFNQINVLSREVLKHFNTPSRLFLWMNPFEEACQIQQVFLQNFETIAFRNFFSLDDMLLANSVRVDLCHPISQKQFNQFVKHWIRGSNPRLQYMHLPIDKTDFASGVVYLKGIRCMEMSEDAKKEIREKHKLPVNVDMIQIRRNDGTPAVIESKDLDDRIHIRLIVLH >CRE06099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:19088:20104:1 gene:WBGene00068792 transcript:CRE06099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06099 MEPIFPILKLPKNVIVHVLEGIDFTQLLIFSLISLKTKQLVTSLGIEARDVDIGINRKISINVHSTKSYSSLNFYDELSDQNELSLVDINIPVAATFEYLYTRIQSPTPLLSFNNWLNHIRTIFSYTKPLNVYFREGCERFEVQSLKNALGNINTLFLTGLPDDSSRKILKNFNAPSELFLYRNPFEDTCHIQQILISNCEILRFVDEYSLDDMLLINSEKVKFYRSATQKQFNQFLKHWIRGSNPRLRRMSLLITGLVDGEVYLKGIRCMRMSKAAKREIRRKHNLSDFLHMIQIKRKDGTAAVVASEKSDDMHYVRFIVLH >CRE06103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:26025:27055:1 gene:WBGene00068793 transcript:CRE06103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06103 MEPTFPLLRLPENAIVHVLENMNLNQLLIFSLVSSKIKRLVTSLSIEANDVTIAIFSKISIIVHTTKSHLTLNFYDDSNNQNEVLPVDISLPVAASFNNEDRTIQSSTPFNLSDWLHHIQLVLCCNQPLNIYFDLGCERFEIESLKEIIGSIRTLIPNSLLTNESSRNVLKCFNTPNKLYLFKNPFEDTSQIQQIFIKNYNGIVFRDVYSLDDMLLINSEEVNFYRPISQKQFNRFVKHWIRGSNPRLQYMFLKIDKTDFVSREMLLKGIQCVDVGKEKQQEICQNHGIGIVSYYMVAIRRKDGTPAVIATKEFQNVIFIRFIVMY >CRE06104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:27870:28884:1 gene:WBGene00068794 transcript:CRE06104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06104 MEPTFPLFRLPENAIVHVLQNINSDRLFIFSLVSTKTKNLVTSLGLRAVSVYIRISFEICLNVNFDTSGWNLIFSNGSDDQNAEFDITLPISASTFSLHQPFQPSTPFNFSDWLNHIGTVFCCTNLLTVQFGAGSEQFEMESLKNTLNNVNGLAITEGVTDIHSKQILNSFKDLNELALESNPFEDTREVQKFFIQNFEKIEFRDVYSLDDMVLVNSEKVRFTHPTTHKQFNRFVKHWIRGSNPRLQDMFLSIDNTNSVSREVLLKGIHCVDVAEEEQQNICEEQGIVSDYMVEIRRNDGTPAVIATKDFENILYIRFFAYY >CRE06105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:31784:32851:1 gene:WBGene00068795 transcript:CRE06105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06105 MKPTFSLFRLPENVIVKVLNTLYLGQLFAFSLISTKTKNLVTSLGLRAESVYISISWNITLVVCTERFLIVINFHEDLNAQNEFLFADITLPVDAYLPFQDTTVQSPIPFNFIDWMNHIRTVFCFTKPQKLRFYRGSERFNIGSLKHAIGNVNAFHVSSELTDAYIKEVLKLFNAPSDMSLDRNPFDEAWEVQQFFIQNYKVFTFFDVYSLDDMLLVNSKRVNFYCPTTRIQFNQFLHHWIRGSNPRLQSMFLSIDITDYVSIEVLLKGIHYIDVAEEEELEICQKHNIVSDYMVQIRRKDGTTAVIAMSEREPILNVHFVVLY >CRE06134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:36838:37494:-1 gene:WBGene00068796 transcript:CRE06134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06134 MQPIFPLFRLPENVIVHVLQYMDPKQLLIISLVSTKSKNLVTSLGLRARNVYIYISREISLPVAIEGYIFALKFYDDSNIQNELLSVDITLPVDALLLFVNEAIKSSTPFNFSDWLDHIKSVFCYAKPPNIKFYRGCERFEIQSLKEAIGNVDFLHVDSEVTDVYNKEVLKHFNAPNKLYLGRNPFDETCEIQLHSLSKTSK >CRE06106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:38140:39264:1 gene:WBGene00068797 transcript:CRE06106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06106 MERSFPLFRLPENAIAQVLQNMNSNKLFLISLASSKSKNLVTSLGIRACDVEIRISREISFSVRIGVFLLNLILKANLNDQNAALPADITLPVDAFLSLERKRIQPSIPFNFGDWMNHIRTVFCYTNPQSLGFYQDCERFEVQSLKNTIGNVDVLYVASEVTNVYSKEVLKVFNASNKLFLERNPFDEACEIQQFFVQNYKRIGFRDAYSLDDMLLVNSEKAKFTHPTSPNQFNQFLKHWIRGSNPRLQNMFSLIDNTNSVSREVLLKGIQYVDVAKEVRQEIYQKHSINSVHMVQIRRLFFYRSFFMPLCRICFPCIFLFYYFSFPTNKLFSSFSLLICLFLISQMHNSFIRVRNEA >CRE06107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:41155:43662:1 gene:WBGene00068798 transcript:CRE06107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06107 MEPTVPLFRLPENAIIHVLQNMDLKQLLTISLVSTKTKRLVTSLGLRACDVFIEISRVIRLNVEIGGSRFVLDFYNDSNVQNDITLPVDAFFQLEFKRIQSSTPFNFSDWMNHIRTTFFCIKSPSVCFDQSSERFDIGSLKNAIGNVDNLSVTYEVTDVYTKEVLKYFNAPNELYLERNPFDEACEIQKLFIQSFEWIEIDNHSLDDMLLVNSEKVNFWSPTTPKQFNRFVKHWVRGSNPRLQRMSVSIDITDSVGREVLLKGIQFVDVPEEEHQEICQNNDVVCDHLVQIKREDGTSAVIAMNRIENVIIEVLRSTDHAEQLLIFSLVSTKAKNLVTSLGVRARIFVEIYAGISLSVRFGPRSLWGFGFRNDSNDQYAELDITRPMCPNYIFPYKIIQQSTPFYFSDWLDHIRTIFCCTEPPGVAFWQGSERFELELLKNTIKNVSCLTISDEITDIQSKRILNTFENLNQLNLYGNPFEDTCQIQQIFIQNFGMIRYHDVYSLDDMLLVNSEKVKFWRRISLKQFNQFLKHWIRGSNSRLQCMDLNIEDSESVSRDMLLKGIQCVDVAKEEQLEICRKHRIASDYMVKIIRKDGTPAVIATTNDGNYPNIHLIVLY >CRE06108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:44642:45661:1 gene:WBGene00068799 transcript:CRE06108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06108 MAPTFPLFQLPENVIVQVLQNVNPHRLLIFSLVSSKTKSLVTSLEVRARKVYIEISDVIDISVRFGPRSLWGFGFCNDSNDQNAELDITRPIFPTCLFQYKIIQQSTPFYFSDWLDHIRTIFCYTKQPEVSFWLGSERFELESLKNMIKNVTCLSISDESSDIRSKEILNTFKDLNKLSLYGNPFEDTCEVRKLFIQNFGMIRFSGIYSLNDMLLVNSENVIFWSSTTQKLINQFLKHWIRGSNPRLQRMSLSIHEIDSVSRDVLLKGIRCVDVAEEEQLEICRKHRIASDYMVEIRQKDGTPAVIATTNDGNYPNIHLIVLY >CRE06109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:47143:48159:1 gene:WBGene00068800 transcript:CRE06109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06109 MAPTFPLLQLPENVIVQVLQNIVPDRLLIFSLVSTKTKSLVTSLGLRARNVNIYISYEISITVNVGRYGWNLIFDNDPNDQNAEFDITLPISASTLSLRIAFQPLTSFNFSNCLDHILTVFCYTKPLDVDFGQGSERFEMESLKTILKNVNCLTIPERVTHIQSKQILKSFKDLNELTLESNPFEDTCEVRKFFIQNFEKIEFRDVYSLDDMLLVNSEKVRFTHPTTHKQFNRFVKHWIRGSNPRLQRMSLSIVDSNSVSREVLLKGIQCVDVTEEEHQEICHNHEIVSDYMVQIRRKDGTPAVIATKDYENILYISFFVSY >CRE06136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:49440:50918:-1 gene:WBGene00068801 transcript:CRE06136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06136 MSKSSSSSIDNSKTKKSRVTDSVVSNETEERHETETGGHSDPRLINETETDPVANEREPVDFQPIRLSHEYQNTELRTVRFSEEPRNIGTQLMGFRDKQQKKVPVKIDSDEHYTNESVTSRNTVSHQIRPKREPHPVVPPQTTFPNFNNFTNEDSFDGSNYGTSSSHLFTNGNERYQHDMHSNEYLNQFNRQIHNYATHSTFSPSALSRNPVEGVSSTGPTYTTQELLRKCIRNGILDLFEAGRIVYDSYLRRIPEIDRDCEVFAKKWCSEVSSFSMPPPQMISTHDYSAIMMHGQLLYQVLTSKKLPPLTPLTNEGEPEFRGLVGAIYRTKGLGLKYPTFTPFSGGEIYLVDRPNERSRRNDETHIVEKGNLPGRTLLKY >CRE06112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:55659:56675:1 gene:WBGene00068802 transcript:CRE06112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06112 MEPTFPLFRLPENVIVQVLQNMNPDRLFFISLVLSKTKSLVTSLGLRARNVRIYISNEIALYVSIGRFAWNFIFSNNSNNQNAEFDITLPISASTLPLRKDFQLSTPFTFSDCLDHIGTVFCCTNLLAVAFEPGSEQFKMESLKTMLKNINCLTISERVTHIQSKQILKSFKDLNELTLESNPFEDTCEVQKLFIQNLKVIRFNDAYSLDDMLLANSVRVDLCHPISQKQFNQFVKHWIRGSNPRLQRMSLSIVDSNSVSREVLLKGIQCVDVTEEKQQEICRKHRILSDYMVQIRRKDGTPAVIATKDYENIFYISFFVFY >CRE06113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:58286:59304:1 gene:WBGene00068803 transcript:CRE06113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06113 MKPTFQLLRLPENAIVHVLQNMNLKQIFIISLVSSKTKSLVTSFGIKARDVHIGISRRIRINVYTTKSHFTLSIYNDPNDKNELFYADITPPITDYFKYQSRRIQTTTPFNFSDWMKHIQLVFCYSQPLDLGFYQYCERFEVQSLKDAIGNVDVLSVAGQVTDVYKKEVLKCFNAPNELFLERNPFDEVCEIQKIYIQNYKMIAFPGVYSLDDMLLVNSEKVRFTKPISQKQFNQFLKHWIRGSNPRLQYMFLSIDNTDSVSSEEPLKGIDCFDVAEEDQQEICRKYRIKSYYMVAIRRKDGTPAVIAAEDFLNVLCVRFIVFY >CRE06115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:63110:64127:1 gene:WBGene00068804 transcript:CRE06115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06115 MEPTFPLFRLPEKAIVHVLQNMNSDRLFIFSLVSTKTKNLVTSLGLRAVSVYIGISFEICLNVNFDTSGWNLIFSNGSNDQNAEFDVTRPISASTFSLHQPFQPSTPFNFSDWLNHILTIFCCTNNLLAVKFRAGSEQFEMESLKNTLKNVNGLAITEGVTDIHSKQILNSFKDLKKLALERNPFEDNCEVQKFFIQNFEKIEFCDVYSLDDMLLVNSEKVRFTKPISQKQFNQFLKHWIRGSNPRLQDMFLSIDNTTSVSREVLLKGIDCVDVAEEEQQEICQELSIVSDYMVEIRRNDETTAVIATKDFENNLYIRFFAYY >CRE06137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:65118:66226:-1 gene:WBGene00068805 transcript:CRE06137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06137 MEPSFPLFRLPDNAIVHVLGNMYFDHLLNISFVSTKARTLVTSTLLEADLINIKVSRFIDIEVRFRNKTTMHLYFYYDGNDQNAAQPVDDPNRLSPVDITLPVVASLLFNYIPIHSSTPFNFIDWMNHIQTIFCFAKPPGVRFYHECERFDVQYLKEAIGNVDVLRVESEVTLIYSKEILKYFNTPNELFLERNPLEKVSETQKIFIQNFKMFEFDDVYSLDDLLMVNSEKVRFRHPISQKQFNRFVKLWINGSNQRQQYMLLAINKNDFVSGEVYLKGIKCMEMKEEAKKEIREKHRLMESVDMIKIKRKDGTIAVIGIKDSGDTLYVHFIVQH >CRE06116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:67935:68953:1 gene:WBGene00068806 transcript:CRE06116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06116 MEPTFPLFRLPENAIVQVLKSMTPNQLFFISLASSKSKNLVTSLGLRARNVEISIFGRISVFLFTTNFNLTLNFYNDSIDQNELSPVDITLPVAASFENQGTRIQPSIPLLSFSNWLNHVRTVFCYTKPPNVLFYHDCERFEVQSLKNAIGNVDVLYVDIEVTNVYSKEVLKHFNASNELLLERNPFEEACEFQQFFIQNYKTFTFYDVYSLDDMLLINSEKVNLYRPTTQKQFNQFVKHWIRGSNRRLQYMYLSIDDFVSREVFLKEIRYVDAPKIVREEICQDHGIGGVHMVVQIRRKDGTAAVITTNGFLNVLYVRFIVMY >CRE06117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:69875:70874:1 gene:WBGene00068807 transcript:CRE06117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06117 MEHTFPLFRLPENAIIHVLQTMGLKQLLTISLVSTKTKRLVASLGLKACDVFIEISRVIRLNVEIGGSRFVLDFYNDSNVQNDITLPVDAFFQLEFKRIQSSTPFNFSDWMNHIRTTFFCIKSPSVCFDQSSERFDIGSLKNAIGNVDNLSVTYEVTDVFTNEILKYFNTPNELSLERNPFDEACEIQKLFIQSFEFIEIDNVHSLDDMLLVNSEKVYFYHPTTQKQFNRFVKHWIRGSNPRLQRMSVSIDITDSVGREVLLKGIQCVGVPEEDQLEICRNYNSNNLVQIKREDGTSAVIAMNRIEVILNVHFIVLY >CRE06118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:71960:72690:1 gene:WBGene00068808 transcript:CRE06118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06118 MEPTFPLFRLPENAIVQVLQNMDPDWLLIISLISTKIKHIVTSLLLKAGDVEICISDMICLKVHIGRSLLALNFYNDSNDQNELLSVDITLPVDAFLPLESKTIQSSTPLNFSNWLNHIQSVFCYTNPLNLDFHRYCERFEIQSLKDAIGNVDVLYVTSGVTNVFTKEVLKFFNASNNLFLERNPFKETHQIQQILIQNYTIIGFHDVYSLDDMLLVNIIGLRWSKMV >CRE06138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:73896:74915:-1 gene:WBGene00068809 transcript:CRE06138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06138 MQPTFPLLRLPENAIVQVLQNMNPNQLLIISLVSTKSKNLVTSLGLRASDVYIYISREISLPVAVGGYIFALKFYDDSNIQNELLSVDTALPVDALLLFVNKTIQSSTPFNFSDWLDHIKSVFCYAKPPNIKFYRGCERFEIQSLKEAIGNVDFLHVSSEVTDVYTKEVLKHFNASNEVSLERNPFEKSCEIQTLFIQNFKMIVFEDVYSLDDMLLVNSEKVRLYRPTSQKQFNQFLKHWIRGSNLRLQYMLLLIYNPNSVSREVLLKGIHFVDVAEEEQLEICRKHNIVSDYMVQIIRKDGTPAVIAINKREAILDVRFIVLY >CRE06119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:75231:76237:1 gene:WBGene00068810 transcript:CRE06119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06119 MERSFPLFRLPENVIVHVLQNMDFDQLLTISLVSTKSKRLVTSLGLRACDVFIEISRVISLNVEIGGSRFVLYFYNDSNVQNDITLPVDAFLRFECKRIQSSTPFNFSDWMNHIRTIFFCIKSPSVNFYRGCERFEVQSLKDAIGNVNYLSVSSKATDVYNKEVLKRFNAPNELSLERNPFDEACEIQKLFIQSFEFIGIDNVHSLDDMLLVNSEKVNFYRPTTQKQFNRFVKHWIRGSNPRLQHMSLSIDITDSVDREVLLKGIECVGVPEEEQLKICQNNDVVCDHLVQIKREDGTSAVIAVNRIEVFLNVHFIVLY >CRE06120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:77303:78324:1 gene:WBGene00068811 transcript:CRE06120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06120 MEPIFPLFRLPDNAIVQVLQNMNPNQLFLISLASSKSKNLVTSLGIRASYFEISIYREICVYVRIGRFPLNLFLNANLNDQNAALPADITLPVDAFLSLERKRIQSPIPFNISGWMNHIRTVFCYTNPLIIGFYQDCERFEVQSLKDAIGIVDVLYVTSEVTNVYSKEVLKVFNASNKLFLERNPFDDTCEIQQIFMQNYKRIGFHGVYSLDDMLSVNSEVIKFWRPTTHKQFNQFLKHWLRVSNPRLQDMFLSIDNTNSVSREVLLKRIQYMDVAKKVKQDIYQKHSIVSDCMVQIRRKDGTAAVIVTESLQNIRFVRFIVLH >CRE06139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:79606:84323:-1 gene:WBGene00068812 transcript:CRE06139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06139 MLMPEQSSISQFTKSNGLWGNYHRLTESTFPSLLPPPSYDSLISPKSTVLRSEKIEFLQEDPTSIFLMKVPSELKNMDEKKNTPFGSMLSATKSFSDKYFEDKKHTAGKYWENTGKKMEASKLTATYPIVESRFDKIVEEPETVNVEMSVDSFKSERTAKDIHRNKDSTSIDLWNPTFFTVDNKEGNKKFNHMDELMESNVEVYREQNLGREDYPMFECLKKNHERSLSFANHSTPSCALSLSMTLHSHSNEDFGMETIQSSNSDFCRPKENQNYWRNEAKRRLEKEKKSKTKQKSSKKDDKSKEIDPDTSARVLRKLEENRVSENGLNQSELQYLQNFSSRTTFALQKEELQARNEEKRILHMEATQDGYSYKPYNSNQTVAVKTEIIKENKKYNGATEKIEKKKKLKKSNGNEMKTRNWVSWKKFKNLFRSDKRSSNDIQDGSEATVTETDGASSKSNKNKQKRRVKEQKNLIEKDQNEKSDNYNDNTQEKLKNDHEKTDKTMRNDQENQAQAATEKNPNNASSESYGHINQREIHGPSFGKESGLQASLRFGLEDIDVEAALKKNSKMTDQGVFHLLYEMVGDYNTGKEESFQFGLIHPNFLNDYFDVQSTQSFTDAFSEYTCFVRAIKPQKLLMPILRRDHFALVVFGKDSENSQMIRVHVLDSSPGQRMITENEAEKIAHEYFGENIEDVFIERAEKEEAQKQAFGSNDCGVFTCHNAKRYLEANTESLRKLHYMMKLQDDDLIIDTSATRKANADILKILKVAQDTYQENYVVPFAPVQHHSDLSDLPSEESKIDKSSNGSNVSGDYRKIDERSIRSHLTNKRINGKMFKKFELRQKKKGRIGKMKADENIIENVITAEKVVKEINKAIAEVKDRNELKKLYNAKKILEDQTKIFSEPSSTSEEISCGSDFSPPRVNKYTPLRLTEKQKKFIIQKYDTKEISLDEIIRRFHFPASKKDAKCEIRRIRKEIRSQKTNQRHALELLNDRVVNMVKAAIDNNDEIHEDFIQRTGMNQAVCFGVKNFKASRNWVAGIKKRLNLVSRHIDRRIVKPKANSGPSEEEKVRKFLLTEIPRLKRKYAPSHIFNVDQTSVKYEMTKNRCLDVRGKKKVEKYAQRKNALSHSFTVNPCISAAGEIIGKTFITLAEQQTPRSFQRMVAPFTELYVTNTKSGMMTSDLAIEWLEKVFMPVVPKDSVLILDAWPGWKRMIEENTVNSKNLEFVVLPDGTTSKLQPLDLCFNRQLKNFIRKLETQIRYTHSNIIIARRERQLQIVQYILNQFKAPRYKGLMERGFFLNQILDKRPAYFETPDQYCMNVKATGGKLCANCRQFSYCKCSYPTCGILICASCALEHLHP >CRE06121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:86732:87698:1 gene:WBGene00068813 transcript:CRE06121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06121 MDLNQLLITSLVSLKSKRLVTSLELRARNIEINISRAISLNVYFGRAHFLYISYNNSNDQNAALPADITLPVAASFQYKGTKIQSSTPLFSISNWLNHIRSVFCHTKPLDVRFHQDCERFEVQSLKNMIGNVDVLYVAEEVTDAYTKEVLKHFNARIELSLYRNPFDETCEIQKFFIQNYKRIAFWGVYSLDDMLLFNGKKVRFINPISPKQFNQFIKHWIRGSNPRLQTMFLMTHNSNFLSREVLLKGIHCVDVAKEVKLKIHQKHRLVSDSLVQIRRKDGTPAVIVTDNLVLLNVLYVRFIVLH >CRE06140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:88669:89686:-1 gene:WBGene00068814 transcript:CRE06140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06140 MEPTFPLFRLPDNVIVQVLQNTNLNQLLIISLVSTKSKNFVLLLGLRARYMSISIWHRISSYVYIGNSSFASRFYDDSNDQNKLSVDTTLPVDAFLPFENETIQSSTPFNFRDWLNHIKSVFSCTKPPSIKFYRGCERFEVQSLKDAIGNVDFLYVDSEVPNVYSKEVLKYFNAPNKMTLVKNPFGEACEVQKLFLQNYERIAFRDVCSLDDILLVNCEKVELCHQISQKQFNQFIKHWIRGSNPRLQYMDLSIDNSHSVSREVLLKGIHFIGVAKEDQVEICRNHLIISDNQVKIKRKDGTPAVIAMNEKRDILKVHFIVLY >CRE06122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:90247:91313:1 gene:WBGene00068815 transcript:CRE06122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06122 MEHTFPLLQLPENAIIIVLENLRLGQLFELSLLSSKCKNLVVSLSLRVRVAYITISRKISLIVYTDTSVLVLHFYNNLNDQNVALPADITLPADAFLSLEKQIIQSTTFNFSDWLNYIRTVFCFKKPPSVYFREGCERFEVQYLKEAIGNVDVLYVANEVTGVYNKEVLKRFIAPNSMSLGRNLFDETCEVQKFFIQNFERVEFNDVYSLDDMLLLNSEKVKFYRPTTQKQINQFLHHWIRGSNRRLQRMSLSIGDTDLVRREVLLKGINFVDVAEEEQLEICRNHGIDSNNLVQIRRKDGTPAVIAVNERRPFLNVHFVVLY >CRE06141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:92133:93240:-1 gene:WBGene00068816 transcript:CRE06141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06141 MERSFPLFRLPENVIVHVLQNMDFDQLFLTSLVSTKSKNLVISLRLKASDVTIFISHEIRIDVEMGEVEEPIFVLKFYSDRNVQNELLSVDNTLPVDAFLPAENETTQLSTPYNITDWINHIIESVLPGKKRIQSTTPFNFSDWMNHIRTVFCYTKPLNVTFFEGCERFEVKSLKNTIGNVNYLSVSSEATDVYNKKVLKHFNTPNELSLDRNPFDEACDVQKFFIQNFELFDFEDVYSLDDILLINSEQVDLWHPISQKQFNQFIKHWIRGSNPRLQNMSVSIDITDSLSREMLLKGIKYVDVPEEDQLEICRKHRIDSDNLVKIKRKDGTPAVIAINEREAILNVHFIVLY >CRE06123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:93560:94468:1 gene:WBGene00068817 transcript:CRE06123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06123 MEPTFPLLRLPENAIVQVLKNMDPDWLLIISLVSNKIKHLVTSLVLRISNVEICISDMIILKVHIGTFLLALIFYANSNDQNELLSVDITLPVSAYLPFENTRIQSSSQFNFSDWMNHIKTVFCFSKPPSVYFREETHQIQQILIQNFKMFKFSGVYSLDDMLLFNSEKVRFTHPISQKQFNQFIKHWIRGSNIRLQYMALSIVKTGSVNSEEYLNGIKWMGTNLDAKKEVRRKHRIDSDYVVQIKRKDGTSAVIVIESLQNVLYVRFIVLY >CRE06142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:98279:99299:-1 gene:WBGene00068819 transcript:CRE06142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06142 MEPTFPLFRLPENAIVHVLKNMDPNQLLIISLVSLKSKNLVTSLELEARCVFIDISWKFSICLAIGGPIFAFDFYNDLNGQNELLPVDITLPVDAFVRFEETTIRSSTLFNISDWMNHIRTVFCYTKPLKVNFFEGCERFEVQSLKNTIGNVDFLSVAREVTNAYTKEVLKHFNAPNEVSLDRNPFDEACEIQKFFIQNYKVFTFYDVYSLDDMLLANSEKAYFYHPTTQNQINQFLKHWIHGSNPRMQQIFLSIDNTNNSVRREVLLRGIHCVDEEEQQKICQNHGFVSDDNMVAIRRNDRTPAVIAINETQPLFNVLLVVLY >CRE06124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:103403:106221:1 gene:WBGene00068820 transcript:CRE06124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-syx-16 description:CRE-SYN-16 protein [Source:UniProtKB/TrEMBL;Acc:E3NEF5] MATSSISSAAAAAKKNEVYGTTRNLTEVFMLLRSNAHQSRLIYKDDSDVIVRSRGDEERMALVELEDGRQETEEEPVWIHTADQVEFEFERVQRRLDELGEAQRKHISRPNFGDEAFEKEEKLMEQTTEQVTQMLTHCQRLIRMISGSHGKEKPMQQKLRENAAATLSLTLSQITDEFRGRQLKYLSDIQNRSRNVDNYLITTDPLIDAPNWAELEVSPSTELSMAQLQQFMNNDREVREREKEVMAVNSSIRELNTLFQDLSQMIVDQGSVIDRIDYNVEQSTIRVSKAVEDVFKAERYQRGNKKMHCICILTVAIIFVLILIIATKL >CRE06125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:106345:115750:1 gene:WBGene00068821 transcript:CRE06125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06125 MEFLSIPRATLALNYLDTNSTTHASPFSAIAELVDNAYDADAATLEINLVQHFGDYYLEFKDNGTGMSQEEVAKTILFGHSKKTSEKIGRYGNGMKSGGFNLGRELFMITKRDDIYTCLLISHAFHADNEITDEVLCPCVSMDNYGNPMENTARKFPWTLEEHEKELEIIMKYAPLRGRSLQEMLGRLTDKTGTLIIIAHLKKTGNDGKMLGIALNGNDIETRAEDATQSERSLREYLSILYLYPKMRIILCEELVEPKKICANWIGRYHSDMSASPFNDGHNKNVADTISVRDRLQQQINGYTTDTQYRNELAQTVDQVKSTRTHFNRILTPLQADLATCERILQDLQNANRKKTFKVIMGCDIQDRENNGMHFYINNRLITWGHKSAIFRSNATNTLGISLYVNVGYDVFSPTHNKQSFESQNDFKLLVKKCNALLNIYQKVMEYQWIPNHLKRQWNYQLKQDEDVWAQFWLKYGYTDATTPNLPRRALTDEAKRMVVKFCGVWLLCQSCKTWRCTDGTIPPREHPYFSCTAIHKVCYPSRSFVGTDPETKVTQVLPAQAPLPPPPVTPARRIVFEPRHQSPVDRMAANRDLGGRRMAERSVEEARRHLPRIKRTPTPEIDVVGEVEDEDSQPVEVDPTDFDESDPPGVPLIVKNEQVQVRRSVEVVDLVDDEEEQADDDQEQEDDEERVNNVQEVRPQKVYAKKPAGTKVSRRRQHDSSSDDSFGEEEEEASPKKVKTEPSTSSQRLKSTVKPAVSRPGAPDGQVLISKEHLDNYNQFLVWFNTTPISSGSKRVIDVAQMIETRQGMINREKRTAVALGDLADRVLDEFQKHSRATGIRVPARGQFGSALERLTTVNANITEAKRRKTARK >CRE06126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:125912:132913:1 gene:WBGene00068822 transcript:CRE06126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emb-8 description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:E3NEF8] MLDWIVSGLDTSDLVVLTLLAGGAIIFFVMKFLNQQPSSSRYSPTVASVTPSTVISKSNQSFIDRMKNENRQVLIMYGSQTGTAEEMSGRLAKDLTRYSKKAVVVDPEDIECEDLNRLSEIEDALLVLCIATYGEGDPTDNAVTLVEYLNAGDCDLSGVRFAVFGLGNKTYEHFNEIGKQMDQQLEKLGAKRIFHMGLGDDDANLEEDFMIWREAFLPKVAEEFGWELNTEAETMRQYQMEPVEEGKALFKGEFGRLGAYERPRPPFDVKNPYLATIAVNDELHTEHSDRSCRHIEFSVEGSRIRYEAGDHLAVFPTNDPILVDRLISMLEFDPDHAFRLVNVDEDASKRHPFPCPTTFRTALSHYVDICAPVKSHVLKAISEYCSDDGEKEFLNKLATANEEGLREYSRYIVKERRSIVDVLTDQKSCKPPIEYLLELLPRLQARYYSIASSPRINEEKIAVCAVVTKYTIGDRLINGVCTRYLTTKDAGSKSPVFVRKSTMRLPHRTSTQVIMIGPGTGFAPFRGFLQDRQFHKNAGKEVGAMHLYYGCRHPDHDYIYKEELAKFQEDQVLTHLECAFSRAQEKKIYVQDRLWETRDRIWEAINNGAHVYICGDARNMARDVQATLQKIFREIGGKTETEAVAYFKDMEKTKRYQADVWS >CRE06144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:133269:134261:-1 gene:WBGene00068823 transcript:CRE06144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06144 description:Molybdopterin synthase sulfur carrier subunit [Source:UniProtKB/TrEMBL;Acc:E3NEF9] MICVKVLFFGEAFQLVGKREESVEFPNETDYEEIRRIVLEKYPSLSKIEKVMMLAVDQEYANPGDRFELERFTEIAVIPPLSGG >CRE07867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig375:610:5621:-1 gene:WBGene00068824 transcript:CRE07867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07867 MGDSYVTEPTFVNHDTGPILAIDCHPSGKKFITCGQKANNCNGLVVVWNMDPVLDKSKASDENVPKLLFQVESQSQSNSCRWSPDGKRFAFGSDDASVSVWEYVGRINSLGSITGGAQNVERYKECCILRGHRMEVLSVEWSQNGRYLASGSLDYRVIVYNARKLPDQIAVLTECEAPVKGLSWDPIGKYLTGLEGEKKLRFWATDSWQCVTTVTEPFQNSKEETVLSRLDWTPDGKFLMTPAAVQDGQPLIQLIQRKSWKSDNFFAGHHKGTTCVRSMPRLIDVTLKNGKRSQLACAAVGSRDKSISIWCFPGPKKPLLVVNNIFNHTVMDFAWCDRNLLVCSQDGTVKVVNLSENLIGDMISNEAMVRLLARLGTRLHDSWVKWSLL >CRE07868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig375:6215:8147:-1 gene:WBGene00068825 transcript:CRE07868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07868 MLQIERVVSNKGNGDIVKEIITHNVDEEITELNLIRHRILRIWQFENTPKIENLSLRWNLLKKIDSLQCLTALTHLNLNDNQIEKIENLETLKNVEFLDISYNRITRIEGISELKKLKELHLVHNKITKIEGLEENTELEYLELGDNRIAKIDNLGHLSNLKRLFLGANQIRKIEGLEGMSGLTELSLPGNALQVIEGLDTLSGLRSLCLAQNGIRKIDGLSELKSLFSLDLNDNIIEKLENTQQVRGITSLMLRKNKFDSWQDLIQLQNLENLASLTMEMNPIYSSDYTYRNRMNQILPKLKMLDGFPTTWRQGDPYQALPDDFYDVFERLSAV >CRE07869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig375:9120:12112:-1 gene:WBGene00068826 transcript:CRE07869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pup-2 description:CRE-PUP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NK58] MTVIQKLSSPKVKGVKTTPSPTRQSTGSPCKMSQNGSSGYYEGDHTTEDDDGLVSMPEATLIECFGNLAVTKRPAHLRPPAAFCLPFVSMNNDITSGHALKHITLTSVFDALHPYVAMPYLNRIDVSNAMNVYWMNNCLEAEQSDLFHRFALEMQVHLSACFGCRVVLDIYGSTRNGFGTRFCDVDMSLSFSPSPPPWATNSDRVMRAVAKALVDFPKAVDERYVNAKVPIVRFRSSDMDMEADISYKNDLALHNTQLLHQYCKWDPERLPTLGVWVKAWAKRSGIGEASKGSLSSYAWIVMLIHYLQQVEPVPVLPCLQEMNHQKNENVYVQGYNVYYWKFVDAARSRRCRASVIDLFVGFLDYYATYFDYSSNVIQMVSKRLEYKPDRWLKYPMCIADPFETDHNLAQGVDQPMFDYIRSCMEHSKKVFTDRRLRAEFLSGYGFDVDEFDARHRSEMNLEMASQFGEYLLHKCIMVKQAPTRQFRDRSMSQSTSTSNTSSISSASST >CRE20568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:81898:93723:1 gene:WBGene00068828 transcript:CRE20568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cid-1 description:CRE-CID-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NHF5] MTNKSEKPGGNDSGGGSGSKRRRGKKGKNTPSDSQSTASSSKTTSPKIQNQKQKQPEPTSSSTTPKIQILKRDPSKNVTMPLVACVMPLMEVNLSENPLPQQPPTTPKYHQNPQNHQNSQNHQNPQNPPSTKTQNQRLYQTPEKTEKSGGGMNTRRSEANKQQTQAYYFSQNPGVAVSGDKFKQPSQYKMHNFPLERLVNIFIDGKYSLMITPTNSRCNGLFIRVESQHQDYKPTGALLSLDSDDETIRNACLETIERFDKVTQKSATDRYPYSKCSEPYLKSCLIKRLDDRLDAFPDAIYYCEKCDYHISTMSHARSHLESSSHFDDIKRQEQREHLVRHIPKPSKNHLRSIRKLLDDVLDDYKKVQEIGNEKASHILYYLQTTVFPAAIGGNRNVQLRPFGSATYDTVMPDSDYNVAYTMDLPENEPIFSMLEKVRKRIVDDGHPADHSMEMGTPSTILFTFEGVRVRLCWMSCFNYRSQLYFTDLMKTYVSLREEVVHFLQLIRLWACKAGVDSKNKPRIGLPRYGFDIMAIHFLQNQGLLPILHEMYEEDKTMEFDEQSLNSAAGDDASERSRIPPEEAGPRRMRLMSKYEMDVEKIRKKFNLTKQWDQADLFIKFFKYYVEKNRDIVVQITQTAPISRDANRWNKKVLHVVDPFRGDNVLSIPKVSTWQPYYFNCLLTTYLSFAIPRTKDGPLVEISLIHSKSAATKKKVKEPQTPKRSGEPPISTTPMQNIPEEDYNRAADLLAEEAENEKYINDLKAKLMFDGIKYKDLKPTNFEIDDHWQSVYSRKALTRFRRVWPSRLNERIAIPLEDHDLLNNKFGKKWMKRWRKGELGRSESLEMPEVSKEEEEEEDKDVAEITEKMEEMLGIVESEESQRSHSEETPVTVIEKDLVSQQSEIKDESMVMSEIEENEAEKEKDASIPEDPQTSEAIVMCIRSVEEPEAPEASDDVKDSEVASKAPEAPESIVPTKPTSESSAILTTPSKSTIQKTTATFVKTKVIPVAEKQICCEEFFIKENVDFKEIAVKAKRLHQHEFQFEFSSDLFCGGYEMEMKCTHCDGSHCVEKCPMMEIPPIIKYEARTPEELKDIDDIIDKYYQENILNDARLKLMEERKTELERFMKEKYQNDVNLTIFGSVMTGLSVNCSDIDICLRFGSGDTPPKDRTPKEVILRTERVLRNCGLVRKVQSITTAKVPIVKFQLLLINDEYIDAE >CRE20567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:80258:81752:1 gene:WBGene00068829 transcript:CRE20567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emb-1 description:CRE-APC-16 protein [Source:UniProtKB/TrEMBL;Acc:E3NHF4] MAMMYPFHVAQPPLNWSEHLWVSEVSPAKESFITTICEHRQAQWDNQDLLTHLQDSVAILQKEDQRVAPAQIPPVPANAQNN >CRE20566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:79590:80066:1 gene:WBGene00068830 transcript:CRE20566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20566 MLQKMQVGEYELTVTDVTLIVVMLVALKRVLTWLMAGKVTEPKKYEVSPLKEQDMTMEEVQRMRQEEKRRLVVVKQKIYDLSGSQELYDHNRDVFEAKNGCGDEWEAICERKYPFVGKLVEN >CRE20576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:76606:78560:-1 gene:WBGene00068831 transcript:CRE20576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prp-19 MSFVCGISGEPTEDPVVSPVSGHIFDRRLIVKYITENGTDPISHTELSEDTLVSLKTGGTGSAPRNVSGTSIPSLLKMLQDEWDTVMLNSFSLRQQLQIARQELSHSLYQHDAACRVIARLSKELTAAREALSTLKPHTSSKVEDDVSIEETDEQQGLSEAILAKLEEKSKSLTADRKQRGKNLPEGLAKAEEFAGIKQTASHTGIHSTGTPGITALDIRGNLSLTGGIDKTVVLYDYEKEQVVQTYKGHNKKITAVVLHPDGETAISASADSHIRVWNSNDSSSKAVIDVHQAPVTDISLNASGDYILSASDDSFWAFSDIRSGKSLCKVSVEPGSQIAVHCIEFHPDGLIFGTGAADAVVKIWDLKNQNIAASFPGHTAAVRSIAFSENGYYLATGSEDGEVKLWDLRKLKNLKTFTNEEKQPINTLSFDMTGTYLGIGGQKVQVLHVKTWNEVASLSDHSGPVTGVKFGENARSLVTCSIDKSLRVFSI >CRE20575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:68842:75137:-1 gene:WBGene00068832 transcript:CRE20575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ulp-1 description:CRE-ULP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NHF1] MFAGYGKQKEKVQHVYEVAEDENADVVVESTAHVTKEPSSSIIFLNAEKPERRIEAGSEEVKIEKHIQRDVEVSDDSDPDEIQEIPTPPTTTPTRPRTSLQGTPTNQKLEKSFEKQQDDEEEAEPDVLFEKIVKTPNKQLENARAMQNEMIYLNETQDDSDGASVTSSINRFDSPGPDDSVSRPITPLSSLTGFPSSSSNSIRDFWRRSSVKKPTNQNKKPGFRIPSRVFHSTSSIQKFSSGIQKIKKSALFSRDRLLQGIVASGQYDEAALAGIGLFDQKQQQKKKTLDVKKKTLDVLARANNKIEELRGTSRSTTPSLSRESSVIYEGSSFRSHTISTSSSVTSCKTNQRIQEAIAHIDSLNIHTPIRGPHRYEKAYESAKLKEDVLLEEARIRQGHRVETRGDLLDKKRRELELRGIVQRPKVEKKIINDFVELPEESDFIIGSAWNRMMDGKEKFVENFDIPICREDLETLSGLHWLNDNVINFYLQMIVDRCQKDQKYPKIYAFNSFFYTNITTKGYASVKRWTRKIDVFSYDIILIPVHLGVHWCLAIIDMKEKKIQFYDSLYAGNTVVLPALKNYVASESMDKKKVPFDFAGWTIEQMEDIPRQQNGSDCGVFTCQFAEWASRRTTPRFTQKNMPYYRKRMVYEIVSSKLLATI >CRE20574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:61862:65259:-1 gene:WBGene00068833 transcript:CRE20574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20574 MHESGGDSSAASNHFKDGIIDLLAGTAGGVANVYAGQPLDTVKVKVQTFPNLYSNWVVCLKDTYKLDGIRGLYAGTLPALAANVAENAVLFTAYGYCQKTIATLNGLEDVKHMTPLENAFSGSLAAVFAATVLCPTELVKCKLQAAREMKQKCTPFSVCRDIAKTTGVRGFFVGMTPTLAREVPGYFFFFGAYETCRYLLTEEGQRKEEIGLAKTAIAGSAGGMALWTSIYPADVVKSRMQVTGGGTFMSTLMTVVKENGIRGLYKGLLPTNLRTCFASGCLFVAYEETRKFFHYLL >CRE20573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:56995:61529:-1 gene:WBGene00068834 transcript:CRE20573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gars-1 description:CRE-GARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NHE9] MFSSCWIKTIRHISVSPRILRFYSSDSPFFKVEVVSSERKVQAKKSVAPRFEKKHRQKISDYLKQMATPEIEAQLAPLRAAVKEYGDLIRDLKAKKAPKIDIDKAVVELKARKRRLEETEIALAPKEASFDRLKLEDLLKRRFFYDQSFAIYGGVTGLYDFGPMGCSLKANMLQEWRKHFILEEGMLEVDCTSLTPEPVLKASGHVDRFADWMVKDVKNGECFRADHLIKNSIEKLMNDKKVSAEMKKDGEDALARLEGFDDKDMHEVITRFKFKSPITGNDLTEPIAFNLMFPTQIGPTGDFKAFLRPETAQGIFVNFKRLLEFNQGKLPFAAAQIGLGFRNEISPRQGLIRVREFTMCEIEHFVDPEDKSFPKFAKIADQKLVLFSACNQLDGAPAREVAIGEAVANKTVANETLGYYMARCHQFLMKVGVDGRRLRFRQHLSNEMAHYAQDCWDAEILTSYGWIECVGNADRACYDLQQHFKATNVKLVAEKKLPQPIDVNVVEAQANMALLGKSFKKDSKKIQTALQQLTSEQVTALEEELLSKQIYNLDINGENYPLTPDLLNIKKYSKKLHVQEITPSVIEPSYGIGRIMYALLEHSFRQREGDEQRTFLAFKPLVAPIKCSILPISANETLVPVMEAVKEELARYELSYKVDDSSGTIGRRYARTDEIGIPFGITVDFDSDKTTPHTVTIRHAETMSQIRLPISELGRLISDLVAGRQQWSDAEAKWPKFEANAE >CRE20572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:53442:56907:-1 gene:WBGene00068835 transcript:CRE20572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20572 MLGVVGFFIYAKENARLFDSVNPRYAQQLLEIEMFTFCSLDIVDEKAAKATEMFLGQLYNDQKWRSFGFITNTGVRMILVLDATSAASLKDQEIRLIFKRFHGHYCNTISNPFYEIGTPMQSKWFDEGIRDLYSISE >CRE20571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:47615:53102:-1 gene:WBGene00068836 transcript:CRE20571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oxy-4 description:CRE-OXY-4 protein [Source:UniProtKB/TrEMBL;Acc:E3NHE7] MEDSGFSGVVRLSNVSDFIAPNLDCIIPLETRTVEKKIEESKVAIRAKKTVSENKPKEDKKSIKISLADCLACNGCITSAETVLVEEQSFGRLLEGIRNSKMAVVTISPQAITSMAVKLKKSPQIIAKQISAFFHRHGVKYVLDSSFARRFAHSLAFEELVSTPTTSRPLLSSACPGFVCYAEKSHGELLIPKISKIRSPQAISGAIVKGYLAKRENLSPCDVFHAAVMPCFDKKLEASREQLKVRDTEIRETDCVVSTAELLEEIQKMEDQDSEDVEKRGEEEEEWMNALGKGIIIGEDGGASGGYADRIVHDFVEKNGGIVKTTKLNKNMYSTIVESPESGDVILRVAKVYGFRNVQNLVRKMKTKKEKTDYVEVMACPGGCANGGGQVRYETMAEREEKLIDVEREYDDLPRGIGMNWVEKVKTEWEGLDGNYRNLLFTDYKPVETNVGQTLKW >CRE20570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:25507:47275:-1 gene:WBGene00068838 transcript:CRE20570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sax-2 description:CRE-SAX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NHE6] MSSVTKKGRLGRRKSDPGTLTVRSTADKIATCELPWGGTRMVTLGPLDLTQSSGPFVGQASLMDLFNCFERKLNTVTEEEPIEKMLNKTLQRGGDLYFDNLCHTLHGLSEICLPPILKILVEWHEKYDESLSLSMLSPNITPDLRLKLAKKLLAVNYLFCLVLIEILPQVEFHLPQCDPLVKKVLEICFKNVQYREPSTVGINKTNHLVVAETYGEVLGVLSSTYFTHIHRIFMTHIIELKKDVSQTAAQQIVALIMSMKFLRINSSQVEDFESGLKFLDDLASLLLEVKDKDVKHAVMGLLVEILLPVAAQIKRETNIPALISLVQKLYITTNDMISKKQHKLKHFFLANWVQFLNACLSHLKNKDTQVARVALESLYRLLWVYMIRNNADGNAATRSRLDSICGSLFPKGNRYIVPRDAPLNIFVKIIHFISQQKLDFAFKEIIFDLLCVNNRTQRSLYAERMNVGIRALMVIADGLQQKDDPPAMPKSMGPSASGTVHKTKRKQYITRPLTNEISKSIGIDQFYPQCRKAFDSILRLLDTQIGKPLMMSSIQNRGKEPDELISGDAKPKLDLFRTCIAAIPRLLPDPMSHVPNPLNCYFQDLIDLLTRLTVHLDEELRNMSGITLQTIIGEFPDWREQVFISHISLIQSQISDFYPQILDDSLRLMLQSLTTWNKAIVAEKKRDAEKVLPTSPPSTHHSTALSHAHTTSTHSTHISVSFPNTVTSASSIMSASSSSPHQPTPSLCSLPESSSLHSIPTAITNSATTTPTHSHGAPSTSIPIGGAGSSTLKSRFLANEKNSANSDNAIQLSTFESIYNPLVNCDRGNEYFQWDPWGCALSGYAEMRHLLTRCPSAVAAAWPVLFSRLNAVSGYVDPNNPQNESRSSLLRGSKSKGSSSILGEQLGQEACLALWQKYLIMCCALAPAPYNLSQRSFSPTNSMDGPGDVFRSVSASLRSSRTPVPNSLSQLISKVCMILRWENLTDIRDSVVLGVGSINPMAFDMMLDELKSNGILREATEKKAETNLRRRKRKDLLRLQIIRVIEVAIFRGLLLVHSSGSSSEYTLHPHVVDFIDSMRVNLESDHDRDITVVTKLRLHFAKLIHLIIDSTPHLSRHTLFSEERRHNLFYLFINWCSRAIAADRKFRDKEVGSYVEQKSVLAMSRILCCGPIFEPAKSIGEDGYLYGWLEKLIVSTNPTMQSEVEEMLAWMLELNESGVLLDWLMMQCYTQPPAVACRCFRALVRVFSRRDFPCEFVSLFVLCQSMLAVNSVTDCALHMIEILRKQFLDTSNLHATSPAQQVAPIVQMRSQTDVIQSVYNNGHILPIEQHDVCTRLANAYPHLTVTIFSEVSYRLETDNCSNKSQLLGLLQPWISNLELVDQNVVEEAAEGPRGWGSEEATQLVLNNLLYLTVTLSSDYEKELAEVWKTLAISFPANLPAILNFFYTTTLLSQESLLPYTKRICVLVSQCVGTRISSILLEWLSTVHDSSKISLERSEIPPYYRWKDENSERKANEGSAARDSLEREEPMKDGVRLLPMPAYGGHYSPLSQFLPPVVQPVQFFNKSEVGLLLVCDIIRTRCSVDWSESMALLLHFSILRLDSLRPALCRHARQTIINVIMLYMDKSQLALVSAILLKNEMIYGTETSEIIGEVAVGVCRGESPSFARATADEYRKMIFASPTLFSHNDLLSAVVFCMSEK >CRE20569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig268:2570:24819:-1 gene:WBGene00068840 transcript:CRE20569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20569 MVRSMALSSSQSPSRFPPSRPCRHARQTIINVIMLYMDKSQLALVSAILLKNEMIYGTETSEIIGEVAVGVCRGESPSFARATADEYRKMIFASPTLFSHNDLLSAVVFCMSENMDTPFWANEDANSRNWRVPSSEQLSCTVQHIVRLLINRAPHQPIEMIWTQLAMKMALSTSNRHVAGRCFQIVSALGQPPGAWIPSLMSRLVETAGEQHDETQAYVTDLMLCLTDCSPFISPTIIETMEMRQVISPTHMRSTSYTPAFVRQSVICSRVQQSDKKNARLSLLVADQESWTAKSPDALVRSKSAEQLQSENDSNEETMSRMQILAIAVSMMESGIENEFLLALNLLNKVLDVPTSQKVQCLAKYDKMVGQLEWKNFNGIVSLITRGAIIPTAYEPSIQAMIRLSDVLAEEVVGGPNGIAIFVCHTLPYLATNFEAPNALCINAANAIAAFCDETIKTQSGAPADHPFVHLSTIMRQYAMRTFMKDAQQFMKCVLQYICDGCIALNVETLMCLLAEMSERGVTGLNGSVLHMVFLLLQHNHHSISPLLINAQVIRSVSRFLQGNNWPDVARIYKTIIEKWRNENPNLDTDFQLDVVTGSTGNSGAVTVKPSEEKEKTSGGDVKPEEKPPGDVATTSLKRYPPAHMRVRDKLVGILSASGLRIGLPSSVSLVFSRSDLGSATSSTERICASSQEVASTMSLPDPSQSGITDSFPRVFKEFDFLEAEHDSVSETADSCFGWLSTMRPTREKDEERGGSTRNLSSGGAEEPEEEDEESEMHDIEESSERMSDGRLHEDDDVEEEEEDDEEERTPCQSECCDDDREEAELLLRQDIEAYTSRGGSVAASSLADDDLRSRLTNNIVSISSQMTEHRHLEEENTSVDGSSVCAYSNASMLASEYYPNRSRCLRIQCMNHLDSKIEREFSMSVNEINESNDSTILAYGTLLSTQLYKASCGKVCALLRDASHILTNRAMSRSFATAQEVLCNVADIPFLFVTEQFLRNSPLCLRLKHTLNELKEHWETFDERRDQCQKAINSLRSAYKLSALGGSTSSFSLTSELDMGKLLNKLVFQVKLMIDALKDMSDAVKNSTSSQTYSLSPAILEHHRELLMCASDDAASSVNSSMSRLNVDNESSIPRSQHSCDSLVLLLANKRYTQALQAVRQLR >CRE31321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:748611:749581:-1 gene:WBGene00068844 transcript:CRE31321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31321 MKLLKFPSLVQQKIIKFIEFDNLLVLSFCSKRTRYLIQSLQRYQWMNIKFVRYSFEWEDKIWVWVRLGNKIEGFSLSPTTLEQSVITPMEVFEMGPEISICFHSEGNYIYDKEQKHLVVHGIHDYLYQFLGSSSIDYEIKTENELPPSLKNINRTSIKVPENTTAEKLEACFTASSNQEYIQVDGDFNGILSTNSVIYGAKHLRVILKGDHGDEILLRFKGNRLQFHSTNFNDSNISQFLKKWKSTQGFQNLKSLMINSSDYKNYDAAELLKDMSDKLIIQIIFFISIGE >CRE31319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:732272:736230:-1 gene:WBGene00068845 transcript:CRE31319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31319 MSSTQPDQGEMSNAEIREQFEATIENTRSTISTFLESNHGVFESKDSFKLADELGPLDGISVRKAAIEMEKDERRAKVAANIPENFEKLNKLHQDIIVKLMESMLQQQSLNRADDATVSTCLAASVAEKSEGSESPSSQANGENSGKLVNTGVSSHEDSNNADDAHLDFTGQHINNQEQDDEHVSEISGTPRYSNEEEEQLIEELEAVEVEMECLEEISEPHSTEQQCSSIQVPHTPYDKWMKTQNTVPVDHSDDTIPSNDENDNDATPEQEPIEENAPTQKGTPIKDHNSERLPQIKMQRFPLKPTIAENSSETVNDNMEGPSTSTATHESAAKAPEEIPRIIVCHCCNGGRARWNSPNLKCDSEKKKSCRIKPNTKYFFRTEDDVQKNLCEKCYNGISARQKALYQPWTNETTDMEELYRCPTCENYFHIACALFLGEDLSKFICRACGTCRPFDFQLLRKLIPTKMAREMERVLNEHVWRNGKEGDDKKNHIYIRVLHCVRQSYTTSDHAPATFSTEFEEKYGEEFQSVNRMICAFQEMDGVDTIFFTMFTQEYERHGKDIKENVAILEFLDSVPFVQPASRKGEIHRTIIASYYWYLSTIGFTRGHIFANAPVQGDDFGLPIHPSDQFYLSQGKLERFYGGALDLGVRNGLIGDFKTFEEKFGNKLADVTDLPFFPEGCWPVKMNWVEWEMARMAKVPTGDARKRKFLELLRPYLKSHKKDNFFIELQSALPPNAPIDWSQEVMMTSSTGNREEFLLFCYQIHLEFRDVQHAMFSSCVLATKWLEDQKKRMAKDEDDESTSEPKAKRSKH >CRE31318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:724652:726197:-1 gene:WBGene00068846 transcript:CRE31318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31318 MSKRVAEKVKRRPRRYAESINEQLDYDTNGAEVYYQMPQSSVEGVPSVNEIIDGIVETCRMILRESPVVLGKINKNNVWTLKWLIDTIFPRMYSAKPYDKAHLLGDYGRIAYCTGVPVGAVICKIDEKDNLYIFVIGTLPQFRRCGIGSVLLNYVIKLGEIIKKNITLHVRVDNRSAKRFYQRNGFIETEFVNEFYFREPRGAHHLVKYISTSGGMMVVDGDGEQPGTSAQSNPITLCEVTKENVQVSYIIMKKLKMLMQTLFPGMFTTANFEDAHTIGNFGRIACKNDEPVGFIVCRFMNGMLYISLIGILPEYRRHGVGSALLHHAINYATTVKKDIQLHVQVGNTIAQEFYQKHGFIETGRIETYYNNPPKAAFLYTKKICK >CRE31250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:722854:723953:1 gene:WBGene00068847 transcript:CRE31250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31250 MMPLLNNTPTRMTVDDFLLLKVLGKGAYGKVFQARKKDTNKVYALKVVGKPESELESTHLKDEIRILENVKSPFICQMFHRFETKQSVYMVLELLSGGELFTILNREKSLTEDATKYYVAQIALGLEHLHNQNVVYRDLKAINVMLGRCGNIKLTDFGLSKFNFIKGSKTSTFCGTYEGMAPELMRRVPYDHSVDIWALGILMYDMMCGGPPFTGDSKEEIKTKIQCGVIKYPKKLSSQCKTVIKALLTRNVQKRITLANLKTMDFFRSINWEKLEEGKFDSPPFIPELKSDDDVSHFDTCFTDLPPIESPCKKVREDNECCADGKVDDAFNGFDGNNWFTSEWNSNTF >CRE31248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:714956:716338:1 gene:WBGene00068848 transcript:CRE31248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31248 MAWNGQLSRISIEAVLHFMNPNKRINASVACPEVRKIGRRMPLRYADVSVDHSSLNVNGVTYKIGVVRIFHKYESPAYFRDERNAGGAPHDVGQHANPNCFRNEVRNQLNEAPSVYLDAEIHNAELKLKEIVDYLNEFKKYPVLRSSDQKAVAAKEEEAAKLRKKIIKYNERRAISELKYEEFIMFTQTDQNGEQVRTEYLKYNRPIKEAWPYFVDRYVLGCKLRGPVIERLSVSHPEPQLIFQLWRLCSRNLVLSITGTRSLNNAMHLLKEECIPLNSVETSATEEFNHHLLATARTLTVANSRDFFVQQPFRNDVVCFKQIRSDVVVMSIDFWMEHGLQQQKQYIFETIVSRDAFDLLLTFQEYLQRRNIDNFYRNNFPIQFQFQLQSGVRTMQIQVTFDRVVTENPDVYNYVIDVTAIPE >CRE31247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:706416:707803:1 gene:WBGene00068849 transcript:CRE31247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31247 MAWNGRLSRISIESVLHFMNPNQRINVSVDSPTVRYIGTGVPLRYANVEVMHSSLNVNGTSYKMGIVRIYTDYEGPVYFRNERNAGGAPHDVGQHANPNCYRNGIRNQHSEAPSIYLGAEIHEAELKLKEIVDYLNEFKKYPVLRSSDQKAVAAKEEEAAKLRKKIIKYNEKKANSELKYEEFIMLTQTGENGEQVRTEYVKYDKPLKDAWRYFLDHYVLGFKLRGPVIERLSVSHPQPQLIFQESRLSSRNLVLNSTGTQTLDNAMNLLKEECIPLNSVEICGPDDLNHHLLATARTLIIADSRSASFPRTFRNDVVCFKQIRRPSRVMSRSIDFWRLHGLPQRKQYIFETIIRSDAKLLLGTFREYHRRRYKVNFHRNNFPIQFQYQLRSDVRLMRIQVTVDRVVTENPDVYNYVIEMTAIPE >CRE31246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:681614:684493:1 gene:WBGene00068850 transcript:CRE31246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31246 MTPESINSFEEGNMNPLKEISSNDYRTISCGKTSGVPASHPINDDAKKIVKKEPLTDNDCDLNYKENQETMATKHFENAPNLEKVKTENDETSYENEVFDGAASIRNDGLSMLPNIVAAGNDKAVTSATSFLNHPYSSISNNFLHYNYNQYATVNQLGSTYINLASNMTQGNGLSQAGFPSYPSSFVTISSSPIDNCSSGRNSSSPICTIQAASEPPVDKSKSLSKRSFQDLSEQEYANVLEKIMREGTYGDSKPPFSYISLITMAIQKSADRQLTLAEIYNWIMMLFPFYLNNQQRWKNSVRHCLSYNDCFVKVDRSLWKPSKGCYWTLHENCGNMFEKGGYLRRQKRFTVKKRQQPESKKNAHLQQHDVPENEIKKGSPGVLLNMFPDTQPLAATKLENDADMKAMQDATPTTAGTVPVSLDNSACGEIGNSM >CRE31314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:677298:678628:-1 gene:WBGene00068851 transcript:CRE31314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31314 MSPATSNHVHIELKAEDPECKVCGGLLMEIKYGVNACTACTSFFQRRIKRKENLLCAKESNCVINTKTRSDCLACRLQKCYKMGMNNAPVVISPESSLNSSLENLLRLFCADKGRKIKSLGAKIERHKSAMRRAIFEGFLNPESRNAVIKHFSDRMTTCQMLSLRVQVVRLYAFDRNVFHKDGCKTITLPKKILRCLKSDEIENLIKNNIIRRDGVQTEPEQAAEVPQQKAHSRRTRSTHNDALFYILLSTIKKFHEVMKKKKK >CRE31313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:667469:674290:-1 gene:WBGene00068852 transcript:CRE31313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31313 MYSMCLLTKNAQCASQTVGGCIDLRPPDRDLCSYQAVIMQSSKFNNTPRNTGVSSRIPISAPTNNRLSEEGRNLPLVMNAAQAQPRTARVRGPPAKQMQSKSSGAIEDVITLSSDEDDILVTHTNQSLRFSTSAPTNKRRLGEIRNLPRIAQRYMNQHSRSPRLEGPTANRMQSKRSRENEDVITLSSDEDDILDDFGMEIEEVQAEEPDMEIIEISDDDDKPPTIQPPKKMNEKPAESTEKNLQKQCPNVLAVKNELKQKENIASEPKDLSTRMNDVPKIMQNGGQSSKIDSSRAVTIKQEQESVMEEVLLSDSVSNNPTSSSFTTTARKPVKIEKLSLAEICEQNPEEVGTESRKNRSENKSEINLSEIKSKKVSKHQTEPHEMMEESACHKMSTKNDTLIKNDIEKHVTVKIEQQPYTKKDTFLATEEQDTNLSTSPGEPVPPILSSSSSELKEVKKLNSLTKVEHETQQDNSETERHAEGLPIVQSNQQTSSTIGLKINSGETKTETSQRVEKKRNSGIEKAKTPEIVQKESKPSSSSCIYTIVHTLPEYADKKIRIGEGYQVIVPVTTEPIQEYIGREDREELIWTPREEVIGAEEEIFYRRIHTVYWFAIWRQFKGHIPYELALQNLMENRYHMAASLETIDQYLGRLPAKLKELCVAQAKLIASIALNEETTMEQIKMQALKNFELVDVRKYYFRFIKYALLNGGHEVPCVCDHDLCRPIDFEPRVTCTNCTKHHRTANGRKSMCLICKTYEEITGETRPANRVIFLDEERKFLEAWREREQTLGKAQSKEQIENMLRRAETARWKLLDLSDEEKLMLEEKHYSTTGLNDAQIARKKSDICNQLKPFVLPLFVDCKCLTHKGIAIKKRPQWNKKQNLVNPEIPGAQFIFDRKDDPWFDSSKPMPSRRSLRLYI >CRE31245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:661075:663741:1 gene:WBGene00068853 transcript:CRE31245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31245 MPESLNSFGEVNMNPLKEISSNDYRTISCGETLDVPASHPINDNIKKVVKEEPLTDNDCDLNYEEEQETMATTHFENAPNLEKVKTENDETSYENEVFDGAASIRNDGLSMLPNIVAAGNDKAVTSATSFLNHPYSSISNNFLHYNYNQYAAVNQLGSTYINLASNMTQGNGLSQLGFPSYPSSFVTISSSPIGNCSSGRNSSSPISTIQAASESPVDKSKSLSKRSFQDLSEQEYANVLEKIMREGTYGNLKPPFSYISLITMAIQKSADRQLTLAEIYDWIMMLFPFYLSNQQRWQNSVRHCLSYNDCFVKVDRSLWKPGKGCYWTLHKNCGNMFEKGGYLRRQKRFTVKKRQQPESKKNAHLQHVLFPDT >CRE31311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:651568:653328:-1 gene:WBGene00068854 transcript:CRE31311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31311 MVSDGGPDFVKENVSFLNIRKEMREMFLIIAKDDTCKMLKTYAKANASTHESIMKPFMDLIVSTFQTLEAKEKDYEELTKEHDSEMDENIELIRENMQLQDEINFLHEVLAEKRKEENMKSVGVMKGVQTEDQFGEKVHISNSNVEKVTVSTETKCSNDSESENKLIDYKTERKCLCEGRGIAKIVFENELDYSEDRVSRKAGNAKDSFVIKSNNVLCCEDIKADEIQSSCTKSTHEKDENIGVTDRCTEANTSDDKLCSEDQTPGKAQRSVTFTQYETKKQSVQRKIVSEVINSNVNLVKDQEECDVQDIVLEKESMQLLKNMLVVENTKHDYKARSVNSTGGDSKEDEAKRKKVDQKAEDTVTCPGPPLNSKSSFLTSYHTIQQTFSGNNTENVVKKNNSSDFKIPNPGKPGLKKKGDGACGVVFQMKTKRRKREEPRPRTDPPNLSMTHVNDEIISDEFSVTVACDQNVIRSHQNTSDQLTCGETKSKRVEVEPRPRKDPPQAILLNWSDTKTGRVKKEPRPRKDPPHSGMMVNDTWRRTMEEHRPRKDPPMSPSQQYGHHGNLVGCRHVMPLQSPTRNVICC >CRE31244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:631166:647311:1 gene:WBGene00068855 transcript:CRE31244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31244 MEPVPNNSAFSPEFTFDCPQDWFFDLDCHDEELPSPSSPINYDFWAPQDYSFDQYGAGPSNITNPSPPPRRSVKSTKFPSDEELTYLRKYAQVAEDFNFKRLNLDVVKMPPQRLQFKNLDALPQEVSLDDHMAKVFDIFIRKMIQKAGGNLSSTKYWLNLRHPGYRADDGFWIMHQTYAVADGHFLCNTIANHMQSNKNISLDDAMTISMKIFERDKKSMAGRGRNIPKESLGSKVMKKFGVKWGLITGEGHCLPKALAVGIVWSDYKNEKDPGKKEKLHRRYISLTRMDISAEGRAKRQLEAAKKLLTDAGMDPNCEEHSLDDFDKLATHLSKYRIRLWSNEGDTSVPKDDKNINTDGDGFIGLFFADNHYEFFKPTIDDWGLRFCHKCSSLVNGKHWKKCKSKCQRCGNYNCQASRGPKSFHCDDCNIYFLDEKCFDAHKLPSSKNSLPHCKKYQKCNTCQKIHQRNEYSKMEHECHATHYCPVCSQFRTTKHECTHSLPTEPERRKALEKQKKWTMVVYDFECIVANSGQDLEGKLLYLICLYLFFLDDILLPHKVNAVAYRLVCETCFGDSCQHCGPVQYISFENTESVVDAFIDVLSSDVRLKNAYIVAHNGGGYDHIFLLKAMTKRFSSTPDFISNGSTILNATVKVAPGNVLTFRDSLRFMPMRLADMPKAFGLAIADKGHFPYLFNTPENYNKVLPTLPPIEFYDPKFKSEKEKKKLETWYEENYGQEFDFNKQVREYCINDVEILTASVCQYMKVILLLVSKMYQLFIQLCQEMFNGWSPFIQSCTLASFILFVLKHEHIKKGVVGYIPENGFVGRNNSEIALKYIRWLEHLDPDLKLVHALRGGEEKITVQGHEYYVDAYNTETREIFEVYGCMWHGCKFCYPAREAKCPKRIDVTMEELYDGTMARKALLEQEGFTVSSIWECEIKSELKKNREMNKFFKNSTVSDGQLCKSASSRPICPSAKSIASEHDGESIPDSQKDSGSMVKKLFKSRKQLLETPVKKVPISKARATKPKNITKEQFGEISFNDAPLRVIDKSNGKLDVAVMKCCAGKLVWAFEEGRMILKMCNRCVDLNRGYARILN >CRE31310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:629831:630855:-1 gene:WBGene00068856 transcript:CRE31310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31310 MWSSTSYTNAMPLPLLCFPYLVLKNILLQMSPHDMVALSFCSKKASGYVKSAIRRQYSLSIEFDSDNEFDITIYRNACPEVKGIISVGAMNERSRKNSLCRWSNNVLFDGFEIAIQLMDLCSIQSIGRLDLNLEKQEEVEYVTKWLSNIFVEKCSISTKNPVKSFSVTRFLESVQVSKALSVDLETLDELVYERVFDLDEIIIPGTLRNLLDMNCANIHLYGVISNEDMNQFLRGWYDGCFDKLRRIEFYVNLRWQKLLAGMAVYEDCECKIPIKPLYRMKTIYIENRNGVKASIERIKQVEDMYMCMTIR >CRE31243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:625209:626979:1 gene:WBGene00068857 transcript:CRE31243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31243 MKEEVSRDHHNITSLIYPESNLRFNSTNDDDRLNKDVKFGVLRMFWGEMELPFTEEIELRAVKRSVEMKTFLSWEFLLGPSDDQKVLVSVPAHLFWRMLRTKKEELKLYPATFMFQLAQEGIEHMKTSIPDVYESVKKFHEERTKPLPELIFVVLDPANIQFFELGLLLYSGPYGVLINQFQKVFDKHWADHNKWCMTRYPDPRVVYGPIEEVSGEDFSHLTKRFGLRNYDTKESADGIRYTVRTYLPRKEVKKKYKKALHMKKGINQPEDGNVEVNEADGEHDQQENLGNRQMSFDKKIIYPENLNDFFEEMRQKKEIQKSKNRLLKEIEDRVVKPKIVKEKKEELEVENGPKDKPIEEPEENLVEGDVSEEVELDVEMKEKGEEEDEDIEEENGSVETDALTTSTSSRSRQARKRAPDSAAPSATVVSDSRPRRQRNEPTELYKASPIRTYKRR >CRE31308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:618738:621251:-1 gene:WBGene00068858 transcript:CRE31308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31308 MLTVVYCVGVLDSSMFFGLHSCDGKKFKGSIKLAWKTVQKLITLFSNSMEPKLINTRGEENINPSKQTLSNGYTNQDGMTHALASHSINTVANGYPSNTNSMIHFNYNLYATANQSGSNYSKPTTALIEQSGNSSLGSTSVTVSPVSSDSCSSGRNSSSPDSFVSASPGQSVDRAYSSSMNGGQDLTLHEFEIVQEKIKREGTYGITKPPYSYISLISMAMKQSPKGQLSLSGIYNWIMGIFPFYRDNQQRWQNSVRHSLSFNDCFVKVARPLNEPGKGCYWTLHEKCGEMFGNGGHLRRQSRFKVKERAQPKKKKITNSKQTVVPKIEIKEEEPDELSNPSLGASPVTAAEQQEDVKTMQNVTSAAAGAVSVSHDHSSWGEASNHYQSAPVISSPQIFEAAEPQTNLNDLYPLSYEYNDFSTSIHQSQNYSSNSSFYNPAGSNVNDYDGYENTIYR >CRE31242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:610008:612362:1 gene:WBGene00068859 transcript:CRE31242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31242 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MLS4] MPLTNDSTSNVAQSSDLQPSVPAQPASPRTVRNSAPDNNMPNTSSTPPSPPTKRGRKRQSKNKAESVPSDVSDTENGDKIPYKKPDRKGKPKNKKKTTRPSFSYVDTPHVNVLQPVELPTRRRSEKKAADAKSHPSSPAPTTGLPVPITPTASSLSAATATNSASTSDSSAVSVAVSTAACSTGAPSPLLAIPLTSPVPSTASSSGPSSCSNSSLSCQAAPADPTNPAAGQQVSVSSESQNAPVTQQTPTEHQLVQRNENNRYNNDDVIEFESICPDNQTNVKEEVEEEPVNVEIDYFGRFDDLHATISDNKLNQIPFASTVPRSVPIEVKPTTFETENEVKELGNIATQPMRVIVFNAPFDVAKVNYFKIKNLSANRIGVKMSPTSRERFTVARTIICMEPHESVNVNKENENLKAANRNMEAEVNAIQHDANTMEETIHFLSKNTDFQDEIIKMKDDKLKCCQYELAAQKKKENQLIDEKLQLSHSMDISASQNTALREELLVLGQNDITNRKTARDLHKLMSETLRTLNVSRNGINVFNQM >CRE31305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:591375:593846:-1 gene:WBGene00068860 transcript:CRE31305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31305 MTNRIECTPLDIALVDDKVVAAGTTDSHNGYSLCFQRNSTENHKAESVDHVYTDGKNVHMIVKRPMLFTIDVESSQADTQKILDGIARITGSDFSRKENKNAQAVITNIKDLPDHKSKFSTSMDRRRSSVISAWTYWTTKSLLLDASLGYVPNFAQQTGPIKNAIRMQKKRLAEEAEHKEQENIKVRWETNAKKTNGTNVFDSHLSEENFVIGDILGAGTYGTVFEATSKKSGKAFALKVMTNKFNVYTEKFVAERELLMQREMSHKNIVPMYAAFKSKIAVFFVFERMKESVMMKKIPVDEMAWITECVASGLTYIHRRGILHRDLKPHNLLYNYDGLVKISDFGISTDERDATNCGTPRYMAPEIICRQKQTAAVDCYSLGVILHRCSTRKTPFELPDGHVSDEVVSKCEYVPPVSMNSSVREVTTKLIKRSPNDRWSANEVLFSQLVTGYQHQREQALQKLVRDNYL >CRE31238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:576682:579089:1 gene:WBGene00068861 transcript:CRE31238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31238 MMTAASSTNESSSSTSFVQHFYSKEVSNKGRLLCLFQRLRNVLIIVLTPIICGLMLNWEGPEWKCAFCVCIFSIYWMSEVMPLAITAMLPVVLYPLVGVIDANTTAKKYMNDTNFLFIGGLIMAAAVEKCDLHERVALSVLRCVGSEPKWIMLGFMTVTALLSSFISNTATTAMMVPIVQSVVQQLISSLEHNPTNGERGRPGCKKMATGLVLSISFAANIGGTGTATRTPSNLVMLGQLNAFFPKVDGSLNYVTWILFAFPLMLLCLGVAWATLVWFFLRDASKEDEAVTEMLKTRYDELPRMTYAEKSVSVCFCLLLSLWIFRDPGIIPGFGVYFNKGAYTDATSAMIVAFLLFILPSEKPDLATYYRKEDLDEKGCLMDWKTMQAIFPWSVVLLLGGGFALLDLSSIGHLPLWILQLLTMSIAMIITNICSNTVTASIFVPIVATLAQKAGHHPFTLMLPTTLACSFAFIFPVVTPPNAIVFGSGMVKVSDMAFVGGLISMELLVLTIVYMNSIAYVVLPLLKFPSWAVIANSTMQT >CRE31303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:564062:573309:-1 gene:WBGene00068863 transcript:CRE31303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31303 MSITHDDIKNWEEIISTHQEDYEKFLKASFQALKAVPNPTTEPQTPVQDFLAGYAVFMASEVETIKPKDQLYKSQLATVLENIHMTPVGTLLTETAKQASIKINKKDREITKLVSDIEARMDKRHEHLNTLAATHKVKGLADTSYSIRPRRSQTNVELKTDFIRPPGNNIQVSSFTTETRPTMLQYKHVKLPTFNGNISEWPAFYMIFKPTVLENDEYTDVEKHNILRNHLVNEPADLIRAYDPDGTQLATAVKRLEAMYGSTEKQYDYLWNRLNDVPMARDSPRSLRILHNELHAIINSLSKHGSIETQNFQSVIKSKIPRNILIEVLRTKPKNTSAILEALDIIITIEESAQRSEMKVNEKEDRNVFSVRKPQQNKKCRFCQRTNHSSAECKTVASLEDRREFIKMNNLCFNCLNSGHRLSECKSSECRKCQVKHNQAICHRNPNVVRKNNFQKNPPTYKSQNENYKRNNFSNQNNGHQYSNNSKQWKPNQWKPIQQRIQQEKPRSVVLERNVEIDLCVFGKDPTSIISNVVKFEIITNDDSVIKVEALTVPDITDLFEPINLTHEDKKYLENVNEKTVNITRPEKAVALLGLDVFWDLITDEGKKKLPSGKFIIPTHIGPLVCGKTERSTSSMHALIARIKDSQEEHFTENDFQEYFEISNIGITDKIFDPTNEEIILEFEKKVEINQKTKRIIAPLTWKEGQRENLANNYDVAICRARQLVRTSKGTEAWQKLEENFDTMEKTGIIEEIDNDPNLGYYIPYGLVFNKSSNTTKVRTVFDASSKKRGEISLNNALHQGPSLIPDLQGILLRLRQGKYFLAGDIEKAFHAIEVNEKDRDALRFIRVKDPERPLHPDNIRLMRFRNLPFGVNCSPFLLSMSILYAVRQANVPENIIKAIESMCYVDNVFMLTDDFNELPKFYNLLKEFFGSIGMNIREFCVNHPVNFIKEEDKAQNLENIKMLGYIYDLENDTFEVRKPKLVITGKGIPRMNKKKAVGEITMIFDPTQYFAPLYLQGKNILRQISDHTIKWLDYVSDDIVEQIISYRQKIENSTLKFRRNIPNLNSRKPVQLVVFTDASEHTYGACIYLKIEKPDLKGQFDIHLLIAKQRIAPKTKTLTIPRLELLGILIGVRLLDYTIKEMNLNIEKIELFSDSTIALAQIKNHPTTKGEKHAQFVDNRCLEIWKTLQNIKSKNDQTEISLSHVPTDQNPADHITRGCDSEEELRKTNWFFGPDWLQNDNHPDHPCKKDDNRLIIDKPTPVELNVMTIQVKNLSEIENRIIPLEKINNLEKTKRIMSYVLRFVKNRIYKKLSKLSKSKLERNFPELKHLPNEFCGVVKLDELNLAMKLLIRNNQLVYKIEENPKENQFLDKDNVNSPSDQIVYQHNRIIGKNKLPIIETKSRLANLIIQKIHRENLHVGPMTTLGIVLESYAGTRWRAAVKKVLDNCSTCRKSNNHPFREAPPGNLPERRTTESRPFQHIEKEMTKKGIKWYFNTALAPWQGGFYERLVGVVKKALKHSLGESHHRVKDLETIMAECESLVNRRPLTYIDEDSEDCKVLRPIDIITPGLYFSIFDDNGLRDEYYEYTQNFREVQKHIKRFWNIFIRDYLKQTKIFQSVAQPNRAHSNLIKPILGEVVLLVDENVPRGKWKMGIITELLKGRDGEIRSVRVRTTQKRKKRDGTLPYKPFKIQEITRPLRLVIPLELRPQPKEEDEKIETKTVTVNLARIKEPKFKQTEKRMFRPVIKTQNEDFRKNLQRRPKFSLWNIWTILLMMCILATTASANMLQNLSPNQHSNEYTTTLTTPTTEMVPPTSTTSPIVTTTIEVTTTRKKITTKTTVKTTPSTTVTTTTTNPPTTVTTRKLTTRTTPSTTQSTTTETTSLSTTTPSPTTTVFQTTTTPAPILERIVDGIRPKPEGQRRSVTTTEPPTQLTTVPATRPTTVPTTKKITTTVSTTNAPESSLMKTLQEIHDSKSRLDCTKYGVNLIDEENMTNHSNSVCTENWCDHTVLTKKKITEVLIPPEFTLHKHRVVWKKSIGTQYIIIEKTCPPTDYCWKALKHFDCILCTRFLFNPQCHPKTTISIVILLIAIIMKIISLFWHRKKLWKLFILMCCWCNFCEKISRFLSTKKRDENLEIEEIEMVPLRKPTVTQRLNNVRNWRHKFRRNGNYSRSEPSTKTMKRSYTTSAQPRKQLFEISTVVENGIEVLKIQKTASRTPSPSMLAIATICLLIASAAADVCDETFPITHEETTCNEHGICRIEKTEDIFFTPQTKTICLQVVSQKNVILKFKLTVDHHFRKCHKGPILFTKNVTVHADSAKRCHGMGECVDRKCLDVGPNSKLSEFPEGNKYPGHTYCSSSCGGLWCKCLLPTEGCLFYRTYAVPTTDDKFQIYSCEAWSNAINFQAELTLDNQKIEQVFLIQEGDDYQINFKYGQNKDQEIDIKLRLLTITEETGLSILGKKFIQNKEKIALASISNEIFPLECFETGACNYRETCSCNLGEAEALCVCKVPDLYKILDDIDHNLPVITERYHLGTTPDNIPTLRTKHSNFHIQVIMEQSYNVSVTESKIDCSIEKTTPYTGCYNCLKGASQNVTCKSKEPTHAKISCDNGEFVDILTCDKTGIVNEIHRKFSKSILTGVCAVTCGTKNNSYKIEGTLTYVSHTSLFEYLNQVLHSEKSISEIHPWHIPDVWTLWNNITKGLIPIVLAIAGMIISSILIYLCCIPTVTACLSSRRRHIRQINEPIHLSNMSKRPAPAFNAQETEEMMQEAKRMAATYQCKEMLDSAKHHKQREQLLANKSPPKCTICRQNHYASACKLPLEEKMKIITQRRICQICLTRDRHTPIKCKTLRFPQHLCDNKRCGKNYSFHHATICPFTASTTPNMSPLAVSMGQDEILD >CRE31302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:556039:557475:-1 gene:WBGene00068864 transcript:CRE31302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31302 MCFPMSIAVFSEQQSLSNMESEPPHPSELSLETGASQLQGVESEQDQVHQHSGISLARTPGASQRPDVAAFSNDEEASGWSIPQTSVSHVAESHQRSILLNGSNRRVQLQRSAIDIVGTSDTSDRRVPSTPNSDHTQHKSVENPSHASTGENNLDDKDCIFRGFVEQSNHEVEWAQGKTHNFDDPNVAGPSHRYQNDERILTNNVPDDPSRGVSAIFVPPTHVLVERAPHPREMPPVIHRTTPRDPRTEPPNIQECIQQNDDLTLPPHPRRYQYPENYSRAMICYCEDVYWTYNEGRLPSNVVMPPRPFDWNIRGIAPPEDFDYVPPPGEPSITIPHEAMAIRDERARAHRQQNLRDNSEEPGL >CRE31237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:550090:551853:1 gene:WBGene00068865 transcript:CRE31237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31237 MVSDGDPDFVKENVSFLNVMRKTREMFLIMAKDDTRKMLKTYAKANASTHESIMKPFIDLIVSTFQTLDAKEKDYEELTKEHDSEMDENIELIRENMQLQDEINFLHELLAEKRKGENMKSVGVMKGVQTEEQFCERVHISNSNVEKVTVVTETKCSNDSESENKLIDYKKERKCLCEGQGIAKIVFENELDYSEDRVSRKADNAKEIFVMKSNKALCCEDIKADEIQSSCTKSTHGKDENIGVTKRCTVANISSDKLCSGDQTPGKAQRSVTFTQYETNEQSVQQKIFSDVIDSNVDLVKDQEERHVQDTVREKESMQLLKHMLVVENTKHDYKARSDSSTGGDSNEDEAKRKKVDQKAEDTVTCPGPPLNSKSSFLTSCHTIQQTLSGNNKDNVVRKNNSSDSKIPNPGKPGLKKKGDGACGVVFQMKTKRRKREEPRPRTDPPNLSMTYVKNESIPDEFSVTVVSDQKVIRSPQQHISDKSTWSETKSKRVEVEPRPRKDPPQAILLNWSDIKTGRVKEEPRPRKDPPHFGMMVNDTWRRTMEEHRPRKDPPMSRILQYGDHGNLVGCRHVVPFQSTVRTLVSC >CRE31299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:529300:530566:-1 gene:WBGene00068866 transcript:CRE31299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31299 MFHANTVLPQTMEISDRTAKERQRRDYNNLLIEKLKVLLRNPQDGDLTIEQVLKRAHKKVTQLERESSSDPIRAGIFQGLSKVESLVIFFIESLKLDTFNSNECIDFVKKMFAIEYAGYNGSRVVSEGSTSKTTTFVTDKEMDRKSVKNSKEQNRRDRHVEGYNALEEFIRKSNISPAAKGKLQKVVILEVIIKYIKNKSQVDVHESSERLLQKNVGIQIGHKKGINIALQVFQKNQLLVVHTFALGLYLNSLNLQLTSGLPVSPLPAPLPPLSNSSTLITPPITPPYVSQRQSSIVPMLQQFQSVFPMGLPTRLPLIPSTPTTINSFSQSPTGSSLTSSSSVFSEDSSNCSSQNSTVSDNPKRFFRPWC >CRE31233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:507795:508739:1 gene:WBGene00068867 transcript:CRE31233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31233 MPGSVSGKSDFGKVCKYFGTVCFLLCSLAFTIFGFQNNAWVVISRPETNDEFQRGIRGRDCYKNNSLGSIECWDNEFKNGTEPIPPPFEHVNDVSTVFKIAYYVVLVILVLQVALAIYTLLFCISSKFFQLAEPFNYVMLGFMSVAVVLWIVLFVLLVPEVYKENILPDKFKNPEYNYSIGSGFWKFFCGGLIPFVAAIIIFFRKQLCNMFNCCGKDFELVANSN >CRE31235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:516473:521566:1 gene:WBGene00068868 transcript:CRE31235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31235 MFPNQFATQDQYQSVQTGIPSAGRLSGEESSALTSTPNESYEWNSISKNCGQNGHPMPILDQLDAVHSFSHGKNYSENYGNHTIPQSEHMMNHQQIMSNSIPTEMDIFETQNGSTMLPSHFEQEFLSALTYLDDDVQAQDQLPENPIDLLLTTNNYDHTGNYPRTPYEYNEAFQENQNPQILLNPVYESSSINMETKIRKTSSKKKNFEKQCVYCGKIFRNASHFPEHLRTHTGEKPFECTMCSLAFAKKCSLIRHMKIHSGVKLQCEHCEVNCLRPEGLAKHRGIRGLDCYKNHSSSSIECWDNEFKNGTEPFPPPFEHVNDVSTGNLPKSIGELFHKYFHFSVIKIAYYVVWVIIVLQVASAIYTFCTPFCISSKFCISSKFCQVVEQFNYVVMLGFMSVAVVLWIVLFVLLVPEVYKENILPEKFKNPEYNYSIGSGFWKFFCGGLIPFVAAIIIFFRKQLCNMFNCCGKRDKKDYELGELDANSN >CRE31232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:503742:506839:1 gene:WBGene00068869 transcript:CRE31232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31232 MSSYKMYDTRRMMNYIGFNQDLKMIAVGHKEGYMFYKTSDVLDKSRLSCGIQNLNNLGLQNCTIIERLFSSSLMIVVSQKNLRVLNVATNNIICDHQFNKPVLTVRLNKQVMLCNNVNCPTESSFLQRVIVCLEDSILIYNIKDMKMMHSIVDTPLNKLGVVDLTSNSGDAYIAFPGNTTTGSVHLFDAINLVSVNTFVAHEGALACLQFNQKGDMIATASTKGTVIRVYSVPDGHLLFEFRRGVSRCVTIYSLCFSSDSKYLASSSNTETVHVFKLEKTEDVSKPEVPNDMMETAAGWLSAFNKTVSTYMPYQFMQVSELMTTERSFATAKLPCVTESNRVALVSHKNHQYLMVATNDGFVYAYQMNPEGGELDLVKQHYIGPESESLHSSPLGNVTNTRSHNDF >CRE31297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:498841:500281:-1 gene:WBGene00068870 transcript:CRE31297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31297 MPRQTVRSIKQEAMPWYEQPLRVPLPDLPPADPTRIMTTSQYAKIAKNPEKVEQSWANIPDNEKQQLAFVILDEISNCPINLDNKNGHAMKKCYTEVAVKVYHRTGRLLSVPAVTSCFRNAKEQLRSRLKSLIQKKKLPPAKVEDELLNWPFYGIIRFYRPYTQDLEMRLRRIATMTRDGAHIVFDVSDDEGDSVNPPADVAPQANNDANNDVIVDTASTAVNLKRVKQELVSRYRPSRYSFEPIQEPVMDDVPYQYQGTQYSHQTLSPTMQPIHPIQRMQQTQVSQVMQSMEPMPMQATQQMQPMMSPPQIPTMGYGDRDQLYPSPDVYRRDSRLSSSSQTSQASQDVAYNNLQDDLKFFHSIANRVAKKDPARIEKMREVLSATMLSFEWNQTENLGDFFEQLGRTLNGNGNGNGNRNGHY >CRE31296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:492936:494011:-1 gene:WBGene00068871 transcript:CRE31296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31296 MPTALSYPSLQCVLEFLDANKRQYIVARTPTIQQISNLLPINLSNLEFTPYRLALDSLYITVSDTKLTWKKNNARLAWEIRFPEGVEKDEMWTEITKFYLSERPKFHVNRLHIFSTESRIVLPSDVSLRVNEMRVDGCFSFKNYLSIVDPSSFPLKRLYTLIDGESTFDNPVFNSAETLTCTISPRTQIIPLAEFDKVLSKNVRIDYTFFWHEKVVELIRHWREVGKEIGTNYVFFKDNKENITNALSKLNDIFPEFNNKLEGVDERFIVDSPRFLIPMNPTAEIMMYGSVATERGLDHYELTVKVRSIDSDMESPAIKKSRLTAP >CRE31231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:489042:491303:1 gene:WBGene00068872 transcript:CRE31231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31231 MNSKTKRYDLTVWNMVQVPVMGIRKDPKAPQSLTHWVASYPRTMLFPSTYALPNYLHKHYKINHYLLDDDDMLAIKHITADTPGFKWTNESVTGFASLENFMRLVLTIPGNYQQKKLYMRMIPALQMGETRNRVARVFVDEVALMIPLLKEQQGEPLEDPKGVQEKLFKLLNHPEKAYCSTITLERLHELLCEYDIDKSLLSLVDDPVHIIGCKSFAQTGVHFKILNTVGTKMISREQAVLYMYETLICGVNWTGIDKEKKRVILETIFGCSVSEENCYILYIPFLKVILNLKKKNPEIYNTGDQWKDFLYLNKCPNDFVSPDDFKEITDDFDIDYFDNPFIRENLLPVWLVRAMLVLGWMNGIVRDESDLLKFVSNIIFVLVDALMPSVPPQDRQRIIDTGNAALELWLASSDSSESENEESPKEVLDANQPSTSQPQEHSSQGKEIPANIKHDEDKKNPVSKDSS >CRE31230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:486271:487221:1 gene:WBGene00068873 transcript:CRE31230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31230 MEPVNLNTFVFSKPAGNRDMTTEERQNKTEMYQINQCNYTSLGLQQSFEILDLNAENTPTEDSEIAKVRKENQILRRENEILRLSESNLKREILEIRKSIAHTTQQLDFERQMSQSELKAQESRMRLEMQKLQNDWLSFQTQLESKYTQLALSCQQLELENRNLKVQHQLKLQEAITHIEQLDQVIEKKNREIDEMTAYITEQEQAAYRFRGKRATRKLFNDRDDALLFGDKNKVEETCEKIKVLDELIKSGHEVD >CRE31294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:468217:471407:-1 gene:WBGene00068874 transcript:CRE31294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31294 MSVSSSKAPSFLLSPSVSSVAPPSSSMKETNETFGMSMRLRNRIASRLPPFSQLFSKQLSVQSATTTEIESSSSQFNVDEREESSYSDDFESSCTSEMDDSQRKLEKSRRLGQEFEGQLKSLTYLLRIITEELPSYLESQGSKLAKILVPHKHEDHILLQIIKKMKMIKGFHTAFTDGFGVVDFSNIQESPQFPTVVIENADVLKSFIPFLKEKRELVEEMKLSRKNDKDLDSALNKFEKDHFKQGGHLNLQNQLDRIHQTVMRYGFLMDEFAKCFPDGSNMKEKAEEAVKKLKDVTTIIEGEMSTATENETKKVHERLQGRFDTFKKARKLLLQERVWSDNGMKRQERYIVLFTDCMLVCRLTNSKDPGCAFEKDFYEFDLNTIEIEIDQYREYEKTLKVSTTERGFFFLFKNGKLKNDWVKTILDSQEKMKNRIGFDLKVVEAKKKFLKPVWVLESGECLMEQCSEKFNSSEERNHCRRCGLSICSLCTGLAPVSQYLFEKHMVCPDCFKEIHDDYVTGTLFPEELKQSRKGKLYVKTGKREEVMAAEKLFKEPKKRDLKKFDLEERKKETKAFGLVYLRSGAMEIEAYAWLKNDDRLVVVKQKLDFKPIFERNVANHDVRRSKERGGVVFAFSKRGMTNDDFTFRVKQPYSIKKWNTVLSDHFPETE >CRE14331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:3484:8442:-1 gene:WBGene00068875 transcript:CRE14331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppgn-1 description:CRE-PPGN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NH72] MHLLLKTGTPQRLSVFFHRTQDYHTSIQRFSSILPHILNARQFPQRRARPKEEKAANTENDQKTFKNPWIEISWSSKGHRETKEEVKESSEGGGGNGGKDDDPRKKLMEKMKRWLAISLFAYGVLFMLSPKTSGGVTADKISWSEFINELLPTGQIYRIIVLPEKDIAYLYVYDTGAKNSKGERLANMYRVGIPSVARFETEVRAAEAALGLPPEHWTQIEFKRSENIAQWITIIFLGGLLIGGFLLFKKMKGSFNMTDIMSNMTKGKFTVIDPHSAEGKKQLKIKFKDVAGCSEAKVEIREFVDYLKNPGRFTKLGAKLPRGALLTGPPGCGKTLLAKALAAESTVPFISMNGSEFVEVIGGLGASRIRGLFKEARSRSPCIIYIDEIDAIGKKRNEGQGAGGFGGGSGEEEQTLNQLLVEMDGMGSGNGVVVLASTNRADVLDKALLRPGRFDRHISIDLPTMIERKDMFELYMRKIKLDHAPQEYSQRLSAMTPGFSGADIMNVCNESAIRAASNKSRVVTIKDVEYALDRVLAGSEKRSRSLVEEEREVVAYHEAGHALVGWMLEHTDALLKVTIIPRTSAALGFAQYSPRDKKLFAKEELFDRMCMMLGGRCAENLKFGRITSGAQDDLQKVTKSAYAQMKLYGMSANVGPLSFPNTEGFQIKPYSKKFGAMFDQEASLIVAKAHEETTKLIRDNMDKLETVSTSKNVQKIELNSKARFKTENCLASAKSQLASANSQLASANSKLASANSQLASAKSKLASANSQLASANSKLASANSQLASAKSKLASANSQLASAKSQLASTKSQLASAKSQLASAKSKLASANSQLASAKSQLASTKSQLASAKSQLASLGKDPESRWSYGSRPIWLESLSCETSKTIAQALLKREVLNYDDVKQLIGTPKFGDKHVIDMVENVLPKEDALN >CRE14324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:8770:15764:1 gene:WBGene00068876 transcript:CRE14324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14324 MDDSDSEPQTSKTPRRSLKKEVESSDYEISHDEDSANDDIEVLADSAENDVEMQEEVADLTEEKTPGKSRKRAAPVNTYSPYHFKHDSTNSYMPFVPQRWTPQNTYRLPSDIILTTIRECGSEGLGRSEIGQAIGYDATTKSGGRKVSNLLANCTSEHPEHVGQFQKMNGKIRTIRFYWKESEQPEKFEVLLREFQELSGIPCPFKIGEVIKFPDKKLNTLRVSDITLKRFNRLLKMINELRVVVTINKVIKYIYELEMADGYKFQIDKKSVMKCLLALQNKGLARVWDAKVRSDNVNHPVQIVTHGEITRVDDEEVQKTIQEVLDSYHREGRVFPHGQLRVAKKRLEESKILTEFDEKMGTIDEDLTRKRSIKDRYHFFRLQTVRNSWKGRNMRDDVEPDEPEEDDPDDTILDDSQLDETVNDGVAENPDGVDGDQEIPEEDPKMAMQKLFAKNPTVPVQNKRSSAYYFGRDTLGYQGKTIRLLILHEMAYHFVYGHSEDTKPNLFDIFPPTKAFDKWPSLNEYAAHVYFDEESPYRYMPPQPKYDGIDRGWFMLQDFLAAMPLSVFVLANYVPTTIDRAFLMSYLTDPVKRHLPIGYLPVETREILMKDKKIHKQLQHALFTLGAMGLVALGPNPSVRRFPGAASEMFYVAKKTHLYDTSTSTKSYTAVIPPVEQGFYVRYEYEFDTRTDVTLYWHHLRAIVQSTPLGFRLDMDQGDSKYESRHRQYSNGCFDRKIIEHDQVSNIQELYPRVLTDGVAGFDSALFLHLKRHWDICTVPHVVVAWFITKFRKCSDEMKREIEKRVRSVHKDWNNFTRLSLTDNDSMKSASNKLKVEYPPKMIKKFGGASKSIVSSTPSTSSKKLKPKKRKLDSVDLVSSSARISVRCRFSPKERDQLILIRAVGFFLNPVYRFWLDPTVLRDLMHEFVPESRNKTVQSLMACGVRELVRTNRLAYLQRVVRNLSTFPEMRRLRSELCSSPVVPGQSKTDFFKAAFRTAMRLLFVDNNRIPPTSMSDKNFKLFLETGNVSVTKEITVSNACPYRSQKPISYGHIQHCVAANILISVLIHSKNGAVPEAMLEQVPPAVLQTVLQSLRSDGLVSRSRTLEAVAELANKKDATLSYYFRHFFAHRCHSELVEGSGRLLDEVNDPKAPESIELHGDGPEVVIAASTAFYSDKHFLEMNVDGDILDAFCKVENDETIKKIRYLESADLHFEKIRVNLVKNSESIEDTETITTEASIDKVLKFLNNSRDATPTMTLDEYIQHNKFELDKRREIRAICHVIKASRPTGITIAELKKKVKIPLERIEQIVAELNEVRQILAVGVDDKRWVSNEYEACWTVQLGENRWCPRPWVSPEGTISLPVVRWITESILLLIIGKVGIQMKDVISNYEFAIQPVAVREIVSKKLGRLRRYFCFQISLLEHLECLNVVKKFFPSTKMSSPFSPPTDLELVVYIYPTVDALEKFSRIFHNIELMPMMISKIN >CRE14325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:15963:17747:1 gene:WBGene00068877 transcript:CRE14325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14325 MTEQKPPNSSSNIVGWWEWLGWKGGSTEATNPAERVILHPNVTPPTPPSPVASPQPTTSSVAAIVAPKVIDHTEVIPKTGWERVWAIYERQNAMEKDVTMRVVRMSFLGGFLVGGATGYAQARHAYETNNVGRKYLSPSDAVKRKIDYAIVRFAKGGFGTGFKCALISGSIVFLTTHVTAYRDKFASWYFPAISAIVGGVFTFPLGLIGSMKAVGLGVSSGLTLSAVVHLYAMAIDKPVNDAYRLFKRDYEKELKASAEWDSRVTELMEREQIVWRQQAVKKLKQMDQEKMAVFDD >CRE14332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:17824:19130:-1 gene:WBGene00068878 transcript:CRE14332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14332 MADTGRSPRPSAGGVRQRKGGATAAPARARGGNNGGLWRFYTEDSTGLKIGPVPVLVMSLVFIASVFVLHIWGKFTRTRA >CRE14326.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:19514:20993:1 gene:WBGene00068879 transcript:CRE14326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trap-3 description:CRE-TRAP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NH76] MGKLTKEEETLLSSYSATSSTKGTIFFYFNALLISLAPLYLFYGVHQMEVYDSLAVFVLSAGGTAYLLQYACKNQKRLLKHQIVMKRGPAVEREINERYGNDKKLTIKDRENRALIRKNEVADSESTYLSIFYTNTLFLTIMLISAFFLLANLAPVFNLLISTIGSAGLVAFLSTAKN >CRE14326.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:20132:20993:1 gene:WBGene00068879 transcript:CRE14326.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trap-3 description:CRE-TRAP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NH76] MGKLTKEEETLLSSYSATSSTKGTIFFYFNALLISLAPLYLFYGVHQMEVYDSLAVFVLSAGGTAYLLQYACKNQKRLLKHQIVMKRGPAVEREINERYGNDKKLTIKDRENRALIRKNEVADSESTYLSIFYTNTLFLTIMLISAFFLLANLAPVFNLLISTIGSAGLVAFLSTAKN >CRE14327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:21105:23464:1 gene:WBGene00068880 transcript:CRE14327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eri-5 description:CRE-ERI-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NH77] MPNLPDPYEKCHPEFLNPHAIKRIALKDSAMVDVLRVESPSSLFVRPIDHIRDQLVYREPYPLTPVAKYDAGNYALAPIEDRVFGRCIIVRNIELLEACRVFFIDEAITANVSWKCLFEIDEEQRFHPWQVMHVTLGRMVSLTNEWTLEQRQQFLDVISKFPKFQITACQVDIEDPQKETERPSLLVNLYALDEEQSVDEKVSIEEICSVSMDDVMVSIFPINLTEDPKLAELDKEQDNLEIILLEEFRRSLPHDWIHEESQDYVEEDTDWDIKTCHIAEWNESHLQKYLKEDGCFWGYVTTNVNFSPWEMHVTPIINQGYGANNEEWIFDQIQALKEKQLEFDDFYSMVKNQRPLEEEEIRVAFNYGRPYAMASVQHRKRDGAQWLRCEILEVMSNDVVAIRYVDQGFRGFEKLRNLHRLHVQHTVQPPFMIEIGKFFKDNSLAETEKEWSDYFWRDIVPTDVPIVVGPKLQFLETGKLLYEEIRNIGETQNLLDQIPPLEENTENTDDLRTELDCEEENYESEESDDSLVYF >CRE14333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:23594:30513:-1 gene:WBGene00068881 transcript:CRE14333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14333 MSLGFAIDRGGTFTDVIVFKPNSEVEVLKVLSVDPSNYSDAPTEAIRQVLERESGKKIPRGVPLPTDSISWIRMGTTVATNALLERKGERIGLLITKGFKDLLFIGNQSRPKIFEFNIQIPEVLYDDVIEVDERVLILDQTKELIGEGAEIETTINGLQIVVEKWLEEAELKENLKKLKEKGIRSVAVLFLHSFIYPTHEKRAGDIAKAFGFDYVSLSHQVMPMIKVVPRGFTVCADAYLTPKIMEYLDGFQAGFTDLSNVRVNFMQSDGGLCEMKSFRGSRAILSGPAGGVVGIATTAYKETDKKPVIGFDMGGTSTDVCRYSGHLEHVMETTTAGITIQAPQLDIRTVAAGGGSRLFFRDGLLIVGPESASAHPGPVCYRKNGYLTVTDANLVLGRILPEYFPKIFGPNADEPLDKEASYKAMEEITKTINEFMENDSNSINQKFTVEEVALGFLAVANEEMCRPIRTLTQSRGFNPSEHVLACFGGAGGQHACAVAKVLGISQVRIHKYASLLSAYGIALADVVDESQTPNYFFALFLSCLPCFEEFETQFFPISSKKIFYLKKFPANYAKIYSQFMDLRATSLAGLKTQGFSENQIETKYFMHMRYEKTDTAIMISYDIEKPEDLIYFNEEFRKTYRREFGFVLEDRNIIIDDVRIRTRGKSGCHVEKLIDKTPVDQKLARAKSQTLVYFENAKFVETGVYLLEEMLAGQIINGPALLIDKNSTIVIEPSSTVTITPHGNVELQIGNDLEKDLTTEVDPIRLAIFSNRFMSIAEQMGRILQRTAISTNIKLSPTTNLGVRGSNPTKVNSFLHSFLSTLHVFQERLDFSCALFAPDGGLIANAPHIPVHLGGMQYTVKFQIDHRGIENIKEGDVYLANHPLAGGCHLPDFTVITPVFFKGHETPVFFIANRGHHADIGGLVPGSMPPNAHHIDQEGASFISFKLVDEGVFQEEKLIDALKAPGKVPGCSGARNIGDNIADLNAQIAANRKGIQLVTSLIEEYSLDVVHAYMQHIQNTAELCVREMLKRVGRQVLAKTGKSQLSGEDYMDDGTVIHLTVDIDIEEGTAVFDFEGTGPESYSSCNAPRAVTMSAITYCLRCLVEKDIPLNNGCLAPIKILIPEGTILSPSATAPVVAGNVLTSQRLCDVIFKTFDIVAASQGCMNNLVFGDDTCGYYETIAGGAGAGNGFDGRSGVHTHMTNTRITDPEILENRFPVVLREWKLREGSGGNGKWKGGDGVVRQLEFTRRLTMSLLTERRAFEPYGLHGGQNGKRGLNLLKRNGRAVNIGSKASFEIKKGDVLCIQTPGGGGYGRPE >CRE14328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:34341:34653:1 gene:WBGene00068882 transcript:CRE14328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14328 MLTYTYVFIFATLLLIQTHQSVPEEGGANVDTWKNSDGLNSNSDDVEYFMPSTEERESLLRDLRSLRQRFYKPRSSFPYYKGLGK >CRE14334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:39990:41869:-1 gene:WBGene00068883 transcript:CRE14334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14334 MLLSISGSLWKHTKPNWIKGNWNCFRSINAFMMREGVESLEQKGAESEIRDQYAEDSSDSDGGVVNEFKAVFKKYKKRRPPPDFSGVIVSDVRTSSILESRLIFPEPSDITTEKEELANRLGLISLSEWRVYSFPTRPGLYLIPGLLKKEQAKIWLKRAFGYANPPNITNLTIRGKDVKTDPSLLTKALRWTTLGVEYDWNTKQYPPNGRPVPEELYQLGNLISNSLKLGDMRPDATILNYYPPKSALSPHVDKSERSNAPLISMSLGQSAVYLSGALTLSEPPIPLWLRNGDFLVMHGEQRLVYHAIPCIGPKIEKQNFETNGVEEDSMIVDYLNCSRINFTIRQVNP >CRE14335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:44145:49866:-1 gene:WBGene00068884 transcript:CRE14335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xpc-1 description:CRE-XPC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NH81] METRRRSARLQLQTANSMNAAPQSSDEPKQKKKSPRGGRKTTTMKAKRAAPKRVANRRSTATPTPEDLESDSEDVASKIPKKSEHPMSESSSDEEMDVDLAPESSDVKKSAKNAPESAKNAPKPSSTAPESSNAKKSAKNGPKPSSRAPESSYVEDSAKNAPKSSYAAPDAPRPASSRPRRSTVLTKRVSYVPEDDMMELSSSSEDVSDSETEEVTTTPSMKRGKIQKSGSGIPKKRAKIYAAEESESSDSEEEESEDSEEADSDAPGPSNRVSKPRSRGTGKPRPQSSNQKDAHWPKCSKASIARKTGNPKNSKTVKSGLRMAVKPKPAQPWKKNLTGYEADRKLAKGERRMLEYRKVAHAYARGKIEEVSYDECFKIHENMKKAYFEGRAIIDALTPETLGAQGDRKQEKHKKPSKSKDSDDSDGGNSSEDEWEEMEHFQPVLDDNIEVTVDHEANGEEEENGVEKDWWVVYLRQEVNKRIRESWENTHKVGLFAGLKVHLLCYMAHLKLVVKTALDESLVPSLMMSQLPNGYLKFVGEIIPVDVMKNLVKWFTDAFRPLNGVVSVAAIEQDLLEGHEARYPETSRLTALVDGKCYETDLDRATLLFCLLKGLEATCRIVVNARTIQRKWDKKQQKELKEEMDKFRELSRSRSTTPKDSEGKGDTVDAAPSTSETKKSSKKPAKKVEERNYWVEYWQPFEKRWICIDPLHKTVDEPLTIHKDATTPISYVFAVDNRQGICEVSQRYAMDCVKQEFRRRRTDPRWIAWTLSLRPFAANAERKKWEAMQMREDLVKRPLPTIMSEYKNHPLQNSFFFSRYVLEKDLLKFEAIYPPPETQKPLGTIRGHNVYPRSCVFTLQGENNWLKLARSVKIGEEPYKVVKARPDFRVPVEDRVDQFLNVYGYWQTEKYRRPPLKNGKIPHNDYGNVYMFNECMCPLECVHLKLPGLVQLSRKMGKQCVPAVVGWAFDGGFTHPVIDGAIVLEKDAAQFIKEWEKLESGRAEREEKARVDRIHENWRKLIKGMLRLAYVRKQFGATRPNGKQKTSNGESGGKKKKEEEDSGDKDDGAGPSDSVDHIIDNSERIGPLEGFSLDDFINKKK >CRE14336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:49982:54549:-1 gene:WBGene00068885 transcript:CRE14336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14336 MASNMPPKRDPKEPTPLVLMNPELRVRQLRYAANIEATLMVPLHRYYEVLLDLSQMGLEYLKAMKLERAFIIFKRIEYFVFHRLVDHPGVATFTSAAKSKIMARVPMIMFVAQQIIVEFVMPVYERQAAYFRYEELQRRLRILNATAWQSIRGEAARREAEFYAEAEAISTRFGVELASFLPMEVIPDDNHPSNYPPRTYPAKKKVPGEITIDDAIQAAIDSMNTNVGRSAGMEAPLAGEQPVEALIRNVNAMMNDALTRFFRMRQQANGQQQSSSSHFPTEASTQAVNHKKPDDQDVILVIPDQADRRNTYSPTVDHMYRMPQVSSSNYVPTVNPNTKNPMEYNPVYSLETYVELLQKTFDANSKIATGYHIVQLMADKATSPSTAGYMLHLQPIGVEVAPPAVEGRPGRSFLPEIIPNILFGRVGAGGVPVPEPDPGPPRADPPTQPIAEPGTQDPEQFGIWVKKGAARTEGVGSLGVLSNLDRAREVLDTAPPMPAGIDQVGAGLPPPEQQMMPQMGNFQQNFQQGTFLHPGNPPPNRKRTGQEESQEDFIKRMTMSNFNQNPSAPGPSGY >CRE14337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:56743:71009:-1 gene:WBGene00068886 transcript:CRE14337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpsf-1 description:CRE-CPSF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NH83] MYGYLRETDDSTAINYSAYGKFLPGENTGFQLLTIGAKFLRIFRVNPYVLKEPGEDSDEWQQKTKLECMFSCRLLNKCHSVAVARVPQLPDQDSILMAFDDAKLSIVAVNEKERNMQTISLHAFENEYLRDGFINYFHPPIVRTDPSNRCAASLVYGKHIAILPFHENSKRILSYIIPLKQIDPRLDNVADMVFLDGYYEPTILFLYEPLQTTPGRACVRYDTMCIMGVSVNIVDRQFAVVWQTANLPMDCTSLLPIPKPLGGALVFGSNTIVYLNQAVPPCGVVLNSCYDGFTKFPLKDMKHLKMTLDCATSVYMEDGRIAVGGRDGVLYLLRLVTSSGGATVKSMEFSRVWETSIAYCLTVCAPGHLFIGSRLGDSQLVEYTLLKMTKESAKRQKIEKDPGEIELDEDDMELYGGAIEMQLNDDEEQILESLEFRELDRLRNVGPVKSMCFGRPNYMSSDLAEMKRRDPVFDLVTASGHGKNGALCVHQRSLRPEIITSSILEGAEQLWAVGRKENESHKYLIVSRVRSTLVLELGEELVELEEQLFVTNEPTVAAGELSQGALAVQVTSTCIALVTDGQQMQEVHIDSNFPVVQASIQDPYVAVLTQNGRPLLYELVMAPYVQLREIDISQTPFATFIAHNAATQLTSISVYTDASQIMKYSEKRAGPSGDSAEKSENPHPVVNIKKEVNEEALLYGEDDDFLYGDAVDEDDDGMEQNGGGGAGNGETSKLQNTRKRRRLGHDAIMSSRGGEQSDAIDPTRTYSSITHWLMVAHDNGRLSIHSLPDMELVYQIGRFSNVPELLMDMTTDEEEKERKAKAQQAAKDTAADEDQLTTEMKKLCERVMEAQIVGMGINQSHPVLMAIVDEQVVMYEMFSHYNPQAGHLGIAFRKLPHFICLRTSSHLNSDGKRAPFEMEVENGKRYTLIHPFERISSINNGVMIGGAVPTLVVYGAWGGMQTHQMTIDGPIKAFTPFNNENVLHGFVYMTQQKSELRIARMHPDFDYEMPYPMKKIEVGRTIHNVRYLMNSDVYVVVSSIPKPSNKIWVVMNDDKQEEIHEKDENFVLPAPPKYTLNLFSSQDWKAVPNTEIEFEDMEAVTACEDVSLKSESTISGVETYLAVGTVNNYGEEVLVRGRIILCEVIEVVPEPDQPTSNRKIKVLFDKEQKGPVTGLCAINGLLLSGMGQKVFIWQFKDNDLMGLSFLDMHYYVYQLHSLRTIALACDARESMSLIRFQEENKAMSIASRDDRRTAKPPMAAQFVVDGAHLGFLLSDENGNITLFNYSPEAPESNGGERLTVRAAMNIGTNVNAFLRVKGHTSLLNLQSDEEKESVEQRMSTIFGSLDGSFGFVRPLSEKSYRRLHFLQTFIGSVTPQIAGLHIKGARSARPAQPIVNGRNARNLIDGDVVEQYLHLSLYDKTDLARRLGVGRYHIIDDLMHLRRMAYYY >CRE14330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:77140:85591:1 gene:WBGene00068887 transcript:CRE14330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cng-2 MFATFNFEESPELREPPKRSRRRDRSVKYLRALSAKNNQERTQHDPSQVPGPSNSAAPISAPHTVISMAETVAPVLQAQLPAPRPGILRVPSNYPSDDEARPSTSTASNPRRESRWAVIRERSPQIVSKEVKFQEKTKKLDLNKGRMKSRSAGSRDRKANRSNPADDGNQKSFSDVVKTAMMLRNWISAMEHDERESEPDGDPTTTNAEHSTNTTVNSEAPLVEIPPMAFAARPTGWAQTRDYLKEKWYQLRYFYVTENSTFFYYWSAIITLGVLYNMCAMVIFIFDDVHQGYFWQWVYMNTFFDIIFLLDCVVGARMTFVHEGNEVRETTKMWRNYKRSQRFKLDAATLIPADLILFILSEASLIRIFRMIKIYRLYEFIVLTQRRTDFPHFMKILFLSTSCAILFHWNACVYFLFSLAEGLSEDDTNAFGFSYYKVFDPRFPTCTAFVDEDCWYPEDQSVLDIRDERIKYMQEMYKFWEDKFSITSLGNFSREYSMTIYWSSLTITKCGQQPWPSSSLQNALEIFDTLIGVLVFATIIGGVGSVVTQMSQNVNMFREMMDGIKFYMKYRGVQSAIQDRVLNCFLYLNTHNQLYDEEEILSLLPPRFQANIAANLHQETLSKVALFYRCDPRLLQEVVMLVKQQVYSPNDYLCRKNEKAKEMYLVKKGTLSVLEDDDNMRELDTLREGSTFGELSLVHVKGNLLGDRRSVSLRSVGYSDVYILHQDDMTRLLQEYPDERKRLLENARRMLHSRGLLETNELGEMCDNTDDMDDEQMLDFLSVDEQLNRLEGIIEGIDKELGQMVASFSHTSVFFKKRVTALEEIYNSNKKRIRADLYNGILKTGYDDKILLI >CRE02614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:1065:8351:1 gene:WBGene00068888 transcript:CRE02614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-che-6 MEDTENSSEAESIDPLQFEVDERYFENAELESYDVYPTGWTIAKEVLEDFSHRLVYFYVPFNSKLFYFWSFLVSIGVLYNAFAMVIFIFADVKSQYFWNWVFCNILFDVVFAVDIFVQSRLTYLHEGEEVKNTQKLRKNYFLQKLKVANDILCLLPLDFLLFYDDSISLLRTIRIIKVIRLIDFVQRTQQRTTFPRLFKIVLLAVSCIVLFHWNACLYFLFSIYEGITEESQTEFGFSYYKVFEPVFPVCEAYYGNDCWYNEDIDHTLDLDDVRDSYKMDLVNYWKNKHYRWSTGNFSREYSMSVYWSALTITTCGQQPWPSTSSQNSLEICDTLIGVLVFATIIGSVGSVVTQMSQTVNEFRQMMDGIKFYMKYREVNSAIQERALGCFMYLMAHNQLDDEECILSLLPPRLQANIAANLHMETLQKVEIFAMCDSRFMHEVVLLVKQQVFSPNDYLCRKNEKAKEMFIIKQGKLRVIDDDTGKELHELNEGATFGELSIVHVKGNLLGTRRCVSLQSVGYSDVYVLYRDDVSRLLLEFPQEYRTVIANARNMLHSRGLLETTELGEMCDPEDHEEAEDMILEEMSVVEQLTRLTGIIDDLNANLSEMIVSFSHSCIYYKQKMTCLENTFNQHRQSIRRDFRRRLI >CRE02615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:11342:11809:1 gene:WBGene00068889 transcript:CRE02615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02615 MMKEAQKQQVKTVDSSPEVGGVVDGSTEDSGSSEVHTTLTPPGTIHVGPDTIVMNGREHIHDPEHGNDDAIVVDHKMQKVQMFGGEDVEIGGNSEMPKTGHDHDHHKYNPEIEKQEFKKGWAPTEILWSPSIMANGYNGGVRRPDYRHFVAAQRH >CRE02616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:12673:16676:1 gene:WBGene00068890 transcript:CRE02616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02616 MKNQSIAKCIDLYHASPVDTTTIILHLILIIGGLISNICLHFMFSGRPKLGTASFAYIRIIGMFQLAFFITPFPLKILTDHYQAGRTWIAAKFPSSPPRPVSFCHLYAMPMLYNPFVTFVELYTCKCRRWNSVSWVAWQKIFCLLPFGTIVNVPLCFEWVIDYEQCSYGKELYAIGRPHLSEAAIQNHIKTDLIRTIPTIIFWITAFCTFLFFAAEKCILPKLSSPYAAKHIPLFSNLQPLLIAFILSHSTVHVFTTFHVLSNTKMPESTRNQMYGISYSLPFPIMLVISRTFRSHLFHLISNFFQGISRRPKIRKDSALRKMTSQIARHMAFSKLEMENSELDERLNNMRTILRDDAVYRVRFAIPQQTVQIIQEEDNSDNTIFSHEH >CRE02629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:16914:28880:-1 gene:WBGene00068891 transcript:CRE02629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mca-2 description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3NDB1] MPSTSDDYDCSIAELKLLMELRGAEALEKINSAYNGVEGLCHKLKTDPINGLPNDTKELQNRRTAFGKNEIPPAPSKSFWRLAWEALQDITLIILLVAALVSLGLSFYKPPAEHASNDSSESEAGWIEGVAILVAVLVVVLVTALNDWTKEKQFRGLQSKIETEHKFSVIRNGEPHDIVVNELVVGDIARVKYGDLLPADGILIQSNDLKIDESSLTGESDLIRKSEEFDPVLLSGTHAMEGSGRFLVTAVGLNSQTGIIMSLLGAAKEKKEEKPREETTTLTNGGAHGANGLTNGIEKTGDIAAASDDGEEVGRMTKSVLQTKLSNLALQIGYIGSVVAAATVLILIIRHCISNYAIDGKSFQASDISHFVNFIIIGVTVLVIAVPEGLPLAITLALTYSVKKMMKDNNLVRHLDACETMGNATSICSDKTGTLTTNRMTCVQQYINPPDNEFYKGNAPKYEQMDPSTRDLLFNGIVCNSGYNSTVVEPKTPGEQRGQIGNKTECSLLGFILDSGRSYEDLRRQFPEEKLYKVYTFNSSRKSMMTVIELGDRKYRVFAKGASEIILTRCNFIFGKSGKIDPFGPKEAAALTKNVIEPMASDGLRTIGLAFKDLVPSGTKKHEYEEEYDGEIDWEDEEKVREGQTVIAVMGIQDPVRPEVPAAIAKCQKAGITVRMVTGDNINTARSIATQCGIMTPGGDFLALEGKEFNARIRDADGKVNQQKLDAIWPKLRVLARAQPSDKYVLVKGIIDSTNSKNREVVAVTGDGTNDAPALKKADVGFAMGIAGTDVAKEASDIILTDDNFSSIVKAVMWGRNVYDSIAKFLQFQLTVNVVAVTIAFIGACAISDSPLKAVQMLWVNLIMDTLASLALATEMPTEDLLNRKPYGRTKSLISRTMVKNIVGHAIYQLAILFAIMFWGDKLIPNTPSGRNAPLGSPPSAHFTIIFNAFVLMTLVNEINARKIHGERNVFKVGGFGSYETDWGAFDAFLEVLKVSKIIKLCNVLRLAGGIFTNPIFCVIWITTLISHILIVQFGGQWFSTAPLDMTQWIICIACGIGELFWGQIINCIPASILPKSFRFGKGDVQPTSIMLSGEYDMPSTASTLPMKDGQVHDDKRPGQVLWLLGLTRLQTQIRVVKAFQSVNDDSHPNSLTTSTADRLRASYRRLRIARELEQQKRAGGLRAGGQVYSTETNAKDTSGIRFA >CRE02630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:44937:47048:-1 gene:WBGene00068892 transcript:CRE02630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-26 MCTALMAIPEDGDTEVVSEKTFKVFSLLNLDAPYLHDKSHVTEEEEVTGCCGRRKGAIIATMQIEQIGVMPGMTSKISLTVENKTKKRKKWMRKKENHECVLLSLCQQLDFVAVNRNDPMMIDRKSITIAVESHGTCKTKAGAGPQTKEIEFSVPPNLPPTSIHANRLVTVSYFFKLDLDHFDLVLPVIVGSSKSVPGSVK >CRE02632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:60063:61611:-1 gene:WBGene00068893 transcript:CRE02632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02632 MWVLLLIAAVISPGFSQVEEYKIDVDECKAAGFNPETLKCGLCERLSDYHLETLMSDCQQCCMKEEEFKHNKYPIAILEVCECNLARFPQVQAFVHKDMARQFGGKVRVKHVRGVRPQVALKDADFKTMETLSVEKWDTDTLIDFFNQWLE >CRE02617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:62396:66606:1 gene:WBGene00068894 transcript:CRE02617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02617 description:Probable ribosome biogenesis protein CRE_02617 [Source:UniProtKB/TrEMBL;Acc:E3NDB5] MGKGKNRVVKPARRDKPPKQREVEEEEESEDVEDSEDVDDEATSEAGSDESDDSDDYEFPFRLAMYDFNQCDPKRCSGRKLMRAQLINEVKLGQRFPGLVLSPTGKYTLAPRDRAFVEQHGLCVVDCSWKEVERTPLHKVKAPEHRLLPYLVAANSVNYGKPCHLTCAEAMAAGLYILGYEEAAHRVMRPFSWGEHFLELNRELLDLYSKCETPEEVIKAQNEYLERIDRERELDNSRQIDLPPSDSEEDEEEEGEEEEELRKSEILNFYYYKWKFDVKTTVFEHPDVETKNAPKNPIVSSAIYQSSTVS >CRE02634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:91484:95337:-1 gene:WBGene00068895 transcript:CRE02634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02634 MKVFAFLSVATATAIYATTSHRRYYASDNHRDYPNFFADPRTSSGSRSANSHSSSDSSPDSPPPGSPSDSSQPTNIRSVRSASFPEHSDDFSNPHIRTIRNVIEESADYLKHTTILAHLVNGIALQSGLMNGSIPPSDAVGELLNFGSVAVSTIVSFKKDKINALIEKLKSVKSDIKPVYADHEKEVLKWHDLILDVSNISDVKNLPDFEPYFEALKDFHANFDFEKFEKPGNAFRSRKNDLEIIQNLSPTDSDSFDKLTSLKSNLKKAISYVTEFKSCSDELNKEYKSLVKGLTVFAPVETTIRISKERSAFTTTLSEKVANGISENINIALGVSNDSKSAQKDVLILNNLVESITNTGSIKRKYTNGFPNGLADSKLLLNDVRDRWIKEVVNIKGANPNVLTDGLEPLFQLQKLLEEIDEKLSPISTATNMNKALSDFDNLQKGLSDLKQDSASLVKDLFSTLEKTINFGSIIPREQTRVENIIKTVKDLQKLPDAASRALDGLDVVKLNEEVDKLFDSLGFKKFDDASGSQKEFKSVFTKIIGNKGLEGIREFIKKLIEKFQTIPSADDKLKDKVKEILDNKDIINTSPVIKKEIDDVHSLLKPLKANSVKVSQAIKAYRQLRDIRLKDIPVFETVASAISDVAQDLSGVSTIPDEMKKDVSQATTEINKLPDSLAKSVVIGHSVNSLRSAFALRDLKAEVEKLKTIDSAVQAVIQKIWGNYKEDMAELEKTLGDIERFEKNLNVSNLTTIGAYGTPLTALASLTSVNMNAKEKSKALEALLNDGALKMDPTVKENIEKSKDTLDQLADLDLGFASHTTQFQSAPGVFSDLQNFLTKLLQVPMSPRQPSGQVPG >CRE02622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:98718:101672:1 gene:WBGene00068896 transcript:CRE02622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02622 MLTPVFWITQDDDSLLIRIRAPHGNLAELDYDHGEYMFVFTCPPYFLRLHFKQMVEEYGSGNGKVEWNSDEGEFHIRVPKIHKSEHFTNLDMITELLNPTTATQPHGRALVEEVEENSEEEDVEDSEGNEFLVDQEIPSAETPKSDTEIKKFGYGFGWTKIGIIERLRGEIGNLVDIQNPEDVEIQMRLEEMTKTDWEAFDEGRYLADTLEPEEELLSLVSAPFSMSQFLEITEEDRMKLKDLRKTKISKIWATNEEIGISLLDILYGYLYDQRVNQWESNCESGWTVAKLSSTLSYFVKFGSVKEHFSALTVSKNMILNRKMT >CRE02635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:102281:109497:-1 gene:WBGene00068897 transcript:CRE02635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02635 MKVFAFLSVATATVIYATTSHRRYYASDNHRDYPNFFDDPRTSSGSRSANSHSSSGSSPDSPSPGSPSDSSQPTNIRSVRSVSFPEHSEDFSNPHIRTIRNVEESADYLKHTTILAHLVNGIALQHGLMNGTISPSDAVGELLNFGSVAVSSIVNFKKDGINTLIEKLKSVKSDIDPNFKDYEKIALQWNEVILEVSKIGDVKNLTGIDSYLQVLEGFIKNFKFGSFEKPGNAFATGMTDLEYIKTLKPTNSDNFDKLSTLKTHLKKAISYVTDFKSCSEELQKNYKSLENGPAVFSPVEKTILLSKKRNFNKIIEKQYAGPVKKNIEAAVQVSKYAQDAQKDVLILNNLAESISAPGSIQRKYTNGFPNGLADLKPLLNDVRDRWIQEVINITGANPIVLTDGLEPLFRLQKRLEEMDDKLSPISTATNMNKALSDFKKLQKGLSDLKSESVDLVEDLFEKLNSARFVPLYENSYEEIMKSIQTAKDLQELSDAAIEAMDGFDAEKLNKEIDKLFDSLGFKNFNDENGSKDEFGTVFEDITTNGKLKGIRDFIDGLNKRFRKISATTEGGLKKRVQQIFANKDKINKFTGLDEEINKVHGYLKPLQNNSEKVSQAIKAYQQLRDIRLEDIPVFETVASAISDVAQDLSLVSTIPDEMKKDVSQATTEINKLPDSLAKSVVIGHSVNSLRSAFALRDLKAEVEKLKTIDSAVQAVIQKIWGNYKEDMAELEKTLGDIERFEKNLNVSNLTTIGAYGTPLTALASLTSVNMNAKEKSKALEALLNDGALKMDPTVKENIEKSKDTLDQLADLDLGFASHTTQFQSAPGVFSDLQNFLTKLLQVPMSPRQPSGQVPGAQGGPGGADAIQQGQKSDEEEKGLSWYYIALIVLFSLGGGGGAGVGFYLWHKRSQWKKWLAKLLYWIRSNALRNVESAITVHSNHVERIRLEARSWTPTENTVAVTRRRRADLQCNSDSAVLLPLVDKEKNVMIYANKIKTKKGLKLIATQAPSINTEFWMMVMQENSDFVISMCSDDEMTSLECSYYPHKVNETVSYGPYSVCLKEEKLTASKKVKQRTLVVTDSSSKDKKTKTLTHLQSFNWPVDTILDDHTESLEILDLVKDSTTPIIVHCADGGSKTMSLIGLQYVYEEVKDDPSKDFDDLVVEMCNKTWHSIKENVFTAWIASGVRIRLITEFEFHNEEASYTTDKEVLKKMKVALEEKRVADTKADEARRLQAEQDEKDRADRENQQAEADRIKDAEIKNLKDENEKKEAEKAQLVQQAEIDKAAALQRAEEERKEKERAELKKKIQQWIKKQRCTDINKLFALHSGILKAMDVGHRDKKKALDELPIPKHRFAFLCNPDTAVIVKSRGKRIPIHANHVKTDSNVRYIAAQAPMPKEENRDDTCEDFWVMVLYENAEFIVNLCDELQTRVACGNYYSSEVGYVECGRFKVITKSVELILEGAVKKRILEVTDNENEFKPAVIKHHQFLAWPDHGVPKGHDAALGLMNIVKESKVPIVVHCHAGVGRTCAFIGLQLVYEEILKHPENSMIEPMYKLRDQRWNAVQTSSQSFWIYLGIVLRLIREYKLDMNDYTEQNAIFNIKMC >CRE02623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:111918:115732:1 gene:WBGene00068898 transcript:CRE02623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02623 MLTPVFWITQDDDSLLIRIRAPHGNLAELDYDHGEYMFVFTCPPYFLRLHFKQMVEEYGSGNGKVEWNSDEGEFHIRVPKIHKSEHFTNLDMITELLNPSTAAQPHGRALVEEIEENSEEEEDVEDSEGNEFLIDQEIPSTEEPKSDTEIKKFGYGFGWTKIGIIERLRGEIGNLVDIQNPEDVEILMRLEEMTKTDWEAFDEGRYLADTLEPEEELLSLVSAPFSMSQFLEITEEDRMKLKDLRKTKISKIWATNEEIGISLLDILYGYLYDQRVNQWESNCESGWTVAKLSPSLSYFVKFGSVKECVISSIRRSICYPLYRNLCLSLRILEDLLQILSSAHPTTVILHILCDIHRIFIDSGDFRYILNDLFITDYIFWIQTDDSEDVVRGIQKELEDVVAGIQKADVGWDLEILETEAKMQATTLDSDDDEMPQNN >CRE02624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:116770:118291:1 gene:WBGene00068899 transcript:CRE02624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02624 MSKHLYKQYVRIAGKWPKDANKAPERDFAVFLSKEIERQFQPNGPAASESSGICEKRLQALDQLLNNEVMKRHPNSYTSGVFGMRLSDLQAASSEENRKQMGLKPKESIFKKIYRTVVPEKK >CRE02625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:142777:146414:1 gene:WBGene00068900 transcript:CRE02625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02625 MCVSEEFGTRFFGCILNLWLLPLVCGVGLILNIGCLIVFFSTRGHPLVPALIFLSFCDCFQLFFSFLVLFLPALHDFSQSTPYSTLGQLAYLSTGLLSPLLLAFNCASIWTICFISIQRHRAILRPLSSISSPSKAFKPLLFISAMSLLFNGCKWAEFRWFWSHDPTNSSDYQYILAHEPSDLARNENYHRVLDNLLYPLLVYLVPLLLLSVLNFRILTNISNRRVSFDHKSRYAQERRSVTLLISIVTMFFLCHTGGLAYRFVDQEKYNNSELFVLLKDIINLLFNVYSFANPLLYFVFTRQFRDLRSMWNSHFASPASRTESYAITSSKSSSSKAQRHLSMPSLYRTPCVSGKLLP >CRE02637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:147619:154073:-1 gene:WBGene00068901 transcript:CRE02637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-parg-2 description:CRE-PME-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NDC8] MTSSELTHISEVFDERNLIFQPDYSSVDPKRHYRYCSISGMEDPEHFHRFSEDTEKELLLKQYWRPVDENGTPNPHYLENRTRLENFLKEYKNTGGIELLETTILEILNSDERIVEKFESLPGLRKFFKVTTERIEDLMEKFANVVRIALRVEDVLPVRIYRLVDDVISATFSQEQCAVLLAWMFFDSRRNRSFLNILNSTHPISIEKIKFLLHYFEKVTEEMPQGVISFMRIKNSNFWENEFEKNREKKLSKAIVFDELLIEQTALCTQIDFANKHIGGGVLRLGGVQEEIRFLMCPEMIVSMLLFDRMEPDEAISIVGAQVYSSYSGYSGKLKWQPLSPSDALQNNPDYRDRFGRLQTEAMAINAIKFFGRQFKNMSQQLEEQNLKKELLKCGVAFTAQDTPFEGIPVVSGWWGCGAYGGNKPLKFLIQLIASSIANRPLYLCTFGESQMGNKCKQMMRRLEIDQVTVGELYDLLLKVPKLELYEEMHVFDSIEEMLCRRSQ >CRE02638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:155145:158139:-1 gene:WBGene00068902 transcript:CRE02638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02638 MPNSVIFGTFLLQKFNGTLIKTKSGKVFPTDCSDWQLLYESIPSELKKLHSAGHKIVIFTNQKGIQVAKVDRAEFRKKIEAIVGKMGVPIQAFVSVAGGKYRKPCIGMWEELRERNEGVEIKLDESVFVGDAAGRHKTKSRLKKDHSYADRFFAANVGIPFKTPEEFFGKSKEQEPWGPPVFDPKLLFADGITQLEPADAPLKSDKPEIIVMVGFPGSGKSTFARMLAEQNGYKIVNRDTLGTWQKCVAAVRSHLKNGDSVIIDNTSPDVESRSRYVGVASETSTACRCFVMKCGMEHAQHNLRYRTLTNDGAGEISSMVLRIHKGKYVEPTKEEGFQQIVHVNFQPKFEEKHLENLYKMYLIE >CRE02626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:162312:164121:1 gene:WBGene00068903 transcript:CRE02626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02626 MSETPPLDAPTTSDTPKPVVQKRRFNYTLAYKMEVIKWAESTNNCQASTRFGVPRQCIQSWRKMKNELLLEEIQGKSGKKKRLGGGGRPLKNVEVDEKLEEWLKEKQADGVKITGKMIKEYAMTISENEDFKASNGWLQRFMIRHGLATPTRNQKTSASESSSGGFEDLLNQLLQQSEGINEIIGVGGVEEEAGPPMDMGAELHKLLENFRDN >CRE02639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:164486:165309:-1 gene:WBGene00068904 transcript:CRE02639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02639 MKRAMDGVKNEAKKAKDTDLFGRPLKKNGSWESKDNGDLMIFTHEECEGRDKIAAFDMGSLWKD >CRE02640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:165539:167030:-1 gene:WBGene00068905 transcript:CRE02640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-33 MKNLFFRIFPQFSIFYRIFPEFFPFFRAKMASRLAASRIVHAGRGISQPTPFGKRMDRLSNRVWGEVVMPTDTKSLKVVRVMSAEPYETKEQLSPEYYPNLPMFHYLTKMLRFHGVFFDDHVVFRDVQDNLKTIRGKVVRPPIGQGKRAQLRGKK >CRE02627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:167969:174588:1 gene:WBGene00068906 transcript:CRE02627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02627 MVLADLGRKIRNAIGKLGQNTVINEEELDLMLKEVCTALIESDVHIRLVKQLKDNVKKAINFEEIVGGANKRRYIQKTVFNELLKLVDPGVTAFQPTKGKRNVFMFVGLQGSGKTTTCTKMAYYYQRKGWKTCLICADTFRAGAFDQLKQNATKARIPFYGSYSEIDPVKIAAEGVEKFTKEGFEIIIVDTSGRHKQEASLFEEMLQVSNAVTPDNVVFVMDASIGQACEAQARAFSQTVDVASVIITKLDSHAKGGGALSAVAVTKSPVIFIGTGEHIDDFEIFKPKSFVQKLLGMGDIAGLVDMVNDIGIQDNKELVGRLKQGQFTLRDMYEQFQNIMKMGPFSQIMSMIPGFGPEFMNKGNEQESVNRLKRMMTVMDSMSDKELDHPKASELFTKEPNRVARVARGSGSHQQEVRDLLAQYKKFSDVVKKIGSIKGLFNGKNGDINPKNMNPAKMAQLNQQMAKMMDPRVLQQMGGMGGLQNMMNQMARAGKM >CRE24254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:14920:15864:1 gene:WBGene00068907 transcript:CRE24254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24254 MIVDLVQSIGQGEWAQVRQLLLKHWLIQVPQVCPSGELLFSPIVSAFLLDVRNTKSDFGGMNEIARVDPSKIGKSCGHVFKNEEETYTCLDCATDETCVMCLPCFEVSIHKSHKYEMRSSSGSGCCDCGDVEAWLEGYACANHKKKDEEETFTLAPELKKRSEQLIEIILHFALSMLTHNDDLKLPDFFEKLEIESSTDNQQCLTVLYKDETHSYESVSELLR >CRE21455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:30417:35089:-1 gene:WBGene00068908 transcript:CRE21455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21455 MSHSFSFPIEDTQLMSQDFPNSPDIAAPPRSGVIDGIEDTLLMSQDSPALDDMAGPSQSGVIDGIEDTLLMSQDSSAPDDMAGPSRSGVIDGIEDTQIMSQNMSEEMDYVEDSQLMSQGSTRKRDKRKVSLSYIGLIKSSNFQRKKIADSTRVSAKRQLENKAEKAQRLRNDATRSALRRSNGTEKTKAGEARRDSERKSLRRSLETAEEKEKRKQVDKQKHAEKRTEEPDEVKKKRVRIEKDRQAVARATDDLTQKLSRRTADRARKSKNTTAFLGIAASDDRPDTHYCGRMDKECSFCGALYFKCETTTKGEFTACCMSGAVKIEQKDIPHALKKLFLANKDFKDQNLWKESKNFIENIRQYNNSLAMACMKADVQLPSGGPYCYRIHKQVYHLIGDLHPGVSQPRNFAQVFIMDTEQAAAELAGRDMNSSCSKELFDKLISILKESHPHVQSFQMMYEVEKEEKEKAALEKRSERNITMTFQTRSQDDHRRYQESTANEVAVVYVGDSDEIPGKRGTTVYQRSGNINSIALIDPNCDPMCYPLLFPTGQFGWNPEISYTKQRGKRNRVTMREFYAYNLHVRTSFSPLFRSRKLFQQYVVDVWTRTEQNHLNFIRNNQALLHVETLSGLQDYVVGEEKGPVGMRITLPASFTGSPRDMIAKYQDSMAMVARLGKPDYFLTMTSNPKWSEIQECLFPGQTALDRPDLVARVFQLKITEIREDLFKRHVLGKVLAYIYVIEFQKRGLPHMHMLIIMKPGSKPRTAADVDWIISAEIPDKDDNPVLHELVTTLMMHRPCGVHNQKSPCMQKNGSCDKKFPKEFRDTTSTDNDGFSLYRRRDDGRCVEYQIDGQVVPLTNQNVVPYPPWFLTKYRCHINLEVCGAVSAVKYIFKYVYKGTTRAAVLIRVVDGKQMEVVDEIKQYLDTRFVCAPEAVHHLFKFPMSYRSCNVLQLAVHLPEDQNIIFQRGDEAQAVNRAQSKNTKLTAWFAINKKCEEAVLPDGSFPPTLKDSRQYFYYEMPEHFTFNTSTTAWQPRKTMETSLGRMYFISPKNRERYALRQLLLYTKGATSFDDLRTVQGRRWDTFVEAARASGYLSDDTMYEQTLSEAAGFHSAAQLRGLFVMLLLFENINNPEELWNKFLKDLSEDFEHQGYSPKEAESLAYYDMKDRMEAMNGDIKQWINKDYQPVASATHFVDLRECEKKGEEMRLLLNAEQSEAVESILAALDLGGLFFIDGPGGSGKTFVYNCLANIIMGKGLTILPMAWVAIAAALLPNGRTVASICKLNINDFCKSSTLKPNSSLAKVLAAVSMILWDEAPMSPKAALETVDKLFREITGIDLPFGGKVVVLGGDFRQVLPVVDNGRAEDQIANCIKKSFLWNQFQVFQLKTNMRLTGDALEWKKELLDIGDGKIGAPETGEMPIPDGLESNGDLAEEIFGDLLASGDVNKLAKVAILSPRNKEALEVNSLVLDKMAGELKSYTSLDQIKKTKKLHH >CRE21432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:46134:51072:1 gene:WBGene00068909 transcript:CRE21432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21432 MSPHILATVTIDDENHRNENCSPSSQDMSQSPKPKGRILYCRKCEGHGEKVILKNHSPQCPYILCNCKSCEKLNYKRLKSFNKRNKEKIELAAALNAKRHATESGSSVDDEEGYSRRSSFCSKTSTPDMDSGDTRTSSTTPITRASPAAMSNSTPTSANNNGLEGRSGSLGHMTVMSYDIWKAKCASEKKRLEDERAKKTSLDPFTRSPSPTPMRKRAHTFVAQPTNRTEEIPVVAPKKMSVDEKGKGKYILLPTIPAMRIFVNETEEEKPSPLMTPSLIPSIPMSQQQMTPMTTMAQLPLPTTTMAPMITPTSIFDIPSTSTNIRTFQMPITTSPMQQQLPRPAPVMAPPQLSPFKPVGLPAANQSLVNNLSTEQSLSSLILHNTVLQQQQQNSQMMQDFLGGSQAAPQPQVDVLSMLRLQQQAAALASALQAAGIQIPMSTPTPVSAAPQPLPMLQKTLPSLSTNSLLNPFLQSHLFTNPV >CRE24874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig795:488:2871:-1 gene:WBGene00068910 transcript:CRE24874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24874 MKLIRKYHIVPYEDGSAVESAKRFLETILNDPTLETSEKCRFYQDLLYRIRQHRELPIMTDEVFDDLRDTYSQQNSNNEASAGAVAVPTLKRELAVVVPKIEKQEPEEPMDEDDDDLKELPAVFKRVKTESADNAYQQQQPTARRLSRKRLHVDVDDVEDNDRFETTMKQRRVAAATLELPSPPVRTSRKRKILDNAKQKLNIVQPTRPSPPPLLPPPPPTNQRRPPKRRHPILHSKIPEKRRKFVELFDPTGGKLPVWRVRKDYRFAPYQTERPKKEWTGRRHVAKLKNGKLAAAVKREKIKKREDEEEEKLVKPKVELVQEMWPGSDDDDDDDDDKKIDPVLKKEKREESDSEDDVKPDEIHRAWTDTALPCAFTSVANVHRHVRQTHPSLRYEQVESALEDLESFTLHRPNRKRFPRARTQAAGVYTDLQADLVDMAKYRRKNDDITFLLTVIDCYTRVLFVKPLTSKSGAVVAAAFKQIFAEMGATPTILFTDDGKEFYNSVCRKLFDEHHIKHISPKNDVKCGMVERANRTLKTRLAKYMTHAYGHRYIDELPHVVHAINHSVNRGIGKRPVDVRLGDFPIPLPENARRPSFKIKFAVGDHVRLASKRGFFDKGYEQGWTTEVFVISEVAPGRPVTYNVVDTNGEPVQGIFYSQELTKCTYNATGTYRIEKVLARRTRGRRKECLVRWEGYGAEFDSWIPESSVLQL >CRE24873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig795:5233:5899:1 gene:WBGene00068911 transcript:CRE24873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24873 MEFHQRLSQHVDNSKMRVERIIKNLPEALRRLSEIVQDIDITRVQEKNRIANLYTSLDDDVSKTLQWIVHLVSYERNEGKQLERRNKLRKLKKGGIWTGSVQATNII >CRE06203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig813:2247:4699:1 gene:WBGene00068912 transcript:CRE06203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06203 MIDSADPYMIPTTALCLQQTIDESNSILTELTNVRHPRNTDCYGILKRKEVPISCFEREMVEKENRFDKRYTPYGSKDLPIYFMFSLEIPQPYDKIVELFFQDENQYPNEFQPATKKMKLEDYSVEQQMAVDSVSDQERGVTREFNNAVLNFNCPMPSSSLTDTFSPVTSTPSTSSRPRSMSAKQAHELLSTPIPDNVYLDTKDIARQMKEWFTFGICTQAYFAGNVLGTVRNRLHRVLTIPRPFDSLKAGKELYIKMYNWLKLSEDVKNEILSIFGINDEKPKKFTNVSADLGSFPDEYDYPKLGSRKRQVSLESEASSDSGVSTMSTRSSCHSSISTQIFETIEEDYDFPQSLTSSVSGSSLSPESSFHTSITTETFNGIINKPANYVDTKRISVVVKNWLEITQATQEWFATKILKRCRRTLNQCLNNPKDWKELNQKREIYVKMHNWMCLTEEQRHEMMRVYKAPNMDSH >CRE21434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:78397:79880:1 gene:WBGene00068913 transcript:CRE21434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21434 MISTKALSVQQIIDESNYILTELTNVRDPKDTDCYGILKRKEVSISCFELDTIQIENRFKTGYESRDYPISFGISDKNQNLNEFEPVTKRMKLEDYSVEHQIPVDPGCNQGNRVSRELHNAVLNFNRPMPSSSPEFSFDPVSSSLSPPTSTQSHSMSIEQAQKLLSTPISSKINLDTKEIARRMREWFTLAICSQAFFAVHVRGVARNRFHRVLTIPPPFDSLKTGKELYIKMYNWLKISEDVKKEILSVFGNNDQKSKKFAQGPENEKEEYECPKEISRKQKASLHSETSSYSGSSMSSEPFLSTSITTETFHAFINKQINYVNTKKISMLIKDWLEKTQATQEWFATKILGRCRRTLSRCLNNPKDWKDLSQKREIYSSEHR >CRE21435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:82656:84518:1 gene:WBGene00068914 transcript:CRE21435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21435 MTPIKNQPTSDDSNHPQAELTNDKNQMKRDGSGIIKRNQNDNQDQDELIPTVKKSRRENFGVENLTPVGSNEGKKWTLRAEFQPLRHSIASILSPHHSSLTSSLPSTTADSHSSSISVELALELLNLPINDESDMTAEGIVKGIREWLSLGICSQEFFLSHILVVDKRRFDYVIAFPQAYFWLDSGRKLFARMYNWLRISEALKMKILSLDQSTKRIKLEDYSVDQQQPVGGCDNQERGVTGEIPSESVNINQIANSELNNVNRPMPSSSSCSPVSLSLSLPTSSSTSSMSAEQAQDLLSKPTSDNIYLDTKDIARQMKEWFTLGICTQAFFAVKILGIPRNRLYEILTTPPRFEKMKTGKELFIKMNNWLKMSEDVKKEILSIFGIIDVKSINFAKGSKNEEEEYECPKKITRKRNVSLHSATSPYSSSSLSPDPSTLITAEAFNTIINRSVNYVNTKNIANMMKNWLKRTHATQEWFATKILGRCRRTLSRCLNNPKDWKDLSENREIYVKMYNWMCLTKEQRHEMMRVYNAPNMDSQ >CRE11602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1417:7088:8120:-1 gene:WBGene00068915 transcript:CRE11602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11602 MIPINNQQTADNSNNPQSKLTNVKNQKKPDGSGILKRNKNENQDQDELIPTVKKIKKEDSGVENSTSVGMSGGRKWMLRTDLQSRGIPKSSVWSPNYSSSTLFPPLPTTNSHSSSISVEMALEYLSTPISDEDDMNAERIVREIREWLSLSRCSQELFLSHILDVDKRRFDYIIAFPQEYFSMSGDLKMKILSLDLSGNKNDSSMEVPENKENASPQPETSSDSGDVPLTPDLSSNTSTTDSDVVTKIINRPVSFVDTKNVSIEVKR >CRE01508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2440:698:2070:1 gene:WBGene00068916 transcript:CRE01508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01508 description:Anoctamin [Source:UniProtKB/TrEMBL;Acc:E3NV07] MTRSMSDGTLRRHVENVRNKRQSSVEQEEEMSPKRSPIGSFFSLGSPTEGELRERKKHDEGKLETNDMKQLRTLSSIFREDFSLKTERLPLPEFKPSNDSNPELTQAELESVMSVYARPLDDFLEMFIQFGYVLLFSPAFPLAAVCALINNLIEIRVDAFKLCNTVQRPFGRRVKDIGAWQKAMELFGILGVIVNCALIGQSGLVQRIWPDLSWGGQILIVVVLEHVILASKMIIDILVPDVPHWVRIETAKQEHFRREAFKRESRLLSHTQTPSSDQLQSSNNQQEPTTPDAASRFNRLDQFNRSKRRSITPMVRLSSFTWKPRNEDNCID >CRE21458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:89641:94056:-1 gene:WBGene00068917 transcript:CRE21458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21458 description:Anoctamin [Source:UniProtKB/TrEMBL;Acc:E3N3M6] MATLHNKFGAIIQDGIVEGQRFALSSDLWRYASTSTHCDLLITMKFSDDDVENKTSVMWLVQQMREHEPNLRIEVRYHRLNSCYAIYLTADYKSLLKGAELCHIKKAIKSKFGGGMRDFSFEEAQFFAGVEGKNTFLSPMERTIIVKQMVDMMRAGKGGLSLKLPRRTITFTEGIAIVPRLISMNVVQNVSALHNTEYLKHLQQKWVASLGEQPIDQVKDYFGTEIAMYFAWLGHMTTALWFPSLLGILMWFLGGFKYKNNPGDKQDLYQLISDICFVLFAFFNCIWSTIYLEWWKRVQAELAFKWGTYDATQDSYLQDPRPAFAGDYLAPNPVSGRMEPFYPAWKHTVVRYVITYPITCLCVVGMFVAMLAIFTVQDLADFYFAESFFFHWICYLPMIVYALMIVISDKIYRRLALVLNDWENYRTDDEYEDFLITKIVLFQFVTAFGSLFYIAFVLRDMKRLQETLATLLITRQVTQNIMETVIAPSL >CRE21459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:96220:97953:-1 gene:WBGene00068918 transcript:CRE21459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21459 MIPTRALSFQQIIDESNYILTELTNVRHPKNTDCYGILKRKEVLISCFEREFVKKENRFDMRYIPYGSKDLPIYFMFSDENQYPNEFKPATKRIKLEDYLLEQQMPVDSFSDQERGVTRELNNDVLNFNCPMPFSSLTDTFSPVASPPSTSSRPRSMSAKQARELLSAPIPDNVYLDTKDIARQMREWFTLGICTQAFFAVHVLGTVRNRLHRVLTIPRPFNSLKAGKELYIKMYNWLKLSEDVKKEILSIFGINDEKPKKFMRGPEDFGSFPDEYDYPKLVSRKRQVSLESEASSDSGVSTMSTRSSCHSSVSTQMFETIEEGYDFPQLLTSSVSGSSLSPESSFHTSITTETFNDLINKPVNYVDTKRISVVVKNWLEETQATQEWFATKILKRCRRTLNQCLNNPKGWKDLSQKREIYVKMHNWMCLTEEQRHEMMRAYKAPNMDSH >CRE21437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:100256:101806:1 gene:WBGene00068919 transcript:CRE21437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21437 MIPTTALCSQQIIDESAYILAELTNVRHPGNTDCYGILKRKEVPISCFEREFVEKENRFRTECELRDHPIFFGILDENQYTTKFEPATKRMKLEDSSVDQETSVGSCSLPERGVTRELNYDVLHFNRPMPSSSPEIFFSSVSSSLSLPTSTHYHSMTVEQAQELLSTPISYKINLDTKEIAGQMREWFTLAICTQAFFAVHVLGVARNRFHRVLTIPPPFSSMKNGKELFIKMYNWLKMSEDVKKEILSVSGTNSIKFAQESVNEEEEYECPKEISRRRTASIHSETSSYSSSSLSSDSFLDTSITDETFNVFINKPVNYVNTKKISNLIKDWLEETQATQEWFATMILGRCRRTLSQCLNNPKDWKDLSQKREIYVKMHNWMCLREEQRHKIMRVYNAPNMDSH >CRE21438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:102463:104327:1 gene:WBGene00068920 transcript:CRE21438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21438 MIPIKTQPTSDDSNHSQSELPNDKNQMKPDESGIIKRNQNDNQDQDELIPTVKKIRREDFGVENLTPVGSNKGKKWTLRAELQPLRHSIASTLSPDHSSSTSSPPSSTADSHSSSISVEVALEFLNLPISNEDDMNPEGIVKGIREWLSLGICSQKLFPSHILNVDEIRFNDVIAFPQEYFSLDSGRKLFVRMYNWLKMSEALKMKILSLDQSTKRIKSEDFSVNQQKPVGGCDNQEQGVTGELNNVNRPIPSSSSCSPVSPSLSLPTSSSTSSISAEQAQELLSKPTSDNIYLDTKDIARQMREWFTLGICTQSFFAVKILGVPRNRLHEILTTPPRFKKMKTGKELFIKMNNWLKMSEDVKKEILSIFRIIDVKSINFAMGSKNEEEEYECPKKITRKRNVSLHSATSSYSSSSLSPDPSTLITAESFNAIINRSVNYVNTKNIANMMKNWLKRTHATQEWFATKILGRCKRTLSRCLNNPKDWKDLSENREIYVKMYNWMCLTEEQRHEMMRVYKAPNMDSQ >CRE21439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:104910:105705:1 gene:WBGene00068921 transcript:CRE21439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21439 MIPIKNQPTSDDSNQPQSKLTNVKNQMKPNGSGIRKRNKVENPDQDELIPTVKKIKKEDFGVENSTSVGMSGGRKWMLRTDSHSSAISDEMPLEYLNTPIIDEDDMNAERIVREIREWLSLGLCSQEFFPSHILVVDKRRFDYVIAFPQEYFSLASGRKLFVRMYNWLKMSGDLKMKILSLDLSGNKNASSMEVPENKENGK >CRE21457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:86629:87851:-1 gene:WBGene00068922 transcript:CRE21457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21457 description:Anoctamin [Source:UniProtKB/TrEMBL;Acc:E3N3M5] MTRSMSDGTLRRHVENVRNKRQSSVEQEEEMSPKRSPIGSFFSLGSPTEGELRERKKHDEGKLEKNDMKQLRTLSSIFREDFSLKTERLPLPEFKPSNDSNPGLTQAELESVMSVYARPLDDFLEMFIQFGYVLLFSPAFPLAAVCALINNLIEIRVDAFKLCNTVQIPFGRRVKYIGAWQKAMELFGILGVIVNCALIGQSGLVQRIWPDLSWGGQILIVVVLEHVILASKMIIDILVPDVPHWVRIETAKQEHFRREAFKRESRLLSHTQTPSSDQLHLQ >CRE21460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:114379:116717:-1 gene:WBGene00068923 transcript:CRE21460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21460 MAFLKGHFLKMPFESGRKRSAAANIMKGRQAKQQLRESECVSSEEEPGDEQIDDMTMVYTDGTSDISTCASSAPSASSELNASKIHARLIEIKERLAERDDELLVISDQMFILREEIIDANKKISHQTLEKITIIRTISQVRQSRDYYKKKLHNCKKKQKTLPKMISRRAHQAVSSRFPHTSYAYSALENAVSKNKRKIAALAALQDIGKETNVQALLDDLINYCDQCPFQTFKYHLSVYQSVIVKTECQLSKSQLELMKKFVKEFTGKDIFPSVKLTCEMSKNESCIHLFQDSIVRKNEKDVVVVQCTDLMNLLRWRLESVGTNLIFDSITGDDLVVVIGGDSGGGNTKICMFIANVEESNSVDNMLPIAVYDDTDSYECMAAYLPNLIEQFNTLDSISYTVNGTTITKNIRRKVVGDFKYISESLSHMKQNAVMFCQYCECPNHRNATTLKDLHYHQRSKLRTMQSYMQYSKKGEKGVKKGSGPLFKCLEVTDYMVGMLHLVTGVFIRYIFWPLWTECMTADNNTSFEVITNKDKTEKIADTRVENLQKKWENCVLASKKRELKIELDNLKEERKKFQQVLQGVPDGKWKKLEKCWERHGASRKAYFQMYNGNHTKKLLTQKAIEDTFDIFVDMMNDKLYGLKGCMEELGKIMSLSANRVLDDKDLETLTLSAWTFLAHLAYGFPDDTVTQKLHVLLFHIPDIAENQKTLGRITEQGVEGKHAQFNRIERRFVCYRNKSQRWMAVVKEMLCATLANDYLKA >CRE21461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:118218:124097:-1 gene:WBGene00068924 transcript:CRE21461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21461 description:Anoctamin [Source:UniProtKB/TrEMBL;Acc:E3N3N2] MATLHNKFGAIIQDGIVEGQRFALSSDLWRYASTSTHCDLLITMKFSDDDVENKTSVMWLVQQMREHEPNLRIEVRYHRLNSCYAIYLTADYKSLLKGAELCHIKKAIKSKFGGGMRDFSFEEAQFFAGVEGKNTFLSPMERTIIVKQMVDMMRAGKGGLSLKLPRRTITFTEGIAIVPRLISMNVVQNVSALHNTEYLKHLQQKWVASLGEQPIDQVKDYFGTEIAMYFAWLGHMTTALWFPSLLGILMWFLGGFKYKNNPGDKQDLYQLISDICFVLFAFFNCIWSTIYLEWWKRVQAELAFKWGTYDATQDSYLQDPRPAFAGDYLAPNPVSGRMEPFYPAWKHTVVRYVITYPITCLCVVGMFVAMLAIFTVQDLADFYFAESFFFHWICYLPMIVYALMIVISDKIYRRLALILNDWENYRTDDEYEDFLITKIVLFQFVTAFGSLFYIAFVLRDMKRLQECFAPKSAAVPLSAIASPFFRDGSLHGRDFTTRGLEKSVTSATLTLSIAL >CRE21462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:141102:144218:-1 gene:WBGene00068925 transcript:CRE21462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21462 MAQPPGAIVSQPQMRNGTSSDTKSPFETQIIILNQCRKLNGQIFIQRDYTQGLDVQFEVEYPSRFTEKVPRDVWENTIVRINRIFADAEAITPQTIFETLLGCFTCYASYLVTKSTYRRKLDELQEFLDRENREIYHNVGFHIRNPMERGLRVLEIAMLIRQGETPRDEVEIQESSRPIRTI >CRE09922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1499:1467:5135:-1 gene:WBGene00068926 transcript:CRE09922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09922 MIVENLPLQMEESQKSPKSASKFSQNFTESILQLDVALQDDLILFMEQHLISSAEFLFSELPWESEELLPNPIYEDPGDTSNSEEAKAFSEIHGYVQLLLESFARTNRLVDQMNFTVKLVTNDTVGVFLKFCTDLFFQKLPSDISHNYFLHRVLLLMLRITYRHLFSVALRENHPNLGKIAERYKQLLKDESGEHILLQEWFKTAEEDDQERSGVFIDWIQNNYQQTSSLLPLASRSRELWLRRIVLNSTINHRDIRQERTMDWFRSVCHPKHRVCDVVMSQMLFINSQWTSPGFTFIQPGCLALRLSIHPHDLCLGTTTATVNFSASARDILYSPQEELSKYQEKDEEKIFTPRNQPASPDLISKYAEKHEKMTREMAQTARFFTPFEEQLRVFSRQQDELQRELNKETEFLKRAGHYYYPDPLEDVEVLKAGKESVDPPTKQLVDEALAKQLNLLNERLDEVVKGLADRQKSMYIREEDEESEISEIPLHYDDAPVHDDAPVIEKLDFGMLSSRTPAPIGDLSFKESGSEREKYPSFLESGQKTPTLSDNSQKLSTAKSFTNPLFPEKHPKIPIEWMRLLPLEGGSARFADNILTFTKPKSENMTPRQEDFYKYPDRKIVSERGIGTQTSERMRNGETKMMTRGSYRNEQEVMAENTKKVVNTKSVKKLSEDDMKIVMDKVTKY >CRE21441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:157713:158944:1 gene:WBGene00068928 transcript:CRE21441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21441 MGANQSHTESDNSDSSGSSDSSESSDSYDFEDHSNNSDCSAMEKRSYLENYPDPLGHFKSVHVIKDNNTIIEYFCIGFRKMNISFSHIIFVGNERTNLDLIVECDANNLIGNFWKVKANVTVNIRNFNNDSGSIIHNCGELSFGNHDLDRPDLHRRINIRLVDLLGEDSGFMRNNEIIMETDIRVVEVEGFHQPLVINYRLPPVNSKNLFRFIHSNDIFYCNKAILNAHVKCGRDFDISNMDFISFKQPSGELFEEFLDCVYGFPISIPCLDSVQSLLQLSVSFKMRAVARKVESIVIQRPLVYNNDISCRKIVVTFNLRRVMHTWLKGQESINKKDVEDLDVEKMSGEIMKAIVMRVFEVGWK >CRE21445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:183197:185782:1 gene:WBGene00068929 transcript:CRE21445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21445 MADSEKSKSNILLTIWTNTENILYKLLFNRFTLIAFYAFWFLFGVLVLRKTQNAITAVRYVKTHGESVSLSSIEQSREFADLIKTLDKKFPRPPAIFLLNQYALNMTDNFLCNTGTLEGVHERFIFVTLDTVARDTIKKRWPEIQQFHWPTPSLYKPFSFAEGAYQTIYLLRSNLALALIKKGKSFWMMQQDTFWRKNIFDMNYEDNMEYDAIFDQLGSEEESSMRKEWVNGANFFIRANNDTQLFFERMSEKLAHWYTPDMGIMIQQCHTWKKPVCAYIPHNVVYSWEWMFTEQKDPPYLMQLDCETDGGSKLMQLGRYGFHFVNQDGSCNEQKVSIAKAKMENGTVEVKMTKTLPSWGRLQFKAYWYIVDYMLWTPIIGEYLKPYLAMIGFILMITI >CRE21446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:187164:188621:1 gene:WBGene00068930 transcript:CRE21446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21446 MLQQLFVPVLFLVAVSGKPTEKECELEDQHARNCTSECKIRMDYNFDFENEIPKFEKWVKCVGEPTNIKSCRPPLECEDLSLHVCATDIMKQSDSCSLKDVNTYISTIPDLVRYCNVSIAKAKMENGTVEVKMTKTLPSWGRLQFKAYWYIVDYMLWTPIIGEYLKPYLAMIGFILMITIEFIESVVCLIFR >CRE21450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:196376:199532:1 gene:WBGene00068931 transcript:CRE21450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-7 description:CRE-LGC-7 protein [Source:UniProtKB/TrEMBL;Acc:E3N3P4] MGTPLANVFLVLLFLLTVLSTAVDSITVAEQLKDVIMQGYDNSIRPVVNEKEALIVNISLNSMSVLVLDPAEETVSFSSEFILQWTDEFISWNRSDYNVTWLKLKESFLWTPDTTVSTSIDTNYLIDSDERYVSVRHDGLVRQSIYAVFVNLCDMNVDRFPYDQQTCMVKMGPWSYTKEEVTCVNGPSIEANETYFGDFQGNSEWDFEGIVSSVGQTEDPDVNFTFSEVHFALTVKRRPLFYVWVLLIPTYIITVVCIFGLFTPTANHGLREERVNLGITTLLSSAVILQIVANAMPKTSELPLLGNFILAEIFVVAIGVLCSVLVLTLHQRAHTREWKPPAWMLWILRMTGSSKFLSRNITKIRHKSSTAERIHYTGEGAYLFKNLDESLQSVREYIQEEDRDYFRELTYIKFFDRLDFLLLVIFQVGNALVTLFLVKQ >CRE17701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1824:3086:4073:-1 gene:WBGene00068932 transcript:CRE17701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17701 MTCYRNGIKCMLLLFFMGLAALNTYIYWKDSQNENTISTSIQPEVTVEQSPSPTPFQCPFESWNQVHSDSVPNENLHLEWIQNNISRRDNILESQIRLLSSFVYPDHISITTNSQRSYGQKVYCRYYNCLREEITNSTYQSIFFPMNVIRCPRRIGVKYMSISFDSDEIPQEPIPLIYRVFEAPIDLPCFTPSTRYQYASGPKYGSETSG >CRE21465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:209232:212884:-1 gene:WBGene00068933 transcript:CRE21465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21465 MWLTVAVSDYLHQPFDTVHTATIPSNGLLSGYNDTVAEVGFAIRRIQKTEKLPEKYESDEQVGVSFKKNDVTIISEMEFLKYNVSSPVTRGAYKTIYRPEKIAAMYYHWAYQRYPDTVAEYVTSEVALFRHYRTTEKNILGAGWLTDPNYKNFSIVPEETKFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFAPPKNGPPGMSPPPASGGQCGVGPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIHAAMDRGQCGIFNVAPFLECASQEKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE21472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:284483:287294:-1 gene:WBGene00068934 transcript:CRE21472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21472 MPRLHRSRYVTPPKYWKTSEVRMKNPSGMRIRKKNFATTRIHITPFMGELARKRRKLDSGSSHEWKVSIIPRGQNNKPTGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDQNAREKEPQIRLEGNTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRYRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE21463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:200813:203179:-1 gene:WBGene00068935 transcript:CRE21463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21463 MSCYRNGVKCMLLLFFMGLAALNTYSYWRDSHSFNTQNTRMHPEVTVEQSSITPFQCPFESWNQVHSDSVPNENLHLEWIQNNISRRDNILKSQIRLLSSFVYPDHISITTNSQRSYGQKVYCRYYNCLREEISNSSYQSIFFPMNVIRCPRRIGVKYMSISFDLEEIPQELIPLVYRVFEAPIHEVSVCVGPIYGSESKWLEVAEFVEHYKLIGVRYFYFTVFNMNEYSRKIIDEYVRTGEIELTVVQSEYKTIDWQFHLLQINECHQRSKHHSKWVINVDIDERLVILDDKIKSVGSLLSGFNDTVAEVGFAIRRIQKTEKLPEKYASDEQNYETTNIISEMEFLKYNVSSPVTWGAYKTIYRPEKIAAMYYHWAYQRYTGTVAEYVTSEIALFSKLSIRIQCFMTIAWGIITYPISRHYRTTEKNILGSGWLTDPNYKNFSIVPEETKFAEKLKENVLKKIKYVYEQRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNATVSNKSVD >CRE21467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:224613:229784:-1 gene:WBGene00068936 transcript:CRE21467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21467 MTCYRNGIKYMLLLFFLGLAALNTYSYWKDSLNVNAYSTSMQPEITVEQSSITPFQCPFESWNQMHSDTVPNENLHLEWIQNNISRRDNILESQIRLFQKVYCRYYNCLREEISNSSYQSIFFPMNVIRCPRRIGVKYMSISFDLEEISQETIPLVYRVFEAPIHEVSVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYFTVFNMNEYSRKIIDEYLSGYNDTVAEVGFAIRRIQKTEKLPEKYESDEQIISEMEFLKYNVSSPVTWGAYKTIYRPEKIAAMYYHWAYQRYPGTVAEHYRTTEKNILGYGWLTDPNYKNFSIVLEETKFAEKLKENVLKRSNMYTINGFCTIAAMYYHWAYQSGSSHEWKVSRIPRGQNNKATGVNSIPPFTIDGKADERFNKFYRIVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE21452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:234292:239434:1 gene:WBGene00068937 transcript:CRE21452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21452 MADIEEEEANRLAEEGRIRQEEANRLAEEERIRRENELLAEEPMDEGDEDKRVQEVRLAEIEKVINETCIDIKNQTKFSTKQCRVLLSPLIGKILEVEEQFRGKKKESEFWENTNVKLNKTVLSLQDELERKSPPQSSTPLEEPPTTSVLSGQSIQGNEERWKLVSLLEVNEIHNEEALNELFGKIEQLGNELSTHKELLQKAREQSDRSKEEYFQAKQQIVMLQLKLKAEEEKSEKLKKENDTVAANNQSNSLTRYGEQRQSITEKNNNIVQTTGRHSMFATSTPQHGMHRQGEANGKVGESRENARFYNADTSEIIDTITRQESRDSGGNWNQRIVEQDAQRSMIVHDGHEMPNMNMQWRMTQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDIEAQKSFLETRFLAGSALTVYKGLPESDKYSVSRILDAIKRRLSQSEPEESRRAKSKFQGLKLQKEQSIQSFCLQMDEIVRVGYKGVPEHQISSMKTTKLLDEMKEHSIFDVSLQILGSQLRKCPEMEQYELCREEATRFDEEWRSGKAKLNEKKVNRQQSNQNFSNQQSNYSNQNTSYSRNKQTVGNEETSQNWRERSQGKFVPTNNAGGNNVVNKSVGFSECSECRLTGCHDPKCSRAPGSSTPRKSNPVVCFRCNEQGHIAPNCPQKNAQQLNSQGDMAKVQTLDKKECLERPEAEKSKQNKNSRTPVRIEQGRIGSAEVNFVIDSGACISVISENTWKEVVEKNGGKEWEKEAILKNPEKIDVYAANNTPMNLLYQVKVETSLHSRTRDLKFYVTDIDRDTVILGIDQFELLGIQMSFQKKPRDIRMVRQVKIPPGSEKIVEVSVEGTIRKDKSLCLITPMVSCLAPAIYQIRKSGKARVQMSNLGKKSIFLKKGELVASGEVEGFDVIEENEENLKLLEEFFERSKLLEQDMETINLIETNVNSGERWDILCEQLKKTCAKSEEEEDVWKVIKDYQHIFATDDTELGRTNVVEYFTVKFNLNRTLLNVSDRSKCLKYWEVVERIVKEEKIIWPHLKLETLESVENNQTDEATGASGSGFGPIRAHNSRGSRSGSYGLGSYSKFRQNR >CRE10568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:2558:5177:-1 gene:WBGene00068938 transcript:CRE10568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pak-2 description:CRE-PAK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N768] MNRTFSLRRKVKKSEISTPSNFEHRIHAGFDARTGSYTGLPKQWQALLGPPRSIARPKPMVDPSCITPVDVAELKTVIRGPSSRYNSPLPFGIPNSPMPSVARSNSLRISSTPAVVNVSSSRQSSFRPSLPPVSQRGYPFNDPNYAPLPLRNNNQRPPSSMQTTTFGVEQPRHQAPSITTIVAPPSSRTMTPQMTPKGLTTPTISRQPKCTEGVSDEEVSIWRILIKFSATVEKIKFRQGFSKKISRAETRKRKNCLDEF >CRE10569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:6574:7682:-1 gene:WBGene00068939 transcript:CRE10569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10569 MLVQATTLFETSSSFRSRRKHGRPSPDNGRLRSALAEYVLHPLKTRASILKSTYIPIPSLHHEYPDFQPNILYSLNRKRRWEAEDSIGVDLLEELTQLNNGWRVTVVERVIGETSEYYYGLCGDRFEEFYPIKKFHYLNDNNEYKKKVVKSTRGQMYKNFLRHEEAEPMESIASYHFLRAPANIVTFLKKRFQDSNYHGHRWPRDGYWNRVNRGKDRRIELRYEELEDLEQEEQVEQVDDDEKEVKYYNLEDHLIEKFVMVKRRKSAK >CRE10548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:10460:12589:1 gene:WBGene00068940 transcript:CRE10548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10548 MSSWFFLSVVVISIFSILLSIYVHKPDFPPLEIEPDDYWRLDDPEKDDDQVYSFSIDVKEEEIQKFKNQLKTEKFLPTLHDTTYDTYLNELKQVLLGFDWKQHQHFLNTFKQYKTEIEGLKIHFLRVSTPPKDKKTRVIPLLILHGFPGSFWDFFKVIPILTNPTRHGFDFGVEEPIQFDVIVPSLPGFLFSDKPAKPGFDAIATARILGKLMNRLDLTQYFVHGTEGYGSDLATLLASLYPIRIAGLHVSNPFVRPTFSTFTLAKYAWKAMGKDDEKEGEDPHTDMADYFKQDKFTYPTNAQAFATAFANSPSGTAKYIESRWRQLSTFSASTNLNELFTLDEISTEIYLYWLTLTLPSALTILDNSYNYETVWLSSQARVPTAVSYSKQTPWRCSRDILEDRYLNLTRVSELPKGGMFHHLQDGHKIAEDIFAFVELELLNKK >CRE10570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:12726:14235:-1 gene:WBGene00068941 transcript:CRE10570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10570 MRKLKFLLLPILVIQEIVSMMNPFEILNLSMASSRIKNVVQLYSKHARYFYRIEFSERPSIDFTGTEDIWRYEITADRNRTEVEDYYQNSMRIMTICVFGKDILEEVKSWFKYIKEIFNIDGGLMVIINLDTFPGQNKAITDWVNSQTTWTRHCDIDGEKVRDDDVKYVLNTLNIRITLNIFANVSDNFRFEVPQFLSQLYIENGGWIKLNQLLEIDASEIFIRNTKLTRDELTVFFKNWMCMKSQIGLECFTAGIEKRDDVDTILDVPHENFGTETIRTFELKTQEIKLRGGSEIRRCDGTTAAIFVTTEPDRLVLAMIVVKKKMF >CRE10571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:19046:25517:-1 gene:WBGene00068942 transcript:CRE10571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10571 MSFNMRHKQSIFPSSMILMLFTFFLLVITVIISHRTKTENKFNFHGGNDYKPEFVYSLVEIRELLANSCKLPYIFVPNEDDESYGLQDEKTEKHGGRNNCVLNELNKNINLTSDGILTFRSRPNIDSSTDSINGLSEQSFEIQKDTPVKVSFYNFAFSCVRNGIVEFLKPFVNFAMIPKNPHPESIAVIFLPSINHKLFMKKMAQSKKFMKDNQFNFAQIMNKKEPKPLNDLLLQLGINSETQNVFRKAKELNFTTFFSGPQQIRDLVEADFDTTDHRNFISQFLIDGNFCLKDGRKLVNEQLEKMSAFLSATSNSKVFSTLFLDDYGTHKSSIDHDLNEMLVTLNHSRIFENTTLIVTSYDLSDKDVTNENDKYPLFAVRLSDKFMKTYSGKHYFMKMNFNRLLSSLHFNNMLIELINPNEKLNSTPFIVQPTWRNCVSEGISEFSCICMNMNLSSGYPEKSEKNFVSTLREKFTKEVKRHKCVKTFEIEDYNFFYDYSINTKKEIRGVELTGFVNITGLSGEKRLMLKKMFTFKRNFESFQSFDTARVIVGHEVNIGKAINSQFDSKLPVTMSSPSSSKSNKTAGRDPKSMPLPSLFNHEEQTPTHVLLNQTKELTSTSATMDSLSQRFSDERMRQTEETMRNYFKANTQRESEKSSHATTSTLYSGKQTTESKQINRKETPENQSTSVFTPTNDQSTKLILDDVGVRGSKHRYEKLKQLEKEKQDEMMVSNQKIQKNMSLPGQFQTSAPGQAKSSSPSSSKSSKSNKTAGRDPKSMPLPSLFNHEEQTPTHVLLNQTKELTSTSATMDSLSQRFSDERMRQTEETMRNYFKANTQRESEKSSHATTSTSYSGKQSTESKQINRKETPENPSTSVFTPTNDQSTKLILDDVGVRGSKHRYEKLKQLEKEKQDEMMVSNQKIQKNMSLPGQFQTSTPGQPKSTSHNQMSQSK >CRE10572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:28073:29345:-1 gene:WBGene00068943 transcript:CRE10572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10572 MPSEFPLFLLPMLVFNRVLKLITPFELVAISLCSKKSKMFCKAARSQLQCKNGTKEFTLKFFSKKEIRLKFEYYPSMEWVFKLECFPNINNSPPQRRVLQKFISIFRRNHQTPSYTRVTNDLFINTWVPTEDDTTSENSLQLFTTEECQTLNMNLFILHLSDILNVPLDTIELHFQNYIQETNERIIDLYFPDDEEKPAVKSLTLIGKHWNTPEDDEVVDSLLRRQKARNKLKLLTRPTDEFKFNSEYFQNKSNQLEMSHAHWVSFSEFLEMNTVEISLFNSTFTSDHLKLLFAKWNSGWTPKWKAALIEFFEAVDIDQCANESNLIDSFSNVRVHRQEKIKRNQNEETKLIRYCLCRSDGKLGVVSLEGDTQGYFHVFPDVTLNMLRFPHFYQ >CRE10551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:32487:33777:1 gene:WBGene00068944 transcript:CRE10551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10551 MSSEFPLFLLPMIVFNNTLKLMTPFELVAISLCSKKSKMICKSARSQLQCKNSTKEFTLKFSLSKEIRLKFDYYPSLEWVFKLECFPKIDNVPPKKNILRKFFSIFRNNHRESQTPSYTRVANNLFINTWIPTEDDTTSEQSLQLFTTEEFQTSNINLFIQYLSDVFNIPLVNIELHFQDFIREENERIIDFYCRDQDEKSTVRSLTLIGKYWNTPEDDEVVDSLLRRQEAQIKLNLFMKPTDDFKYQAEHFRNKLNFLKVQFAHWISFQQFLEIDFYVVTLLNSTFKTSHLKLFFEKWSSGWTPKWRVATIEYAETIKIDECVNELSVMGSFSNIQVQREEMLKNLSNEESKTTQYYLRRPDGMVGVASMESETFGGFYIFTIAELNIKFFPHLYN >CRE10552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:35714:37031:1 gene:WBGene00068945 transcript:CRE10552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10552 MPSEFPLFLLPMLVLDRVLKLVTPFELVAISLCSKKSKMLCKSARSQLQCKNGTKEFTLKFSLKKEIRLKFDYHPRLEWVFKLECFPKVNNIPPRKNVLQKFKTFFRKKKQASQTPSYTRVTNDLFINTWIPTEDDTTSENSLQLFTTEECQWSNANSFIQHISDILNVPLWTIELHFQDFIREENERIIDFYYSDHENKAAVRSLTLLGKHWNTHEDDEVVDSLLRRQDAKGKMNLIMKPTSEFKFRSEYFRNKLNLLKVQHSHWISFDQLLEMDAYVLVLNDSTFMKIHLESLFEKWSSGWTPKWRVIMIQYAETVDIDDCFNKLNDMECFSNARVHREEETEEINTNGELKTTRYYIRRPDKTIGVISQQGDTFGLFHILTDVEENIELFPHLYM >CRE10575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:49377:51548:-1 gene:WBGene00068946 transcript:CRE10575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10575 MALISPIIFTLVLLLIVKSYKRIVWIFKVNEYGKRLPGPPTQSLIGNVGSFADKDTIQMLDYIVETANEYRGKGEKIMRLQFIGKLLVLPLDGKTAQTMLQSTTELDKGDDYEFPRAWLGRSVLLDGYGERWKSHRKLVTPTFHFAKLEGYLEVFNRETKVMIELLENYATSGETVDLFHYIKRCTLDVICGTAMGITVDAQHNPTHPYVEAVEGFNKLSANHSVKAHLQIPFIFWLLGYQKQKDDYIHTMKKFTGDVTAERRAALASGEVEQHSSKRDMNFLDILLCSNETKDWTEEDIREEVDTFMFAGHDTTATSFSWLCWNLAHNPDIQKKVYEEIIGIFGENPEVDVTSEDLKKLDYTERVLKESKRRIAPVPVVQRKLRENMEIGGHKIPAGVNVSISPSIFHCNPEVFPNPETFDPDRFLPENMDKRNAYDYIPFSAGLRNCVGQKFAQLNEKVLLIHMLRNYRIEPMLGFMGTRPTMEIVSKPSNGIPVKLIKR >CRE10576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:52057:53294:-1 gene:WBGene00068947 transcript:CRE10576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10576 MKFLTFPTLILKDIFENLTLEQLLILSLCSKRTTYAIQAIQSRQLKKVEYIFYKLTSEDQIIVRSNWETFNVKLSTVSLKDNNIIPTELFGMKREVECCSPPSKLAPRYIYIKKDREMIMEKIHDYFYQIFGSTVYYQLESYADGFTPRLKNINCSDIILPNGTSLFDLKTFFNSSPNQEYVRIRGDLNGKLTKNSALFTTEYLDINSSKTHGDDILLAFKGISIRFQDTMFHASTIIWFLNAWKSGKGFHNLKFVSIASIPGRCLDKNKLAENVGIKSLGSPDDTFEVKWIERTNGAVDGNRNWRDRSFNPNQYLIRDNDGEVAFIRVTMFSFDFAVWSALGNPEVIDYIDMYN >CRE10577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:53930:57427:-1 gene:WBGene00068948 transcript:CRE10577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10577 MSSASTLSRSISFRNNSFCVMNPLAASEFLSLWSHSFFFGATIVVIFVVFCRNRKNNNPHENLLLSITIKRCFQILSEDNGNSKKVIMRARSSSVTVLYDKRHRNGVSLDRNNNYAAREVEKQPRMVHTSSRHVNEGFFGVSKWIQRVDGRQDIEKHYEIGVVIGKGNFSSVHLTKRKEDGTKCALKQVEKRAMRGKCFFVDNEVEMLSLIQHDHIISIIDAFSTENQYFIVFEHAQYGDLYETIRKNGRIEEPDAAIITLQVASALTYLHERNVVHRDVKPENLLLVDKFSVKLCDFGLACHVLGPLYRICGTPTYCAPEVLLETGYSTLCDIWSLGVVLYVMLVGYAPFRAPDQTRLFKLIMQAKPNMDMPEWKSISMKAKDLVSRLMNKSEDRRPLASQIVSHPWIAPFTMDNIDDE >CRE10554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:74893:93027:1 gene:WBGene00068951 transcript:CRE10554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-saeg-1 MPPPQHPPNYYAPRRSISTITGPNRRDVDAFYQHNFPHKMNGNGEHGEPEYQPQQLQQRPSIMSGQSHQSQQLPTKNFSYEPLRFSPPNVTPPPPQFSSDGNGNSNRKNNQRVRFDELPNYPTPNNFSVPPRKCSLAPNFFSSQNSHHMYPDQYTPRTWQHNEFMPNHHIHPYHANHQHPPHQDWRNQQPVANGNHNPMHMRKHSTGHRVEIKLEHADNPFGNPSHDMMDVTSGQPQQQPQSSVKSEMLSPIKMEAASDRQLSPSFLLSATSLLKQVQQQHKKSHHNVPSRKASIMALKSQLRTPRGNPLIPSSTSGTELLPYTPPPILAPMRNGSGLFCQIAKSALTLSPVTEPETENTPSCSTNGTDGDMKILLNGKKKSEDGDGPSRKNGFFYMAQQMNQSNFANELEALRKESWASTSSADEKMQAERKESMEAIRKASCMSDGYYEIEEGPKISDPNPHINLGRNYQARVKKWCEREVPKTELEAIDDRDEIVFSSDILQDIDQEQINAFELLACSQACPRAGRNKELALHLLMENKGNIEAAVEDLLRSDTLDWEHYSSVFGYMYNDTVLWTPDEIYQFQDAIYKSEKDFDKVAVELAGKSVKECVQFYYSWKKDCPDDYRKLRNLRRKRQLLDINLQKNKLEEPTAPVKKLSLVESGESDNESNATDASFNGNGHFEFRDRAFTSPMMSSPREDPLIGLSPSSKDLFGIQKNYQPSLPRAHHTPSASASKKGAQPSADGFFHCRLCDKCFEKVKSLNAHMKSHAMKARAEQEAKAHDAQIAAAAAQLSTAVGNAVSNSVTTSPLNPFANGHLGISIPSTIGSLTPQQLTPQQLNINQQLQTQLNSLTSLSSQLNSPLTPQQQLQQFTQQQQLVARAMQHNLFQPVTSTPLVQSTHPLIQAGLHSIN >CRE10578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:93445:101523:-1 gene:WBGene00068952 transcript:CRE10578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10578 MRRPWPALLLLLAQYSLACIDVLFVIDNKTLEVSRSRAIQVARQLPEDQQIRKWVVLSRDDRYVPRVLRNNAELQSVLSTIHGDYDRFLEIATGEIARRTATFQPFVILLFSETPVNQTMTNMWRSISLAPALHIYRIGSFQRTKMLSEDQETDFENLILCGRNKSEVFHNDSSKFSGGNEIRRSPTSTPRAWTSTRDPFVRRLTFYDASRTLIEEKKRQEQQEKLRQLPQVTFTRTFKKMTTKSMSTTTTKPKVTRSPFILARTPTKYDSKKSFGKIQTTTSQNQMATTTTPRPWWMTTRKPSTRTLLPPTTTRKPFTWTTTTRKTPVPYWNTQRQSVRTLLPPTTTRKPFTWTTTTRPPFIQTLITRKPQWASTSYPDSTTPSPAPASTIDFPPRKHEGGEEFNGRNFKVRSRSVHFAMTEKPPVTTTMNVMKFFSTSRTPLTTAKPLIPFACTADVFFLVDLSQGTGDKSQQYLDIAASAISSLPISQEAVRVGLISYSGPGRTHVRVYLDKHNEKEKLIEEMFLMERHGGTTRTADAIRYATKIFEGMAHPARRNVKKVLVVFTDGYSQDSPRDAARVARAKGLQLIAVAVKDRLAPPDEEQLAEIGGHARNVFVSPSGRELREKIIGTQCRL >CRE10579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:102022:128717:-1 gene:WBGene00068954 transcript:CRE10579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nrx-1 description:CRE-NRX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N787] MRRKFDDTGQWFTIACYFIFFSLVDSIILTGAPDSYARYPKWAHSFENSLSMELKTRQSDGMLLYTDDGGTHGNFYSLTVVEGHIQLDFRLGDNSNEFGQRRPVNTIRIEEVRIDDDKWHTLTIFQSWENVKLELDYTLVFKILNQRSFVFGNILKNSDVFIGGLPPNMHMLPVMSSPLRRYSRHLAVNVRNLMYRQYPQGVTSPQLLESVGTRTNEDDHCKSKSVCFSILDILETNCIQMSSREQFVCQNDGECYSANDGPHCDCQFSDHDGRNCEIEKNDGELTFGGDEWVGYDVSMNISAAVRAKKENLTLTFKTVHGTSMLFYAGDEKSYLHLMLQDGAIIASSKFDGSDARIIRMFNSFPSQRYDDDSWHTIVLERSLQMMTLIVDGRRDEIRQYAPELDWISNSFAYLGSIPKHNPSKEVNRVSFRGCMKKVRYDVDATRVLFVNLADQSYGGSVVKTGGDLSYSCKNPSQRSDVLSFSDTSSYLTLPRWNSLSSGSLSFHFRTTSPDGLILYHGVMQHNATDYVAFELIDSHLFMIINLGSGVVRLQTTAIKVSDGEWHHVQLDRLSRTGSVIVDAIKIDFSTPGVSANLIIDDPIFIGNVPNNSLAYPPSIWSITLQKGYTGCIKNIRLNGVSAKIAQQFETSNSTGIELGCALSNELDICEPNPCQNFGRCSRNLNSFECDCSNTNFEGKRCEIEQTAVEVDGDESKVHVLAHTKVSQVEHIQIRFRTASTRGVLFDTGANGKNDKITVFLNDSLLNLFLQDSSTNNTFSWGKSLSDNHWHELQVRRLGQKLLLYLDGFWSHSIYLQNPISVEIDEVGAAYSVHPSSPPPRDEHFKGFLSKLVFNGNDYLAKTKRSSAQLSKSSSRESKGQRNVKTRIASISFTNSTGYVAFSSDKISSLAGAFRVQFKFQTLMRSALIFFTLPKHDYDQSFRLQIINGRLKYTYRTSGQEFHATSPKLLYRQHLSDMRWHNVLIYQDEKTNDHVLLIDNSTTTLVIDKIKKVESRMSGKLYFGSNPLGVSRPSNGYRGCISTLRINENALDLYEDADSRMNVNRGCSGPIARCVEDACANNGRCIQLWSSIRCDCSLTAHSGDRCQNPSTTVRFDGSPSAIFYEYTPNERPTTSKDFFVFSFRTTQPNGVLIAIECAADQDYFTIFLNKGYLNAHYNLGSRDHTVSYHTRVLNDGFPHVIKISRNEANMTIQVDKLPALRYRPRKASDLVLLNMQTRISIGASFNTRHLEQRRMMLRHRRNTEIFDSYQGEVSGINVNGLMILDLYENGSNRIHTIGAPQTTAVSEPVSSSSNSDEDDELAEMMMAHSMDENLNEALIESLAPSCLSLEEQQTCFIDTDDSTGFFSPVLPTVADIPPSTRPSEPVTSTRKPPSPRMSPSPPTTTLPVFFLSQITDGDESEDEFDGSGDDLFGGDGVGITAATQPSVTSIPTVVTTKVLTTTTTTAPSSTHRSTVLPRPYASVKEAAEQNPDYLGSSIWNQVDTLPEPMVTGPAWRTNKSVTTTATTTESTTPKKKKTTTTTTTTTTTSATTTTRYQQRPNYDIDNEVTALITSSLAPQKTRPKSTPHFTVYPVRPTTPMGDTITTTMQAATVTDFPRTPLIMGSSLAVIFAIAAVVFFVFKCRQNPPNSEHYTMAMKSQSGYTAIAPELSPPMNHDRSNDSCTQPLLAKPHINGNGYEPLKGAVIANGNGNGATATMMRNGNGNGVAKKKDFKEWYV >CRE10580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:140448:144584:-1 gene:WBGene00068955 transcript:CRE10580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lig-1 description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:E3N788] MFFSKASKAAVEWAKGAKVPFKEFAQTLEKIEDLSGKKKIDELGQFFTKVLDFSPEDLTACVYMSVNQLGPSYEGLELGIAEHSLIKAVAQATGREINKIKEDLRIKGDLGTVAQQSRQNQKTLIQPKPLTIPIVFNKLVKIAKINGKEATTTKISEIKGLLSSCQGIEARFLVRMLAGKMRIGLGEQSVLSALGHALTLAKLAETNKKVSGDKLDALKDTNVKRVKTAYCECPNYDRLIQVALTEGIDALVEKCKLTPGIPLKPMLAHPTKGIDEIMRRFRNQTMTCEWKYDGERGQIHKRDDGQIFIYSRNQENNTTKYPDIIEKISACIGEGVESFIVDAEVVAIDEAGVILPFQVLSTRKRKNATDDNGVKVGVFLFDLLYFNGKPLVREPLRKRRELLRSNFKKVDGSFYFATSVDTMDTDEINSFFDEAIQNKCEGLMIKTLDTEATYEISRRSKSWLKMKKDYVDGIGDTLDLVVMGAYVGNGKRTGVYGGYLLGCYNPSTEEYESVCKIGTGFSDEDLAEQFKILQEKKIEKAPMYYQFDPTLKPDDIFAPHLVFEIKCADITISPRHKAASGLTEDGKGISLRFPRFLRIRDDKNADDATSSEQVLEMYRSQEIFANQKIEADDGEEEDDDDVGDEGKDEEEEDLNKTNASEGSSKENPAVEKKVTPKKEEKKSPVKSSPVKQSPVKQSPAKKDAEKSKSPLKSTPVEKKKTVASIFSSSKKSVKKEESPIKKKKKNEFSDSEDSDDDAFAKKAQPKKKRSRVAIDSDSE >CRE10581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:145097:147373:-1 gene:WBGene00068956 transcript:CRE10581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10581 MPVAIAIETVGKFSRQAKKAPVLDALPPRLNENKNLYGTLSEELDLTLGREEYKESSSTENELDPLLDYILKTSKGGIPLKESISAEFITTPRILNALARSAYNNGVLEIYATRQRDVIFLCEKERNCEESSSIPYLHKFKQYMTLDENQNQNPHKIHDKIQNKSTNVVNKLTLTSANSGNFSLKVVYSSKVDAVDRDGNLLELKTTALGHNKWVEKQSLRHYLQAFLANVPYVIYGRRTNIQEQNIHKVDTIPTSSIPNYRVNWKKETCFEKLFNILQKIESKLEFDEDVMVVKVTKDGIECEENGEECYELVNPLFLNHFE >CRE10555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:147912:150848:1 gene:WBGene00068957 transcript:CRE10555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plrg-1 description:CRE-TAG-135 protein [Source:UniProtKB/TrEMBL;Acc:E3N790] MSATVSDPYDQIPGAPADDDVEDRPEADKKALLNQVFRSLKRAQDLFYHDYAQPPPMPEENDNLIRSIKRTHEYGNVIKKVEEAKKARENEMLALPTSAPSLGAGSVIAAAGTTLAITDGTGKLVNQQTAAQKGKLLPLVPLGANSKAEDNTTRSLLPSKAPMMMKPKWHAPWELYRVISGHTGWVRAVDVEPQNQWFATGGADRIIKIWDLASGSLKLSLTGHISSVRAVKISPRHPFLFSGGEDKQVKCWDLEYNKVIRHYHGHLSAIQALSVHPSLDVLVTCARDSTARVWDMRTKAQVHCFAGHTNTVADVVCQSVDPQVITASHDATVRMWDLATGRSMCTLTHHKKSVRALTIHPRLNMFASASPDNIKQWKLPKGEFMQNLSGHNAIINTLSTNDDGVVVSGADNGSLCFWDWRSGFCFQKIQTKPQPGSIESEAGIYASCFDKTGLRFITAEADKTVKMYKPDDSATEESHPIVWRPEIVKKKTY >CRE10556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:151038:152998:1 gene:WBGene00068958 transcript:CRE10556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prp-38 MANRTEKTAKTVKGTNPQFLVEKIIRQRIYDSMYWKEHCFALTAELVVDKGMDLRYIGGVYAGNIKPTPFLCLALKMLQIQPEKDIVLEFIQQEEFKYIRALGAMYLRLTFDSTEIYKYLEPLYNDFRKLRYMNKMGRFEAIYMDDFIDNLLREDRYCDIQLPRLQRRWALEEVEVLPAYKSLLDGDLVAMSDSDDEEEEDSKKEKPRLTSRRRSRSRDREREVGDRREVREREKMRERRERGEDEPGPSNRDDRRRERDRSRDRDRRDRKDDDRRDRKKESSSRRGGADNDEEREIAEANALRAKLGMAPLER >CRE10557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:153308:155981:1 gene:WBGene00068959 transcript:CRE10557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-secs-1 description:O-phosphoseryl-tRNA(Sec) selenium transferase [Source:UniProtKB/TrEMBL;Acc:E3N792] MSFEKLLMSLPGHTECACLPLCVDCQNRVDDCVSGRTARSEDMKANFGKKEGEYSRLVSKSSNKLLNSLWERKQIPEEGWTEHTLDLFLSWLSSHDTNNRVDMIPVGAGEREGRVLTPLVQRLHSNLTHGIGRSGNLLEIQPKALGSSMLACLSNEFGKHALHLLGLHSVKSCIVVPLCTGMSLSLCMTSWRRRRPKAKYVVWLRIDQKSSLKSIYHAGFEPIIVEPTRDRDALITDVETVNRIVEQRGEEILCVMTTTSCFSPRSPDNIEAISAICAAHDVPHLVNNAYGLQSEETIRKIAAANECGRVDAFVQSLDKNFQVPVGGALIAAFKQNHIQSIAQAYPGRASSVPSRDLVLTLLYQGQTAFLEPFSKQKQMFLKMRRKLNSFAENIGECVYDVPENEISLAMTLSTIPPAKQTLFGSVLFARGITGARVVTSSQSKTTIEGCEFVNFGSHSAEQHGGYLNIACSIGMTEHELEELFTRLTSSYAKFVRQLAKGGDERGGSSGTRRLPINESFDMEND >CRE10559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:160910:162789:1 gene:WBGene00068960 transcript:CRE10559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10559 MCSENINFFATDQFYSGTLHILTAIEVPVHLFGAYIIVTKTPRKMKTVKASMLLLHFVGAFVDVYLSFIATPVLTLPVCSGYPLGISIALGIPTDLQVYLGISFVGVIAVTILTFFEDRHYRLIHGHGTNGKKNWKRVVYTVVHYLISVVFIAPGYMNIPDQAVGKATVKKVIPCIPSDVLERPGYFVLSIVNTIPCLCLAFMFSLVVPQAIYFVSKIFWYLFHTVAKSQTTNRLQKQFFFALCIQIFVPIVVLTFPVLYIVLAIWFDYYNQGATNIALAAIAFHGILSTITMLIVHTPYRNATISIFRFNSENTVNNSHQIWKTVIGTQAQASVW >CRE10583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:164896:165863:-1 gene:WBGene00068961 transcript:CRE10583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10583 MLALLVLSVAAVSAQYGSGPSNNPPAQSYPSNPVYYPPAVYDSVYYDYSSREHKKCPKLKTFLGELPGIPAEAFYPPVIRYAKYDGKWTALVACDRDTRNINMLFARHNKSQDIRKTHLVALGTTAGITLKCDRDERRFKGTVLDLTDTYPTGDFSKTEEITQLTCLGLDKTAIGLITEVVIKGLAIDVIEFINDNYLVPPTRKKREAVDEPTASTGAPAAAITEKVKATEAVTEAKVEAAAVTTQATIKKTDAAATDAEVANTEAPVVATTERPSKQLGKLLGQIFGQRS >CRE10560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:166974:167721:1 gene:WBGene00068962 transcript:CRE10560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10560 MILNLLTISALVGFATCGSYGSSNNYAAANPGYGTYFEPPRHYGRREHWRRRSSSESDEKWDCRRLGVFRYPEGNPNNVLFTAPKIAYFTQNGEEKAIAVCKDPQVQILLGESEGANAVVGGQPIPPGVPVRDIPIVSLSSNSGITLKCNDRKKRYEAINLVTGATVPLKSIACVRASKAAMDEYVEDIVDALQSIAPYL >CRE10562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:172876:173958:1 gene:WBGene00068963 transcript:CRE10562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10562 MPYDSALKRYHKLLLKRRQWTTKIGRILASTTPMTPSTVIPTTTTTTEAPTTTTTKKMPKSLKSIYSTIIPKTSTTTEEPTTEAPTTTTQATPRVTPRLVGKPRGTFKPHGTRKGWRMWKKVTPKYAGKLSVTTTEAPASTTITTTGTQHLCLDRGVKAVRQSAENSVTHFNYGPQQPPPPQPVGQIPYHHQHHHHPAVHRYTPGPMNEQIGRLFEKAVADAADQKTQQQIQQVQQQIIASRP >CRE10585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:175475:176200:-1 gene:WBGene00068964 transcript:CRE10585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10585 MQTSLISLWSLIAGSFAQFSSTAQQQIVDAHNNLRSQIAKGTYVAKGTKQQPGADMLKMKWDSSVATSAQNYANGCPDDHSGAPGLGENMYWSWTSGTFGALDGYGVSASKSWEKEFQDYGWTSNTLDVATFNTGIGHATQMAWSKTGALGCGIKNCGADPNMNNWNKLVVVCQYKAQGNYLNQPIYKQGATCSACPSPTKCETSSGLCV >CRE10563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:176454:177016:1 gene:WBGene00068965 transcript:CRE10563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10563 MLKMKWDSSVATSAQNYANGCPDDHSGAPGLGENMYWSWTSGTFGALDGYGVSASKSWEKEFQDYGWTSNTLDVATFNTGIGHATQMAWSKTGALGCGIKNCGADPNMNNWNKLVVVCQYKAQGNYLNQPIYKQGATCSACPSPTKCETSSGLCV >CRE10586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:177134:178063:-1 gene:WBGene00068966 transcript:CRE10586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10586 MSRFAGKVAIITGELKKNNFVLSCIRSSGSSNGIGRAAAVLFAREGAKVTITGRNTERLEETRQQILAAGVPEGNVNSIVADVTTDAGQDQILKSTLEKFGKLDILVNNAGAAIPDSQGKTGVSQGLDTYDATLNLNMRSVIALTQKAVPHLTETKGEIVNISSIASGIRATPEFSYYSIAKAALDQYTRNTAIALIEHGIRVNSISPGLVATGFGSAMGMPEQVADKFYSVMATKKECVPAGVMGQPNDIAEAIAFLADRKTSSYIIGHQLVVDGGSSLIMGLHCQDFAALLK >CRE10587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:179027:180286:-1 gene:WBGene00068967 transcript:CRE10587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smz-1 MGSEKMIEVRIDMEEGEPLGATPNDKLVITKIQAGTIAEGKLRIGDQVKNVNGVACKDCNDFFRALRFAAPCARIMVVRDEKKAEELEARVHIPADRAKIIQRREGYTYELATLVWAQNGPKLGLGIKHFQNRVLVSRVDPGSLAEKCLVLGDHLCDVDGIPVTDKDVARDLLVKNLQEKGKVSFVVERPDSIDAKQWAKQALSANLMTPPSVIMSEDVTKIAAQYRQALPGLKTPSKSAMSTGPSARRAQIVETTITHEIGHDHEGKALRKVK >CRE10565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:183878:185554:1 gene:WBGene00068968 transcript:CRE10565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10565 MLPKNFYRYPPEIHDYIVIGYVIFLVIVCLTAITVIFCLTKQIFLIVYLFPVMLSWGMALIVMFVLYNIVTFVHKIRKTETKLKCKQNMLIGLTAIFLVGIEPRVMTFCFLYQPAHPFATGYLLFLSLFIMPILYYIFISESKVKCVVVNPHHKKIAQIIGSIHFLILMITILIGSFFEQPKVFNVIAAELILSLICASTMIEFVAVLKGTVELRRVKDVQEMQTLNCAADIEGDAKVLEIIARNIELVRTRLECKICFQHYYKNRIPRMLKECGHTVCEKCADMLLEMTHKQHVFCPFCQKVTLVHGPASLLPKNFTILDMIAERE >CRE10566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:189221:190474:1 gene:WBGene00068969 transcript:CRE10566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10566 MVSTTQYSFHDKITWLIGILNFLVTIVAIISCFAFENSKNLGILICELVFSLICATTMLEFVRVARGFIKLRRPSSDEMFEMETLNPLMVQLEEANVQEELVAKKPYCEMCMEEYRYPPGPKVMATCGHTVCEYCADKYLSDSSNELNTRCPLCSKMDLDNATELLTPEPASEPLTPGLAVESSASELAPATEILVLASEPTQEPSTSTTKPAPETVLEPSSSKSAPEPPVYVFPPKPAPESASESAEEPSALDRTQELFASETAPELTTIESAPEPSVPNQESLAIALVPDLTAESLITEPAPGPAEEFSAPEPPSAPESSAIEPTQEPSPPKLPQKLYASGPAPQSSASGSPAIQPTENFSVTKINEEETD >CRE13801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig541:6563:9075:-1 gene:WBGene00068970 transcript:CRE13801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13801 MLDFVFRTMLKFVKKKTGAATTPPPPAVEISRPSNLIVSKHRLVRTDGDFILIMEDEKVIGLLDKRDLELAVVPTFDAPSRRISTTSTPPTTSSSPQSQAYLIPTSSSSNRSAAGEVDVVPLLSSPIGISPNKYKTLPAGAFSSKQQQQQQPWLQWQFSQNHDFNEPISNYKSTSVEQLFSPPIPTPRNRSESTLQAQGSHKYDTITFAQPNVSSSAQCNVEIRLGDDRTPPPPPNRAPPVPLCYTPCLSAVFVPADNLDYTQVQSVSVEDPDLAEMTLDEMRKHAEDIESYIQGQVQEKWCQEKAQTEKWIENIAGRVAKAETMNTIKLEMSSRKRPSLSHAFDQFKESCPSLAPSDPDPNNGYERLYYSSRDVSRDVSRNVSRNVSPVRRQFSAESDGDIEDIRF >CRE13799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig541:4203:6452:1 gene:WBGene00068971 transcript:CRE13799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13799 MTGRPRHDWFQSDSDVVLTILKRNVPLDDCHVEISNENKITVKQGDEILFDGTLFSEVKNNDFTVQCTTAKIEIRLPKLIRHQRWNSLLSDGQGGAPTAPIAIPIPASSTPSTTATTKKNWDAIEKEALKAEEDEKLEGDAAVNKMFQKIYADASDDVRRAMMKSYSESNGTVLSTNWNEISKKKTETQPPACMEYKKF >CRE13798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig541:3057:4161:1 gene:WBGene00068972 transcript:CRE13798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pas-2 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:E3NLX3] MGDHYGFSLTTFSPSGKLMQIEYALNAVKNGQPSVGLRAKDGVVLATENVGSVLTDDQPKVEQISKHIGCVYSGMGPDFRILVKKARKIAMEYEMMYGEEMPTIQLVTELAAVMQEYTQSGGVRPFGSSLLIAGWDKNPGRPLLFQCDPSGAYFAWKATALGKNDVNAKTFLEKRFSEALELDDGIHTALLTLRESFDVGMNENNVEVAICNSTGFHRLTKQQVKDHLGTL >CRE13800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig541:1245:2874:-1 gene:WBGene00068973 transcript:CRE13800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13800 MRISAVLHNKFKELKDVSKLALSFSGCGFLGAYNFGAASRLMKEEEIIRKKVDRIAGASAGSLVAAILVLAPEKLDAGIETLYSMADQVHSRPFGAMTPGYYLNDQLVRVQIRKNSLKKLKKFQIIDDFLPKDIEKAQGKLHVSITKYKNWENFIINKFDSREHLISCLLASCYIPMYSMGYRGVPPVINEEEYIDGGMTNNLPVFEDVKTITCSPFSSLADICPEDPSTWNVVLGKQTFKASRRNLLRGARALFPPNRHILKEYYDMGHSDADRFIQGKI >CRE10588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:202364:207333:-1 gene:WBGene00068975 transcript:CRE10588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ocr-1 description:CRE-OCR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N7A8] MGINGSKVSDGKQAEQVIAQTISEHKNIYKMVDMHGGGVLIKLARKCKEMDCYEMLDAYLDQEVRKFMYNGGKGKLMPVAELVKARNKERNAKLGAFSRKKGKGKSGPNILDEFDQGQAEMAGDLKKALKLLDGGGKGGKSESKYREMVWSVDDRGSMGENLLAICLLQGGVLHNLIARKLIQFFPKLINDICTSEEYYGLSPLHLAIVNQDAQFTSLLIRLGADLNQRCYGAFFCADDQKASRTDSLEHEFVELSKNTNYTGTMYFGEYPLHFAVCLGHHDLFRMLLAKKANLNAQDTNGNTALHLCVIHDKMDMLDAVLDAGGNPRLANKQNLTALTLAARLAKKTVCEQKCCIHCIFNKILQLECEKVWTYGASQCVAIPLTKIDTIDEVTGEMNDTSALSLVVYGESTQHLELMDGLIEQILDEKWKAYGRALWLRSLLGFIFFYCCFVSAYMLRPSSATTEHITRGRINDDGETESTNSTNYLQWHPIDTQCHLMYYSEWPWYHGWFRLVCEVMTLVVMLFQILFDLGDIRRIGFQKWFNFLKAFPAKLMFKGAFLFILISIPCRFACSFHEVFLTIDNTMAIISVLLVTQHFLYYMRAIPFVGHLS >CRE10567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:210602:213338:1 gene:WBGene00068976 transcript:CRE10567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-6 description:CRE-SRE-6 protein [Source:UniProtKB/TrEMBL;Acc:E3N7A9] MMDSSTNYRFLTFINGSVVDHIGWRLPIQFFYSFEVFMLFTNFIEYLYTFYLLLRFRAMHSNLHILLLLYGGQYFFSMISRLFLVYFQFNGSESIDESTFHSLLYTANYTRTICLFVAFNMLPFLVFERCFATCLSGTYETDRNFWIPLILISIMMPVCVLSAVAYIRNWFPIYAHCILLIVLNIGGSLLLIIVTRCNIRLHKYALFTHDSRSQISVFSSYSTLEHHGLYSLSERFQVSENIKTCQWLSRIQGSILFFNVACVSILILEYVSRNEKLIIMANVSFNILCTIYAAVTPIVVIIYTPEWTRETIRLWHLISPPSNCDHLDLRTTFGEEMSYSDKNVESKVYFDQFQKNLTKQAMEKSKRKQQRSSSWNNSEHEIFL >CRE10589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:213474:215355:-1 gene:WBGene00068977 transcript:CRE10589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10589 MAPNAPSPMEIESMNDAAIEQVRQQVSDIIDPRYDTKWNMIRWLQSVDYNIPKTVHLLKKHLKWRKDRRLDEPESQSLLQFSDARRKHAPIDIIGPQRVEGGDRLVVVDRAGRIDVAGLMKAVQPTEYLHEMFRTFEEIQRRLMKVCTYASVLNGVRMEAETGVQCYMHYIFDLEGLHFDPTLLGVVNGPFRVSWQLVGQHYREFIDKFIVINSPSYINVLWSALSPFIPEQSKQRIVFAGSNWKEELLEIVDKECLPERYGGTIPDDKCLQAVGPIPKAMYWKLPASYPTMEQLHKISVSASKHRMLVYKVDKPDTELLMYSHNENDITITLYYSKDRNSSENDLELAVAPIPKCGLPAMDLFDYNCEFPGYYFVKLANESSWLLPSAYRMIVIEKESGKELEPMNLNEKWIKKGQKSKKK >CRE23620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:215580:219853:-1 gene:WBGene00068978 transcript:CRE23620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snt-4 description:CRE-SNT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MVW1] MPHYQQGLAKSAGHEWFYLGVGGAVGLAVLVAVAALLAVRKRRQYPSLLLPPKQVIAVRGGFPKGPGGLKQSPSPLQSPLSNDSTPSPVVPLQTLLEDRTRKLSPSELPSERGNIAFTLSYDPHTLTLLVSIINCRNLCEMVVSRDGQCLLDPYVKLQLLPEREHRVKTRIVRSTTNPVYEEQFAMYGVTHEQVNFATLHFQVSQLVVAFDRYSRDTVVGECVYRLADAELQVHSEMRVELPLLPRATDTVAARGELLLSLTYQAAFNNLTVVVLKARGLGGRNDAGTADPFVKLYLRKESGERIVKKRTHVRRSTLNPVYNESFVFELPDDRLEHSVIDLQVINHDRVNRNDVIGRALLNMEDAHVVEVLENPGRQVAQWHHLD >CRE23619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:208070:214890:-1 gene:WBGene00068979 transcript:CRE23619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-12 description:CRE-NPP-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MVW0] MILLRLLFALAIAQVSIAYRLNVPRVLLPYHPTVPVSFVLEVTHPTGGCFHWRSTRPDIVSVKSIDTTGAGCSDRAEIRSVAKPGSVGSSELSAVIFAEDKGSGTTLSCGVTVDEIATISIETTTKVLFVDAAPARMTVDAFNADGDRFSTLSELALEWELSSTSSNKAKPLRIVPFEQSTYEAPSEIVKLEKNRKKGYLILIEGVGTGTATLTTKFSDSYLQKVAAHNVELAVVANLLLVPSQDIYMPVHSVIPFQVLIVKQRGTEIVTMPNPSYELVIDGGNVASLDKKTSSVRALTTGNSAVHLLSSHVDVRAKAGLRPPSTVIHVVDPESVQWHVSGENWMLETGKQYTINVELLDEHGNVMFVADNSRFDTHVDENLLRVDYKSQNGTWLLVTPLKPAKTTLRTKFVSIIDANGKIIAQSGKIGGEQRATLVDPVKIVPPVIYLPYVSEKRAQIDLTATGGSGLFDWSSEDGHIATVELATGRLTANSLGNTVVVAADKRNDQLRDRADVHVLEVSGIGFGETVRETFVGENLELNLKFTGVTSDGELVEMSDCRNIRASVQVSDNSLLRHESNEESHLPKVGTGCGTVSLKGLSSGDARVTVSYMGHKASIDVAVYEKLKISEDSSAIALGSSHPLVISGGPRPWILDPANFYKTRENQEKSSQLQVTFDNEKVIFKCSSTEGTEAVRVRVGNQKSSTLPLPIHSTVTVSICCAKPTRLEIFDNKPRPAKCPLNVHSMLIQTDAELVLRGSGACNGVVTPLASINGLSTKWQTSDSSLVKIKKQGIQSDVTTGKKEGVATIEAHAGSLTAKYEITVSKGLTVEPSRLVLWNEAVSKGTFTISGGSGHFHVDNLPTAGAPVSVALRSRSLSVTPKNNGQISLRIADSCLIGQHADAEVRIADIHSLAIDAPQFVEIGQEVEVEILAQDETGASFEKEHRPLADAQLDASNQHVILKKIDGLRYTLRANSIGTVSLSATSKSSSGRVLSSRPHNVQIFSPIFLQPKRLTLIPDAKFQLEVVGGPQPTPPLDFSLNNSMIASIEPNALITSSELGWTSITGTVRVGDGHVTKDTVILRVASLGGIVLSASSQKVETGGRVNLRLRGVVAGAEDEEPFAFGGAIYPFKVTWSVSDPSVLSTTHPLGGDVVEPSENQFAIWFDAIRGGSVTVRAVVELNERARKHFVGQRKSFTTEITVTVEDALSLIQPEMAVNSVRVAPNSQLKMVTVWSQAAFSVPSDFSTRVSITSDGFLRTNGKEGSAVVAVRKLNSPDNETVLIPVTVSRVASLDVHPTSELRSAFENSPIVHLPVGAQIQLNVIPRDIRGRRLSAASNSINFRPHRFDLTDIIATNNNQTLTITLKNAGDTVLRIGDAANPSIATFIRLSASESILPRVAHKYANDLVVSDVICLQSNILSEDGSRWSSQSSSEGRINWLDEHQGVAQLAKAGNTFVRLHTSQQTIHSKISVLLPTSLRFPEQKPDFVSNDEHAVFMIPVTAITNNTSKSKISSIYGDCTHEQIRAFDTISAPFECQVAFVRKSKVISAVNWLTTSAVFSPSFGYGCEIRRLDSSISTSSIVIPDELLKDQFDARVTAKWASDGTILVADAQLEVPFHFAFNVEEKELVFSNMNQIDAALSVWVPSYDAKNIVVSGCEGDIVNVAKTSKITDKHSAKGNIFYNIHLNVKSAALFTEHAKKCQITVENTLTGQVVRVPVTVQLLDETAKQVFNALESRGIIDVLLILAQQYSHIIPTLLWTCLVGIIILAVAIYVKMNIFDKSGSFGDNTLNNSTHQTSMASSLNSTNVSLREPVFRSTPIAGSPQVALPTARERLRNQMGSSGDNRLWSY >CRE23575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:203720:207697:1 gene:WBGene00068980 transcript:CRE23575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mam-8 MMTPPFKLMFLQFFYILTRIFSGILCLELCTFDDGTCEWDIKPPWNVVSLAILPRHQQPGFLITSKTPDVITSEGPFLLAQGKFASIPTSRVTSQKLSKSDHMRILAYRYQRRGMATLRILLKNQTDEVLLDTISPNELQRNKWFRRSVIFPPIEEDDQTSVVFECDNIQTAEDVIAVDDIDVATPANVMWAGQDSKFFDEEDIRRREIRRSSKLSKVCTPISCHFRSTICSWQSSNIQLLPNKIVNEASGESILTSSAVRLPVDESSFIQLQMFDSAGSISSLSFRNIGSMIEKTIWTEDSTSKTEQNSQNGWNRILIPLDSVPKGIPIVLILKSITGSNDFVAFSSIDLVDQNSKTITCDQVTSPVQPHSGQFVRLTALQNLKMSPIESILYQPPISTTTPFFPIPPPSISFNMDEKVSRSPVAVLPISPAHVSARGIPIVPVSTFSNPLIPLSIPKFPFHF >CRE23574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:201542:203372:1 gene:WBGene00068981 transcript:CRE23574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-10 description:CRE-RAB-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MVV8] MARRPYDMLFKLLLIGDSGVGKTCILYRFSDDAFNTTFISTIGIDFKIKTIELKGKKIKLQIWDTAGQERFHTITTSYYRGAMGIMLVYDITNAKSFDNIAKWLRNIDEHASEDVVKMILGNKCDMSDRRVVSRERGEKIAQDHGIRFHETSAKLNVHVDTAFYDLAEAILAKMPESTDEQSRDTVNPVQPQRPGSSGGCC >CRE23618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:186160:195643:-1 gene:WBGene00068982 transcript:CRE23618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-knl-2 description:CRE-KNL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MVV7] MGDANIVPVRVQNVLDMEIVRLKLWSIQFDGSIRLEGFVRNEDGTMMQKVCSEPICKRMTATMLFDISGRFFELAGQIDREYQQKKGMPSRVIDEFTNGFPENWGFLIKSCLGNESRSVMRPIQAAPREPLRQRNEPIVTLADETELNLRKNSDDLEAENNRKRREREEQAERERQERYRADEMERERIAAEKKRQEEEDADYTFRAPQSQNGEPITPIRFKRGNGQRQGVTRSVFEKTPQRGQSSSGPLAASTPQAPPPQPTRQCQSNIENREPPPRVAAPPSPIRQPPAPQPPIREPQFANDDDLFAVPKIPPPKIPRGSAGNSGGNIDFLDEMDALFDTVYIDKTPKRDVKPKRPASPSPERRRYSPMPRDREMGYNDEFESSRRGGRYPNESSNMSRMSRRDETYRRNDGGMGRDESRMSRKRGYDHSPDDMEYQRRREDHYRRPDYYPPRDSRQDSKRYRPRENSSSSGRSASVRFADDYQRNRGNPKNFRDSEDSFSRDPRFYYENNRREESSEYREDTRKLEDILRRERELVARLQNIKSNTTTNTNTTRRVTYSSEEDEMADEWERENQEIMDNSMMFGDGISKKGRRSGPGRPPQRKPKEQPKPKSQSQPKRRNQNQSKPRRYDPVETDDLNDSIASNRPRRACVTPSPVVPKKIIWPKKDLDRLKRTIELKKPTGSEADWTEIARLLAKNGADGEAVKQTAITKLKWKEPRDQETIQREEEDETKRRRGVNARVKEGVKLQEELRRGATHQKRAEDVRIETTAEDIQPDDLAADQSLLAMATPVATKKRGGTRASIMPMPVEDSPIVRGSRNNSTLNSPTLNQTKAKEVETTLRYVHQLSMVQARPASRNNTSSMNKSSVILKFYCFFISTKTLQTRGGGSKNTSMSLEQGVKKAMKIINRGTTIHEDDEEEESEEEDDSEDLEEPNIEEFVSIETSKLCVQYLTRRPKELTFPENVQDTTLIFKASENPIENDTWRKKSSLTVDTNVPVQVRNVLDIEIVRLQLWTIQFDGGIRLEGYVRNEDGTMQKVCSEPICKRIGATVLFDISARFFELAGQIDREYQQKKGMPSRVIDEFTNGFPKNWRFLIKSCLGNESRSVMRPIQAAPREPLRQRNEPIVTLADETELNVRKNSDDSEAENNRKRREREEEAERERQERYRADEMERERIAAEKKRQEEEDADYTFRAPQSQNGEPITPIRFNRGNGQRNAVTRSVFEKTPQTRQSGPLAASTPKAPPPPPPQQRLSNIENREPPPRVVAPPSSVHQPPAPQPPIREPQFANDDDLFAVPKIPPPKIPRGSAGNSGGNIDFLDENLRKNSDDSDSEHTRKRREREEQAERERQERYRADEMERERIAAEKKRQEEEDADYTFRAPQSLNGEPITPIRFKRGNGQRQGVTRSVFEKTPQRGQSGPLAASTPLAPPPQPTRQRQSNIENREPPPRVAAPPSPVRHQPPAPQPPIREPQFANDDDLFAVPKIPPPKIPRESAGNDGGNIDFLDEMDALFDMVYIRKRVHDRSPDDMEYQRRQEDYYRPTDYYPDSRQDSKRYRPRENSSSSGRSASVRFADDYQRNRGNSRDPRDFRDPRDSFSRDPRFYYESNQRGGEPSKDRDTRKLNDILRQERELVARLQNNKPTTSNNTTTRRVRFTPEVDEMADEWKRENQPKEQPKRPKSQSQPKRRNQNVETSIASNRPRRACVTSPVFPKNIIWIKKDFDRLKRTIELKKPTGSEADWTEIARLLAKNGVDGEAVKLMAIKKLKWEEPVNTSSMNKSSVILKFYCFFISKKTLQTRGGGSKNTSMSLEQGVKKAMKIINRGTTIHEDDEEEESESEDENDSEDLEEN >CRE23573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:183886:185949:1 gene:WBGene00068983 transcript:CRE23573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acdh-3 description:CRE-ACDH-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MVV6] MSSLSRSLLIGRSAAPLVSATSSMSTKPRTQVDGHVPSPLQQLSEQEISIVDTVRRFAVNVIKPLVREMDDKSQMHQSVITGTFENGLMGIEIPEKYGGPGSSFFDAVLVIEELAKVDPSVSVFVDVQNTLVAPLIIQLGNEEQKQKYLPKIVTEAIGSFALSETGSGSDAFALKTTAKKDGDDFVISGSKMWITNAGHAQFFLVFANAEPGKGYKGITCFLVDRNQEGVSVGKKEDKLGIRASSTCSVHFDNVRVHKSAILGEYGKGTSYKYAIECLNAGRIGIGAQMLGLAQGCFDQTIPYLQQREQFGKRLIDFQGMQHQIGQVRMEIEAARLLVYNAARMKENGIPFVREAAMAKLFASQVATTATSRCVEWLGGVGFTKEFPVEKFYRDSKIGTIYEGTSNIQLNTIAKLVDTEYQQKA >CRE23616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:178886:180047:-1 gene:WBGene00068984 transcript:CRE23616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23616 MPVTDPVLTPTQVGPAADPALLANDTTAMTPTQEGPAADPALLANAGCTADASEAGINMAVNAMQKFGHELEQFPAEGRKLVEKAMSSISTTNHRSTRSGASSQRSSRSGRGSEQDSFVRIVNTLVDMTPASTPSKPIIETFVLSSVILTVFSLSSLLGGYLLAPFVTLIIPPVGAAIVSALVAPAAIYYQLSKVCNEPHPFVLTECFQGYGALDGFRLLVTAAAAQGVLTGAALAHFTITSEPFIALSTIASSFVIAMLNPTTRSSSLSTTVATSILIHSSLGALEGALTPIYFALTGMYTLAALVPVQLATRDQQRANVNLYSAVLVGVTIASKCLAYGILGASDAPITMEQTLTLEGEGMAEQQINA >CRE23572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:175049:178808:1 gene:WBGene00068985 transcript:CRE23572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-25.1 description:CRE-CDC-25.1 protein [Source:UniProtKB/TrEMBL;Acc:E3MVV3] MTTAAAKEHEIYIDRASVNMNVVVGSNEGNNAMFEEDADSRDSGVSMTSCSDKKDVISPVRNVDFSKVETRLALSDCSNFVAKIKRNSSVSSSRSSSFYNYDTPTGRKMRTVFDTDSLDQSLYEKKNVVDKTMEKHRKRSSSSMSPSFALMDQKRSRNLSDAAMAPQSDKICRYNGGLNVPNSSDPFGDEDGDDEVFLQSNQKAYVQNSLFAPPAPRTTTSLWDLAPPIVIERKGSDTSANGSFQERPKQILRAMSVGVIDHDLPSTLDVKYSLPAVENPQKASQAYRSISPITLLSEFQRLGNYEFDKKYVIVDCRFPFEYKGGHVRGAINVFRHDKIKSTFFPEDLAYNMAPKRIPIFYCEYSQKRGPAMAHAVRSIDRVRNELRYPHVEYPEMYLIDYGYKSLWNRLECRQICEPCSYIPMNHSLYSSEFKSARLERHHSMASLQPNGETGHRKEKTKRCIRSAYRRNNSTLSMLSRSSTNLSSTGRTSSTENVLSELENEQRGHRWVSAFDINSIGSEIDLDNELTNNFPVVSNASSYADLGGSERVVQLGFKVITPDFGVHEASSSSSSATISGQYHPLELHANDQNTPCATLDFSLISDTD >CRE23615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:172374:174214:-1 gene:WBGene00068986 transcript:CRE23615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23615 MQLRDKKFVNYVHDVKIRKPSTPPAVTPIQKPPVLKRTESDTPKNSAKKIPVVKRRATMEEETKHTINTRSKSKKSEEFQAAPTTRSRTRALSIPATPKTRSKSVAVPESSSKLKILKKREQEPSTRVSRSTRSQSRCPVPEPPTLKRRPTPMKSNEKKPSETEDVESEDSSESESDSESSSDDDSHVESKRRKRECVDYPELTMGDESFYPERAIQPICSRTPPEFYEMASLWSKLASEISEDMLCDYKFSLRDILTGRVSCLIPIKTMVPDLPDDMLPIHERLYNLICQSSGAYPFKNHEGTKLVSKHRLTDGNQIGAQIMLECLHYGVLPFDVPNEMTVLNQIRNYVRIGIKDMLQEIVDRLPEGRNTIKEEDIKGNLVLNLLS >CRE23571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:168759:172235:1 gene:WBGene00068987 transcript:CRE23571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23571 MVVPRAPSGGHVLPLQSSQEIFQQRVAAAPGFQNGVAQHIQNQNMMPSVAPNVPMSHPMRPQSIAGLSQLQQQQIPQQRPVSAPTGMGVQFVPVCRYEDSQAIRATSFHPSGRFFALGTNSKQLHICLYPDIKKTQSRPMAHESTRNAEIAVTRPKQHRGSVYCLSFNPNGDLLATGSNDKTIRLMAFNEDSCRIGAEMELTCHDGTVRDLIFIESSINRSTILVSGGAGNCHLNVTDCNTGQLIQSMKGHSAPILGLYTWSQAGNQFVSCSQDKTIRFWDLRQQTSTNVISPGSNKSHNASPVTSVCVDPNGKLLVSGHEDASVALFDITGNRVLQSFRPHGDEVRTVRFSNAAYYLLTASYDKRVVITDMRGDLMAPLMYLPVAEHSDKVVQCRWHPHDFSFLSTSADRSAVLWSLPNR >CRE23614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:157843:163672:-1 gene:WBGene00068988 transcript:CRE23614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sulp-1 description:CRE-SULP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MVV0] MDSISLLVGPAPNASRALNQDDFDTRYNFAKYRKKKTNTELVKQFAIGDNWILYQSPNSWTQILPITGWINKYHVKDTLGKDILGALYLSLLLLPQAIGFGVVINDVSAAVYSLFIPQIIYAFFGSAQHSSLGALSFTSIMIYCSIEYSSSHLSSISLCCAFIQLIQFLLPLEFIFSYISTSALSGFSVGLFIRTVFRFLPQFLVFRGHDCKSTTAVGLLLPNTTNDVKRVLQCFYAAVPCLRSADTILIIATVVAAIVFLIFKWRLSRLLQSKIGFSAPHEFFVLLTVTLIIFFFQPDVAAQSTSRIDLSIPKIGLWAFPSWSTFVDSFAISIYAMTSHMQITKSIAEEKMYKVHRKQELFCFSIISLLSSLFGLLPPSSSYGSSQVNIESSKFSLVANVLSLIPTMLMVHFGAPLFNSLPICAIGIMIITSYNGWFSDLKSIREIFYSSPWDAAIAMAALVSAVVFPNVCIGFIFMLFCSVFAVSLKVQFPRMEVLAKLSDSHFSEENRYEGDCLDTPLRIIRLSGPLLSVNCESVRAELFKQAVVVKGLIGIGIGTRTASLRSQCPSAVGPKYSIQESVTARESVNLSANITIIQECDVSVALPPSEDTPAIVRFLVLSMNGVTGIDKDMLTCLSQIYGDLSSENIKILMAGVPAFVRDSMELLGFYNTVPRTQFYPNVQEALLAARNTVLPFHMSVSMNGYRDVIALSCAQSNADLNRQPSPEAV >CRE23570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:149847:157601:1 gene:WBGene00068989 transcript:CRE23570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmtn-1 MENRIPSALSSSVKSPKTPSGIPFSISERDVIKAILEFLEIRGLHITQLSLEREAGVINGAFSDDLLFLRQLILDGQWDNALDFVEPLKPVKEFDFGAFRYNITKYKFFELLCVKLEPGPLHDNDFAVEELVECLKDLEHICPSPEDYRHLCALLTLPKLSDHEDFKNWNPSSARVECFHKVHQLCGHLLPPTGKEKDRGEESNSSNDRLSGLLAKGRFYEGCVDYCQAQAIGDKRGIEAGPMPSDLLNFRPKLGSTDLSLVCWLEMVGKEQFAMPFKQKQLELRVEHIRKPKLEAPWTETIMATPIKPGGQFPHNLVPSSKLKFAEKMSQSMTMSMLPPADLTTSMFPSVPRGNPMSQSTAAGFCLGTAIAEGDAMAQSLLIDEMMESSQLAKTSRPDSLRGVGMATGGYGSNQQQMVPNAAAQITNQMMSMSMAPQVIAPVAPMAQSMINYDFTPVRRQLDEMTRR >CRE23569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:144868:147838:1 gene:WBGene00068990 transcript:CRE23569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dbr-1 description:CRE-DBR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MVU8] MSSEAPDDHCCGHETTSHEDPAPQPLITETGSQRKAKIAVVGCSHGEMDAIYEVFFRKKNFIYKKEIFQTMALIEEKKGYKFDLLICCGDYQSVRNYGDLHQMNVPRTYLNLQTFYKYYSGEKVAPVLTIFIGGNHEASGFLSELPNGGWVAPNIYYMGFANCIQFAGLRIAGLSGIYKIFDAEFSHHERAPFNESSIKTAYHVRNVDMFRLRQLKPANDDKTSNPIDIMLTHDWPGGIPDYGNKNWLFKKKDRFEADHDAGRLGNPVAMKLLFDCRPRYYLAAHLHIAFAALVPHKGTGTDRPQPTRFLSLDKPIPGRQFMQALEINVAEDAKMELSYDPQWLAILKNTDLLTVADKVKIVLPDRVGREPCIYERKDFRPTEEEMAEIEKLGDLTIKTDSFRHTAPPLKQESGQSLDDVPPSAYYRNPQSAEFCQWLEIRDLNQMLIEKSSEYVGVPYYMMPDDVDSKPNQDDVDFGDEDFVIDRGHHEEEPEAKKIRLEGAEGVGEESGDVGASE >CRE23568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:143135:144754:1 gene:WBGene00068991 transcript:CRE23568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-18 description:CRE-MDT-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MVU7] MDNVVDVDEERSQQKLSVNSTPYQTQECVLYGSIYIKNVPDLERRLAGLCDPGCEEFFEHEMSFSLRTASTYDLKTDIKLRRRFRSDNQVQNYWQLKYIGVPEPDQKCPTIVRKEISSLVHSNDMMTYAKSLGLRMDYEYITQGKLWTKGNIKILHATLTKTLKAGTYDSSSIKSMSDSALVEISISLPESAEYMPAAKSLRDFADQLMPLVHMEKVDYWKKMFSTPTAPAARR >CRE23612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:123111:125922:-1 gene:WBGene00068992 transcript:CRE23612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acdh-1 description:CRE-ACDH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MVU2] MSSLSSSSSKIFKHALSLTARRRSYSTALATSHRPNDDVTVPPVRPVNLLSENENFFVESVRKFSQNIVKPLVREMDKNSKMNPIVTKGAFENGLMGVHVPEEYGGSGSTFFDAMIVIEELAKTDPSVSAMVGIHNTLPVSMIVEHGTEEQKHRYLPDLCSESLASFCISESGAGSDAFALKTAAKKDGDDFLISGSKMWITNSGEAQVFVVFANADPSQKYKGITCFIVERGAEGLTVDREEDKLGIRASSTCQVHFDNVRVHKSAILGEYGKGYKYAIECLNAGRIAIGAQMIGLAQGCFDQTIPYLQQREQFGKRLIDFQGLQHQIAQVRTEIEAARLLVYNAARMKEYGIPYVREAAMAKLFASQVIYLNHFSPNYPISRWPPQHLLNEFPAEKFYRDAMIGEIYEGTSNIQLNTIAKLIDNEYKLRS >CRE23566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:130416:131611:1 gene:WBGene00068993 transcript:CRE23566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23566 MDNVVDVDEEISQQKLSVNSTPYQTQECVLYGSIYIKNVPDLERRLAGLCDPGCEEFFEHEMSFSLRTASTYDLKTDIKLRRRFRSDNQVQNYWQLKYIGVPEPDQKCPTIVRKEISSLVHSNDMMTYAKSLGLRMDYEYITQGKLWTKGNIKILHATLTKTLKAGTYDSTSIKSMSDSALVEISISLPESAEYMTAAKTLRDFADQLMPLVHMEKVDYWKKIFSTPTAPAARR >CRE23565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:128270:130173:1 gene:WBGene00068994 transcript:CRE23565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23565 MLLDVLLIFKFTSRSRLSTKPPSSLFILNYSSRCRRGTQKPKAVSNPVLTTSKSPSPASTPSQPAPPAVVIASVPVITNPLLQNLHKDPTQSSPNLSKEGKHTTRKKKNEKVEKDPPKTTIRKKKKDLQSISIDLKTSSENDGPGGSANDPRKKKSHTSSDSKKKRSSNETKSDGSKEPSDNEEKTAKKFNPEMANNFFKFLKESHRARRRTEDAHLERMPESSQLNYSVRSIRSKLKKGGPKTSSTEITNFFKPNGDPIWVVDSQPTTEVMRDANGVAITNKELVEAMAEDNLELDEKSWYDLIESYIQCKMSSGTQLPEDHQFDSLAPADTLTEINEYQSQEAVSYNTVKNLVELSEDAIQRYEKRRQGDDNNRPARTIDVTTVEEGPVAPVKVENFQLNTCIVSNITFDLKKNMNIKYDVSIWGYSSDSEEFSFQRHNAIASIRKLHRKMSMSMEKTAKGGSNEKN >CRE23613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:133617:138404:-1 gene:WBGene00068995 transcript:CRE23613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23613 MCLLSLPSGRSLFATVTPPAPAHQYIKTPTFPFPTIFIMSSLSSSSSKIFKHALSLTARRRSYSTALATSHRPNDDVTVPPVPPVNLLSENENFFVETVRKFSQNIVKPLVREMDKNSKMNPIVTKGAFENGLMGVHVPEEYGGSGSTFFDAMIVIEELAKTDPSVSAMVGIHNTLPVSMIVEHGTEEQKHKYLPLLCSQSLASFCISESGAGSDAFALKTVAKKDGDDFLISGSKMWITDSGEAQVFVVFANADPSQKYKGITCFIVERGVEGLTVDREEDKLGIRASSTCQVHFDNVRVHKSAILGEYGKAYKYAIECLNAGRIGIGAQMLGLAQGCFDQTIPYLQQREQFGKRLIDFQSSPNRIQGLQHQIAQVRTEIEAARLLVYNAARMKEYGIPYVREAAMAKLFASQVATSTSAQCVKWLGGVGFTKEFPAEKFYRDAMIGEIYEGTSNIQLNTIAKLIDNEYKLRSPSVQNGSNVHLRHSHLDVEEFEHYALDHVDMAFEKVEQVKYNQTVVLKEDSGVHFTAMPAGHMIGGFIWRICRVAGEDIIYCVNFNNKKDRTIAFGKI >CRE23610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:106513:112991:-1 gene:WBGene00068996 transcript:CRE23610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-2 description:CRE-GLY-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MVT9] MRRRRRVLALLFIFSAFFTPLGFFYFTIANESRSRYSDDSEENNGGYQTMEVSEPPETIHVDFKKFSEDECSNFPPNMTRDYPECESKMRWIRNGWKTHHCYAENYVDGSECSFRYYLSQVESYCPLMEKNTHKKSLAKISPSIRRLLPIFDTIPNYMKTRINRLWKKWKEGAHEVMEKYSDSMSNRKKLNVLVFVGFLANEKTLKLAKKSDHGGPLGELLQWSDLLATLSIIGHHLEVSTDKDSLRSVVNKYMSRGPCQYVNGSRQQLDIIFTDIMGLNILRQQHRQFLMNNRCRIRLLDSFGTHTEFTNKSYFLKNKRDLSGPISQRNPWGGHGLDLRQHWTFYPHSDDNTFLGFVVDTEGVNSEEKQKRSYPSALVYGKEMYMWDNAQKPIRILKKFVNIYATVADLKEFERREDNGSSIFNDVQNHGFLNSDEISALLDETNIFFGLGFPLEGPAPLEAMAHGAVFINAKFKEPKSRKNYRFLAEKPTLREVMDQPKSVHGKIGEPHVITVDIDDEEALEAAIKRAIQLKPKPFVPFEFSPAGMLLRVALLLEKQEICDKIAVSKRWPPVDQMRIFRTVNPEDSCEIACHSQNLLCEPSYFPIINSSPLLTREFSCPSTSADASPLAPFNCTVQSSASLFSCASRPPPLSDIIRICPCRDYIPQQHAICTKCL >CRE23562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:102034:103425:1 gene:WBGene00068997 transcript:CRE23562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23562 MSDEEEEDVNFKAGVEISSSKASYTVVRLLGEGGFGAVYLVKDAKDKKYAMKVERKLEKRKHSKLKMEIAILKLVGTGKHFTKIVDRGKKDKEGFFFLVMELVGKSLADLKQDRVEKAFSFATGLGVASQCLEAVEELHKFGFIHRDLKPQNYACGLDDKKHLIYILDFGIARKYLNTKNELKTPRETVGFKGTVRYAPLACHRSTENGPKDDCESWFYLLIDLILAGGLPWRKVTDKNDVLKFKEECRKEKRHTLYTGIKHTNELNKILDYIDSKAYQDRVDYAFIYKALGEACTSAGLDIDAPYDWERDREKGSNEKSSK >CRE23561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:98069:101197:1 gene:WBGene00068999 transcript:CRE23561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-che-1 description:CRE-CHE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MVT6] MSYHYQMNTNNNDFFDSTNYIPQMQMHHGYQEFYSTDATSSMMTSAATSQVPQPTENSGIMVVQSSGGGGGVGTEHGGYGGVGWRQHDWNSTSSWTMGNQMGDHMGTGTVGITGTQISSLNHATSHIRATVQPVEYKPMMTASHVVADTFRSTQPCYMNPPPTRMLSAVKKPPATVHRPLARSTPKPFRCQTCGKAFSQAANLTAHKRIHTGEKPFMCPVCNRPFSQSSSLVTHRRTHTGERPYPCAQCEKAFTDSSTLTKHLRTHTGHKPYVCSICMMKFTQSGNLHRHMKTHK >CRE23609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:95665:97126:-1 gene:WBGene00069000 transcript:CRE23609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23609 MSVPFPLLRLPFLPFEKVIKQMNSFEILILSLCSKASKRYCKFVKPAKPIVFNLCINGGVHITVQWENPKPEKEIEKNNKDWKVLYADDVLISTNFTICHQFNVLTSESYGYIHYKPNSDRTSSTYRISQHYFTEEVLKWIDAIREVFNASFHDFFLGTSHDERVIKWIEAEKPSINELGIQCSLDSMITRNVLNYITPKEKFHIRCEILGPMYFMSRSEFDKKSHVTNSIHLNDLLKLKCPVINAECTDFTDNDLNLFLKNWKKIDSNLKFLSVNRSPNSPKIDEKALIEGLNVTKLEKLAWVRRGDESIGIRGYSISNDEGKMAVFGIDTWSRKNVNFKFSLFSELETQY >CRE23560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:93324:94900:1 gene:WBGene00069001 transcript:CRE23560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23560 MFVPFPLLRLPFLPFEKVIKGMSPFQILLLSLCSKRSKSKCQLMKPAKLLFLKVYLGAKARITVMFENLKQKFQVDTDCRFIAHDFYWYHRTRWLPDHWNLINCVSKPSSDKEFLKWVDTIREVYSTSLNIFVVERPCNEEVIEWIEKKKPSIDVLFFKCHTPLITMQRILNCVELKKEVNIRCGVSPQISISGPSEINELTHVTNSMNFSDLLKLKCPIIRSECTDFSDTDLNIFLKNWKKNGGTFDELTVNRSPNSLDIDENVVIEGLDAESIEYSRYEKPADQNMKVFQGYSIRNNQEEIAVFGFYGWHNKNVKFKFFVSTSGL >CRE23557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:87232:87652:1 gene:WBGene00069002 transcript:CRE23557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23557 MADKSAFVPVDAIGNHKNTDLDVDIDDELFGKKPPSSTPAASSSASKIAAPVVTKPTSPAVKSPAAPVPAPPAKYQYKKSTNYTKTYAK >CRE23608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:84200:86812:-1 gene:WBGene00069003 transcript:CRE23608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23608 MTFRLTCLLIFTILLASPANVFAEESSTVDPAAGGGGAGAAAGSSTPATAAPATIRTDQCPSGDQPTHEDCKTGTCTGTTSEICYREVYKDNTPLSMCCGQLSKFCEVTGLHSYHSIGSDSHLYKMIPGLSTSEWYKREAPKTTPDGVACPIPNSFTLRNLKPSYPPVGWEEMLKEAMKETPKLVQKQCSKNSECGTGEFCDAVWNPTFNGLDKSGPDGKSLRFCYKKPELPSNMQHADHHLGLKICNHHDECGKLDEICHHDKDNYKNESNPDQDATGLCVHVDACVGLAQPRGVLNVKSQACHDDMGCEGAGLSKGNADFNPLCKSYFNADPTRKVCCYQKLPVCRVGKAVTPPKNCTKMSDCTGDVLLKDANWNTWCDDGDTKVCCKDLANKAWQCPDLATPLFNEPACTGWTADNIHSGKCPKKGGRCVYGHCCPPRELSPPPLPSIRGDHVAQAVAFCHHVCPLWGSNPSLFFSFFLCFKIYFSVSLTKNGTDVKLGKNLYHTEFPCDPKNPIPPGFSYVFCNSDTKKLIVVGKFHDNGDKMTKVSGSKCTINADCSSDGGSVCVYENDKNHVCYYNPLRALRPEVSSFWKTVLIISLVCGAIFMILSIVCFVCYRSKSVFDKKKKGSKDSKSSKTSKKGGKGGKKGGKKGGKKDGKKSGSSSSKSKKSKTKSKKETEKSEGGTTGTTGDSSSQMN >CRE23556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:83142:83631:1 gene:WBGene00069004 transcript:CRE23556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23556 MSKSELEVQVWFVNLIHDQKYITARWAKRYSKITGVEVEMLVKATILFIIGLLIVLKEPHYLANGLLVIVPIILTFLEPSERPATGIMFIYWTLFGVSVVFDRILEYIPLYYIFKLAAFIGLFLPPSNPTIELIHKKINNIPEK >CRE23555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:81207:82984:1 gene:WBGene00069005 transcript:CRE23555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nuo-2 description:CRE-NUO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MVS8] MLGSVIRQAVSRQIVRNAPISTTAVAAQTQATGEKKESPKKPTIWKIDEHKRERLANFGKYAAECLPKFVQKVQFAAGDELELLIHPSGVVPVLSFLKVSFIDIILTEPINFQGNHSAQFTNLTFITGMDVPTRKNRLEVIYALYSVRFNARVRVRTYTDEIAPIDSVTPVFKGADWYEREVYDMYGVWFNNHPDLRRILTDYGFEGHPFRKDYPLSGYNEVRYDPELKRVVYEPSELAQEFRKFDLNTPWEQFPAFRNQSITSGYETILETAAEPAPAAPQNK >CRE23554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:80215:80985:1 gene:WBGene00069006 transcript:CRE23554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23554 MNSDIVYFPIHEHLDSSHLGQFIGHEGINIYKIEKENGVTLDICKNEEENSIVRLTGPYWNLKCALNDVVELVTKIRNNNQRYKFKIPPKDVGFLIGKNGAKINEVKLSSNVDVHFDRSEKPEKPDGEQEEDTDVFVTGNYQTILTGLRLICDRLSSKGQQTLYDDPRTLQFAESLMESF >CRE23607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:72921:79557:-1 gene:WBGene00069007 transcript:CRE23607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23607 MILTTILLLLGVTVTHQRSVLQNNDCSYQSSNYSVNWTYDELSNDIVFKLTSQSDFTNFYTAIGFGNEKPEDVIAVYVRSLQIGLIDGHLVDDGAIESDEKTNVQALQFDLQNGVLTAQFARPVETFDTQDSDLGSCINMFFLTSPREIITGAPLELGSESSLQKIRVCDIAVTCATKMHNDVLVKRQEDSDVAPVCSSGPEDQKNRVEWTVDGDLVHFSIFQNSKKGRWWSAIGVGQSMDDLNMILLFADTGKLKSQGIYRTEGKMVPEAVQYEGIEVKKDRAVTNNGKAQFDISIEKKFFLDRVNDQGCFTMQVALLAGNYKPDYIIQKHQNTPHSIEICNLDACKAPVEPQQEIDGETTKRTDRPLVNGDEDVVEASGAVEGAETTRAAVLNNDVEGSGLPVIQEPKVENQEPKTTPHTAEVADEKPLTGDASTAAAPEVSQNSSTSSATVAATVVVSLASSTPIPEPMTPEKSPFFLRLTQNSLEFTPDHVFAVDNQTTKQAKSDDVTSKKPEEKVDDAPEETTKTSAGSVGDGNKNGCGAEHEDLKICESYFAEYLGKVNEWSEKHNMTISSHMWKVCACTLLSQVQHVTTMCCTIFRNTCATYLQL >CRE23553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:69882:72713:1 gene:WBGene00069008 transcript:CRE23553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23553 MPPRKRLKNQGKRPPPNFFSRKSMRSTSTQNSNSRRPAACFELFGAPRAALLTVSMQILTMLLEVSVYFILESKGYFVRNEIFRMVILPVATVYIGGAVLAAFGIFLKKKLLITIHTTITMTLMLLTDILAVSIILLMAIGKRSTYLNELPGQFVNERKFYNVLGPFWMYLGAISLHITVAVNMAFLQPLNEFSSSVDGNDESEMAKNLEIPPNYSNEKQELS >CRE23606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:63779:68808:-1 gene:WBGene00069009 transcript:CRE23606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23606 MAPKKKRTRMNSSRIDAECLKQLNLLHVCGYCSKLVGHDEKSHIEHASSHENEIKQCRTCCIPLKPDEIPIHLKTIHPGKNNQIRFSTDKTIIELRIAAGKGFSNFWGICLPATDSTLGKFGAVSARWWNNFKNGRSLGAFRLLKSKFHWKCPICETKPTMVFGDSITMRAYAISHLEQSHFHQIIENLPGFFEFEWFHLNEEADFNVRVLMDLAEQRVKQQNRSYRTFYTLNLTKMQNGQKFTEELKRRNGIEKDVRFCLHCCSILPLESIKDHFRSTSHALLKAVPESTSFIQVSPTSPENPFESESQPEIPELPEKEEESFEFPRELFLYSTVYKNLRWDEFKWRCCLCPRTHALTFITQIIMRMYALRHIEQHHKFLFTEEFLTFEWLSLQQEMRASFDIRHYIPLQYTIKGEDTFNGRDPNDYMLPQQYYFLPKEYATNTVICGFCYRSFNRIDFFLHIVIHGFIVDQSVSCELRPVTLDMKVRELMGQNNYSDAELDDEPLTILSIDGKRLKAKMDLMNERKSNNMSRLSDITTPFKSEIDTDGEMSTPRSRKSAMDARRLLTETFKVLQANARNRRDTWNATSSSSSSDDDDNSEDERFEKKLEMESATKLERYERSKSREPSLLEKKKVDVSSMRPAEIREWIRREARRRGIDWTEDSDESTSSDDEDSGEKTSTMGKKWANMKLQDIKEKIRQAAKRRNVTLSSGSSSSDSDDS >CRE23605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:61326:63513:-1 gene:WBGene00069010 transcript:CRE23605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23605 MPKKCIELSDLPPEILQLLVEKCDFVTRRRLRATSTLMYQVVDSTKLYIDSVDMNFCGYSIDVSLSLEPPFRHVYNLTFEKNETGGTRMESDFRKEVLIENSDHMGAAIDWFVQMCHQKNVTIGQFYTDMSLHLRHEKKQLAEKLDEVLGKSEIPLKIKSIHCADSGNIMWNITEYCDKRLLKEMEVLIPGMCLGREIYLFEESARRRECRETRVLGFSASLSLSLSLSLSILHTLSRRPEAIAHPWSRVYSMGDFELFGKQDEIVKNLKKIQVNAPFNVSVEDVLSLNASVIYLDSENFTKDFVYKLIEKFTNRREDGSAFCIKNSLKENLDLEMIPPGFKETDSTKRFKEYRNQLINTNHPTVYLRVSEDRVRLQIGDTKKGTFWSGYEFHSDTSDDSSSGSDSDSDANDNAPE >CRE23604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:58286:61032:-1 gene:WBGene00069011 transcript:CRE23604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23604 MTKKSIELSELPPEIQQLLVDKCDFITRRRLRASSSLMYQFVNSTKLFIPFVKIKELSNEGVLVKLTIKLFKDDYTLKFKKNQTGGTRICQDFRKEVLIENSNPLDEAVDWFRQMCLQKNVTIGQFQIDTNGDPKKLTEKLDGILSKSEISLKIKSIHCVGTESGDSMWKVMEYCDKRLLKEMKVETSNEDEIFELFGKLDEIVKNLEKIEINCLCDVSDENVLSLNASVISLKSENFTDGLVYNLIEKFTNRREDGSAFCIENSQKRNLDLEMIPPGFKETNSTNEYKEYRHQLIETNHPTVYIRVSEDRVRLQIGDTKKANFWNEDGRMYINAPEYDYDSSEYDAYDDFDDLESEYYGNPYASDPDYDLLDDRAFGESDFEDDEDEY >CRE23552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:55763:57941:1 gene:WBGene00069012 transcript:CRE23552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23552 MSLLARVLRLNTSYRLLNSTSSASFSQKYRTDDVTPQPLQLLSETENSFVETVRRFAGDVIQPLVREMDRKGEMDDRVLKGCFDNGLMGIEVPEKYGGSGSKFFDAVLVIEEISKVDASVAALVDVHNTLFVPLIIELGTEEQKQKYLPRSVTEAVGSFALSEVSSGSDAFALKTTAKKDGDDYIINGSKMWITNSEHSEAFLLFANADPSQGYKGITAFMVDKDSKGFSIGKPEDKLGIRASSTCPIHFDNVRVPKSAILGEYGKGYKYAIECLNAGRIGIGAQMLGLAQGCFDQTIPYLQQREQFGERLIDFQGMQHQIAQIRTEIEAARLLVYNAARMKENGLPFVREAAMAKLFASQVTTETESLRSQSTYFQVATNTTSKCVEWLGGVGFTKEFPVEKYYRDCKIGTIYEGTSNIQLNTIAKLIDLEFKNKA >CRE23603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:51275:54780:-1 gene:WBGene00069013 transcript:CRE23603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23603 MSTPDSYDTPQSINYIGFNQDSKIISVGHKDGFMFYKTADVIENSILECRSQSLKDLNLNNCNIIERLFTSSLMVVVSQRDLRVLHVTSNHIICDHRFNKSILSVRLNKMRIVVCLEDCIYIYNLNDMKMLHMIVDTPMNKLGVVDMTINAGNTFLAYPGSTDTGSVHLFDAINLSSVNTFVAHEGTLACLKFNQDGNMLATASTKGTVIRVYSVPDGHRLFEFRRGVSRFVTIHSLCFSSDSKFLASSSNTETVHVFKLEKSGEDDSKPEAPTNFAETAAGWFNTINQAVSAYMPSQFTQVSELMTTERSFATAKLPCAIGSNRVALVEHKNHQFLLVATFDGYVYAYRMHPEGGELDLIKQHGIGPKTRNVPNLDNQSDFQPMLHTSG >CRE23600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:28856:32305:-1 gene:WBGene00069014 transcript:CRE23600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23600 MVTSPPSPLSAHMEQFTNSIFEINATEDANIFDCSTIKFDRKGNFSVMTISCNELSIFRRELPEVGPLANNMSPEDPGNISYSHVGGLAEQIRELREFVDLSLINLELFDRVGISPPKGCLLFGPPGNGKTLLARAVAFESEYNYVEVVSPTIVNYEDSVKTIRGTFDHARDHQPCIIFMDEIDAIGGRRFSEGTSAADREIQRTLMELLNQLDKIDSLGKVKIIMATNRPDTLDPALLRSGRLDRKIQIGLPNEQSRLEILNIHSNKITKHGEIDFEEVVKLSDGFTGADLRNVCTEAVIFANRAERKFVINEDFMKAVHKVGEAKSL >CRE23550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:19891:21012:1 gene:WBGene00069015 transcript:CRE23550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23550 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIPLHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVTDWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFSFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE23549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:17253:18083:1 gene:WBGene00069016 transcript:CRE23549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23549 MYKVQSSTDKPLVKALEGGKQGSEDGPERDSDNTSDANSLVKALKGGQTDSEILAFLLTFILLTIWEKEERDQIVEVLKNGETDGAKEIEDVVKETVETKEDGNDVLKKILEKHLVELEKLHGVDSSLQEAIRKMADNQTTFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDSSVVKKAIDALKSQLINNSKYEYKKLIGAIEEARLDELTSLRNAMKPKNVPFIGNLINFKVENDVLKVQFKDPNVLFIEFDKECAYFLLGKVLFSVRT >CRE23548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:15382:16044:1 gene:WBGene00069017 transcript:CRE23548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23548 MEDFYLTLPSSTETASFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSTPDKSPGKDSTNSDKVSHDEVLVGALTGGSAPDKSPEKDSEASSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSTSEPKTSSGNSEDTDNALIGCSVGTCSL >CRE19544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig363:16198:16785:1 gene:WBGene00069018 transcript:CRE19544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19544 MIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQISEAKPPVDEKYLYEGNITEMDDTQKSYTSYEDESDSDMSTTLSPAIPTPEQPSTDTPLSSPIATKPITRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKGTNRLTIRLWR >CRE05861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:496290:499416:1 gene:WBGene00069019 transcript:CRE05861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05861 MTPFPIFGTPFLVWSAILNKMNFKEIVTLAECSRKTYKMSKAAYRKRDIIDILSGCPIKRTPLNILQYLRFLSPLVGPVTLTISITDPVEFIISIRHSSKIYDFKVIFAIPIPRTCRVISCGQWKMLGRLSDDDMTVTTYWNNRRYGIIQFLDYLEASREDLNLKIENLELNRDVLFEDFPEIMKLTTNWIHSKKVEVQNLRLMEKLNQKDFEHLFYRIQPTVSFVSNAKTTPGFRDSQHGQFKMRRLALCPGNWFTFENLMDCDCETIDISSCQFDERNILEFLKQWREGKRWENLKKLALVLSHGVIVEKILKVLQKERSPLRIQVDDMFSYIEHKDGAVARITSRDGNLQLTHLLTIDVLPQSSHQW >CRE05913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:445998:449268:-1 gene:WBGene00069020 transcript:CRE05913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05913 MVLNIKRASSLDGATVNVQDVLKPFDHQVGGHTPFTSLPNGHLLKPCDEREIYFYQKMPKIIKSIAPKCCSTIQGSSVSTFDDSCVNCRQHQLENVVIPESIEGSPILNKRKLSKNFIVLSDLTYRMKSPRILDLKLGTRQHGDQATVAKIACMTAKCQSTTSASLGIRLCGMKCPPSEQNNQISINKYEGREMGKLELFMAVRQFFNVSETVLEVVQKKLLGIRDVLSEADGVRLFGASLLIVIESEPTDFTPTDNLVRIKVVDFANSTFDGFQGDNFYEGRDEGSILGLDTLLGIVQG >CRE05855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:439502:440065:1 gene:WBGene00069021 transcript:CRE05855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05855 MIWGHLLVVLFFKPPIVMTLINYDDDNTGFFSPSMGLVKIACFGSLGMTAGKESEGNCMYSIKTRRLKAAPPKTSLVRSYEEK >CRE05912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:434021:438288:-1 gene:WBGene00069022 transcript:CRE05912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-30 description:CRE-GLB-30 protein [Source:UniProtKB/TrEMBL;Acc:E3MNQ8] MKNQFSNSKNTKSEGFRNSNVHSFLIIKIFGLRIFLLFSFFSDPKMESLSHLTPIDREILNKSWAIVSKDMQQVAVNIFQMIFEQAPDAKLMFSFMMKDYKEDKKSNEFIFHAVRFLQVIESTMTHLDDPSQLDAVFLNLGKIHAKHEEQLGFSAHYWSVFKECVLFHFRKAMKAHNKFSKHKEMSFAEIDSAIILWREVLRFIIDRMKVGYCESGAIRKSNKQAINGQHSISGDDSHLSLETKQDLTQVKSYFSNTPKNTKNASSNRMASRHSVAVIRPNGTAQEPTTTTCSILKTIASMSPKCALRRRELSIIVPEYFICRRKSDVDVSDQSSSPSS >CRE05911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:430484:432606:-1 gene:WBGene00069024 transcript:CRE05911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05911 MLYSSDNTTLLNPTNPKMTPDDNEIHLTSTPLVFQNSTCLLNALLQLWLIFHLAFSEPKIFTEFRDISKIFRIFPISSIIRSISFILASIYLSFYPPGTSEYTVISTGLLYIDYCCNYMCMLLILLASVNRVLFFIKPRYFKKCFPSGEVGIYSWLVSAAALAFWGSILFVKGAQPVKINYFECIGFVAVGDGSIWHKFLTILVYTVLIGSLICYSFIYRYLHKMSRTISAPRNRNPHKQKMFQQVLVTAVVYLIVQLFNEFIYFKNLTEFWKYQTTFVNLFNVSSFIPEMVIPLWSLADWLKIKYIAWITSENTIPVYTIPNIVL >CRE05854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:423422:429082:1 gene:WBGene00069025 transcript:CRE05854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05854 MNFIRLIVLLMMVVRAVVLVLLNDGNSEWSRFPRASNKEFSDSLEQMQKIARITNGIYLQQSLIKGTIPSGELVSEMFQLGSITPSEVDKIDTTKLGEVVKKIGDLPGQLKDTDGVKSMENRLLLLRSIVDSSNRVKNLEKVDDSFTTDITSMTKVDGDWRPVGKYATALNSLVQSIAGVEKNIEITVDNAELMLKAVEDACEELNSELLPTVSSLPRFENVRKAEKMFTTYSTLHTSMVYYANSFEMLKYNTTTDDTNIQLVGDNFKSMGTMVEDAGNSIKDFSTLQHIFDYESGSRTFKHTPAFSNGASEFKLVSGDFGDAWVQQVIGSEHTQLATALNSLESLAKFGKDVSASNGNFKVISQVFGRITEVSKMKVPFKSLASSLKKVIMSNEVPKYVPGNMDKYKELFDSVLLLFQQLKAIDRMLEVAQVLGTKDTAPHFETVEKISDLKKNDNALTRLKELRDNASFKHIANKLKKFPTLKSETEGFKEVLNIGDSKGNKKKPLVDPANSILKNKNKLKNYFDDSTKFLKVLNTIRGVKEFESIDPVVQMRKKLSGPTQGNKIDFSSVSQSVDEMKKQMKALKDWIGKMKGFVSVETDALLQLGDVKKDSDTLGSATLGVSNIKKAGGKKIDLSKIDRVIPATKAIKKKKIVKLTADEDKSLKELETLEQDYKSVTLDVSSYIDSVKTSQSNKLVDHVDIFEKASSVDGIQTDFSFTKSGIEKMIDVADSGDQQNLRDFIPVIDQLDSLGLDFSKHHSYFSSAKESLNSMDLAFAKITKLYYDTDSSHKISKWNSIESIQTRWTIWSTWQSISVRKPWWGTALITVFVLVLIILFIIWVCRKIPCCRKEKGKVDPELGHVVPPSPDDRGTSTPGTNTPDDPKLTPIARTSSSVSGSAEKVSGSKLEGNSRPSTSDSKTPAGTPSSVSDSAEKMNGSKTSSGSKTPSGSKPSSSGSTSCKTTADNKKTSKEKLLVKTSKSKAASDISKSNKSAKSQKNGELETDEPGETESTLPVVPVRIVHAFIRSDFMKLTNIYGKNMEDLANCLPTLKGLQRFELGKKPFETTSNGIEITTFLQIFEIKLSDVVLWTFVEERNIDCEIDPFCQYIRANESRTILKFHKRDDNYGEFLPVDLDETLRFKEHKLTITCTHIEGKDTDLERRTLKIKFDGETPFDVYFVWHRGNPKYGFSMNPKTMLDLWKEFRATPNLTILSGIYQASAAWILFIELAFRKASSTNEVSELSSDWVTTFLKEVVTDLGEKHPLAFPLQNIRDIWYGMRYFMRVLCEVTTQEGPDSQKYIDTYLDPELFSDEPVYSNSTQIRREKGKNGKMFKEARKKRQMSKVSKGTKKNSKNGPLDNDVETQEAVNQSLNFVNDSSGDKRQKTKVKRRNGRSKDKKTRGKRSAHKK >CRE05910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:415693:423045:-1 gene:WBGene00069026 transcript:CRE05910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05910 MTSRIIWSTARNAILLTVIYSTIINGFPIIRHNSNKNSTAHEDYEVASYSNKSNNHYRIRRELDGKNTVASSPPISSFSDSFRTAVIRMQKMSRVINGIALQQSITKGTVETNTLIMELLNFGTITPDYIEKIPLDTLSSVVKEVTGLSEKLKGNDEIKRMEDRMLLISSIAKTANNITTLETPGNEYLMAASNWTARNVDLSKLDDLIKASIAVANGFEKMNGNVRAADIRTHANTCRSRNVESVELRDPKAHFVKLLSFHSAVKLFEDNSDIRNYIDTIDDPILNTIGAHMNSLTGVIETVKSNFHSFNELQTFMVTRPRIHGDRALKQVRLGITLTSKYRGSHLSLIYLIHNIIFQTPGFPSGSADIDAISIALDDSWVQLAVDIQQIPLAKSMEQLKTVSQLINGVANSFEQRPTNGLLKIEEIRASLLEFTNNNLNFNLVASKLHKIQSVVDNRVLPKSRDTFNTLYQNILMTAANLKSIDEVISVTMSIQEKHSRNFEELTKLNAIALDDKIPAELEKLKNMEVFKDLLAAFKTLKPHFDRFNGTVSMDKQVKQIETDFIKTINDYIGDSTGFLTMLQNLHNIPELKLVDDAIGALRKYRGVSIESFDPVATEIKDVRSKIKDFKEKVGEMKETGNPEAKMLVQESDVSKDSENIGSATRVFSSIKLLLESDGIVVVDATAKPIIENFLNSGPKNPTDQQNLQQLLNLDGELNKLTSSLKTLQKSPLIAATDANLSSFSPVYNLAGNINGLQNDFYGMAGSVEKLAQGNQELLKVKKQLELLDAIGLDFTKHHEAITGTQKSLETMDLFFASLKAKFTPNSTTQPPEPKAPMRDPTASRSDEDENEDKSADEAAMYQKTLYIVSGGVAAFLVIAAVSTFVGIKEYNKYSELPQFSTIPFDTFRIYVKDTLLQPVIYAKETSSNYNGAFNFKIYYTHGLFSKYNREHYTVDVSPSVTNMVGHHRLVVPDGRIKPEAQPLGKNDRIVVKDEKRFPVNYYHGNMLTCPNKTKIAMMQAPVVATNKLELKENVGLFFQAALEHKSELIVCLVPFGGDGCDRYIPENAGDTDEFMEGQLKITCTDVTTECDGKLVIRSLDVKFQGKKVYRTTHAQVLGWRSQNIPTSVDAQVEIMRMMERTNKPIFMHCMDGLGQTGAMALAFMCKQGLVEDEGRLQYGENMRLIRASRVNAVTLPEHTLSAFLISYKFIRSDISDEDIAAVKDIQDDVVGIEELIQMILEGVLDEYDLANWGQVIQPGDNQQVPNKTPAAATPAPPIIDPNSNPVPNADLPQVSQPPPPYPVVVPPPVIKNMDKIKKLPARYHKVGDPRKVPGNFFKPIEPEFKRLRKERDDAEKLLPPRRREEKRKRRTDLGDDPTLAAKNGKK >CRE05909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:408813:413872:-1 gene:WBGene00069027 transcript:CRE05909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05909 MRKKLLLLATLSLLGLVIHGFVPHRPYGSPPPPSNNWSPDVDSNNVIGLEDSDRPSDMDLHRYRNPRDLNARLSPIFPSDDSTHYYRIRRAANGKYPLPNLPLTLTFSLPDAFSTAVDKMLKISRVINGIALQQDITNGTVKPDVVIKELLDLTQLDFGNLEEMSKVVEAIQGLSGKLNEGKGEAGRIEERFRLYDLILETIKEAKDKVDLPDKQAYLEEIKKLKEAKVDLSTVGIVVEKFKLLSAKLFKLLETEGLNKKTIGSDFNSFIFQYSEVKKEDITTLVSSINFPQLRKNMFNPLNGIQKVIDMFTNKTSILRYSKTNDKTPTTFLQGYMKQIGSISADSVKVLESMRNLEKLVRSHRFGGNRVLDQTSGFPNGFPDVELIPKDLIDPWMKEAVDGQSVALVKSMEDLNTIARKGHITLDFLKSASSYSHLLIDLVDTTAELSSLQNTFEPLANNLLNTFIDVKESEITPDNKDKFDALLLQINSLKTKLMAMDTVLGAASSLVSGELAEKMRKIEEYMTYKDDNEVADKLAELRKYEGAKEVNKLLLGLNASLTVLKDPVSIDSELAGIEANSTEIDTFVSELSKLLGYISEFRKKKGVDQIKLALNAIEKYRNEKKDFGGFGKLKDHLSSAKHNLDELSKSFDGMKGAKNPDSKSLMQSADVWRDSQTIGSATRVFRGIKLMSEWTEDVVNAAARKLIQDNSKTMSPEDQQNLKQLDTLDADLKKLKSGLTAISADTVMSKTDSNLASFSPVYSLASKTNGLKYDFKSMSDSVDRLAKSLKNDKDLLDVKSKLDTLDLMGLDYAKHHSAISGVKKSLENLDKFFASLVDVVVANGGSGAGAGAGASGAKEEQSKTTSYLLYVLIAVLVVSLVIVIVLYIRKRNQKDQHSDCKVVIPPSLFEYYVSNFFFRYKIFGALYSSIMGTAATLKLFFLNLYTGDAAQPQTTYKHTHEEKEIPYRRADVPLALKGRLQVENYKTYNFETGYIHGNVIEYPNKLRVAITQAPHLADKKLGRKENIGIYYAAAMEQKCKLMICLAPTGGDQCAQYFPAEKGDKLKFMDGKLVVTCKKVEDKWDGAVARTLKVKFEGKTAFDLDHIQYEKWTGQVLPKKRQALNQILSEMENSAFPVFIHCTDGLHQSAVLAQVLMNKEMLSTKKELDYGLSLQELRNYRVDAITSGEEYLEAAILTFEYLHYNQVTKNKNVVFGTSLAENVKKIQEDIAAIDAGKTDGDVIQIDKIVAYNQKINLKTPEVKEEPKSEEKKDEAEEDVSPVSGEDNAGSETMLAANAEEMKAKKEEKRARRAEEREEEKRKKAEERRAKKMKDDEKRAVELTEEKVKPLLLKIQKLEEENKKLCEKDKEAHDEHEKKRKNAAVKRNKKDKRKKQRKHNQKKRSRSKQSKGSSQILPPEPSKTTSVHQKPDQLLDATQEEPAPDAAPNVEDNMKTAGE >CRE05852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:395415:404409:1 gene:WBGene00069028 transcript:CRE05852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05852 MRQKLLLLATLSLLGVIIHGFVPHRPYGSPPPPSNNWSHDADSNNAIGSYDSDRPSDMDLHRYRNPRNPNAHLSPISPSDSADSAHHYRIRRTSNGKYPLLNLPLTLTFSLPDAFSTTVDKMLKISRVINGIALQQDITNDTVKPDVVIKELLDLTQWDFGNLDELSKVVEAIQGLSGKLNEGKSTETDRMEKRFRLYSQILEATKGIKDKVELPDKDAYLKEITDLKAKQVSLSVLTDVNKKLTEMSNVLTKLLDSKQQLDVIATKNQFRDFKRHHQDLNDSNIDTLVISIKSPQLRKDMFGPLDGIQKVIDMFTNKTSILRYSKTNDKTPTTVLQGYMKQIGSISADSVKVLESMRNLEKLVRSHRFGGNRVLDQTSGFPNGFPDVELIPKDLIDPWVMEAVDGQSVALAKSMEDLNTIARKGQSTLDFLKSASSYSHLLIDLVDTTAELSSLQNTFEPLASNLLNMSIDAKESEITPDNKDKFDGLLLQINSLRTKLVAMDTVLGAASNIISGDFAERMKKIEEYMTYKDDKEVPDKLAELRKYEGAKDVSKLLLGLKESLTVLVDPVSIDSELAGIQANFTEIDTFVGELSTLLKYISSMRAIDGSDKIKPALDAIDKYRNEKKDFGEFGKLKDHLSTAKNNLDELSKSFDGMKGAKNPESKALAELSDVWKDSQSIGSATRVFRGIKLMLEWTEDVVTVAAKKLIQDNSKTMSPEDQENLKQLDKLDGELNTLKSGLTAISADPVMSKTDSNLASFSPVYTLASKTNGLKYDFKSMSDSVDRLAKSLNNDKDLLDVKSKLDKLDSMGLDYAKHHSAISGVKKSLENLDLFFAAFNGMMTPVVVTVPTQPPGQTTPRSVDSGPTVGMVMTTAATSVFTSPLFLGGVAGALFIAVLILVGYFIYRKYKDNEDEYQKPVPQFIFDYFVNEIYFKYNYFGAKYNASMSQAAYFKVYFQHLFDLDSSQTKQLTISPSVLKMTKYTKRQAALSKTNRLTLKSAKAAEMFGSNFIHGNMIFDDKKKRARIAVLQAPQLADKATDAKETVGMFYWAAMEQKCELMVCLTPTGPGGLCAQYFPAEKGGVLKFENGLVVTCEKVEEICGGGATRRILTVKFPGKGKFTLNHIQFETWTGDSLPSNWKPLVEIMQMVRKEKQPVFIHCTDGLKQSAVMALAIMNKELLIKQDGDLVMGTGLNNLRHVRSNAIITDMDYLNVAMLSFELFLADQERENFDEKLEKKVKTIEDNIDAITGGRVNATIDATLVAAMKEKETAVKNAVGKKEGEENKEEKKSGDEPSDKTKKKKAPSSMSKVSEQKEEKSLDRTQETEANTGPLAEEIKQHHFSTEKMEELIQADFDRFKAHANMMKKRAKKVKKAEKKSLNAIDDTESLQLNRLRIDKSSKSFELSIIDLTMHKRLLLIATFSLLGVYVHGFVPHRPYGSPPPPSNNWSPDADNNNVIGPEDSDRPSDMDILSYSNPRNLNARLSTISPSDDSAHYYRIRRASDDAFSTTVDKMLKISRVINGIALQQDITNGTVKPDVVIKELLDLTQLDFGNLEEMSKVIEAFQGLPEALSKDKDRAERIEKRFRMYDNMLGLTKGAGDKVALPDKDAYSGEITALKQKKVPLSDFKTINEKLTGMADKLAGLLDSKQKISTGNAKIAFQDFTHYHSQLKGVSIGQLVSSINFTQLRKNMFEPLGQTQKAIDEYSADLAVSSYIAGKDGKPTGILKGYLEQLDAIRKESVKVLGSLKTLDKVIRSASRQVGGDRQLDQTSGFPNGFSDIVSIPNDLRDPWVKEAVDGQSAALVKAMEDLKIIAEKTRHISGSLKSAAGFSSLMDGVIESSTALSAIPDTFEPLASNLLEVKFSVDLNKVTPPNAEAFASLYSNITSLTNKLVAMDDVLNVVSTLRSKEYVEKLEAMEKLMTDGTDDEVTQRLVDLRKHQGAKDVNKLLLGLKSSLTVLVAPISIDNELEEIEQSFGEIDKFVEGPSHFLGLLSNLRKIKGMDQIKTALDALDQYRGYKQDFGNFGQLKNHVNEATKSLESLSKSFGAMKGAKNPESKSLVQSADVWKDSQTIGSATRVFRGIKLMSEWNKDVMNPAAKALITANSGKMTQEDQKNLKLLDTLDAELKKLKTELTAISWDSVASKTDSNLTSFSPAYSLASKTNGVKCDFKAISDSVDRLARTLKGDKDLLDVKSKLDTLDSMGLDFAKHHSAISGVEKSLENLDKFFASLVEVVVVDDGSGSGGSAGSGTGSRTGSGAGAGDGGGAKEEQSKT >CRE05851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:380698:381345:1 gene:WBGene00069029 transcript:CRE05851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05851 MLRSTFVALFRAFASRSISQSVPRFSGATIGKQEPQLSLSYTCKVCGTRQGPKTFAKSSYEKGVVIVTCNGCHNHHIIADNIGWFEDFKGKNIEDHLKSKGEAVKRGTTTITKNEDGIFEIQK >CRE05850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:379853:380643:1 gene:WBGene00069030 transcript:CRE05850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-22 description:CRE-RPS-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MNP9] MVRMNVLADALNAINNAEKRGKRQVLIRPASKVIVRFLTVMMKHGYIGEFEIVDDHRAGKIVVNLTGRLNKASVISPRLNIRLNDLEKYTNTLLPSRQFGYLILTTSAGIMDHEEARRKHLGGKILGFFF >CRE05907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:372075:379115:-1 gene:WBGene00069031 transcript:CRE05907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-polh-1 description:CRE-POLH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MNP8] MRRVISLIDMDCFYAQVEQRDQPSLWGKPVIVVQHSRQGVEGGILAVSYEARPFGVKRGMTVSDAKQKCPQITICHVPIGEYADKADIQKYRDASAEVFRVLNNFDSSIIVEKASVDEAFLDLSAYTAQKLDEMRENGTLEEFVETALSQLPSTHLANGQDFAENDHLREQFLSEFIQNSTYSDENLLLLIAACTVETIRKRIRDETQFYCSAGVGNNKMMAKLVCARHKPRQQTLIPWKYVREILRLTPIGDVRGFGGKFGNRVQEMLNISLMGEILEIEWHLLIEAFPDQHEYLRAVAEGLDDEPVRPRRESSSIAVSKNFPGKSAIRTTREMRKWVEGLVKELAKRLVVDQVENKRTAENLVYSLLTEEGKPQKTLKIGSYLPNSLFELIWTAIRGLNRSNLAGNEDSPWTPPVLNISLSASRFQPGVPIQNRVITEWLGEKKRKKEARMNAVYDENDGREDVIIEEPPLPKPAPPPKSTRIVFDGSHECIVLDSESDEDVDPPPPSPPHRNPFFLNRQPEIEYIQVGGERISRQAFRHLPPDVKKQYEHRIALEEARLLKSKAEAKGSIGRKRAGSIKSPAQQPKKLKPLEAFFKKKN >CRE05849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:369183:370423:1 gene:WBGene00069032 transcript:CRE05849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05849 MPKNRKRNGELVDGWFMRKKKSIPSLNESIFYCIERSTKYQCPAAYGVSNSTGAVRMIKPHINHEKDKLSNNVNLGRQHLKENANSGTVREVIDDMRCTFGTDTSMMMGQFAETMRKTRFLLFDEEVNGKRMIAFASDEGLEILSNGNVCFVDGTFDSAPKSFTQLFSIHFCFRYQRMSFAPCFFCLLPDKTLTTYTSMLTLLKNRPELTNWAPGMIICDFETAIHSAFKDKFPNVVISGCMFHLVQR >CRE05848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:362293:362877:1 gene:WBGene00069033 transcript:CRE05848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05848 MLNSLGPGNLVKAIEFLARAALEGKAPSTGRDYLKENAARRKWIQNNGLPLNETSTLIYLASRSKLVGGGSLAKIVAAFKMSNVEMSKVGCQLAADVIRATRRKEVQTRQQPKAVSWSELQVVAGTKSNDEKGERDTLILLLSHQALPRAEEAAKLKWSDVTQNAPLIETRSRQRLATTRIFEGRGQGTWEMAI >CRE05903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:340125:349565:-1 gene:WBGene00069034 transcript:CRE05903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05903 MTKPVGWCATWLPLIKIAQAICHFIVIIMFIDGRAQWWMYNAIFLFCFLAIFFSLFTILLRFFELTDLHVMSFNFAAMVINFILMVVCLALAGILIWDITNMRDGPGKIRYHQRLAPANIGQDAWVRRCVVAATSLLLAGILYLITYLKLRGVSTN >CRE05847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:327368:339760:1 gene:WBGene00069035 transcript:CRE05847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-41 description:CRE-PQN-41 protein [Source:UniProtKB/TrEMBL;Acc:E3MNP1] MTFYMITHLKSKNNQILDSFCGVFWIRRRDEQLRRRQLDPTSTSSQINVVSHLNPLEERLIELGVDFEIQEQQRRIQTEFENYHLQQQQQQQLQQFHQQQQHPTTQQQLNQQQQQQYYQHLQLQQQQQLQLLQHQQQQELVASKQGQQQDKQKRKYTKRKNALLTVASADMKSPGTTSLEAAGGGGGGATTSSAASGAQHLQLPDVVVVAPGAHAPPPTEAVSPVPSNATSTNKRSASESPPGGPKVARVDNEDNAAVVSAAQAAAYFAAHPPPTSAPQSLIGISAATQAQIQIQQAQQAAQAAQAAQAAAQATQSLYINTGGAPTATTVTAPIPPSGPPTVAAPTPVPGVPNPAIVQQQQQAAHAEALRILQGGLTSGLPFLGTNGGNTIPYFTALQQQFQQLNGVNGGGGAGGLQFGNAALGPQLAGAALLAAVPGPPVKVCGKTGRWSGMHVKIATDIQNLRQQQEEKKKTSSATTTPSNSKKSSPQHAKPAPAAATVTAAPVPIQQPQPTSSASSSSGDKGDTPIIISATINNAPPPSAPVAQIAPTAPQQPQQPPPASSAPVGGRATATTTATAAAQTQSIQFTQFPPPQLSGGPTYSGNAQLMAAAINEATRRVAATPKPATARPPSAAVTTQSITQLATAPPHQQQRPQAAAGLIGIATSSQQATPTLQQVATSMGLQPSQVNDLATQQAQYQMLLQQKAQEQVGYRKIGILSNFQAEKWVFRVIFSQKTRNFSPENRIFQAAAQAQAQSQQQQLFALLQQQQQPASAVLNPQQQQHQQHLQQLLLLNAMSNPQMYLQQLQQPTIQQPGQPAAQPAPSVTPQQQQQLDLFRQLEAQAQAQAQAQAIAQAQAAAQAAAQAQAQAAAQQQAQQQQQHQQQLQQQQQQQLAQQQQLQQIQQLLLSGSAGPAGSDLIRMLQVAQQQQVAQQQQQQQVAAAQQQQQQAQQQAAAQQQAAQQQQAQQAQHNQQLAAAAAQASRQQQQQQQQPTQNQYEALIQQQRLLAAQQAQVAAQQQQQQPQQQLQQQQQQQLQQQQQQQLQQQHLQQMLSLNPGLLASLHAQQQQQQQQQAVAAAAVAAAQQQQQQKPPTLPNGR >CRE05846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:293055:311187:1 gene:WBGene00069036 transcript:CRE05846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05846 MKPKKSAKKKAPILDNDGETTMTPRKSPPIKKKRGPKPGKASKKKKKYVRKRKEEEFRIFFPPPRLNPQLKTPFKLHNTTCTHHDWITDNLNLPKYASYQTGWRVSYITMKSIILLDSKRATFLKLFNLYKKRGIKKAIFGKDRRGVAKIDLMPKKWSFSKKLPDIDGLEEENAEIEKLPIKLDEIKTEPPRRPTRPSILRKFHKKPAIWTISHEKSRRKPRKRRYMRCPRIPGRRMIEFRRNRNEKRRKTMRNWEKVFECPLRIRLLRSQMIRKRECRVETSCLLKWIQKEKMEEWKTEEHEKKKRRIEEEEDVAWDKYVESQTDEWNLENVIPGELSLEVDQEFKHSNRNWTVVEKKGCDEQGFQYLLVLTEELNGVQRIRYDRSAIDYKFRKMRNEKGGTDEYITSLPVYHPKPPKTRYPAFPGENFEKERELERRRVEDEDKVRRDAELAKEDKRMFKKVVKRETMIKRYRDRIRREIWIRRRRALQNPMKTPKIEEEIDPLQPSTSSGIIPLKGILKTSSRHQNLIEEEDVEKPHINGISQNSQEKIEKIDEKPPKIDEILEKNRRIPLKNGRRLRRKKVKIEEEEAELDGLIKEEIKMEIGVNNTMNDCNNQVISLTNCHLMPSTSSSSQSMELKTELTETTTNAENTDDISENRESTNRLKQVTFKLSNQQKLSKIWRPMGWQIKEMLRTIGVGRGYKVRKNKIRSKRSRRNERIRLNNIFHGIRHNFKSPPTRIDEFEKGVDIREQPIPFVEEFILDDHSIIAFSSHQDLKTYEQAVSLRTEEMIDEFWRVQCVKNIEKAEDEKRRKDELKSQIEKLDVEMRIQNDNLTERIDRENVESFETAGRHVEKIINDTGDCPFDTLDEYFSIAAEFQRHEELRSEEEIEENEADIFGRELEGLISLVKQEYSIVDLMMRILRNRHLLTMRLVVSGINQSPIDRQLLLKKIRKLLIELKKKRESIQEMEKLKNERREKRRESLKQLEKRVLRKAQKLVMKRRNKNNLKMTTKNGLKTKKKRRKWTIHVECQEKSMKETKKMSKKRRKRRKLLKQPRDLRWSFKQFGWYNGVGRRNFQLHRNSIRKALAWGFKWEEIFGETEFERILREYMEYEEMDIKNQVSIDRKIELITKIKTITLNDVRMRATAMQNQQVAQAVELMSQDASNEYKHE >CRE07630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:250611:251749:-1 gene:WBGene00069037 transcript:CRE07630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07630 MQLQLQATSFICSDYQGKGKNALLWQQFVYLGRLLNTKNDIEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKMSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADFLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE07572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:241874:243728:1 gene:WBGene00069038 transcript:CRE07572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07572 MTSYSYFTLPSTAGTPGFNYQVPPMTMFAGAPYNAAMIPRKNRRERTTYSRQQLEILENLFNETQYPDVFARERVAEQIRLQESRIQVWFKNRRAKYRLQEKQKPKQRNEKIQEHKSEDQQLEPLDVESLKGNVSPGYQPQIKSELESCDNAVVSSGKLSTPKSISPLDTTASSTSSNISATELQWSAGEPQKPVFAKNEDPSSAAVSPTADSSTPTSSASAALSTSSSASLPFQPTVYNTYPAIYPQWGSFDYTTYANQPYAAQFSHTPYSGTPFWHQNGSL >CRE07629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:229532:230753:-1 gene:WBGene00069039 transcript:CRE07629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07629 MTVSDIFICSVYVEGVDKINCRRFYFDKGVNAVIGPNGCGKSILLEAMRFCLSDTEKSSIISDKLTVEVSFKLSEDSIKSFRRVVDKEDYFVQPFQIDEKEVTKGEYYQELAVLNIYSVEIPYMIPNASWGELTTVSKTKLARLIENIHPDGADSRNEYKQKKNALEEFESKKKPTNGVKKPSKNSPQYKKLLEDFEKIESERQEFFIESLTSISATLNKYYQLVYGNDNKKSVSLKPIDPFHAYLGVEFKVDHGYGDLESRGLSGGESKLISIAFYLAAQAAAKTPFVILDDFDLSLFEKTCEKVAPALEEVAASTGLQICVVCKYDKMKAGIAKKTDMSPQFLFC >CRE07628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:223360:224865:-1 gene:WBGene00069040 transcript:CRE07628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07628 MSVQCIVQVSVLGVRHVLDQTLEFENGLNAIEGYNGSGKTTLLKAIKYCLNYIPDDNLVRRDSSVAVKFRLTNGLYRTYRKSTGDPEDEELKPYSINGNKVSDTEYVVDLNNVGINNHTVHFMIPEFDWKEMARKDNWQLALLIENLSPELEDIKYDLEEVQEKLRRRSGKEKDEEFDRLQRQLEEVKTRRRTTFLESFDALATQVDRYYKMVTGDQNVKAELKIVNPAEPYEEVEFLISSKHGTIDTLALSFGEISFVSTALMFAFQHALQTPFVILDRFDVSFSGTSCIRVSRGLVEIMEATGLQISVICHKDMMGEVVVNVIHLKGKE >CRE07627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:220385:221473:-1 gene:WBGene00069041 transcript:CRE07627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07627 MTIVTLLLLLFIPITWECAPTVHVSNPIVSSTYSPDSSPDPPTTTTQTASTTTAPNTTTIWSTSTALSTTVFDSTTTFEITSTAPNTTTTVPTTTTTLITTTVRPCCSWPFPAGGINTISLTMNQWDQCSQSLSYQCALGNVSTNVIAIGISTRINIESNAYSMTLLNSDQVRFNTTNINSTIDTNLICNTTTHLWFVNSVLGEQFDTFACAYLYSNGSWHWR >CRE07623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:204645:205907:-1 gene:WBGene00069042 transcript:CRE07623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07623 MLSLLSVKVEGDPYLKDQTVSFNEGFNTLSGVNGSGKTLLVHAIRFGLNGKSDDSFYTFSGRVTLGFRLPNRSEVEYSKAAGRMTRYWIDGKEVSSEEYAEGLEEIEITPNMIGVMVPGVGWGKMGTSTDKDLLIFIENTMQNGQKMKGECDILKKKIRISMGAGTPKGIQKPSETTDELQKKLAAVKKRRSTALNRRINTMSSNVDKLYKKLNGNANQMAASFRPENPEEPYAGVEMVLDQGYGIMEPSNLSGGEKKIASIAINLAAVRVLQCPFVIYDNFDENFSRESCNSVGIAFRELVASGNLQIIAVCKQDAMKNQAPHTFEMTLPEDD >CRE07568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:193662:194998:1 gene:WBGene00069043 transcript:CRE07568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07568 MSEATERDPLSTRRHILDEFEKVQAQIATNPELWRILSVETHKELRKALGDDFIDYPEFEFWFSRFARGDFDLDDDRKFGHNIKTIYLQFFNFSSDPKTRSLTDLPLEVFENVGEYLELKDRMHLRNVTKDIRTQVDNWIPKLTEISYRTASSWGVWQTSRSICYHVPNFGPNRRDRPSPGFYRNPISFVFNMLKHPKLKLEKLTIEEDKYWKELIEELDRSNQKVHVKKVEFSSANRDSSNRIDIHYFVPGVLEEISVFFENPSFEVMKEFIELDQCQAAKMVTIRSETCTSKFPMEVQLPTVYFASRWRNSGQFESQFYQDLATSCDYFLFQYQLLQKLIRGGKVEKCHLYLASSTYFFKTQYKPTPFLAYFNEESTMVPDSPFLRRFPIRGTKDFYEIDYQVIYVILHRKQ >CRE07622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:178750:179821:-1 gene:WBGene00069044 transcript:CRE07622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07622 MRMRGPGDVFLLTLFFPRSRWIPIKSIFRRLNPTFHVDYCGEEKEATLFMKVQPMVQVPMLRWNFRTKEYFLKKWKINGKIFRCCFDFNSKLTTPLIIFSTRDNNRWWEIISNHFFQMFPNNYGSQVAVTADTMSKVPKNQKVDIVEVRPGRYRELNAIEVERFLEAHPVIMAFIHPGIQGEFSENSILLTMENVILYNAHMFTPRHFYNFSGNNLLLRNSPITSIHINRFIVKWYLCNNTKLDSVWILGRDFDRETILAGLDVKPWNRTKRPAYYTPKSRLVRKSKTKFRNSQFRYVCPVDEYYDCTHAMDLERQSDNLVASIRVNPRRVIFYVWKP >CRE07619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:157549:158532:-1 gene:WBGene00069045 transcript:CRE07619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07619 MKPTFPLLRLPENVIVKVLNNMRLKQLLELSYVSTKTQNLVKSLGIIAKRVAISINGSDKIALNTYHTDFGFQPSTLVPFSDNLKYIRTIFCFTSPPNVCFYANCERHEVGLLKETIGNVNLLYVSSILTDELSREVLKHFNTPSRLYLLRNPYEDSCKIQEIFIRNHKIIEFDDDYSLDDMLLINSEKVRFKLPASKKQMNQFVKHWIRGSNPQLQTMSLSVKNIDFVNGETYLKGIRCMDMSEEAKREIRQEHGLPNCDMVQIRREDGTPAVIASNQRGRHPNTHLIVLHCIPL >CRE07618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:155989:156964:-1 gene:WBGene00069046 transcript:CRE07618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07618 MEPTFPLLRLPENALIEVLKNMRLKELLEFSYVSTKTQNVVTSLRIKADDVNITIYDSNEIALHMYRSNLGFRPSTLNDFRNNLNYIRTIFSRTSPPNVRFYADCERHEIELLKEIIGNVNILYVASTVTDALSREILKHFNTPNRLYLGSNPFEDTCQVQQIFIQNHNIIEFDGDYSLDDMLLINSEKVRFIRFSTQKTINQFLKHWIRGSNPRMQRMDIPLNKDDFANGETYLKGIRYIELSDETKIEIRQEHSLSVDADMIQIRREDGTPAVIATNERDQQRNIHLIVLH >CRE07566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:148463:149020:1 gene:WBGene00069047 transcript:CRE07566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07566 MKRFQAVLKEVGMHPKARKVANAEDPRHREIKRAEEHFRSDEKITDFLNRVKFNVKKSKAVKTAKQPVPALKKLLVAPREVTTQNNNPEFLALFRFETVA >CRE07616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:143398:144691:-1 gene:WBGene00069048 transcript:CRE07616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07616 MRVLERKIGKISKYDGIIIKKSNEFYLGYWIAFDQNATCPTDFAKADHFYEAKELRNWEVCCIKNEEIQGLSDQLVVEMSNNGMRTVFKKAKNAGRQLIFFVIRTRYHIHQAIKTYELKYDILTQEIHFETGEKFFRQAQTRQNIVNKTNMKLGGLDWQQLLEQSKSFDCWIRNFTKSKK >CRE07614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:119533:129047:-1 gene:WBGene00069049 transcript:CRE07614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07614 MSITHDDIKNWEEIISTHQEDYEKFLKASLQALKAVPNPATEPQTPVQDFLAGYAVFMASEVETIKPKDELYKTQLATVLENIHMTPVGTLLTETAKQASIKINKKDREITKLVSDIEARMDKRHEHLNTLAATHKVKGLADTSYSIRPRRSQTNVELKTDFIRPPGNNIQVSSFTTETRPTMLQYKHVKLPTFNGNISEWSAFYMIFKPTVLENEEYTDVEKHNILRNHLVNEPADLIRAYDPDGTQLATAVKRLEAMYGSKEKQYDYLWNRLSEVPMARDSPRSLRILHNELHAIINSLSKHGSIETQNFQSVIKSKIPRNILIEVLRTKPKDTSAILEALDIIITIEESAQRSEMKVNEKEDRNVFSVRKPQQNKKCRFCQRTNHTSAECKTVASLEDRREFIKMNNLCFNCLNSGHRLSECKSSECRKCQVKHNQAICHRNPNVVRKNNFQKNPPTYKSQNENYRKNNFSNQNNGHQSSNAAAYQQRNQGTQGQGYQQRNQSQPVNQRIQNNGNQTNGNQSNNGYNKRNQGQSAPQKSLKTRNYQVNANKTSLMVVNAPIVVGEEIEKIPVLLDTGADQSFILSSFAEKAKMEILERNVEIDLCVFGKDPTSIISNVVKFEIITNDDSVIKVEALTVPDITDLFEPINLTHEDKKYLENVNEKTVNITRPEKAVALLGLDVFWDLITDEGKKKLPSGKFIIPTHIGPLVCGKTKRSTSSMHALIARIKDSQEEHFTENDFQEYFEISNIGITDKVFDPTNEEIILEFEKKVEINQKTKRIIAPLTWKEGQRENLANNYEVAICRARQLVRTSKNTEAWQKLEENFDTMEKTGIIEEIDNDPTLGYYIPYGLVFNKSSNTTKVRTVFDASSKKRGEISLNNALHQGPSLIPDLQGILLRLRQGKYLLAGDIEKAFHAIEVNEKDRDALRFIRVKDPERPLHPDNIRLMRFRNLPFGVNCSPFLLSMSILYAVRQANVPENIVKAIESMCYVDNVFMLTDDFNELPKFYNLLKEFFGSIGMNIREFCVNHPVNFIKEEDKAQNLENIKMLGYIYDLENDTFEVRKPKLVITGKGIPRMNKKKAVGEITMIFDPTQYFAPLYLQGKNILRQISDHTIKWLDYVSDDIVEQIISYRQKIENSTLKFRRNIPNLNSRKPVQLVVFTDASEHTYGACIYLKIEKPDLKGQFDIHLLIAKQRIAPKTKTLTIPRLELLGILIGVRLLDYTIREMNLNIEKIELFSDSTIALAQIKNHPTTKGEKHAQFVDNRCLEIWKTLQNIKAKNDQTEISLSHVPTDQNPADHITRGCDSEEELRKTNWFFGPDWLQNDNHVNHPCKNEDNRLIIDKSTPVELNVMTIQVKNLSEIENRIIPLEKINNLEKTKRTMSYVLRFLKNRIYKKLSKPSKTKLERNFPELKHLPNEFCGVVKLEELNLAMKLLIRNNQLVYKIEENPKENQFLDKDNINSPSDQIVYQHNRIIGKNKLPIIETKSRLANLIIQKIHRENLHVGPMTTLGIVLESYAGTRWRAAVKKVLDNCSICRKSNNHPFREAPPGNLPERRTTESRPFQHIGVDFMGPFKTYIRNSNDIEKCHIALFTCTTTRLVHLERVPNLYTDEFLLALSRFMSRRGYPDSITSDNAATFRLTAEILDRHSEREDDFLAELAFEKIDQLKTNVLEKEITKKGIKWYFNTALAPWQGGFYERLVGVVKKALKHSLGESQHRVKDLETIMAECESLVNRRPLTYIDEDSEDCKVLRPIDIITPGLYFSIFDDNGLRDEYYEYTQNFREVQKHIKRFWNIFVRDYLKQTKNFQSVAQPNRAHSNLIKPILGEVVLLVDENVPRGKWKMGIITELLKGRDGEIRSVRVRTTQKRKKRDGTLPYKPFKIQEITRPLRLVIPLELRPQPKEEDEKIETKTVTVNLARIKEPKFKQTEKRMFRTVIETQNEDFRKNLQRRPKFSLWNIWTILLMMCILATTASANMLQNLSPNQHSNEYTTTLPTPTTEMVPPTLTTSPIVTTTIEVTTTRKKITTETTVKTTPSTTVTTPSTTVTTPSTTVTTPSTTVTTPSTTVTTTTTTLPTTVTTRKLTTRTTPSTTQSTTTETTSLSTTTPSPTTTVFQTTTTPAPILEKIVDGIRPKPEGQRRSVTTTEPPTQLTTVPATRPTTVPTTKKITTTVSTTSPPENSLMKTLQEIHDSKSRLDCTKYGVNLIDEENMTNHSNSVCTENWCDHTVLTKKKITEVLIPPEFTLHKHRVVWKKSIGTQYIIIEKTCPPTDYCWKALKHFDCILCTRFLFNPQCHPKTTISIVILLIAIVMKIISLFWHRKKLWKLFILMCCWCNFCEKISRFFSAKKRDENLEIEELEMVPLRKPTVTQRLNNVRNWRHKFRRNGNYSRSEPSTKTMKRSYTTSAQPRKQLFEISTVVENGVEVLKIQKTASRTPSPSMLAIATICLLIASAAADVCDETFPITHEETTCNEHGICRIEKTEDIFFTPQTKTICLQVVSQKNVILKFKLTVDHHFRKCHKGPILFTKNVTVHADSAKRCHGMGECVDRKCLDVGPNSKLSEFPEGNKYPGHTYCSSSCGGLWCKCLLPTEGCLFYRTYAVPTTDDKFQIYSCEAWSNAINFQAELTLDNQKIEQVFLIQEGDDYQINFKYGQNKDQEIDIKLRLLTITEETGLSILGKKFIQNKEKIALASISNEIFPLECFETGACNYRETCSCNLGEAEALCVCKVPDLYKILDDIDHNLPVITERYHLGTTPDNIPTLRTKHSNFHIQVIMEQSYNVSVTESKIDCSIEKTTPYTGCYNCLKGASQNVTCKSKEPTHAKISCDNGEFVDILTCDKTGIVNEIHRKFSKSILTGVCAVTCGTKNNSYKIEGTLTYVSHTSLFEYLNQVLHSEKSISEIHPWHIPDVWTLWNNITKGLIPIVLAIKSTNLSTYPTCPNAQPQQMMQEAKRMAATYQCKEMLDSAKLHKQREQLLANRSPPKCTICRQNHYASACKLPLEEKMKIITQRRICQICLTRDRHTPIKCKTLRFPQHLCDNKRCGKNYSFHHATICPFTASTTPNMSPLAVSMGQDEILD >CRE07611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:95189:99905:-1 gene:WBGene00069050 transcript:CRE07611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07611 MTTNEQVQESLLIGWKNEEVERMRVKNREQRAQMDSLKNRIVQLEGDNNQLITERDQTATNYQEQMKEKDEEIKRVREAAALEESRLTNELERITSQCTELLERSERSKKEEDAARKVLEQERETAMENLREKNERLEKEKKELARGYSFERRSTIDGEINKLKETVRTRDETINNQKTELFHIEQQLEEMNETKEKMKRMEEQAEKFKQFGVQHRALVEKFDSCVASTSSTGPPKDDKDDKEPKIQDPHPKQISGGIWTVGNVRMVTAADLDYLKVATKPHRQSLSRSAVKQDCQGPEIEVSSSKMNGFNRFLGAMSNGQNGQSRMLQDFPFTKFKLETSRSWTSSPTKADAPNTADDGHQQHHSGELGNPPMLNAGGFLPHFPPHIPQNLVPLLMALNRIRGINLLRQSPGASPPEVAARN >CRE07609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:84880:87721:-1 gene:WBGene00069051 transcript:CRE07609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07609 MFNGVFSDSAEYNPKQSENVSGRNKLKNYLAHIGMLQHQVNDRQDMLTKRNAKIQDLEAKLAAADQKLIESGEREAKLQQELAERDAKIQKLETKLAAAERTDEWSHDFFKQDEEELIALNQHIKKISDERDKLNKKYADVEAANENLLDTIRTQESRIARFQAALRESVNESEEMKEQITEVQLNCEKQMEQIQKLETTLKLSDRNHKERVKELKKIAEPTHIYIELGKLYVELQEAKKPQEAVGAQLAAKEKEIEYLTKQHEAKLAAKDSEIQNLKETVKEEEEKKKRLMVTITNLQMKIKVYDSEEAEKNAAAAFSIRPGMNFGYGDPDEEW >CRE07607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:69363:71294:-1 gene:WBGene00069052 transcript:CRE07607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07607 MLTQACTSTNHKNSPRSTNKESRNTLEAGSEEVQTSTKNYEERRRIKGRRRRVKRRTRRSEEEEELEEEEELKEKDKEQEMNSFQPPREWEDEFQSQSTDPARINSVTAARIPHSDVEVEGGKLEALLDTVAEISYMPGSSVKSNISTDRLPEARTTNGSPIRFLGTCDRVAKMGTHSIAHTFLASQDGDCPTPELIGADMMEKINKTRHEIDSSNESKVPRARIYRLTLEKRQEVETLANEMVKQATIGLTDSPFSTPIELVWKTAKNKSLETERKKKKEKQSGN >CRE05905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:356648:360032:-1 gene:WBGene00069053 transcript:CRE05905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05905 MIILGGKEFRSTNEAIMEVHATRLMAQLGNSCLAGGITSRTHIDDTRIRRTVGCVGAGLRESYFSTAPNTSYSTDDQIQVTIKLLTVTNNYSNGSTCVVSNSALSFVAEDKPI >CRE07600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:40652:41828:-1 gene:WBGene00069055 transcript:CRE07600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07600 MEFLKFPFLAQKVIIHQMDLDDVFLVSLTNLRVFDVIRRVPWKHVKAISYKNRRTHTSVLAVKSQADLTKVLEIRPSKRSSREILHLTASSGFNMTYNLPTDSEALTILFNDHGVNETSLFVHEHLGKLFGKHLQFGCILTSYDMIFLPAVVPITSNVLILHYNVDPEELNGHVANMNEQEYLTISNKMTLLPKNHQKYMTSKSLYFINTGSLAPDILQRFEGQDVVLLEAKCKVRHVIAFVDSWKKGNSSNNLKSLRIQLDSALSFRPETVSNSLKFKHFSVSRDPPRFCRTVRKYFGAEETLEEHDLTISTYVVRDTDKRVASISISEDRFDFCVWNWNERQMMEQGFIDHDTS >CRE16318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:245047:269748:1 gene:WBGene00069056 transcript:CRE16318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16318 MTSRRPGLSHFFASLDTDSALYGSNLLRPYAGDPMHAATLPRNYGLHETSATWNDRILYNNMGASTVGRGGGNGQNGHIVHGGRHFASLEKSKAQRYSRSEYHLMDNNNEFTDYDTIQRQNGRPSTIDTLPRMAPSDGMSYATAHQKRVEVVFQTLAKGFRSCINHYQSEATRLKTEIANAKLNGDSWRTYNDELLLCEETLIIYNARLHRLNKLYESYKSGQYTQMKAKSSSLSELRAAFIGSKPDASQKPIDAELANLMGRVVVDIKAIVGFARISPGDVFEVLIRHGSQKWKTRGKTLPDRTQKWEKEQVVLTCVPDQSIDVKVSECRLFKSKSLNDRSFDPCQLFSSQPQLVTMNLNSMGTIKLQLVVTWLPLLASKSSTKPTIVQNPQIPIDGEATIDRKPRIVLREKKRGSAARVAMKEQWRNSTNMLDSIYLDVAKTIPSVDAMSTLDLRKVPKELNTGTLPAPSSKREFKNFTSYSPMSSSTLMGKRSQSLAQLGATTPDATREFNRKFKNSSETDTNSTVASEVFGLLEMIEEIQPKANRMYREYDELSSLVNLLAQWHTLIKMNKKVSQSTKKQSIHSINSLPRNHPMTSSTASDELDDNVLITNEIHSENDSGIDSLRQNCSPYVLDGYNKNGSKGSREGARFRQLKERRKSLGALMDSAEIEKLYLESDYFWQTACNDNNDNHTVTGSSEIDTCLQYHLNRILKCLESLERIETDCPLVYKTSEMLKRLEVETVTLDDLLRIAKSAPALPNISNVLTEIEACPEVQEIWLSTCYPLNSSLIVPKDKLKTQIKLQIAHITEQIYPHLVSRVAESIIRLLNDNVQKETSNVTVFHFVGIFKGRHFEPYIENMGHDAWMVTLLDTEQIQKVAQVVDRLSNVPVVPPLESLKHLGVLLARGDFRISMIVEQYLRQASGHLLSDLLSSYLCLLEDDTTDARLGALKALAIFDNPRISKQITYVAEHDSSEDVRRFATSMLRGFEEEVTRI >CRE16316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:223603:224265:1 gene:WBGene00069057 transcript:CRE16316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16316 MAPPSRHRSSILNLFKEGVLPVDIIKRLVVLSKTVYDLISPFKKLGTFLDRRGRGRKATVVTPDRIKAVNQGIGRIAHRSIRKMAKGMKISRRLLGRIVKDKLKLICYRERKAAILSEATTKKRLERSKKLLQRTLNGEHLVTVFFDEKLFTVQAEFNPQNHRVLAETSEEAFANGKAIHQGSHPASVMVFGAVCADGKSPLLFVDQGVKINKKSTFRRF >CRE14745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:160043:160850:1 gene:WBGene00069058 transcript:CRE14745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14745 MDNKSSYRIPSHSPDVRCSILNQLVVAEWKTDKERQGDHHLPKAIHRHTFIKNIVRNHVRVLVYYGDTDMACNFMMGQQFVDQLGLRRTLKKTPWKFDRQIAGFKTLFDGLSFITIRRAGHMGPQ >CRE16314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:211775:213673:1 gene:WBGene00069059 transcript:CRE16314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16314 MPVSAKPLFFLCAFILSQLTAMQKTIAVFLQNFLERTPDQQLFRFVSGPAGTGKTILLHYLRDVIVRHFDTNAACRLAASTGTAAWNIHGATLHSLIKLSIENEDAFQVLDGPTLDTLRKELTGMKFLFIDEVSMMSAKALSETSSILQRVFLSHLPFGGVSVFLFGDLLQLEPVNGEGIFEDLPMCYLPERNARSTNPNNDPLHENLFQLFKTFHLTKCVRAEKEEDVELLMKIRRGDTDKAMFRQLELKCGMSGKFPSEIFAELETLESANRGKSFIILTAGNNDAKQLNDYKIGKIGEITSLKRVRATPSPSMPETSFRKVTGFVPFVKNVAIGCRIMLTYNKCSRRGLVNGAIGKLKEIHRDFLIIDFPLAKNERIERVGYRKGVNYWQDFPIVAAEAITIHKAQGLTFDGVIIVPSKDNIQNGMMYTALSRARSLDLCRIVKYNPLYFKTSEKGREFYSKRGRL >CRE16334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:205049:205974:-1 gene:WBGene00069060 transcript:CRE16334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16334 MEHFRIILTLLQFLKKDISFNCIRDMVLRGFELKPFKRHHGEKIMEIVGKMKLKNPDFIHPVKFNALKNILLTEGRYNWEAMIELRNPSFFPEHSMIYYKEFDSSILNSFRIEVTDSLHKGHDIVRSFPRKYKSFIYVDTENSYTTLPHGTDLALLTFCDIATRTVLLWRVHKMSAHQMRQIQGVIRGISEMRQFACFGAEPFFESPQDVQYQRNDGTLISLKEAVKESVGLDIDKRETMSDWTKEILTKDQIVYAAMDALAVHYIWSGRRIRLG >CRE16311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:201060:201766:1 gene:WBGene00069061 transcript:CRE16311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16311 MLCRLDAKSQDVIIDDLDFTTMPATQSAVLASKGKVIPLHNAFLKNGPWEFILTANSRNYLNLKRTWMVFTFKITDAAGKTVTDKKLFAPIQNIASSIVKNFSVHINSQLVYHNSMNYAYKSYFENLLMYSKEHKNSTLSISGYASDNNMDDKDDLGFKTRAGWVSSGKSMQVAAPISIDLTNQPRVLLNNSNLKLTAYPNSDEFLIDNYEDSGIKYKLK >CRE16310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:198271:200178:1 gene:WBGene00069062 transcript:CRE16310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16310 MEDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSGADKSPGKDSTNSDKVSHDEVLVGALTGGSAPDKSPEKDSEASSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSTSEPKTSSGNSEDTPHDKPLVGALTGGSAPDKSPEKDSEASSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSTSEPKTSSGNSEDTPHDKPLVGALTGGEQGSEDGPERDSDNTSDAKSLVKALKGGQTDSEILAFLLTFILLTIWEKEERDQIVEALKNAETGGVKETEDDKIVLKRILEKHFVELEKLHGVDSSLQEALRKMADNQTTFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDSSAVKKAIDALKSQLINNSKYEYKKLIGAIEEARLDELTSLRNAMKPKNVPFIGNLINFKVENDVLKVEFKDSNVLFIEFDKECAYFLGFHNCIVKNNETASSSIDFFGNISTLYVYCDVVDQTIVGNSKSSLLTVIPCKGKYGEMVQHTFPVPRYLPLMNGTIDSIKVQILSEFGDRIHFNWGSTIITLHFRKIA >CRE16331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:179725:198197:-1 gene:WBGene00069063 transcript:CRE16331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16331 MYLLFLPLVTCVSIFTKTEPSIEFDLFNVPVETNFFGHFEGYNMFGKPKLVHFHQFEDTLVDNRSQTYKINKNCTFDVIGDQELLMHCFGRLLKITRNETHLLDIYSDLFTFDHVHRQIYLWRDPYIYKLEAGDSNPSWRVENLQDFNVVSGLLTILFTNGTIVHNDSVLTSVNPKLYTRLPIFAAPDFEYTRPDSNSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQSVMSKPYNAMMINNDPNADPCFRIMEDFLHEFPIVYNADCNKAKMIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNILKTFDELVKNYEKTPNLKQISEAKSPVDEKYYDEGNITEMDDTQKKNFDYDGGIPDDKYYDLDNKAPAKRKELEEFLAAERAADKKFNFVEEIENYCYNDVFILASAMTNFEKSFEEMTDVCLLEESVTAASAAMQVFRRGHLKNGSPIVLDAKPAVSVNASVMSQKYMAWIEHNEKVHVQMSTTYGEKKIGKYRVDGFIDKCEEYPKGQIIDFRGCYWHGHCCKYSEESMIGDKTVKKIREKDDKRVRILEQSYPVQVVWECEVRNQLKNDVDMAEFFEGYEPMDLLHCERALVGGRTEVFRLYTNNNGKKLHYLDVVSLYPTVMKYEPYPVGPPADVERSRIPTPMTSPDQLTFMGFLSCRVVAPRDLKLPLLPGRVANRLMFYLCHSKFYKPKDLSKLYKIPECAKNQLQGPCQHSDEERSFNGTFTTFELTKALSLGYTITEAYHGVEYPLWLKNDENNEGGLFTSYINKMMAEKIYSSGFPSNVVTDEEKEAYRDEYAAKEHINLDDLTRFIKNPGMRAVAKLLLNSLVSISIFFFLKNKIQFQWGKFAQRVDRIQTEIITKAAKFWRLVHDTSIELIDVRPVNNIVVVQYRKQVETLTSLRTGAVHIAALTTSYARLRLYKFMEAVGDENIIYTDTDSIVFAVPDGCQNPLVDEMGPYLGQLTSEIDGEMKEFVTTGPKTYCYTETLESGEEKVSRKAKGVTMNSQVDKKFTFSKMKQLVDEVLNKDPTRTVEEFPQHKMIRDRAHNVYSKNTTKKFRYTFNKRRVLSDGSTLPYGYC >CRE16307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:75848:76622:1 gene:WBGene00069064 transcript:CRE16307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16307 MYGIPVLKNFLFLIFCLKSDLRAFRLDGSYDVQIVCSIIFCAGPNGCPVSNCLNSGTNELFMSHGRKKRSADVEAGETEEKLSAIIRVFAKGEENEEELEMGNNTMMTSLADSRVQLF >CRE16306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:73840:74178:1 gene:WBGene00069065 transcript:CRE16306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16306 MFCAGPNGCPVSNCLNSGTNELFMSHGRKKRSADVEAGETEEKLSAIIRVFAKGEENEEEMEMGNNTMMTS >CRE16305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:65622:69733:1 gene:WBGene00069066 transcript:CRE16305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-noah-2 description:CRE-NOAH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N801] MSRVIFLLLCSLSAVAAVFECSSHETTAFVRIPRARLDGTPVVISTAGHDLTCAQYCRNNIEPTTGAQRVCASFNFDGRETCYFFDDAATPAGTSQLTANPSANNFYYEKTCIPNVSAHEACTYRSFSFERARNTQLEGFVKKSVTVKNREHCLSACLKEKEFVCKSVNFHYENSLCELSVEDKRSKPTHVRMSEGIDYYDNNCLSRQNRCGPSGGNLVFVKTTNFEIRYYDHTQSVEAQESYCLQKCLDSLNTFCRSVEFNPKEKNCIVSDEDTFSRADQQGQVVGKDYYEPICVAADLSSSTCRQQAAFERFIGSSIEGEVVASAQGVTISDCISLCFQNLNCKSINYDRTASSCFIYAVGRQDANIKANPSMDYYEFNCESQFGGMALCTNEGIRFIVNTKEPYTGAIYAAERFSTCSQVVENAKQISITFPPPTVTSDCGTVIRDGKMEALVVVSLDGVLPHQVTTEWDRFYRVSCDVSMDKMVKEGSVVVTTIYEASSQNTTVLDVATPPPVTAELQILNQLEEPLHKASIGDPLLLVITSEQAGPHNMMVTECTATRVGGFGDTVPFTLIENGCPRYPALVGPVEQDFDKNRLKSDLRAFRLDGSYDVQIVCSIMFCAGPNGCPVSNCLDSGTNELFMSHGRKKRSADVEAGETEEKLSAIIRVFAKGEENEEEMEMGNNTMMTSLADSTDLLCISEPFFVSSVVSLSVLCFALSAIIAIWGCHSLHSTPAKQVAA >CRE16320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:45384:45704:-1 gene:WBGene00069067 transcript:CRE16320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16320 MIEEPTCEEVFSDWQTISEQPPPDYPPKQIPVEQQNEFLLNNYSALYPWYVYDENSKKGEKPRNWDKLLLELLFEF >CRE16301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:16342:36478:1 gene:WBGene00069068 transcript:CRE16301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16301 MAPTPRIQTLAQRAGRLKTCITRVIDGSKQVLEYVDQWEADRKAACQAAEHENRGHPIPDLTVTTDALNTLISMETQLEGLPQILQDKAAKLVEEAEENNEEWEELENLCKLAVEEREDQRKHLLIAVRAKIEMFRELHDASEETVPPPPLSPQQVLHIMAESIDAPNQEPIVTSPMKYPLYHELHMVNSTGMNGNNSELSTEASQLPERIHDLNLNGTLENQTRAADTPILNSNTLISPELGGLPVGNIAYQPNNMRQKGRQNINENSNYPAAAGFSQSNMNSQSGHVRGNTRNNFNNIVGQPRFEYSEENQQNNCHERNFNGNEHSEHYQQQQIYNRMRYSEYPDHLINQPQYHYQQNQTRQVQGNWNNQQIRGKRCEVCEGDHEITLCNQNNEVVARVCIKIGICPKCRTGGHPVTGCPLLYLEKEQARMNTEKNHEESRNRNQFNDQESNQDNRNSTHQHRANNQPRYAERKNEILERELARHVATIKPFTGVVSEYASFRNIMTDYLDSETVSLAKKQIRTEEKSTAQLIAFGAKREQSKFQGSKTLNNQQGGSNQTVNNEVTAPKSNQKQSTQQPAQSQNQPNIGTQAPYLSNMLPQSQFPYQHQFAQYPYQMNSNHSHFQGHAPTPMSSSPWNPQPSHINYYLHPTGQHQNFTNQQHNGQTGNLNQSQDPRNQLGYHPVPQHNNSPASFGQSQQRSSSKPWFKENGSPPSPKRASESLKLIKETPKMVDKSSADENKSEYPLQTVQNTSINLLVSPSEINSKDNILIKNQENTETSVTDSKLHIIPLASSSVNNTTDTIKALHKNTTPDKSEAQQKNILLVSPSENILFDTTRLYDDAPIVNKEARIHQITHTLQTESFEPTELNVNEEDISLPLTTLQLDNNDQILTLVDTGASITLIADQAAKDLGLKVAQEIKLTVNGYKGKSRSSSNIYEIIIKGGTLEYKTFVAGVPDLPEIRYKTPVFSEEDHKELELYGLTNRDVIPCEEFERKRIGMILGNDILPHFIRGSQRICLPSGRYIELSPFAKMTFPRARHCPVMDTPTKDNKAENNFPFRFPSHRASFFTLPFRLLTLRKSTSVCLRLSSGASFSCGIVARPAGLARQALDARFNVYII >CRE16297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:30:2394:1 gene:WBGene00069070 transcript:CRE16297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16297 STKPTPSTTSSQDSTSDEVSESPDATTTASAPSTTESTTSSQDSTSDEISETPEATTTVSAPSTTESTTSSQGTTDGTTKSETVERTGSCRYKSPHFWHRHFNEKLPTFHLKRIINFSETPFPTSFGLPTSFGTLTPPITTSISPSSETSIITKPSNQTPAPTLLPILTAGTGTTKRSRYEFDGTSEKPNFRTIFREYSLTFPTCSNKFSIAKGDNYINKFFSKPKWIRCSVPGMKPNIQAVPFNLIEAQNVFNMRHVLTTDISEWETVMLRRIMKEFPKFDVFVVIFDEEKKLALMNPEEEVNSEAPFKRHEIDWIVFYGCTPRPNREIIEEFIEKMREKLGRLNDCPTSYVER >CRE23044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:361762:362674:-1 gene:WBGene00069071 transcript:CRE23044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23044 MSSAIKSSIAVSMVDDVFWRQCYNLTNEIKLQYTRGLVSPSLSYKVSGLDPKKNYSMTVRFEQPDDKKWKWIKNQYQPCERPDNIECVSRTINHWKGQQKGSWWMKNNVDFNYIQLTSRTYQEDYPDMVSFSYLFQIRLQRCFKYIPVLNIFENEQLIHAARLEHTEFIAVHTINNRQLKDLKRHIRSLRRVGRCYVSNFNLNRFLQAPVPQSPLAIINKPKDFSIRNILANK >CRE23042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:356710:357744:-1 gene:WBGene00069072 transcript:CRE23042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23042 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNPHRSIRKMAKGMKIRSRSMGRIVKDKLKLTCYRVRKAAILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNANPHSSIEALKKTLVKEWDALSPDYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE23040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:350733:353794:-1 gene:WBGene00069073 transcript:CRE23040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23040 MNSRSNVELHHEGEETVKPFHLFKLPYLANLLVIESMDIDARFHLPFASKKTDRLLKKARKPTVRAAIKLKNDEILLEFIKDDACLVIKNDKESVEEYKADYKPHYYPYCSTVVKLAASHFKYARDVCWFEELEIKICDEKVGTEQLGEFLDHPSFQHWTCMIIERVDLEAEQLSLILDRANSNRSLMVMESRVPLDFKHPNAFKFKENGYDDARWVSLDDLLNIKNVANVALGTTTFIDNDIKVFVNHLVKSDEDLFEWIMISYKSLLPVDQLLEGLVMLEYPVPNGALHFTLAKPNSSNRKRTLLEFNSIPDVITISTLLPTEDHPENKKYNNAAKILELLEEKVRLKEKLVKNYSNKNRQELAIVTNKLKELNVIIENGKARVEQWD >CRE23037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:333757:336258:-1 gene:WBGene00069075 transcript:CRE23037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23037 MLHQLVFLGVVTLLFRLEYLLARSVCRKTMPCWGENKIRLFSVRVVSLTHAFFSSIAVLTSLTDPRFIKSPYDFFKEETQFVFLFSMGYFLYDLFDMGIHGELPNSKEYILHHSLALFAFSSIIVSEKFFGVAVIGLLVEVQTVFLHSRTIVRLLIKGSEESVMSEFFIQMNMICLFLFRHAPTLWLLYFLMIVDEKCGVAVKLIVCGSLLFLEAHNLHLTQSIAKSDGFFGFERQKLDEDTVDPLGSVRTSECEKIKKTGGFTRKMRKVF >CRE23035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:320731:324190:-1 gene:WBGene00069076 transcript:CRE23035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23035 MSATPVKDRLKAKAEKKREDQAHHLRCCMGNERFRHNPFWHADCCPLNVGSKNGEVQCTPIPSPWTLQSGPMPPSKPIATSAPTPVPKPLPTPTPLQKHKSIQTNAPHGKKMEEEVEKMRGVVEKMKKEFEKMERKMKEQKKAYEETNKQIKDNYEGFISRDADRRQILEDRIIELAERCVKMEEKVTNEKTALIKMEEANAKEQEALKNELLASNQAVNAGKLQIQQQKEEIAHLQQQLDAVKLKELELAKTNANQSDEILQLKNALAASTIKEKGSKELLSDSSHLTYSRLQKKVDMLQLDNQRLRSQPDPQSGASVLSITTTNTRIPVQAPIRWAQSSLADSPRSRPTSGGVAITDSAEPWNQPPPSIFALTGGGSESSGSAPLDLHQLSTSTTDSTWIQDPLAMFSSLMNRSEATPQFPASTVHSSSFSNVTQHNLQK >CRE23034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:301208:303821:-1 gene:WBGene00069077 transcript:CRE23034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23034 MSTPRTAETPESINCIGFNQDYRLLSIGHKKGYMFYKTADILESSTLPNRILSTNSSGFNNCTIVERLFSSSLMVVVSENEFRVLHLTSNNVISSHRFNKSILTVRINRQRIITCFEDCIQIYNLSDMKLIHTIIDTPMNKLGIVDLTSNTGNAFIAYPGSTDTGAVYIFDAINLKSVNTFVAHEGTLACLQFNQEGNMIATASTKGTVIRVYSVPDGNRLYEFRRGVSRYVTIQSLCFSSDSKFLAACSNVETIHVFKLEKAEEKIQPEATNESSGWFDTFNKTITAYMPTQFMQVTEMITTERSFATARLPVACKSNRIALVAHKNQQYLMAATSDGYVYAYQMNPEGGELDLIKQPCFEPETVSGGSSCLLTVGNQGG >CRE23011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:291986:295721:1 gene:WBGene00069078 transcript:CRE23011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23011 MIKNEDSSLQDSSNIMKSPPKPAVDKDLMQKEQVARELIALCEKVMNVRNNSDEFIAFVKEQKNMAAPLKKRGRPPLSEGGAAKRVKAAKSLRKFQRYARNVPRQRKIRGRLELSVKQSTSPAEPKEILASMFPFVKFEKQSISFTNPEIKEIRALNVSSPREVISSSSSSSSSSNSVSMDWARWNQMVPQVLGNELEAAYSSSNASCFYQAETEIEERRIENWDFSFKFFLFQQRVHTERDHHHLFLLLVPDRRTGPWRTRYCLTCTGMSKDVPQMLQTSTKRTQVFGTQSTFFGKSLRLKPHPGHKQNFSQRLFKIFFAEFMMINLTWLQNCNLYRLRLNRNVEGLPDKLCLLCQGYQVFKNRKRPAENVVTNDDDLDYLKKWDVYEKKLQLPNIEGGFRQSTKFF >CRE23031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:246853:253879:-1 gene:WBGene00069079 transcript:CRE23031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23031 MDQAKNQVTPQPSATNGASTTVGSLSPNGLSTNGASVANGPSGLMVNGTSAIRYPSSFGFNVANRYPPVNNYSTNSTAIDLPLFNSSTVSTSTAPSPTSDTNTSSTKSKNFVHTNTVTADVDPNNIVDKGSRRQRKKTDIYNPSFSMTTTASSNDRSRAPRASRVQQAPPPPRNTAPLMPDNIQDETEFRTALASSSYPGSKEFVKVFKAGEHLHFLNRKVKVETWEDGNNFQTKLGAFDSVHLFEKKDGLDFSYPDDLSLDTVMDYIDPSTHINVIDSYRQKSKKWSMTMGNLLEAFNQPPTQRKTALNVLSLEFSKMEGLRETFQVPHFVRQHSLVDQLNEALKGKQRVLEGEKDSKEKKEKLAAIQKKLKEMPQYQRFLLLSMEDSFTDIHVDASATSVFYHVVKGRKVFYIANPTAENLAMYKKYELNEEDKPNEWIGEKLFKEFRRVEIREGQTAFIPSGYIHYVCTPEDSLVLGGNFLMEKHFELQFRMTAVEEESVEKERVSVDNLYQGFNNVIWAYVEELMLEKIREAEPGDDVVCHGKLLATLLDPTKEIEEDWFTTEQKMIILEKLKQALASKTPSVLSNHQVRRPSDESADCPIPSKRIHPSLPSTSSADVVEEEKNRLMPTPQASPEYVAEGGRDIDDADNDMEMDGGMFQYDDTMDFDGDRHMEMDGERNQFEDTTEDFGADIDPSHHVTPEKIATAVKEMAVTWDFREIIERAEGILSNPSLEKMRVDPTVLLLIEGMCSKAGRSCVSKEDLGGISLRVFLRLLVNCMATEVGEGSSNDLVEFIEKRMEEVGDNEMLLISDVRKYLSTLLRLIHESVKDPLVFL >CRE23029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:219968:220518:-1 gene:WBGene00069080 transcript:CRE23029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23029 MKKNYPTELRFNIHRNISPYQSKYVETSESSDSESEEDSGADSNYEEPQPKKKKLIRKRKTLRKEFEGKDGSGEKGDSQKMKKKKLDKPKEKRTRAPAPPVERMEHQKTVALKTIEKIYEETDRPTLAMKNK >CRE23003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:193009:194600:1 gene:WBGene00069081 transcript:CRE23003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23003 MSFENQENQTNQVTSPKASSNKTESHNPVQCRLSEMFSTEPKPKKIKPNPTKESNFKVYLFLSVFFFKPTRFTGKVTLNFFQTTKCCNLNDLRYGTSYKICMAEECRIPPGAKYMCSKAEVGKEVQNYCLKCFEDADLDVNDWEQKENTNPALEEIEECGICRELFHRVCELNIRSKSSFICTTCSPLRLLNMMREVGTVNKDACAKFMTKKLNEFIVANNQEKRHQQPVTVVSFSEQKEVDTSEMCPELDASDFTTKYSETVKFVYRAIYVYYRIDGIDVPFFSMFVSEYPSHAGQSWCIINYLDTVPYFKSEGIKRGAMHGEIILTYIDYMKSIGYENAHIWSNPPEQGNDYIFNLHPDYQKVPGSKWIERLKGKDDGIIQSFKTFEEKMGENAFKSCVDIPIFPESLWSNVMIEANFETSYKKCFMKKLQVLYKKHAVDNFWINLNKSSGPQPTIPALYPHSIMGDRMMFLDKCAEMNLEFSTLRRAKFSSVYLIELMHDADYF >CRE23002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:187804:189577:1 gene:WBGene00069082 transcript:CRE23002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23002 MSNPISSEFFELFDESLSSKVFDNPYLLEIIVSHLTWNCESNLSTRLVNKSFNSIFLRIIRRNHRKVKIEFIGRAERCEETAKDWIFINYRKMRKSIIPGYFNFLNKVAGVKVEEIITKNLWKPEEMFARYLHKIIHSDLIGGNRGSVRKLIGLEEVCEGCERCMDMAKQCVEYGPIRFRVLTRIKNPIHYKKLHISDKLLEMVANECTLKSTTKEMCFKQLDDIIRPYISCETLVLWICEMRLYYVDGVKINAHVAMPREVLDLIIRKWSVKTIRMNMIACTSEMNLHEKWIDAGYFTKIKVDDPYWKTGKSDDLKLHHVSVRASDSYDCAGGLMYSNPETVHEKSFENYIANLRRLFRMDKLSIDFGHWRHKCIFSLEEFMRNMLRVIQLEKQRKLEVNIQFFAEISSFHVGNSEEFAGIPSEYSLLSDRVECIRKSVPLDVVERGPERLHMIKWIGRRFQVKDMDNHFTLNLNIYVKENELRELDKGLMERHPNSFIRVFRPSL >CRE23001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:185109:186033:1 gene:WBGene00069083 transcript:CRE23001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23001 MPQSLTYPALKIVLEYLELMKRFHITKRSSSLRRIEKLVPIRVKELSISESYLFLNDEQISIDTAPEMDFYLAGRQNYVCDKVTLGGPFTDITLPPDFKILTKELISQMRYFVTYLPVIDPRSFPLRKLFTQIEEPAHFDHPILQSVDELEIEDGYDGMLPFIDENITSKTVVFSSCDVPHDDVLKLIRNWEKNGKELGTTYKFDDYKAGSQMSTMCQLKKKLSEFKNKRYNGTTESPFLIIPIDNTTSCINVYSTGQTGEFETILKVEHAKQKR >CRE22999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:157767:166680:1 gene:WBGene00069084 transcript:CRE22999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22999 MTPDKNYTPVYIFDIRKLSTPEFRVPARSSRLTAMNFSSQGILHIGYLDGGIAIVKPDKCVKQWKINNWNHTEIKLIVSTKDNKPIVHQESSITLFKGVTSLDSEFVPKRSATLFYESKRYWYGCLFEGKLDESPFIAIRGPRDRNSSKIQFVRYSKNFKDEAAIYENGLATSVIFETWKNKVKNKNGYSSKASIFAAEFLSNYNTSPHTPPSSVPNNPSSSAHPQSSRDTFSPWVIKQVISKIPPKCGFSLHLANYFIIKNCATSLALPLSIIYSESLESSVVPSAWKHGTIIPVFKKGSPSSPQNYRPITLTDPFARIFERILCRQIRTDLGHRFSIHQHGFLARRSCPSSLVYSTANYKRILKTYHSLDVVFFDFRKAFDKVDHIILIKKLANFGLPNLYISWVEAFLNERTFSVMVNGSLDNKISPIPSGVPQGTVSGPFLFLIYINDLLLKLPPNIHFAAFADDIKLYSHDPVLLQHGINLVSNWASANALPLAHTKTSLLRLGPENSRHPFHVNSIPISESTVVRDLGLLTDSDLKFDLHISKLSSLALLRCNQLLKAFKSRSISLYRHLFNTYVLPLLEYCSVVYSPTPSSILSQKLEKPLRLFTRKVLQ >CRE22997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:142034:144268:1 gene:WBGene00069086 transcript:CRE22997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22997 MRILKYTEITRAEQLREELRSQTTSGTSTPAPAQTSSTNGKPGGKLSKSAKRRLKMQRKTEEASKMEKNGERQGNQNNCRSVTMDRGQGPSSSRHNQDSIRDREWSGPRDGYQDHYPTEPAFHGAPSTSRSEPYYEFGCNGNQENWRNDSRYRHGSTSPRNNNGYDRGDYQDSRRSEGVRNNYQDRSSQEHGRPYQFRDGYNGNHEYSREESRYCYDPREQDSRRNYWDEEYDQEYQPSNQDQPATSSEFDSIVIGCRFDGHQTTSRRYESRGRANGNQQNMMDDSRGQQ >CRE07474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:851159:856778:-1 gene:WBGene00069087 transcript:CRE07474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07474 MRGCSSIVQTTSLFDNGIWSSIVDLGKCDPTNPVPKEIFVESRENGTGYRQDLVLGWEIMAPKFTITATRPYFTRDTEDVILMVDGDGIAHGNVSICLESISEKNNVMRTKEVKVSSKIGDALKIGIPNEWRNDVSVIRVVAKRKIKNGYSNETMLYIPNLSSTTLQSSLINPEEIKSFYRDDEIMNIRVANTGKEMNFVMSDKTSSKQSTLKVDDDRMIVVETQMSVKERRKKVKKFTKLIASIVEVEDETRLQFEELTTKCSETEVDAILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAAESEEAEWSVGKVNLELQQVQYEMKVLTECWKEEKEMLGEQIREIQREKEMLGEQIRKSQREKEVAEAQVSRLEKALKQLRKTVERQERKPNGLWDEVQGSRSSYEKVVNWDSESTNEGSRKKGGEDAFSRKTLSRSGISEVNEMVQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTESDDELVAILEEKFLEGAAKSLFKTLPKRYERSIQSLFEEFEHKLRKRQGDSKIEALNEFEGLEKRSDQKMWEYLVEVEKWSRMAFPEVKQETLSQMRTTKLMKAARADETLHKMLIMKRFELSLEEQYDHLKDIVLQQENEQRRGNCQKSPYSEGWKERPKAENDGEKNVAEKESGENRYWMEQRCFSCGGVGHLARQCPPKPVQSVEVRGKGEGVGIVAVETVMMLGQERKMVIDSGAAVSVMSTGAWDGLKKGCRNWVEVVKRFRKPSFEVIDTSKKKMRIIQQIEVPIQVRDRKAEVVFQIVENDAEIMLLGTNAFESIGVSVEWKQERTDVQQKKGKRDATSSEEKKVFIVGNLGIRVENTKPSGKTAERSKEIAVDTVTEEKKEGMKPKKTVIRESKILITPRIGVKGKSIFEYRKSALNTWKDKFEFANVESIVFLLELTEDEETNQKLGDLVRKLTEEGKEITIIPYKMDCAKSGLVESWKRSWITAGNVKWSDSAASAGEKFKTWEQLLEFLEARTTENMVVAQLRKESVTSEPRKKENKWSHH >CRE22996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:88370:90838:1 gene:WBGene00069088 transcript:CRE22996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22996 MVNRSVSARLPTSGQSRTFAEVVVGADYPRILSLRPGPQWQSNATQFVSSDGTPIRVDSEANSVPIRKDSEVKSAPIRDVSGRKCTTIREGSGVESATIRVESSILHSAIREELKSMCPPIRDESGVHVISSPGNGDGKLTSVSAASICEGSTTNAEVVNICKRFTVISDKSEHCSHLSTLPCVMSLSFADGPALSCYSGADEEDLNEFIRSFEDKFALLGKDDKCKGNFLLAHLQEDARDTAQEVLDNNSDATFAQLVEALRARFLHPALSDRYKEMFRSRIMRADETVEDFYRGLTRLAKKIYNTTSSTIAKNEILEQFLYGIDKSMKIHVGLNKPKSPQAALDLARRVEALMPKPKPAEKEALKQAGQSSDYNGRIRNQEDHHQQRSRSNDVRKESGDTFYCHYCNEAGHYAYQCPEKARKREARQQAESTQPRIGVAVCKKTNEELQQELKASNEQVEALKQRLNRLSALEYGSYYGDQCMTIKCPDQTNQTVGARLYESIDTPSNSFCAKIPIKANDFSCIALVDTGATITVTSGIMCSCLGIPSPEPHQKEALVAFGNNKVEIVGSRMVTFSIGSYKIQHRVHFTAEPCTPRGQYDFILGTDILSRLPAIFDFRQAKLHIGKDVLTFDEEAKCQSGQCQVLDTNTTRTHQEVLESHCEHTNVGSWAKEMESIRNQEIAPTKESKFSKVVKFQSTPKRKGTCYFCKKEGHWIRECRKKAKQLAATVKMQRTLRTSNRGEDPISSRSKGSSQEVGTTTSETYLTQEYKRLLKQVEGMQNKKLMVEQQPVKVDEDQEDPTPKSTFVNTDGSTSPTDSSL >CRE17758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1590:4492:8415:1 gene:WBGene00069091 transcript:CRE17758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17758 MRGIRICLLLIVLPLMALQQSSNQHSFIDQMSNNPTTSYEKYLVFTELKTIVNHAEAEQSYLYNLNEVVRKGEIYANASEIGGGIGAKAVENLKLAYRSSKDPDSFISFLSTCLAFMKKMSVSFNWLFFHGAIEQMTNGKDVSQFDGLLKSGEWKLPKDVLTMLGVLDEPTPAITASTSVPSTTEPLDNFVVGPIGLLGFARLNNHWNNESLSSFHEMLRDNSSKYDMLFFHSYTILDALELSKNDSNQIYVVMLYIDRLLEEKVEGFDLHLETLRDLKENESFFRNMVLLGDSYYKTNASEYEEIKRKYSDARSGALFNYFDLLGTFYQNRFSSTCQIIERGISQIKKFSDNEFNALLKFVKLAMNIDRNEQYVVATSLGKSMTDEECSELWNHWNLEGRKKEMVEVVKAMHDNFNLNSCGI >CRE21500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:6106:6671:-1 gene:WBGene00069092 transcript:CRE21500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21500 MKEGKKTMEEINKSLYNPTSFERGRRRHAELVKKECGSKCELIDYVDAFWNKTMNAFQYFDNQGFSYFTNGGHLSAHGVEHVRPIYEKICSSL >CRE21501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:7952:11992:-1 gene:WBGene00069093 transcript:CRE21501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21501 MALHDDKESTRLLLDYEDSGGREPRVFKYWNSRRHANIKSLSYLIFAFSVASFMVLYMAINWRSTKHETVSVPPVLNQIFPIFTNESSSNNYTNQIFNDGCTVPIFDLYDESEKPYFIPHDDTIGCDPNLKPLTEYTNGSWRITQEKEGRSCKARCYELPVLTGPFLISDWFPPGPTDCEFLEAACWENDREVYGYIHTQILEKPPKVIKKGVPDVFVILVDSLSSRMMKRSLAKTVKFMTEQFQAVDFPSYSQVASRSQVNAVPLWFGRFGASVLYWNRFISGKQVEAGTMQGGKEIEVDWSEDEYCHHYMDDKPNMFKDFTDAGYMTNYIDDWFYQTLWANPDCKGFQNYHSAHTFFPFVKIFEKTDLYITKEHLRGRISCRESYSAALEFFEQFTEIYKDRPKFVWYWSVHLAHNFLMGADRLDKPLLEFLQGNSEMFDNAFVVLMADHGWRSGTTEFYASEIGNLEHHNPAFMMSVPKKYRNNGILEVLSKNSERLQTHYDLRATLLDIVKYQPSSQFSNTTLLKIPGEKGHSLLREQPLTPRNCETLPIIQEYCMCKSKSIDMKYDTKLSNRLATALITYVHDTLEEFNVTSQCHKYEFDKVTALSIISLNGAKATYKIVVKTKQPAIFETLVTDNETGKLEFGAIERVDRYGTTTYCTKKTHYTPLCYCKE >CRE21502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:18756:21143:-1 gene:WBGene00069094 transcript:CRE21502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21502 MCRQPPPQQPIDCRYFANGICSKGTACTFIHDAATRNENICQFNLVVKCSFGQACRFLHTRPKNDECPSTSSPSSSSSSKSKLTATVITPRVRPIQLAQPGLNIDATEFVPSWMKKSEKSVGNGEHPIYAAAASFRILTSSEGSTSSPGGSTSSSNSPLTADAQMCPYHLKNGDSNRKDFACPFAHGELCDMCHQWCLHPTNQELRKLHQNVRKNR >CRE21478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:23829:27988:1 gene:WBGene00069095 transcript:CRE21478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21478 MSRSRSAVHAANAVADEIDLLCFPFFSTINISVDKSFNEFVDRLSTIARLVNTVKMQSDLMNDHDMHFKVGELLNVGKIEVDALKRINTLRIKELILAINKTEENFDNVDAELKKLQMWELVRKVTEDLGEASSFPSTHDFLFKDIESLDTEFSAEPFGNAESSVENVLNSLEDLKGYSTLGFTREEEVNRYFQQFVSVKEAFANVISYIDPLITQIEKMTPFKSWDLEQKRSVISEPERLISAIIWRKGVQEKGDTSDYDKNALDKNFGLLDDLKQSVKIFEDDYTFFENTLFKHAYNSLSDLSGFEAIDKLAAEIGTKKLNKIFKIIESDKKLMKGLEPILEIKKTKFMNSSFSSDLGTKFAIEKVNLILKEMIKTITTVKGFGQYLTNFEHCGAKGKSIDDSKLSGARFSLHTGQEMIANFEDFWKRDFFHHNLRPLLADLGNANRTLENINPNERTHSMKQLSNVFSELTINGTLNVIQKSMKTLLTTLKTFNSKQLDEAFSIFKNRREQIEGFLKISNVTYERNVHICLNSYQHQCKTSYEATLLIRMIRLLEVESINNVSRYMDQVAKVQRTLDSLKSILPLVKNNSNAYVEKLNKIDKLVVTRIEKAVNSVNNLVSLKKQGLLKELKAIQSRAEDEINLIAVFGPRDPIYKDTKSSWNLKEAIMSLETSLDYFDEHVKEFNFQKVRNLSNYDSFFESMKDMPQIAIKPESLIRVLNYLIKTTTWENHIPVFTKTKEKLIEISSMDLDFAKHFREVGDAFQKLENFLIQSLTDDVVDPMQDDQDIQKEDEIDYTWIIVGCVVFIACLSGGAIFILWKKKLLCFKRKRESVDCEVIDLDPVDNKPVLPPHLIIVAIGMQTFGRHPEHYELWIQLMEHVKTSPTPENRQFPYLPLMESKYFDPKIRLNPYTALQSVRLHGNKFRTRLGTVFYAMQAPMEANNMHDDTRADFLAMILIDNVEFIVFLGETSSCGQYFVERAGNLTVENFTVTTEGEQQFQNSADIVVRTLMVKDNKKKTQRKVKQFQVKHWNEGDIPNCGHQPLEAIMTEICKSKSPVVVHCTSGTGRTMSFIGMEYISRLLEANEEMTFTDAFKKLVEKRYSAFENVRQIGWLQVGTVYFMAIRHNAEPVWYDNILGMFREMVERNVGVPRGVKF >CRE05350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1732:1297:3130:-1 gene:WBGene00069096 transcript:CRE05350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05350 MTVGSKLTKTKRNEWYLKQSSAVITVLVLVLLLSTVSCINQDAIQKSMEKKEEVALTKERKYPRLDEMTANMTLDDAEKMNAYWNQHDHMGPELQEPNSVRRDPDHWWFDFQENGTEFKILLTGNSYVKNHHKLIIQECKHRATSISIDEIIGCEPLAAPHKKINDGKFDDRWAAACPAELAVFVDFVKTTQPDYAFILTRWFAVGEPYDTNENDLEHDTIYIEMKSQLKQILPNIKRKLFILDSFPRTNVDEIQNIAREMKEGKKTMEEINKSLYNPTSFERGRRRHAELVKKECGSKCELIDYVDAFWNKTMNAFQYFDNQGFSYFTNGGHISAHGLEHVRPIYEKICSSL >CRE21504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:34559:37281:-1 gene:WBGene00069097 transcript:CRE21504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21504 MEIDSAGDDTDPVETIRVLREQLELLEKEHNRKMIAKMNDFQRNVHLIHGKLDKAEKKVEVIKRKKRYNRIEIQAEIDKNERLKRENEVLAKDEETLVEQNERTERSRHFLMQQEVALENQIVAMKREMEELSAENEKKSSEILNQKDEIIALKRQPREIKLINYDNKVDYLGLESKLFYKDVVNKLERDGIASLKLSPQEGFQAYHSANFTRQSYKTIKKILKDHQLPDPFPPIKGIISLERKVASDDVFTVYQSKGVKDEGKIVTVAHLNDVAKTVSERIEQLIDTEKLTLDFDKGIWLTNLGDKGGQEVKLCLSIGNVDLPNSCHNLIPLGVFDDEESSEALLKHIPTVIDQLNNLKELKITVNNTELLIPVDMFLGDDMKFQYDMLGHQGASATNPCMFCLKRGRIKIRDYKRGERTVRRTEKSYSDASAKGSAKTTVESVKSQSSFVFTGISLDHVTIPSLHTIMGVAQGFGFDNLLLWTTTMDCEDKALKITKADIKQSRVRKSNLINLQKTVQMLDVELSSMLTVAVVLQHFQDSTLDGTDETERAACPAEKCLYRDRSIGKAPLFDARLVKCETCEETSVVRLCVPRKLHPEEMEDMENAIDTMWLCLQEFAADDNVTPELYALLEHVMEFVETHHTWAKTSEHPIEAFHAAYNTSKLRYRTTRNDLLRAKECFKRCLINNRVFDLS >CRE21505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:38332:38933:-1 gene:WBGene00069098 transcript:CRE21505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21505 MKSQLKQILPNIKRKFFILDSFPRTNVDEIQNIAREMKEGKKTMEEINKSLYNPTSFERGRRRHAELVKKECESKCELIDYVDAFWNKTMNAFLYFDNVFLK >CRE21479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:32348:34154:1 gene:WBGene00069101 transcript:CRE21479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21479 MTVGSKLTKTKRNEWYLKQSSAVITVLVLVLLLSTVSCINQDAIQKSMKKKEEVALTKERKYPRLDEMTANMTLDDAERMNAYWNQHDHMGPELQEPNSVRRDPDHWWFDFQVIPKLFQFDQFPFLQENGTEFKILLTGNSYVKNHHKLIIQECKHRATSISIDEITGCEPLAAPHKKINDGKFDDRWAAACPAELAVFVDFVKTTQPDYAFVLTRWFAVGEPYDTNENDLEHDTIYIEMKSQLKQILPNIKRKLFILDSFPRTNVDEIENIAREMKEGKKTMEEINKSLYNPTSFERGRRRHAELVKKECGSKCELIDYVDAFWNKTMNAFQYFDNQGFSYFTNGGHLSAHGVEHVRPIYEKICSSL >CRE21506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:52420:59197:-1 gene:WBGene00069102 transcript:CRE21506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21506 MRLLYCALLLSIVIKYVDPDNNDTDATEPKVLKNFFHSRHPTAGSNPSILHPKVRHSTDPSSFRPRMPSYLVNSPLLSKRDKRNDVGSEIPYPDVGSEFPDPEVGSEFPYPEIGSEFPYPDVGNEFPYPEVGSEYPYPDVGSEFPNPDVGSEFPYPEIGSEFPYPEIGSEFLYPEVGSEFPDPDVGMDKSFNDFVDRISTIARLANTVKMQSDIMNDHDMHFKVGELLNVGKIEVDALKRINTRRIKELILAIKKPENKNFGDVDVVVEKLQLWEQVRKVTEDLGEVNSFPSIHDFLFKDIESLATAFSAEPFSNAESSVEIVLTSLEVLKGYSTLGFTREEEVNRYFKQFVAVKEAVANVISFIDPLIIQIEKMTPFKSWDLEQKRSVISEPERLISAIVWRKGVQEKSDTSDYAKNALDKNFGLLDDLKQSVKIFEDDYTFFVNTLFKHAYNSLSDLSGFEAIDKLAAEIGTKKLNKMFKIIESDKKLMKGLKPILEIKKTKFMNSSFSSDMNTKLAIEKVNLILKEMLKTITTVKGFGQYLTNFEHCGAKGKIIDDNTLSGARFPLHTGQEMVANFEDFFKRDFFHHDLRPLLADLGNANRTLENINPNERTHSLKQLSNVFSELNRNGTLNIIQKSMKTLLTTLKTFDSKQLDKAFSIFKDRREQIEGFLKISNVTYERNVHICLQSYHHQCKTSYEATLLIRMIRLLEVESINNVEGYLDQVSKVQQTLDSIKLILPLVKNNSNKAVNSVKNLVSLKKQGLLKELQAIQSRAEDEINLIAVFGPKDPIYKDIKSSWNLKEAIMSLETSLDYFDEKVKAFNFHKSMKEMPKIAIKPESLIRVLNYFIKMTSWENHIVEFTKTKEKLIEISSMDLDFAKHFQEVGDAFQKFENFFIQSLTDDVVDPMEDEDIQNEDEYDYTWIIVGCVLVVACLSTVAIFILWKKKLLCFKRKRESVDCEVIDLDPVDNKPVLPPHLVIIAIGCQTFGRHPEHYELWTQLMVHVRTSPTPEERQFPYLPLKGSLYLDPNIKLNPFTALQSVRLHGNKFRTRLGTVFYAMQAPMEANNMHDDTRADFLAMILIDNVEFIVFLGETSSCGQYFVERAGNLTVENFTVTTEGEQQFQNSADIVVRTLMVKDNKKKTQRKVKQFQVKHWNEGDIPNCGHQPLEAIMTEICKSKSPVVVHCTSGTGRTMSFIGMEYISRLLEANEEMTFTDAFKKLVEKRYSAFENARQIGWLQVGTVYFMAIRHNAESVWFENIQGMFRDMVERNVGVPKGVKF >CRE21481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:60180:65185:1 gene:WBGene00069103 transcript:CRE21481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21481 MCRQPPPQQPIDCCYFANGICSKGTACTFIHDAATRNENICQFNLVVKCSYGQACRFLHTRPKNDECPSTSSSSSSKSKLTATVISPRVRPIQLAQPWLNIDATEFVPSWMKKSEKSVGNGEHPIYAAAAAASFRILTSSEGVTSSPGGSTSSSNSPLTADAQMCPYHLKNGDCNRKDFACPFAHGELCDMCHQWCLHPTNQELRKLHQNGYSSYGLMSATGAIPPQSSQAPYGGYY >CRE21482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:67492:72648:1 gene:WBGene00069104 transcript:CRE21482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21482 MALHDEKESTRLLLDYEDSGGREPSVFKYLNSRRHANIKSLSYLIFAFSAASFMVLYMAINWRSTKHETVSVPPVLNQILPIFTNESSSNNYTNQIFNDGCSVPIFDLYDESEKPFFIPHDDTIGCDPNLKPLTEYSNGSWRVTQEKEGRSCKARCYELPVLTGPFLISDWFPPGPTDCEFLEAVCWENDREVYGYIHTQILEKPPKVIKKGVPDVFVILVDSLSSRMMKRSLAKTVKFMTEQFQAVDFPSYSQVASRSQVNAVPLWFGRFGASVLYWNRFISGKQVEAGTMQGGREIEVDWSEDEYCHHYMDDKPNMFKDFTDAGYMTNYIDDWFYQTLWANPDCKGFQNYHSAHTFFPFVKIFEKTDLYITKEHLRGRISCRESYSAALEFFEQFTEIYKDRPKFVWYWSVHLAHNFLMGADRLDKPLLEFLQANSEMFDNAFVVLMADHGWRSGTTEFYATEIGNLEHHNPAFMMSVPKKYRDNGILEVLTKNSERLQTHYDLRATLLDIVKYQPSSQFSNTTLLKIPGEKGHSLLREQPLTPRNCETLPIIQEYCMCKSKSIDMKYDTKLSNRLATALITYVHDTLDEFNVTSQCHKYEFDKVTALSIISLNGAKATYKIVVKTKQPAIFETLVTDNETGKLEFGTIERVDRYGTTTYCTKKTHYTPLCYCKE >CRE21483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:73947:76995:1 gene:WBGene00069105 transcript:CRE21483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21483 MDLHDDKESTRLLLDYEDSGAREPRVFKYLNSRRHANIKSLSYLIFAFSAASFMVLYMAINWRSTKHETVSVPPVLNQILPIFTNQSSSNNYTNQIFNDGCTVPIFDLYDESEKPFFIPHDDTIGCDPNLKPLTELSNGSWRITQEKEGRSCKARCYELPVLTGPFLISDWFPPGPTDCEFLEAACWENDREVYGYIHTQILPRPKTPKTKNVPDVFVILVDSMSSRMMKRSLAKTVEFMTQKFQAVDFPSYSQVALRSQVNAVPLWFGRFGASALYWNRFISGKHVEAGTIQGGKDIEVDWSEDEYCHHYMDDKPNMFKDFTEAGYTTNYIDDWFWQTLTSNPDCKGFQNYHTNHTFFPFVKIFEQTDLSITKEHLRGKKSCREPYSAALDYFEQFAETYNDRPKFVWYWSVHLAHNFLMGADRLDKPLLEFLQSNFEMFDNAFVVLMADHGIHTLSTEFYATEIGSLERHSPAFMMSVPKKYRDNGILEVLTKNSQRLQTHYDLRATLLDIAKYQPSSQFSNTTLLKIPGEKGHSLLREQPLTPRNCETLPIIQDYCICKSKSIDMKYDTNLSNRLATALITYVHDTLDEFNVTSQCHKYEFDKVSALSIVSLNGAKATYKIVVKTKQPAIFETLVTDNETGKLEFGAIERVDRYGTTTYCTKKTHYTPLCYCKE >CRE21513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:113321:113764:-1 gene:WBGene00069106 transcript:CRE21513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21513 MLPIVLFPSVGVLDANTTAKEYMNVFIEGLIIAAAVKKCDLHERVVLVVSLCVGSEPKWIMLGFMTVIALLSSFVSTTAIKVLIVQSVVQQLISSFQHQEELEKQLEHRVTWLC >CRE21516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:122645:128543:-1 gene:WBGene00069107 transcript:CRE21516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21516 MFVPPSILPCESPRTSIVSTRADLFELRTDPMRQIAHACYKQVFKVSTFSIFRIYSTAQMTDRGSSTFQQVRTQECREAVRSKQYAGKDMTEGPKGVYRSASLGENAENYTAWFGGKEVEHDEFIIVVGEVASFDGEATISTLGDTSKCSYPSGSCKTAESTIVWTESSPYRACKYQKMTSVDAFITDKHIAVPELKMFSTISQDMRFTQLEAKGCLAGNNYPRTYYKYFKVDTLEKIPRFDTDPITNPAILADIKAFGVTNELLRDRAKKYDQERRNSLGSQLIVLKCIRIRQYWYREFTRIQKLSGERTEKDAELLTAMEEELVNVFDELLDLEFGKSKRNPGNFPDGYRVPRVDESKVQSMEHIEPYIEAPYQPPPPSTSTTTTTTTTTTTTTTMKPRTVPPAATTKSTPTTTTTQTPTTTRRSVVIPPTIITTEKPISYYEDIVPPENRNVYFEKPHSNPFHSPARDIFMQTCANQQESTILFLTLLNIDPTAAIRQLFKRTDIAARKAGQGLLISQCRPVEPEEVYWNRRINDTCFDLVPMLVEGKIWFLLEGTDDLVADSGSVECKRPMITGKIHVEGETWKNEQGTEMWVQTLNRPIRRTASQFLFQAPAVIGNDLLGPGTSSAANEELNKIYRRRVNNITFRLLEENIVKAKDYITTKVKVHKDKVANTLDELWNSTGKKVFSAIKHVIFNVWMFILVIIAPVLFIVILVIILYVYCKFRLSRRAASATANRLIEMATRQLRGINHVDYDGGQRVYMAADINDEYPIPGVYSVLHRRNRGHLPVIKIEMNGKIVHALLDTGAGISYLPVSQIRPEDLDVGKEQQARAANGSVIRFLGTTSQSIKIGEIEVDQTLLVSHDEDCPSEILLGVDFIRNMNKLGHPVSFDMLKKEVQIGTEISLVCNVELAPEREEIKVAVSHNCTVNPTSEAIIPVKLVNYRKEFGTEFMISDNKKESEQIYAIARSVVSTDQEGKTFLQLVNPSATPIKLFAGQALATATIYEQIWEDSYTPPEADWTAKLPLMPKPTPPDYKPSNEIDLKDSIFTEEQKNRLRNIIDRHPKAFVGPDGVLGCYNGTIRHRIDFVKDAKMPAPRNYRVPLERRHEVEKQVREMESQGIIRPSSSPFSAPIVMVRKADGESWRFCIDFRQINNITEPVQSILPNLQELLDVTAENAIYTTLDFASGFHQIPLEEEHCERTAFASFMGVYEYVRMPMGLKGSPGTFQRIMHKLIKPLRARVFCYIDDIITTSPTPEQHLEDIEEILTMVEESGMKLKPSKAKFGQDKIKFLGFIVSAEGIHPDPEKTVAITNYPKPKNVTEVRAFLGLASFYRRFIKNFSKITTPLTELTKKDSEFLWGVEQEKAFEQLKFALVSSPVLAAPKLGFPFVIETDASGKGVGAVLMQARDRESTDFRVIAYASRVYNKHEKRYPAIELEALGLIFAVTKFRPYIDGAETTIITDHSPLKALLYRTDLQGRLAKYQIILQEYNVTIVYKPGKTNVVADALSRHHPLINAVIPLWVDLKTIKAEQQAAPELEKVRDTFGNFENRDGVIYKNNVEGIPVVCLPKNTQYGQTLAEMVHGSLEEGAHLGRDKTLHRIKEIAVWPGLEATVRKIVAACEICQQTKDSTKTRTQAVLHELEEISQPFERVHCDFIGPLAETERGNKYILVFTCAFSKFVIAEPTENQSSMSTIRTIADRLFARFGIPKVLVSDRGTNFLSKTTQLFLQSKNCEHRTSTPYHHSANGQVERANQTIEGLLRPHTADGCWDVYLQKVVHAYNTSIHATTRRTPFEIVHTFKPGSPVKNALNRKGGEVISEKCLDDPRKEEEKQPEKHAEVLEYEAYKREILKKAHERVKAILSEKTAERNRKFNQKPTINDVKFEIGKKILLRKGKSDKLSPPFIGPFEITGIREPNLIIKGFGRATRSGEAKESVVHKNRCKLFIEKS >CRE21517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:142807:145389:-1 gene:WBGene00069108 transcript:CRE21517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21517 MKIQVHHVFPPKPTLFYSLIQLTSITPHFGWAHRISNFNASIFSHPPDPSLGYGDKTIGSDLYKVLKKFLNNKTFSTCGAIVVILVKRYPNESNVSDIIYQLRSNHILVYITVDSIPSGGSNSATLFEMSSKTNGYCVFASDYDLIFAFQSMGAILYTPYQFVAQNFVVTGSGRIELPVFKTPIHEGWVQWTRVVITVQDHTLDNSFVSVNYTISSTDGVVAYKFPSDHDDQRHGTGQADLVASNGTLHYKWTIDYQYSTNTPQIIECRMYSYSYHDFLPLPDF >CRE21488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:147787:148837:1 gene:WBGene00069109 transcript:CRE21488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21488 MANVRFDTKQEEDIEYYTDSESLRVSLLAHHPDESLGYGDKTTGSNLYNVLKKFLANKKAAICGALVFIAVKRYPDESDVSNIISQLRANHVMVHIAVDSVPSGGSNSAPLYEISSLTNGYCAFATGNDLSGAFDLMISILSFPYQFLAQNFVVSGLGRIEIPTFEIPTPVNFIEPWELAITVQNHTLDNSFVSMNYTFESIDGSYVYEFPRNTTSPLYGTAQTNFLHLNGSLSYKWTIDYHYNTDKPQIIQIRMYCKDYHEFLPLPDF >CRE21518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:149014:153281:-1 gene:WBGene00069110 transcript:CRE21518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21518 MLLISLLLLVASIFADDPYNCTVTQTINPPANISTPVIYPATWNPSKDAPQYASGQSCSWIVNVPKGMYALLQIKAMTNESTTLQVNDSTGYVTIIQLADMEPFFLMDPFFRIDLQANKIGTFGMRIRWNIVQNASSTAWRTRQRSSPHTMFGGDFDNVTTIEADTRVNLLTLSPTRFSGDSSRLLRNTQVYDGNSIEAKHIGNLYQIHQNGARFVSTGKYLTLRSLLPGYYAVGNGVIVQDYEDVKPFHSYKAINCIMEAPCNVILDATNGTAAAIRYSDEVIYMRNIKMTETNKISVYTDFVTDAHKLTDYLSININTTIPQKLNGQYTTFVLDKDHAIVSLTSDGTNWTSGFGGRRGFMTSPNYAINSNNQNFEDKIYSTSSKSSKISFYIDKASIPYGVTTISILRDRNTVFNATYSLENLPEDSTISAVGDMLSVQYQLNPTVYSKGIYISFGFDENGSAGMVFSILLIAIVSIFI >CRE21490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:163702:165481:1 gene:WBGene00069111 transcript:CRE21490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21490 MSSSAKIYSVEAANAKNVAAHRAVPLSTRQILAASVTLSVFSFLYLVFAQRHAVLFYIMTGLIAVYILFVYASGIGAVLYMVAREYPEDEFDSKSSSSSREMEESKF >CRE21519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:166566:168462:-1 gene:WBGene00069112 transcript:CRE21519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21519 MAYFKEVTLELTVILVLCAAALLVIVFCLIWIFRCRKRRSSQPPILPTVSRAEEPSCTNDAFDCVEEHSARKIEERRRARQQALQYSPRADLSLRKIDPEIGKALAVEQLMRDSLVFDSLPRPPKGNVEIVAAKRDYL >CRE21520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:184642:186414:-1 gene:WBGene00069113 transcript:CRE21520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21520 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3N902] MLHIPLFFLFLQYPLIQAGGYLELRLKSAFPLNATVEITEGIYFPTNKRTYSMPLVPDETRILTNIPVRFRHPGTVLVNSGPVEKFGLEYETIRSERWNTIQMTIGPDEIHLPFTGFRIDIKCDRNWYGAYCDQFCNADVAKTINRRCTDSGTLGCPDHYYGPNCDKLINHNWRKCQCQNGGFCVSSFSRHPDPTFKVVKDQLICECPLGFEGLKCEKESYEYAEPITVEMFGRDHKWDNLQQFYNRSLVENELRDLDRF >CRE21493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:191604:192657:1 gene:WBGene00069114 transcript:CRE21493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21493 MRLLLLLFSFIFLSSSSASSLATGSGILEILIESGAPTDVGFIVTFDNDSHVTWRHLEPNIPELLIFNEFNQTHYYHTINVTIHDNVTSYSTITAALRGLYDIDYLPLPYTGLQIKFKCAENRYGWNCDQPCLDPRENWRCDKNGHHVCAEGYCGWNCHKSGSECQNECKCQNGGKCYSSPHPRDKLAICECPPGYYGDYCEKFSYCNRISKISTNFGQSTMVPNKFSNRTDIYQLFEQYEKTQRTSGYKELLTFFGPFDLLVKPAKVWIVILMVVMAGYCIYKFCVESEENEEKISSGDKKKTPDEEKKCTSIEMNDM >CRE21494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:193268:194422:1 gene:WBGene00069115 transcript:CRE21494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21494 MRLLLLLFSVICLSSSAASSLTTGPGILEILIESGVSKDVGFIVTCDKKRHVTWRHLKPNVPELLIFDELNHTSFVHDINVTIHDNVTSHSTITYTFQGLYDIDDLPLPYTGLQMKYKCVENRYGNKCEQYCSECRDNWRCDQFGHHSCAEGYCGWNCHKYGSECHHFGECKCQNGGKCYPYPFLSLPEHLGFCECTPGYHGSYCEEFEYFDRKLKFSTNFGQSTKVPNKFSNRTDIYQLFEKYEKKLRTSGNKEFLAIFKHFDIAAKLWILICMVAMVGYCIYKFCGGSKNEEKILETSGDKKKTSEEEKKCILIEMNDM >CRE21495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:194876:196122:1 gene:WBGene00069116 transcript:CRE21495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21495 MRLLLLYFSFLLLSSSAASSLTTGPGILEILIESGVSTDVGFVVNCDENNHVTWRHLKPNTPELLIFDEFNQTNPYYPINVAVHGNVTSHIMIMYPNQGLYDIDYLPLPYTGLQMKYKCAENRYGNKCEQHCSEYRDNWRCDQFGHHSCADGYCGWNCHKSVSECNNYCKCQNGGKCYPHPFLSPDYLIMCECPPGYHGDYCEEFKYFDRKLEFSTNFGLNTTVKNKFSNRTDIYQLFEKYEKEQRTSGNKEILSFFVPFKIPAKQWILIWLVVMSGYCIYKFCVESENEEKTPKTSKVEKKKTSEEEKKCILIEMNDM >CRE21496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:197101:198034:1 gene:WBGene00069117 transcript:CRE21496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21496 MRLLLLFFSFILLSSSSASSPTTGPGILEILIESGVSTDVEFVVNCNEKSQLTRRHLKPNTPELLIFDKLNQTRSLHEVNVTIYDNVTSHSVTVFTYQGLYDADNLPTPYTGLQIRYKCAENRYGGGCEQHCSDPRENWGCDVLGRQRCAVGYCGWNCDKSGSECQNDCKCQNGGKCYASSILPNMLLHCDCPPGYHGAYCEEFKYFDRKLEFSTNFGLNTTVKNKFSNRTDIYQLFEKYEKEQRTSANKEILTFFGPFEKPAEEVYSDWDE >CRE21521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:199664:203860:-1 gene:WBGene00069118 transcript:CRE21521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21521 MFRCLSARHIYFNRALPKLNKIIIASAPNHITGTVDPIEKLAKLAQRYHIPLHVDCTLGGFVLPFMEHADYSVPAYDFRLPGVTSISADLHRYGQCPGRLSVLMYREPVFLRHQFFTNSEWPGGCYATPTMSGGRDGGAVATAWAIMLRKGRDGYINACQRIVEGTRQLAYRLQGIDGISIKGSADLCVVAFTTSEVNVYNLVDFMVHKGWHVDPLLSPAAARIPITLSMCEEGVIEHFIEDLEVGICNLKSMENDKLGTTASFYHMLKKVNDKTLVDELSMIRLAAHYSIPPPAERRSLRTLSVEGRKLSMLGATGEMGKKLEELRRGYQKDKEARKEAAAAAAQAIKDEE >CRE21497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:204366:211577:1 gene:WBGene00069119 transcript:CRE21497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21497 MRLFVFLSVATATAIYATNYRRYYAPNPSTPAIHPTLLPILRLPLLLTLLNHPSVTFAPHLSPTTSAIHTSVLSEMKWCAGYWTVSSTKRREFALFLFPSIARTLFTIAAIRTASRLVLSRLLLVTVLDAILENQRDFTRRYSACCVKCTNFTMFAVFFPISFFYGTSTFFIPDSLFAANDALNNYLDHATIIAHIVNGIALQSGLINGSIPVNDVIGELLHLGSVDVVSIVNIKTDQVALLTQNVKKLPSELASDPDITKLESKAIEWDKQILEAKSVGDLQGLLKNQSYFQEAENLTNGFNFVVWTTFMYDVNSLEQHLADIEDIENPKIPPQTIIEKFQKLKGFEGIPKSFAALKNTMETLRSYKLLLTGPDVFQPLQKIINMMKTRKPTSRVSNENIEKIKGNIETILEVSRQFGASREDISKILELVKTRSNSISKTKKYAVGFPNGVSGVKQLEQDVRELWIGEILKMEVTKLNEFADGLKPLFNVNDRLIELDNQLKSLSSSESKVALSKFNDLQTEISVLTKESSEAANVLKEYPECVTKAAMTDPDSYVKASELLTHVKSLKDSLAAISSAVDQFDLEGLQKDTKDFVESVGFTDTTSEGKEFEELTKNVKASQSLQTLKNRVSDFKKPYDTITGQSITEKLQAIATGQNDLKIAAFTDNIAIEVGVSDCLKNHSTNSTLFIQAVQLIQKLRQLDTTAIGDVERVFSVISTISKELSTANTIPDTMKNDSNRMTDEINKLPDSVAKSEVIGQSVNSLRIAFVLRDLKAKIGELKTIDSTVQAEIQKILDQTIKKAIQQQWGDHKKEMAELNETLGEVESFEKKLNVSNLTTIGAYGAPLTALATLTSVTMNAKEKSKALGALLSDGSLQMNPTVKKTIEDGQKTLDQLADLDLGFASHSSQFQSAPGVFNALHDFLTKLLQIPISPTQPSAQVPVTQGGSVGASPIQLPLIIGITFGSLFAAGAMVAGGFFGYKKWDARNQLLKLVEWIMKHCFKNLANVKDVHCGYMQVMNANAELWRKKTTLAEDKKRFVGGREADIRLTTSYFRFPTQSCNPDTALLVPNGKDEVMVFANKIKTLGELQFIATHAPKDAAEFWKMTLSQNPEFIVSLCGDEEMKTLNCDYYPKKGEKPKKFGDFTVELTREEAAPNDVNKRNLTVTCGEKSLQLTHLQPVKWPANDVPDDHETAFELMKTVNKSKSPVIVHCSDGNDATMSFIGLQFIFEEVRSHPRLNFGHFMHEMCERTWHPIEKYTFSAWTVLGVRKHLYDEYKLGDEHKVDYDHDLKVLKDLKKEWIREDEERKKAEKDRLENEKKLQEEEDERVKKEEEEKAQLNKDLADLKRDNEHQEEYLKIIKKDNSELRKDKMIINRRDARERERRRIEDANLTRAEAERNNNRFEWVVKNYTVKVELHYKFHTGVLMRYLQSMKPDTEKAKECLPPRKHGFSKKYCNPDTAVLAMQDGVKIPIHANYVSSDAPNATKFIATQAPTKHDEDCDDTTADFWVMVLYHDSKYIINLCEEEEMKQLAQYYHPDPKQSVTCGGRYTIETVSVELVFNGEVKKRTLKVTDTEKEMSKTVYHFQFLKWVDQKIPNGHSAAIGVMEVVNKHKVRTEVWTLANSLFQFQRHVVVHCKAGVGRTMSFIGLQFVYEEIYNNPKLVTVMEPMRKMRELRWDAVQSVEQSFWIYLGVVLRLVRKLNLSAHYYDDQFKLMPAFSRKYEAKMKEGADEKKGKKDNLKSEEREKGGSDVEESVSYLSDWSSEEESDSGDETD >CRE23211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig678:7115:13984:1 gene:WBGene00069120 transcript:CRE23211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23211 MGISYDSSDRDLSFRIALEYFDDLRLLFNAKCSGLEAWQIVSYAISLCLFIAWFKRMIRSNEPPLVQIRKSVFSLLRSLPWVRRKLEADLAKAQVEIEEEVHQYDHMREFYKFLPERCMDSEEILADGRRYAMMGERRHVQHYDPQTRDEDMKLSAKLFDLFSHSDPHRSDAFPGVRKMEAEILKMTCAMFHGGKDACGVVAGGGTEALMLACLAYRNRSRARGEWRAEIVAPSTAHPALDKAAAFFDMTIKRIQVDGDDRANVGAMKRAIGPRTCMVRRNKGHKGKKWDFRAKIDEKFDSQDKNVVFLMQKVLFSALKH >CRE23210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig678:3550:6849:1 gene:WBGene00069121 transcript:CRE23210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-20 description:CRE-MDT-20 protein [Source:UniProtKB/TrEMBL;Acc:E3NMN5] MGVTWVFEAEQTAKSVERILESLGAELTGQYSIDVTPYNPPVPSTEYPPNIVMHHSKCPQSTFSICPKGDFKKSPKAVCDRGFDLIMSKLSAGLLIDNAGKIEINGNEFRLHSDWTARVGTAVQGTTVKGTIVEIEYDASLIVVQCRDMIVEFIKNTFNKYYDNQPEIFIITEKPEKYTPLDTMWQYLLIAAKLRKKT >CRE19458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:241760:246992:-1 gene:WBGene00069122 transcript:CRE19458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19458 LLFLPICFVSPIPFRACLFYLLGNHTARKLFFNPYFMYLLCEFETNWSKQFSLHCAEHWTDLPYRCETCPFSTTEIQDYLTHRLHHTEERFFKCGECAWKGRTRSQLFAHERMHSILDDRPLHCEECGRGFQQHASLDHHIATHNDVRSYICEDCGFATKSLDHLSLHRRQHTGDNFSCHIAGCDYSSTKKSQLAAHLRTHMAVRAHLCKVCGRGFIEKSHLVRHERIHLEEKPFKCDQCEYASSRRDKLKEHIIKHHNAEVLTVQKTQRRKYKRARMLAAANNLVSNPDVLFRPIPTEDAVSNWQANNDFSAYSPNPNDYVGHSGYPNQSPPRAYSVVHPSQHQQHVPSPGCMSMMNVPLRDNHHQMQRDSPIDSIGTADNTHGVLMTPNLVMRSPHNRSAMQSQYNMQSSSDPQQLRPFSLPTYGQMDMAQSQLQQQQMQHQQQQLHHQHQQHQQQMQQHMQNPGMQPNQNQDQNPNNQWDPWNVQ >CRE19435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:236401:236942:1 gene:WBGene00069123 transcript:CRE19435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19435 MQESKTAVVRVIGFANPLGQFQYSMHWGIDHINNYKTAISGYEDVHHVELHAARQAVKMAKKQKLTRIIIRTGSRFVWDLIKNSANFANAPPEVLHLVQAIHDNRKHILIKVELIVDHPENETIGGEMNKENI >CRE19455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:230375:231700:-1 gene:WBGene00069124 transcript:CRE19455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19455 MGTLTRIKFYINLISLVFSMITNSTLILLVITNSPPKLGNYRHLMCYFSMISILLAIFDFLVQAYIVSDASFIIVMDLENSVFENHPTIAHVVLGCVTALFEAAIFVISISFVFRYFALQRQGRLRYFAGKLLCLWMAAPFVLGGIGMTIMMQLGPDKLTTERLRRDMKEIYDLDIDKTAYVGSFYFSIDEYGNKCWNIKAVSITMIIYTMMGFPLFAIFYFGIKSYKIIRGLVSQGESEYSRRLQTQLYKALVAQVLWIYETVPKNDFQTLIPLFFLFIPIVVSLGAPFFGLTLELTGLIVGTLPTLYPVLDPTPIIFLVDDYRNAFLNFFRRIFSKNEVTSVTHYEPNMDSISP >CRE19453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:226723:228323:-1 gene:WBGene00069125 transcript:CRE19453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19453 MGAFLQIKFYINLIAMILAIVTNSTLILLVITKSPQRLGNYRHLICYFSIISMVLAVLDFLVQPYVMSKASFFIVMNLKDSPLQNYPTAALLLLSSVHGLFEVTIYAISINFIFRYFALQRAGRLRYFAGKFLIVWMVYPFVIAVIAMAVVYQMGPNERMTALLRQDLKKMYDLDIDKATYSGCFYYTIDEYGNKLFSMVIPLFIIFYFGTKSYRIIRGLISQGESEYSRRLQTQLYKALVAQNFRTLIPLICLFLPLGVYSLSPLDDFHIEWAGLIFESMYSLYPAMDPIPIIFLVDNFRNEFFNFFRRIFSKNEVASVTLYEPNMDSIPP >CRE19452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:202229:204360:-1 gene:WBGene00069129 transcript:CRE19452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-163 description:CRE-STR-163 protein [Source:UniProtKB/TrEMBL;Acc:E3NA22] MKQETAFDAMKSSVQTIAFIFSCFSNLILIFLICTKSPKRLGSYKYLMVYFCVFAICFSVLDIILQPYILSAGPGFIVITEIKNTFLGSFGETCLLSSLCGCFGCILATIAIHFIFRYFALERKGKLRYFQGQYLIGWLSIPGIVGAVWTIVTVYFCAPNDITMEYSRQLMKDHYQIDLNNVTYIGSIYFIKDGKGNSMPNEFALLGMAILFSIMGVSLSILAFFATKCYNRIKTLIYEGESSFTRNLQKQLYKALVAQASIPMLFIFMPVGLYLTLPLVGIQLEVSGEIVTFVYALYPALDPLPIIFIIDNYRYAIFDFLGCCHPTNRVNAEDEPTSVSRHISNCN >CRE19451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:195399:197999:-1 gene:WBGene00069130 transcript:CRE19451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-164 description:CRE-STR-164 protein [Source:UniProtKB/TrEMBL;Acc:E3NA21] MPTYQELKNIVQWTSLVFSLFLNSLLVYLIFTKSPKKMGNYRWLMIYFSCFAMFFSIVDAVTGPFVHSYEKSFCVLADRTNWKFGEELQYLLICVLCGCFGVTITFFAIHFVFRYFALERQGRLSYFHGCYFIVWLTIPIIFGTLWGMTIAFLVGPDEEKTEYLRESIMSNYNLSMENITYVGSVYFRKNAKGEEEAVVNSMIAMLIFTTMMGTSFAVVCYYGYLSYKRITSLIEEGESSYTRNLQRQLYKALVVQAIIPIVLMYLPVGNYLILPFFGVNISPFSKLVTFLYAAYPAVDPLPLMFIIDNYRNAIADFFYCCSSNKNRVTASEDEISRGQTTI >CRE19432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:183633:195115:1 gene:WBGene00069131 transcript:CRE19432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19432 MAANNNVIPTLQDMAFRIALSEKRPKNLTEKEMLTYDYFGFPVATKIYNMRTNRGVDKSLTRLCRIELVAHLELSLDPILYSKIKVRLTDAATLCMWKITNKWLKIMIADKSRGYSLTHMSLSGTRNYPTSCTTIIAGNYRNLKCLKLVGITMRTKDIDAICGSFPNLIQLEISGTKVPKITKMASMTSLKFLIMRDIIECPRETWINLGSAPQLEYLDISQQTSNCLDVTQDFLSSRAVLRRLKTLDCSKTRVTKECLTQLKRRHEALKTVIVLEVEAMKSVDINGLFLVNTATLRQSVEAMKYCTRLRRSSDLTIVLREIIEWNLVDYPNEILSDRADDYLYWIHRIIDAFSGENDIIQQCVFFWYELCRLNIHTYKSGFFMITDIKDRKITPETAEVLISILCSCFGISIYGIAIHFIYRYFALERQGKLKYFNGKYLILWFLVPLTAGFVWFSITILLLPADQEKNEYLRETVKQFFDFDMEETMYTGAVFHLPDPSTGSYRINWNSFYGFFGYFVVMTIPFNVIMVAGYKSWKQVKDVRAYGESEYSRSIQIQLYKALIAQTAIPVFLLFLPFGFLFVSPIFELNCQFLSAPCTFLYAIYPAIDPLPIFFFVEDYRRGVTGV >CRE19431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:180338:182858:1 gene:WBGene00069132 transcript:CRE19431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19431 MAANNNVRDIPTLQDIAGRIALREKRLNPRPKTLTEKEMLTYDYFGFQVATKIYNIWKHSVKKSLTRLCRIELVAHLELSPSPTSPEDIKLCLSDPADLYQARGYSLSHLYLSGTGICSTICTRKIGAAFRNLKCLKFVGITTSPRDIDKLCGRLPRLIQLNISETKVPKITNMGSMTSLKFLIMRDIISCPRETWINLGSAPQLEYLDISQQTSRRSLPDITQDFLSSGAVLKKLKTLDCSKTKVTEECLTQLKRRHDALETVIVLDVEAVKSADIDGLFLVNTATLKQSLYAMKYCTLLRRKSDLKYVIRDILELHMKDNSLQSLSDRVDEYLSWIHRIMDEFSDENDMMQISILLWNQLCNAACGEVNVLDRAPFETDMNRFGDHMLLAMDMFKSSTPENIHVLLWDTMKFGSHSDAFRPMDPDIFCYISAIYITAVWERCENSEYSSLSCLNNVRRPIEVMLACTKKAKEEFDPSKEGDKTTPLAEHYLDLFFKELFGFFDMHSGIVRDDGKCEGYTMICTIFIRLMRRSEKLRENLHGIGAIEQLLNHLRVMRTEEVLEKMTTQKQNIPKVQRKVTKMIREICILPDITDLGATIFDTRLLINIMNDENTDVNNEFYVASICSTYAFCLELKGVESAEEDMIEYLNARALGLSKESEFSCCNVNVDAYLKNTIIQEILQRSKITGVVYWAMEVIAILINQGHNPSLSYKRFLPPLLPFVRNYETDDEVLLKMKANVLEWAD >CRE19430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:175060:178225:1 gene:WBGene00069133 transcript:CRE19430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19430 MSAPLLTDIAVQALAEYVCNDDYNKISQTNKIIHPDLSNEIFSRALLSRKQSIEMILRRFSKNFFLTNVDLSDCQCDDSVVSMLRNLSLVSLTIGQLEYFRNHYHYGIYIDMARILKMALNPKSSSKLRCLDISGDEKLYRGWVWKTFPLIPSLESLTIAGRSITGEDFNNLCRFFSNLRLLDVSNTAFPSIRPIAQLKNLKILILRDIEFKSHHQWKSIAQLTMLQILDVSKTGYSKRGLMMLKYLHSRIVLPQLVHMDCTGTDLDLGTLQQIMKTHKNLKSVATVGTLLSRSIVDNIDLLNVATPKSTEEALRHYIKLKRKESILMILEDVNEIWEYENDDMRRTSAFIRLILDIKRMFPWDCQVDHATNFSLSVLATEGRLEMLPQHEIFEMIDQLLVSLDYHFNESAWILLEQPHILEICRARADEVCTMALDCIMNVAIPPFEYTLLPIFVIFGTLVRQMSLEKLDKIGRNWDIMNELWQLLLCWIDLRSNLMVEWCLQVLIGITERSESARNILVELGAFEELFEALRREDRVSKMPYLLITQLLRYLSVASEVCIFVRRVERWDLTEFAALLVCNNEEFDEDTQLYYNAEVSYNVAATLSTLLVQVKYTHKVFKQHDNPANRLCRYVRTIPINRVNQSLDIYLSSKHLEFFLRHPRNSGQVIWSLRTIRRMKQLDMDVSKYLQDVRGYRSSDPDLVRERTRTLEMIEE >CRE19429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:166493:167698:1 gene:WBGene00069134 transcript:CRE19429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19429 MENSKPFPLFRLPRLAIEEVISTMAPFEIINFSMTSLKIKYFIKCYLRTSRHSQYVLRVSTSKEPTVIIRGSEVYFQLITTTDEAKHGKREFDDSMGTEKFDELWMYSENVLDGWMEVVETVIEIFKFIGHFVVFKIDEFPTRNKAIVDFIKSQTPSIDGCDIYGKTETDEDVEYFLNNINVTNCLGILLKLSNHFKFPQVNFLDTCTLDPANWVTFDQLLLLDGARFFIQGSPLTNQKLNQFLILWMASQCHQNLCFLLINIDDPESLDTILDLPHEIMDPNLERIVTLPHNNTALLRGGIDIKRNDGMTATLYFNWRGDEMLLTMVVSRIE >CRE19427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:160291:162811:1 gene:WBGene00069135 transcript:CRE19427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19427 MAANNNVRDIPTLQDIAGRIALREKRLNPNPKTLTEKEMLTYDYFGFQVATKIYNIWKHSVKKSLTRLCRIELVAHLELSPSPTSPEDIKLCLSDPADLYQARGYSLSHLYLSGTGICSTICTRKIGAAFRNLKCLKFVGITTSPRDIDKLCGRLPRLIQLNISETKVPKITNMGSMTSLKFLIMRDIISCPRETWINLGSAPQLEYLDISQQTSRRSLPDITQDFLSSGAVLKKLKTLDCSKTKVTEECLTQLKRRHDALKTVIVLDVEAVKSADIDGLFLVNTATLKQSLYAMKYCTLLRRKSDLKYVIRDILELHMKDNSLQSLSDRVDKYLHWIHRIMDEFSDENDMMQLSILLWNQLCNAACGEVNVLDRAPFETDMNRFGDHMLLAMDMFKSSTPENIHVLLWDTMKFGSHSDAFRPMDPDIFCYISAIYITAVWERCENSEYSSLSCLNNVRRPIEVMLACTKKAKEEFDPSKEGDKTTPLAEDYLDLFFKELFWFFDMHSGIVRDDGKCEGYTMICTIFIRLMRRSEKLREKLHGIGAIEQLLNHLRVMRTEEVLEKMTTQKQNIPKVQRKVTKMIREICILPDITDLGATIFDTRLLINIMNDENTDVNNEFYVASICSTYAFCLELKGVESAEEDMIEYLNARALGLSKESEFSCCNVNVDAYLKNSIVQEILQRSKITGVVYWAMEVIAILINQGHNPSLSYKRSLPPLLPFVRNYETDDEVLLKMKANVLEWAD >CRE19426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:155113:158166:1 gene:WBGene00069136 transcript:CRE19426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19426 MSAPLLTDIAIQALAEYVCNDDYNKINQTNKIIHPDLSNEIFSRALLSRKQSIEMILRRFSKNFFLTKVDLSDCQCDESVLSMLRNLSLVSLTIGQLEYFRNRYHYGIYIDMARILQMALNPKSSSKLRCLDISGEEKLYRGWVWKTFPMIPSLESLTIAGRSITGEDFNNLCRFFSNLRLLDVSNTVFPSIRPITQLKNLKILILRDIEFKSHHQWKSIAQLTMLQVLDVSKTGYSKKGLMMLKYLHSQIVLPQLVHMDCTGTDIDLGTLQQIMKTHKNLKSVATVGTLLSRSIVDNIDLLNVATPKSTEEALRHYIKLKRKESILMILEDVNEIWEYENDDMRRTSAFIRLILDIKRMFPWDCQVDHATNFSLSVLATEGRLEMLPQHEIFEMIDQLLVSLDYHFNESAWILLEQPHILEICRARADEVCTMALECIMNVAIPPFEYTLLPIFVIFGTLVRQMSLEKLDKIGRNWDIMNELWQLLLCWIDLRSNLMVEWCLQVLIGITERSESARNILVELGAFEELFVALQRQDRVSKMPYLLITQLLRYLSVASEVCIFVRRVERWDLTEFAALLVCNNEEFDEETQLYYNAEVSYNVAATLSTLLVQVKYTHKVFKQHDNPANRLCRYVRTIPINRVNQSLDIYLASKHLEFFLRHPRNSGQVIWSLRTIRRMKQLDMDVSKYLQDVRGYRSSDPDLVRERTRTLEMIEE >CRE19447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:152279:153783:-1 gene:WBGene00069137 transcript:CRE19447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19447 MNATQLLNISDFSETVENLQDLLLDVFYLFLLIVLVVLVILFPFYVYVNKVNRRRDEMMPVFPITNHFYEMMKINYVLFLGLVVSYYLFNFFADMNWSLTSLLFLLFEVLIFLMLHVYTQVFHLLTSVLAIQKFFIYFFPSTESCILMATQRPYSIYLIYLIFGGKETVEFICFVFYVFTGNLSVLRFQSIYFILYLSLNTFLIISSLLYIPILINIRKFSHLPMAQINQPQKYIFWQTMIILIFKTVTIPCALILQFYIPHQFYILSVLIVSDIIITPLMIQGSYLCCNRRNIIAMRNVFEISRFLKTIFNVKSNSTAKRQAAWCLRNLEVESEIVAISHAKCLITAASNISLE >CRE19425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:150381:151553:1 gene:WBGene00069138 transcript:CRE19425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19425 MNNSTKPITEIIMENIGPTVLLSFAYLFFILMVVSLMIFPFYVYVFNINRKRDEKAIIFPITNHFCEMVKISYFLLFFFFLSIYISYLMTVNNSLLFIFFYILVVLSYLVLLVITQVFHLMISVLAIQKFFLYFIPSSEGPITVIQKLLNKTIWIIYLAFGVKEIISFLWYISTGSVSYEYDSDDDIAMVLYEICLFADIVMFLSGTPISTIMIITMTTDIIITPLIIQISYLGCNKRNMDVLIASFGIKKLIRKVFKIGSSSIVEPEQTTQS >CRE19423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:141102:145254:1 gene:WBGene00069139 transcript:CRE19423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19423 MEFDQILVILMLRNFLSTKHFNNESVNLLLFWGYPSDTSETKYCDVQSESGNFESCMDLCLKSNTCMLAFGTDNSCTLCDTYSISVITQTNASNGIKTAIKIDQQQQCPSMQTDFYTRSNTPDKYTLSFSNSTWKVSYGKKCVTDSWKIFLRPAGPTCIKVEKALKFINYADAMNFCRAQGNLIAPAGLQSEHERHFILETAKSIGGAYPTLEYTSVWLTGTMKIACYQQPTPSNCTDIKAFQAFAWQNNFDSYKFAPGYPTFVRPSSKCLQMMIAQKSEDWDGMITNTVLADIIQLLTVPSMWFLAFALHIITQVFQLLISLVAIRKFIIYFYPSSIPRVVDIQKTLTKYIWTLYVSIMMKELICLYRSIISTVTICGEEETITLYSVSQSLLHSHKFLMKVSYFMFNLFYLISSSLYIPVVISLKKTKSLKYSKKSKSQRYIVLQTVAVLFFKTLSTLIISFSYYLGTFGFFSIIAIDIVSTPFIVQISYLCMNKNSVKRIFTEFKFANFMRILMDIEVKSTVEPEIPKPEIETTRV >CRE19446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:137633:140250:-1 gene:WBGene00069140 transcript:CRE19446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-162 description:CRE-STR-162 protein [Source:UniProtKB/TrEMBL;Acc:E3NA06] MNLAVKNIIQNICFSFALITNCTLIFLIIKRSPPKLGTYKYLMIFFSTVSTGYSFMEYFLNPLIFSYKDCFQVIAKVQFANNPLIDRYLLYYGCGFCGVIMSMFVVHFVFRFFALERKGKLKYFEGWFFACWLAVPIFTGFIWAQTVLAFLHEDPESSEYMREILLQNYNLTIPEIMYVGVLYHKKTADGKDILNYKGIQGVCILGTIMTVCFVFIIYYGSLTYKRIKHLILEGRSEYTRKLQKQLYQALVLQTIIPIFFMIVPLSIYFFSPLFHLGFQIIGDVTTFTTALYPIIDPLPVIFVIDNYRNAVFEFFGCLKAAREVNINVTSSSVVN >CRE19445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:126615:128607:-1 gene:WBGene00069141 transcript:CRE19445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pes-1 description:CRE-PES-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NA05] MKGFTITDLCPEMFHHSVSPDVSSIDSEASTPMSSESMELKSNAVSPAPSSPESKETNPLERPPYSYNSLIAMAIQSSPFKRLRLSEIYSYISNNFPYYQMNKSQWQNSVRHNLSLHKEFQKVRTIDGKGSYWEMTAALGTEMYIGKECGKLRRQSAKSKKVKDNVSQLFDPSPFLGFTDPSTIPQLPNIPLYSQNPMMYNLTQAFLQNPHLIPLILQNFPLQNPPMFSGTFPTLSFPFPSVQSP >CRE19444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:110149:114302:-1 gene:WBGene00069142 transcript:CRE19444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acc-1 description:CRE-ACC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NA04] MSHPGWVMVSFLTELLSQSSKGIAQSLDNCANDTEIINALLKDSYNKHYIPSHPTHVRVDMWVQEVTSVSELTQDFEIDLYINEFWEDPALVYEDMNPCKRNISFDDKVLQRLWIPNTCFINSKNAAIHESPFRNVFLMVFSNGTLWTNYRMKLTGPCDMKLKRFPFDKQKCYLTFESFNYNTGEVRMSWNQPYPVILLKRIDLPDFKLVNFSVIAVEQMYPAGWWDELTVAFVFQRRYGWYVLQGYIPTMVTIVISWISFYLGPRAIPARTMLGVNSLLAMTFQFGNIIRNLPRVSYVKAIDVWMLSGMLFIFLSLLELAVVGFMSRNEGLPPKIKKRKRQDDDDEGFSWKSMQTSPHLELRQFWVDKRVNSLRNDSGVPPVEDYAPMELEQPYKNITKRREKRKWMSGLRRKWRAMKELRPETVDFYSAIFFPTAYMLFNISYWSFYLTSLSEYFDEDVNIEQP >CRE19443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:106711:108434:-1 gene:WBGene00069143 transcript:CRE19443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19443 MDFGANWAYKEIDVKVSGTLQEAADILRKMKEEKADDKEKSLISRNFSGISITSAESHKTISISSIHRSSSKEPKPTIRTPRDARKNRKKLFPKAVIVNSKKESLLSASYAESSNESSVDPITPIPDSENLFPEAIYIPANKGKTKIDVAVGRSTSRESSESIRSIQRKHVQQMGQEPLEDIQHLKRRGLKKSKPDGLFPSATYIAGGGNPKMTMSPLTSEIQSTVPSARSTNTDNSLLYEQMEFISTNGRFKMCIQPLEKKKKSDEFPIVPKQLQSSTRLKIESQKIKFSAQISLGTDNFIDLSGIFNGSSMKKNDVTNLVINGQSYSTY >CRE19442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:101307:103134:-1 gene:WBGene00069144 transcript:CRE19442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19442 MDFGANWAYKEIDVKVSGTLQEAADILRKMKEEKANDKEKSLISRNFSGISITSTESHKTISISSIHRSSSKEPKPTIRTPRDAGKNRKILFPKAVIVNSKKEASLVSASYADSSNESSVDPITPIPDSENLFPEAIYIPANKGKTKIDVAVGRSRESGDSIRSIQRKHVQQMGQEPLEDIQHLKRRGLKKSKPDGLFPSATYIAGGGKPKMTMSPLTSEIQSSVARTTNTDNSLLYEQMEFISTNGRFKMCIQPLEKKKKKSDEFPIVPKQLQSSTRLKIESQKIKFSAQISLGTDHFIDLSGIFNGSSMKKNDVTNLVINGQSYSTY >CRE19421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:86496:100961:1 gene:WBGene00069147 transcript:CRE19421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19421 MAGKENYPLRSTETMSMITSNELKLCTQLMMKIAEMLLKFDANHMRPSFDPLPILKEISVTLERATESFIRNDPDPLDDRHPHRTHPDSALGNILKIIFKNDDFMTKLVVSYILARDNVELSIQGCRLLLACVPGLDSKVVFSEPDDFVPRLYHWAGPTAQNETLQGYAMGLLAAALENTENASKYRNENARMVPFALNRLRQLQARAQEEERKKLGQIDFSMLKAEDIGKDIPSTSNGPVPAIEVTPAVEEPPVMTSNPPPPKKRRTEPCLQSFYRVDTTQRVPSFHNLKNLDDSNSKWDILQPFLIGTQQVYPLSLATYQRFILQYLAACGEYQDLLLQVFEGNALDLLLDYIDLEKTKDVRLTFDALKYLTSLLVHRKFALEFIEKDGIRALLRLPKTSLASVGVVTCFYYIAYNNDVMEILCQMDDAIVDETIQYVLWCLEHSHESGMASACMFFSQGLLYKAILRRFDQFDGPRKLYNYVSFRREFMIHKTQFQISTLTIMQCNDEVELTEEQVHTSTQAVRGTCTTFKTYLLAHIYQKMENYKRQFAHTLPTGMRIPELFNAGERSDFRSMKIYDEVSAECESIYTEMLRLNGGQFREAENLKRLGMVKMFLAVRVLSRDWLNVSSGLRNDMCVCAMESLRMMLCQPSIQTELITQHNYAHYKYDGFTVLIQTTLGRTDEESPLRMAALGCIQRCVNVETECWKTIIQKMKSSEERHSTSKRPSKYEEIMKHLELMWTEVRKSDGIMALIALMNTKTPLTEADSIRRLACNTLTGLARHPEVRQILTRLPLFAQSGLQSSFLIIKILNYFQLGDDSEDELDDGDDDNDSMDSFDFENALNRIIRRQALLRRQRANSSENVDNADIDDDEDGTDGDDEEEDDEEDDGDEEADPDFDMGAAIDDLVNEVDEEVDEDELGSDDDSGSWRTASRVDSGSEDINLDELDEEQAREAENDGDEEAVAEGEDAGEAPPAAAEEEEERVNLAGFRAEAAAAARRAILGRGLRNMRLGMSGHRRHPHMPPQADILNARAEEQRLQFMEAIAREGEVADEANGENGENDSDNDYQSEEEEINSVSTTALNPALRRRRRREQNGNESD >CRE19441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:85652:86064:-1 gene:WBGene00069148 transcript:CRE19441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dph-3 description:CRE-DPH-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N9Z9] MSVFHDEVEIEDFEFDEEKDVYHYPCPCGDRFEIAREMLEMGEDVAQCPSCSLLIRVIYDPEDFVKLETITSKPIAETV >CRE19420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:83846:85596:1 gene:WBGene00069149 transcript:CRE19420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19420 MDFGANWAYKEIDVKVSGTLQEAADILRKMKEEKADDKEKSLISRNFSGISITSAESHKTISISSIHRSSSKEPKPTIRTPRDAGKNRKILFPKAVIVNSKKESSLVSASYAESSNESSVDPITPIPDSENLFPEAIYIPANKAKTKIDVAVGRSTSRESCDSIRSIQRKHVQQMGQEPLEDIQHLKRRGLKKSKPDGLFPSATYIAGGGNPKMTMSPLTSEIQSSVARTTNTDNSLLYEQMEFISTNGRFKMCIQPLEKKKKSDEFPIVPKQLQSSTRLKIESQKIKFSAQLSLGTDHFIDLSGIFNGSSMKKNDVTNLVINGQSYSTY >CRE19419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:78442:82603:1 gene:WBGene00069150 transcript:CRE19419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-9 description:CRE-TWK-9 protein [Source:UniProtKB/TrEMBL;Acc:E3N9Z7] MLVIATTLFVHVALIGVVADYTLFGALSMHWLESPTRVRALLKRELRSSQDLPPPPPSISGLPDRITRVYLGEELAILDPGVHACLERTLLHLFYETKCDPYSFEHLNIENIDRCYAEANVPVPAEFGGQPRRKTKKKEEKIEEVVEDEAPQEKWSIGNSVIFAFTVITTIGYGHVAPETFEGRLFLICYGVIGVPFTLLTIADLGMFLTKFLKYLLTMARRFAYFLVKLYQTAKKRRCKVQKTSPIPAESERSEVWSTEKEMKEMSMRTAREPGEEGDDEKGEGNGEEEEEEEEKNEEPRKAEESIALGITFTCYLVAGAKILSVYEPEMDFFKALYFNFVTLTTIGLGDFVPKSFDYLFITLIYIGIGLALTTMAIEIAADLLKKLHYVGRKMENVGQAVVWFGGKKMTMKALVKHLGDQFNIPEEELANLDMTAFVDNAIKVEKGEIATLRKPPTPPPVVFRERAFSYSNLRKSSESALKYVDDNRFSKMTEPTVSTVIIHETTRTIDTLHNLADAIRRDPSIPRLDLDVHYLTDMSAPTSFDEHYLRTYTNARRK >CRE19418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:75921:77637:1 gene:WBGene00069151 transcript:CRE19418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19418 MDFGANWAYKEIDVKVSGTLQEAADILRKMKEEKADDKEKSLISRNFSGISITSTESHKTISISSIHRSLSKEPKPTIRTPRDAGKNRKILFPKAVIVNSKKESLVSASYAESSNESSVDPITPIPDSENLFPEAIYIPANKAKTKIDMSPLTSEIQSSVARTTNTDNSLLYEQMEFISTNGRFKMCIQPLEKKKKSDEFPIVPKQLQSSTRLKIESQKIKFSAQISLGTDHFIDLSGIFNGSSMKKNDVTNLVINGQSYSTY >CRE19417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:68975:74707:1 gene:WBGene00069152 transcript:CRE19417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19417 MKCSLPEIPEKWQWATTLFVHVALIGGVAVYTLFGALSMQWLESPARVRALLKRDLRSSQDLPTPPPSISGLPDRITRVYLGEELAIMDPGVHACLERTLLHLFYETKCDPYSFEHLNIENIDRCYAEANVPVPAEFGGQPRRKTKKKEEKKEEVVEDEAPQEKWSIGNSVIFAFTVITTIGYGHVAPETFEGRLFLICYGVIGVPFTLLTIADLGMFLTKFLKYLLTMARRFAYFLVKLYQTAKKRRCKVQKTSPIPAESERSEVWSTEKEMKEMSMRTAREPGEEGDDEKGEGNGEEEEEEEEKNEEPRKAEESIALGITFTCYLVAGAKILSVYEPEMDFFKALYFNFVTLTTIGLGDFVPKSFDYLFITLIYIGIGLALTTMAIEIAADLLKKLHYVGRKMENVGQAVVWFGGKKMTMKALVKHLGDQFNIPEEELANLDMTAFVDNAIKVEKGEIATLRKPPTPPPVVFRERAFSYSNLRKSSESALKYVDDNRFSKMTEPTVSTVIIHETTRTIDTLHNLADAIRRDPSIPRLDLDVHYLTDMSAPTSFDEHYLRTYTNARRK >CRE19416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:57238:63367:1 gene:WBGene00069153 transcript:CRE19416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19416 MERGANEVNAEPRPPRRREGRPGQPRAIDGMAMDLEEDIERMEAEMEAGAARLELRRNQIQMWARDMDRIRRLFQAEMLRLPRHVPQLVENVVAEEPDEVNNDEVEEARQPEPDLDRRVFDQPEERERSPSPIREAVMPPVPVRPPVPVRPPVPPEVAHRINLILQQAQAEMEEERNRRRRVPIQEVHNAGVNVNEENPEPRLPERREEPPRAIGGMAPRRPFPPLPEDLDMMEAEVDADEAVQAEILRPPRQEPEIVENVVAEAEEPDEMNHDEVQEERQRTPPPLVIPRNDDEEGERRRARMRRRREPPPEVVDRINLILQQAEEELRLEDERILENPEPRSPERREDPPRAIGGMAPPRRPLPLLPEDLGRMEAGVDAAEDPLALRRNQIRMGRDRPPVQEELLRPPRQEPQEVEPDEHERSPSPIREIVMPPAAVGGPPPDLPPEVLERVNLLLQQAEEELQLHELAQNRIPRVPIQGIHNAMVEEEPQVRENQLVPEPDLDRRVFDEPERERNPSPIREMPPRPEWPPEVLERVNLLLQQAEVELRLGEERILQNPEPRPPARPVELPRLDYVRNLLRQQAEADIQERLRNRRRRIPIQEIHNARGDVNEENPEPRLPERREEPPRAIGGMAPRRPLQPEDLVWIEAELEADVDPLELRRDQIQMLARDQPLFQAQMFQPPVQEPPVVENVVAEAEEPVEMNHDEVDEERQRNIMPGNEVEADEIIEGLMRRMEEEEREEHRGGARENQLVPDPDDEEGWEEDFPIPPAELRPIPPPELPENDRINLLLQQAQEQMIRALDDREERIQQEVQQFARNRGPRVPIQEIHNAMVNARQRERERELEEQRLLQLEDVNPDEGVEDLIDVVQFLRENADAEREPHRNRYIVVGNHQQPVLFEADRLRTRQRKLPESEELHLPYSVDSAWYRWSEYDVMDWASKFIRSNGNTQLLKNLEMDGLKLKSFLSKRNQWARVGMPYGMYIQLKGHFNRVLNYLSTDKSGRQLKFQKMDNLDDLADHLFLEEVDEDAFLIRPLNQPPLPQELADQDPAHAEQAAEQAAEQLRLDFEEHVRVRFPGVDNPDNRDEIIQRLVEGLQMRFMHDIAMNEALQNRDPPPQRNDNQERNPVQQRVPPLPARLHARFFGRPQEPVEREAIRLQEEAPRPAPVPMEQEQPEQPEQQDEPMGVQVHQGVNVVPQGPARYPLRVRQIIHLQVLPYDNSRYSEVVLLRSGMIEMYFSRWKRTGELKPIVEAIRLPVSEKLILPDGCTTNVGLWTSENINQWMTNFIEHPVDQEIIRRHRLTGLNCFHFLRPYARIDRYIMRTPLREERIRVFPPPAPEDPATPPIPEYAVRLLKSYFNKAYNLYNGHRR >CRE19415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:54525:56927:1 gene:WBGene00069154 transcript:CRE19415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19415 MDSNDAIDLPAPPPTRESPCGIARRDRERPPEDPADDVDGAKRPPLARASQRREHTPERPFVIPGRPLAKRQGVPPMIAPVRRIVITTNLLVKMAKKPQGKRPKAPSPPVVVGRRRSSRVVGNAEVPAPRVARQPYRGRARVEPPAPKPAERRPPGRPRRVATPEAAPPVEAAPAEESRPNRLGRPRRGAEPAPVAAPVELEERRRSGRGRRAAGPVPQPVAAQVAEPVEDPPREEVAVQAALPVQVAPAAENRGRRRQRVVEEPVEHVELPGVAGVNQPPRDDELMIIDIVNPPEVIEIDGPPGVPRRDAVVQQRRNQERAWSESSDSDIEVIAHINRRRPRMVIELDHEEVDVPVAPMVVELEPINV >CRE18313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig963:11437:12121:1 gene:WBGene00069155 transcript:CRE18313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18313 MIPFGGYQQAELEQPPIDIDVLPPVRQIDRRFWHDDDEDDDDLQIVEEPEVQIIPPAPNNEVADPDIQIIAIKEKEVIKLIIPKGATNNWSLWTAPQVHDWAVSVLKLHEDYYGSKDRKADLRLLHDVVGEQLHNIVQNRNWRGSQYAFDTIVKHLQKVIDAFNAQNR >CRE20523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:136076:137150:-1 gene:WBGene00069156 transcript:CRE20523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20523 MPALPILKLPIIVLMKILRTIDIDTIFPISLCSRKMYYLVKNFRDKTIPLKLRIDGEDSRVEVVTPEYYCHQVKVLEGKSRNIERVNINSHLVPIYRSRKHLGWKTYWNDRVKGLQSIMEYILDLFGIKNVTTIIVTPDTMRLLDVLKERQGNDYELVSCTHLSMKQSHFILENHPAKILRICGLSSNFPIGKYLQTVHSLSVGSTLSITLDDLLNMNCVELVLVSNHFTGAEIKRLLQHWAIGGLRRLKYLSLWVSDLNMEDVLGELTHTRMTEKREYQCKIGRSVSFSDRLITRNDGVVASFKYDQQYRRVEFGVWPDSEGNQY >CRE19413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:31561:38108:1 gene:WBGene00069157 transcript:CRE19413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-otub-4 MSSRFVWRAAVSSSKRCSATEPVCSERSPNEICGDQEMHNHIRALCVAYISKHRDFFKGFITEDYENYIRRKRENHVHGNHVELQAISEIFARPVEVYKYSDEPVSVHLPRPEPGVSGSSGGNSGEAGTSSSSSSAPPLQQQIRQNPPLQLSYDGYHYNAKLKIDKKYIFEPLPEFLSYLSNDGPGLKSFGPGPARQARLFKVLAPHIATIGVGLGLPGMIPGAADKDLMTKAIATSELEHLEETMLQDKIDMTDYQRTQANIEDQTARESLMSYLKDLERDSGALDDGPSTSSAVATSSGAAAAGGASGLYEELLSAQSLVSVCELDDTALNLGFLLSLQQSLMDGTAKN >CRE19409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:8427:10817:1 gene:WBGene00069158 transcript:CRE19409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19409 MKRNRVLARDLFGSLGQNGKKRKEEERQMEELSTIDECNERIDDIELNEMNTCVVNIPENGSVEENTRESLWKAMSLNDLRRMLFLLNTTLSKRAINSYFELDSHTNESAMKQLLMDSDHIYEVEVCALCSEDVERCECVDQSKAKIAFADYNVLLKSLLDVYGEDIVETQRKILNSSKTTSPMNFGYLKRKFVESRSKNALTVHFQSGFDGIRLHNKSRYKVWPFTMMPLDMEDRERCSIRSVILTSLYIGKKEPSYKVHDRIVSWLLNEMSRDVEWRGLKVSSKLISAIHDDQARRKIYNLKSHASKGSCPFCLNQGTLRKVNNVTTISRAVMKEAVPDGLEDGLVERGNYSQFHAVIPMYTSPLDLFHIFNEGVFERTLNELFGVRRLSIFDGCETRSISPVPLPSKYRQISGRIKDITGSEKACIFESVVIGRAFSGSLPPIVSWIIVGLHVLYRLNIDPLSPFKINFVDNVSKCAESLQEIIEKYAPEMINGSKVHQLIYHSTESVKLYGPLLPLSSQSHEHSYHHLQRVLCPEITNGVTKSILRTTQNKLELYAEVYRRAEETEDFLTSCFDAAKLGEKMGISMTKRRTKLINVPIEFAYLASEGDLFSDTFYVNGFRYSSKVANSSDDSNVMYRTGNKIRYGKVIGFLCKEGLEDVRLLISPFLISNSHMKSLAERSVTSGISTQLCQKLLDTFCDASYGAVVTGLADTIVVHQSYIVGHAVYFSENAINIILPFSQRPMLS >CRE19437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:3654:7574:-1 gene:WBGene00069159 transcript:CRE19437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19437 MDYLISCPKCSFTTKTVYNACRHLKVAHKMNDDDVNEFKKRVMVDKALQTHGSGAFVCGQCSHIVATKDGLETHIRRKHPKPLINPADITLSSSPPSQAPPPKNWLRTREEDTCSSWTIRNSRDNGGLVSSYRSCKHEGFYNSGAKELLVGPSKKLTGSKLCPAFLKKKEYKDTGKIDVVAYFEHYGHEKFPGHRAFNGEKIDYLLGMIREGFSNAQIIEKCDKKYGQNTKLGFLVPDDLRVERGWQEDGIFLYEAPDENGAGFRLVVMTPAQKELCEKYGSRGICIDDTHNATKYALKLTTMLILNGQDRGIPVGFLISSTVTHDDVAKLFQCIRKEIPEFHPQYMMSDEANAFWNGYVEVFPNNSTQRIWCRWHVLKAIGTKADEILQKEIASTVKATMAEIIREPEVITFKAKMTTFLDFLENEKSGKGHVFADYLRRIYLEKSELCANCYRRGAPFQTSMFSESWHSALKKERLNFKTNIRVDELLQVLLDSFFWVIRKISKQVGRGLKKASARRARNLRNCQIAEAQSANYILVTGRAGPAKKIFRPGPAPGPARPGPARGPARDLTSMANYDIHRNEDGQYEVIKRSKSDAYKVTDLVGCSSFQNENCHCDCGACSYRFTCSCLVQLSGIACKHVHLVIKFTKSFVADDVQFEDEDPDFEPFRNISTPHYQSFNIPDFPSAVERESEKLYNTFNEVIENLSQKMRKMKRNPENLDEMRKVLKLVEEANSGIPGSSADSSLPLRRDNQAPRKTARQTMHHSKLPIRKKASRQDRPQKRNLALKPKKDPSICSVCWKTDPVLPFDMDNEEQDARETEWVRCSNVACKLQAHYLCSGGICRSCNVRKFETYHPSGTLRDINMEPL >CRE29306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:136370:138014:1 gene:WBGene00069160 transcript:CRE29306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nex-1 description:Annexin [Source:UniProtKB/TrEMBL;Acc:E3MY10] MLFKSSINQFVSFRMTSPYATIVDNKEFNAPIFAEKIDRALRAGDKDAVVQVLTSISNAQRQLLREPYKLKYGKDIIAAFDKKFSGDLEKTIFALMETPLDYDVKQLKAAMKGLGTDEAVLIEILCSRTVDQLRAIRVTYEKEFGKALEADIAGDTSGEFRDLLVSLVTGSKDGSHDTNDALAKDDAVRLFADGKAKLAKKDGTHFLHILATQNQYQLRKVFAYFQELSGGTIEKSIEKEFSGDLQKSYLTIVRAASDKQKFFAQQLHGSMKGLGTRDNDLIRVLVTRSEVDLELIKTEFAELYSKSLADMVKGDTSGAYRDALLAIINGNHAIAH >CRE29350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:140138:141581:-1 gene:WBGene00069161 transcript:CRE29350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29350 MTQMKTVDVKNPSGNSQARLIQMIKNILIQVNNFRSQLGAFARLTVFRNVNKLVAALLGVAESTVIRYNKKTVADVKKKRVETRKMRNAKALELLSEDEKRLISEHLEKCYIEDSNFSVHSLHSDLQNLYDYPYSPSTLYRQLKAMRFSYKIKTYNPFSTVREEIVEWRARYLQQMEELRAQQAYICYYDETWLYHGMTKTRGWNRTDTSPYLIANLGDLSKPRPGFTASSDKGQRAIVLAVTTESEILPGSIDVVIPNRPKAEVLVDYHQYMSSDLYTQYMEKVLPLIVKATPAGRQTVLVIDNASIHNTLVETLPTKSSNKSELLAFLEKYQVTTAAGATNKELWEEVQIVMEARGGRDALKRYLTDEFAATLGVLIVRLPPYHCQFSPIELVWNQRKSHLRTAGKTSDKLEVVAERAKTWLRNTNEAQMAWTYEHVLDIEEGIKLVMDEDDESWEWDDDDTDM >CRE29351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:142668:143158:-1 gene:WBGene00069162 transcript:CRE29351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29351 MIRCRILFFYSYFIDFFAFRTPKTSTLQHIVIFPVSTDFFYFFAILSVNVTKTDKFNGCGCVMLSKQLSSAGIRKGNIGKDLNSDKMEQLSNEHIQQLVELRVEADRHMGRDDFFQNIQTVTEGREKPI >CRE29307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:146418:148746:1 gene:WBGene00069163 transcript:CRE29307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29307 MSKYQEILQLISNSVQELKKIQENPNDSTDHWPQISELFGRLNNLVTYESSIKTFNTDLKSLVHLESFPEAPKPYRTVIRLIEARAGLKTSGTEALQKNIGQFMELLKVSNSSNGEFSIIYRMIHSRVNPVQMNREVTIRFLNGVADFDKLLKDLQDPSFAKMVGEKKVKKLLDGLKPILSIQSKIDELNGKFGLFSKDSFLSTSEVQMLQVEMQGMSITDKDVHEFLKAIEIPADIDSAIYKAAKEVIKAAETFDMDLNFKDRTDVDQSKTEIPAVMKNLKDKDSIKKFQDALTATQSLLNGTTCDSIKAGAASIKQDGLNTFKDQSEVKDEMKFHERLRENKVKFMTIVEAIGLIHRTQNLDSGEIQKLESLAKAIPDASNLLTAQHLKSIAESMKTAENLESKSLGQTTGADRIMKPITESVKGLQMIHDFNNMSLVVDLKTVENEVQKAIGQLKDSVKQAEIRKKWNTLNAEIKSLEAWLQQVSTVNQNLVISGSNKLADVGAPFVKLTAVGDLKFDVKNKIEIVRELIPLFIKDQATLDKLMEAMGTLEILQSLDLQFSKFQKSFNDAPDIFQALYVFIGNFFTIAESQQAALRQSSTIEAIVTTNTGTKGTVIIILVLVTLLVTVFFALIICRFNSRCWWYKRVKKQKEEEESQPLAYPNDKPNANEKSASHSILPPVTNQGDAPASQKQLQKSDGSVSKEVEKSEEKEAKKKVENNPKE >CRE29353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:154714:157488:-1 gene:WBGene00069164 transcript:CRE29353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29353 MSTKLLICLFISALLNAGAFASPISTTATPEGFDSETAIFINETLTKISNTCVTTRDREEMTGNILKNRMAWLLTDTFLFREVSEIIGKSELRSALGFSPTVPWTRREEPSDEELEAAPTIEAYYNLREPFRFFLTLEHTFLLDTYFNTAAAFLDKRVPGIRLVYRKYFEEKLGSLHGKINRAGVDFMIKQFTVINQSVYLAIVHLNHLAACYKLGIEENPCTDRDRDNF >CRE29308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:157706:158914:1 gene:WBGene00069165 transcript:CRE29308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29308 MLSQLVIIYFLFVTCVFAGYTNSTTSPSIDINEELSKISATCLPNQCYEQLSGDQLTGWYGDWYNWKLLHNAQTAIGFQEMRKILGFPLSVELTTGCGPSSEDVDAAPTIEKYYELRESWKRVSYSLTEWFFEKQLLSGIEFMDKRVPAVRRIYRHKFKEIRRRPDAKLIVDRKEVDMMFEEYSSIQYDLYNAIQIFWENKKCRKVTEIDVVKGEKNNSGDKILNLDCI >CRE29354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:160248:161384:-1 gene:WBGene00069166 transcript:CRE29354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29354 MSTKLLICLFILTILNAETTKLPSTPLLNIEEELANISTSCLSRLDYVEITGYKLPYWMATMVNIRLINEAQFRIGTIELRAALRMPPHGPWKRKRILKEEEILAAPTIQEYYERREESLSSSLDLDNSQFYEKYFPSAIPFLDKRFPAIRGIYRQEFWNAKKVVDREAVDSMIAKYHEVSLRIKKAINEMKDKTLDCWREDLERLNSLLG >CRE29355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:162419:163524:-1 gene:WBGene00069167 transcript:CRE29355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29355 MSTKLFISLFISAILNAETTKLPSTPLLNIEEELASISNSCLSRLDHVEITGYKLPFYTFMTFNMQAASTVLAQFRIGAMELRAALGMPPHGPWKQETILKEEEILAASTIKEYYERREQSLISSLRLDNTQFFEKYFPSAVAFLDKRFPAIRKIYRQEFRNAKKVVDRETVDSMISKYRDVYQRFNEAVTEMQKSTLDCWRENLEIYSLLG >CRE29356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:164071:165045:-1 gene:WBGene00069168 transcript:CRE29356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29356 MSTKLLICLFISALLNAETTNLLSTPLLNIEEELANISTSCLSRRDHEEITDNTLRYWMASMVTIHLTSEAQYLIGTIELRAALGMPPHGPWKRKRILKEEDILAAPTIEEYYERREESLGISSWNLDNYKFFEKNFPPAIAFLDRRFPAIREIYRQEFRNAKKVVDREAVDSMLSKYHEVSLRIDWAVNEMQRNTIDCWGKNLEGQDSLLG >CRE29358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:168134:169132:-1 gene:WBGene00069169 transcript:CRE29358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29358 MVTQLMEAIQRIEKKVDKLQEEPEITAKLIERPAATSIHPLKEDEEGPVDRKEETTIQTEESIPSEEPDQMVSSGPSPQPPSEKRRRRSSSSSSSYSSRSSSASSSKRTSTRRSTSSKGHETGSHATKESNGHASRSESTKESNGHASRNESTKESSGQAPRSESTKEATRHAPPRDRTSQPRAKETLKRRRISPPKTEESPRNRRRSPPQAKTSAQRRRITPPRTQNRPERHETERTSMPVTHRFVLEKDMEEDSRNPCSFCSQRHFSDRCGNCVDMEERKRILTEKNRCWRCLLIRQPGHNCPSRKCFYCAQYGHNKAICTRPETIVDDE >CRE29359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:172311:173479:-1 gene:WBGene00069170 transcript:CRE29359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29359 MLNTLITCLLLVTLLCAEISTSDSTSKGANLEDFSNVSTLPLFDFDEQLSKINNFCIPRADYKQLSSDFVTGPIANKYNRQLLQKAHRAIGLRELRAVLGFHISVEWTDEYKPSDKDFTDDLTIEKYYEFIESEKRHVSFTSRYFHESQFLSGIEFLDKHLPAVRNVYRRYFEGIRRHPDPRMTSYDLGQMLKKYDVISDRVYRAIRGVVDKQEFNLCSGTELKENDEKGNLQESLSIDQL >CRE29309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:174182:175569:1 gene:WBGene00069171 transcript:CRE29309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29309 MYSTPFIYIFFAIQLFAEVAANPTPSLETFSNHTTSSFIDEELPKISACLWHDDWEEITGNLLKYELAGILSIHSLKGAHEVIGLTELRSVLGFAPSVPWTHRKNWTEVEIAAASTIEEYYEMKEPDGDEYGLDNKYVHEKNLPPAIKFLDKRFPTIRIIYREYLQEKFDSLQRSIDREGVDFMIGEYILIRERVGKAVDNVRHLTIDCVMKQIKAEIYNQRLKL >CRE29360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:177681:179477:-1 gene:WBGene00069172 transcript:CRE29360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29360 MSTTLFLWFFSTTYFYTEISGSHYPLKTPIFIDPELDKISYDLISTEEHEKLTGNVFKHRMADFISRILLEEAQTIIGLSELRSVLGFAPSEVWKKRQPPSEEEVDAAPTVEAYYMLKEPISKYQISRQDEFLPELIPPAITFLEDRFPGIRRVYRRDLEEKFRSLGGKIDKNGVDDMIHEFRKIQSRVMHGNSYYFSYQSAYAS >CRE29310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:179742:182176:1 gene:WBGene00069173 transcript:CRE29310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29310 MWRTLIIFLLLAIHIYARRTSYSSLSENDEFDVIPDSLKNFTRSSSPQIDVNKELKRIESTCLSIQDINYLTGGSIAGSIAREFNEKLFRICLNTIGFEELNAMLEVRPPDSRWYCGEPFEDWFYCGLEAKKAAANTIQEYFDLIAPRQIGFRNYDCEWFFEEQVRRGIAFLDKKMPSVRQIHRQKLEEVLLLRQDAEEVFGKRKVYYLMDTKQSTSRLLNDAMYVLFNNQKCCQDKDDCEEKERMEMQKNKTWNNLLDFLIISRK >CRE29362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:185228:186631:-1 gene:WBGene00069174 transcript:CRE29362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29362 MSVRLFIFLFTVTFAVSALTSSNSTTPSFDICLPRREFELLSNDILAGSLIDFYNWKLLDNAHRAIGIRELREVLGFDFSVEWTNGCRPTRDDLHATSTIEEYYKLSEARKRISAIKTDHFFENQLLSGIEFLDNWVPAVRTMYRQKFEKFRGRPEAKLVLDREEIGDMLDDEYGDIEEKVRREITMMRIETKWCQQDVERKIKKSETTSKRIVE >CRE29311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:186865:188435:1 gene:WBGene00069175 transcript:CRE29311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29311 MLSELLIICFFFVTPVQAGALASHISTTATPKNFDNETALFIDEELTKISNTCLTGRDHEEITGNILKDEMARTFNVFLGSEAVDVIGLMELRSVLGFSPSVPWKHLKTVSLKELEAAPTVEEYYELKEPFSYYHYPENGWNLEKNLPPAIAFLDNKFPAIRTAYRKHLEEQIGRLEGKIDRAVVDYVIKQYYVIHYRVDEKTRGLWVETEECKTKRPKKNSCRGKNTW >CRE29312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:191856:192742:1 gene:WBGene00069176 transcript:CRE29312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29312 MSPISFISLLFLSHFFAGKTILATPIAGIVCSEDLKEKPSDSLVKFVDEEVVKIAKACLSNRDEEEITGNILKYGMAQFLNPYSLMDAMYVIGLTELRSVLGLPLSVPWKQGTKPTADELAAASTIEEYYKLKEPYSSEHGNSKFLLEKHLPPAIKFLDKRFPAIRIMYRRHLEESACIVDKKGVIGREKIDYMIEEYWNIIAPISEAMLGIMFHMNDCRFERTNWYHRRQFLRQ >CRE29364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:193764:195725:-1 gene:WBGene00069177 transcript:CRE29364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29364 MSTAPFFCFLIATQLYAGIVAISNTTLNSTQTTQSLVEYLNATTPSSIFDFEGEIAKINVSFLACQDYEQLSRDLFPDFLAGLFNNILIKSSHRAIGFQELRSVLGFSLPDQWTTEFRPSREDIAAAPSVETYYELSELRKRCSTFISDWFFESQLLSGIEFLNRHLPVVRTIYRKKLEEIRRPSDSIADREEIDYMFEVHSELSWRIDDRIRRILRCNNYKHAEKRRKTEKIPGL >CRE29313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:196160:198387:1 gene:WBGene00069178 transcript:CRE29313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29313 MSIALLLFLLTAAQLYADVVSISTYAPPSLRLIDEELTNLSSACLSSRDDEEITGYVLKHGAARFLNTHLLEEAVSVIGLIELRSVLGFAPYVPWTHRKNPTDDEIAASSTIEEFYELKEPLSVLHGPDNSFVLEKYLVPAIAFLDKRFPIIRDIYRMNFERSVASLNGNVDREGVEYMIKEYISTFKRVKKATKGLREGTIECNKKRV >CRE29365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:199981:200733:-1 gene:WBGene00069179 transcript:CRE29365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29365 MIIRSHEFLQIFNLPGSINYSINDLNFAVIPDSLKNVTRSSPHIDVNKELKRIESTCLSIQDKKYLTGGVLTGSLAKMFNEKLLVISQKAIGFEEVRGVLGVRQPHAKWYCGEAFDDWVKFGWRDQEKAAAKTRQEYYDLIEPMFKLFERHDCGYFFDEQVHKGIVWLDKKMPIVRHIHRQKLEELLLRQDAKEVFDKKEVDNLMEERRKTTSLVDGALMGLFKNNNCCQANENKCEEEEIQNRNFAENL >CRE29366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:207243:208860:-1 gene:WBGene00069180 transcript:CRE29366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29366 MSTTLFLCLFSTTYFYTEISAFVHSLETTPIPSTSPFINIELDKISNAFLTTEEHERLAGKVFKHRMAEFINRIVLNETQTIIGLSELRSALGFAPSEVWKKRQPPSEEEVDAAPTVEAYYMLKEPISKHQRSNQDEFLPELIPLAVTFLDERFPGIRKVYRRYLEEKFRSLGGKIDKKGVDYMIYEFARIQTRVGHATFLLT >CRE29315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:209976:210731:1 gene:WBGene00069181 transcript:CRE29315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29315 MIHFTGRTSSLFENDEFDVVPDSLKNFTRSSSPQIDVNKALKGIESTCLSIQDINYLTGGSIAGSIAQKFNENLFRICLNTIGFEELNAMLEVRPPDLRWYCGQPFEDWCYCGLESKKAAAKTIQEYFDLIAPTIIGFDNYNCEWFFEEQIRRGIAFLDKKMPSVRQIHRQKLEEVVLRQDAKEVFGKRKVYRLMDTKQSTSRLLDSAMSELFNNQECCQDKDDCEEEERMEMLKKKTRNNLLDFLIIPRK >CRE29367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:216113:218366:-1 gene:WBGene00069182 transcript:CRE29367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29367 MLSEVLIICLFFATHMYTGLMATPLVVSTASSTDNKTALFIDEELTKISTTCLSGRDHEEITGNILKDEMARTFNVFLGSEAVDVIGLMELRSVLGFSPSVPWKHLKTVSSEELEAANIEEYYELKEPISYYHYPENGWNLEKNLPPAISFLDNRFPVIRTAYRKHLEEQIGRLEGKIDRAAVDYMIQQYYVIHYRVDEKTRGLWVETEECKTNRPKKNSCRGKKPYYYQ >CRE29318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:228987:233235:1 gene:WBGene00069183 transcript:CRE29318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29318 MDHAVTLIDTSATSKEGYVVNFLVREPKSFTAGVKAGVSTNGDADVSLSAGKQSVGGRGEAVQTQYTYTVKGDHCFNISAIKPFLGWQKYSNISTTLYRSLAHMPWNQSDVDENAAIFAYNGQMWDQKLLHQIKLNAIWRTLRASRDAAFSVREQAGHTLKFSVENAVAVDTRDRPILASRGILARFTQEFAGLFGDASFLKNTLDLQAAAPLPLGFILAASFQARHLKGTGEREVHILDRCYLGGQQDIRGFGLNKIGVKADNSCLGGGASLAGVVHLYRPLIPPNMLFAHAFLASGSVASVRK >CRE29368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:240810:241794:-1 gene:WBGene00069184 transcript:CRE29368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29368 MPKSQQRLLNYATSIAKCPTETTVYGSCVSAQAERIKQDDCNAEFKKLIDCVTKNLKKNLKRANRLLTFFKYNYKFVAPYRVLVDGTFCNAALQEKLNLAEQIPKYLTEETHLMTTKCVLHELEKFGPLLYGALVIAKQFEIAECTHSTPKPASDCLAHLARRAASGKTKFLIATQDDELTEKLRKIVGTPIMYIKFKTVLLDNVSISEATKAGCSKDEAEMKKLKELKKEILASSTVPQLKKKKKKKGGVNPLSCKKKVMKASLETVRTGERTATGKRKRTKKKVDGEGEE >CRE29320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:242363:244506:1 gene:WBGene00069185 transcript:CRE29320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atp-2 description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:E3MY43] MASRSLASISRSASRLLQSNVQKCALPAASIRLSSNNVESKKGIHTAVATQQAAAAGKLFCLYNFLFQVSAKATAANASGRIVAVIGAVVDVQFDENLPPILNGLEVVGRSPRLILEVSQHLGDNVVRCIAMDGTEGLVRGQPVADTGDPIKIPVGPETLGRIMNVIGEPIDERGPIASKHFAAIHAEAPEFIEMSVEQEILVTGIKVVDLLAPYAKGGKIGLFGGAGVGKTVLIMELINNVAKAHGGYSVFAGVGERTREGNDLYHEMIEGGVIDLKGKNSKVSLVYGQMNEPPGARARVCLTGLTVAEYFRDQEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLATDMGSMQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRGIAELAIYPAVDPLDSTSRIMDPNIVGQNHYDIARGVQKILQDYKSLQDIIAILGMDELSEEDKLTVSRARKIQRFLSQPFQVAEVFTGHQGKFVSLEETIRGFTMILKGELDHLPEVAFYMQGGIDDVFQKAEELAKQHAERQQ >CRE29322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:251597:253307:1 gene:WBGene00069186 transcript:CRE29322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-26 MLVNCSRLSTAGGRCLLASSQMDDVIQKRHMGRRQPKQGKPPILPPAKKVLYHVVHAPWQKPEDVEELLWRRHAYNNAVISLREVFRAEIAQNASHGQGIESMKEAEALEFDELIAQNQARNDEKRAARAERESDDTKETKSVILEEIRVELEKRNAEKKRAEQEVRDVIARSAGFVNRENLETKILEALEKPTIYDFAIDRAGNKYFVPEPVKYQEGTPTRQKGRLYDQTLGTQHGTMEEAASKKQNQQSL >CRE29323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:255002:268218:1 gene:WBGene00069187 transcript:CRE29323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wars-2 description:CRE-WARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MY46] MNTYVAEIGEIVRSHRRDEEYIEEISERLSKVAKELLGQRRWIRWFPYLKTIASTLYYSSTVVVGNQTLGEEYVHLFESDGLQRVVPSIPSRISFVLLHSVFPLISNYLIQKAETTLTHPSTDKFLGIEIRRNPKARQSFLSVFYWLRTTLFPQLQRAHIALFYITGAYYSIARRVTGIRFLSASAHTDIPALKVYRFLGYITLTQLTISIVLAVFSWLEQEKANSKLKKSEKEKKKKQLETDLDVETLSHPTFQCSICLENRNPSALFCGHLFCWTCIQEHAVSATSSSATSSARCPQCRLEFQPRDSQSSQFSRSISSSTTHHPTIYFTGIQPTGIPHLGNFFGSIEPWTELQNTVDKETQMMLSVVDQHAISLGPLPADQLRANTHQMTASLIACGVDPKRTLLFRQSDVPHIAQLSWILGSLQTTSKLARLPQYKEKQQRFKKGDIPVGLLTYPLLQAADVLTFKATTVPVGEDQSQHLNLLGGLAYAFNKTYNTEIFPIPKQLTRESHARIRSLRDPEKKMSKSSGGERSRVEITDSRESIIEKCTKAQSDNAGKVTYDKENRLAVSNLLDLYSAVTKTPISEIQFSEWTTLDLKMNLAEAIDKKLKPIREKFDELQQSGEVDKILLENGEAAREIAEKNLKEIRRVVGFL >CRE29369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:268771:270303:-1 gene:WBGene00069188 transcript:CRE29369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnd-1 description:CRE-CND-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MY47] MRPTDTSNFPPTEITKRRVRRVKANGRERARMHGLNHALDNLREYIPITTQHQKLSKIETLRLARNYIDALQRMLQTNEQPSPLEYAHTLANGLSQTTTNMLANLLQVQPRQLLPQSHFDMFSHHSLPLHPSHAPSSFSSTSPTSSCSPPQYYYSPNQPSAAPVQNSCDLTQYQQMFQQNQQSHHHFGYSP >CRE29370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:283769:288238:-1 gene:WBGene00069189 transcript:CRE29370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gro-1 description:CRE-GRO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MY48] MLIRRILQFLTPAIRKMRKEPIIFVIGCTGTGKSDLGVAIAKKYGGEVISVDSMQFYRGLDIATNKITEEESEGVPHHMMSFLNPSDSATYNVHQFKEATLDLIQKIRARSRIPIIVGGTTYYAESVLYENNLIETTSKSRTSSESSSDDVAPDDVTVTNEELWAELRKVDEKSALLLHPNNRYRVLRALQIYKETGVPKSEFVEKQKSDESVDLGGRLRFDDSLVIYMDATPEVLEERLDGRVDKMIRMGLKRELSEFYQEHHDFIHHNKYGVMQCIGLKEFVPWLSLDPSDRESPLGEKLFKQGCDDVKLHTRQYARRQRRWYRSRLLIRSEGDRKMASTKMLDTSDKSKIISDGMEIADRWMSGVDLFEDISTEQVVKGVDSNVLLTCEICGISMTGRDNWQKHIAGKKHKHNAKQSKSEGNLE >CRE29374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:310521:313359:-1 gene:WBGene00069191 transcript:CRE29374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29374 MSNLAPHLGGARLHSITVSSGFDWLKFATCRYTDKRHPVLTAKLVFDDHIRCMAAKQRLTKGRQTARGLKLQAICSALGVPRIDPTTMTSSPRMNPFRIVKGCAPGSVRKTVSTSSGSTASSSNRPGYYSANLRSSVSRNSPVPGVPEDPTQPGTSGRRN >CRE29325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:313994:315222:1 gene:WBGene00069192 transcript:CRE29325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29325 MSIYGVNRPQIIFQTLVTCDDAAALELAKTTATKFAADVMNIRKSEDIHELTNWLSEDVVFNMCDSRLFKSHFVALVTQSLIDETKYPKDLTLEVENAFTREDILSMIVKANGFVFETDDKLILEFKKQEDGTYKLSYGTITTCTYQ >CRE29326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:334812:347226:1 gene:WBGene00069195 transcript:CRE29326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sumv-1 MKQTTRPPAQYVVAPGTQFRFVANEYDKNKYGQCNYASYRTLVRCKQIRSKEELKKTGGRCEEHIKFAKTLENNHKKEVLRCHAESDSKMQRRRFDPWIVSNEHISDEDDYLQAVSTVPETVPDTANHNVLDEHPLRYAKHYTDKDVLKIKMSLVQQDIDDLMEFKRLVVAQAQKEHDSMGSDDDDAIPNDMAQRRVFKAAQKYARNDYLTLTTIDPVFKQCSMGPDMEDQLIITHLVHSMLQKIDGIPMEPPVEKQCQKPALHLTRFCIDHIFMDRHQQLFGKCMECERTAINGGTPRCSFHLREHEKKNTRCTCAKCVPESSKGRTSRNEINVVDDDDALLGNLSRIESMVSPMQQYSNPPPNYQPTPSSRRYLGPSPASLLRPSQMGPPPTAGPSSSQMHKGPVRPSQPGLTPQQIQEQQALKMQEEEIRSQTCARVRPLDPSTFGAGKKNKVQLQRTTFAPGIAGSPYQQQQQIKKMPSIISPNVYNSPPGGIPKTFQGWKNQAASAGQRPIPHQQPGIMGMGAQPTRYPIHINRNQPKTIPLERVQEPRTGDELVEDRSHSPSRYMPEPQRRGVPYYKNAAYRRTETPARAPLPHQYPPQHQPPLQPSTSTSSSQLLGPPVSPHTSPKMYRPAQSRLPMAPHRAIAAGLNPADVGPPPRAPGFRMGTPGLRPHPHVQQSAYVQQPVGPPPPGSRPRNPAYPPLGPRPRPPQQQHVVRSVGPAIQRVPSGTITTSYVVQGPPSEQQQQPSGAPGPVPATLGAPGAVPGTPGTSSESVGPPSSESPSTTTASIVGPAIVRSPGTGLPLAGPSSGIPLGLTQASYSAVSALDNARQDARFANINVRTFLTMGHMGQKDLSKMSQQELEQMAAETELNNEREKRKSLNSPINTAAFPGGVQKMGGQQQRGGVAQGIRGTPRRSSAIPSETIVPDSVKEVILTPSINSSVPPIPPNPPPSEAAQARKRKIHETTIQNPPSSEAAASGEATSSESSGEPLAKKSDAEVTGTPSPKEKSERSKTPKSSSKKRSRSPSTTPTSSQRAPRAAAIAANQAMTHQKPQTPSTSTATSSSSVQSGGQEQDSNFLDLLAKMAEEAAQNEDMDTTETSAAVAAPVAPVAEKEGTKKKTPKKTSPTASSSSSSTVSQRRNSTGKRNSRGGSKEPADTTSESSK >CRE29376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:347532:350208:-1 gene:WBGene00069196 transcript:CRE29376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29376 MSLLGESNKAVFLNYVRLDLEHDYKLIKPSSISSSSSNDDMETTTAPQPISRRRDSLIEQFRDFLSRSVDFTFKIDNPFEKEMY >CRE29377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:351169:373338:-1 gene:WBGene00069197 transcript:CRE29377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29377 MKTSASTRCRPQSSISLDEKRHRNRSLGCISRHSACPLPGFSAFLGSITRVETGQTTFHPYGVEEPLEPQSCVGVFTSMYCSTPSENSFQPMFSLSDTPPLVSPEPDDDVDFSPDMSRKKESASSGYGSAGSESEHDLMSLRSDDIKFRGRLRHRGSHVGGGHNQDLQRRRSMPPMCHFENDVTRVLCQNGYGKFETNVDTGEQIRMEIRGMSRPESVLQLARKFGEISAAQDNEIHKSRLSLKLGKENTTKPIINRSLSMQSKSGPPTPIRHAAPIITTYTLPSRPTVGGIASLKSEPKMSRPNMFKQMEKVGANPTSTAPPRQLNPNSIKDALLRWIQNRVAGYPNVNVTNFSSSWADGMAFCALIHRFAPHSFDFSKLDPKNRRQNFDLAFKVAEDNGIFPLLEVDDMIMMGDRPDWKCVFTYVQSFYKQFRDHP >CRE29378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:380240:381298:-1 gene:WBGene00069199 transcript:CRE29378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clk-1 description:CRE-CLK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MY59] MACTVAVEELIGQHYNDQLKELLADDPETHKELLKTLTRLRDEELHHHDTGIEHNGLKAPAYDALKWVIQTGCKGAIKIAEKI >CRE31465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:105127:108328:1 gene:WBGene00069200 transcript:CRE31465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-toc-1 description:CRE-TOC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NAE4] MFRLAWVSRSLNHPQGKRGLISTLVCLICVGILAICVSTSHSIVLMSAMWITIFACCSQFASLYSMSITDKPTHKFSYGLARVPVLAVFSTTTLAQLFSIFLSKESFEHLLSPDHHGSHDASAAHEHEVEEIGGGWPYFVGSAASSVALLLSAYALKNQPFQHVLTSATASSLQEHAADLSQAVCFVIPGLSRLLLPRINSMVLLAATTSGLLMLCEHFKHDFAWADPVCCLLLSVAVFSTMWPLSTYTGMILLQTTPPHLINQIDRCLSEASHIDGVLELKSGRFWQLDFNQLVGTVDVRVRREADEQNVLAHVTEKLSSVITVLTVQVVKDAAWSAGEATYTNGHIHGANGGAISGGGGGHGHAHDSHGDHGHSHGDHGHSHGADDHGHSHGTGNNDHGHSHGGEQSHGHSHDGVFYH >CRE31478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:103234:104148:-1 gene:WBGene00069201 transcript:CRE31478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31478 MSKQPQVLWAQRESLVYLTIEVDEAKIEELKGEGNKLHFQGSSKTDKYETTLEFFDEIDPASVKHTGSSTRVVEITIQKKTPGWWPRLLQTKGKVHWLKVDFGKWKDEDEDEEAEEAAAAGAGVGGGIGNGFDLNQYMSSLGGAGGGADFGGLDDEDDDMPDLEDNEEEEEGKTEVKA >CRE31477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:97976:101759:-1 gene:WBGene00069202 transcript:CRE31477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-8 description:CRE-ZTF-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NAE2] MAQQVCPLCSANIEGDDPHIYKHFKYKRFDCGDKACTSLFYTETERNAHCSETKHKRSFQFQKTVNPYMEQMIAMIVEDAKELATEDMETVCKNRFEAIKKKKKSDLFKKRVIPTAQPSSESAPGTSGSSATSPSEAPSAAKKRNNEEASTSTPATKRTKSGAASSPATSSNNSARRSTDNQPTPSPTSQPTSSAQEVVDSTTTDTTPPVPKQPRKKRDSAAAGNSSKADEDAAALERIAGVGDDYSSDKRELVKVACEQCKQEIPYLYFLRKQHVIVCHLKTISEDDEDHEEICKAEMDRCFPDGPNSKLACQACNDNKDVTANRRREHIEYNHYSILPELKCPLDGCVEVYKRQCDLAGHMKAVMHNKMSMSRCKDKKFQESRARRNRMIDELKRRCFPWSEVEENAKMIAKTLQAPEKHASGSLQADIRLAEAGKTRRAPQYQYGSDDSSDDDGESTPSVTADADADESTTDNQPINQADLEIIEVFWNKAINNQITRPVQIKQEPADDVAEGVGSGGAAPSAPSAPSAPTVPTFRVKQEVVEEEPKEEERSSR >CRE13090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:37244:39982:-1 gene:WBGene00069203 transcript:CRE13090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13090 MSFSTERTKKRSSSVTSSEKSGAVQMNEVRLKERRKLVKGFTDFLECSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKALDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGHSRDTKEVPVPSRLEVVRKWSPRDSDDEFSRHGRRGEFSDSERSWGEDWKSGRSSRYSAGNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDDELVAILEERYLKGAAKSLFKSLGDRQERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQKAPEQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRVTEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEELRKQKERMGRLRDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVMSTSAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERSGEKLRKVPTEVSGIQCKSVAVRGERGRRTSTGDVGEVFKSEGEEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSKKVEFVKSVAKEVEKVWMMPRSLQCELGDVDKVAKQWKEWLKTAANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNTDRRPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPH >CRE13113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig260:29927:30337:-1 gene:WBGene00069206 transcript:CRE13113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13113 MVSFKRLMQKVWLKSDTNWKDPILKELLPNWRALCNTFADREIVVRRQLTSDYDYSEVHLLLFSDASQDIYGACCYSFFIVKRKAPIVTLFTSKNKIRPSKNKNWTIPKLELLVIQCASNLACAVIAEIKVKVTSI >CRE17855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:398181:400241:1 gene:WBGene00069208 transcript:CRE17855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17855 MSFSTERIKERSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGSMESDMYEALKAVCKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNKERETLFREVNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQENEPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVENAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKRILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSGIQCKSVAVRGERGRRASTGDVGEVFKSEGEEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGAVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVGNVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCKWEKNTDRRPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPHGITEVKGNEYSRGNRKT >CRE04253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:66124:66741:1 gene:WBGene00069209 transcript:CRE04253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04253 MHVSLALSTVVLLFCAQSAFSSRVVKRNEDLRHQVLGELNEFRAKYADAAQVSNMNELTYDLELEKVASQYNSCHLDRDTWKRLEHNEMNYYLYKKQLENDFVEYAAFHRNDTEELKKLFGNEDLFVAALQPNVSKVGCYHFPNLCVHKIWSRAAIFTDVKLSTVKGLCVFGPKK >CRE22743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2278:1927:4403:-1 gene:WBGene00069210 transcript:CRE22743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22743 MSTATTSAAEYDVKALLTLKDLYGDLAQIPLPSQGRIDKKTGDDLIKVTTTWDNRAMQLNMPTRQQRVSLIGSKGPEVTDRYLMTSVSLPLCNYENQRSTYSHSNARVAQLLTTGKGEDRKQYLKIYNLDEHVEELCTDLTTQKKHGIIHGGGCLPFGCLHFSYGEGHVMYVAERLAKSLSMIKSPSHISPCYAKWAPEDSGIVFFGLEEGDTPRLGRIYCNNRKGSVYYYDIKSGELTKISEGEISAEDLQFSPDGNTFVWFQRAADGPHQAVLEMVAIDWPMKGTVEKRVVVPIVTEKRSANEFQGFSFPQTVARSWSADSKRLILSTAWCSKLELISVNVATGEIEKLSNNGICLGSWSLLDVVDDEILATVSAPNRPPNVLLGRLPEAGKAEEMVWVRIDEAKAIDSRRHLFEFSWEFVNFERDGATYEGILMIPNEGNNLPMVVNPHGGPHGASWATWPRRDLTTLLNSGYAVLQVNFRGSVGFGDDFIRALPGNCGDMDVKDCHNAVLSVLAKQPRISKDKIVLFGGSHGGFLVSHLIGQYPGFYKSCVALNPVVNVATMHDITDIPEWCFFEGTGEMADWTKTTTTEQREKMFLSSPMAHVENAVTPYLLLIGEEDLRVVPHYRAFIRSLKARGVPCKVLTYPPSNHPLDEVNVEADYSINMVRWFEKSLSK >CRE22742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2278:183:1688:-1 gene:WBGene00069211 transcript:CRE22742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpf-7 description:CRE-DPF-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NUN9] MAEAAAPENRESWDDTQIQFAFNTILHQHGQFRVNSARIVSPPGYQPKEVSVVWESLDPIECRQYIRTRGLVSKRRAWMPMSYENDKNWKYMTYFMSDDCKFCGFVSESIEMDHKRDVIQIESVKYNKAAFCIYLDSDYLHGPVIITGENCHFKMSGENGGRKLIYFAFPNEEQLKTKNRQDRLFVICIYDFETKGVTVIADENICEETINQIEWTPDGRGVIFHRRTELFWYRFGEQYVNKIYSNIPQPLRISFSPDEKRLAVFLPTSVFCEKSILLFHWPFVKAAVQFQHDVITLGSYKVWSVPDRPWSHGGSNLVFNANCQHHVVGFWVFMTKVSVFGVFQDEKFQIN >CRE19550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig443:19529:20316:-1 gene:WBGene00069212 transcript:CRE19550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19550 NVSNPPAPNELSQFENSQKESSPPDPFQEKQLVDNCIKQTEYSNAPSPNDTKGNTFLIQESVSKVDDQYHTDVEDQPSPETSPESQLKIETLSLKSNQKTETPEGSPKSTSSCISSDILTESLVEEEDEEEPSPTERVIRGKESSIPSRPSSFPLNFSTSSSSSIPFFNFTRKTPEFVPRMMLEEFESLDRSIEKRKIDIRDLLKRIESAKRRHRVSLYTKNYKVKHGI >CRE05302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig932:4241:7063:1 gene:WBGene00069213 transcript:CRE05302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05302 MFEHYKTTEKDKKSNFSLKLFVILLVWIISVYAAITFIRSSEEPLCKSESLSVKHRGVCKSPECITLAHQLHNWRDVSVDPCENFFKAACGKYNEDNFHPHTRLSTKTGIVARLIKEFLDKNLPSTSNSENAMHFLYQKCQESNKLNATEIAEQEAKSQLELIRRIGSAPIFERNWNEADFNLNGIVLHYESTQNVKNVSDMMARIGELGRDQFGFLKFVLHNQYLVIIRDPITSEDLATGIIKILNETLRLIDERPDADQIGRDVKDVMKLNDELQEPNTNVSGGMNLSEFQENVPSLDFIRIIKSLIDPRRKEEVWERIQNRTAVLNYALYYSDGKKNLETILQSSSNRTLANYLMIRFLISLEKQKTFGEDDCTEEVVIKLPLAALRMFARNHFDKENLQIASDMVEDVIESFVETIQESSWLHKKTKERAIKKVKNMKKIIGYPKELEVPGTLDRFFESLSLSGINLTYFETKIEIERFRTIQSMNHFAALFPFESSSDYLITNAFYHPTLNKLTLNVAFLDDPFFDSTYPKYAKVASIGEVIGHEIGHGYDPFGRQRDENGEENNWWTPEDSAEYDRRSQCLIDQYNEYDDPDFGRNLNGTTTIKEIASDMIGVEASWRTYKKVDFANEPSIFGFEDEKPDKLFFHLTALNWCSSRSEVSLAEQLTRTHPTYSFRINGVFSNMKFFAEAFNCPVGSPMNPEKKCQVF >CRE20537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:241531:244298:-1 gene:WBGene00069214 transcript:CRE20537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20537 MFPHPMFEHYKTTEKDKKSNFSLKCFVILLVWIISVYAAITFIRSSDEPPCKSESLSVKHRGVCKSPECITLAHQLHNWRDVSVDPCENFFKAACGKYNEHNVESDVRFNTKTGIVARLIKEFLDKNLPSTSNSENAMHFLYQKCQESNKLNVTEIAEQEAKTQLELIKKIGWAPIFDRNWNEADFDLNGRVLRVGSIQNLKNYSDMMAAMAKLGRDQFGFFKLVVGAQYLYMNRDPITSEDLGTGIKKILNETLRLINERPDSDQIGRDIQDVIKLNDELQEPNTNISGAIHLSTIQEHISLDFTRIIKSSLDPRREEEIWERIQNRTVVLMDALFYSDGKKNLESILQSSSNRTLANYLMIRFLISLEKQKTFGEDICTKEVMKKLPLAALRMFSRNHFDKENLQIASDMVEDVIESFVETIQESSWLHETTKQRAIKKVQNMKKVIGYPKELEVPGTLDSFFESLNLSDSNMTYFETKIEIGRFRTILSMNHFAALFPFKSTYDYLEANAMYHSTVNKLTLNVAFLDDPFFDSTYPKYAKVASIGEVIGHEIGHGYDPFGRQRDENGKEINWWTPEDSAEYDRRTQCLIDQYNEYDDPDFGRNLNGTTTIREIASDMIGVETSWRTYKKVDFSNEPSIFGFEDEKPDKLFFHLTALNWCSSRSETSLAKQLTLAHPTHNFRINGVFSNMKSFAEAFNCPVGSPMNPEKKCQVF >CRE20536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:233036:234781:-1 gene:WBGene00069215 transcript:CRE20536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20536 MNFQLKEKSYLFKMFVRLFLFSVVLVSSVSAAGNVCKTGNIVNRLVNSQPYYWPATWNETQTAPALEMGQTCSWTVTIPQGYYAKLIINGKTQDSLSIFQTIDATGNLMRTSQEGMQPYYFPPSKFSVTVSNQAAATFAFRIEWKLLPTLPTLYTQISAIAEVINATNKEYYIVYDSNSGVSLLPFPESIDNYYSLRSTLIFDGGSIQRGGNYVGNLFLIYQSGNQYITSNGFDSVVIVNLEASNNTDRLLIQESIYVKNLHYVELVPVLNSQYKATLNSQARTSALVSTSSIKQTLIDIQMDDNANITVAYGTPDPLVYDKTFKGVEFKKILPIMYDIPVLTFVLDSGVAVFTFQA >CRE20535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:227125:228822:-1 gene:WBGene00069216 transcript:CRE20535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20535 MFFRLFLFLFVVSISSVSAAGNVCKTGNIVNRLVNSQPYYWPATWNETQTAPALEMGQTCSWTVTIPQGYYAKLIINGQTQDSTSIFQTIDVAGNLLRTSQEGMQPYYFPPSKFSVTVSNQASATFAFRIEWKLLPTNPTLYTEISAIAKVINATNKEYYIGCESNSGVSLLPFPENISNYYSLRSTLIFEGGRIQRGNYIGNLFLIYQSGNQYITSNGFDSVVIVNLEASNNTDRLLIQESIYVKNLHYVELVPVLNSQYKATLNSQIKTSALVSTSSIKQTLVDIQMDDNANITVAYGTPNPMVFDKTYTGLEFKKLLPIMYETPVLTFVLDSGVAVFTFQA >CRE20534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:212365:218276:-1 gene:WBGene00069217 transcript:CRE20534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20534 MSTLVNALGFFTSSTPAAAATKDVRSKEEILKKRKDTIGSKCQIFYSDDPFMVSRASMQYLYDEKGNKFLDCISNVQHVGHCHPKVVDAITKQLSTSTCNVRFVSTQLTDCAEQILSTLPGLDTVLFCNSGSEANDLALRLARDYTKHKDAIVIEHAYHGHVTTTMELSPYKFDHGSTVSQPEWVHVAPCPDVFRGKHRLADNELTDEEKLYAAGKQYSDDVKNILNDVESRERGVAAYFAEALQSCGGQVIPPKDYFKDVASHVRKHGGLMVIDEVQTGFGRIGRKYWAHQLYDDGFIPDIVTMGKPMGNGFPVSAVATRKEIADALGGEVGYFNTYGGNPVACAAVISVMKIVKDENLLEHSQAMGEKLEVALRDLQKKHECIGDIRGVGLFWGIDLVKDRQTREPDQKLAIATILALRKSFGILLNADGPYTNILKIKPPLCFNSDNIMETVTALDQVLTLMNR >CRE20509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:197933:203028:1 gene:WBGene00069218 transcript:CRE20509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20509 MKRKTLNTHNSTGGPPPPTSLEPKTKKKKPESIFLGEEVTKDHARTDMKRKYEPSTSPAQNQEGFSEEKKRQSKPARIVSTQRHGRGPQRKMQLRDFERSEAFPTPEEEEFFTENVITSNEPHFRRHNSQFLIADTVRMKFVNLDKVKDNKILHQHVAKLLDIFIRKMLKKAGGNLKTTKYWLQLNHDGYTDRDGFFVTHKTYAVADGGVIMNEIAKQMQSNKELRLDESFTVAMNVFKDKQSQLRGRGTQNTRKTDKIEKIRKTLLKQHFGVSLSRITGMSHCLPKALALGKLESDIETTTNGDERQKLEKFYRSFVRPEVPLDSLIVRNMCFLQITPKFKSESQGKLAKQLLADAGMDVNKEEHGRKDLIELANYLSNYQIILWTANKNQAVPTEEKRFNPDGKGFIGLFYYEGHYEHVNHTKGKHASRFCFQCSTFDDNQHYRKCKAKCKRCGTTGCKQEDENIHCSFCNIYFRSRMCFDAHLTPQSKKGLSHCKKYSRCEKCNKIDRSERIPGETHVCNANEFCSICREKALKGHACAHHVPDEKEKLRKRENQKQWAIIVYDMECIVSESGKFNGHIERGPKHKPNFICVRMICSDCRGEEGCQLCAQPWTYSYKNFPPFKNNKHDSPLASFADFLLHNPRAAGAYVIAHNGGRYVSIVNFFNFQDIFRYDHVMLLAELDRQGGTKAKEPKILLNGMTIITAEFEYEKRKLHFRDSFQYLQMGLAKMPGAFGLEGEAKGFFPHLYNHPDNYDKELKTLPSKEYYSPQFMASSTKKEFDDWYEKCYHDGFKLHDELLKYCQSDVRILTLTLMSFIEVSKLFQPMCESTFNGWNPIVNGCTIASYVMFVLKHEYIKKGDVGYIPENGYGGGNNSMLALKYIQWLEKIDPTLHLKYKLRGGEVKIEANGHSYFADAFNEATNDVFEIYGCVWHGCPKCYPDRDKKCPMRPDKTMEALYKETMKREEDILNEGFNLNSVWECEIYEQMEKDREMQKFFELNKYDQRLKPREALYGGRTQAFRSMAVAIEDNLLNYYDFNSLYPYLNAGGTAYPRGNPIVVDKDFPNTDEPLKLKGIVFCDVLPTQDAAMGYLPQKIMKKLMFVLCRTCANNQNIEGKCTHTKVSERFLTGVWCTDELNKAVSKGYKVLKYHEIWHWPEEAWVKGGFFADYIKPLLKLKHESSGWPKENMTDEEKKAYIARIWEMDGVKLDPLKIIKNKALRSLCKIFLNSAWGKFAQNPMKVETRLIYNSDGLAMANFFNDPNFEPTGLLPYGEHKHFISRRPKKDFLKTSPFTNLAIAAITTCAARLRLTEAIERVGIENMIYCDTDSVIFKQKKDSDPLGDLKGDNLGYLTNEIPPGNELVEAVAMAPKVYALKIRDKDGNYSYTVKAKGMCLNSGNTGSINFDTMKESVGISSVMLWPRYSFQMNAFIRDGVATPMEGEMLTFKRGDNALDGLWTFRLKKTLNPRMDKGHYVDGVVAPFGQISGDIQLINDYPF >CRE20508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:191786:192883:1 gene:WBGene00069219 transcript:CRE20508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20508 MSTSTSSSSSSIKKEIHRAWTDTALPCAFTSVANVHRHVRQTHPSLRYEQVESALEDLESFTLHRPNRKRFPRARTQAAGVYTDLQADLVDMAKYRQKNDEITFLLTVIDCYTRVLFVKPLTSKSGAVVAAAFEQIFTEMGTTPTILFTDDGKEFYNSVCRKLFDEHHIKHVSPKNDVKCGMVERANRTLKTRLAKYMTHAYGHRYIDELPHVVHAINHSVNRGIGKRPVDVRLGDFPIPLPENARRPSFKIKFAVGDHVRLASKRGFFDKGYEQGWTTEVFVISEVSPGRPVTYNVVDTNGEPVHGIFYSQELTKCTYNATGTYRIEQVLARRTRGRRKECLVRWEGYGPEFDSWIPESSVLQL >CRE20506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:189411:190088:1 gene:WBGene00069220 transcript:CRE20506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20506 MPVSVPSQPNVATKPSGFRFRFPSQTTIIGATQSGKTTLLRGVLGALDTCFDVPIDNIFWFYGCDTPSIPRHLSKLRAIEGLPDVELLKQHKDQNNVVVCDDLMNFFARDKKALNLLNDLFCVYAHHLNCAIFNLVQSAFALPPLTRNNSTYIILMRNLSDAAQVRNLLVQQFGEKWRGAYAAYQQVMASAPYQALLINNDPLSEPRMRILSNFLSPYPIAYVPV >CRE20529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:182312:183646:-1 gene:WBGene00069222 transcript:CRE20529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20529 MLCRMDPKSHDVIVDELDFSTMPGTQSGVLNSRWTPIGLKNNFQEAGPFEFILTNNSRSYLNLKRTYLVFTFGITDAAGNYVTMTPEVANSQVYAPINNIAHSIVRNFSLHINSQLAFHNSSNYAYKSYFEHVLMYGQEIKDSTLTAAGFYHDTAIGDVSSLGFQKRCLSVHGQGDVQVAANISIDLMNQPRVLLNSCNVKLTVYPNNSKFLIEAFNRDPDTEFRFKIKDVYALVNEFDLADGLSNALEAAVIEHKLIQYPMISSQVRSFYIEPNRLDAPANTLFTSKMPRRIFLGLVDAEAYNGSYEKSPFNFQPHGITDIHIDYCGMSVPGRPFSLDFTKNKFIEAYIQLQETLGHTRNNFSCNSISTKMFKEDGYTIFGFELSPVAQDNSLFELVRQTNISVRLNFRDKTPEGGLYCVVYAEFDQIFSLDALRNPIIDSIV >CRE20528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:180543:182226:-1 gene:WBGene00069223 transcript:CRE20528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20528 MDPPSSSSLSYYPSNFQEDGTFEGNFEFADRYLIPQGKFRNNIQFVVVVVDDSEPVKPTKPKTGKQPSLLVAKHKAKQDRPSSAADKARFRESRTFVHVPICESCRDASTLEKSSSGAEIQARRSRRGDPGXXXITDAAGNYVTMTPEVANSQVYAPINNIAHSIVRNFSLHINSQLAFHNSSNYAYKSYFEHVLMYGQEIKDSTLTAAGFYHDTAIGDVSSLGFQKRCLSVHGQGDVQVAANISIDLMNQPRVLLNSCNVKLTVYPNNSKFLIEAFNRDPDTEFRFKIKDVYALVNEFDLADGLSNALEAAVIEHKLIQYPMISSQVRSFYIEPNRLDAPANTLFTSKMPRRIFLGLVDAEAYNGSYEKSPFNFQPHGITDIHIDYCGMSVPGRPFSLDFKKNKFIEAYIQLQETLGHTRNNFSCNSISTKMFKEDGYTIFGFELSPVAQDNSLFELVRQTNISVRLNFRDKTPEGGLYCVVYAEFDQIFSLDALRNPIIDSIV >CRE20526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:165371:172410:-1 gene:WBGene00069224 transcript:CRE20526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20526 MRPIILLCLAVLVLWRVGGSKDEPPNKGNEIRKIGKRYVSDEKAVKTGTTGKPGIPGSSITVQTAKDDTETTPKEVSSSVSATTTKDSTTSSISFSTTEPLKTSTQVTSETTTLETSTSTLGDTTTTSASTTTSASTTTYSLKQLKSESIENSSEEEGSSTSTTSEEMTFSTTKSAETSSPVTSTMSTSPSTETSTQGTSETTSLETSTSTLGDTTTTSASTKSLSKELELDSIENSSEEEGSSKSTTSEGISTMSTSPSTETSTQGTSETSTSTLGDSTIIRASTTSISKNPESSSNENSSSSRTDPTSTPATTSSTNRLSSSLPTTPRVTTTTKKTPLTISELVESLEEGVEYTIDGVQIVTCPSYKTTTKTTSGTPAISNKSTGTSPTTVKSTSTPKQGSFEVTTSSADMTSSTATRPGSSVSVSSPSTPSVSVSTSSSSRSPYSSATSSSTTKKPIDGIENGESRTTTNTSTQENTSSSSSLSSETFTTAPVSSISSTTGTSSSSGSTSGTTSDVTGRSSSSSPSSSSKSINISIDKDTTRVNSTTTGSSTSTDVTDVSPGSTTPKIQTSASSPSEQGSPSTSDVTAISDASTVVTEIQSTTPATFPTDSSPETRTTSLETSTVQYHSSDIVSTTEPSSEATSSSSSSVDEESSRGSSTTSETNLRHTTGTENESSSGKTQSTFHDESSTSSSSDSTSTTEETSDSPLPKTSTKATGTSDEQTESTERVSSNTSTTSTSKTTDEESTSELETETPTTSSGNVSTSSQTTSASTTVTGSSTVSKIEDSSLDVTNTMTPMKSTQPTPSATSQSSSGTESTKISLTTSESTTEKTTSTPSTPASVKPSISLSTSTSFTDSSTVTDSVKTTSKTPMNPETTATSQSTKATSSVASSRAPAAQKTTSNDVTTMENLKTSSSSPGTTSATSKQSSSSTTESSTTRSSPSSTRSTAPKSTSSPKPTNSVQPATIPKSSSPSSSPSNPTFSQSSTPTRTSSSVKSSPSFSQMSSTLSTSPRSTASTTSDPRCRQQCPDGYLIGTKYCYLLYRGSSRISSYQASLSQCKTVDRQTLASFDKIENKADIQMIQQSAALKNIDWVYTNGLGGYAERFNKAASVYSIYNQTVVGTPIIKTVGISKTAENISALCVLPQYCNQNECNIGRLFMAYGYFENFRHSTDLLQPQQTATVTCIYGNQKFATVTCNSLGAIYPSPSLIDCEQKKYMKLEDTTNQTVANCGMCYLRGTEDCKVVDEKEQTFRCICNKEYYMRTCWKMFNPCNATVCGPNGKCGFNGTAMFCTCGWGWTGERCDQLLKEKYKGNKGYSTTVGATITLGGFLFRALKVAFIGITFSEQEDDPQDTHQRFRSYLMSAAGIIMTLFSNPTVFGIDQPTCRFYFIALHFCYIMAMTQWVWEGFNVNQVIRFVHANEWERDWYGNRPLGVQLAPRMIGTTVVVSSFLLITFQTGWYRLAADWTCVGVVCQQTVNIWLPIFFGVCILGITGLGLYECSFLIERRRPLLGYMIDMKIERELGHVVGRRIQKCRDNDFMALMGLVLLIIQWISVIFSSDRRDDPMWGVITVVAAGIYSAFCAFQEMMTCPEDKAKFVELLQRFAPDFFAPTYNETTMWSIFEVRQMFKLPKEEREAVMEGYLTMNEQLHLHHRWNLRLNHFLGEELVSVEPDDKINEALQRVYLEEMRRIDNNNGTEEEKRSVQEAFAEYHNSIPYKVTPDAGELEGRLELVTLASEDPKFGIRLAKFFIVPEFHTFQPEVPAPGQPYERWRETLHERRFYQNLEKDIYLITRQAAHEQATFINSAILFSVYGNNVAR >CRE20505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:156913:160568:1 gene:WBGene00069225 transcript:CRE20505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20505 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3N8B2] MIFYCKKIELNQNDITLLWFYLIILFSHHVSTLILFIPLVVYGLPFYQRNNLFILLTFNDRFGLMKNNLRQEIKYLKNDFGYRTLAIGEAFITYAFPFLLTIVMDIAVLYQSTNSRQVLATKKGVSKWSSHCRILLPAASATVDMKSFLILIVLLGLYGEVSCRYKSRHFHSRQSKKFSNVERVFHGTDIVNPNAPDTKYNDAIEDPSVGIVVSIELYIHERLDIFFHAKKTQASKEVIPLHISIRPNEDAIVFNSLIRDKWDHEERRRLPFEIQSLVLIDVKFDYTGFLVTINDEWLKMYEYRYPVTSANFLTIKGDCSMRSVSIFEEKGEETIKAAEYQQQETEETHVATNSRAFSVVVG >CRE20504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:146567:148537:1 gene:WBGene00069226 transcript:CRE20504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20504 MVMSAENIRTEHSALLQVNETVKIQSSQSIKQSNRRRHQAMRRCLMMATIQVSLNAPYYTLQLCDEIFSLRTSTHVYLYLDAFLYFIYLTQFSMIYFYTNLLVSPRGKICRQPPKMPVNCTSSLRSEYTMIPPSTDYQLGFNREVTNMNDLKSDDVELGLQKFKASNERMIHRFHRLLLQILSRKSLKITEEFDLHGPMV >CRE20524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:137854:138777:-1 gene:WBGene00069227 transcript:CRE20524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20524 MYYLVKNFRDKSISLELSMDGEDSSVWVVTPDHHYHGVEVVERKFRNLERVNINGHLVPIHRSRKHNGWETYWDDEVKGIQSVIEYLSDLFGIKKVARVTVTLYSFKLLNVIKERQGNDYELSINYHLSKKQSRFILENYPAKVLNMAGLPPNFPIGKYLQTVDTLFVDSKLSITIDDLLNMNCVEQFLSRLLQHWAIGGFRRLKYLRLNVEYFNLEDVLGELTHTRMTEKRTYKSNTVPPITFNNRLITRNDGVVAFFQYDQQYGRVEFGVWPDSERNVY >CRE20501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:127914:131615:1 gene:WBGene00069229 transcript:CRE20501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20501 MNGSEHMKLWMFISKCQFWIILCTVLISLLLVAKAFFNLIKRKRSNYFVFLISIIAANVITLLIILFDIFNFSFKGTLVCKLELFFSNSAACFINWIWLCLFSQRFFILFYPMKRSSYGFFGFMRSGKKLILATACFALLTQSWSLIFIEEVTMLTDDDQLIGVCERDVHIMSDFGYRILAIGEAFITYAFPFLLTIIMDIAVLYQSANSSFVVMSAENIRTEHGALLQVNETVKIQSSQSIKQSNRRRHQAMRRCLMMATIQVSLNAPYYTLQLCDEIFSLRTSTHVYLYLDAFLYFIYLTQFSMIYFYTNLLVSPRGKSCRQPPKMPVSCTSSLRSEYTMV >CRE21583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig313:11733:14528:1 gene:WBGene00069230 transcript:CRE21583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21583 MSLAYRQGRVKMAIKALKEVRDIANANIDRWRDMEEEDLMSNQKDIIGAQSLMESKLSTLDIAMDKFLLEADKIDDSDPIAQGKIDESSTKVIEAVDEANKVLDQVLVQVKRIAAANQKRTTPETPSLSHQQQQPVIIHSESRLDYKPPRFKGNKWEFENFWTLYSATVENSGKSNTLKFNCLLNCLEGEPKEWISRFKINDENYPQAVELLKKKYNDKEQIISDLTNQIQKEAAPSTSITDQRKLFEKLFIMTAQLKDYQENIETRMFKDQIVQKFSKSIQSELYKKKIDMDSTEWTVDQIMKDLETIISREEELNKLMKRSDSEHQNKPKTQSFKKDSKKDESDSRKTSSKKCTFCKQEGHWGSNCKVHSSPKERIEILKAENRCFLCTRYGHSIDACRAKACSNCQKNHHFSICNSKNDDSALHKKQSEPKAKTQSSNGSYKPKVQAVFVQTLQDDGSFHDSSRDETKENCMRPEKKENVQRVMPTASSVNKDDLETFIPTIQVKAFNPKKNCWETMSLMLDSGASQTYADMKMFKEWNLPDKGQKTVWNRVFGSAQSTVNTYQKTAVRIQLGQGILDMDIFVSDHLVGRIPKSKLSMEDMKFIIQNALVMNQDSLKSSCQPQIILGCDYMSQIMTGEFITLPSGISALGTACGLTTMGRSASKIKKKEQHLLMVIKDSNQGYTFSRLHQEQKERGISERVEFPRDKSAKSENSNGRLGLSDFQDSAKDPILIKPNSDLTDQIDQGHFLSHDRIGKGNEPEVTCSLFKRPAATSLHPHSPPVMKEDEEGPVNRNVLVPKKSPTRDRTPIQVEDSIPPEAPDQKVSRRLASRSPSDKSRRRHSSSSSSFSSSSSSFSSSSSNRTSRRHSRSSKHESNGHATRVTRPRKQTDNQGFRLDLRSTNGLTVNDRTIDNDWTADNDWTSSHPLL >CRE20522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:79889:84385:-1 gene:WBGene00069231 transcript:CRE20522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20522 MHRLNPFLWITICIVVSNGLLDKKVDPDSRDLSSSEYDQNLKSEFANSQSFTKYLRRARNANDKSSEYLNHITSIAHITNGIALQNGLMNGNVPIDSVIEEFLNLGTVKLSSVIQFKTDSIKNFGTKLKGVTGKFDGSAAELEKQALKWNKWYNKYLAVKDVTFDKIPRSSEYFSGAKTINSSTILENFKKLIKSMSSISKCIFVIENDVKENLDDIFLGLLFINFKGINQYIQTFASSVNAIEKEIREKLSQSLLKEGHVIFQSLESMLEVMKGRKVDVQSTDALITTIKTNVKIAAGLAKDSTAADTDISLITDLMKSQNVRKPNKHTIGFPNGASDMKQLKRDAVDSWIAKILNLDVTRLNALWDSLFPLFQINEKLDDLDEKMRSIVSDNSYESLLKLQEIQKELAAVSDKSIVSIDVLKVYDTCFDIPRPDDTDFKISEDFIANVHLLTNKLIDIEALIHVLKDDPLKQEVDEYLKSLGFTNIVDEPTVRSQLPEAVKRYNAGNNLDKIKKHINEIQSKFAVVNGADLQSKLSSVAAASGKFIDKNFNDEISTLGKIHDCLKDHLASSDKSSKAILAIHMLQDLEMSDIDNVTTLATAISDLSKSLIGIKSIPDEMNKIAKNVTVDINKLDNSMAKSRAVGQSVVSLKQVYELKQLKMEIAHLKSLRDTVEVEIRNVASLKEKVVIRNEWGDHKKDIYDLENFLAEIESFDTELDVSKATTMEEYGNPLKELATFSDVKIDFYKKANALRSLINQPTINSNVTSDLEMAQETLEKLSKLDLLFSKYRTKYSRAPSDLRGLHEFLVQFLTPEAVNTVIIGNNDKYYYVAIGIIFFAVFVAVVVLVLLYCNLLCFKDRSLCSVVDMDAEDKTVEPLTEDLIVIMICKHILLTHLQFFELWAELVRTVMNEDRSEHRRFPYVSLGHRKYWSFEIKLNPGTAAQSIRMHANVFVTRLKNIFTVAQGPMYASDSHDDTRVDFLSLIIKDESEYAVMIGQAQSADGPKNPNLCAAYFSQGPGGSVKIGPFTVETLDEAPFMNQGTAQIDVTLRTLKITDKRKKKVSRTIKHFHMPTWNDEDIPPFGYETCYQVMQTLIKSKFQSENILIIFQKPILVHNTKGVGSAMAFVGLEYTSRMMEYHEEYTYKDAFRKLIEKRYCSFQNVRQIGWMHVGSVYFTTRNYDLDPYMYEQMQKTFSEMIEKGTGVPADQNGIKWMN >CRE20521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:74049:78595:-1 gene:WBGene00069232 transcript:CRE20521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20521 MHHLNPFLWITICIVVSNGLFDEKVDPDFRDLSSSRYDQNLKVDFSNSLNFTEYLRSARNANDKSTEYLNHITSIAHITNGIALQNGLMNGNVPIDSVIEELLNFGTVKLSSVLQFKPDKIKNFGSKLKTVPGTFDGSAAELEKQALKWNKWYNKYLSVKDVTFENIPRSPEYFSGAKIINSSTILENLSILKDKIDSLIESISTIEQKIHKNLDKTVAMKLQTNFMTINEDMQDSTKAINAMRDDVKNKLSQSLLKEGHVIFQSLESMLEVMKGRKVVVQSSDALITTIKSNVEIAVGLVKDSTAADTDISLMTELMKSQNVREPNKHTIGFPNGATDMKQLEKDAVDSWIAKILNLDVTRLNALWDSLFPLFQINEKLDDLDVKMVSIASGISYESLLKLQEIQKHLVAVSDDSANSIGVLKEYDTCFNIPTPSDTDFQISEDFIASVHLLTNKLIDTETLIHNLKDDTLKQEVDEYLKSLGFTNLADDTTVKKELPEAVKKYNGGNNLDKIKKHVNDLIAKIDDIGLAALQSKLSNIADPSVKIIDQQFKDELIRLGGIHDCLKNHLASSDKSSKAILAIHMLQDLKMSDIDNVTTLATAISDLSKSLVGIKSIPDEMNKIAKNVTVDINKLDNSMAKSRAVGQSVVSLKQVYELKQLEMEIAHLKSLRDTVEVEIRNVASLKEKVVIRNGWGDHKKDINDLENFLAEIESFETELDVSKAKTMEEYGNPLKELVTFSDVKIDFYKKANALRSLINQPTINLNVTSNLEKAQETLEKLSKLDLLFSKYRTKYSRAPSDLRGLHEFLVQFLTPETVNTVIIGNNDKYYYVAIGIIFFALFVAVVVLVLLYCNLLCFKNRSLCSVVDMDADDKTVEPLTEDLIVIMICKHILLTHLQFFELWAELVRTVMNEDRSEHRRFPYVSLGYRKYWSFEIKLNPGTAAQSIRMHANVFVTRLKNIFTVAQGPMYASDSHDDTRIDFLSLIIKDESEYAVMIGQAQSADGPKNPNLCAAYFSQGPGGSVKIGPFTVDTLDVAPLIVPGQQLVDVKIRTLKITDKRKKNASRTIKHFHMPTWNDEDIPPFGYETCYQVMQTIIKSKKPILVHNTKGVGSAMAFVGLEYTSRMMEYHEEYTYKDAFGKLIEKRYCSFQNVRQIGWMHVGSVYFTTRNYDLDPYMYEQMQKTFSEMIEKGTGVPADQNGIKWMN >CRE20520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:67657:72747:-1 gene:WBGene00069233 transcript:CRE20520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20520 MHHLNPFLWITICIVVSNGLFDEKVDPDSRDLSSSGYDQKLKSEFANSLNFTEYLRSARNANDKSTEYLNHIASIAHITNGIALQNGLMNGNVPIDSVIEELLNFGTVKLSSVIEFKPDKIKNFGSQLKTVSGKFDKSAAELEKQALKWNKWYNQYLSVKDVKFDKIPRSSEYFVGAKTINSSTIIEKFTATQSFLQEMITAVDAIESDMKKPLGVFGITDTIVLSFKKLDHHSKSIVNSLITLKEALNKHVSQSLLKEGHVIFEPLESMLEVMKGRKVVVQSSDALITTIKNNVKIAAGLAKDSTAADIDIALMTELMKSQNGQKSNKHTIGFPNGASDLKQLEKNVVDSWIAKILNLDVTRLSALSDGLKPLFQINGMLDGLDGKVKSIASDKSYESLLKLQEIQKDLVAVSDDSANSVGVLKEYDECITRAKTAGDTKLSENFISNVHELTKGLMDIEKLVSDLKDDTLKQEVDEYLKSLGFTNIADDATVKKELPEAVKKYNSGNNLDKIKKHVNEIQSKLLVVNGADLQSKLSSVVAANGKITDQNFKTEIVTLGKIHDCLQKYLANSDKSSKAIQAIQNLKVIDTKSIDDVESLATTISGISKSLSGIQSIRDVMKKDVKEVTKEINKLDESTTKSEVIGQSMVSLKYALGLIELETEIAHLKSLGDAVEAKIRKVVVFKEKMGIQKQWGDHKKDVSDLEKSLAGIKSFGQKLNVSTNGTLGEYSTPLTQLTSFNDVKVDFKRKSKALGALISQPNIDQNVKSDLEKAQKTLDKLSNLDLEFSSHSAQYTSAPSAFLALQDFLTKFLSIDHNITVIEEKAAAFPWTIVAGVALSLIILFFTGMTASFFWERHKSRRGYMKARLNAWREKGASSDELMLWVRGRRYKSSKKWSEVHNGTETIVRHKERQCEKVKIPKKFKKVSRSPGVIRCNPATAVSYKYGIFPWTKLTIHANWIVTADGKEFIATQAPVKDEDGLRNTDVDFWRMVIMSKSDTIVMLCKKMEMFEGKEFRVCGEYFSEEEATQIQCGPYTIATLCVMPLANDVIKRTLSVTYKNFTKEITHYQFVNWSLSSHPPDHANVLELMKEVYKSNKPIVVHCTDGVARTMDFIALRYIYEEVIRDATIVFGDCCLKLRDCRWYSFQNAIQSQWVEAGVVRQIKMGPHQEEIDEIYQNLLNYLNREK >CRE20519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:65341:67087:-1 gene:WBGene00069234 transcript:CRE20519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20519 MPMICWCQQWLCFSKKKKKVDCSIIDLDEKEDHIPPLSEDLLVYVTGRMTFGTHFDKYEQWIRLLKKVVDQKRDETREYPFRRLSVQKYRKRSIKLNPLNSLQTIHGNLIRTRTNTIFYATQMPLENLDAQVDTRIDFLALIMKDEIEIVVMLGPTRPFDGKQYVALNGMYFCEATGGILSIGSYTVETIKEEPFLVNGVHNKDISMRTLKITDKKKQSRTITHYQYTTWNEKENPPPNGYETVYELMKRVKMSQKPIMVHCTYGIGRTMLFIGLEYITSHLEIHDDWTFKDAFEKLIEKRYCSFLNAGQIGWLEVGVVYFMVRRYELEWVMYEEFNRKYLEMCQGSWGPGGYGAK >CRE20518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:58426:64055:-1 gene:WBGene00069235 transcript:CRE20518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20518 MGSLSKISILQVKMSIVRVADTFSLEGCEVLQNTGNAINAAAVKLLRCNVFCRFDMPEIGEALFNFRVFFLFFVVFFLWNVLEELTRILQRYPTSGSGLPPKLFLIDFSGFHQFLEEYILFFGSRLFFRSYEPTKTVFFGGNPVPDMDLKLPCNSDVHRPYPKSSEELIHKFPDHDPGDFEILGFKFFPSKYFSGRNIRNGMKISASLFLIQIFILANDKSSEFLKHTTVVAHIINGISLQTGLLNGNISIDNVVGELLGFGNVQLPAVINFKPDGIIESAKKMKSIRDSIDDNVMAVMTQILEWDRWTVDAGTIESTDFKKLPGVPEYFEEVKKLNPSFNFSVLATAKDDADLNSRFTTLHSHVNDKKLRKTDYGAVIGNIKSLLDRFNTFLSAMKLLKKETKNLLQFNRLMGKPDAFKPLRTVTQLMDNRPKLNPIFDSSQVLKLKTNMNNALDSVKDMKTSADDTSLVTELMKSRTQLKVRKYTIGFPNGVSELKQLVENVRDPWIGKILNLEKSQLHVLSDGLQPLIDINDKLSELDEKLKPVASNKLYESLLNYRNILKDLEGMPADSINSIDVLKEYNGCKTAAIESVKTTYSPIQNFLTAVDKLKTKLMSIIQSMDRIDVNKLGQELTEFTYKLGVSKSDGKPPADDKVPEMIAELKKTDDLQKMQKRFQDFMKMFEGFEGDKLSTTYQTNVTAGESLISGINFLDDIEKEREVQKCLKKLSDKSTKVFTAVRTLHKMKEIDSGTASDVESAAKAVSEVSKHLTTVNSIPGDMKKNVNNLTEEIDEMPNSATHSEAIGQSVISLKYAYKMKELETEIGQLKAVGAVVDVELQKVVDSDEKQRIEKLWGNHKKDIDDLEKLLERIETFDSDLDGSRRETMGEYGGPLKRLVKLVDVKMNLTEKSKALRALITQPIIDSNLKSDLEKAQKALEKLSSLDLEFSSHQALYRKAPVAFQALEDFLTQLLNSKTTEEVNDESKTALYLGLLVLFLVIITLIVVFVLWHFKKLCFKRFNNSVICPVTDMDTDDKTVNPLTEDLLVIMVVNASMGAIQQKYDLWMELMKMVVNETRNENRAFPYVQLAICKYWDVNLPLNPWTALQSIRLHANTFMTRIGSIFTVTQGPMYASDSHDDTRVDFLSLIIKDESEYAVMIGQAQLADGPKNHELCAIYFSEVPDGSVKIGPFTVDTLDVAPLIVPGQQQVDVKIRTLKITDNRKKKVSRTIKHFHMSTWNDEDIPPFGYETCYQVMQTIIKSKKPILVHNTKGVGSAMAFVGLEYTSRMMEYHEEYTYKDAFQKLIEKRYCSFQNACQIGWMHVGSVFFTSRNHNLDMYMFNQMNSVFFEVHRTYSGVPKNESGVKWF >CRE20517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:47870:54762:-1 gene:WBGene00069236 transcript:CRE20517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20517 MPTLLRTLEKKLFRFSSTMKIRMKEQLVSIRCNQILMILIVHLLLVQVASVISLFDYIFIIRLSKMFFRRQPCSGYGFETSLQLWCSPSIPKRTQLIHKFPDHDPGDFEILGFKLFPSKYFSNRNVRNGKKSHSFYFYNPFTLANEKPAEFLKHTTVVAHIINGISLQTGLLNGNISIDNVVGELLGFGNVQLPAVINFKPDGIIESAKKMKSIRDSIDDNVVAVMTQILDWDRWSVDAGTIESTDFKKLPGVPEYFEEVKKLNAGFNFSVLATAKEESAGLKNSISTFQSRVDSNNLRKTDYAAAALDIGTLQDRFNTFVSAMKLLKEETKKLLRFNRLMGKPDAFKPLRTVTQLMDNRPKLNPIFDDSQVLKLKTSMQNALDSFKDLKTSADDTSLVTELMKSRTQLNVRKYTIGFLNGVSELKKLVDDVRDPWIGKILNLETSQLHVLSDGLQPLIDINDKLSKLDEKLKPVASNKLYESLLNYRDILKDLEGMPADSKDSIDVLEEYGGCKTYAIESVKTTYSPIQNFLTAVDKLKTKLISIIQSMDRIDVDKLSQELTEFTYKLGVSKTDGKPPADDKVPEIIAELKKTDDLQKMQKRFQDFTTMFEGFEGDKLSTEYQKNVTAGESLISETNFLDDIKKEKKVQSCLEKLSDKSTKVFTAVRTLHKMKEVDSGTVSDVESAARAVSEVSKHLTTVNSIPGDMKKNVNNLTEEIDEMPNSATHSEAIGQSVISLKYAYKMKELEMEIGQLKAVGAVVNVELQKIVDPNEKQRIENLWGNHNKDFDDLEKLMTGIKSFDQKLDVSKAGTMADYGGPLKELVTFSDVKMEFNKKAKALRALITQPNIDQKVKSDLENARETLDKLSGLDLQFSSHSAQYTSAPNGFLAVQSFLTKFLSIDRHQKIINKEEADPYVPYYVAAGMLILVIALGVIGYFYYKKRVAKKDATLTAILASIKDYEFRDKFDATVHYKYYIVSLISVFVDYRQGFGWLPLKRHRSSKIPINIASALLTNYDQRNKRIHANECEIFCGNETVTLHLTQGPLEKKMKKKKRIHHDTRGDFWELVLGDGSEYIVMLCDFDEDGKRVCGEYFKKKLNEVIVIRERFEVKTTEVTQLGKTWIRKLEIKDKRKPEQPAHIVTHYQNLTIPSNLAPTEHQEIIDIIRKVKGSTKPVVVHCSTGADRTMSFIGIYEIYSLLKSAAEEKLPTLNSLVTEAFKLRWNCFDMKFGDLMIHWMLLGAVYMLSLVGHQNGMNYSKYNYFQDYKNNILDSKYKKMKEIYKETRKMKLVERGILDNEPIERPRKWWQFWKSGMTADEFNKQMQDCEKKITKFENDEKIRREKAIEEAENAPEPEFEYIPAAPNPDAPNPDAPNPANQNAPNPDAPNPPNAPNPAPPANENAANPPAQPNQ >CRE20516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:43236:46245:-1 gene:WBGene00069237 transcript:CRE20516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20516 MVQKETKPTKPKEVSKESKNPIRKSIERQNPRTPVLKSPATKARRTVDKKGKSPNTPDKKSKEKKTKSKGKVEAPTAGMKDSVELTNKKWFCAKIFERVPSSFLGEYTDCEKPPVVATTHYLHLNKARYKDIICGESTRVILKGRPPNNDFYHANWATMPDGTTYIGAQGPMDSSLEDFWHMVVTELCPAILMLCDFVEDGKVKCVQYIPLENEQSAQYGMYKVTRKDKTIEFCKDVVLQVFEVTVPEKPEIATHTVQHFQYQNWRDYSAPVSTSSAITLYKTFKQLKLKGPPIVHCSAGVGRTCTFIGLDLGHQRVGYCSTYPPIELVHELRKVRDKAIQSTTQYMFMVMCLLDIFIEEGVARSKWLDEFVIAYKCEMIAAAERRKSFEEKKKADGTLTTTTTTTDSTTNTQE >CRE20515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:15272:23111:-1 gene:WBGene00069238 transcript:CRE20515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sprr-3 description:CRE-SPRR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N897] MSDLERCDYKEAYGGQIPNCSDATNTVYDAYTRTCVTAQVIQFEDQENEVKMQIIYGIILPLLAVAVVVSNSIVILVLSQQKSKRASVEPLLWMAICSLLMAISPLPFTIYYYNLSHHEDFNQTLFLCYLQKICMEILPFFFNTLVTLFTILLGVQRFIAVQYPLQSIRWCTHKMVRRYSKIILILAICLTGVHSIYDIRLLYHFCLKYGDESFWVARCFIGYSSLTSAMGADAFSAVFDYFRIGLVVVSSGLLFVVTILLIQTIRTHDNPKQGVHRHKNRKTSTNTTIMLTVIIVIYMLARAPSTLLILLVKIMDYMPVPQLAFQIVNNVYLRVFANITVISLHPISFAVYMFMSRKFRVSLRRLLGWRFLASDEEFNAFTSSTRIHTAHPKMSLVEKESLCGVRVTRSPGGGGQQKRGMSVDFKSSFDVEPQRRRRATTADANHVNFRSFAEKSEKKSTGIVNICED >CRE17442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:129984:130622:-1 gene:WBGene00069239 transcript:CRE17442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17442 MNTYTIEGNIICQKTLIRDLGFLISPKLDFSEHWHKATNSAKFLVSKIFTSYSSNDSKIMTLLYKTFIRPVLEYGTEVSSPYKKCDIRAIESIQNSFTRRLLSRQIGRYLTPSDPDYLSANQRNAKYGLVSLEQRRQATDYKMIIKMQLGKIDINTDDFFTTNTFTKTRSNNTFHWKAGKTKSRRNFFIHRTLNRIPVSSDRPSISLHSLPN >CRE20513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:2823:5151:-1 gene:WBGene00069240 transcript:CRE20513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20513 MIKLKKSQKFAETYSERLRDDLFNFFATVAVEIQVENIKIQEQAMAIKPQSAAVVAVTEAASSRLWTALLITLGVILLLCVLIVFPVICCLKKRGAFSSEHVHDDDVELPDEGDDEQRSPRRPAAVSPARAFSPARHPSASPVAKITFLGLELSILIGISFVAIISCCLAISVYSVNMIVQDILLDKKKQKLVEKYKDLEKEKEKKLEEEEEKKQEELEKKAEEKRRKDEEDRLKRRGMLPV >CRE12228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:17239:21291:1 gene:WBGene00069242 transcript:CRE12228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-754 description:CRE-LET-754 protein [Source:UniProtKB/TrEMBL;Acc:E3N6Y8] MIKHCHFCYVLPPPPPLSFYQFSYFLSPSFHLFTSFCPSSFTRRLFFELDRSKFVTLHRFFALKMSFLAVLDHFQPKNEFSAMGVPKTQQSSQAATQSAGPTETLARGIRAIFIGPPGSGKGTQAPAFASKYFSCHLATGDLLRAEVASGSEFGKELKATMDAGKLVSDDVVCKLIEQKLEKPECKYGFILDGFPRTSGQAEKLDEILERRKTPLDTVVEFNIADDLLVRRITGRLFHIASGRSYHLEFKPPKVPMKDDITGEALIRRSDDNEETLRKRLVQYHQMKTEFEAKNERLDFKLNPHAHSNNSGDGTLAFYDKEKDKNGKYAYTIAQNGKKTDATLSADNLIGSELEQSLFGRRNNKYHCTAETSGVSQCYDAKGHSTVPLVNYYQKHGVHVAVDAAKPMADVKAHIDSVFAKFTQKKDRVAFV >CRE12229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:22686:26918:1 gene:WBGene00069243 transcript:CRE12229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-knl-1 description:CRE-KNL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6Y9] MDGNRRRSILRQPRAMSEEITDENVVEGAQTAIVTNRRVSFHATKQVKEYDREYGKIINGTPVREKAFDTMSSDGGAMTPRVASVDMDVSESSTSTTTTPFKIFDTNRQDMDGSSMDMSLDKTLNNETARLFDITREKTLIYEKTTEVTTRTTERILTVPGSGTDGGSGQDDTMALFNQTDRQEVDMSVDQGGANNETLNIFNTTNRDVTDMDITSDHRAAPQFKTPRLPADAKKAPITDNMEPIDMDMDTTLNAANDTMAVFKSPARIKRNDSEPIDMDVTRDQINNETLALFQTPENRKKPMSVLQKTPEIGSEAMDISMAAGMTPKRAPAPVDMEMSSDDTMALFKITTPVTKKNFGGEEDMDITQRPASVADDTMALFKSPARVETVPTVIQPQQQLFEESMEMEDQSALSEKVDEPEDVAISEVLEAPEAPEAPSNHNSMMMETETSIVEEDRAAVQMSMMDVTAPLDDVMESVLLNQMDSEKTLTTTSTTEESPRPKSSVRDVTSSVHVSSVTLNVSTNRKENDSMIRTMQYTEVDTTNTFQNTSQRMEDSDEEEIKEESTAKETTVVREESEDVTIQNQQENQQEMSISSYNLSVFNQTTNSTGSVPISRRRRSLLREVCESQRRHAMEKSMNISTAGGETALEEYRKEKMNASGVMNQSLDQSAQGRDIFTMNTSIRSPNVRLAQGTPPPKSPRFEMPLYDPAVVNIVYLTPENVNNPTPLPEAVEFQKVLAEESQRVQKEIQRKQKESGVPPEKLEWIQKNEMSKLTRDEREVVTIAREEAEIRFLRLRLKFAKEQREKGDEMIEKMTAENEKLALKMQGVKNIPALREEVEHLRNQPTLAECHRIEAEHHEMKRLMMQLSLDWIRFMIQKVMEQKELKKKIQMDIELQQERIYQLEEEEKKAMDEIKRRVEEMEIQW >CRE12247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:27850:30953:-1 gene:WBGene00069244 transcript:CRE12247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mpk-1 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3N6Z0] MTSLFQKLFYSLRIFCNFQMADGEAVITTVNNVEEVHGQLFEVAPRYVNLSYIGEGAYGMVASALDTITRDRVAIKKISPFEHQTFCQRTLREIKILNRFKHENIINIQEIIRSESVDSLKDIYIVQCLMETDLYKLLKTQKLSNDHVCYFLYQILRGLKYIHSANVLHRDLKPSNLLLNTTCDLKICDFGLARVTDPQTDHTGFLTEYVATRWYRAPEIMLNSKGYTKSIDVWSVGCILAEMLSNRPLFPGKHYLDQLNLILAVVGSPSNEDLQCIINDKARSYLISLPHKPKQPWARLYPGADPRALDLLDKMLTFNPHNRIDIEQALAHPYLEQYYDPGDEPVCEEPFTLEMEFDDLPKERLKELIWEEAESHHKRMEAEAAARNGVAPSV >CRE12230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:50828:53230:1 gene:WBGene00069245 transcript:CRE12230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12230 MTAQGYYSDTVGQGGPDVEEELLDFDRAMRDFQQMFPNVSPAHIEYVLRKYDGDVSATINELLYDNTPSTPTSSSYFLRGEDALTRLRRRRHEINEKLNENQRFLDRVTDVETARTYEDQQLALLLEHREVNTLISEEKAKKSHDSVSTRPPQLARRHVKIPKNSRKTDNFLRKNTEKSTENIQKKSKIVERRRSEEERVPDGPLIGIEEKSENFTTKLKETLKKGKFSNNFFDFLLSFRGFSGKIEEKRLKIMEKK >CRE12249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:57053:61302:-1 gene:WBGene00069246 transcript:CRE12249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-20 description:CRE-NHR-20 protein [Source:UniProtKB/TrEMBL;Acc:E3N6Z3] MKVERPYSGIPATAKCLVCEHPDGGSAHFGSTSCLACAAFFRRTVSLNIQFQCKKDKACIIFHELRMICRACRFDKCVKAGMRRECVQKRRSNKKIPKKHMMREDQIKMEIPDDCKFECPGDQTDDNSPLSIEKKSPPGLMSDNSPEMVDFKFDPSEMPSTSGGSMQRSERTPSRQSIESSKILTMNGEELLRFYVDQLKMSMDRRRMIFTETALLAVIEDRGDVPFDAREPPPHSLKRQYESQRFDNLLAFDFCKCCPGFDLLAQMEKAIFYRSCSLAYCLLDIAWITVQAYQVELPEPVVMYTDGSVCTVNDMSYGWDDEEDICAHDKKKLFLGFVRRFNEAICRPIRTLKLTHVEFAALKALCIWKLGYCEFTPSMKVIGKEHEEAILHGLHNYYEDLYEDSNEISMRLGNLILLMGTVFEMNQLIMETYKSAELFALFKLDALSKSLLTL >CRE12231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:82199:85729:1 gene:WBGene00069248 transcript:CRE12231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12231 MRAQSKVNGHVNEERPAGSTAEGGGDRLVYIYNSTEHNEIINNTNYFQLFPDAASSSLPNSIIKKIDSVTDHADYVSIDPHYSSLTKQIPNKIKGFAEDVGSTRISSHIINRYRSFKESADNVGSSRLSTDIINRYRSFKESADSEFFLSSSSTNCSFSATNNQTDPNHPPPTLHESMPPRRKRSISTSSSALFRLRRSSNDVTFSTLQSRISALARVVTGVSLYNGLVDKSISFDQAITELMNLGSIQLKDLETFDNKKADEFAKKLKEASNKMNTNAAETEQRMVNLHTMKVLWSESGDLKTIPDKSHFDDLKFLKTLDLKVLEEFKVGTDSQKSLESLRSAAKSAVDAIGKTNALKTLKDMMSVHRFAQLVDYYFNSEVVNLRYPGVILSANILLVKEDFKELQDLAVGTDSVFLAMKEIVSSSAKNKNARQHTSGFINGYNDLMQASKDSGDSWLQKALGSFVVADELSIFKEVEKEMKSLDNVWNSARNDNIKRSLHQAEQLETNTKTIKYESNSFDTMLSSFQKCSFPANMKDYKNKLVALSNGLKKINRKIETVYSISRFTEGRNGNASETLNYLKPHLQTLNHGQKVNEIAKGMMSGTDYHYLTNSIATDHENWPSISGNSTTFETDIATASSAVTGSSKHISTIRSKIEEITKSETGKKLVEFKELVKFSKPLGDSSEALSRVQKVLERKKELLDFVENGHLVEEAAELLRAPMDQFEVRKDWAGFDELSSKILNQLDKIQKWIDGLVTSEELDSYGSALEKLSGLGDVDLEMNRRLAAVDFLLKMIDGSKHQSTVDEMKKFKETISPLESLNLEFSKFDASLSTMSSTLTGLKNVGEKPVKSTTMAAGLIGEEKKLTARKDTVKRLDKLWNY >CRE12232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:88306:92055:1 gene:WBGene00069249 transcript:CRE12232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12232 MRIKLHFLFFMGLAMASSRDTASSSLPNSIIKKIDSVTDRADYVSIDPHYSSLTKQIPNKIKGFAEDVGSTRISSHIINRYRSFKESADNVGPSRLSTDIINRYRSFKESANSEFFLLSSSTNYSFSATNHQTDPNHPPPTLHESMPPRRKRSISTSSSALFRLRRSSNGMSYSFSVHHPTPIFFSDATFSTLQSHISALARVVTGVSLYNGLIDKSISSDHAITELMNLGSIQLKDLETFDNKKVDEFVKKLKKTSTEMGAQSVTLEKQIVDLYTMKNVWNGSKNFETIPDEASFKGLQYLENLDLTVLENFKVGTDSQKSLESLTNAAKAVVDAIEKGNALKTLKQMNPFHQFAQLVEHCSNAKPEGIKFLTPAETTPLKTNLKMLQGFSSKTEVLSTLSRIASSGMEASSGRMHTSGLINGHRDLNQLSNDVENAWLHNSLGTFDVKEGLSVFTGLAEKMSALDEKWNSARTKTVRRSLQTARNLESSSNFVKYNPDSLDTAFQSFQTFPIYSQRLDSLKALSKDFIKLLKVVEIVYFISRSKSLESSKTHLLLLKNGEKVKDVVGRIMQQLTFYASIPTDPQIKQYKEFFDFLKTLNEDFTSLSAATQFVIDLRNLKDEQSFETDITTASSAVSGSSKHISTIRSKIEEIIQSETGKKLVGLKELVKFSKPLGDSSEALSRVQKVLERKKELLDFVENGHLVEGVVELFPDPSDQFEVRKDWAGFDELSSKILNQLDKIQKWIDGLVTSEELDSYGSALEKLSGLGDVDLEMSKRLQAIDFLLKQIDRFKLQSPADEVVKFKETITPLESLNLEFSKFDASLSTMSSTLTGLKNTGGKAVKSTTMAAGLLGEEKKLTARKDTVK >CRE12233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:96420:101890:1 gene:WBGene00069250 transcript:CRE12233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12233 MRVKLFFLFFMGLAMASSRDVGSTRLSTDIIKRYRSFKDSADTTNHQTDPNHPPSTIHENMPSRRKRSISTSSSALSRLIRSSNAIPFSDATFSTLQSRVAALARVVTGVSLYNGLVDKSISSDQAITELMNLGSIQLKDLETFDNKKVDEFAKKLKEASNKMKTEAEETEQRMVDLHTMKVLWSESGDLKTIPDKSRFDGLKFLETLDLKVLEDFKLGTDSQKSLESLRSAAKSAVDAIGKTDALKTLKDMMTFHRFAQLVDYYFNSDVINMKSLEPSTSQSVKDDLTKLQDLAVGTDSVFLAMKEIVSSSKGNKNARQHTSGFINGYNDLMQVSKDSGDSWLQKALGSFVVADELSIFKEVEKEMKSLDNVWNSARKDTIKLSLHQAEQLETNAKTIKYESSAFDTMISSFQKCSFPANMKDYKNKLVALSNGLKKINSKIETIYSISRFTEGRNGNASETLNYLKPHLQALNHGQKVNAMAIEIMTKSDFYGGIPKNSVATDHEKFFNCLKDIKEDSAGFSAPAQLALDIRQLKNSPTFETDITTASFAVSGSSKHISTIRSKIEEITKLETGKKLVGLKELVKFSKPLGDSSEALSRVQKVLERKKALLDFVEKGHVVEEAVELLPAAWQQFEVRKGWAGFDELSSQILNQLDKMQKWIDGLGTSEELDSYGSALEKLSGLGDVDLEMNRRLAAVDFLQQQIIRFNLQSPEIVKFKETISPLESLNLEFSKFDASLSTMSSTLTGLKNVGGKAVNSTTMAAGLIGEKEGSDNFWYIVSGVVAVVVIVALIIAGIVCGWFKACLNKMKGKPKPTNKTTPPAPPNPKPITPVTPDDQKVVPKVHPPVVVQPVAPPVAPPAGNNRNRRNNQNDQAPVVVQPGGNNRAQRNNQNDQAIVAPPGGNNRAQQNNQNNQAPVVVQPGGNNQNRRNNQNDQAPVVVQPGEIVVPPNEYSARPHSSYMDSQSDDDTLRCVKSITNNWC >CRE12250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:102950:104665:-1 gene:WBGene00069251 transcript:CRE12250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12250 MVFCFISTTVSPPALIYMGQHQEENEKLLKEEGMENSDDLWFHVDGMSSAHVYLQMPRGMTMETITGELLEDCCQLVKKHSIQGCKLDEVQVVYTMKSNLKKTKGMASGQVSYVGFHNPNMTKLHMTTKKNSVKILKRLMETRWKT >CRE12253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:109974:113086:-1 gene:WBGene00069252 transcript:CRE12253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12253 MLFKTDVFPSCLKTNSDGTRLVVGFVDGTVRFYDTTKATKVSEFEEEFDEIWRFKTKTSVRGVDLDETRGRVYAVTKNRALCVFDLETGRRLRCILKCHSTSPMTLCTLPSTALKSQQLATADESGEVKTWNLDAEVPLIREWKEQSEEINDLKVDVKSNLLATSSDGTLGAYDLRKAKFKVRSELMHSELFAVCGTTRYVYVGGEDGYVEVFNINEYGNLLERIESGFEMGVNGIVELRNGLLGITSQGSNKMRLLNVMPSKRLGIVGCHGDEKDLDDGIDAITISGDKSTVYTMISFSSSIKKWEMTAIVDEIPILRAQDAKSKKKRKVEGFFDGMVEKKDDSDDVDSDDDGETKKKKRRRQDSDDDSDDDDVDDEEEEEDVEEEEESGEDDSDDDVDDEEEEDDEEEEESGEDDSDDDVDDEEEEDVEEEEESGEDDSDDDVDDEEEEDDEEEEESGEDEEEEDSD >CRE12234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:114017:115194:1 gene:WBGene00069253 transcript:CRE12234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12234 MTLENLLGNQTTRHLPSIASQPTPVDYSLPLSAHSSTRIDNLFRMESTFPLFRLPGNVISEVIKYWWINQLFEFSLISTKTKSIVASLGLEADDVRIVISRDIRITVSYRYIVLKLSFYKYSVDARIHLDPNQPIPAYFANYYNWTIQSSSPFSFNNWLDHIKTVFCYHKPPNVAFLPGNERFEMESLKNTFKNVNQLVLTEHHNEFRNREFLEIFKNANELSLARNPFEEACEIQKIFIQNSNDLGFFDDVSLDDMLLVNSERVAFSRPISQKQFNQFLKHWIRGSNPRLQYMVLSIAKIDFVSGEVDLKGIECTEMIEESMEEIREKHKIPDFDMVQIRRKEGTTAVIATKDCSTSIRVRFYVSY >CRE12254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:117099:134432:-1 gene:WBGene00069254 transcript:CRE12254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12254 MHDSSLSFVKPCYITVSKKDETTGTNHELSFRIDTTILTESKKTNSAASIHRTTSSCRNSYGFDHADRPDFLDEVGREVLNESMEKKKSLVGKEEISCVLSLAKGAPGLITNRIDFLKQNEVVTCTLETVDTVSLDNVQLTFRELYMSRADMFRYRSCLIGRMTYVDEQKRHMNINTRISDMWRKGSLVRSGFVTDKTRVVFRSSSSTVLIFIQMCSEMNQLDPQGDLYLEKCIKGFLTELFQKWKEQSCTHYVSIILCSRWYAINGVDDAAKKFMKGACDHRGRYYQDFYRLLFQNEHYEDWADKQLKEINVGCSKYSSQIQDKLKDMLPQVQFEISTAADGNFLQVVNMSMNSFSMYHSDRRFETTGQQIIFVTPGNGVLHVDRDLVGLTKQRVIDMGISLDMVCLGEQPLHAVPLFVFHPIVGQTDTRCEYFIPHWMNYSYYRMARRTAISTTFRPRIQLPADVLSAPKKMMMGTTKTEIERGEEFYRKFDEAKLAAVCASIQLLPKEEANEESKVLINAPRVGRKLVREILGLTGPDPLDSPEKWSDGGGEVEKNKKKEQELEETKGNKQLFHDDFFQNDATPMFEDAPPAAPEPLPPPPVSTSSQSETKRKKLRPRDETKSDMANGREIRREPPLSVSVRPVSAAREIQKPSPYKTLPPLVSNHSNSSWTTNTRVGFSFEPMPDSPRSASYESVKMPPSSIIGSVEVGSCEFGLSVSALAKLRGTSPTRRGTFEGSTTTLANHPESFTAVWKRQNGQLINPFRPEDFAVQVTANRRRWIHVFPVDSSGKSKLAHHFVSGQSIVHIVGAPEDQKRHVAQIGSSSLETVSMSKSPDQLSLSADRRSLTKRAASGIIGSDDKNGSKSNSAGNTSKKYKVWAWGSTGEEKWNVDMEIGTDWKSLVRSALLPITTDFFPDGQALVAQHVRNDYSIWIDDQTTENYRSGLYEHEEVRSVTSAQSDAPPEIKSPELIESFHSEVSGHQIVGGGGPSGGGFRRVRDKTEKVSMTRMIYEQMILQRLQRGYQIVLLDKSLVEVSCEVAAKKGSRVEAVKKQTIPSVPKTKEEREREKAKNRQRPQDCECVLSFNKYYQRLHFDEETANIFVVSYRPIEGPPDFVPGFDGWCERPSVFRREDEPSTSLQSDCHDDAPAPVSSPVVHFEKDAFDDELNSKYYNTTYSYYFQVPDAETYEKSATRLRHHNLDKLVWSSFDAAFKNIFDDNLYSETNKCFSATFLVVFHPTDILKTKLTEKQAEGKGIEFRDPKEFESPNEAWYSRLTKLLLLMNKLQYSRIPPDPRDLKERSPKLKKDDATIKYVMEAFKATAYVGLGSTNERQLTVIHPKNMFVIYDFALWLRYNVEGITSRRRAMNYIRRVEEAKYLQIITTKGKHGSESSLFKSSTSRYKSQYGFQLCYICEPDNNPKGVKVSRFMMVEYKDAICSEDETECVWSLQGNIDMELAPASPDAPLGEWTRITYDHWFYPDTAFRFTMKWVMATGQTVADTVQSWFNKASKQGMSLYPVPEDPFALAQDTHSNPLRCPIRIQMREGVVAHEDEQEFLLQILFRFGFIDIGCNVKHDFSSPRTESSTSENAKVLASPSPTLLLDPVPPPPQPSVLVPVEEEVNMEEQEHVVEHVEEPQNVIEEKKIEEPEPEEVEEEEVTRSLNYIHQAGGMFISLVLSEDKTRPPFFYWAWNHMLSNRYRGQCSEKFQDYLLSEFRNVCSDKDGVLTTLYSDFLIQRQQGKRRTATPPIFND >CRE12256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:141284:150420:-1 gene:WBGene00069256 transcript:CRE12256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12256 MSRSGEAYAQELNRKRAANQRAAADEDNDENKGNGNKVAFGEAGNFDTDVYGSVRGESRDRYMDSIGTGEEDDVDEDSMPTVQKKSTNYSQPHKFIEEVAAAAEDTDPFAETRTKTIAERQSKYHERAMRRQISPDRADAFVDQTPDNRNRGYAEVMRDQLYHEEKGRVERELADKAKAGELHVVGGQPEKKKGRWDSGKQEAPESENLGAASATPSQGSAPRKRLGFSALSADAATPRAARWDETPAHSTGAADATPSIDKWSSTPAAQTPRRNRWDETPKEGGLNDGSMTPGWGMETPARGSDDVKIEDTPSASKRRSRWDLTPSQTPNVAAATPLHSGGQTPSFTPSHPSQTPIGAMTPGGATPIGTAAMGMKTPAPHMIPMTPEQMQIYRWEKEIDDRNRPLTDEELESLFPPGYKVLVPPMNYIPLRTPSRKLMATPTPMGGAGAGGFYMPGTPDRDGVGEKGVGGLVDTQPKNAELPPLKPDDMQYFDKLLMDVDESQLTKEEKNEREIMEHLLKIKNGTPPMRKSGLRKITENARKYGAGPLFNQILPLLMSPSLEDQERHLMVKVIDRILYKLDDLVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLAKAAGLATMISTMRPDIDNVDEYVRNTTARAFAVVASALGIPALLPFLKAVCKSKKSWQARHTGIKIVQQMAILMGCAVLPHLKALVEIVENGLDDEQQKVRTITALCLAALAEASAPYGIEAFDSVLKPLWKGIRMHRGKGLAAFLKAIGYLIPLMDAEYASYYTREVMLILIREFASPDEEMKKIVLKVGVRCCVVKQCCATDGVEPSYIRDEVLPSFFKAFWNQRMAMDRRNYRQLVDTTVEIAQKVGCVEMIARIVDDLKDENEQYRKMVMETIENIVALQGATDIDARLEEQLIDGLLYAFQEQTQEDSVMLDGFGTICSSLGRRAKAYIPQICGTILWRLNNKSAKVRQQAADLIARIAPVMHMCEEEKMMGHMGVVLYEYLGEEYPEVLGSILGALKAICNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGAIADRGSEFVSAREWMRICFELLELLKAHKKSIRRAAINTFGFIAKAIGPHDVLATLLNNLKVQERQLRVCTTVAIAIVSETCAPFTVLPAIMNEYRVPEINVQNGVLKALSFMFEYIGEMAKDYIYAVVPLLIDALMERDQVHRQIAVDAVAHLAIGVYGFGCEDALIHLLNYVWPNMLENSPHLIQRWVFACEGMRVSLGPIKVLQYCLQALWHPARKVREPVWKVFNNLILGSADALIAAYPRVENTPTNQYVRYELDYVL >CRE12236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:151241:152002:1 gene:WBGene00069257 transcript:CRE12236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12236 MGCLSSIEAPLSALITVIFLTNGLYSITYKVVESNKVFDEDALNTKDMLMSALAIMSLIGICSSRRAFGVFTLVFMIHTFFYSIFHLFHTLVIFLKYFDEPCRFLKAPATGSFPVETCHAVNGLTLVCAVITMIATALATMAVYIRLTTVVVDISDMRNMATSRSFDNSVPQLISRKSIESEREEDVEMQPRRKMGQADIFV >CRE12238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:154606:157143:1 gene:WBGene00069258 transcript:CRE12238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12238 MKAPEKKIKCDVCHKTFDIMFRLKEHSVVHSGARPFECKICGKSYKFKTYLKYHMTLHTENASLECSVCKTSVRKNNLRSHMKVVHDLIGDQLDTAIEKSMNKATDEKDKTPSTSNVENVNGEKEKIDEESKPKLFDSVEPLAKDLNIKDATEDVLKTRSQVDLNNSQSFSTDAIKQEPIDYEYPFEAIQKKTFADQSQSAMQFFPSKSVKESHESRSLLQEMFENNQGFQVGHFQNSIGVYPTSPVPPVQQQFPSNFEEDCRQISHQISRVAVNSTKERQNCFRQLLFATVFAFEGAQTCTNVEDFFRMMGERYAKK >CRE12257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:160343:161833:-1 gene:WBGene00069259 transcript:CRE12257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12257 MPIIISNEYTKLLILEEAGFTQNISKCSYRITFPPPKDGSTLPFHLRNVNRELGLPYDVDRYGNMEKAWITAREDLGDILITDPTYDEEPVQLVKTSQNRQVVEHMVVCIKNDQVKEISDKSRKTMKIRDLMRQNLTALFPAGEVHKVEILEIVTTHGILRLPLGLQLEVQALSLRNNANNVINAISKILSKTSFPIKLIVVQVKGPTDPIFKNSIFRKCKKVEIEDQNEGGKWAETLIGMTQPTIRMIGTEVPMNDVLLMAKNWVETNREIGSVFSMITKKVQQRLAWLKEHLNAKDVIIEERSCPKYPRALSVPINDEIELNLFIQQQVPYDQFPSFVPNDLPYTIVMEVMKVGSAEPLNYCRYWYLTF >CRE12258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:164121:168360:-1 gene:WBGene00069260 transcript:CRE12258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12258 MSSDDEFYLEDVEDDTSEFDSDTENMETEDQGEEVVRVLTYSDIREVMKEKIEEVREILEVNNGVCRVLLQKYAWDKTILLEKFYEDPNFIVNSKIALRASDSSESSNGECDICCDTAPLVGLSCNHTACKECWRAYLTEKINEKKCEIQCMASDCELIIEDDKIQEYLSSDTTVISAFQQLTVDEYVETNHFLTQCSCGMIVESSRSDAHLVVCSCGTRFCFSCGNDSHEPVNCRLLKLWEKKCVGVKNKTSAAADGYSSDKETFNWILSNTKDCPKCVTSIEKNGGCNRITCRSKTCRFEFCWLCMREWSAHGYSSCNTFNAKDEKNRVDSRAELHRFLFFYNRFKSHEQSLELEKKLFQLVKTVNVKMEEMQQKGICWADVLFLRKSVDILSECRQTLMFTYIFAFYLERNNQAIMFDGNQKDLEMAVEQLSGLLEQEMETNDLRVLIQKTQDKSRYVEYRRKVLLDHCTEGMEQDAWVYNA >CRE12239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:169176:171081:1 gene:WBGene00069261 transcript:CRE12239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12239 MNSKPENNNYKENDKQNLLEKILAKIKELYKKVCDYFTDSGSGSFESSESVVPTVGEITKHGIHGFIIGIIENLVRFVVKVGGPRASNIVTIYDLNSISSLGNPKWYARVDMPHTNVPYHHINVNKAVTGLPDPHIPISAFAAETAGTVGRVLEILNTLAPYLIAVFVAYDVYLIGASAAKDYKNRSSRNTIKTIIDILMGLLGGYGGYFAGAAIGTAIFPGIGTLVGGLIGGIAGGIAVGSGGHITSEMLMDLFRYDIDDFYCAECGEEFENRRYLNGIQEKCKKCRPAKSVSSH >CRE12259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:171500:184727:-1 gene:WBGene00069262 transcript:CRE12259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rha-2 description:CRE-RHA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N712] MGKRKTKHDDAADEYDANELMIVPGEKRKKIEKTGEKSATGGKKNRNFTKEKEEAKLTKQAKRKLAAVHARKALKQTQEELFAGLAECQLDPSKLNQLTSSTKISKETEKKPNFPEKLKVFSGKAKSEEKRTQQNYYPTDDESTDSEEEEEKDSEDVTTPESAIPEVKIEPIDLDDEEDVQVTSEVKKTSSDVVVKREDDEESDNEDILALPTTTVINRKKVLVERSEDIQKSRAELPIFAEEMRIVEAINENLVTVVCGETGSGKTTQIPQFLYEAGYASEGELIGITEPRRVAAIAMAQRVGIELGKPENVSYQIRYEGTRSDDTNILFMTDGVLMKEMEQDVMLKKYSVILIDEAHERSMYSDVLIGMLSRIVPLRAKTARPLRLVIMSATLRLDDFTHKKLFPLLTPKVIKVDARQFPVTVHFEKRTPDDYISSAFRKTCRIHETLPPGAILVFVTGQNEVRQLIAKLKKRYPVVYETDKNGQVLVKGTKEWKEKKAEAAKNIKLEDFKEETPEKDELDTDDVLDADDINERGAAEAFDDYEELENGDGDMNDGAVENTIGAPPVNCEPLYCLPLYSLLSMGKQRRVFDETPAGMRLCVISTNVAETSLTIPGVKYVIDGGFEKRRLYDSITGVSRFAVCRISQASADQRAGRAGRISAGHAYRLYSSAVYQDCVKFADPEILSKPADQLVLHLKSMNIVKVVNFPFPSAPDEQMLEAAEKRLCRLGALSETTVNGKTVARITKLGKTLAVFPLAPSYAKFIAMADQHDLMNHAILLISLLSVREPLIPVSSLRGATPEETKELMKNVLKERRRWCTQTGARRLGDLKVLMHAATVAESVKYNARECEKVGLRVKALVEARKLRQQLTNIVNASCKKEQVAMLDAQLPPPTEQQSQLLRQMVVASFSDRLARRVDRSVGQEEVQKGAYETTLITEHVFIDPCSVLFTEEPEFVIYQELVQVNEKKLMTSVCAVDKEWISRLAESYCKFGESSDKNLEPIYDGVKDAVCKNVKVTFGPLDWKLPNENRKVPHDIMQYRYFALFLLDGQVFEKLKEFTPKLLAPPTTMVKSWAKLQKRTEMLLNKLVDKEVTNRATLKEQWVKNENWLLEEYLEWVPESLHQSISLMWPPLEEDEKTAKMGRNKKY >CRE12260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:185299:186337:-1 gene:WBGene00069263 transcript:CRE12260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12260 MSKRHERLTTGVILNRVQRKSKRVKTAAVNFTHVCAVFGRIIEYRVKIHELENMDEETKIRMIFALSAPIDSGFLVKIKESDDVQTDHARRTTEYTTKEGGFELKGTYLWPHCLSQEREKRTLEFLARKSMTTDLTAFITDFQNYTQTSVSLLTLEQDIGPSKDICIRLPATTPVPQTPKNQRTGQTPIKTTKE >CRE12262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:190531:193045:-1 gene:WBGene00069264 transcript:CRE12262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12262 MAFFILSSIFIAVLTFLLHAIYNRRQKLKLRAKIGLDGPEPHWFLGNLKDIIDRTTLMGYNNAHDWHLEMRKKYGDRYAIFFGQKLDIFLCNEDDIKEVFIKNFSNFSDRGVIEIFRETKLNASLLQNTYATGWKHTRSAIAPIFATGKMKAMHETINSKIETFLEILKEKSESGEKWDIYDDFQGLTLDVIGKCAFAIDSNCQRDRNDVFYVEARKFFHNIDLRHSPLVSTSVMIPELMWLWKFLYKFTGLASAELPLVDGLDDVYERRRGGEGSDSVDLLKLLLDREDDKTKGMTKQEVIENCFAFLLAGYETTSTAMTYCSYLLSKYPEVQQKLNEEIEETKRTKQGGLNYDSIHQMKYLDAVYKETLRYYPPVIHFISRTCLNDITINGQFYPEGCAVTVQANTVHRNETNWENPDEFNPDRFLDTNEDRSSTTQLKWIPFGVGPRYCVGMRFAEMEFKTTIAKLIEKFELSIIKGEPDLIPDCNGVIMRPKDPVRLNLKLRK >CRE12240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:196133:198555:1 gene:WBGene00069265 transcript:CRE12240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-25A2 description:CRE-CYP-25A2 protein [Source:UniProtKB/TrEMBL;Acc:E3N716] MAFLILTAILASFITFFGYILVTRRERFRLREKIGLSGPEPHWFLGNLKEIVERKEKLGYDDSNEWFNVLHKKFGETFGIYFGTQMNIVLSNEEDIKEVFIKNFSNFSDRIVPPIFVENKLNASLLQNTYATGWKHTRSAIAPIFATGKMKAMHETINSKIETFLEILKEKSESGEKWDIYEDFQGLTLDVIGKCAFAIDSNCQRDRNDVFYVNARKFISNIDIRHSKIISSSFILPELSWLWRFMYKYTGLAAAEIPLVDGLSDVYERRRGGEGSDSVDLLKLLLDREDDKTKGMTKQEVIENCFAFLLAGYETTSTAMTYCSYLLSNYPEVQQKLNEEIEETKRTKQGGLNYDSIHQMKYLDAVYKETLRYYPPVIHFINRSCLSDITIRGQFFPKGSVVTCLPHTVHRNEANWENPDEFNPDRFLDTNEDKSSTTQLKWIPFGVGPRYCVGMRFAEMEFKTTIAKLIEKFELSIIKGEPDLIPDCNGVIMRPKDPVRLNLKLRK >CRE12241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:199025:199702:1 gene:WBGene00069266 transcript:CRE12241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12241 MARKKKKERWNDPRDEMSNMDMDETSSMSSQFTEWADALLKCVDTMESSLKLMVDSNNMMKQTLAIMEEQLNSMVPSRGTAAPQLVVMHQTSTSQTGKPMEEGQVVHLNIERNRVNSQDSTIPVSRENLSPILSTNMVPLIIEIIPQFDGNKEDYGKFMTMFNHLVHENPEIPTTLKHALLLRLLTGEARAMFLSVSISKEEYERLRWNLERQYNRKKFSFSEYN >CRE12243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:212687:215311:1 gene:WBGene00069267 transcript:CRE12243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12243 MLSNPNHRTPASPPSRKARSFPTEKEQPRMRAKTRSKSQPKSLVTSIVDSTKDEITRTATKAKALATAAEAVLLFLKDPTIKQSDDSDDNTLRSAASLTFQMKAVVAKIEDFDSYIYNQFQKPELKDSPDRETLFRDVSNTLLGSGADGFQKKLAAQIAEVESVLMGYGQTLSSFQPSNQPQDPHVRDPRDDMENYINEPHLSQETITLVNELPCSLESPVNSRRITSSQSRDLLMMFSNSASPDRTKELQQSLTSENRGLQEENAKHLRAQYKESQNRLALEMKDLQYREYLQAELTRVQGKEDARTAQLDQLVEQSEAQERANQRPAAVIIINSPLPPFEQSSVRTNTAPAVESFEPSADNRVALSSAQLSAMTTFSQRKRVQETPILHSVNQINNTDIEPRTAEAPNATNRLDMNDVMNAFLSIYQNQRTGAHIQERDESIRSRATSRRTQNSIPTRSESSHVRRYERGESSELEDESIHQVPSRTATIRGNRSRSSEPIPRRSGLPIEVRLKLLQKFDGTGDFDLFQTLFTSFVLDDDELSPEAKRAVLMNHITGPATICVSHAKDSRTAIAATFIALNKVYGKVNSKHNLLRKLESLPFHQTDPETMRRDAVSLANVLQQLKDRGVPADDHMTMLAIACKLPESMQKSLAKYSIKRDEKLTHDLILDRISRDIEIMALEQTYVSQNNIQANELTDSYTTVNFANSNSSRERASTQSNKNNPRENRRKLVYEPSQHPSEYVDPITNSKLEGYYAPGPKGVNVKILHRTFPFAEKETRSCHVCQEDHNEIRCTLNSNEFRERCKMKGLCPICTRKHNIKACESRYRCGYCDGLHHSGGCPQKEFYRDKNNYPKGAQPVATLFRANKANQLK >CRE18293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig590:3625:9168:-1 gene:WBGene00069268 transcript:CRE18293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18293 MKQVTEPKLEIPGRTRIEPEIEVQMDKMSMPMIKIPKFKGVRWEWPNFWTIFEEVIGKSHMSDLLKLNNLLMHLEGEAKELAGRYQLASENYPKVVELLKERYADRTATINELNKRLMEATSSDFRIPAQRRLWDVVRTILDQLRGLGQEVDNEMLKNMVLKKFNFSIQEAVYRDKMDLGDDTRWSMEQMSRDIESTIKRNEYLAMQMGREEKRGSERDESKAKQRSPKQNEPWKRQKIECILCGKPHFSSMCRTITDVHERLKIIQQKKACKGCYRIEPAHGKNECEGNFLCRECNDKHHYTLCVKKKQTSSQGQNAAKKTEDSRHASSSKKHMNVTTIRKESEGTNDIEKGDQRQYVMSTASDTSYLATLKTEAYNQRSEKWDFITILIDCGASQTFIDEELLESFQLPVLKKKNLSLQTFGDEEPEEKTYAKTKIKIKLPSEIIEVKAIVAKTLAGSMQKAPLSDEDKTFIMMNGLRLNEDSLGTKVKPNMILGTDFLKRIWQGKMVELPSGLNLLKTALGYATIGRADTELIETSEENEKHSIFVAVSAEETEATEIVNRDIEDRQRNDMSMKSSKEFSGPLKEEKAQMERETRRHFKATIEKREDGYYVRFPFKEHEGIPDNKPICVKRLVNVTKYHNTEVLKMIDDIFNDQLSKNIIEIVLDESQWKGLLHYNPHQPVLTPQKTTTKCRVVIDGSSHFKDKLSLNDIIKQGPVILPDLVEMLIRFRAGRTVMLSDVEKAFLQVHLHEDDRDATRVLWLKDYRQPPTPDNIVVYRFTRVLFGLNVSPFLLGATIEHHFESHPNRELAQEISTNLYVDNLILTTDGDLTTALQLYRESKDAFSDMKMNLREFLSNSEEFNESIDEKDRAKDLSTKVLGIEWNAETDEMRYAIKIEKSSVNSRRTVASTIAGIFDPLGKLVPLILPMKLFQRNLWNETYGWDTPLTEEDDKEWNQRIEAVNQFVKTLPRHVINKSGPNRLVTFTDASKEAIACCTYVITEQGVELILAKSKVKPLKENWTIPKLETQAITLGVQMTKKTLKAMTEGKIEVESIHILSDSEIALAWLKSLPTRQEVGTLISNRLKTIQEGVMEMSQKCPIIFGYVDTKSNPADLGTRGIDSEAARSSIWWNGPSFLKEPIEQWPSSKGFFQLMTENIFMTVAKVTEIEKSPVFDLDRTNSLPKLKRIATYVLKAIAVMSEGLKLGRRQLLNERIPELQLVKKGQLAGDEIKAGHRILIKDAQRMFTENELKKLSNLRPRKNEIGLLVCPGRLERAELPEEAREPILLSEKSRLSTLTVLEAHGKYHKPLDHTMAEVRKTTWIPKLRSLTKTILNKCVQCQRLTKQPFLYPKMGQLPEKRVTESKPFENTGLDYFGPIQYRKDDGTISNAHGAIFTCLTTRLVHVELVPDETTTQFVQAFRKFVAMRGVPKAVVSDNAPTFILGCELITGATREQDIDEEVREYLQLEEISWKFITPYSPWKGGVYERMVKSVKHGFIKGIGRRILTFLEMATTFYEVTAAINSRPLTYTEDDINSTSIIRPEDFVYQRIQTTLPLSSLQDQTEEYRPSREAQGALTKNETIQALQSSIEATESVWKVWREKYLAELREAHRLQIDKKRGHPAIPKKGQMVIICDPDHPRGYWRLGKIVEIIESGDGAIREVHLLTKPAKSKPHVIKRPPNLIVPLELDCVENSQEDEVPVQENSGRGRETEVEIEESSSTSEPPRYNLRRRKLVNYQEPNEDLEVGRQERLPRIHVNFMVTMVSIFLCFLSGVGAAETPNEIECTNSGLRLTGQYEAFEACVKNFCTNRPKLQWNPSGPTDVWIPLALKTRPHTSQVIAALDFIG >CRE09855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig362:9644:10406:-1 gene:WBGene00069269 transcript:CRE09855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09855 MSSQQDLILNWADQVLIARLQATAFVTGAGGVGKSKIIAEIKKKYAGACLVTALMAKVACAIGGKTLHSAFKIPIAGVISGILEERQLDGITILTIDEVALLDMYLINQIDQILRKAKKTEQPFGGLITVLFVDFLQLPPINTSTNQKEELKYIFDSHIWKNIVTFVLTKNMRTNEDPTYGDVLLRWREGEMIGEEQSFLKERSISSRLNTCKDYEIVAKK >CRE10711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig579:8531:10413:-1 gene:WBGene00069270 transcript:CRE10711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10711 ALLPFRFAKNPEFRNFLRHKPTEYSIPGPAKIKKLIDSMADEHVSKMKADLEGIQNYTILTDGYSDLKRSYHFYSLHISFIDSTFERKLRFLTLKSIDKGDHLAISEVLNNALNEFGLRFNECSLLTSDAASPLVLLAEKQLIDRVHCGCHQLNLVITDFVKEKSVQKVQAKVQSFARYLSKNKATKEKLLSLSAKDNVKIPLPLPLSPTRWGALSILIDRYLAHVSGKYKSALDLSDLKEYLLRTNELDSVKEIGILLKPIHQGILRLERDESFVSEIIPTLIFVKKQVEKYQSAHAAKLLKCIDVRIDACLENRRLISCMLIDHRYAYVDGWNSLIDWKSVENDMNKFDLTPIPHTSVASDTEETDDTDLDSFLDCSMRPDGSVVNDLKSELIRYHAFLTTTRPTYKNPLVFWKGQCANFPKLSEIARILLASPASAACSERTFRFVPTVRNVIYNFHFSRCSDFIRQKKRNRATIGTINSVLIVNELSRSQRPVSDTEEEETDSELSETDQDTMEDDSDEDIDQ >CRE09853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig362:3360:4632:-1 gene:WBGene00069271 transcript:CRE09853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09853 MAKAALAIGGKTLHSVLKIPIAGVICGMLEESQLDGVTILIIDKVTLLDMYLINQVDQIVKKVKKTGQPFGGLITILFGDFLQLPPINTSADQKEEVKYIFDSHIWKNIVTFHLTKRAVLLRWREGKMIGEDQSFLKERSISSRLNTDKDYEFVAKKINEIIGVFDAFDVMFVTRLNFVVDWMNYFRFTQGANQQELRQLSNAWAPPQAVVPAKILMAPSLVCINMRILITESQIGDNDDKPRMLNGMMRSVLQLRPAYGIVDRVLVILENGIKHRTRQIPRSLTGDLYWPFRPCYATTFHKVQGLTLRHVAVDTHHNLKDGMFYVGCSRVRSRHGSNIVGPIPKYIKFNREVLGKQREIEASNIIPSD >CRE25878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:138035:139774:-1 gene:WBGene00069273 transcript:CRE25878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25878 MADATGRDLLAIRRRILEEYKKVYIGIHPKRWRSATLRAHERICESMGEDYVNYPEFEFWFLRFARGNFDLDYDRSSDPKHRSFTDLPLDIFENIGEYLKLEDKMRLRNVCKDVRFRVDNCKLRLTEISYSTVNNWRTIKRFCSGSTRNCICFVMSVLKLPNLRLEKLTIQEQDDNWKELIRELDNSNQKLYVKNVEFRYNRSSKIDLHFMIPGVLEVIKMFPVNLTREEFYEIIESEQCQSAKMVYIDSWIETSKFPLNALYNCPRFTLRLRGKHADSAKSKFLKTLMKKGEVQKCVLHALKQILKYFNESKAMVPNFPLLRRYPISGTNEFYELEYREKSVHLERKQ >CRE25875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:124009:126069:-1 gene:WBGene00069274 transcript:CRE25875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25875 MLCHTVPPFKKFPGNIEKCYEALLASAYIGLLGTLALENKNKDFQIHIVLIDRALTVQLKPTVEFVLTNLHDPAQISQWTRSSIFFMKAEGRNLMMEVDDASFVEKVLVIRAKLVTSDAESVKAVYKTRRLRTIVWQEMKNNEHHLELFPSPADYQRMDPRSPVKLLLEACFGGRPIPRQPIPGPRQSIMMGSVVLTSEQSKYVRALTKTNIPVIVANSSFGVGKTTMIAAALHIAIHESPGNKMHLVMTTTNAAAAAITQSYTRISGSVNVIRMISAENYDHIGPQHRTSFDFPIVWPQEFEKLLRRTDSDDQAPITEIVLDAYAHLRSVRSITLKLARRKDLRNALEAVRKPIRTIFEILVQLINPRGIIGTIPSMTNALRENGDMAQYGSHVATVQMDDASQIPIHSIIALGPLCPKARYALIGDINQPKSYTDTDLAEELRTPAVGDLLGDTSKIPCHLNISTVRGCPFAVTATSSTLFYQNRLTSVRDPKERSQILDHLDFPNSHPIQVINTAKCAAHQTSGTSIFNPSEANIALTITSRVLTKKKKPSIGILTYYKAQAGHVARGLSDTPVFIGTIDESQGQEFDLVIILTSRSKSFGSHVRTSDRNETPIGVVWPDPDYIESPERLNVAITQTRSLCLVLVNTHAAGRSELWSKFFCKIPPGAFHNDPSHLMRHLQKLR >CRE25864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:116123:119009:1 gene:WBGene00069275 transcript:CRE25864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25864 MPSSQANANLMRRTLLAHIDAAQLGLEAVRRLLRKKKIKYNNYKRKETPCKTCDLVAVYVTNCTVLTRTTKRPGFIMLLLERRIQQTLSWRFLAISPLLLMDARFWLNLRHPGYEDAPTGFWMPHRTYAKLNGHTLMNEIARQSQSNRNLGLDETLILSMRIFTKDKKALPGRGHRVPEEIRKMFGLHHGHNVVGDSHCLPKALAMGKLWSDIHSCSDSREKKKMASKLQKVMRKEGKAFDNRCRIQMERAMDLLEEAGMDVDQMEHNLEDLEKLAEYLVEYHIFVWEWSVMNSTREQRIVFLSSTMTTTITFIHQSRMSDSVSDVIKLSVNHARKCETKCRRCGGNECEPEEGVSIWCEKFTFKMDNAYALTIHKAQGKTLMNVILDTSLSRSPTETSDFMKPGAFYTAASRVTNGSNFHITQLWHVKNVGELERLILPRQDALMTLAAKREN >CRE25873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:88367:89030:-1 gene:WBGene00069276 transcript:CRE25873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25873 MSEKSGRSDSKLSELSSISELQAADLSIFSGSFDGGVFSSNLPIFDLMGARNQNNSLYSPHPFVKSNGPCRLTAITQSTPKEPLNMTPADFGLADFSFGNESFADFTANNSSFVGNFQSNVRSTRLLPSWAVDMSGNIRDDLTLQDVVRNGSLIDFAMDRTGVKFLESHFPSEQDDEMHSLLFRTKIVNYKNANLLLICMTHLKFN >CRE25872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:77316:82384:-1 gene:WBGene00069277 transcript:CRE25872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25872 MCYKATDPTSDMTLGKITSVEKCKVKKQRPHDTRSRTKIEETQMEPTRNRLIPPLETNIVATTRANQSANHRCQHHLRLATTLVATIVPPLSPQLAPPPPTITPGQHPPEGLTKAKVKEEEELRRKEELRRGRRVKKIEEQGRKSEQEEKSEEEVKKKKSEGSRKEDEKKDFAKFNKKITEGHQEGEESEREDSKSERYWSNKKSPKLPPKKKNPRDPTSTSDRILRSQVKARDQEEEDNVTTTSEEASTIVQRTTPERSRPTVRTPITARTPTTVRTPRGFWNFLSDILDQSIPARTPRSSTPTPGHQSPRLEQAFPDLKIFGEKETEEGDTLIAPQFYTPNMSLQNGELAGPPTTEQAPTRNELPTWKRKGELTKMLDPRIKKFAEGRSSDLHKWLKEYAKLLFRMDIPRETGTELLPFFLSGTALQKYNALDNKVLKDWEKMTKQLMLAHDCPTDREISLQELTSVQQGKKTVSDFSAHIRELGEYVYEGLPEKNRELLLASHFLTGCNKKIKSRIRQLQNIPKSLRAMTAEAEKIQRLLELEEEEEATEAVIAAVQNMNWNNTNHGFQPRGNFQGYDSRGGYRGRFNQNEYQPRGNRPFRGGYQGRGRGNWNQGSWNGRNENPEGWNQRSESQGNWNQGNGSLQQSKEESYNPNPAGQGSSNQAPQNTQDNRRIGWDTNTGRPYIINSISKTCLGIMMCLFLIGNTEATKQICGFGEAGNIFIPPTATPCNFDRSLPLQTYAVNVYRQRIKAIQMEANKCFKHEVEGEVYSFLKIYKTTEAKIGKRVPISVEECRKTAITKKFNDMELKEIAPGIYRSEKISEAAENATRILGTTTFKTFEFTMEVGQVASLDGIHALSTLGSLEKCTFGSGSCQDDSSTIVWQPQETRRECQFELIQSSTAIISQQFIAIEEMAIFSKFDTDLRRLQEALEGCFIHQGYRTDDGYLIEFPEVHSKGWVPDMHIDAQTFGGYHNPWFRRTRETVTSLGPAGTEFRAYIGEPFITPLIRRLYGTANIEELTDLKSPITDPEILQEFGKYNVTNKLLADRARFYPKDRKHLNPMLIIALKAIRVAQYGVRQKKAMEQLKRPLTRGEEQLKLEIERKVAVTFDKLLEKEFGRSDPDVRNIDDKFEPPRFDEDKLAPYRNLPVEEEASWTTTPVPTTTQPTTTIPRSTQPPTTTPRNTQAPMTTTESTKPPRTTPPNTPPPETTPKPRSKPRPTTTRSPPRTTTMSRRPTTTADRWEYEDMQQSEERETPHIPEANRKVVYEPIDQQTFEESEYRPSEERERPMFERFEASCQEQWKSTTLFQTLLRIDPTAAIRQLLRRNDISAKIIGESLIISKCRQVTPDVIHYGQKVNSTCYNLIPVTVKGKLWFQLPGSDDLIGEATEIACEDRPPSVRYEHNRWVGLDNPEVLPQFLADQMGSYRTFILPAPETFHTSLTRIGVFYRNRRECRTSRRTPRN >CRE25855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:43915:48003:1 gene:WBGene00069278 transcript:CRE25855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25855 MTKYLEINEDAYTPMHFAQKVFSYILKEFPTQQISFDFPEKVKGNEEEIVRMLCDELADTFRMYGSPREIVENVKKFTNFPENWRHLGLEEDTYQTNPMIYRSLKNEKYLCKSDLFPILQNMVFGGMNEFINVMAIFLKSQEPSDCMEFVRFDEKVLEEIAAELSAESWQKQVNISPMELFQEMSNLSLEEYFEKTEKMIGGEWNPKKSLRVQMDFRKMRMLSPEVPILFVMTTTYHMQRSGIEPLRRIIKKHPEWFLPYSETGINNIPTVRLLEDGDQRFVLKAELSNAIGVRLDSEDDGNLLFTVGLEEVLRKYGTKKIEVNTFIRYPIVRTKHRGVPIKGATPSKEFFILAVDAFFEFFRSLTIGLKMFQNTDFSRFSLAFHELEKYFKPNCKTPYFIRTDSIDSMKKIVEMFRGEAKGEKSVRNAKPDGFTIQDLKNELKHLELVETFPEIEEHAEVIYEHVNKVKNERYLRTCDLFDAIEYCQLICILNRLPNLKMFLHNQNGCGRVIGYKCERCEKKEIQKTSDVKNSTKNLKIENSNESVLNQYSQLALSVPEACEKCSESSKTLKETESELKISQDQEKKMHQKVTNTEKELSDLKKEHEIRLMSEAKKKEEFAEMKEELNSEKEKNQKKEEEILKASKENEELQKTILKLTAENETNERVIQKLLDRITNLSISNQKTDKINEKTIEESTATASITSKNAPPVIDCLICSNQIKSGEEIIRCPLCKRRFHSNCAFKWLKDHTQCPACNGDLPGI >CRE25870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:16703:18324:-1 gene:WBGene00069279 transcript:CRE25870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25870 MSSIVNTPREITGLLETSSENIIQKVVDEILETVEIMERLLVNVESSLDAPDVVEMVVEAPEALPEVVNVINTSGNAAEPSIENPVEDVEILDVQSPESSTVVTIQINPSPVVINVSVVVTGSSNDSSEAVAENSSDVSVSNSSNSVDETSDENHLAEVGNNALREMTNLFNVSGASSQKPNGEGPPAKKQKIDMPLQTSTSEDSDSDNSSQITMVAPPLSEALLRITDNAMPPSMLKHRDDGVYNHCVDIGKKIQEEHSKEQIDMLIQNPRLRTALYRRYYENGWLHEPSKSRMEQLPIFAALMAPLTKSAYMEGLQRDKMCTGNDIHVKIFEASRIKDAEVAELVRQYTSGQKTAKMFGMDIWAILNNYENVMGVKKVATLGDVPDGAYLVCYGELPDFAIIRSKNITCIIVKPSEQSIRLISQLDHFVNGVIAEAACGKPDQNLSYFCFGPKTLEYPEEISAQKLSSAFSHTTGDKRHIKDIYAVDGYI >CRE25869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:11657:14349:-1 gene:WBGene00069280 transcript:CRE25869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25869 MSVDSTAMTSIENISLLISKIQDSKVQKKTSENIEAPEYTSTAYQITTIKEEVSDSDDSENEGDPKKKKKVTVVEDSEVSDVEEDVKPILKTEVGQGSEDLEIPENMEISEVISDVEMVNVSLADVNTEVIERLRTEVEKSPGDPSKVSEVTMNEESPRIDGDVEMPHAESPRGYFTEVIVVSPAVVNTQRSSPKVVDVDVDKDSSSTTRSVSESSDDVEVTEQQSDKEYDTYEAEVIQINKTGILDAVDEDSDEDRQLGYSVMSKVANIFSASSDKSGPSLKRQKINMPLRSFSSDDSDSDDTTQTTLAPTSSSLSEALLQIGKPSGAPSMRKHRDEGVYAHCVEIGKKIKAEHTKEQINLLTYFSTARNELYERYYRSGWLHKPNKTKMEQLPIYAFLMAPLTKKVYMEGLKNDKIKTANDVHVKIFEASRFDDASTAECLEMSISGSIQSSTFKICLSLIREGNEIWRDLASGKIKHFVKDMKIVDSLEKVPDSGFLVCFQKLPDIATIQSKHLNCLIIDPSFATTRLILSHTEQCVCANVHESAYRGNQGILNYYCFGPKIKPLEDDVRRKTSTNLVSAFRFSMGDYSAKFFTNTENRLYAIYGYF >CRE09849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig362:15819:16673:1 gene:WBGene00069281 transcript:CRE09849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09849 MISVLHKITVLISMELARPTTPPLPRSVKNTKFPSDEELTYLRRCLKFKNLNALPQGVSLNNMAKVFDIFIRKMIQKAGGNLTSTKYRLNLRHPGYRATDGFWAMHQTYAVADGHFLCNTISNHMQSNTNISLDDAMTISMKIFERDKKAMAGRGRNVPEESLGSKVIKTFGIKWGLIADFFVTESNLIKSVDDLEHFIVPSKDAIAALEEKRASNK >CRE22164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig392:19877:22103:1 gene:WBGene00069282 transcript:CRE22164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22164 MTSSTAPSSKELPRESMKLIFKHMNVNSRIRLAHKIPSLRPIEAATLLNVDQLTFKTNQIIINNTIFKVDNYEPACCFDKTNLRIEGSPYLKMSMKSGRHSKVERIVNNREFIDTMLYLAKKLFEGRVMPIQVKYLSISKKDSTAFLPDDLRLAVRDIKIDHNVVKTLESIQPYLHESSIPFDTVFISGTRMRQEDEDYKHPWIQTANFVQILDKSKYATWLHTILDMDICQAHLECQAFQRMEVCIVIDEWIEHGREDGTWFSLGVRTVDDIKHLLVGLSARQGAVVEQSEMLG >CRE22163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig392:15609:17993:1 gene:WBGene00069283 transcript:CRE22163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22163 MSANDEIVKLDVGGVIFKTSKPTLTKFDGFFKTMFESEDKLKEDENGCVFIDRDPKHFRLILNFMRDEDVVLPESLKEIQEILKEAKNYELDGLVKICIEKVPAESAAKPKFRLIESDVQMMQIVTNPEKPVLIIHYRMENWETATRYFSISNFQKKYEQYFDIYFKIATSIGDTKWSYSIHDKAPNIFSTPKSCQENNFYWSLENSINRFFQLRQ >CRE22166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig392:8380:11956:-1 gene:WBGene00069284 transcript:CRE22166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22166 MLLFLLQIKYFLFQMALIILTSIFIAILTFSLCIIYRRQEIFKIRSKIGLKGPETHWFWGNLKLVIKRIAANGYNDSPTWHQELHREYGETFGLYFGTEFDIIISNEEDIKEVFIKNFSNFSDRLTPQIFEMNNLNSSLLQNTYATGWKSTRTAIAPIFAAGKIKAMHETVSSKIDTFLKILKEKSKNSEEKFDIYEDFQRLSLDIIGKCAFAIDSNCQRDETDVFYVQARKFVSSVDIRKSWILIASFMLPELSWIWKALYRFTDLAAAEIPLINGLSDIYERRLAGEGYDSVDLLKLLLDRGMSKKEVTENCFAFLIAGYETSSTAMVYGAYLLAKYPDVQRKLYEEIKKMKTSDLSYESIHQMKYLDAFYKETLRYYPPVIHFNAKVCKSDITIRNQFYPKGCVVKAQPYTIHRLSSNWERPEEFDPNRFLFSEESSSKLRWIPFGIGPRYCIGMRFAEMEFKTTMVKLVEKFELGIREGEDRDLIPECVGVILRPKGPVRLELKLR >CRE04310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:93258:120053:1 gene:WBGene00069285 transcript:CRE04310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nep-26 MTTLSLSAGNSREYSTLKFSAYSQCENSRSSKVTSRCKKRRRCSGGGEADAISRRNSVTDADITKIMNGVSLEEGKQATSSLLRDTGQPPSSRSKEAAGSSKGCVLLTTLVIFLFVTLSFAIATFLYYQTLPCTTEDCVMTAARLLKRVDASVEPCDNFYQFACGGWINQSVNLKYDSWNTLYETQRTAHDQIVQAMHKINDGSYPLPTNAGERAAAKMYEQCMDTDTLEQTGLHLWERFVDELGGWMPELRNGALEQEESFEIEVDEDEKKRRRFEIEDMILSAFNYSVFPLFWAGVEVNYLDSKEHLITIYEQLPILLAPEKYHYDKELTPEMIYGKMEGPPVTRALQQVGEELAAVLGFDSSDEKVRMMIANMIYLEYQITVAGSTFYKEKKERYTVVSLRELQEIAPAINWHYFLSRLVGENLSHSEPIALKTGTQWIPILSTIVQKLKESRSGVAILKNYVKWKTIMFHLAYASPKCRDGLLWMAVSLYSGAASQRKEFCVLRLSGIFPLSLPSILRKIDGIDHTERNVKAVQNIADRIQEKYEEMVKSSNLFSDSETRQNVVDKVSNMTRFIGFPDAMRSDYEMEKEAVRLHDSLFWSMVLGSSSVYKERLQRLRLPVDPRAWVDTRPAISVPAHNYERNLIQIPFDSLRLPYADEHQLDFANYAGIGTIIGHEFTHAFDGQGKLHGPTGNLGTWWSAESSRRFKTREQCFVKQYAGLMDSHDMNAAKEGLYENIADHVGLKVAYEVRDPSSINDKNNFQAWKANGEKMSARMPGLEKYSQDQLFFLAYTQGWCALRSKSYKLQPHMEERIRMLGSLQNSPEFAEAWKCPTTSFMNPPDKCTIW >CRE04311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:127774:129575:1 gene:WBGene00069286 transcript:CRE04311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04311 MNALIIKVIALFAVFFLLIGLSSSAPSPSQTDLKRIFREIAANENAYGVIQVVPYSERLPAYMVISNKW >CRE04312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:146004:146917:1 gene:WBGene00069287 transcript:CRE04312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04312 MRLQRVLLFFVYIFTQAFSMQLSQFLRLATSDTYHNDPSIAALPSVENRIFKYVNNKPPSTEKYDRFIRNGLFDFRPLIRRHPYEYRLQ >CRE04320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:148022:153291:-1 gene:WBGene00069288 transcript:CRE04320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04320 MAARNMIIMMEEEPYVRPVKTMAEKIAEIPYEDELLIGPCYDKDDEELIFDPSNENQSEQHVKELMEACPNLDREKALLWLSEFPLTEAIRLAKKLCFPVLIPTYTVPGLSQLSTKMSRSDLEQVLVVRVKPGVEAVLPARVYVIPDAKFHQDIGKSFIMGFDERRYVNAPGAPRRMADSEDDEEDVIPPTPKMKKKKNIVKKKSTDNKKNKNKKQEKKEKTPSVVVVKKIEKTSAMKIEKAKDSATTKNIKKTKEPKAGTSGKKPSTSASSKTAKTKKKTPSETKKTATVTPNNQMKNVKPKKQTARRVLARCQETSEPMEE >CRE04321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:156170:157384:-1 gene:WBGene00069289 transcript:CRE04321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04321 MPMMTRRKTKEITEKNIGRKGKLWETFTVDVIPELLTAPCEDKDRDVAVFDRTDFNQSEENLMELMRVCPDLGIERAVNYLAEFPLAEAIRRGRQYVVKADTMIIIDNRIKNKKLREEEERFVGIPKLESCPPPPPPPKVTKMKEEPKRTQKKATAPRKATGRQKSARRQKAGIPKVATGVTKKAAEKKRGVRLGDLARELMASAAHWARQRKVSFKCPKRFLQDENAPPRHPALEPMDHH >CRE04322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:158822:159741:-1 gene:WBGene00069290 transcript:CRE04322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04322 MTRMMTRRATKEIEEKNLGKNGKLWKVFTVNIIPELISEPCHDEDRDVAVCNPMDCIQSEDNLKVLMKECPTLGIEMAIEYLLESPLADAIQRGRKFVLKMEKLKAEEDTPPPPPQLEKKKEEKKAEPTGRGKAGIPKVATGVTKKEVGKKFGRNVRLGDLARELMASAAGWNRQRKVAFKCPKRFLQDENAPPTAEPMEY >CRE24812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:115256:120130:-1 gene:WBGene00069291 transcript:CRE24812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24812 MSCRGASNISARGGRGGSVLYSFRFLIKFSSSRLNARGYSVNNRWAQGLAQPIEPTEETMAVLADFVSQNPITRDELEGFMAEKKGNKARSRRVSSEKASVPPAANCPEDLQKIKNTLPASQYRQEVLESIKKNDVVIISGGTGCGKTTQTPQFILDEAHAKNQEVRVIVTQPRRIAATSIAERVAKERGEKIGETVGYQVKLESRLRDKKENNKIGRNNKHRFYRKSEATLLTYCTTGVLLRMLTSDPLASNITHIIMDEIHEREINTDYLLIAVRECLKRRTDLKVILMSATIEGNMKLFSEYFQHLNVGIIKMESRTFNVKTFHIEHILAMTGYQPSRSSDDFYAINDENYNEKLMELMEGQKENFVDGVDLIEQLEERFKEEVELTIPERKDYFGLQSIESVEKVDFEKIRIGDMYDILYGKDYKSSVDFSLLNHVIQYLTDSPILGSILVFLPGFEDIQKTMALINEWKNKLINMKSVCVVPLHSQMSNHDEAFKKVDVGTRKIILATNIAEASITIEDVMFVVDTGKAKKKCFDHNAKISTLSTKFIAKSNALQRSGRAGRVASGYCFRLYSKRAFDEMPESQIAEMKRAPIYDVALHAKMFAPQDMRIQEFLSLAPEAPEEESVLQSISFLTQIGAFYRSASDEDLDKDPEVTELGKIMARLPLDPQLARMLIFGLALKCLGPIVNLVSVLACKDPFVLPSLENKNKQENKKASFSAAQDFSDHLLYIRLARAFGDLSGYKEQAKFCDDNFLNLSTMKMINGTCRQLLQELVGVGLVSYAGRDVMALLDDMSYNCYSDCWSMVQAAIAGGVYPCVGVNRTTSVLKKVQTSHSDDAGLHPSSSLKKATKNNAQGPVLEFVAYQEMCQMSDSSLAMKMVTAIPSLAAFLFTGSIQLNKNVIEEHVLASEPQFELEGISHFYMGEWCCVEAEKNTMRQLLILRQKFMNYFVEGISTPQFFGQGTTDSQKKTLEVVRQLLESEKMRANFRPVTISARSYGGYKGGQNHQYQNRGQSSNNWQKHKTVGNRDEFQKREIPFEQSKWRNTGKEYQPRREQFAQLESNRYNASAHEVQWRHPNSQQYNADGSGSQWKRQGQSAQFGRGGQGNKPRGQSYQPSGGQTRYQNKW >CRE24807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:109002:113810:1 gene:WBGene00069292 transcript:CRE24807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24807 MKPLFAILLLAVISLVSAESEPVQHIVQGENLQYPLYNEKAIGFKRQIVEGSMESQFYYLCEKSKNKKNCGAWVDEMKPLIAILLLAVISLVSAESEIVESKFIGDDYKYSVWSDEAVGFKFEPREGGSDQFYYFCEKTKKKNCGSWVDEKGNKIKSASLKVTLKGGEAVFSKLQKKDTGRYYLIAEDLNKDLGVTRLIIQDPPPPPPKY >CRE24805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:99541:103402:1 gene:WBGene00069293 transcript:CRE24805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ref-1 description:CRE-REF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFS7] MVLLSTSPPNMFNRKTTQEKKRRDEINAKIKELQELIQHQSDQEKLTQSEVLNRAVELVTRMESESPGPSTNPNRKGFFDGYSTIETLTYTFIKSLGVNSEVCQEFVQKAKQCFDRERMSLLVSTSGKSKRKSEERHHHDYSGVSSPSTSESGGSMDRKEVKKNREQDRRDRQGEAFDALKNFIIENKLMSHQQVEKMQRLNTLDIIINYIRNKKNNFVSRNGQEQSLYTHAIAEGQKTGKAVAYQFFKSDRHLIVRCGELEKFYDFSLTPKPLLGFPRLPIPLGFPINPFLQFNPFLPIPQPLPPPPPPPMSQQSPSYSLDSPPPSSDTSTSSIEPTTPNENSTMTSPTVAVTVPVAKKVVKVFRPWE >CRE24804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:76493:81930:1 gene:WBGene00069294 transcript:CRE24804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24804 MVMKSLLNLCLSAVCQHELNSELSFIPVECRQKLLEFFCSHDQLSASDCSNLVCSKYFGCNIPSLTFYLSAELTDEMLHQLTTNNKYVEKITLVDCPNVTDHGAQAVTTGQILLRQLELRAMHQLTDECLESVYSPFLYSVDLSGCGKITSRGIRTLLTNNPTIGCLYLNTCRSLDDQVLYDIAHYVGDRLHVLELDFPTSLADPAAALHYLSSLCPNLSQLSLARFFHESLEDVENNVQFVIDGGNLKTLDLYGNYFSLMPQLPPTVQSIRLSVSGDEDSQQLLTTLMSQPFLSSINLIVSVREANIQAVDNANSLLCAIIPLLGHKITKLQISVPRLFDEPLHLVTEFLPNLTHLSLEINHLNTNILQKYFAGGSKSNASKLKCLKICRMRMTYRVLFAIARGARNLTDLETSHMSSVDDRFLCLLGGNCRQLRCLNINGCRLVTDKGLAVLAKRCPLREVRIRGTACTDKSIYTLAQFCPELEWISYADYSGRPKFSDAALQCLRDSCIQKVIC >CRE24803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:63044:69937:1 gene:WBGene00069295 transcript:CRE24803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24803 MTPSKGAIFMEKKNKLESLVSRFPQKRSSVIPAAEEEDPPPKKEFEMKIEPEDVPPRPKEPKIEIDEFAVTTTLVEPKEEPFDDYGEQFATDDLAFRYLNYDSFLPENPPKIEIEPPDDVDFMTDILEYGDYQIEEKPAKNQEEDYWKSPRNKHTYSLREHPKIKNYPEYLSGENMETIDNSSQPSTSSEAYRTEYIVESEEILVPPTTGGRRCTLCFNVQHLGNTRTVSVKNDTMVLLVPRVLQGHISIDQAKHMLKKRTHVVCRNHFKDTVDTLCTYLSIESSDQFSSAPPELLVNIVNELCPDVGYEGFQNLIHKFDVKNRKVKEVVRIENIPKVKSNRGRKPKEKTEKPPKMDHYCSLCSKGQELSAMQEVPNVNHVLVILVGCIIRQKYTIAQAQIFLQLVEKCYICHVHFAEAAKEICKYFEIGGLRDINSCKLELLTELMPIVKSLFPAYTSAKFQLTVGSFYEQYKGIIDGFITENVKVEPPEESEKPEATAYKTGISEVLELQKKGFCTLTNPNDAYLMTVQKYKHGIYIDFTQCTLCLKLKSRTELRKVCNGDRLVISVGYVLLEKFTVTQIQTRMHKKENIVCHSHFADAFQAILNNLNVDSIVDVPKSPIDRKEHVMKTVSALSSSSQNSHNAFFSILDKFYAKNAEIVSEPIE >CRE24810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:54576:57092:-1 gene:WBGene00069297 transcript:CRE24810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24810 MILLCRFTSHEIFFSRPFRSFSFEISSKFLIFRDFRMWKSRYKSKEREEYACVNCQEVSTSLYRKYSEGVIRLTECEKCGEVVDKYIEQDVVLVVIDLMLQYVQAYRHLLLNVRIQRPERLFVIFWLSHAAEVWIRDKSSSETQQVADQEWMFYRCLLLSAAEICSYLCVILLYSLWKNEKNGTTNFKHLIGSTLLGYYGNVAVVISFIFCLSHRLSYQIVMQIFLLVSHVQVQRVLFPTVSMTDNIIVVLVSKLCSTITGGLLTSAIL >CRE24809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:49890:54446:-1 gene:WBGene00069298 transcript:CRE24809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24809 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3NFS3] MGSKEKIPEEDACLPYFHGALMNIDADQLLVNDGDFMVTMKLQIDINKLQFFLAVRLKKGVRRYEIKRSATQAKMATRSAANIEKLINLLKTDPVEIKGEKVVLKRAIAKGKFQLMHKDVIFKKKIGAGAYGTVYRGRLVKTNEVIAVKKLDPESADEDGLAEMMKEARVMQLYDHPNIVKFHGFILDDLPYLLVLEFCNGGSVEDRLREKGPKITTITRSNYTYMAACGMDYLHKKGCIHRDIAARNCLIHKGIVKMADFGMCRAQSVYKMDLTKPCNVRWLAPEVWDTGETRFNTDVFAFGIMIWEFFQVPYETPYSEMKAGQVKRKTRAGYRLPAPDNMPSEIDEIMKECCNAAADKRPTAEKLKEMLEAVTKKKKSQSTSVSSSALTPAAESTNTSKVSAISSPPKKQEESVEKNSKNTKKKKYAGPQKR >CRE24802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:46081:49784:1 gene:WBGene00069299 transcript:CRE24802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24802 MSELLAGVKLMDQDLEPVDPEVLKGKVVGLYFSAGWCPPCRQFTPKLKRFYEEIKKTHPEFEVIFVSRDKESQDLNEYFAEHMGSWLAIPFGEPKIQELLAQYEVKTIPSMRIIKPNGDVVVQDARTEIQEKGSDPEALWEEWLAFYE >CRE25574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1658211:1658634:-1 gene:WBGene00069300 transcript:CRE25574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25574 MEVQRLIFRTSFPTSPSSLGLFSTSIELRRFDIVTLNIQQFVNEEVYEKKENENVTHMSNNMEKLRNSESPQLVESKFVQEEEVFDDIHLATWTSSGIQLENENDFGC >CRE09057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2338773:2350366:1 gene:WBGene00069301 transcript:CRE09057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09057 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3LJ43] MRAHSKVNDHVNEERPAGSTAEGGGDRLVMYIFYIASSSTPSVKPPDPVACYFSEIRKSDLERTERDTFTKVSTDCGGNLVKWNSLLNTYTGRMDGALNEMDLLAARGAAEYHDFDNPIVYEAVGNRVVCDQHRKELLSDWINYRAIHHVRKGEEKFQYKCGMPSAVQPHTSLAIPKVGHLVLSRDEAKRVLNDYNVHVHVGTPLCKFHKDLVSVAQCVDLFETSVPIDAPLSGRLRSAVAKYPQSSETCSQDEFMDVDDSEDNEDLQNNTPEDKNVCDSKFFAWAKSLGIVRVKSRKPFTQLSRRSNRVKTLAMKKLIDEALELLAPGNSADLHQIPFKEDNVDIESSIPTPMESALFECPEESCVAVFTKYGNLERHVALGKHQTLPERETLLDFAMDRHAENIEGLRESFIHNSLRDALIEVPPGVSFFTNSKGWALPSKKTRTRYDKEEVCHGKVRRNHKKEFEKVTTQVPASKVTSVRTPRNRATAAPTPQPSKSPSSSMKLRDRPKRETKDDLLELVLEEFNSKEFDDSEDVYDENNEENNDFENLLKVIEQEKTEIFEFNYCQSTILDVESLLYQENMSQTVRVVVKKELDKKNPDSFNNTLQLVKSKARVILWCAQTGDEKRHYLIQAARQQMNTSEYVHVMLSMRSVGFGVHSAVGKKLLTQTGLPPVWESFQLEPDGFEDLAKSTAAKMLVIDTNSEVRDKGFLEFMTKNIVYAVRAPPLSCTAPECLVANGTFMGAYARHLFDVFYMYGLAVSSLNSTDPKVYRNLSLLTPQFTTAFDGMTGLVTLNDELSRMPLYQVYALNNDYDQISLMNISLVNGSARVALAYKDEPTDVWHFWGGVRPLDTPICGFLGKTCPIPFFDQYRILIIVAVTVAGLLILAIFTCLTSMIRNRCAEQERLTSEWQIHAIKLRLPKMNNHRRKSVLSADSGEESEDDNVSGSTRNSGIRKFNENYVIQILENDLVLTTVHQTHELTNLEKLKLVKLRKLDDEYLNKFIGLSIDGSRCMAVWKMCPRGSLRDIIMKGNFSMDYFFMFCMIRDVAEGMNYLHKSFLRLHGRLRSAICLVNESWQVKLSDYGLDFLVDEEERPAKKRLLWVAPEVLRGSLTVSQMAPSVDIYSFAIIASEILTKKEAWNLHKRKEGYQEIIYAVKKGGRDVLRPDLHTDPDVNQTLIALVKDCWSENPDDRPSAETICNIIHEMTPKTKDNLMDHVFAMLEEYTASLEVDIQERTKELSVEKKKSDILLSRMLPKQVAERLKAGQAVEPETFDIVTVFFSDLVKFTNLASKCTPFQAVNLLNEVFSNFDAIIEKHDVYKVESIGDGYLCVSGLPIRNGVNHIRQIVEMSLRFMEFCQKFRIPHLPRERVELRVGINSGPCVAGVVGLSMPRYCLFGDTVNTASRMESNGKPSLIHMTEAAHTQLTNSFPYQYETRSRGEVIIKGKGVMETFWLIGKASMSDRSTPPVSQVKKISQEGMSITDTDDKQFSAVFSSSRSPTLHYIYTITSIFSPPFPILAIAASFGLAEPIVLFGFCRYDFNIRKTCAAFGCAINKCFLMYWSNHKLIIFTFIFSFSILVCLKLFLMNRDKTRESRHLSRNIGPHGAMLQSAGLAIESFLFYQTLSQNSSFQPFNAASHKTSVKTCTF >CRE24808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:32269:33611:-1 gene:WBGene00069302 transcript:CRE24808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24808 MEPVPNNSAFSPNFIFDSPQDWFFDFDCHDEELPSPSSPINYDFWTPQDYSFDQYGAGPSNIANPSPPPRRSVKSTKFPSDEELTYLRRYAQVTEDFNFKRLNLDVVKMPPQRLQFKNLDALPQGVSLDDHMANVFDIFIRKMIQKAGGNLTTTKYWLNLRHPGYRADDGFWIMHQTYAVADGHFLCNTIANHMQSNKNISLDDAMTISMKIFERDKKSMAGRGRNIPKESLGSKVMKKFGVKWGLIAGEGHCLPKALAVGIVWSDYKNEKDPVEKEKLHRRYISLTRMDKSAEGRAKRQLEAAKKLLTDAGMDPNCEEHSLDDFDKLATHLSKYRIRLWSNEGDTSVPKDDKNINTDGDGFIALFFADNHYEFFKPTIEDWGLR >CRE24799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:20069:31984:1 gene:WBGene00069305 transcript:CRE24799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-5 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3NFR8] MEFWLFKLSLLLLLDYGNSQLVQPTVTPTRRTIRVGIAAAQATQSGSIGWSSCGGAVPIAVQYLKTKGLLQNFDFEYFMEYTECDKAATVKAGLKFMKDMKVDVVIGPPCAKALEVMGTLSVIYKTLVLGWGFVSESQLADNTRFPYVTSVQPTATTLGLATSKILELYNWDRVALLYYTDEQNYCQSVIDDVEATLNDPDNYSVNIVWKGQLVYANAAATRATLNAVKSRARIILFCAIAGPEKRDYLIKVAQENMTNSEYVHVLLTMRSVGYGVQTSVGKKTFANGLTPLWESFTVAADGNETMAKRAAEKMLVIDVNSDVQDADFLQYFTKNIANAVRDPPMNCNSSACINASSTSMGSYARHLFDVFYLYGQAIDRLNTTDPAVYQDLAYLIPQLITSFDVSRHDGSGASQQNALPCPILPTLRFGRQLRTSPTRQHDIFQLLFGNCNTNFNEFQFKSLKLFTMSMGYTTANEGTAVWHFWDNKRPTDSPICGFSGRYCPVQFWDQYGALVFVAAVVILFLLFIMFTCVACVLRNRRLEQERLNSEWQIPAIKLIMPERRKKPNSRRSINSGPSTVTGDSKMTMDSGMGFHENYTVQMYEKDLVLTTKHHGTQLSREDRDKFARLRKMDHDNLNKFIGLSIDGPMYVAVWKMCSRGSLQDIIARGNFSMDGFFMFCIIRDIAEGMNYIHKTFLRVHGNLRSATCLVNDSWQVKLAEFGLDNLLEEHTPTKKRLLWAAPEVLRGSLTVSQMEPSADVYSFAIIASEILTKREAWDIANRKEGADEILYMIKKGGGSGAIRPELILDAEVSPSLTTLVKDCWADVPEDRPKSEQICRLLFEMTPKANTNLMDHVFNMLEEYTTTLEVDIEERTKELTLEKKKADILLSRMLPKQVAERLKAGQTVEPEGFDSVTVFFSDVVKFTILAAKCSPFQVVNLLNDLYSNFDTIIEEHGVYKVESIGDGYLCVSGLPTRNGYAHIKQIVDMSLQFMEYCRKFKIPHLPREQVELRIGINSGPCVAGVVGLSMPRYCLFGDTVNTASRMESNGKPSMIHMSEAAHSLLTSHYPHQYETNSRGEVIIKGKGVMETFWVLGKSGETNSLSTRTTPPVTDENWPPQFKEEQRKRAVTPYPERVITTANRRRDTLHVIEELGYSAVFCVCSALNTIYYFCNIFYHFNFWFLLATIISVLLVLAYGLNTLVHWTARTGTTSTSSTSNQATAGPPPSAYPAGINPA >CRE24798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:4926:19785:1 gene:WBGene00069307 transcript:CRE24798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24798 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3NFR7] MSSYWLFLLYFVGTVSAQTGKTIIKVGIAAAQKTQSASIGWNVCGGAIPLAVEYLKRNGYVGDFEFEYHVEFTECDRESTIRAGLFFMKNISVDVVIGPPCAEALRTMGTLGTLYKKPVLGWGFVSQSDLSDLNRFPYMPTVLPTSQTLGYAASKLMELYKWNKVALLYYASDINYCQGVMNDVEATLNDPNLYPVQIVMKSQIDVADNVTTNAVLQAVKSRARIVLWCAQLGGEKRDYMIKIAQLGLDDNEYVHIMLSMRSIGFGVQTFVGKTTFKQSGLTPVWEAFSNVTDGLETIAKQGATNMLVIDLSSDVKDKDYLQYMQKNIINYVRTPPMNCMTPECTNSTASGMGAYARHLFDVVYLYGIALTRTNSTSAGVYDDMSKLIPQMVTSFDGLTGLVAINQNLSRMPLYQVYGLDPSNEQVSLMNLTFSNGSTVATVSLAYQNESSFVWHFWGGVRPLATPICGFLGKSCPIPFWEQYRVLIFVAAGLILLMLTTNIVCVGCMIKNKREEQARINSEWQVPFSKLRELEKKRHGTSKRSLQSGPSTVTGESRLSSGSEFCENYTVMMYEKDMVLTMKYQYLNLNKADMERFVKMRKLEHENLNKFIGLSIDSSLYISVTKLCSRGSLQDILSRGNFSMDYFFMFCIIRDIAEGMDYLHKSFLRLHGNLRSATCLVNDSWQVKLAEFGLDNLLEELTPTKKRLLWAAPEVLRGSLTVSQMEPSADVFSFAIIASEILTKKEAWDLQERKEAYDEIIYMVKKGGSFPMRPDIITDVPDVNPSLIALVKDCWSEAPEDRPSADNICAQLRDLMPKTKSNLMDHVFNMLEEYTSTLEVEVEERTKELTLEKKKADILLSRMLPKQVAERLKAGQTVEPEGFDSVTVFFSDVVKFTILAAKCSPFQVVNLLNDLYSNFDTIIEEHGVYKVESIGDGYLCVSGLPTRNGYAHIKQIVDMSLRFMDYCRNFKIPHLPRERVELRIGVNSGPCVAGVVGLSMPRYCLFGDTVNTASRMESNGKPSLIHMSTAAHSLLTSHYPHQYETNSRGEVIIKGKGVMETFWVLGKSGDVERSATPPVTQERWPVKTVDTVETVKALTPEARSVSSHGSRPSSSSNNNNNDPLYRQYKMDTLKLS >CRE30223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:38:5529:-1 gene:WBGene00069308 transcript:CRE30223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30223 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3NI82] MLCFQIKIGIAAAQQTETGSIGWSVCGGAVPMAIERLRQNGFVENFDFEYIVDYTECDLGSVARAGMEFIKTHKVDVIIGPPCAQALRTMSLLASIYRMPVLGWGFVSDSDLSDVERFPYLTTVLPNSQIILTSSAFPVIHISHKSCYSTRLGFAVSKLLEQFNWNRVAMLYYKSNLNYCAGVMNDVETALNDPSTPFINIVLKAEMYLNDNETTDLVLQAVKKSARVILWCTQTAIEKRDYLLKMSAHDMIDNEYVHVMLSMRNIAFGVQTSLGKNTFSQSGLTPIWESFSESPDGLEDTVKQAATKMLVVSVPCAVIAKLDVNSEVVDKSYLQYMQKNIIGAVKSPPMNCTTVACMSANNTIMGAYARHLFDVVYLYGTALTHTNSTDPAVYDDVDVLVPQFITSFQGMTGEVIISTNLTRMSIFQLYGLNVQYDQVALINFTFINSRMVPNVSLFYKDEAQAVWHFFGYTRPLDTPICGFLGKSCPVPFWEQYRILVGVAVLVIVLMFLIICVGCGCMISSRRAEQARINSEWQIPFAMLLEPEEKRKSHGTSRRSLQSSIATGATTTSDFCENYTIMIYEKDLVLKAKFQYTNLSKADMERFVKMRKLEQGNLNKFIGLSIDSSWYISVTKLCSRGSLQDIISRGNFSMDFFFMFCIIWDVAKGMDYLHKSFLRLHGNLRSATCLVNDSWQVKLAEF >CRE30224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:5619:10104:-1 gene:WBGene00069309 transcript:CRE30224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sfxn-1.2 description:Sideroflexin [Source:UniProtKB/TrEMBL;Acc:E3NI83] MSDLVINQKHRPDISKPQWDQRTYYGRVRHFFTLTNPLTLFSSEARQERCRQIVVDYKHGIISPTLTVSELWKAKTLYDSTFHPDSGEKMFFLGRMSAQMPGNMLINGMLLSLYRTFPGVVFSHWINQSFNAVVNYTNRSGNSKASNERLLLSYLCATGGAMSGALALNAMVKNKNSVAARLVPFAAVALANCINIPMIRSNEVTEGMELRDENGELVGRSRQMAILSIAQVTLSRIGMAMPDMVMTPIIMNRITRTMYYRTRPWMKYSEYPIQTMLAGMALFFTTPMCCALFPQKTAVEVTKLEASVQKEIFSRADAPEVKAEITRILNLFSKFVYDRLEPSYMRFSETDPDFQHCSL >CRE30213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:12711:13627:1 gene:WBGene00069310 transcript:CRE30213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30213 MTDIDDPNHLVPSFLPAGNVLGYNLTTAINPITKRKEFTYTVSVQTVGAFGISDTSSEGVTTKCDGPCGAMFPSGLLITMGKCDHLLCKACFGIVKNPDGSYGCSNFQCWSEPSASLQKEESNYKKVINKQKYRARKIKKNDVM >CRE30226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:13938:14808:-1 gene:WBGene00069311 transcript:CRE30226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30226 MIRILFISAILLPLLSHCSSEISVPVISGRSYTLQLEYQNADGTNSKKAEGIKRQLFDETGGKWQYFWFCGGNKKTCGKWVDEKNQIVPSGPKVTKTSEGAVISNMQIRDSGKYARLPEDPNALTAELQQVKLFVQDGPKPPGKVSKY >CRE30227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:15648:16550:-1 gene:WBGene00069312 transcript:CRE30227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30227 MLDHRNCFLSVENAIVMNGSIFRGRPIVVTAKRTNKPGMGAQRGRGGGFRGGRGGQQTVVVKYVYVNAPAPRGRGGFRGGRGRFNPY >CRE30229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:21335:21957:-1 gene:WBGene00069313 transcript:CRE30229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30229 MAPIIDNNSNVCSMDEELEAEAVVLKQIQNKLSEKMGMMTPPTEEEQKAIDAKSVFIGNVEYGATIEELEQHFKGCGTIVRTTIPKDKVTKKQKNFAYIEFESSASVDNAIVMNGSIFRDRKIVVTSKRTNKPGMGATRGRGGAFRGGRGGPQTVIVKYVYVNAPAPRGRGGFRGGRGRFNPY >CRE30214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:19963:20603:1 gene:WBGene00069314 transcript:CRE30214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30214 MALIDNNSNFCSMDEELQAEAVVLKQIQNRLAAKMEMGKVCLPTEEEQKVIDAKSIFVGNVEYGATTEELEDHFKGCGEIVRTTIPKDKMTNRQKNFAYVEFECAASVENAILMNGSTFRGRPIVVTAKRTNKPGMGPSRGRGGRGGFRGGRGGQQAVIIKYVYVNGPAPRGRGGFCGGRGRFNPY >CRE30215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:22890:23506:1 gene:WBGene00069315 transcript:CRE30215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30215 MASIDNNSNFCSMEEELQAEARVLKEIQNRLSEKMEIGKLTPTEEEQKAIDAKSIFVGNVDYGATVEELEAHFMGCGTIVRTTIPKDKFTKKQKNFAYIEFESVASVENATVMNASIFRGRQIVVTAKRTNKPGLGHNASRGRGGRGGFRGREGQQTVLINYVYVNGPAPRGRGGRGRFNPY >CRE30216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:26900:27538:1 gene:WBGene00069316 transcript:CRE30216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30216 MALIDNNSNMCSMEEELQAEAVVLKQIQNSLAAKMEIGKVCLPTEEEQKAIDSRSVFVGNVEFGATVEELETHFKGCGEIVRTTIPKDKMTNRQKNFAYIEFECAASVEYAAVMNGSTFRGRPIVVTAKRTNKPGMGAARGRGGYEGFRGRGGHQTVIVKYVYVNGAPPRGHGGFRGGRGRFNPY >CRE30217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:30786:31620:1 gene:WBGene00069317 transcript:CRE30217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30217 MPWFADCARVFWLATTFLSSTMASIIDNNSNMCSMNEEIEAEAKVLRDIQNRLSESMEIGKTVPPTEEEQKAIDARSVFVGNVDFGSTIEELEAHFKGCGEIVRITIPKDKLTKKQKNFAYVEFESSASVENAMVMNGSAFRERQIVVTAKRTNKPGMGAQRGRGGFRGRRGEQQTVVVKYVYVNGPAPRGRGGFRGGRGRFNPY >CRE30218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:32740:33308:1 gene:WBGene00069318 transcript:CRE30218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30218 MENNKRGVVKAKGIKSHYFQTLANYVSNNLELLHNNPKQANSFAASVFGSTAPINEKDLLDLLVPSDANADALAAGMDCCLLLSEKYRPHYDAAVQQLARLGRTHDVATVIDDEKKFTALSRKPSSRKPMKRYSSAFFKVAQRKTRKIPAIMDYVARP >CRE30219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:34284:34720:1 gene:WBGene00069319 transcript:CRE30219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30219 MIPHFQRGVWEKTEKRRGKRTINISPCQWRISSFDSFLSKELPDIRSNMKIFMILLLALLTISTVSAFTDTARTGIVCKTHQNCGFSARCIQGHCMPVPGTLIGRDGPSGEECHSGCYECVEGKCIYSQV >CRE30220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:36528:37173:1 gene:WBGene00069320 transcript:CRE30220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30220 MASLIDNNSNVCSMEEEIEAEAAVLKQIQNRLAEKMEVGKTAPPTEEEQKEIDARSIFIGNVEFGATVEELEDHFKGCGEIVRTTIPKDKLTKKQKNYAFIEFESSDSVENAMVMNGSTFRERQIVVTSKRTNKPGMGAQRGRGGIRGGIRGRRGGQQTVVVKYVYVNGAAPRGRGGFRGGRGRFNPY >CRE30221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:38068:38507:1 gene:WBGene00069321 transcript:CRE30221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30221 MIPHFQKGVWEKSEKRWGKRPINISPCQWRISSFDSFLSRKLPDIRSKMKIFMILLLALLTISTVSAFTDTARTGIVCKTHQNCGFSARCIQGHCMPVPGTWAGVPPYDCSECPNYKDCLSEYGCPI >CRE30222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:39304:44373:1 gene:WBGene00069322 transcript:CRE30222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30222 MNLVVKLLWVMFVLMMMVTPVDSVAANISLCHDVPSTWSSSISTPPIVVLREHHSADIRMTSALDDVDCWLQKNFRIVGAFTIDTKGDNETICYGISTIDVKVGQLIVVMSEGQSAQNCTPSIYGPIPNILNIKMFIDGTRNSSTRRMELAYRNPGGHLGVQFEFHRRTVISKIQKTVEHMLRLNQLSYAPLTHRHHFFTPTVYLPPATWQYQKMEEKNGETSDGEWSISWVLVLSVMPMMFFGVVVSCYIVIQPVSKCALLRIDAQLRGGLTYTDEEIDFTIQEVDSASRVNVPQPMMIHSFKTAPIFYAPGASTSSKSTTSSEDHTAVEPQPPPEVAPKTAIPLEKEPEPTVVVPSEEKSTRTMRLPVAPPPTSPPPPPPSGHQNLNQNVTTTTSTAGTTETLSLRTGRD >CRE30230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:45855:73062:-1 gene:WBGene00069323 transcript:CRE30230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30230 MSNFCNFPAVFVNNNTQVILTLRLAFRPLVFIDYIITSAWPVNKNVHQVVNVELVLEGPYNELKDLLTESNYLNPIRMNLIKSVIDGIIDADRLLLHIHSFDTKIAYFTIPPGVTKEYSLFQQGDSSRQILPQLCIVKPDGVKTRGFVNFWKKMLEVDDGNWQKWVHTQTERGLLNLIHVPFELFTTERILKFDTRYPLNAVLYSIKVRATFCLVENQTYVTLVYANEKSKKPSYFFIRKVICNGPVAIVKTAFLGGVTSIQRRRAVEAQRQRLINVTFGTILNEDDLQREARRYRYEANAAKLYMDPIKIYERRYDPACTVFRRPLERMVVRYTHVPADLTTIVRAEEKTDDPDEIKLLTLHNTLAKSLACRRSVILLNTFQIGGAHIVRLLADFCQNVLMHKRMHQEGFRPAWTHNNAVSLLRQVYDSSGASLEQFIMFPAITVQPDILGNAILRRKSRQELKKAAAESTKSPKEKEKDVTKNAMPSRTAVETLAIVCEMWNEPEGGNPDDQLANMSAERRLNEDLRLISVLFTLDKIIRQSGQCNEIFPNEDGNKGLAQPQPGQMFPYNDRCRCTTIYELTGIPKRNPMTSDEKSRMMRCRPKSRRRTEKLKNALDRDRQFEAEQLEILNVPKPPNPGMFPIRYIHKRAEGEEELLGELDEPFPYIDEKEKYTEICPAPTVTDIHADAVSKFVTVYDNLFSMTNLLYHAQRKYLPLPHFVLGKGVPQCNSLQLNALHCQLSEISDLTWLIDETEEDIVFADLCKTVPMDQRPPKTRLRVYVKALTARMAALIFVPAIEPFDINSEIIPLFISTIHETQIAHCYAQNAFDEFGIVTRPMSDVPERWDSGARFGWTPNNRNVFPEEATTFSSFCSHFEVEILPRIRMRAMYEAAQEEIPLNEESLMDMASEVDCLEFEMSAIPTALRKVCQHLEPDHRRKSVAPTDICCRGSNIAYMFEKMLAKNFKRVDGTQNMFYLNPETMLEKEKVKKKETKRGQTRGNSGMMYVDGTESTTSLSEISEEAELTKTPQPQEEDTVVVEQHDSPTPEELNSDSESTTSNSDDDEDSDASSSSSSSQETPRPTSNSPPSSDLSDSEGAAARIPTDDAHGEEYVEYTGHNQPMFIQFNCSVNFKHQLYSFPINFLPTCIHELLPFVENPPTDPEEIKKVEIVLELIVLTTQNLRPTMNVNEINTRLIRMAKSRVRNPYEFERHRRESYKKEEEEDDPSLSDDDDDGENSEGGGEKKGDDVLFELPTQERKVMTELVRNVEVILELEKILLESRVEKVDKELLENVKSYIDNACTTSGKFGHAETRHKPFLLVDGSIFKIRKFMNLMDDRVTGYLKMKRLPDTNMFYCKSIHDKELYKRVAETPPDDDSVENFSSEIADFWIILTVDYSTAHSISVHFCQRYAHQHTVVLDEMWAGIRQELRILNQESLLEMMYIQRKVDKLLVPEIITAATTMRKSISEEKNPTTTTTEKEKIVEKNKMMMPRGELPGDLYFYFAEGYFACPLQRELWITVPERVRNQSTLLHKNPLHMAFEKIKVPLLKYSVSNRLNTFVYKDTDRNQIFYFQLHMDRDSYELAVKGNKAKLRRKQMETIEKMLETKICMTIFGITEPHTEIIETIWSGLNEKLDSLLLAEIMRGYSQNNQQSLLLNEISFLQPERPGPHVRKFFSIPFIFHDYLSSLLFYIGQHIEAIPRISPAKVKEKNVFYSGTAFNRTPVVTSWQPSAASRTRSFRNKIEPQNTQDSGAGAARASTDVEEGVEAREGLLRAFSIEEKLEDPLNPSTIFKAHPPIAGSLPKDYLLSSFFVYHILPAKGVVDTGIALIEFRICKPDGTLIPVYEQPRMNESSHLLLVPNVPEDKATVYRDIVKSHSCTVPRSPPEKSICGYVEMIVWTKGDVVKEDFEKLMYELIEEAMFDVITEFGYLNTTIVEENTLTAHVAVVARNSDAYLPGIEQPTTTQPTEQKQLTKQGSASSVTASVDTRHSHAHSEREVGGRRSLVHGPGSLDVVHHPHSHLPLHLPNLPPLPPQPRTPAARMPSIAKDIEQSLSNNDANFRRQDFMNRKNAYSIIKWLDYVASKQGETNSVIKQRIRFEFQHASLPALFEIRNRLEFALSTNESAIRERVFLCGLRKHESDSSHGGGISLRESNLSIFGTNSHDGRFEVLTTDPFHAMTEDCGVDESQNQEEYILVSCIKNVFDGFSENDIRTKADVDAMRHTFSTHLHGKYSPLVQQRMYCPRQRIMMAFLKGDFMTIYFYNYHSNIHQEAVDCMNRIVGFHNAKSRLLREIGLHKMGITHLSPFHTEPIHEYDYEVLIWTDPTTLISKEFPIEHKAPPAYMKEAQCSNIFFRMYRQPDLQETMGRNKTLFKSDCVKTMHYEQLLRWRSGLKTKLDFIQKVHRCHVEMTRKSPIITEHELLMFIRPPKLAKKCGGGPPATSSTSSVVRHRPSSHHHHQHDDDHHTIEDNGSMTERTSKRASIYGESVKSVHSQVIANESEIFKGKGEFLRKTELKLGLAAEKFHEIRTPFFLRSEWNRDAPRRAGELFDEVVRETDIRSMLEEPLKEFLEIYEVQKAKTTKQLMKRKYSEKNVEVQVAEMVVKNEQRHEGEELFERDELDEDWSEVDSDLEDDEEEDSGGSGGQQMMTGDENFQRMYGKVRRSWKVNPPGSPMPFDSRHNSISSSIPSSKPSESGPIEKMFSFTMNAASKLTRRLSRKPTEKEQSIDKGEDVAQELEDVDNEESGMEPAAIAPIMYKHAEEFSHYFTQKYGFIQFQVEKCNRRSKVLNRYTTNTNVYTDLPYLVFFKAFDGGVIFADLRYEMPEFVVNFYMYERAKSSSADYYMVDRMEALYWKETEELHLAAKQLKDSVCFMTFNFDYHLRAVATYIEAKVNKEKCLYGNSMNVFHLIERLLDFYQHDLILSRNLVAIKYVYMTKEQNDEILARAEMDRGMVLMKMESDPVTEKRYAGTPIEDAMCRVTESTLYKTSHPVTIIEMTGLLSAFPTISGKQCSRVVPAIKLRVHTEDVGDGELWPPTEDREFIESFEGKQRRRLLENMSKYGTEHVPTPWEDSCVRRTVREMMADGGGGPSNTNSPRFL >CRE09810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:122323:123905:1 gene:WBGene00069324 transcript:CRE09810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09810 MDPPKPFPILRLPYLAMEEIFKAMDPIEITNFSMISKRTKYLVSCAFEMTSGQQMEETAEGDGYILRRVFKYLKNPMEKWKQLCKYVLDIFKKPETNGVSMTMDASVDFFFIARCSPISRLSICSSELDPPKSFPILRLPFLAIEEIFKAMHPIEIINFSMTSKRAKAVTKIMTFYSKYAICLCVDKTMGISIKGTDNLVSCTYVMISNERMDGITKEDESYGYISRRVFKYSKDPVDDWRQLYIYVLEIFKKQTIDVLSMTMDAFVDQNVSIIDFVKTNAKSVSDCHLYQLEEKNDVDEHAAYLLENLKIDNQLISYLYIKTSEWIGFERLLEIDCKSVLLRNDPFWEEDWNLFLKTWIAMDTHLNLEYLALEYRDLDYFRNHVLYDIPHEVVDRGVKRVLKTGHNQTQEISGGVDIRRIDGKTATFFSHSNSFSMSVH >CRE09809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:120046:121227:1 gene:WBGene00069325 transcript:CRE09809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09809 MDPPKPFPILRLPFVPLQDVFKAMDPFEKINFSLISKRTKAVAMQMTSYSTHLIQLIIGEELEVWVHELKYMTACYYMFTSKEEMNGKVEENTWDGWNQLFVWKYSDNMIEEWQQLSKYVMELFKKQTIDILSMTLDTLVENNVSIIDFLKTNWKSVNDCNLFQSEDKNDVDEHAAYLLENLKVNNELYSYLDIKNVNFDGKIPKNLKELRIENSQWVGYERLLEIDCKNVIIEKNRISNEQWNLFLKKWIAMETHLNLEYLQLDYREIEEFRALVLHDIPHEVVDGEVKRTINGYLNSHIEINGGIDIRRVDGKTATFFAFQMEFLAMSVH >CRE09808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:118233:119461:1 gene:WBGene00069326 transcript:CRE09808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09808 MDASKQFPILRLPFLAIEEVFKAMDPFEIIKFSMISKRTKGIAKNMSFYSKYSIQINYDEEPQVSVVGPKYMTACFHIFTSNEEKNGKVEDRDWDDRNELLVWKYSDNLIEEWKQLSKHVLEIFKKQTIDVLRMTMDAFVDQNVSIIDFLKTNWKSVNDCHLYQTRQEKNVDEHTAYFLDNITINANLLSLLNIKNKHFDGKIPKNLKEIHIENSKWIGYERLLEIDCKHVTLENDEISNKEWNLFLKKWIAMETNQNLVFLELDYTDLEEFRDRVLHDIPYEVVSEEVSRIVPCHYNQTQKMNGGIDIRRIDGKTATFFVRHSIWERSFWMCIH >CRE09806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:114529:115773:1 gene:WBGene00069327 transcript:CRE09806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09806 MDPPKPFPILRLPFLAIEEVFKSLHPIEIINLSMISKRAKAVTKYMTFYSKYDICLRINEGLGIAIRVENKLMSCTIQMTSDERMDGIVEENGNVYIIPRVYKYSKDPIEEWKQLFKHVLETFNKQTIHLLFITLDDFVNHNVPIIDFLRKNVKSVTECQLYQEEEKNDVDEHAAYLLNNLKVSNKLDSFLHIKNDNFDRKIPKNLKELYIRNSEWIGYERLLEIDSEHVTLRDNQITNEQWNLFLKKWMAMETNQNLNFLGLDYWDLEEFRADVLYDIPHEVVDGAVKRNLIIFPDETDEINGGIDIRRIDGKTATFFLDYNVLSMSVN >CRE09817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:108796:109980:-1 gene:WBGene00069328 transcript:CRE09817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09817 MNQPKPFPILRLPYLAIEEVFKAMHPIEIINFSMISKRSKSTTKQMTFYSKYSIEFCVDEELRIEINGTDELVTCIYLMTSDEKMDGKTEEDGGHGYIQRSVFKYSNNPIEEWKQFSIYVLEIFKKQTIDLLRMTIGAFVDQNVSIIDFLKSNVKSVDECCLDRMREEKNVDEHTAYLLNNITINTKLFFHVNIKSEHFDGKIPKNLQHLMISHSEWIGYEKLLEIDSKYVILRNNRITNKEWNLFFKKWIAMETHLNLKCLKLKFKSLEEFKELVLYDIPHEVVDEGVKRVLNIIYRSPGEMSGGIDIRRIDGKTATFFVYRTEYFAMSVN >CRE09816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:103051:104089:-1 gene:WBGene00069329 transcript:CRE09816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09816 MFSFRINFSMTSKRSKAVTKKMTFYSKYHILVTIDDALEITIRGINNVVSCTYNMTSDKQMDGKTEETTYKDRIFRDVYNYAEDLVEGWKQLCKHVLDIFKRKTINGIVMTMDEFVNHNVSVIDFLKSNEILVDGCYLYQKRQKKNVDKHAAYFLKNLTVNLNFTLHLNIRNNNFDGKIPKRLQVLNIEPSKWIGYERLLEIDSKHVILRNNRITNEEWNLFLKKWISMETNQNLEYMELDKRELGGFRDRVLYDIPHEVVSEEVSRILTIRYKQTQEIRGGIDIRRTDGKTATFFVLRASWGEYFSMCIH >CRE09802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:101066:102141:1 gene:WBGene00069330 transcript:CRE09802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09802 MAPPKPFPILRLPFLAIEEVFKTMHPFEIINFSMISKRTKGIAKQMGFHTKYSIYLFIIETLGIRFLGTKSKVSCSYAITSNKEMDGKIEEFVSGRVIRRKVFKYSNNPIEEWKELCKYVLDIFKKQTIDVLKMFMDAFVDQNVSIIDFLKTNWKSVDECYLYQSKEKNDVDEHAAYLLDNLTVKKELFFLLHHKNGDFDVKIPKNLSELYIYNSQCIGYKKLLEIDCKNVILKGNQITNEEWNMFLKKWIAMETNQNLKWLNFEFSSLEEFRELEYHMKWWMEQSNEPSRLIVKKRMK >CRE09801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:99452:100559:1 gene:WBGene00069331 transcript:CRE09801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09801 MDPPKSFPILRLPFLAIEEVFKTMNPFEIIKSVVSCSYVMTSDKKMNGKMEETESGRYLIRKVFKYSKDPVDAWKQLTKDVLELFKKQTIDLLSMTIDVFIGQNVSIIDFLKANFNSELCTDVYINNGNFNGKIPKNLKELYINNSQWIGFEKSLNIDCKSVIFMKNQTRNVNLRNNHIFGEQWNSFLKKWIAMETHLNLEYMELEYRDFVDFNADVLYDIPHEVVDKGVKRVLKTRRNKTKKIRGMIDIKRIDGKTATIFVYRVLSEKRFAMSIH >CRE09800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:91580:95987:1 gene:WBGene00069332 transcript:CRE09800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09800 MVRKVDTDIARLESNSNTGEKGLGSAEATSAPGEVRKWGDHRVTSLTNPSSTAEATHPQDNTPPTKTDGGSGASPDPVQNVSETDDTSVASPSVVLDIIMLETGAIPDPRDFAGINGPLKYSPGGKGEPVAQLDDMEVDRICSPLSGLGDWADEPICEELLLGASSDIMEEDPPAKKTVHPFHQYYTAGESTEEPPKCPLRRAIQKEPLQLIQRFRDTTASLIQAPLGPHKHDVAEFRGKEVPPTKFTVLCPPGMAILFQAVQQDGGAWWLTPLHPEVVANDRPDMFFVQLTNTSFDTLSNKFIDCSQIFKGDIFYVQEISRKQNTEPESLKRDFAEVWLPKHHNFWKVVECRILQRIVHPRRAAMSMGRSFGNNKKLAPFAVDRVNSVAYFPKSKLVGRTFYDTWVAVDVFEPVSYPGKPLKSLAAHSRVDLYKEIAQATISWSIEPTIIEVYDMEPEEAKRLDSIPSYFVNKPMNKSSLTEFCDAVTMGVHAQLALQYERFDGKHYPTRVIQASKSRSGLLVSVNLPSPASRLISLAKWRKGVHVALDLRKETISALVLSAVQEGDQVLMNCRILHSGYLDKGPEQFMDQELVLRQRKPDPEQLIRLATGRAKPPKDIAGSVSLAVLKAMHGAEPLPSLSIPEQPLQWVAGKVTLTVEQGRIFQVLSIKETKAVAIDCAPGTGKTTAMLAAICKYSENERKAWSIITAMSNAASVQAVKAWKQVGGSVPGVRLITAKNRNRLDAEYQTDYDLPVLWPRIFMELFQRIDGQYGQKVTPVVRAATRHLVHQGFLKDCDIRRKVLRDCAQLTNKKEEPCLTIMQTFLAIHKPRLYFGTVASVKTFFQTDSTLRGAADNVKNTVMDESSQIPRYTLVPLFYNFPKSRCVFLGDSRQLSPFTEKSVPTKLQQIGVGDPFNKAVQAGQIPTIRLNTVFRCPGEITNLISKLYYGNLLTGKANLTPVPIFQKMLLPSTHPLILISVPADETREGTSYSNMEEAKAAVAIINQWKKFSEEGETAAVLSMYLSQSALVSRMLDADVYSNTVDASQGSEFDLVVVLTSRSGNVASCKFLNDTTRINVSLSRCKQGCIVLGNQHALENASEWKRVLGELPDTAKLQSETMDMFSHG >CRE09814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:86850:88064:-1 gene:WBGene00069333 transcript:CRE09814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09814 MNPPNPFPILHLPFLAIEEVFKAMHSFEIIKFSLITKRTKAVAMQMTFLPRYSIQLNIQSRNRLEVCVVGPKYRTICCYSFLSDEKMDGEVVESDRADCYEIYACKYSINPVEGWKQFCKHVLEIFKKQTVNVLTLYMDAFVDHNVSFIDFLKTNVKSVTECNLDQSDEKNNVDEHAAYFLKNITVTNELISFLHHKNAYFNGKFPKHLKKLFIKNSEWIGYEKLLEIDCEHVVLKQNRITNEEWNMFLKKWMAMETHSNLKNLEVNYRELEEFRALVLHDIPHEVVDGGVKRVIKTRCRETYEITGGVDIRRIDGKTATFFVYRDFHFAMNIH >CRE09797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:82087:83555:1 gene:WBGene00069334 transcript:CRE09797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09797 MKPLKQLPILRLPFRVMEEVYKGMHSIMKMISNRRSNKFPILRLPFLAIEEIFKAMDPFEIMTFSKISKRTKTVTKNMGFFSKYDIHFCVDKILWIYIRGTNGLVSCNYIITWNEKLDGKIEEEKCFGRISRRVYKYSENPIEEWKQLCIYVLEIFKKQTIAFLQMRMDAFVDQNVSIIDFLKSNVKSVDGCYLHQWYQKNNVDENFTYFLNNITINNKLDSWLHIKNGDFDGKIPTNLKELIINNSQWIGFERLLEIDCKHVVLRNNRITNEEWNMFLKNWIAMETHMNLESLEFDYTDLEEFRDHVLPNIPHEVISEEVSRIVACRHYQTQKIKGGIDILRIDGKTATFFVRRRRGYEENVLMCTH >CRE09796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:80543:81408:1 gene:WBGene00069335 transcript:CRE09796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09796 MEGKSDDAEHNGYIIRKLYKYSKDPVEEWKLLFKYVREIFKKQSIDAVSMQMDAFPEQNVSIIDFLKANVKSVNGCWLFQTDIKINVYKHTAYFLDNIKINSVLFSNVYVNNVNFDGRISKNLKELYIINSEWIGFKRLLEIDSKTVTLKNYKISDEQWNLFFKIWIEMKTHVNLEYLELDYRNVEQFRTLVLHDIPHEVVDLGVKRVLNISFNDTQEVTGGIDIRRIDGKTATFFDHYTGFSMSVH >CRE09813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:69778:70772:-1 gene:WBGene00069336 transcript:CRE09813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09813 MKFFRISFSLISKRTKGITKQMTFYSEYSIQLSIGEELEVTITGPKYKTQCYYIFTSKEEMSGKVEDNTFEGWNEILVWKYSNNLLEEWKQLCIYVLEIFKKQSIDLFLIMLDTYVEQNISIIDFVKTNAKSVDDCHIFQAEDKNNVDEHAIYILENIIITSELLLCLHIKSDDFISKIPKDLKKFTILESQWVGYERLLEIDCKNVVLEDDQITNEQWNSFFKKWIEMETNQNLEYLEIDHRELDVFRDRVLHDIPYEEIDGEVKRTFKINLDETQEISGGIDMQRIDGKTATFFVSRVFSTQRIAMIIH >CRE09794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:68241:69332:1 gene:WBGene00069337 transcript:CRE09794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09794 MDHPRSFPILRLPYLAIEEVFKSLHPIEIINFSMISKRAKAVTKHMTFYSKYAVCLRVDDGFGIAIRVENKLVSCTYLMTSDERMNGKVEEYGGNDYIVRRVYKYSKNPVEEWKQLFKYVLDILKKQTLHLLFITLDDFVDHNVSIIDFLRKNVKSVTECQLYQDEEKNDVEEHAAYLLNNLIVSNKLDSFLHIKNDNFNLKIPKNLKELYIQNSQWIGYERLLEIDCEHVTLRDNQITNEQWNLFLKKWMAMETNQNLNYLRLNNRDLEEFRAIVLHDIPHEVVDKGVERNLIFWFPDETEEINGGIDIRRIDGKIATFFVHDNVFSMSVH >CRE09792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:63215:64641:1 gene:WBGene00069338 transcript:CRE09792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09792 MKPLKQFPILRLPFRAMEQVSKGMHSIIKMISNRRSNKFPILRLPFLAIEEIFKAMDPFEIMTFSKISKRTKAVTKSMGFFSKYDIHFCVDKILWIYIRGTNGLVSCNYIITCNEKLDGKIEEEKCLGRISRRVYKYSENPIEEWKQLCIYVLEIFKKQTIDVLSMTMDAFVDQNVSIIDFLKSNVKSVDGCYLLQWYQKNNVDENFTYFLNNITVNNKLDSWLQIKNGDFDGKIPKNLKELNIRNSEWIGFERLLEIDCKHVTLRDNEITNEEWNSFLKKWIAMETHLNLKSLELDNSDLDEFRVHVLPNIPHEVISEEVSRIVPCRHYQTQKIRGGIDIRRTDGKTATFFVRRRRGYEENVLMCIH >CRE09791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:61385:62569:1 gene:WBGene00069339 transcript:CRE09791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09791 MDPSKPFTILRLPFLAIEEVFKTMHPFEIINFSMISKRAKGIAKQMSFSPKYSIDLYINKTLDIMIYGTKDLVSCTYVMTSDKKMEGKSDDAEHNGYIIRKLYKYSKDPVEEWKLLFKYVREIFKKQSIDAVSMQMDAFPEQNVSIIDFLKANVKSVNGCWLFQTDIKINVYKHTAYFLDNIKINSVLFSNVYVNNVNFDGRISKNLKELYIINSEWIGFKRLLEIDSKTVTLKNYKISDEQWNLFFKIWIEMKTHVNLEYLELDYRNIEQFRALVLHDIPHEVVDLGVKRVLNISFNDTQEVTGGIDIRRIDGKTATFFDHYTGFSMSVH >CRE09790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:59484:60540:1 gene:WBGene00069340 transcript:CRE09790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09790 MDLIEIINFSMISKRTRTVAKSMSFFSKYSIDFYINETLDVRLYGTKEMVSYIYVMASDIKMNEKSEEKEQNCNIIRKVYKYSKDPVKEWKQLSTLVLDIFKKQTINYLSMQMDAFVDHISIINFFKATAKSVNDCFLYQLNHQNNVDENVAHLLNNITISNSLETWLHIKKYFLNLKIPKNLNELYINDSRWIGFEKLLEIDCKSVIMKNDLISEEEWNLFLKKWIAMETNQNLECLQLSRKHLETFRALVLHDIPHEVMDGGVKRILKTRFNQTKEITGGIDIRRVDGKTATFFTHDNGFMMSVH >CRE09789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:47640:48908:1 gene:WBGene00069342 transcript:CRE09789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09789 MDSPNPFPILRLPFLAIEEVFKAMNSFEIINFSMISKRTKSVTRQMTFYSKYSIRINASEMLEIWVAGPKYMTQCFYKFTTNQEINGEVVENTWNSRNELLVWKYSNNPVEEWKKLVKYVLEIFKKETIDLLLMTMDAFVNQNVSTTDFLKTNVKSVNECYLFQSVKENDINEHAAYLLKNIKITNAFSSYLHIKNDNFNGKIPKNLKELKIHYSQWIGYERLLEIDCKSVILEKNLITNKEWNMFFKKWIAMKTHLKLEYLEIDYRDIEKFRALVLHDIPHEVVDRRVKRTFKTRRNETQEISGGIDIKRIDGKTATLFVYRVFSTDRFAMSIH >CRE09788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:45703:46925:1 gene:WBGene00069343 transcript:CRE09788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09788 MISYRRQKKFPLLRLPLLAIEEIFKTMHPIEIIHFSMISKRAKAITKNMTFYSKYAIGLGISETMSIAINGTNGLVSCIYLMISNERMDGKVEEYENNGFIQRKVYKYSKDPVEEWKQVCKYVLDIFKRQTIDVLGVALDVFVDQNVSIIDFLKTNVKSVNGCNLNQWEEENDVDEHAAYFLENIKINNELRSDLDTKNDNFDMKIPKNLKELYIKKADWIGYNKLLEIDSAQVSFGTNRISNKEWNSFFKKWMAMETRLNLELLAFAFKSLEDLRLFVLHDIPHEVVDEAVKRTLITDRDETEEISGGIDIRRIDGRTATFFAQYDGFLMSVH >CRE03565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1530:1818:2975:1 gene:WBGene00069344 transcript:CRE03565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03565 MINNRRDNKFPILFLPFLAIEEIFKTMDPIEIINFSMISKRTRAVAKLMSFYSKYLVHLYVRNFTLNIMLLGTNERISYTYIMTSDIKMNGKSEEKEQNGCIERNVYKYSKNPLEDWKKLSAHVLDIFKKQSINYFAMQMDALVDHISIINFLKAYVKSANDCFLYQLNHQNNVDKNVAYLLNNVTINNSLDTWLDIKTYFFDGRIPKNLKKLYINDSRFIRFEKLLEIDCKSVILRNDETSNKEWNSFFKKWIAMETHLNLEYLELSRKHLETFRALVLHDIPHEVVGEGVKRILKIRFNQTQEISGGIDIRRIDGKTATFFVYRELWKDRFAMSIH >CRE09812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:41171:42325:-1 gene:WBGene00069345 transcript:CRE09812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09812 MDPPKPFPILRLPFVPIQEVFKAMDPFEIINFSLISKRSKGITKQMTFYSKYSIQLSIGEELEVTITGPKYMTQCYYIFTSKEEMSEKVEDNAFEGWNEILVWKYSNNLVEDWKQLSKYVLEIFKKQTIDLFSMMLDTFKDHNVSIIDFVKTNAKSVDDCHMFQAEDKNNVDEHAVYILENIIITSELLLCLHIKSDDFISKIPKNLKKFTILESQWVGYERLLEIDCKSVVLEDDQISDKEWNLFIKKWIVMETNQNLEYLEIDHSELDVFRDRVLHDIPYKEIDGEVKRTFTTNLNETQEISGGIDIQRIDGKTATFFVSRVFSTQRTAMIIH >CRE09786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:39506:40720:1 gene:WBGene00069346 transcript:CRE09786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09786 MIRNRKNNKFPILRLPFLGIQEIFKTMDPIEIINFSMTSKRAKAVTKNMSFCSKYTICLYINKTMGISIEGINNLVACTYLMTSDKQMDGKTEKDESYGNILRSVVKYTNDPVEEWKQLCIYVLEIFNRQTIDILTTTMDVFVDQNVPVIDFLKTSVKSVNSCSLSQKDKAINVEKHTTYFLDNIQINSELYFDIYINNDDFNGQIPNNLKEFYIFNSHWIGFERLVDIDCKNKWVTMEVQLNLECLQLDNRELVRFRNHVLHDIPHEVVDGGVKRTLISSYGSPREISGEVDIRRIDEKTATFIEQSYGFSMSVH >CRE09785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:32383:33596:1 gene:WBGene00069347 transcript:CRE09785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09785 MNPPKPFPIIRLPFLAIEEIFKAMDPIEIINFSMTSKRAKAITKKMTFYSKYAIGLGIEETMAIAIKGTNNLGSCIYLLTSNERMDGKVEEYENNGFIQRKVYKYSKDPVEEWKQVCKYVLDIFKRQAIDVLSMTMDVFVDQNVSIIDFLNTNVKSVNGCNVLQLEEENDVDEHAAYLLENIKVNNKFQSNLDTKNVNFDMKIPKNLKEFYIKKADWIGYDKLLEIDSVRVVLGTNRISNKDWNLFFKKWMAMETHLNLELLAFAFKSLEDLRLFVLHDIPHEVVDEGVKRNLIVYRDETKEISGGIDIRRIDGRTATFFAQYDGFLMSVY >CRE09784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:29622:31016:1 gene:WBGene00069348 transcript:CRE09784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09784 MPLGIFSNVLLPPSIINCLILCPPLSFFSSIDCFCRMKPLKQLPILRLPLRAMEEVSKGMHSIIKMVSNRRDNTFPILRLPFLAIEEIFKTMDPIEIINFSMISKRTRTVAKSMRFYSKYSIDLYIHETLEIRLDGRKDVVSYVMTSDKKMNGKSEEKEQNGRIERKVYNYSKDPVKKWKKLSTHVLDIFKKQTINYLSMQMDAFVDQNVSIIDFLKTSEISVNDCYLYQWEQNNVDENVAYLLNTITIRYNLETWLHIKTYFFDGKIPKNLEGLYFMNSEWIGFEKLLEIDCKSVILGRNWISVEEWNSFFKKWIAMETNQNLECLQLSHKHLETFRALVLHDIPHEVVGEGVKRILKTVRNRSTEINGGIDIRRIDGKTATFFMHRELWTESLAMSIH >CRE09782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:23143:24246:1 gene:WBGene00069349 transcript:CRE09782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09782 MDPPKPFPIMDPPKPFPILRLPFLAIEEVFKAMIPFEIIHFSLITKRTKAVAMHMSFYPKYSIELYIKKVPKVSIKGTTLISTYFVESMYKGPEERNACLERKVLKYSTDPIEKWKRLCIYVMEIFKKKSINVFSMQMDTYLDQNVSIIDFLKTNVKSVDICNLDQSEEENDVDEHTIYLLDNLKVSKEFSSQLIGYENLLKIDCRHVTLRNNQITNEEWNMFLKKWIAMETNLNLEYFKLGYQELDEFRGHVLHDIPYEVVDKGVKRSLLIVRNRSTEISGGIDIRRIDGKTATFFMHRELWTESLAMSIH >CRE09781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:21252:22395:1 gene:WBGene00069350 transcript:CRE09781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09781 MDHRKPFPMLRLPFVPLQEVFKAMDPFEIINFSIISKRAKAITKQMSFCPRYSIRLFIDETLGIEIRGTDKLGLSMYLITPDKRIDGKIVEIERVGFILRRVYKYSKDPVDEWKQLCIYVLEIFKKQTIDVLSMTMDDFVDQNVSIIDFLRTNVKSVDVCYLFQSEEENDVNEHAAYLLNNLKVNNVLNFLLDMKNGNFDGKIPKNLKELHILSSQWIGYEKLLEIDCKRVVLRDNQISNKQWNLFLKKWIAVETHSNLEYLHLDYREIEEFKTLVLHDIPHEVVDGGVKRTINGYLNSHIEINGGIDIRRVDGKTATFFVFQMEFLAMSVN >CRE09780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:18021:19300:1 gene:WBGene00069351 transcript:CRE09780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09780 MEEISQALLSIIKMISNRRNNTFPILRLPFLAIEEIFKAMDPIEIINFSKTSKKAKTVTKAMVFHSKYVICVYIEKTLGISIKGTNNRVSCVYEMTSDKRMDGKTEKDKRNRFITRKVFKYSKDPVEEWKQLCEHVLEIFKKQSIDRLTMTMDAFVDHNVSIIDFLRTNVKSVDKCNLWHSEDENDVDEHAAYFLNNLKISNELYFYLKIKNYNFDGEIPKNLKELYLPNSHWIGYEKLLDIDCRHVVLTNNRIWEEEWNLFLKKWIAMETHLNLEYLELDYRQLDIFRSHVLHDIPHEVVHEGVKRILKTRFNLTLEINGGIDIRRIDGKTATFFVYRMFRMNRFAMNVH >CRE09779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:15134:16467:1 gene:WBGene00069352 transcript:CRE09779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09779 MKPLKELPILRLPFRAMEEVSKGIHSIIKMMSNRRTNEFPILRLPFLAIEEIFKVMHPIEIINFSMISKRTKAVAKYMSFYPKYKIDLYIKETLEIWFLGTRNIVIYVMTSDKEMDKKIEEKDGFGSILPVPLISRKVFKYSKDPVDEWKLLFKHVREIFKKQSINCLTATMDAFVEQNVSIIDFLKVNLKSVDRCTVSQKNREINVDEHTAYLLDNIEINSQLCYDVYIKDVNFNGTFSKYQEELYIKNSEWIGYERLLEIDCKSVILEKNLITNEQWNLFFKKWIAMETHLNLEHLQLIYRNFEEFRALVLHDIPHEVVDEGAKRTFKTQRNHTQELNGGIDIKRIDGKTATLFVFGHRWFGGFLMCIH >CRE09778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:11603:12680:1 gene:WBGene00069353 transcript:CRE09778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09778 MDLPNPFPILRLPYLAIEEVFKSLHPIEIINLSLISKRAKAVTKQMTFYSKYDICLRIDEGLGIAIRVENKLVSCTYLMTSDERMNGNVEEYGGNDYIVRRVYKYSKNPIEEWKQLFKYVLDILKKQTLHLLFITLDDFVDHNVSIIDFLRKNVKSVTECQLYQDEVENDVEEHAAYLLNNLKVSNELHFHLNIKNDNFDWKIPKNLKELYIHDSEWIGYERLVEIDCEHVTLRDNQITNEQWSLFLKKWMAMETNQNLNYLRLDNRDLEEFRAIVLHDIPHEVVDKGVKRNLIFWFPDETEEINGGIDIRRIDGKIATFFVQDNVFSMSVH >CRE09776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:4733:7879:1 gene:WBGene00069354 transcript:CRE09776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09776 MKPLNELPILRLPFRAMEEVYKGMHSIIKMISNRRSNTFPILRLSLLAIEEIFKAMDPFEIMTFSKISKRTKAVTKNMAFFSKYDIHFCVDKILWIYIRGTNGLVSCNYIMTWNEKLDGKIEEEKCLGRISRRVYKYSTDPIEEWKQLCIYVLEIFKKQTINFLQMRMDAFVDQNVSIINFLKSNMKSVDGCYLHQWYQKTNVDENFTHFLNNITINNRLDSWLHIKNGDFDGKIPKNLKELIINNSQWIGFERLLEIDCKHVTLRDNRITNEEWNSFLKKWIAMETHMNLESLELDNRNLEEFRDHVLHNIPHEVVSEEVSRIVPCVANTHPLQ >CRE30627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2527:1531:2832:1 gene:WBGene00069356 transcript:CRE30627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30627 MNLLLPILRLPFRAMEEVSKALHSIMKMISNRRDNKFPILRLPFLAIEEIFKTMDPIEIINFSKTSKKAKIITKAMVFNSKYVICVYIDTTLGIAINGTNNRVSCVYEMTSDKQMNGKIEEDKRNRFITRKVFKYSKNPIEEWKQLCKHVLEIFKKQSIDRLTMTMDAFVDHNVSIIDFLRTNVKSVDKCNLWHSEDENDVDEHAAYFLDKLKVSNELYFYLKIKNDNFNGKIPKNLKELYLPNSHWIGYEKLLNIDCKHVVLTNDRIWEEEWNLFLKKWIEMETHLNLEYLELDYRQLDIFRSHVLHDIPHEVVHEGVKRTLISFLNRKAKISGGIDIRRIDGKTATFIAQYNVFIMSIH >CRE30506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:84946:86160:1 gene:WBGene00069357 transcript:CRE30506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30506 MDPSKPFPILCLPFLAIEEIFKAMDPIEIINFSMSSKRAKAITKKMAFYSKYEIGLGIDEIMAIAINGTNGLVSCIYLMTSNERMDGKVKEYENNGFIQRKVYKYSKDPVEEWKQVCKYVLDIFKRQAIDVLSMTMDVFVDQNVAIIDFLRTNVKSVNACNVLQLEEENDVDEHAAYLLENIKVNNKFLSNLDTKNVNFDMKIPKNVKEFYIKKADWIGYDKLLEIDSVRVILGTNRISNKDWNSFFKKWMAMETHLNLELLAFAFESLEDLRLFVLHDIPHEVVDEGVKRNLIVYDDETEEISGGIDIRRIDGRTATFFAQYDGFLMSVH >CRE30505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:82565:83850:1 gene:WBGene00069358 transcript:CRE30505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30505 MEPLKQLPILRLPFRAKEEVSKGMHSIMKKMISSRRDNKFPILRLPFLAIEEIFKTMHPIEIINFSMISKRTRTVAKLIRFYTKYSIDLYIHNAPEIRLDGRKDVVSYVMTSDKKMNGKCEEKEQNGCIERKVYNYSKDPVKKLKQLSTHVLDIFKKQTINYLSMQMDAFVDQNVSIIDFLKTNEISVNDCYLYQWEQNNVDENVAYLLNTITIRYNLETWLHIKTYFFDGKIPKNLEGLYFMNSEWIGFEKLLEIYCKSVILGRNWISVEEWNSFFKKWIAMETNQNLECLQLSHKHLETFRALVLHDIPHEVVGEGVKRILKTVRNRSTEINGGIDIRRIDGKTATFFVYREFWTESLAMSIH >CRE30504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:80272:81154:1 gene:WBGene00069359 transcript:CRE30504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30504 MSPPKPFPILRLPFLAIEEVFKAMDPIEIINFSMISKRTKEIGKRMSFYSNYAIELYVHEMPEIRLHGTKDVVSSFYVMTSDKEMDGKIEEKEWGRYIIRKVFKYDPIDEWKQWFKYVMEIFRKQAIDVLTMTLTTFVDQNVSIIDFLKSNVKSVDRCSLYQRDEQINVDKHTAYLLDNVKINSELCYDAYINNDDFNPKIPKSLQELRIYNSKWIEYERLLEIDCKSVILKNNPISNKEWNVFVKKWRVMETNQNVEYLELD >CRE30502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:77195:78384:1 gene:WBGene00069360 transcript:CRE30502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30502 MDPPKQFPILRLPFLAMEEVFKAMHPIEIINLSMISKRAIAITKQMSFCPKYFIRLCIDETLVIEMHGTNRLVSCTYLMTSDKRMDGKIEEYGCDGYIVRRIYKYSTDPIEEWKQLSKHVLEIFKKLTIDVLTLYMDEFVDQNISIIDFLRTNVKSVDLCYLFQSEDENDVDEHTAYLLSNLKVNNELNFSLDIKNVNFDGKIPKNLKELHILNSHWIGYEKLLEIDCEHVSLRDNQISNEEWNMFLKKWIAMETHLNLEFLKLGFKDSEEFKALVLHDIPHEVVDGAVKRNLIIFPDETDEINGGIDIRRIDGKTGTFFVQCNVFSMSVN >CRE30500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:72995:74187:1 gene:WBGene00069361 transcript:CRE30500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30500 MKMINNRRSNKFPILRLPFLAIEEIFKAMDPIEIINFSMTSKQAKTVTKEMVFNSKYALCLSIDKTLGISINGTNNRVSCVYGMTSDKRLDGKIEEDKRNRFITRNVFKYSNNPIEEWKQLCKHVLEIFKKQTIDVLTLYMDEFVDHNVSIIDFLRTNVKSVDVCYLFQSEEENDVDEHAAYLLNNLKVNNELHSLLHIKNDYFEVKIPKNLKELNLHNSHWIGYERLLEIDCKSVILEKNQISDKEWNLFLKKWITMETNQNLEYLELGNRQLDIFRIHVLYDIPHEVVDGAVKRILKIRFNQTQKISGGIDIRRIDGKTATLFVYRIFGKNRFAMSVH >CRE30499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:70881:72075:1 gene:WBGene00069362 transcript:CRE30499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30499 MDSPKPFPILRLPFLAIGEAFKAMHPFEIINFSMISKRTKGIAKQISVCPRYKIDFHIKETLEIWFFGTRNMAAYVMTSDKEMDGKIEEKECCGSILPVPLIFRKVFKYSKDPVDEWKQLCKYVLEIFKKHTINDLLMTMDAFVDHNVSIIDFLKANLKSVDRCTVSQWNRERYINVDEYTAYLLDNIQINSDLCTDVYIKNINFNGKFPKNLEDLHIKNSEWIGYERLALVLHDIPHEVVDEAVKRTFKTRRNCIRELNGGIDIRRHDGKTATLFVFGIGLHGSFLMCVH >CRE30514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:63895:65087:-1 gene:WBGene00069363 transcript:CRE30514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30514 MDSPRPFPILHLPFVPIQEVFKAMDPFEIINFSMVSKRTKGIAKQMTFYSKYSIQFSIGQELEVVVMGPKYMTQCYYLFTTNQEMNGKVGENTFEGWNEILVWKYSDNPVEEWKRLSKYVLEIFKKQTIVLFSIMLDTYVEQNVSIIDFLKTNVKSVEKCNLFHAEERNDVDEHAAYVLENMKITFELLLCLHIKSDDFILKIPKDLKELTMMESQWVRYERLLEIDCKSVALQGDQITNEQWNSFFKKWIAMETNQNLECLELKYRELGTFRDHVLHDIPHEEIDEGVKRELKISSNATQEISGGIDIRRIDGKTATFFVYRVFSTDRFAMSIH >CRE30497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:61060:62226:1 gene:WBGene00069364 transcript:CRE30497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30497 MGPPKPFPLFRLPFLAIEEAFKAMHPFEIINFSMISKRTKTVTKHMSFFSKYSIGIDIDEEQQVSVVGPEYTTECVYIFTSNEEMNGKVVEEGDWDDMYELRAWKYSKNPVEEWMQLLKHVLDIFKKQSIDSFTMTMDAFVDQNVSIIDFLKSNVKSVDNCNLYQLRDETNVDDHNAYLLKNITISSKLFLLLDIKNNNFNAKIPKNLKELTILESKWIGYERLLEIDCKSVTLKNYKILDEQWNLFFKKWISMETNQNLEYLELDNRDLEEFRDRVLHDIPYEVVSEEISRIVTCRYNPAQKINGGIDIRRIDGKTATFFLQFTGSEESLLMCIH >CRE30496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:58115:60194:1 gene:WBGene00069365 transcript:CRE30496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30496 MKPLKQLPIQSLPFLGIEQISKEVHSITKVISSRRCNEFPILRLPFLAIEEIFKTMHPIEIKKINFSMISKRTRTVAKLMSFYSKYSIHLFVENFKLFIGLYGTNEMVACTYIMTSEEHMDGKIEEKEHKGYINRRVYNYSKDPAEEWKQLCLHVLDIFKKKTIHYLSMQMDTYVNQNVSLIDFLKANVKSVNECRVNQWYPENNVDENFAYFLNNITINNKLDSLLHTENYYFDGQIPKNLKELHIHNSEWIGYDKLLEIDCKSLFLGYDRISNEQWNLFIKKWIAMETHLNLERLQLKYRELDVFRDCVLYDIPHEVVHKGVKRTLISFLNRKAKISGGIDIRRIDGKTATFIAQYNVFIMSIH >CRE30513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:56569:57273:-1 gene:WBGene00069366 transcript:CRE30513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30513 MATLSNYFPRTPWSLRSSSNILPPPSIDYYRTVVTSTLKKSDIRSIESVQNSFGRRIPSRELGKYISIDDPDNETASELNEMFGLSSLESRRTLIDHKLVSKMLVGKVDKDTRKIFQLDTNNKTRTQTKFIWSKCKTRLRRFFFTNRALTTINK >CRE30495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:54533:56022:1 gene:WBGene00069367 transcript:CRE30495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30495 MNPPKPFPSWDISCRTVSMQLEKLLTKRNAPENRTLMSGRFNLLRIVKKEEKLIFSMISKRAKEVTKQMTFYSKYSIELRINETMGIKIRGTNIVVLCLYLRTSCKQKDGKTEENEGYSYNLRRIFKYSKDPVEEWKLLCEYVLEIFKKQTINVLTLYMDAFVDQNVSIIDFLKTNVKSVNECNLFQLEDENDVDEHAAYLLKNIKVNNELSSFLHIKNKNFDGTMPKNLKQLLIQNAEWIGYDRLLEIDSRHVFLINDRITNEEWNMFLKKWMAMETHLNLELLEFELNSFEEFRELVLPDIPHEVVAEEVKRTLITYRDKKIERSGGIVIKRIDGKTATCFAQYTGFEMIVH >CRE30511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:49261:50394:-1 gene:WBGene00069368 transcript:CRE30511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30511 MDPQKPFPTLRLPFLAIEEVFKAMHPFEMQVVYINFSLISNRTKQVTKMMTFHPEYSVALDISKNLQVAIRGTDKIVSNIYEMTSKKVADGVTKEVVGRIELTVFKYSKDPVEEWKQMCVHVLEIFKKHSIENLRVCMDGFVSHNVSIVDFLTTNEISLNECSLFHWDRKINVDEHAAYLLNNLNVTNELNSYLHIKNANFDGRIPKGLKKLHILHSQWVGYERLLKIDSVQVDLIRDRISYNDWNLFFKKWMAMETNLNLESWNFGFESMEDFRELVLYDIPYEVVDEGVKRTLQTSYGSPREINGGVDIRRIDGKTATFCVILTDLGDNSFLFIH >CRE30510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:39706:41437:-1 gene:WBGene00069369 transcript:CRE30510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30510 MAFQKKKRMSIDRGRAGTMERRQRLHDLVDLREELRDLQANLEHEELRFADAVKQRSSIMNKNDPQEGDSEDEDHFLESLRLTEESIHHHRQKIMEIQGKIIDKKESILSLEILVKLEENR >CRE30508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:20795:29361:-1 gene:WBGene00069371 transcript:CRE30508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30508 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NI59] MSFFNLKFLISFFYCTVSFIIYTLLIIMLLKNWKEFKSAFFHIVIADYLFIWQFLFPISLATVILCAVYFTRTILETNPYYVYNEALDMYSITADSNILPAYSNVINFMAIAVVSSILLNTVSVIKLKLMTQNLSTIEKNLLFSTIASSVIQCAAAGNTFLLQLDRSRTTVLGQLGQLLLPFFSDFLTISQPYILIFLSSKVRLEMLKMYFPKFKAVKVNSIIVKTQEIFMSFFNLKFLISFFYCSVSFTIYTLLMIMLLKNWKEFKSAFFHIVIADYLFNLLTWLNSMITLRLPNGTCKTCIMSEIFGALGKDNQYTGGFLYICYFLHFGNAYFQYGMITMMSVNRATSIFFYFINEKIWKILFPILLALVIVVTVFGTRTILATVPYYTFNEALDMYTIKSDSNILPAYYNVIYFMAFSVSLSVFLNVISVIRLKMIQHKISTVERNLLLVTIFSSIIQCFAAGNTFVLQLDPTRTTLAGQAAQVILPFASDFLTISQPYVLIFLSSKVRSGFIRMYLYKYAKQFNGMAFTKTNEIIPGFRLRLIMGSMIIESTLLVSLSSNPKTETVGQSTI >CRE23690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:1070:2519:1 gene:WBGene00069372 transcript:CRE23690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23690 MQEKREIISKRAVLQVKREASIQRLLPSHPFIARTYSTWQTRTHLYSLLQYPTGSTGDLFSVWRQRGSLSEAAIRLIGAELASAIDFLHRNDVIYRDVKLENVVLDQWGHALLIDFGLAKKLKQGCNTGTICGTLQYMSPDVASGHTYSHYVDWWSLGVLLHILLTGIYPYPNSEATHHANLKFIDYSTPIGCSREFANLMDRMLAVSITHRLCSFTVLHAHPFFRPINFSKLEQKDYTPASEIGNAEYDTYQQNDETLDDTMYKENYDFDRFDYFNDRF >CRE23691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:4633:6198:1 gene:WBGene00069373 transcript:CRE23691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-20 description:CRE-CEH-20 protein [Source:UniProtKB/TrEMBL;Acc:E3N9A2] MVGTHPTNLSELLDAVLKINDQTLDDNDNTKKQELQCHPMRQALFNVLVETKEKTVLSVRNPVDETPEDPQLMRLDNMLVAEGVAGPDKGGSLGSDASGGDQADYRQKLHQIRVVYNDELRKYEEACNEFTQHVRSLLKDQSQVRPIAQKEIERMVYIIQRKFSGIQVQLKQSTCEAVMILRSRFLDARRKRRNFSKQATEVLNEYFYGHLSNPYPSEEAKEDLARQCNITVSQVTQINFQTITVFFAVQVSNWFGNKRIRYKKNMAKAQEEASLYAAKKNAHVALGGMTGNPYGMLPGAAAAGLLNPYNPMNIPGQDTLHMGMPPFDLSVYNPQLMAAAQYQQQMDNDNKNS >CRE23708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:6777:7876:-1 gene:WBGene00069374 transcript:CRE23708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23708 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N9A3] MTATIDVDNLMSRLLNVGMSGGRLTTSVNEQELQTCCTVAKSVFASQASLLEVEPPIIVCGDIHGQYSDLLRIFDKNGFPPDVNFLFLGDYVDRGRQNIETICLMFCFKIKYPENFFMLRGNHECPAINRVYGFYEECNRRYKSTRLWSQFQDTFNWMPLCGLIGSRILCMHGGLSPHLQSLDQLRQLPRPQDPPNPSIGIDLLWADPDQWVKGWQANTRGVSYVFGQDVVSDLCMKLDLDLVARAHQVVQDGYEFFASKKMVTIFSAPHYCGQFDNSAATMKVDENMVCTFVMYKPTPKALRKG >CRE23692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:10967:18213:1 gene:WBGene00069375 transcript:CRE23692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prk-1 description:CRE-PRK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N9A4] MIKRKLQDLAVCCSYQVDFLHEKKHSVKEFKKKYEVLDEIGRGGFGIVYEATRRQEGQQPVAVKFVQHKHVRSWTMTCRQLIPSEVCHLETCEDIPGVIRILDWFANSKGFLIVMERPENCMDLFDMVSVHGPLNEDMAKFIFKQVITTVFDMYSKHGLLHRDIKDENLIVNMNTGEVKLVDFGATAYAEKATKKEFQGTRSYCPPEWFRDQLYLPLEATSWSLGVLLFILLTGKLPFKNEIQICLGNVKFPEGLSKEVCQLVKSCLTTSTTARASLSQIAVHPWMETDKPFYNGESTFEEALMEIRNPAPILEDKEAEEEDNELNDVVILTRSRDSRTDMDMESICTMVTAVEDRYEPSIAVKELAVEELVATGETTNLMRSESRYDNISSSSFCDYVSLVSSSYDDQFTSTSDIYQSACEVFPHSSSSTSLSRGMGAVKSASAYNLVRMRKKSSIFKSFDTELDPVSEIDSQPLIPETSSAMCQSTYSNDEDVTISEEVITAGTSPSVSNSPRKGSLQCSETVPRVRDPVIRMSRHRPLQFAIDSSSPPPVLAIFQVSPTASVG >CRE23709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:18705:21040:-1 gene:WBGene00069376 transcript:CRE23709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23709 MWICVTMATCQIVSQDLADMNPLLFNDRALKGPGVIARVTPTGTQYVASVVAAAFSSHFADIKPPKERKDHQLTSAPTVTLRRLMLETLQLDKQLVSTTTSDGLLRIAVRNISVSTSAVLTGELRGIKLQDSVTFRTPSLRLDVAIRITKNGGGNPALKMETCKITTQGIKLITKPMDPRNGKSLADAIANDANSIFSDMICSKIDHIVTNRVNQRFALLSSRISLEDSKNFDIVKIIMQAEERMKRLVRQNRLRSNQTIRRNVHAHNARRVSRDPVRGKRQMDEDKFHLILNSFNFSRADSLMLDYSMITSPSLTSRGIELQTSGEVFQSGHVTPFGAGPIFLPRVPNPSQMLQIVVSDFVPNALMFHGHRIKLFDTRIDWTTPQFGPVMRTTCDLSTGSLFCIGDLFPALREMLPDRNIAFVFTTLKAPAVIVQPEEKGGIHFSLLGLIRLVSDRNEPIGEMEIRIEALMKMKLTPKSVKGKVTIEEIQFTSRSPRILLQEELDDAGFLSREILQRMVNDILRQGIPIPIHPLFKLVKPKLTLMSRSLLLETNFLLNEHIIAQLTAETLVA >CRE23693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:23428:25323:1 gene:WBGene00069377 transcript:CRE23693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23693 MLGQIKATVNKKVNQVGSASSQAPPPPPSTPKNHRRKKGRHGNRRGEKGEKGVEPNSDKPGNRLKKDGINKRKEKEDTSSHTEDDGASSRRNKKKSMVGWIGGSVDKVVNLFDKEKRPPGQVIDNKPDPKYCAQKILQSERPDLFAGMNAVDLSAEEFQLLDEYLLRKGELRTDSMFTLGKVVDTDSKIKVGSGDEMEVLLLWSYGRFGAVYMTLKETDSEQYAETNFNYFIFIFRERRGMSSCFALKTSRRINASTRISHEIKMLTSIYSNTRKTGYPTRITPMFFHGQTGGTPYLMMPMLDCSLERIRQEIGYKLPWSDAFYIGQEALVGIKECHIHSIIHRDIKPTNLLLGVQNNKIWWLCDFGDASRIGVAQYLSTPDSMTLPFLSRDGHRATVQLIPANTRMDIESWFYMILDLFVSLPWKLFTEEADTLTAKNTFWSSIDSFFTKNDEKLPDQLIVLAKIVSGNLNESTYSKISAVLKEGFHKHLEDSPWKPSWITKRKPRQMYPTKKNRKKETQQTTNKSMKSRKKSRKRLL >CRE23694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:26159:34480:1 gene:WBGene00069378 transcript:CRE23694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-38 description:CRE-LGC-38 protein [Source:UniProtKB/TrEMBL;Acc:E3N9A7] MMTWILSLILIGFVITEHPPLMKSDKLIEYAPQASTEPTLGDQSNENLENNVTRLLDKLIASHDRRIRPNYGGPPIEVNITAHVTTISAVSEVSMDYTLDLYLRQIWKDPRLAWESDVEDSLTIGIDMVKTIWTPDTFFPNEKKSFFHEATSHNSFLRIDSHGNVLRSIRLTVTANCPMSLHTFPLDRQECALEVESYGYSTKDIIYHWHGTNAVTIDENVHLAHFTIGEHYHIERTISLSTGNYSRLTAYFMFKRNIGFYLIQIYFPSSLIVVISWVSFWLNREAVQARVAIGVTTVLTMTTLMTSTNASLPKVSYVKSLDVFLGVCFFIVFASLLEYAAIGYLMKRNRSVPAASPVQYYETGDGVLKREASRKKSRNRRDSSMKNYQNNNIHHRPSDGHVDQRIPLLSMVPMPPIPFKPQNGAWGKVRPAQVDLFSRFAFPIFFVIFHIIYWTYYINQ >CRE23710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:34665:35617:-1 gene:WBGene00069379 transcript:CRE23710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-8 description:CRE-COL-8 protein [Source:UniProtKB/TrEMBL;Acc:E3N9A8] MSNTLIWAGSASMLVCVLVALYTMMGLLSDIQKLQSDFDDEMFEFRAITKDTWQRMVIKHTHPRGLSSDSIESTPPTFKTLFGARKTRQAYPEQCNCGPKSEGCPAGPPGPPGEPGQHGEPGNNGDDGKPGAPGVIVAITHDIPGGCIKCPPGRPGPRGPSGLVGPAGPSGDQGRHGPPGPPGGQGGPGEQGDAGRPGSPGRPGPPGPRGQPGTEYRPGQPGRIGPPGPRGPPGPDGNPGGAGSDGNQGPIGHPGVPGRPGIPGKSGTCGEHGSPGEPGPDAGYCPCPGRSYKA >CRE23695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:36962:39533:1 gene:WBGene00069380 transcript:CRE23695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rfl-1 description:CRE-RFL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N9A9] MVSVDPLASERWRSIRRLTDRDSAYKVPWFTPGPENFESLQNSKVLVIGAGGLGCELLKNLALSGFRTIDVIDMDTIDVSNLNRQFLFRESDVGKSKAEVAAAFVQQRVSGCNVTPHNCRIEDKGPDFYRRFSMIICGLDSIPARRWINGMLCDLVLENPDGTPDFSTIIPMIDGGTEGFKGNARVIYPKMSACIDCTIDLYPPQVNFPLCTIAHTPRLPEHCIEYIKVVVWPEEKPFNGASLDADDPSHVDWVLERALHRAEKYNIRGVDRRLTSGVLKRIIPAVASTNAVIAASCALEALKLATNIAKPIDNYLNFTQIHGAYTSVVSMSKDENCHVCNGGRLPIEVSSTYTLESLINRLVDRYHLKNPTLETAHRKLYCISLLLPQLEEESKTNLNLFLKDMVTDGDEILVSDEVLARAITLRIQFV >CRE23711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:39813:45092:-1 gene:WBGene00069381 transcript:CRE23711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyk-1 description:CRE-CYK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N9B0] MSSDDYESIDSPRVASLSDLARLPPQKQQSHYFTDDDEDVTPTASSSNSASKNVVFEPLQSVFLHQNSESSDLEEPRVQLREKKKTARAQDATVSHFMGDEAPGQKFKRRSAERHSMLAGAADFASRRSPFHLNGTGSGRWTDLFSSNRFKRKTRQKLNNGPSSSNNAANEDYGTYGGTRSIGGMSIASNSSGESYFQSCTEEQLREQFRHIMLEKNIPASKVNEIVASTPTEQIKTMIANARKTDDAAARQQPPEWNLRVLENIIKTQNILDCKQDIVTVSIQLKCQSVSFLYQFADNIRSESGRTGADLICRLYSLVLKRLRSAEVGSKLEFDLIDFLQEVVRCIRTIVNTHRGLELVFRRNSPVCSLLIQTMCILNRREFSDNEPNEIKMLRENVVMICGSLMLVSHETLESRAIEMTGQQKMFMELTTIAKSESKRVGETVSRFRPLVSCIQFFESRDTKLTMRVLLMLNMLINGVDRNTSDEQMWTEETMWQARMRLRSEAAKDGLSKYIEKFTSSENVDSQVREVAKNMLAEHNADLETLFGKLDSVKGEYDSLDGCFELLAANSEATGTETILLSILQLLTLTNEDMSTKRAYMKLIEASISEIIFHRTPIDPDSQERLVFEIPVSEIIERMQDEEMAKKLRQATSAKQEAVAMQGEYWKKLTEFQKETECLRKHISDPKVPLPPPTKMTLSAPSASSGSSSLPPITGGPPPPGLPPITGGPPPPPPPGGLPPITGGPPPPPPPGGLPPVRGGPPPPPPPPGSGPPPPPPPPPPPGGFKGGPPPPPPPGMFTPMAPVLPDYLPPKKVPKVDGPMRKFPWGAHTINPRDIPRESFWVGTNEDQLTSDRMFERLRTKFATKPAIGSGVLGGKLENKKKIKTAQVIQDDKLLQKLGILQGSIKITHSELKSALLEVNEKVLTVGFLEQLRAAMPSEKELIEKLRAVDKAQFEEMPEGEQFITRLLQIQGLPLRLDLILFKMRFIETLNELKPAMSSVMEACEEVRTSEGFRTFLKLVLATGNFMGGATKNYSSAYAFDMRMLTRLIDTKDVDNRHTLLQHLIEEMRRIDPRRSRFAITDFHHCIESSRVNADEIRKTVQMTETNIKKLENCLKVYKQQGERDKFEEKMRPFLEKAIKEFATVSTMSGKMKSDWESLVKYYAFNATKYPMEEFFADIRTFSEQYSTAWKELDAEAEAANAEAKRKEAAVETQKRKQQQQEQKQRVPLQEKQIINRMPRTPAAMIRVSTAADKVGVLDELERATGNDAFLQTLMSATNSRTPRSGMPSRTRGGGRLGGLDRQRSRHQNQVNQIPDFGESEPVLSGQFPRSARNILHHDQQLNGGAPETLKTTTALDRAKAFGVGIPIGQNELKIRVRRKGQPAVPVSNIHGSSQISPTHKENDPTGSSSKPSGPVSTSSTISANSSSSNTVVPSTDDLLARLHDF >CRE23696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:60078:64398:1 gene:WBGene00069382 transcript:CRE23696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23696 MESIEAAARDYRETLAELKNNNKTQINLLTILADDFKDASAQIVDVIEMHLNTCSPSQKLPSDGSEATRAALYKLRVTWGSTGLFLPSKLYGLDIKINKLDSAWPISNPNTGRALRHDAQVMTSQNRPPSALTNSAPNPPTRVFVNPKFIDANGPANSTAKKIVEKTKSTTSTPVKEKQIKKEPKQDPLDKLLPSTSSGSAKSSASSPAGSKRKSTPLEHPHAPNRKKNQPVQSLDEDLRTINLTNNKVAQPQDQDFRPKTLKPTSVVGSHAFAAGTVPPPVPITQPLAANHFIPLPPTPGPVTPFHHIPQQLPPQPPVQTARMGGQFIHNSPPQDPAPMAPPMQVVPPPHHQQQAQQKNVYASEQTKLDVPANNRIFVDGKAYEVMFVDDTAVIERAGAPHRIYFAGTPRNLIIDGTPHLIPFDKPVQVDILGAKHVVKFGAPSRELYIGGHPFKGQFGGPPIIATINGRRHEIRLTGSAPEVRIEPEPAYHLTHFLHKMREEKKIEIASEKPEKKEDWLSYLKNLQKSGILPPVKSETSSNHQPQPPVNQSKSGPINGGHRGGRQNRNQPLSRWGGPTMPAPTQNLDPTPIGIGIEKRNAPPAAITDFNIRLLQIRYDSVVESLMTKRADACKYCGMRLDDSQGKSKEWQDHMDWHVKQNLARHGSNTSSAEIPYRQWYPSSSTWLTSRASDQSNEQDAEKSDEPLPGVASSGVKTKECSVCGEKFDEYFDDDEETWRLRDTVNINGRIVHSACASDATQSLENTIYLNDSDVKKEEPYDEDGN >CRE23713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:65379:66040:-1 gene:WBGene00069383 transcript:CRE23713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23713 MRGELLGYVKKSNKTSGLLPKSDIKTFVTCVHVRRGDFLLVGFAASDARFIKSALKFIEEKEDPKKQNKVIVFFGDSIKFMKKLSNDLLLVNGKQKKISHYISTNSPTDDLIYAKENCDAVLISGKMNLDSTL >CRE23697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:68239:70871:1 gene:WBGene00069384 transcript:CRE23697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23697 MNAVNSNTIQIIADGGVGTLKRPLDSELLDGDLIPAKKTSEIGDLNMGDTDKIIEIYQVPEKVVGLVIGKGGSEIRLIQQTSGCRVQMDPDHQSVNGIRNCTIEGPPDQVAIAKQMITQCFFQVISRNQTGVPQTVATGEVTEEMLIPADKIGLVIGKGGDTIRTLQDQSGLRLLNVVQDSSSATGQPKPLRMVGTPAAIETAKALVHNIMNSSPGNPPLLPRGGQSSGGQYGGYGGQEAKGEVIVPRVSAGMIIGKGGEMIKRLAMETGTKIQFKPDANPNSEDRVAVIMGTRDQIYRATERITEIVNRAIKNGQAGSGSILGGNNILGQSTFYMHVPATKCGLVIGKGGENIKQIERDSGASCGLAAASEQKNEDEKVFEIKGTQYQIHHASHLVKIKVGEIAPNTPVPPLPGSGYQQHPQGMYGSAAQSGGYPTGVQGGFIQQQQPQTQQYGKGLMDFSCSHSGFTGIPQQQQWAPSNGNGAQQHVSNDMYHNTIQQSHAVPATVVIPQGSTDPTPAVNPSTGAPDYSAQWAAYYRSIGLVEQAAMVENQMRRNQATGGPTGDVPGAQQGHQAYYSQ >CRE23698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:71183:72336:1 gene:WBGene00069385 transcript:CRE23698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tofu-7 MGKLTNCLIAAMIASPFVIKYLRHRRLFKFVRMKPQLEETESGTEVPELPQDHYIRIPAFAVPAVIGKRGMTLRKLMYDSQTECVLRSYKPEELDVSIDEIIPDTEWSEKFETDDWFAEASEEARHKITYLLVRTSDTRKLQMVRLRIAEIIEVIQKPWKSAEFEVESHMVGYLVGRGGRNIKSLRDKFTVQIQISDPIPDNPSRSIVTVRGRDLTALKEVEIVMKETVLPKNYNRVPKFDEDTLVENGIYENVEEELEDLRRAGELLSQGFF >CRE23699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:74775:77239:1 gene:WBGene00069387 transcript:CRE23699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23699 MEKLLHFAVHFLFGDGTMSGEPVPPIFLNCSSIFIFGKDEKYASCPIENGTDPCYLLKEVHRAKEFRTYFLAILPIVLSVIACLLNIGYLMAQLKCFSQEKTSFKKRQVFLISRSLSIILANLLFYVVVIVWKANGFVYTSAMIFILIGGINFLSVTGTYIGLTILLYTAIVHQFWYMTKLTLTHCCLIIGLIWILSTASSVCIGLWGATLFYPESAPISCSFESCQQPLAIIIVVGLSICYGTVIVLYIAMMTRLHRLVRKSSLVQSRSKSNSMTAMKRLGLNMITFAVGSVPILIVCIVALANLRDLSSLGEGCKSPCKTFLHSSLFVEVEILASVAAIVWIIAMIADPVINILADKKLRGVFTKQITRVSQRMRRASTKSTISTDDQ >CRE23700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:77520:79207:1 gene:WBGene00069388 transcript:CRE23700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23700 MYGEECSSQMGQRGISDYIPDIFVNCSQYFIEGKDEIYADCPLDNGTDPCYLLKELHRADSFSDYFLAFLPIILSVIAFIINIGYLIAQIKCFRYEKMGFKKREAFLISRSLSIIVANLLFYVVIIVWKVNGFNYISAMIFILVGSSTFLSITGTYITLTIVLYLAVAHHISYMTTVTLTHCWLIIGLIWILSTASSVCIGLWGATLFYPDSAPISCSFESCQQPLAIIIVVSLSICYGTVISLYIAMMARLHRLVRKSSLVQSRSNSNSMVAMRRLSMNMITFAVGSVPILIVCIVALANLRELSSLGEGCKSPCKTFLYSYLFIDVEMLASVAAIVWSVSSFPHNNAFLCSRLIAMIVDPVINILADKKLRGVFLRQFPSVFRSLPTIFRKSKEKSTETTVLPEKEEQEGEEDLEVERF >CRE23701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:80418:81342:1 gene:WBGene00069389 transcript:CRE23701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23701 MSFFHFINCIALSFAPYFIVYKYSGINEYSSIWKCATASGGYLLTQLAKLLILATFFPDLDGEGFAILPEFLKSSADIIDVIGLHLLMTNFLAGKGEVRFVVGGLGWGFAHSIAHRLVLLWVGARGTAFTWRWIQTSLNSSADLMVIVSLACLTWMITRSQNKVIISPVLAMCVFSTFVYQTVQHSFSLYGWPLLAFRFVYSIATAALTVIVYSANRTTNARKNE >CRE23702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:81602:82802:1 gene:WBGene00069390 transcript:CRE23702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-37 description:CRE-LIN-37 protein [Source:UniProtKB/TrEMBL;Acc:E3N9B9] MSEIDPLDEFLLPQDAERSIIKNDPLISGGPIPLESPSRKLTSLLSYDPTIPESPDMKFARKRLGNLLTTIKHHPSEIIGVLPEDYTRGEEESSRQGRPPGRPRKMMRHESSTSLLDSPRKTMTRDSKIMFELRGKPFEMVAGRFEEEYSLGRAWVKGHMNNDYVPINTKRTEFAPNLAVDYLACREIHRMPRPDENVPELPVIPTKIDKFDDQVDPRYLSDLKTEYIRHWKEVKKGWCAHQRDRNARYAKSIQLIEKVFQPPDMRNADSSMGLM >CRE23714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:82837:83425:-1 gene:WBGene00069391 transcript:CRE23714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23714 MHLINLCLSLYFKYKLLTLTYQVIAFSACLVHLGSEGVRLGLGFYGNLSENMSALFGFLITSIIIQIPLTVFLAVNGAFMNLPLEYVFYTLIVIFSGFQTVFAIQALMKTAEREVAKYINKIQQESIAAEKEAAAVKSPKLGRKLTQRKKS >CRE23703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:84964:85773:1 gene:WBGene00069392 transcript:CRE23703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-18 description:CRE-UBC-18 protein; Ubiquitin-conjugating enzyme [Source:UniProtKB/TrEMBL;Acc:Q45U91] MSATRRLQKELGDLKNCGVKAYENVECEETNLLKWTVLLLPDKEPYNKGAFKVGITFPVDYPFKPPKVAFETKIYHPNVDEEGKFCLPIVTAENWKPATKTEQVMMALLSLINEPEPNHPIRADVAEEFQKDHKKFMKTAEEHTRKHSEKRPE >CRE23704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:86013:87277:1 gene:WBGene00069393 transcript:CRE23704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ger-1 description:CRE-GER-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N9C3] MKTILVTGGTGLVGSAIKQVVETTEKREEEQWVFIGSKDCDLENIDETRKLFDSVKPTHVIHLAAMVGGLFHNLAHNLKFFRKNMAINDNVLALCHEFDVVKCVSCLSTCIFPDKTTYPIDEKMVHLGPPHDSNFGYSYAKRMIDVLNKGYAQEHGRKYTSVIPCNVFGPHDNYNLQAGHVLPALIHKVYVAKRDDTSLEVFGSGKPLRQFIYSLDLARLFVRVVREYEDVEPIILSVGESDEVSIRDAVSAVVKAIDFTGNVEYDTSKADGQFKKTASNEKLLKLFPDFKFTPFDQAIQESVQWFVENYDSARK >CRE23706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:99333:100252:1 gene:WBGene00069394 transcript:CRE23706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23706 MTMLDPDDLSPGEIVFSADDTGGERSFLAEFFGKKQSLSIGTNSARISAELTHLSMKSAFSTTNLILSVD >CRE23707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:105865:106411:1 gene:WBGene00069395 transcript:CRE23707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23707 MMRFMHKALARDDSKDMLRSNNPYNNVVMHSKRVYEIQAEEGCFNGPPDPLEGSELEDDHMGDSQNTSVYIEDEEPQKVRKPESGDLRAPRNPEQFDDDPRAMLRKKQKVHKDSVCAFMFKPHVEPKMV >CRE19331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:335710:341614:-1 gene:WBGene00069396 transcript:CRE19331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mat-1 description:CRE-MAT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MXA2] ANPVRTSIRIADAAAIASKNAKNATSQRKRNEKQPLVSRNSNLARSLSGSTNSVSPASSSRSERWAVLSINFQQFQVASTASERPSEDDMLQNSNAPMSSAASSLNSDEPMDTVDLVYDDGYKNFFDIYRHIALIEESISTYNWKTADVLFAKMEKETMINTSMVRLQLGRACFEQSEYRECRNILNDLHKRKKWKVEGTELLSTSMWHLQDTHALSALAQTLTTESRERSQSWCAAGNCFSLQRQHTQAIECMERAILLDKRFAYAYTLLGHELIVQDELDKAAGSFRSALLLSPRDYRAWYGLGLVHLKKEQNMIALTNIQKAVSINPTNRAMLCTLSQIEQQRGQIDTALVLIDRALTLNPLDVACRFNRARLLFEAKRNEECLVELDKLKASSPDEAFIFHLLARVHRRMGNTHLALLNYSWAAELDPRGEQNVTDSNHVANREEYEDDEYGSPV >CRE19302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:321140:335329:1 gene:WBGene00069397 transcript:CRE19302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19302 MPHTVPGCLHPSNGRNAIDWNDGGLIAYGSHNVVFIVDVLRYKRIQSIEHHQSAINIVRWSPARSPFADSTKSQPIVASADIGGHISISNALSATQINQFSHPNTSVLQMFWYPWKDISRDFLLVLHSSSTVVLWNTVTGEKVWNHTYSHVPLFDFSVDPFSLRNVIFSSSGNKVLVCSDIALSEPASSGHLFQIASEDTSSTNSNIYLITYHKAYRNTVFIAINSSLYVVNPELMCCLSRVPIESNIVNWMPSSRRDALFAVHSNGATTFRVTKFEPTEEKKPNASFTMDKICQTECVRPMNNQRIVAASLCPTTQSTVSVLYQNGKLAFWQLSNGRVPLLYRASFIEDLLEFNENLTTSPIGELSLHQLSQMGSLSSGVTCVRMRPMDELTKVENDPFANTALGTLHLAAVGTNSGTVHLVDVFTSQIYRDFSVQPSLVKCLEWGGVYSLVTAGYNHSLSASQIVRNDVFITDIRTGLARRIRPETDESPITIIRVSYYHCYLAIAFQREPLEIWDLKGLRMLRKMSRSCPLIIDMAWSNKHHSIKTTESTFQSVYRENLVLLDSENRIYHITLRGLHVRDGKMVNTQWKSASSQICAMTWKDDMLAVGDVEGNLVVWDLGRRQSRQVRDVSHSRVLRMTFSRLAGDHTMAVLHSKEVTLWDTEAMTRIQSIRMDAAKLCLDADLCGLSPLVLSNDNTLRFVVSNTKNQALMEKEIPFIYQDDSIGIVRQRLESSSQSKESTPPGGDEKPDENFLEDALQWLETQKSPLIETLEADLSKLQRERVVRRFVGDHFSADLLSIVIDRFAPPLSTVDHLPPNLQMFWDTVSFKERETRVITVACAADQAMERRLVEQAVVVGGAAKERVTDRLIVSADLRYASIKAALLVSCQDNEKAKSLIKLIATNLIASDLIEDGVELLFLVGAGGDACKYLQSQKLWTKSIVYAKMGLSDPSEVESKWIGHLAEEAKQIRVLADASRRNWPDVVEATSSADAVLARLILRTTTSTPPLSSCPTPSGGK >CRE19330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:310241:320646:-1 gene:WBGene00069399 transcript:CRE19330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elpc-1 description:CRE-ELPC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MXA0] MKNLQIGRVKTFENLEITGSDEFAVHPILETIAVSTENELILLENDFQISSRINWAEQRRGFRTDGNQLVAVLADGRCLVIEDGEIMELEVAEPLNSEISGAEWSPDEQTLALADNKSIFLADSSLIPFVERSLTFSENEKKLAPMNVGWGSETTQFRGSEGKLKPGERIEKERMDIRQNSEKTEVHWRADGEIVAVSWFAGGLRNLTIFDRNGEIQNNVNIRNLYLSNCFAHRPNANLMCSAILKANSDDRIVFFERNGETRNSYIVKWGTNGEGEKKRIIERIEWNSTGNILAMQTAQEANRRLEFWHQSNYEFTRKYCWRFSDSVASINWKWSTVESGKLEILLGNGQFFSIHISPAPSFCDVISQNVVVASDELRMYSLCRRVVPPPMSDYSIQCLSDIVAFTTSSRHVHVITADWNIISFIQNTDNYKFNNDRASIDEVLHSEVTEGLICGFVYDEPTESFVIWVASHGKHIVCRVGDNFEILFEGESVGWIGVNPENSHVEIATNDGKFIDLMTREELFRIEQFDAVQVHFCKVTFQIFRRFYSIFMTESNHHVILSDTSKLFIDSSRVSQDAVSILTRGTSDILLIDFDNKLRFVDVETQKTLEDVRDVEAGCELVACAPESSNVILQAARGNLETIQPRRYVMAETREFLDRKEYISAFKWMKKHRIDMSFAMSYKGAELQKDMEFWIQKSTNDSQLLEQLLISCTQNFEEDGTALCSSVAQFISEMEDLEMKTQMFPLLLTALLRSKPARIQECLKEVQHHVQAVVDRKDVFTRHSLHHISFFVPAKELFNCALSTYDLKLAQQVAEASNYDPKEYLPVLNKLNRISDESEREYRINVVREAWLDAIRSLIILDEKIQKDSESPWFSDIREIIQRENLHQKALTLLNPGDKRYKEFCELYAAELERRVHWKEAALFYELAGAQEKCLKCWEMSRDVDGLQAAARRFSLDSGALKIHALKMSATLREARLPKELAKALKLAGSPSQQIVQVLCEANEWGEARREAGGELEILKVSVYGRQEQILTEISRRLEEFEKYKKRLAVVRDLKLKRVEQYAAGEVDDLRDDISVISSISSLRSSGSSKVSMASSVRRRKQIEKKKASLKEGGEYEDSALLMVLAENYRWTETVGSEFSG >CRE19301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:303407:305028:1 gene:WBGene00069400 transcript:CRE19301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19301 MRLAPASDVMIDSTRTAVDKEEKKKKKEKADKSLRISSKKSKKSIWKKKKGGSKASDASQKASKKSKKSKKRSERQPVPKRPQVGAMDDDVKSTQRSGNSDPRGPPKTAAALPQANSVQ >CRE19329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:300198:303046:-1 gene:WBGene00069401 transcript:CRE19329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scrm-6 description:CRE-SCRM-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MX98] MTNVQPGQLPLKSRILTLTSSSSESSSTSSENENQKNKKELVPVRATAGRMRRGGALPMQRAEPIHVPNQVAQMPIVMTGFVSLVPHTVLDAIAMTNCLMVVQCTEPLEIFTGIETPNRYVVHDMYLRPILFCAEKSNFISRECCGSGRNFTMEIRDMYGAELMKCYRDNPCFSCTDFLGTQFQGQQIGLMKKECCDGDFKLLGAGCNQPLLIRSPCCASCGGTQIFPVLTYTGIKVGEIVRLYPGFLQEAFTDADTYLVHFPYDLPPILKLLLISSVFLIDFTYFEDNN >CRE19300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:297275:298932:1 gene:WBGene00069402 transcript:CRE19300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19300 MLVYILLAIIALISYLFYLSITQTCAKTDTKCTSIRTSSSSRRSSRRNLNSECVVVVAPPPPAPEATPPPAPAQTTVTSVMLAPKDNFSSGVIAGKSERLEAAPTERQTPSEKSKKSKKSKKSSKSGSKKSKKSKKSKKRSKKRSKSPSTPESPSSVIQTAKELQKDTVESSATAPESTPDVQKNKNDDAPESGVKTATEIPLTPTTATTVDQKSDVTKSTNASSVSVSAVERICQTKNLFIQNQRVESFQMSIIMTRFAASWVSPRNYGMRL >CRE19298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:290997:291542:1 gene:WBGene00069403 transcript:CRE19298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19298 MSLHYSYLNLEGAESPWGVSQAPPHYAIKQRVQTIKSSHSTDHSTMARTKQTARKSTGGKPRKQLCTMQARYSRPHTGGLRRPHRFRPGTVALREIRKYQKTTDLLLRKLPFQRLVREIAQGFRTADLRFQSLAIMALQEAAEAYLVGLFEDTNLCAIHARRVTIFTKDMQLARRIRGDRA >CRE19326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:288308:288718:-1 gene:WBGene00069404 transcript:CRE19326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19326 MARTKQTTRKSTGGKPQKQLCTMQARFSRPHTGGLRRPHRFRPGTVALWEIRKYQKTTDLLLRKLPFQRLVREIAQGFQTADLRFQSLAIMALQEAAEAYLVGLFEDTNLCAIHARRVTIYPKDMQLARRIRGDRA >CRE19296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:279151:282686:1 gene:WBGene00069405 transcript:CRE19296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19296 MSVFKTKFPCVFLDCLYTAVPTEMRKHLLNVHKCDEGVIDDFNAKVKASKAQQKGTDLYDCLHCAQKFTSKGAVQNHCRLKHPLATAPVNPVDISLVENSSEPEKKKYRLCTGEVEPLTPVGRISSSVNVQNQRIKCHHPDCTKEEDIEYHLISRAAFCEHFRTEHGTDHILEKERFKNEDDYEKWLSRRQEETGTSLITQSTREEVGRVTCYKKCKHEGGYERKGNMKFGHPSKKWTGEINCTSFLKMVRTKESIQVEACFSHFGHDISVADLTLTSKQKERITEMVAQGLPNNLIVKQAKNESTENSRMHFLNSDDIRNLKNMLNLNEAQYHNDDLTSVEIRIKENSEADGFRLYVPPTDSSGSEFLIGLAKHRTNNFKNFTVIITPQHLESIKKFSHKIVILDDTYNITQYNLKLTTMTVIDNFDRSEPAGFLLSASTTSKEVGMFFSCVKKLFPEFRPTYFMTDEANCFWNGYTSQFDNPSTKKTVCRWHVYRAWKKNAKKYLQGDILQKTLRDLREMIRDPRKDRVLHRILSLLTSLDEEGSSGAKNFSAYFRTYYYDRIDEWSASTRSNISCHTSMFAEAWHSVLKRIRKLSSACPRKGQNRSKCRESDVADYILERQDGTFSLTKKTTSTTARRSDELTDDIDFSVDVDDEMPFSALPSISPPQSSERRNLSAKPFEKGSTITEDDYTRRMDKLENMWSSIYTGLRKTRRNNIGNFETEIKKVEEALQSLLLDSEGNIGLVLRKDAKKKSLTACEVMHCGLKKADPPLPTEIDDDEDEARVTDWKRCTECKQPVHFSCSLNKKKCFCSPNSAFELYPENFLQDLDSDEESE >CRE19325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:269612:276936:-1 gene:WBGene00069406 transcript:CRE19325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19325 MDEDFDKNELTEQLINLKKVVSEKAENVQLTEKQLDNFQQFFESVNQKVSKIRTVRAARIKAENEKKAEEERKKAEYAARERAIIAHENNQLEETRQRLEQSVFDVSATSIKSETPPLNNESLLNSSPEDTEIEDAEVDGSETANPTSNDPHVDFLSFIQADGAYLMLSKQEFAPKLKEDIKNERIGYPLGVAYDEIIKLWLVCDRDNSKVLLINTKKQAVNICDAISHPTALIIYEEGKSAAILCSTDARNNHMIYIYHYSVAEPFLQTFASFEDPIYDIRFQLRGIAKSDGKNLLSIENAPRGKRLRVFKREVGGKGFEIPGAASPSFLATYRTTVAVSDLGNNKVYIINFDDKDWSNISFNPIRVIEASNDLACRDLANVAGFKFVAGMQFDTNGYLLIGDAKGHSIKLFDTDYNFLHRISSDFVLPYVSSFYVNKAGECILLDVQNYRERLMWVKMSSIPQILDWVSPPGGGGGGASSASSSYQGRPRRGGYQQHRQY >CRE19295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:258308:264072:1 gene:WBGene00069407 transcript:CRE19295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aap-1 description:CRE-AAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MX87] MSSGPEGAGTSAGTSAGTSAGTPATTHPPHPIFPPLPPSTPSAATPGTPHSITHNLMEQSWYWGDADRSSVSKALSDQPDGSFVVRNASTPGDFTLCVKFEGQVKLLKIVVKDGKCGFNSDSMTHQSVTNLVDFHRKISLNLYNDALNVCLLYPVSVRRNSQNGKPLFKKGHLQQRLVLTAKNDKEWRDRLEMEALRAVHLAFERGAKLFDACHQEMEKAEGLYHSLNQSIKETELKLRQLVPLATVEREISEEIQTSLSTSEMIKEVFVNNGEFIKESIRRMRAELKELLEKKQELSKITDEIESKKQHAKHRLSELMEVRNAVYDQMDPSLCTRMAQLLDTGGELINSEPMKVTQLLADLELRWTPAQFLMCSSSKENAANALIHARYRIAQLDKAVGLKREPMDGIFLIRASKSYTDKLVLSVLHGERVSHCLIEQNEEGWGFEHSNVYLTTIHDFVRYYAHNSLETHADAIKTKLRVPAFDVATKEDTSKPMRNGPGQVWTPLPLSMKYMEKALLTDDPTRPPSWSPEPRPDSWSPRSTESRLIRPTTFPATIPEIAEAPEAENPEIAEPEN >CRE19294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:253364:256471:1 gene:WBGene00069408 transcript:CRE19294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-use-1 description:CRE-USE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MX86] MAYISETELKFLRLLERTKRLTKEGLSANVWKVSAATKILNNLIYVLQNDDKTNDILHEYRQEVLQLKLLAEAESKSSTEERLKVIEKIPRVFADVPVDVEDTDSAKPFEKESAAGLRAIQRSIYRSDLRKQLLTSNKQKNNADEIFIKNERLEEDLANDLLSMTRSLKTVMSAAGEVIKDDNQKLTKMQKQVEDNTKALEIESARAAHHAYKCGLDCMRVFLFMFLLMSFVSMVVVMKIFPKRATY >CRE19323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:250486:252476:-1 gene:WBGene00069409 transcript:CRE19323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pas-3 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:E3MX85] MARRYDSRTTIFSPEGRLYQVEYAMEAIGHAGTCLGILASDGIVIAAERKNVHKLLDDSVLTEKVYRLSDNISCTVAGITADANILINHLRWWAASYRNSYGEEMPVEQLVQNLCNEKQRYTQIGGKRPFGVSLLYAGWDKHYGYQLYQSDPSGNYTGWKATCIGSNHQAAVTLLKQEYKSPSLEEAKKLAIKVLWKTLDVKLASEKVEMAVLTRRDGKTVLEELSTKEVGALIAEHEKKEKEAEGAEKK >CRE19322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:247728:250302:-1 gene:WBGene00069410 transcript:CRE19322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chp-1 description:CRE-CHP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MX84] MVDEGKLQCYHKGCGLMFDPTENNSESCTYHPGAPYFHDAYKIWTCCDKKSTDFGTWMGYKGCTRGKHSNEKPVDVVKIAAVKEIRPEKEEDVIVWKGLNKSGKSENKGGVAKREEVNLNVEVTPGAQAAIEKKLKETAEAAQSGDVQIGAPCRNNGCSAVFDGSKGRENCVHHPGAAIFHEGMKYWSCCNKKTSNFGAFLEQVGCTNAEHKFRNNEIVSKFREDWFSSNGFVTINVYCRGALPETANIVSDGHYLRVSMKHGFGNANVDLDYDLWDEVLPEESRVVIGERKVEISLKQKHGIGWPRLKFDPELDAAKDTEA >CRE19321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:242772:247268:-1 gene:WBGene00069411 transcript:CRE19321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-5 description:CRE-TAG-300 protein [Source:UniProtKB/TrEMBL;Acc:E3MX83] MADTVDPSIFDVKSPLDVNTPITSDRTAHIRNYTTKPRLTYQTVCGVNGPLVILRDVKYPMYNEIVKITLPNGEQRMGQVLETFKGKAVVQVFEGTTGVDAKHTKCEFTGDIFRSPVSIDMLGRIFNGSGKAIDKGPPVMAEDYLDINGQAINPYNRIYPEEMIQTGISAIDVMNSIARGQKIPIFSAAGLPHNEIAAQIVRQGGLVQLPDRNNEAVNFAIVFAAMGVNMETARFFKQDFEECGSMDNVCLFLNLANDPTIERIITPKIALTAAEFFAYHCGKHVLVVLTDMSSYAEALREISAAREEVPGRRGFPGYMYTDLATIYERAGRVKGREGSITQIPILTMPNDDITHPIPDLTGYITEGQIYVDRQLHNRLIYPPINVLPSLSRLMKSAIGENMTREDHSDLSNQVAEGIRLYACYAVGKEVQAMKSVVGEEALSPDDLLFLEFLNKFEKNFISQGHYENRTIVDSLNIGWELLRIFPKEMLKRIPGALLEKYYTRKKC >CRE19293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:242192:242685:1 gene:WBGene00069412 transcript:CRE19293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ift-20 MSTAADEQQLAKSGLYVDDFNRLRLIDPGNFFFKNRLKKKFPEVAELLQNAQDKSAEFNDQLKNFQTTTGGLIDSIEEFANVVETEKIRAMMVRNTQERDLAEDDPVLLQMTIRELTVEKERLRVELEAVRKIEKEQEECIQMMTEH >CRE19291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:225352:233748:1 gene:WBGene00069413 transcript:CRE19291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-32 description:CRE-KIN-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MX80] MEGLARVFLIGGASKAVRYDEQTTIERVIHVVARGIGISQVAVAHFALRLVTGPSPQTAGSGDSLWLHPMLRIAQLPHIYAKHLPIGVCDEIKLEMRMRFMPQSVYELQVTDSSAFVYLHEQVVDEFFSHVAWRSSVEVALEVAALKVCRDFAEHQHNKGADHHMDELDIDACIQSLIPNVLHNPGLKHSHLKKQFTAYIKKFSATSPNESIIRSLALLLDVVKFDVEVFKSSLGAGWTNPVELVVGPHAGLSYRLNERCDTSRLLELRTIADITIRKMENGSEKTLMQLKLSGAAKPVMITLSTEELAQSLAHLLDGYQMLYNQRDSVFKLKGIERCETMTMHEATIRPKTPTGFDTNIRLRRELITLKELIGGGQFGNVYKAIYHDTEKDERVAVAVKVCKSDAEPADTQLILQESSLMRNFRHAHIIQLIGVCVDQPMWLVLELAPHGELREYLQQEKDWLPLRTLTLFASQICDSLVYLHSTRFVHRDIAARNILVCSPQCVKLADFGLSRALDYDAVYTASRGKLPIKWLAPESVNYRQFSMASDVWMFGVCMWEIYSLGVKPWAGVTNSDVIMHIEQGSRPPCPEKCPTALYNFVRSKMWAIEPHKRPTVDQIFAIIEDVRQQILRNVPPDQIIVGKPMNPAGVIVAEMSSLPSLTLYRTMEEQKRQAEEDAKWLEQEEEDGDDDELEPDQIPSTSHSSVENIRSSNGFLHHTPTSTRSLRFEDKTSRELRRSVDGVCDAVTKLQNSFNNLTHNDEFLHSVKEVTSQLREMLIVASGMRDRVTTASQRTEVEMTKTLIANDMKQMSRVMAKLQVNGEQTIYNTLRRDVVRICGELAVNCTTLQLQLTQPPLENEFSALLSNC >CRE19319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:167036:188008:-1 gene:WBGene00069414 transcript:CRE19319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fhod-1 description:CRE-FHOD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MX78] MDDDDTFTCRIQYINDADPFATTSSSYLEPMRPVTFKFRLHECINEQLQDVIRTLRAPHKAGDSSLQVYRGLEGGGGELHTYLDNDMTLSDQQEELDILKADTTQAPSESFGTFAKPYHSVSPAKPYESSELSRLYAKPYQASMPSEPSVTFAKPYSTLSSDPSFKSLEPLGAFVRPYQASGISEPSGAFAKPYSNPEPSKSFQPPVTPLEPLGAFARPYQASEPSGIFSNGYSILESTKTSESSRTFAKPYSISTPSKNSEPTVKPSDSFATIAKPSQASILSEPSGAFAKPYSTTVPSKPSGTSAKPYSTSEDSETIAKPYSPSKPSKNLDSFGAVAKPYQPSGISKKTYSTPAPLNTFSRKMFTPSADSYTPTGYTPASSATESSKFPEIRSKAKPPYKPITSPPTKAYISPFRDSPDAPPTALLSTHVSDESLARSMLSVSNNELPLIVKPLRANRRTTLVEPPVMPVGGQTPDMCSSLPRNFHRPTVLHCAAPVVIEAIPFIDEELPVEKSITTNSSLHRREDSGYRSMENVRKLSVPEIAPSTSDGATEENMEVEKEEEEEEEFDWGDEYLNSRVKRRCSLVLRTQTSLRVKTIIDKLLNCSGRDQRRALFSLKQIFQDDQDLVHEFVQNQGLDCMIRLGRTADQNHQNYILRALGQLMLYVDGMNGIIAHNGTIQWLYELLDSPFRLVVKTALKLLLVFIEYNDNNALLVLSAIQTVDKSKGQADWSGLMKVLTEKDSPDAETLVYGMTVVNKALHGIPDRDTYYDAVDTLDTLGMEDAIKSMGRSNNKELEEQCRLYERELKEEDERGDNDDDVVKMRSTDSFKDQSRRSSQGSHDSAITMGSEEDGSRSPDSCYKQTSPAPPTTVVATPPSNTLERRSRAYEHEMPEDIETALEKLNKALQNRREAEKLKAEETRRKEAERLEEIERMREQAEERRRREREELESKRREEEERETQRKREEREVRFAKNEVITSSGADDKKATMRRRHEEARRRQLEHEQFTSNRSMFSQIDTPSAPRIPSPVSPVSAWQPPVKDDFQPTTSTSPTPEIGKKKIPEPIQLVQENQENEIPKEADDSDSSKPVKAPPPSFPTIFSPTESKSMDFSEVAPTEPVVEEKAPPPPRAKIEPDVGGGSSFADMLQKRAARSAAANLGVFEKKDSEAEIQWKKAAENLEKRPLIINDLDFSQFHGAEYQQDPLQLARMAKMAESSNGRGGASGAGVPPPPPPPTSIPLPPRLQGGIPPPPPLGIIPPPPPPGTLLMNGLNRGDISPAANKGVLKLHWKPANVEDQSIPSLKQKGSFWNTVDGAVPSFDAKKIVQLFETKKEKEATVKKVAETKSQTLSVLPLKRSQAINIGLTKLPPINVIPAAIMKFDSLVLNKDGIEKILKTMMPDSKEIEEIENKQAENPEMTLGNAEQFLLKLSQIPCLLERLRLWLFTLDYKNSEKDIAEPLMDMQLAMKEMEESKTFKVAMGMLLAIGNSLSGTDIKGFYLDYLTKASEVKDPVYKHTLTYHLAEYMVEHFSEGTDLYSEFGAVARSARVDYKELLENLKKLEKDCKSSWECLATISKNDSSNMKQKINDYLSDVAQRIHQLKAIYTVTKNRWHAFLLYFGYGVEEITNQTPNDVFKMVTEFALEYRTTRDKILQQKKRLAEKRERNKTRGKIWALEGSAEGAGDAAAPLRRRNHQPAAPVQNSQQRHDEMSKMLDLVDTESPEDEILNGLVKAATLQTDPRDQRRRARQFNRKSLRRTRTLKMVDGQLETCNY >CRE19318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:150391:154511:-1 gene:WBGene00069415 transcript:CRE19318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19318 MTKEKTLSMKVDQKCLVEKDVKKVVEEKPRFPLGLLPDVYLNHLAPFLQWSSRRSLSQVNSRFRGHYESLLDAAYSLSIKGQLSVGVGDHLENQNVSYLSEFYTIRVEMDDDMVVEWTLKVESGVRTVVHTQYDGEDRRETTRSVGVSSKDYLEKILKWILTKFHFKKIHLGVPGLVPLFASYNHTVTEIGVSDEVEYLKLAARLKPKTLYLFRPNQNNMNRGSLDMKNFPQLEDLQLYGVPVDLDQVLDSKLLKIVADTLEGSVKLKHAKKIIDRTFLQNWQSGTLHYKKIYISCTSSRFPSFVPHPDWTEIPEGDIDEYYDHVVSEDERIGTFNYSRRCLEFKPWPEEGSMTPEFWDY >CRE19290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:146647:150207:1 gene:WBGene00069416 transcript:CRE19290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19290 description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:E3MX76] MPKRVCVIGAGAAGLAAAKHSIAKGLEVEVFEQTNSVGGTWVYSEQTGCHSSMYQDLKTNLPKEVMQFRDVPFQADLPSFLTHEDVLDYLQEFSRGLPINFNQTVESVERSADKWKVITHHGTGISEQLFDIVFVCNGHYFEPNNPYKENNFEGTLIHSHDYRRAKDYLDKEVIVIGAGPSGIDIALQLSATARKITLISQKATYPTLPDNITQISQHVKKVLAEGCETDDGTVIRADTIIVCTGYFYKYPFFNDDVLRVKENNQLVSPIFEHVVHAEYPDSLFFIGLNLVTITFPLFEYQVKMALAFATGGAEIPDKKVLIDYERNQIEHQKTRGLETRFYHLLQSEQWEYLARIARLGNFDEWPYMKTIENITQYLHEQRKSNVIGYKNINFKLSDDGMDYEVVRC >CRE19317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:141521:143486:-1 gene:WBGene00069417 transcript:CRE19317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phat-2 description:CRE-PHAT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MX75] MLQSVFLIGSLVAIAFGQTCDPLKILAPCGDGLPDCETGQTCDIAQSSCCLNADLITTTVAGVDATATASSTTTVFGNTVTSRTVTSSTGCVDRVNAATGTSDCAARRNLCNDATYYDVMTQQCPKTCGRCSSISTTPATGTCVDLKNPRTGSSDCASMRAYCNDSNYIALMRVQCPRTCGFCGSSSSTSSSTLRTGSSTISSGSSYFIPKSIKNPSKSYKIYTIRLVKKSCVDQVNPSTGRSECAANIGLCNNSAYQTIMRTQCPRTCGFCTSG >CRE19316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:137085:139571:-1 gene:WBGene00069418 transcript:CRE19316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19316 MVIFVSTSKSECCSWNTTIICTAPPASFSHSKTYIRDGIHKKIHQLTFVIYNNMFTRLFFSFALFALAHSQTCDISASEGPCFGVGTCQTQVCDLDAGFCCPITTVPAVTTTVVPTTVDADGSTVTVTSTSTAASATVTSTTTCVDRLNPLTGVSDCPARAALCNDATYYAVMTQQCPRTCGRCTTTSTSTSCVDRVNPSTGVSDCPARAALCNDSTYYAVMTAQCPRTCGRCNTTSSTTTSTSTTCADRSNPLTGTSDCPARAALCNNAVYYNIMTVQCPVTCGRCNTTATSTTTCADRVNPTTGVSDCAARAALCTNSVYYDLMTVQCPRTCGRCTSSSTVTATGTSSTTVSSTCVDQVNAATGTSDCPNRRAFCTNAAYLSLMRTQCPLTCGFCTAG >CRE19289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:128946:136489:1 gene:WBGene00069419 transcript:CRE19289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19289 MHKILWNKKSKPIFFRILVYGILFQIIFVLFVYKTEDQKEEPLFHEYDSTNNYMNICSLPVYDYWHPNIIGNIDNEDVDQKSIDNCLAKPFTELVNGTWRILEERRGLNCSARCLSTATWLPPGPVECEFVEAVCWEDSTEIYRFLHCQIIKPKIPPKFPENPPNVFVFLVDSLSTGAAKRSLPKTLPTLSSRLEAVEFPFVNKIGENSLANKMVLWFGVSVSPDVSIFEEFEDHGYMTLHVDDENLQKGFKAHHHLNLNNGFCPNQVENYFKTFLEAYNDSPKFAWLSLTKSDDSILKLIENNLETLQNSFFIFMGAQSTQGLNSDSEIGSVEANNPFLSISIPKKLRQKSQAVLEIMRQNSRKLQTHFDTRATILDILKYQPAAIFNNRTLLEISGERGHSYLRRQPSLPRTCGRLLIPLEYCICQVVKVTVVNENLRNRFGNLLVDHMNELLDRSNLTAICEKFELRKTLSLLHHGHINPSDTNHTYDLTILTDFAQFKTILTQNKNVDQILFGKILYGEAGDYSLSNNQTQCDDLLNEWDPEVPVLLIDLDFLENLKNEDCRWDETKRVKIGVHVKDKDRSIIDTTRFNVVLYNSPDGKDFLEFYEDGKRIIPKRFETRKIGNFEIPSNPQRFIEFYKRSKFVECLGLEMDRDTSEEAEQNGTTSANILARFRDELIDMGMYPYLNGGTLLGWYRECTVIPHTLDLDFSVFKENYNPEYAEKVLRKNESAFDMRRMLGKLEDSHEITVVSKEEGQPAIDLFVMYDYVEDGKVIYRYIPGLNNDGTKYRYTHLLLDPTCAAEMHGHLFWILCNPIEQLKHEYGPLWYRDHPTSYFAWNHSGKNIVVAGNFTEEEMEKYYLHF >CRE19288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:124751:126753:1 gene:WBGene00069422 transcript:CRE19288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19288 MSQPSTPTKQKIWIFCRVRDCYVLERAVRTESNELDPNGMDSDEEVESSRLETKAEVMERLGGESRAPPPAPMKKKAASRLSLREREEQKRRSAEKKKEEEEPQGALVYEMGGKCESLRQLSPLIDPHYDAQDPRSAMEQQFTNIETIGKGNFGLVYIQTELCDMSLLAYCRNGLEEDEIWKILVQIVLGLRHLHTSGFCHNDLKPDNILVKDTVIKIADFGLVSRVNEEWCAGDEGDSRYLAPEVFSQKVFTTAGDVFAAGMSLLEITTGLHMPPQGDVRNILIGGRIPDRFFHGRSRDLREIIESMIRMNPEARPSAWELLEHPIVKKYKDPKDEVSGEHPWTPATSTLSENYRLRRKKSRMPPPPSADETPKRRVKVRQEEDKENEDRLFMARVRAKNGLFAEEEHCESEEGKYFIADIV >CRE19315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:121922:122125:-1 gene:WBGene00069423 transcript:CRE19315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19315 MYEMHPDADVIFHLLNLGSGPFLQSFFRSLEIIPAVSGVTLFSGDYPNTGDLSRVFIAHNNSCHYDY >CRE19314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:117609:120285:-1 gene:WBGene00069424 transcript:CRE19314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19314 MAPLSIETTVHQPTQITWKFSKTLGYFVLKRGKKEVETQKDQRSEEDLECTLETKKEFSDRVGSPRVRKQPPPVKRQMAPRRSVFGQRTTVDKKEKVSISNFSIFKSSSFPDLIPLQDAFYTAITEDSAHIQMFQQPLEPLGKGSYGEVFGGVYKGDNIKYAIKKNVKFKPQDRKFLSEVRGFMGVPAHPNILKFIRGWVDGEAVYLQTEICQRDLLSHSKDGLQEEEIWSILSDILKGLSHLHDSGFLHNDLKPENILLGVDGIWKLGDFGHLTVISPDGFSAGDEGDARYLAPEVLGDMTPSKAADVFSAGMSLLEIVTCIQMPSGGESRQSILNNEVPEKFFRGYSKDLQGLIGLMIHRDPQSRPTAKELLAHPMIQNKTQNKSGTPQMFEFSGSSFSDSSPPAKRPKPDESENLSPLWHKDPPPTPTNTPNTTPRHNRPKDKKSLREKYAERNS >CRE19312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:108204:111157:-1 gene:WBGene00069425 transcript:CRE19312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19312 MFDSLFSDLSLLSYFSGCIGVPDIFTTTSIPCEDLLYEWSPDQQVLLIDLEFLEKLDNEAEGCMWNVTDRIRIALDIKSFSNPLPNYTRFDIAYYSNNSSKDYLKFHIDGGRIIPKKFDTRWAGNIEVPEDSKRFAGFWRRSKFQECLALDMNRKDTDEPPVLPAQFSTNTLSLLRDELIDMGMYPYLNGGTLLGWYRECTVIPHTKDMDLAVFKENYNPEYAEKILRGETNFKLIRKLGRLEDSLELTVTPDGRNNPRIDIFLMYDYVKDGKLVYRYTPGLEGDGTKMKYTHLLLEPSCAADLHDHIFWVPCDAKEQLKHEYGPLWYRDHPSEDYTWNKSPKNIVIAGKFTKKELRKYYVEYK >CRE19287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:106342:108083:1 gene:WBGene00069426 transcript:CRE19287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19287 MSSSHAFVRFLVFGIALQAGLLFLIYENSKASRLLLIDLDFLENLKTEDCRWDETKRIKIGVHVKDRNRSTIDTTRFDVEFYDNPDGKDFLEFYEDGKRIIPKRFEARQIGNFKIPTNIQRFIEFYKRSKFVECLGLEMNRNKSQKANQNGPESARILARFRDELIDMEMYPLLNGGTLLGWYRECSVIPHTRDLDFSVFKEMYNPEYAEKILRNESAFRMSRILGQLEDSYEITVVSKKERRPKIDLFVMYDYVEGGKVIYRYIPGLKDDGSKYRYTHLLLEPSCAAEMHGHLFWILCNPIEQLKHEYGPLWYLDHPTSDFKWYSSANNVQKVGKFSKAEMKKYYLHFS >CRE19311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:103320:106084:-1 gene:WBGene00069427 transcript:CRE19311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19311 MRKFFHAIYGIALDIKSFSNPLPNYTRFDIAYYSKNASKDYLEFHIDGPRIIPKKFETRWVGNIEVPEDSKKFAGFWRRSKFQECLVLDMNRKDTNKPPVLPAQSSTNTLALLRDELIDMGMYPYLNGGTFLGWYRECTVIPHTKDMDLAVFKENYNPEYAEKILRGETDFKLIRKLGRLQDSLELTVTPDGRNNPRIDIFLMYDYVKDGKLVYRYTPGLEGDGTKIRFTHLVLDQSCAADLHDHIFWVPCDAKKQLKHEYGLLWYQDHPSEQYDWNKSPKNIVIAGKFTKKELRKYYVEYK >CRE29221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig319:24695:25743:1 gene:WBGene00069428 transcript:CRE29221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29221 MKVAEALLNPLGEDDDDFECNWLIDRNMSTGIEIVDTCHDSCPPLKLEEPDDEKGTMYWCHPTKNGSQAPPTAVAISQHKNPFAKVLNMVHPTTPESSPPSYRLDVPKQ >CRE12384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig536:6874:10332:1 gene:WBGene00069430 transcript:CRE12384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12384 MTVNYNQEVSSVNSFTFVKLLMTWRGSIWKSVRWELTMWILAFAVVQCFYRYLMTENQQRFFEYAAIHLNVRLVHIPLTFMLGFFVTIVVDRWRSVFTNIGFIENVALSVGTLVAGTDHAAKVLRRTIIRYLVLSQVLVLRDISMRVRRRFPSMESLVTEGFLYRDELEKMYKCETMYNKYWLPTHWANQLVHKAMFETKNVDSVQSMNSVLMNIKDFRQSMEMLTKYDWVPIPIAYPQVVFLAVRVYFIICLISRQYLLSAPPTEAQSIVPIMTILQFVFFVGWMKVAEALLNPLGEDDDDFECNWLIDRNMSTGIEIVDTCHDSCPPLKLEEPDDEKGTMYWCHPTKNGSQAPPTAVAISQHKNPFAKVLNMVHPTTPESSPPSYRLDVPKQ >CRE16569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1904:599:3293:-1 gene:WBGene00069431 transcript:CRE16569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16569 MSTTEAVDFSPSYSLVNDAVHTIIQVNGSSPKKPPNYHRNYEPSPETRSTTSTASGSSPAPRAVSADVSRRSRTSCASLSTDSINRLHRTSNLFQLHPETAMTGEQKQKSLSIESLTKVPEKEKGNRFVRKVATSFRLRKNLLETDGEEKKSKEENKKKSSVTTSPEQISSFREKMATRSLPQSPRTDRKAKLYSSLNSTTEKVSNVLSWLPSKSSKRLMKNKSATHDLSTSLISYTPASTSTQGVIASNENLELLCSTSTSGIPVYLEKCIEFIENNGGFEQEGLYRVPGNQTHLAEVEKRFLKSGEFDVSSFDTPVHVAATALKSFFSCLPESLIPTDYHSRWKEVMMAADDKEKIDGIREALSHLPHSNQTVLRYLVKHLAKVSCSPKTVMNSNNLSKVWTPTLFRPVFTSYEELSSGIIAFQLALELLIINSDSLFSLNTLL >CRE22063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:75798:80281:1 gene:WBGene00069432 transcript:CRE22063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-52 description:CRE-LGC-52 protein [Source:UniProtKB/TrEMBL;Acc:E3N8T7] MIYSIQALFIETILMSARIHDVTYQHIEDFTCDDIGVSASSGAKATDLARILMTNYSRNALPEPAPVKVEVEITIQDISDISAITGTFTIDYWISAIWNDKRLAFSHLDPCRKNLSLDHDMEPKLWSPNVCIVNSKSTKVHDSPKPNILLMIFPNGTIWLNYRIRSEAPCQMNLRNFPLDSIRCSLVFESYSYNAADVELQWLEWSPVSTVRSDYNLPDFRMTNITYGSITEVRRYSFEIGVICIKYSVSCRAFETDSEHSRDNTASGSNYFPNFQAYTAGMWHRLSVSIHFERLYGFYILQMYLPTYISVFISWIAFWMDTKALPARITLSVSSLMALTFQFGNIVKSLPKASYVKAIDIWMFSCVGFIFFSLIELAIVAYNDKMHDQRLREARCSVGNLNSNRVGHPNLESRRSFVELSARRAKGSELGASIDRVASMLFPTMFALFNLVYWSYYLTTSMNPVYT >CRE22081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:82181:93495:-1 gene:WBGene00069433 transcript:CRE22081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22081 MYCIHITSLLFILYSFTTCQQICTDQDYEFTYTNCDENGERWRVAVPRSGMQCSNLPTPRRGLNCSFSCEPGHYLDLDSQHCRPCNPGFFSLGGGIRYEEFVTLPSGFSVDNMDSNPDTQFSSQQSQVVECPKEAGWVVKDGELIYIPTPCVSRLSFSANLVRPGSVEFTYRMPRNNRALSMQVDIRNEQCQSYNDVAKSMFLKYTKKEKDEEERNGDWRKRRIELKSGANVISWIIQNNLGYQASSQPIHIDRIDVLGLAFTRQCTACPPGTSSPGGSAECIPCSPGHFSSKGSSQCGKCPESQYSGFKSEKCIDRPPCRVSDYYPVREPCTNGSSRAVYKKVLPSICRDDMPSSTKLPSPTPWKTCPKCNPGMEKNKLGVCEFCKKDYYSDGNSCARCPVDTVPNYGLQYQNWEVMPPKLSSRCEYISEDVATTCNIGDAWLPSGDSLISAPSLELGIAFELILSIEEGFWNPLAPKPSKTMKVPVAQVTIVFETSCADESCALYFIEDMSAGIKGQRESFYHFLAAFNGTNSKRVWSHTVTKNTPARFMVAFLRSGVSSGEDRISDEARIYSINVTNVGHRGGQGGGASQCLTCPHTAGGETCVPCPAGNYMHKVTKLCVSCPVNTIVNASSSRVGVESCVPCGQGLTSKDGVTCTAIGKIQLDSGGKDNNETKFTYDFSPFVGRSWNISGVRVFSREGSAYYHFFTVALFPPNIKCQEQYDNFDMIGLLDQDKEAVEGLACRVTALPTPSSNRSKTAYVTPLLVASRLDSITTSRTHGNTSLSDEVLEYDSHDNTSRPLDVFFWFDSVASLSSTCPNGNQLVVVARCLPTKKQMEMRLPHSCPDGTCDGCLFLVIMETAQACPVCESNDYETINGECVNGKQTIHSIPKKHCVITGAASQTKEVACSAFTAFQKTILTILVLSMVLLSIGFICICRRNRRLEYKYTRLIESHTGELPAVETCGLDEDEDDDELQDRVIFSKGRRSAPNNSRTTLRDHRENDNAAFISLDSED >CRE22064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:96227:98091:1 gene:WBGene00069434 transcript:CRE22064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22064 MRMEKDGGLQCHVVESTFSCEPGHYLDLDSQHCRPCNPGFFSLGGGIRYEEFVTLPSGFSVDNMDSNPNTQFLSQQSQVVECPKEAGWVVKDGELIYIPTPPACARCQVDTVPNYGLQYQNWEVMSPKLSSRCEYISEGLTSKDGVTCTAIGKIQLDSGGKDNNETKFTYDFGQFVGRSWNISGVRVFSREGYTYYPFLIVALFPPNVKCQEQYDNFDMIGILDQDKEAVEGLAC >CRE22065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:104820:106480:1 gene:WBGene00069435 transcript:CRE22065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22065 MHEVTRLCVSCPVNTIVNVSSSRVGIESCVPCGQGLTSKDGVTCTAIGKIPLDSGGKDNNETKFTYDFSPFVGRSVNFYCFGRSWNISGVRVFSQEGSAYYHFFAVALFPPNIKCQEQYDNFDMIGILDQDKESVEGLACRVTGLPTSSSNRPKTAYVTSLIVASRLDSITTSRTHGNTSLWDEVLEYESYDNTSRPLDVFFWFDPVASLSSTCPNGNQLVVVARCLPTKKRLPYSCPDGTCLHCVITGAASQSKEVACSAFTAFQKTILTILVLSMVLLSIGFICICRRNRRLEYKYTRLIESHTGELPAVETCGLDEDEDELQDRVIFSKGSRSAPNNSRTTLRDHRENDNAAFISLDSED >CRE22066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:108083:110035:1 gene:WBGene00069436 transcript:CRE22066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22066 MSDGRSKCSLCSHVFNRQKHSCTTVLNYHFRKNHTKVWNKINGKTECDEDSVEPVVKKIKLQPTIQESFSNCIPDDTKNEKLNRSIMQLIAAAALPISFVDNPAWRNFCSIAIPKFKCKGRHQFQRQELNKVYEEYKRKITNELEAASFVSLGFDGWSDVSNKHQYLGVIAHFIQNDSLTFRVIGVIDIKSKRHTGDYLHEKLEEIAEEFEIKEKISCLVRDGGSDVKCAARLVGKPHHDCFAHKLNLAVKDGAESFKSLQSTLSKLRKIVNIVNKSGNARREFEEVSASLDVPPLSLKKHIEVRWNTIHAVFERALKVRETIDFLSTERDDWPKLTATDWKTAESVVEILQPIVDATLLIQNRGMTSSAIIPLCKVLIRELDDVKKFREFCTAMTKKLREELEKYDRNSYLQFGMLLDVRFKAVFADDVWKTRLLERLIEYADESEMHEEISDGVSPVRVADNPFSRFMREKTPELPQKSKFGNQNEIIAAEVQRWFNEPCEMDENPIRFWNRASSQEKFPNLYNIHEEFLSAPATTAEAERLFSSARTILTDNRKLLSAENFSKLLFLQQNTRLLGFGPQTVNHI >CRE22082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:111483:115364:-1 gene:WBGene00069437 transcript:CRE22082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22082 MRCSFLILAALFALSQAAVRRDASGPTLVLRDNCKIIPAGGCSCDVKEGNDEVVIREYDSVDQCKKSVELATAENKKAVNEEIKQKYGDFKDNCFPKPSGGCKCNVDLGHGEEVAEYSADADCKKSVESVTAEHKKELNEEIKEKFGAFKENCFPKPSGGCKCNEKDANGNEVVTAYNNPEQCKVSAARVKRDVGATVQRQPVSVARANVKDVKQAQPNYDVRDPVRERAQANYAAVVDELKNKFKGLKEGCFPRPKGCLCVIGKTPEGRDITDRRMKDADCKCKEGERGPGCPAA >CRE29014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:72026:73386:1 gene:WBGene00069438 transcript:CRE29014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29014 MAEVLAKDRNALKGCFLLGYVPGLSAMETFRNITETLGEDIISYKTTITWFKKFKEENYNADDMSHSGRPRLDIDDDIIDVLEDEPRSSVREVSSHTGPLFATIFRHQKESGRTAEYGQVISHKLTDSQLKLSCDLSQSLLSRKRSFDWILDIVTGNKKWGLYAHNTKAAVADDREDGIGSSKPL >CRE22067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:116613:118982:1 gene:WBGene00069439 transcript:CRE22067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22067 MVELLAKDRNALKGCFMLGYLPGLSAMETYRNITETLGEEIITYKTTITWFKKFKEENYNADDKSHSGRPRLDIDDDITDVLEDEPRSSTSERIWKNSRIRTSCSHELTDSQLKLSCELSQSLLRRKRSFDWILDIVTGNEKWGLYVYHTCGKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLETAFSRMSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIRKPKFGNF >CRE22068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:121396:122970:1 gene:WBGene00069440 transcript:CRE22068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22068 MSSPGTYLFWISVTHFVPKLGFVMTITAGTILLLLNYFGAQKNFGSYKYLISAFTLLGMTFATVEIFVWPNVHNYKAALLFFSFEESFGLSGSWSRNIPLAGYTFFHSATMSLLSVHFIYRYWAVFDTNKLNYFKGFYSLIWVVYCAFFGFQYALGTYFFLARDEVSDEYLREDVLLRYNANLSELPAMSIVAYDPVDGSIRWRGIMGILNICSIVNFQYGIMIYCGWSMHTQMEDKIKHFSETLRKHHKQFFKTLVLQVTFFFLHFQIITTPTIILFIPITVIIFLPVFNIDVSLPSGVMLCSFTLYPATDSIIVMYVVSEYTVTAKRISRACTNGFHEMKASSKVHTITPMPTSTAPQS >CRE22069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:129031:135084:1 gene:WBGene00069441 transcript:CRE22069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pkd-2 description:CRE-PKD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N8U5] MKYDASDERWANPPQPVAAAEQAPAFDHSMVSEEYEHDKKKNPAPKQGISFSQALLASGHEKSDGKIKLTASSFMEVGSYAVFLIVLVYVAFAQNSIQSYYYTKVMSDLFVSASGANGAPAFGSCTSMDNIWDWFSQVLIPGIYWTENSNSTDNENMIYYENRLLGEPRIRMLRVTNDSCTVMKSFQREIKECFANYEEKYEDKTNVADGNVDAYIYATSKELENFDTVGTISTYGGGGFVQRLPVSGSTEAQSAIATLKANRWIDRGTRAIVVDFALYNANINLFCVVKLLFELPASGGVITTPKIMTYNLMTYQASSGTRMIVFEGIFCGFILFFIFEELFAIARHRLHYLTQFWNLVDVALLGFSVATIILSMKRTKTATNRVNSVIENGLTNAPFDDVTSAENAYLNIKACAIFIAWVKVFKFISVNKTMSQLSSTLTRSAKDIGGFAVMFAVFFFAFAQFGYLCFGTQIADYSNLYNSAFALLRLILGDFNFSALENCNRFFGPAFFVAYVFFVSFILLNMFLAIINDSYVEVKAELARKKDGEGILDWFMNKVRGLTKRGKRPDAPGEDATYEDYKIMLYRAGYAEKDINEAFTRFNVTTMTEHIPEKMAEDIADEVARVTEQKRNYMENHRDYANLNRRVDQMQESVFSIVDRIENVNVTLQTIEKQRIQQQDGGNLMDLSALLTSQVRNRESARRQTITSIADKKEE >CRE22070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:137882:138124:1 gene:WBGene00069442 transcript:CRE22070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22070 MLPRSPHLVCLLAWPKFCTRRRQWMAENCQTSCGSCNMNEAQLCASVARQSRRVRRDTKFWLKEPESDDAVDDFMLDYGR >CRE22071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:152417:157208:1 gene:WBGene00069443 transcript:CRE22071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22071 MHPILPSFRIPDLDVILQRHHIPTPDAKYTNAFQDFLVKYLREYKTEDELVMRFTIFSRNMDLVERYNKEDLGKVTYELNDFSDLSDEEWKKFLMTPKPKSPSKSAAKPFTPKEKRVIPESVDWRNVKGNNHVTGIKYQGPCGSCWAFATAAAIESAVSISGGGLQSLSSQQLLDCTSVSDKCGGGEPVEALSYAQFHGVTSARNYPYYFWSTTCRENVPTVAKISTWAEAENEEELAEMVALKGPMIVCANFATNKNRFYHSGIAEDPDCDTEPTHALIVIGYGPDYWILKNTYSKVWGEKGYMRVKRGVNWCGINTEKPLLPIL >CRE22072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:159404:161432:1 gene:WBGene00069444 transcript:CRE22072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22072 MTTEISVAEMRAAHKRTAPFLHNTPIMSSENINEKVGVPVLFKCEHLQKTGSFKVRGALNSAILAKEQEAKGVIAHSSGNHGQALAWAAQKIGLPCTIVVPDNAPISKIDGMKEYNANLVFCESTVTSRESVCAEQAEKLEYYFVNPYDCVSMINGHASIAFEILEQAGDDIDSIFLSVGGGGFASSVAFLIGNLRPDIEVFLVEPEDKQLSNLLANNVPCPVDTLNTMADGVRVAHVGTLCEPILRKFCTGKVISVKEEEIKYALKLVWTRLKQRIEPSAALAFAGVLYHKPEHVKRPLVILCGGNVDTSYVID >CRE22073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:166012:167638:1 gene:WBGene00069445 transcript:CRE22073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22073 MSAFLFFLLTDITSNSDAIIQKMVVVWGRTESFSSCVAQPDTWILCVETCFKTESCVMAVLDNTETCYTCAFNESMPNVQQTMKEDKVKVAFKVKVDESLVDKCPAGENPPTFDGVMAEGDASTTTPDGKIQNYTIAYTGTGWKFLVFSMARCLSECFFYRRPTIDWCLTLGLTIDANISYSQTSPLCQKYNLEFSGVASADELKGIVYQIKYYKAQESLPSLNVFVDAQRTTECQATPTTEKCMSIEGFNTVDKSVQNLDGYQFMTDASAGATIGKQCMVMVGDGVNDGKFDFVE >CRE22084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:169268:170871:-1 gene:WBGene00069446 transcript:CRE22084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22084 MDHKMLIRVFTHFPVVINWIAFFLALLMNYFLAYCIRSSETKHFGNYEKMMYANMANLLFFSTLHTYLHPIISIERNVLYVFTRLDYFQLPKLSIRILMALYGMSYCMSLVLCAVTFIYRFDRVCHSTLQYFQTRKQMLLWLFVVFFLGLYWGFCIFHFAQATPYVDRIIEKPLEEDFGVAFVNTTYVAAVYEIQNLETGLTEWNQSGLVMGISFGTIIVGSMVTIFFCVGRVWMKVNTFVHSQIFDKVQKELFKAQCLQALIPVAVIFIPILSFLALPMLNIHGYTGLSFVSVFIGLYPVIDPIALMYTITEYRELIFELFTCR >CRE22085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:184993:187226:-1 gene:WBGene00069447 transcript:CRE22085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22085 MFSTFSLDWTTSKKSVLSTDTSNKRKIRVWHLAKSFSVLSQPLCDGARFHSKTVSKSSLQYSCVFIFNAISRRKILIYFKERWLFFWYALVGVFGINWGLCIFFIAQENPQVDEILRPLMASSFGLNMSDVFYVNFASIMGLSFAIIVLCFCFIHYKMQRVSHSKIYEAVQQQLFRALMSQMIIPGVLIYLPIIIVMILPIFHLKNDACMSLTSILISIYPVLDPFAVIMIISVYRQGFLNMFTNHPKTNQISNLEMST >CRE22086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:197910:200569:-1 gene:WBGene00069448 transcript:CRE22086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22086 MYRDNKKKLVSVSETFKLLGKTIKIISTEKYVLFVFTSTNYLEVPRGFMRVLLSVYGTSYSQALVLIAVQFIYRCFSISRRKFLIYFKGRWLFFWYALVGVFGINWGLCIFFIAQENPQVDEILRPLMASSFGLNMSDVFYVAASYEVEDEFKNKVLNWPVIFMIINFASIMGLSFAIIVLCFCFIHYKMQRVTHSKVYEAVQQQLFRALMSQMIIPLVLIYLPIIIVMILPIFHLKNDACISLTSILISIYPVLDPFAVIMIISVYRKGFLNMFTNHPKTNQISNLEMST >CRE22087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:210917:211924:-1 gene:WBGene00069449 transcript:CRE22087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22087 MRFHSQCFFIREYFIFHKFQIISTEKYVLFVFTSTNYLEVPHGFMRVLLSVYGTSYSQALVLIAVQFIYRCFSISRRKFLIYFKGRWLFFWYALVGIFGINWGLCIFFIAQENPQVDEILKPLMASSFGLNMSDVFYVAASYEVEDEFKNKVLNWPVIFMIINFASIMGLSFAIIVLCFCFIHYKMQRVTHSKVYEAVQQQLFRSLMSQMIIPLVLIYLPIIIVMILPIFHLKNDACMSLTSILISIYPVLDPFAVIMIISVYRQGFLNMFTNHPKTNQISNLEMST >CRE22088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:216579:218895:-1 gene:WBGene00069450 transcript:CRE22088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22088 MKIFSWLTLFLLLLSSPVNSLPIAAIALQAGARVFVREQIIDFLVEKVGKPLLLEVRKFVNEIIDEALSTEGIQEDPIENRTENAWEDAVEDAVEDARDDAREDAREDALEDARDDAREDAREDAREDALEDAREDARDYARDYAREDAEEDAREVAGENNPPENDQTARIISTEKYVLFVFTSTNYLEVPRGFMRVLLSVYGTSYSQALVLIAVQFIYRCFSISRRKFLIYFKGRWLFFWYALVGVFGINWGLCIFFIAQENPQVDEILRPLMASSFGLNMSDVFYVAASYEVEDEFKNKVLNWPVIFMIINFASIMGLSFAIIVLCFCFIHYKMQRVTHSKVYEAVQQQLFRALMSQMIIPLVLIYLPIIIVMILPIFHLKNDACMSLTSILISIYPVLDPFAVIMIISVYRQGFLNMFTNHPKTNQISNLEMST >CRE22077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:220809:229027:1 gene:WBGene00069451 transcript:CRE22077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22077 MNAVRQLTSQIEDGGTEVKFGHVTTDQNPADLGTRGLEKSNFSTSIWWNGPKVLEEKEWTNKFKFFSLKSRDDFNTFAVVKREEDATQVFNCAATKSFEVMKRIAAQAMKFIKITSERLPEERKKKMSEKIPFLQLENSPGRISSAQIKEAEVVLIKDHQRSFTQKFLNRCKDLGLVEDPRGVIVCKGRMELAELNKDSREPILIHEESDLAAQIIRNAHGKFHVALDHTMDKVRRRFWMLKLRQKAKTILSRCSECQRFNKQPCRYPDMARMPKSRLKPTKPFECTGLDNFGPITIKKEDGTEDSVYGTIFTCAVTRLVHVEVVSNMSTQQFIQAFRRFVAIRGMPKKIVSDNGTNFVLGKKIIEEAMTNDPLCQSIEWKMITPYSPWKGGFYERMVKSVKHAFMKNQRRNKLSLEEIQTVFYEVTATINSRPLTYLEEDVNNQSPIRPIDFVYSEMDTTLPLQQVMESTEDYLPPAEMRSKETKLGTIEALKSSIKRTDAIWNTFNTTYLSELREHHRSRMNNKRGSPKLPKEGQVVLLCDENQPRNEWKRGRVVKINKSDDGSVRDIEYRQNPAPSRSPPPLHRRRAAPSRSPSPQHLRRNPARASSRSRGRGGGGSWGPGRGRGRS >CRE25073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:108470:109938:1 gene:WBGene00069452 transcript:CRE25073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25073 MVPRDYDGPQPPEHPPQHLPEHNPEHNPEHPPEHPPEHPPEHHPEHPPEHPPEHHPEHPPEHPPEHPPEHLPQHLPQHLPKHSPFYSQSDPPQQRLPDLFDEKVNNLLQQRHGVNPGRQRSPQPQDRQNPATSHSPPPLNRRRPATSRSPQPLNRRRPATSRSPQPQDHQNPAPSRSPPPLNRRRPATSRSPPPLNRRRPATSRSPQPQDRQNPAPSRSPPPLNRRRAAPSRSPSPQHIRRNPARASSRGRGRGGGGSWGPGRGRGRS >CRE22078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:239642:241568:1 gene:WBGene00069453 transcript:CRE22078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22078 MIPKSLLAVLLVTLVSCNNTTIDCSVEEHSCFGTPANCQPDTDCNVLFHFDSQGNLDVKMRDVVDYNRYIAVAVSVRADLATEYVICIPHQQRRMRGVAKQGEPIQIVEQHMARYVRDMSDDEFVCTFLKSELPNDFSNQDVFFFADGIYSENMIVPSVEQLHLLTPTYFYSYPVAARSFERSDSVLSVDESKRTSDLLGRMRRISGGDDDEELGALLGKKHRKSEESEDEGEEEDLDDMLDSGKKYSTRRRQEQKRRREEEEAENEEERPRRKHNSRTRGSRNEEDEEAEQEENPRKKSRRPTSRRENSDEEGEDVFDDDKDVKPKKKNNKKEKGPDEEEYHDDDFEDSSNGFHLFNLLALIAALVFFIIDN >CRE22091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:256194:256643:-1 gene:WBGene00069454 transcript:CRE22091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22091 MMSLGLNDQIQFDEEIQSMTENRCNIIGADMARQNATTREKYEKIRGQLFVGNVPDTLKLCKSERYELDSATVFATADRMMIDSGSKEVEILKIDIESSEHTALEPFLKSYFVCQILIEIHGHPAKQLEMLTKLSRWVIKMGKYYRVCY >CRE22079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:252355:254230:1 gene:WBGene00069456 transcript:CRE22079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22079 MILKSLLAVLLVTLVSCNNTTIDCSVEEHSCFGTPANCQPDTDCNVLFHFDSQGNLDVKMRDVVDYNRYIAVAVSVRADLATEYVICIPHQQRRMRGVAKQGEPIQIVEQHMARYVRDMSDDEFVCTFLKSELPNDFSNQDVFFFADGIYSENMIVPSVEQLHLLTPTYFYSYPVAARSFERSDSVLSVDESKRTSDLLGRMRRISGGDDDEELGALLGKKHRKSKESEDEGEEEEDLDDMLDSGKKYSTRRRQEQKRRREEEAADEEEGPRRKHKSRTRGSRNEEDEEAEQEENPRKKSRRPTSRRENSDEEGEDVFDDDKDVKPKKKNNKKEKGPDEEEYHDDDFEDSSNGFHLFNLLALIAALVFFIIDN >CRE22090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:244403:247611:-1 gene:WBGene00069457 transcript:CRE22090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22090 MVFTRKRKDSNTTFFCVIVFFCQFLIILYLYKHLKNNTQLDLENINLKKMRQEALNQGEKVRESVLEMSKQNETHKFYKTLTPEAFCPIKVKVGGDGDSGKVTCDPRKAKRDCTMMSLGLNDQIQFDEEIQSMTENRCNIIGADMTRQNATTREKYEKIRGQLFVGNVPDTLKLYRMMIDSGSKEVEILKIDIESSEHTALEPFLKSYFVCQILIEIHGHPARQLEMLRKLSRWVIKMRKYRRVCYQVFNTVLKQKNHPT >CRE21451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:215230:216150:1 gene:WBGene00069458 transcript:CRE21451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21451 MVNGSIDSIISPIPSGVPQGTVAGPLLFLIFINDLLLSLPSSIHFAAFADDIKLYSHDPILLQSGIDIVSEWASANSLSLAHTKTTLLRLGAKNPGHHYHIDSIPITESPVVRDLGLLTDSLLKFDSHIAKTSSLAILRCSQLLKSFRSRSLPLYKHLFNTYVLPVLEYCSAVYSPSPSSILSHKLEKPLRSFTRKVLQRCNIRYTSYLNRLEILDLYSLRHRRLKSQLMLLYKIICGATFFPEIHSYVRLSNSNRRPMTLICIRPQVNDFFSSTVPIWNSITSNCPEFLSPGKFISLLVQSINRL >CRE10542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:264083:264445:-1 gene:WBGene00069459 transcript:CRE10542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10542 MKLYSFGIPTQYVSWFKSFLKDHTFSIMVNGSLNSKVSSIPSGVQQGTVVGPLLFLVLMNDVLLSLPSAIHFAVFADDIKLYSHDPVLLQTGIDIVSKWASAYSLPQNYSSRFQKPGSSL >CRE22602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:7223:8339:1 gene:WBGene00069460 transcript:CRE22602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22602 MTENSAAILEELRSIREENQESFNKLTEEMEEMKKKQNLQVEMMERIIGKLEKMAVKTESVDLKIMEKWNEFPPEIKMECISRMDFETRLNLRRTARAERSLVDSRHYPMNTVNMCIDGIEVESKTNYFLHETDKCAHNKRTSSCGSKLLSYILKNGIIERLRVYLPKDKVNKWVEELKNSQIDTISVKRLIFQSTSKQLTEFFLNKVNKEMLESIEVLCRNDAIGYTDTEAFLKSPTVINVKRLLILRQFNTVLVFDFIMSPAEQETVEKEDDYYTSYDLSHFDESMEDDDDTDYFDDDSDKEDSDDEDYDFDDEELDDEEDEEVDYVQ >CRE22628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:15259:16256:-1 gene:WBGene00069461 transcript:CRE22628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22628 MDKIDRKERSGSSFHWELTDFNVYMCNDGIEVESETNYFLHETDKCAHNKRTSSCGSKLLAYILKTGIIERPSNRPETLESIQVVCRNDANGILETGEFLKSPTVIYVRRLLILRQFNTVLVLDLMKRWIKHDVQIGQTLFCHTLYPTTFRNFFTLFGDRVVKSEDNYIRIRTDNESKHILIRTLPCKKHAQSLSCHVIPSEQTIIEKEDDYYTSYDLLHYDETDDDDFDLFDELGFFDDDSDEEDSDVEDYGFNDDELDDEEDEESDYVQ >CRE22629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:16671:17739:-1 gene:WBGene00069462 transcript:CRE22629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22629 MPVPEEKEFVMRHCFSKWYTDEFGPKEIRYNIPWSMQLYCKRHCLEAYLFCWKEGSGWSIDADYEVKFVGKRKSFGVKGTVRFDGYESFANHMLPFAKANSHLVNDKWKVEWRIKINKMTGFDDEDSSGNKENDDINLKVKEEKFEVDKKFLAENSTYFNNLFFKSSDESGKTEIELEDVDPQEFKKFLKVLREEDPIDDESVEEILKLADKYDSKNALKRCEEFLIDKSKKPLKMKFNAAIQYKLNKLKKNCMSNMESKEDIQEIAEEDARHFNASVWKELLQKALSLD >CRE22604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:18489:21092:1 gene:WBGene00069463 transcript:CRE22604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22604 MTENSAAILEELRSIREENQESFKKLTEEMEEMKKKQSLQVEMMERIIGKLEKMAVKTEPVDLKIMEKWNEFPPEIKMECISRMDFETRLNLRRTARAERSLVDSRHYPMDSVYMCIDGIEVESKTNYFLHENDKCAHNKRTSSCGSKLLTYILKTGIIERLHVFLPKDKVNKWVEELKNSQIDTIRVKRLIFQSTSKQLTEFFLNKVNKEMLESIEVLCRNDVTGYTDTEAFLKSPTVINVKRLLILRQFNTVLVFDFIMRWIKHDVQIGQKLFCHTLYPTVSLDFDTNFGDRLVKSEDNYIRIRTDNESKHILIRLLPCKKHAQSLSCYVIPAEQETVEKEDDYYTSYDLSYFDESMEDDDDTDYFDDDSDEEDSDDEDYDFDDEELDDEEDEEVDYVQ >CRE22630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:29615:31081:-1 gene:WBGene00069464 transcript:CRE22630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22630 MGNLIGDGKQDTPPSPYEPIVTSHGDDCEIVDPIGVRAKISEVEEEMQTFAEETITSTQFFEMEGNLNKKTGEGLTFFEAIRQGKTGSRKEYFFVPSASINSKNEARLENLTFAPI >CRE22606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:32814:33157:1 gene:WBGene00069465 transcript:CRE22606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22606 MTEIPIKNVNFSDFKLLLSIVYPINMFPNDKPAEKLLELADRYIIPSVTHKVNYHLLNHSKFDNSKLLCLVDEYQLMDLLEKSIHQMNTLEKAKESEIV >CRE22631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:36361:37410:-1 gene:WBGene00069466 transcript:CRE22631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22631 MPVPEEKEFVMRHCFSKWYTDEFGPKEIRYNIPWSMQLYCKRHCLEAYLFCWKEDSGWSIDAEYEVKFVGKKKSFGVKGTVQFDGYESFANHMLPFAKANSHLVNDKLKVEWRIKINKMTGFDDEDSSGNKENDDIVLKVKEEKFEVDKKFLGENSTYFNNLFFKSSDESGKTEIELEDVDPQEFNKFLKVLREEEPIDDETVEEILKLADKYDSKNALKRCEEFLIDKSKKPLKMKFNAAIQYKLNKLKKKCMSNMESKEDIQEIAEEDARHFNASVWKELLQKALSLD >CRE22608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:45196:46671:1 gene:WBGene00069467 transcript:CRE22608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22608 MIDEVIEYTSCLVPVVNDILDVSETNGVMCTWSGAIIDENIISLTWIFDWSKLKDQGVESLFGQLSIHSENIPKGSQQIDIDFRNSIETIETVIEVATSCDPLINVSFDFSVIPVTNSAAKEACEEMFAPSEETDGILIVEGMKLHVNKEFLSYQSEFFRALFSSNYKEGQMAEIPIKDVNFSDFKLLLSIVYPINEFPNDKTAEKLLELADRFIIPSVTHKVNYHLMNHSKFENSKLLCLADEYQLKDLLEKSIHQMNTLEKAKELEKCSEYSELSIATKAKLFDRMLKLI >CRE22634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:48048:53925:-1 gene:WBGene00069468 transcript:CRE22634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22634 MIKMPGSRKSEFHAILLLPFQHATTKTGTKRERKKISEDETPKKKPVSSREPIILEYCVQVTPEGYPYATVEESDIEKFHSKEQIIDMLTNSQQICNIQKSGWFLEIPPPLPPLTSEKGCFAFYVNGKSAICAKDLTTDERKPWSGTRDPINPKAMIIKPNVRRHPIAFLDGNFKIVSKEAEIAEYHLTEYTARLPSDQKLKKKVFYMTRDNKTFGNVLITYNYTDSGVPPTVIVKEPKVRIRKPRISNKKKRAARIGLMMDEDDVDFRKRPHNECHENIMKVTYKRSRVVSAERWRSDPLEELHKMDTFGTGFDAEFMESESEKKKNNEGFYEKPRVLSTGHVFLTVRHMKTVTNLDQVLHFIANSNIVDELDVMNHSKPLYPPLVTNAGGYAFFVNGASILSRSVNHDSFSPWSSNGKGENPTKYRSKTRKIGARMVDGQFRLDLKKDYKECLYHLVSLYTINPRETRLRKKIFYMVETESTMVISCAMILYEYATNGEIPRFGPAGACYYNKIEEEPARPQSLPPGDEDEWYEEDESDDDDYYEELMEGDVDEWDRWPMY >CRE22609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:55142:56623:1 gene:WBGene00069469 transcript:CRE22609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22609 MHKNLLSYQCLKAVLEHMDANKRFQLAIRIPSIRSADRASPLRIRKLAFNTFGLQCNSETYQLGIYRKYLGVATPKIVDEENSKGGVQYDFNQFGFRDRTSQSTVTPGDVVIGELDVSTQEEHTNEQMRELERYLDYYKRNSALSSQSSIRRTQFQEEIEKTELSLEPFICRRENTTTKYESFIQLTTHTYPIERVRYTKKLYEAMKYLCTLIFGDRRLAIRVKRLEILEDPMIIRLPLNLSFKVEALKIGYNGTKTLNALTPIMEDWNVECLECYWIDNLDDINHPAFLNAKTLVFSNISYLSPWLTTFKKLQNRKILFKHAYAVFENKYYIHLMKYWQINGRDIGKIFSFCVTMKQSLEVKEVIKEELGGIEMENGTLIVSMNSYSSIEISVNENSDIEQTEQHMGEFTVKMEIVKRND >CRE22635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:56782:58263:-1 gene:WBGene00069470 transcript:CRE22635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22635 MKTQPLRYQSLKVVLLYMEANVRIKISQRLPTIRSTEKAVPLRIRCLSLGSTDTSINDTKYFVRVYRVFQPDQVIPKRVEYQNKNGGVPYDLDNFGFQASESNILEAGDVALIDLNGEPLRRDTDEVEERVKQLLRDYETALALKNNSMDTEAAVEELPENNDQDIANNARIRQLSSYSIERLKESIAFYRHELLPFHYRRHNLTPPYTCYIQLTVTTKGTENHIQRYKYTKSLYEAARQLNTILFGGRQCVIQVRDFTMPWCSILRVPVGFKIRIKCIEKILEMSFRYNPILSIIDNSSFPLDKVTISVIFEADLGVNDFHLPAIRSAKLLNIEDGSYAPGLLPLLRTLPNQTVILIYSLASFQADDYFALARSWLNDKRSVGTCYLFPIQEEETVKELLKLIKTRMENPKRTKRCVTVCMGHSNRLEVYYVPAKSHNNPEFGHKWVLTMRVVRVR >CRE22610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:59433:60908:1 gene:WBGene00069471 transcript:CRE22610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22610 MNTQPLRYQSLKVVLLYMEANVRIKISQRLPTISSTEKLVPLRIRNLSLNSTDTSINDTKYFLGVYRVFQPDEIVPKSVQDKNDSGGVPYDLDQYGFQVSSNKILEAGDVPLLDLNREPRRYDTDLVEENEKRSLRNYEAALALKNKSMNTEATVEELPENGNQDIANNALLVYLSKDSVEKLNEGILSHRHALLPFHYRRHNLTPPYTCYIQLTVTTKGTEKHIQRYKYTRSLYEAVKQLNAILFGGRQGVIQVRDFKMPCFTILRLPVGFKIRIKCMDNVIEISSQYNAILSMIDPSSFPLDKATIFAQIDIGVNDFHLPAIRSAKVLKIYGYSFAPGLLELLRTLPNQTFILMYSVITVQIDEYFALARSCVNDKRPVGTCYLFPIQKEETVKELLKWIKTRMENPKRTKRCVTVCMGHSNRLEIYYVPAKTHSNPEFDCLGYEWVLTIRVVRVR >CRE22636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:61997:63467:-1 gene:WBGene00069472 transcript:CRE22636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22636 MKTQPLRYQSLKVVLLYMEANVRIKISQRLPTISSIEKLVPLRIRYLTLCSTGTYINSTNYYAGVYRDFQPDQIIPKSVQNTNDRGGVPYDLDQYGFPAPESYILEAGDVPLKELNDEPFRHDTDEIEEREKRFLRNYETALALKNNSMDTEATVEELPENNNQDIANNAMIRRLSNYSVESLNELILLTRHALLPFHYRRHNLTPPYTCYMQLTVTSKGTKKQIQRYIYTKRLHEAAKQLNTILFGGRQCVIQVRYFKMPWCSILRLPVGFKIRMDSFYEISSRYNAMLPIIDSSSFPLDEVTISVIFEANIGVNDLHLPAIISAKLLKIEDGSDQPGLLPFLNTVSNQTVILIYSIITFQADDYFAFAQSWLNNNRPVGTCYLFGIWKEETARELLKMIRTRMENTKRTKRRVTVCMGHSNRLEVYYVPAKTHSNHELRRNGYEWVLTMRVVRVR >CRE22637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:64670:66149:-1 gene:WBGene00069473 transcript:CRE22637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22637 MNTQPLRYQSLKIVLLYLEANVRIKISQRLPTIRSIEKLVPLRIRNLSLGSTDTSINDTKYFVGVYRVFQPDQAIPKRVQNTNDSGGVPYDLDQYGFRAPEILKVGDVQLIELNGGPLRHDTDAVEERQSQRLRNYEAALALKNKSMDTEAALEELLENNNQNIANNVRIRTLYRNSIESLHEGIAFHRHSLLPFHYRRHNLSPPYSCYIQLTVTTKGTEKHIQRYIYTKSIYEAARQLNTILFGGRQCAIQVRDFTMPWCTILRLPVGFKIRVKCIDNNSFNLSSRYNAILPVFDPSSFPLDEIDIYSIIDIGVSVNDFHLPAIRSAKILKIRDISIGPELLPLLRTLPNQTVIVTYSDVIFPAGDDYFALVRDWLNVKRPVGTCYLFPIRKEETVRGLLKLIKTRMENTKRTKRCVTVSMGHSSRLEVYYVPAKTHSNPELRRNGYEWVLTMRVVRVR >CRE22611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:66908:68381:1 gene:WBGene00069474 transcript:CRE22611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22611 MKTQPLRYQSLKVVLLYMEADVRQVSLKYSAGTSINDTNYYVGIYRDFQPDQAIPKIVENENKIGVPYNLDQYGFQAPPNYILAAGDVPLADLNNDPLRHDTDEVEESEKRSLRNYEAALTLKNKSMDTEAAVEELPENDNQDVVNNAVIRQLSNYSVEILNELILLHRHALLPFHYRRHNLTPPYSCYIQLTVASKGTKPRIQRYMYTKRLHEAAKQLNTILFGGRQCVIQVRDFTMPWCSILRLPVGFKIRVKCIDDLYDLSLKYNAMLSMIDSSSFPLDKITIHSTIDVGIGANDYHLPAIRSAKVIKIQDDSFEPGLLTFLRTVPNQTVIVSHYYVLFHADDYFALVTNWLTVKRPAGTCYLFPIWKEETVRELLKLVKTRIENTKRTKRRVTVCMGHSNRLEVYYVPAKSLNNPGFNCHGYKWILNMRVVSV >CRE22638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:75439:76467:-1 gene:WBGene00069475 transcript:CRE22638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22638 MRTRPLQYESLKAVLLYLDANVRFQISHRLPAIRITEKVVPLRVRQFEFQSFETTINKTTYKLGVCQRWTPGKRVPANVKFWNSIGVVGHDLDQYGLEHDPGNTVLYEGDVSFCGKYPEYPWDMEERELELREQLRKLEHRMAPKIRSNVSGRKRNDIEELASRIDDIRISLIPFNCRRGNRRPPYVCYLQLTILCGRRRIKKIQRYGYTKKLHEAMKDLNNMLFGGRRAPIQIPEYLPPCSCPIARLPVGFKMKTKYLTMEYVEFLRRKYDPVMSMLDDSCFPLNSVFVTDHINVEYHGRFPEIDNARKLVISIIIMKMRTCWL >CRE22612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:77627:78570:1 gene:WBGene00069476 transcript:CRE22612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22612 MNAATPTPLSYLSIKCVLSHIDASLRLHLSSVCPAIKSVDKVVPLKISVLTFKPLEMHIDGTKYIIDVIRHLTDSKTFENVEEEFVDFWNSYEKNITADDIAIDTRGTRNPRQNFNELVAEQIRLRKEIERLQREKNFFSNSLIFTTQAVSASEAIRRLELQYHTVCERLSKFIPPPHMDYLRLSVYSEPNRTEYLESNKSAYEAMKYLIRKLIGDRKSTQIKTLNVHPSSLIVLPPQLNIKVKDFRLSTNSSAVFKSLRPIFDSSIQLQSNGSEWEIVEVCQWQKTFMFVSLYRAV >CRE22639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:85003:86129:-1 gene:WBGene00069477 transcript:CRE22639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22639 MSNGLSYPGLKCVLECLEANKRIHISSRSSSLKPIERNVPLRLVTLRLSETRVKLNKIEYKIIEDQEQTTDDPRSELILGDILLGSETPPEWTRDYLLMQFCNQRGLIAERRLPTKYPMKIQAARKMFDEIFGRRRVILVDKLEMAVYAPTILRLPVGFQARIKKLYCGNIDVKHCLPLIDPASYPLEKLEIRNPITLDLPIIQTCEELLITERGDIPENRLNNLLRLSHKNARVMFGYFHTETFHSVIQHWMANGQGNAVCYEMESGIKTSRFLEETIQRYDGKTMMFARTAADAKNVFDFVSIPLNNDSHIVVHPENLEFPYNNYRVVMKIMPIGTSDEHN >CRE22640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:87143:88196:-1 gene:WBGene00069478 transcript:CRE22640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22640 MSNGLSYPGLKCVLEFLEANKRIHISSRCPRLKHIERCVALHLNQLKILPNVVKLNKITYAIVERQERTTDHPDIELKYGDILIGPERKYPVIEFTSGKDTFVERRVREKEGMQNYEIIRKVLQGLMGGRKNIRVDGLVFERCHSTILRLPSDFKVRIRKLYCGDANPKYFLPLIDSSSFPLKDLRLIFPRTLDQSIVQTSEKLVILVDEEYSRDHLDDILKLPNKSVITEFQSLSEEAYNNIIDYWLKNKQMTGKCFIITDNNSNRMKIAIDLMKKYDGKMVKWNGTEFSSNPNTKYISIPLNDDFVIAIYAVSDRED >CRE22642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:92136:93409:-1 gene:WBGene00069479 transcript:CRE22642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22642 MPVELSYPGLKCVLEFLEANKRIHISSRCPRLKHIERFLPLYLNTLTFLPNAVKLNKMMYEIDEREEETTNHPDIDSSDGDILIGPGSPVFNRGHPIIQFWNGVNTFVERRVQENDTDEIKYCLVIRTVIQRLMGGRNDVRVDQLVFERCDSTILRLPSNFIVRINKLDSGVINPEYFLPLIDTSSFPLKELRLRFPGTLDQPIVQSSEKLVIFVTEQYFRDFLVDILILPNRIVFIECLSLDEDTCTNIIHYWLSKKQETGKCLMIIGREIKIMENAIDLMKKYNGKMVKWNGTEFSSSPDTNYISIPLNDDLVIAIYAVTHESWSHQIVMKTMPIDTFIPAEDFSEAKTLLEIKALKKSRQNDEAMNPSLVVCFVLLLLLLAIVFFTEYSF >CRE22615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:99328:99996:1 gene:WBGene00069481 transcript:CRE22615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22615 MNLIVKSVHKTSYGYLVTFDGSSDFDIFRNIFRDHVIQNPNITSSYKLLLLKQHLTGRASKCLYRLEDTEGAIRLTFKELESKYGRKSDKMTLHNKFGSIPFHHTDSDRMADDLRAHRMVLSEMKERGMNIDDEAVIKQFCTKLPGEMKKRVVEKFIATNGNLTFDMVHAIVVNGIEEIRIRESYFEDRGVDYDSQHTHPTNKADNNVFRNYRVAQNYPHKL >CRE22643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:100079:100303:-1 gene:WBGene00069482 transcript:CRE22643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22643 MASVLARPPFFGLLHLVLQNKVNAKPHSSIEALKKTLVKERDALSSDYLRATIHTYPRRLSAVIEKRGGRMEQV >CRE22645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:102325:103613:-1 gene:WBGene00069483 transcript:CRE22645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22645 MPVELSYPGLKCVLEFLEANKRIHISSRCPRLKYIERCVPLYLNTLYFLQKGVKLNEITYEIEGDLLLGPSNPVFSRMYPTINFFKQVLRPVERRVQKGMQDYEIIRDLLEGLMGLRKNIRVDQLVLSHSTIIPVPSDFNVKIRNLNCDHVNPKYFLPLIDTSSFPLKGLSIRDPERLDQSIVQSSEKLVIFVDEEYPRNHLDYIDKLPNKSVITKFRSLNEDAYSNIIDYLLDKKQETGKCFMIIGNETRIMQAAIDLMKRYDGKMVKWNGTEFRSNLFCQYLKCTILNFSSNPNTNYISIPLNDDLVIAIYAVTYKDWFYQIVMKTMPIDSFIPAEEFSEVTTLLEIEDLENSRQNDEFTNPLLVIVFVQLFLILALIFFILFGCFSILYEFFTNPTQI >CRE22646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:104961:107086:-1 gene:WBGene00069484 transcript:CRE22646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22646 MIAITGKCFIMNGSKTRIMEAASDLMEKYSGKMVKWNGTEFSSNPNANYISIPLNDDLVIAIYAVTHREDKWNPRHQIVMKTMPIDAFIPAEDFSEDKTLLEIKMRSYCIHLSKESGEIRQQTILPIQRYSPIILAIMSRELSYPGLKCVLEFLEANKRIHISSRSPSLRLIERSVPLRLDTLRLIESGVKLNKIENEINEDEELTTDDPRSEMVLGDIFMGSETPEWNRNFLVMQFSNEMGMTAERRLPTKYSDPMKIHLATRKMLAEILGRRRVILVDKLEMAVYFSTILRLPVGFQARIKKLYCGNIDIKQCLPLIDPASYPLEELDIRNLTTLNLPIIQTCEKLLITERGDIPENLLNDLLRLSHKNAKVWFWNFHADTFHFVIQHWMTNGQGNAVHYEMGSENESSRFLEEIKQRYDGRTMTFARPAADAKNVFDFVSIPLNNSSHIVVYPKNLELPYDYQSIAMKVMPIGTFASGEHTSNSISVVNDLCLIM >CRE22616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:112808:114147:1 gene:WBGene00069485 transcript:CRE22616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22616 MPNGLSYPGLKCVLEFLEANKRIHVSSRCRRLKNIERCVPLYLNTLHFRPNVVKLNEITYEIEEREEEISNHPDIELNYVDILLGPDSPFYSRMYPLIEFSNEANTFVERRVQENDTDEIKDYVVHRKVIEGLMGGRKNIRVDKLVFEQCHSTILRLPSNFKVRINKLDSGDVNPEYLLPLIDSSSFPLKKLRLIFPETLDQPIVQSSEKLFILVTEDASREYLNDIFELPNRSVITEFTSLNEDTYSNIIDFWLNNKQVTGKCFIINGNKPRMTEAAIDLMKKYNGKMVKWNGTEFRSNSNTNYISIPLNDDFVIGIYAISHESWNHQIVMKPMRIDSFIPAEDFSEVKTLLEMKAPKNPRLIEELAFCVVALISLAIILFIPVSCLLIILKFAPSSTQN >CRE22617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:117916:123410:1 gene:WBGene00069486 transcript:CRE22617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22617 MNSNNVSNKREFEKMTSGTRNTRSHNEHRSNDEAGPSQGLRQVPQSRVLLPLQQNSQNAPKRAKIERKQNDQEPTTSNASNFPVDLDGSMDAPKTVVKSVFDDGSDDIIDDVVETDEQIATRLNQMLIAIVITNNRNIANQRNPRVKVITEFKSFIPHGCIEANRAQRAGSWRRDERLYLPGIPAKKFCVEDVTIHGDSENYWQIQTLFMDKKCNVKVYFVGWSCLAMESQKRLKLRSSAPAMIRNVEIRNKFLESLKRMVDSDGMKYCVDREKNLNEVIESNPDHIFWAYQDLSFFHSKVHLNNRMGTIFYTVRVINMRHPSKSVFSGNSLSRKHRFRGVAHIYDAHCMCMRREMMAPPVYAYSTVNVVHSDAYLLCEKSPMIRRLLLTRMTYADPNNLGACETPETCRCNYRFNELFKSHMHEDILIIPKNRQYDKHV >CRE22650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:123757:125030:-1 gene:WBGene00069487 transcript:CRE22650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22650 MPVELSYPGLKCVLEFLEANKRIHVSSRCPRLKHIERCVPLYLNKLYFRPKGVKLNEIFYEIEGDLLIGPRNPVYSRMYPTINFFKQGLRPVERRVQKKEGMQNYEIIRDLLDGLMGLRKNIRVDQLELSHSTILPVPSDFNVKIRNLNCDHVNPKYFLPLIDTSSFPLKELILRYPERLDQSIVQSSEKLIIFVDEEYPRNHLDYIHKLPNRSVVTKFISLNKDAYSNIIDYLLDKKQENTGKCFIIHGNKTKIMQAAIDLMKKYNGKMVKWNGTEFRSNSNTNYISIPLNDDFVIGIYAFTRRYDEFLAHRIVMKTMPMDSFIPAEDFSEEKMLIKIKVPEYPGQNDLFIVFVLFLILLAFGFFVYFSLLYKFSTKRTHN >CRE22651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:127381:128661:-1 gene:WBGene00069488 transcript:CRE22651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22651 MRSYCIHLSKESGEIRQQTILPIQRYSPIVLAIMSRELSYPGLKCILEYVEANKRIPISSRSPSLRLIERSVPLRLDTLRLSESGVKLNKIEYEINEDEELTTDDPRSELVLGDILMGSETPEWNRNYLVMQFCNEMGMTAERRLPTKYSDPMKIHLATRKMLAKILGRRKVILVDKFEIAVYFSTILRLPVGFQARIKKLYCGNIDIKQCLPLIDPASYPLEELDIRNLTTLDIPIIQTCEKLLITECGDIPENLLNNLLRLSHKNAKVWFWNFHADTFHSVIQHWMANGEGNAVHYEMGSENKSSRFLEEIKQRYNGKTMKFATCAIDDKPAFNFVSIPLNDISHIVVYPKNLELPYDYQSIVMKVMPIGTFASGEHTSNSISVVNDLCLIM >CRE22652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:129102:130247:-1 gene:WBGene00069489 transcript:CRE22652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22652 MALLTFSLPNPRQKIPEYFRPNIVKLNEITYAIIERKEETTDDPQSELKCGDILIGPRTPVYSRMYSAVNFFKRAHRPVERRVQEKEGMQNYEIIRNVLEELMGGRKNIGLDQLVFEQCHSTILRLPSNFKVRIRKLDSGVINPEYLLPLIDTSSFPLRKLRLRFPGTLDQPIVQSSEKLVIFVTEQYFRDFLVDILNLPNESVIIECSSLNEDSYINIIDYWLDEKRETGKCFIINGSETEMMEAAINLMKKYGGKMVKWNGTEFSSCPNTNYISIPLNDDLVIAIYAVTHESWSHQIVMKTMPIDTFIPAEDFSEVKSLLEIKAPVNPRQNELFVFSVFLLTSAAIAFVFAILFESFTNPTHN >CRE22654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:133692:134931:-1 gene:WBGene00069490 transcript:CRE22654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22654 MPVELSYPGLKCVLEFLEANKRIHISSRSPRLKRIERCVPLYLSTLNIRSNVVELNKMTYAIIEREVNSRMYPAIQFSKQVRKSVSIGVERRVQEKDGKKNYEIVREFLQGLIRGRKTIRVNQLVFERYYSTILQLPSNFKVRINKFDSGYTNPEYFLPLIDSSSFPLKELKLRYPERLDQSIVQSSEKLVIFVDEEYPRNHLIDIHKLPNRSAITKFRSLNEDTYSNIIDYWLYKRRETGKCFMINGCKTRMMEIATDLKKKYNGKMVKWNGTEFSSNPNTNYISIPLNDDFVIAVYVTSHSEDEWNSSHQIVMKTMSIDEFIPAEDSSEDKTLIKIKAPEYPGQNDLFIAFVRLLILLAIFFFVCSLLLKNKSFTKRTHN >CRE22618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:136800:139917:1 gene:WBGene00069491 transcript:CRE22618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22618 MPNGLSYPGLKCVLEFLEANKRIHVSSRCRRLKNIERCVPLYLNTLHFRQNVVKLNEITYEIVEREEEISNHPDIELSYGDILLGPDSPFYSRMYPLIEFSNEANTFVERRVQENDTDEIKDYVVHRKVIEGLMGGRKNIRVDKLVFEQCHSTILRLPSNFKVRINKLDSGDVNPEYLLPLIDSSSFPLKELRLMFPETLDQSIVQSAKKLFILVTEDASREYLNDIFKLPNRSVITEFTSLNEDTYSNIIDFWLNNKQVTGKCFIINGNKPRMTEAAIDLMKKYNGKMVKWNGTEFRSNSNTNYISIPLNDDFVIGIYAISHESWNHQIVMKPMRIDSFIPAEDFSEVKTLLEMKAPKNPRLIEELAFCVVVLISLVIVLFISVSCLLIILKFAPSSTQN >CRE22655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:142583:144259:-1 gene:WBGene00069492 transcript:CRE22655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22655 MPDGLTYLGQKCVLEFLEANKRIHISSRCPRLKQIDHGVPFHINTLAFHKDWIIVNKFGYHLREEEESDPHDLRNQLVEGDVLIGSRIAIWSRKYPKIGFYNNLRQVADRRVPERNSKIMETHVVIKKMYEILFGERRHIWVDRLKFSLQEPTILRLPVGFNVRTRKLDSGQLNPDYFICLLHPSSFPLHELTLKSPEKLNQPIVLSSAKLIIHEFISFPQRLMENLMMLEHSNVTVVDSGYTPFDFYRVIEYWLNHRQGTSACFKWTATGGAELKMFVDGITSRFPAIIKTACDTSYNTFPNFNFISIPLNETSYIAIYGVRQALFFSTEIVMEAMDVGTFSPPHDLHHLKPLVPDYVGEKKINKKSCEIM >CRE22619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:145862:149897:1 gene:WBGene00069493 transcript:CRE22619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22619 MNSNNVSNKREFEKMTSGTRNTRSHNEHRSNNEAGPSQRLRQVPQSRVLLPLQQNSQNAPKRTKIEKKQNDQEPTTSNALILPVNLDDSTDPPKTVVESVFDDGSDDTIDDVVETDEQIATRLNQTLIAIVITNNRNIANQRNPRVKVITEFKSFIPHGCIEANRAQRAGSWRRDERLYLPGIPAKKFCVEDVTIHGDSENYWQIQTLFMDKKCNVKVYFVGWSCLAMESQKRLKLRSSAPAMIRNVEIRNKFLESLKRMVDSDGMKYCVDREKNLNEVIESNPDHIFWAYQDLSFFHSKVHLNNRMGTIFYMCMRKEMVTPPVYAYSTVNVVHSDAYLLCEKSPMIRRLLSTRMTYADPNNLGACETPETCRCNYRFNELFKSHMHHDILIIPKNRQYDKNGLLDLEEHNNYDERVVMECSDACGCSWRCPRRQLQRGQQLSLVVYYLDEIRGFGMKAAEPIKKGQFISEYVGEMRVLKEGEKRNTSYDAGFALLNRNLVITSENIGNVSRFFSHACTPNAAFMEVYSRRFETDPLIPRIGVYALEDIEVGEDVTVSYWSKDAMPEKSELQCKCIEDCPNFLPYD >CRE22656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:150066:152976:-1 gene:WBGene00069494 transcript:CRE22656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22656 MLILLLAALLFCPCSETKFPLVRNAFKMKPLSKQPASLSDLSTMFGDTSELVPTPSNEESESASNKKKNSDYKLAHHAHIKPIMTGDVIDIILHAAGSKLHAGRLGKYFHIYLRCPKPREDGEWVIETETVLKLISSNGTSMQISEPCRYGHPRYPRGWGWKDFISWKRLEKSYIVDGSVTVEAHVTITKMEGFGKEDLRKFNESIREFSDIVLIVEGRKFYLSKMFLAIQSSYFKSLLFGDFVESEKSGIELKGVDPESFQNFLELLHGESSIDDSTVDGILHLADMYDAPTAIKRCEEFLLEKSKMAMSSKLKLSARYGLYSVMEKNLSGINTLQDLRSIIPADLPEKESDSFLVFFKKNMDL >CRE22620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:153182:155411:1 gene:WBGene00069495 transcript:CRE22620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22620 MKKSIRKDSFFQGLRLSVHQILYLAADFIENPTRHLEEIADSFQIDKNTVSEVHEWFRDLTQQWFVRTIEDNPVKMLGGKGKFVEIDETAMFRAKYNRGHMVDRPTVWVFGLLERQTNKIAMFQVVKRDARILLPIIRRHVKPGTTIISDGWAAYRRISQIPGRRYKHKVINHKLHFVSPTDPTVHTQGIEASWGALKSSLKSRHGIRDYMAKGHLYNYIFRRYNNKDKLLNRLIMEMGYYNRAQATLVPNDFDELHPAEDSDYSDDDNDKDNDDNEDVEDDEDDDENEDVEDDEDDDENDDDNQSDIRGEEDDNDNDSDEHRDRQDDNGGDDEGDDEDEDDDDDEDEEEEEEDDDHENDDKDESEDEGKEADRRETRTRHHSSNDGSDSDGLNESGNNKLRRPANANSRTVQSSSSSSSSDADSSESEYVLPRSSRFGQRMQKFDKPSSSSGPSNEKTKVNNVKDSKSSKKQKSRQKNAPQSTSPALARSRNSSPLSSAAEKAEIIHGTSKASIRRTQRGKGRRDGMVFSAEIRQQQMDKNHRNSGKKPARGKGSRGGKVANQLRKEKEDRLSRARDEEANEDEEAEERRNLIAEKTKKTGSKKTKKAKELGKAETQDANPIAGRTRNAAATKTKEGNEVEEAEAPISERTRNAGTKEPKGQGKKKKNGGKGKKNGSKKKN >CRE22657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:157514:159944:-1 gene:WBGene00069496 transcript:CRE22657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22657 MQKESIEAIHVSPTFPCEGSKITINTEAFNHPEDLDSILTKLISNSEFSIVPVVAKNSAWQAEKEEVEEISDAESNKIEYEKSIDSLYADFLHKSPSVQTPEKASVYPERNILSETPKLTRPLVKLTITSPVYCDTDPISHFEMGTMTAESQPKYQKDTFEHPQNRKIFIGGLRQEHTKEMLSKHFSKYGKIVDAIVMYELKSGHSRGFGFVVFDKVSSMEAALSVKLHFVEGFKVDAKKAVPAGELPLPTKNSLRNGPGYKLQLARVKDDIHTVDDLRTYFGVYGTLDQIEILPDGTGSIIYADKEAAEICAAHNSGRHILNDYMIEVTKPTMDMNYIDLYENLYRHRRGLDHRRY >CRE22624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:169848:171392:1 gene:WBGene00069498 transcript:CRE22624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22624 MPGEVLQYDSLKTVLRYMNPNLRLCLSQRIPAIRFAEKAVPLKINYLEFTDLGVTVNDTTYNLSVYRDFHQGEEVADQFQRENYKGGVLCDLDQYGFRVPINRSDVLPGEIVFGEVINENRNFRPNLLPFFPWTHQQNNEITRRYYEKHLEIYQLALTRRLERLQNGEEEPIPVRVRRLMRPVFTDDELHRMNGSVLQELAAEELELKLAILNDKSTWSLEKEITRLRYSFQPFDCLYNNRPLPFTPLIQLTMEREDQEKRIERYPYTMKLHEAMRKLNRMMFGGRTSIVHVQKISFNFESMVLRIPEGLKIRVGKLKFSENVNARLEALNNLIDQSSYPLQSLMISAGVGEVDHFVHPILTNAKCVYLEGWRPEELTPLLNLRNEYVLFNYSRTHRFTTVRLFAFVQNTLTAEYPVGTCRLFRILREQYIKDLLNQVEEQFNAMKTENRATIPMRNGCNLVVSYKANSDQWILSMEVVSV >CRE22658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:171794:173026:-1 gene:WBGene00069499 transcript:CRE22658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22658 MFMHCLESNDSEWAIDTEINFGVISGNESSLFLKCRRTFKKMDEDDYSSWGSNNIIDSEMLKHFAVDRKVEFIYEVNVLKMTGFEKEKLTVRKFDESVEEFSDVVLIVEGMKFYQSKMFLSLQSSYFKSLLLGGFKEAKQSEITLNDISSQHFHSLLELIHGESSISGMFLIPKLYHHINIPDTNIDGILHLADMYDFPTAIRRCEEFLLKESKWLTAEKLELAITYNLENLKNECMSNLGLPEETMSVHTTVDTKPTKRVGCFACFRK >CRE22625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:177855:187216:1 gene:WBGene00069500 transcript:CRE22625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22625 MNSIVNADDPPPTEQVATPTSPELPEHITLATETAVEHIRTAKLTGQTLIELRECVSTDLRAIHLRLGRLTELSVERFENLEARIGLLEGTPSNEPASTQTLVGSSSEKTAEDSNVPSTIDNITTAPEKDSQKTDDMKTPTRPPPLVLQTAPHRPDLDGTPYPPTTTRSAPPANEQNDSEATPRVTRAAPKKSESYYFNVLNGKMAAHIPTFSGAPHENFAAFASVTRCEAPCQVSLYSSPKRGHAYRRGASREGPSGQLWCDGVRGDKVIIDRQREQQIQRDLRAERRRIQRLEAFDERRNIGDEEVVEVQPFLFPPFFQNQLPAPQLNAHLYGEEEGRRMLPFLLTDSARDAWETIPQDVRDGAWNDLLDVLRDRIHTEDRQLVARAELSTLVQGGRTVEAFYRLCKEVANKAYPGEPMRPARDAILTTCFMNGLKDDIRIHVQRSMPQNAEAALQTAKREEALRNVSNADSITAAINKLSDQVARLDVKPTEVNYIQGGNHNRGYNGNHGYHGFRGNNNYQGHHGFQGRGGRGFHNNHNGNRGGNRGNRGNRGWQNRGFRGGQSRGGGRINAIFDPCETSEDFDRVVNNVSGIGLKSSLLFIACLCALFVPSSCWTNVKPMPFYDCHAPSGQALIVPPKPVECKRQESINVRRGTVSMSILNQTMEETRAFKCRIEVYSQCVNSAIWIPIYNMSFIHMEAPTELECQEAVEEKTWRGQTLRKVKKGHYVSDTEYMWPATGFSVSSCNEARKITVEEGSVAQLNDGTLIMSLMSNPQNKCQMEDGTCQSSQATMIWKAAKKRSDCETIHIGSFPATMGDNVLIVDHMESAFPIDPEFTPLSMKRCFDIKTVSSNGVTFFEFAEEEAETPEDVEELVEIETTSSGAAGELLNLKPPKDVRARRSAVNESEPEKEEIAGVSSVGSESHINFMKKSYDGAENIRRNLTMAAINHKIQYVSNKIDQNSRDNFNRLVDAICHTRNRQLRIWRMFLDLDPQAAMRVLLHRDDIIATFKGRDVVQVSQCQKVIVHKIKEDRREGLSCTSKTAALTTDNKVVYIQPGSIEVDHSAETTDCRFITNYIWQDQDGKFKETNHTRNVTKIDEEGIPTYEARKLIFTAGDIYAGVKDSSFPMMLAMSFGASIRSLQYQHQQEILRSMTFGFKDGSRTLNSIGSTGEYLFNNTVSIVGDVTSFFTGMYFIYGCIVVGVLLVLGVIGFFAIKFYFCKNIIANTLKINAIEDEDEADKINAVEIEGPVANIPRPGNGRYALPPLFMYVPIIIPLICSATASQVSSTIPYVHISIGDKGISALWDSGASISYVNRSTANHLVYSLKQTRIRNAKTANGSSFKFLGCFEAPVRIADVIVEHEFLVAEDDCCPGNALIGIDFMKSLDRRGIRTWLRPAMQKLQIGTVMIDLVGPQRKPFELTNVVMEMINADDVMLKPGQEQLLKIANGTDINADQAVLLKSKPEGKLIFEKTVFHPLDSRETTIRVKNNSNKIIQLQAGDVIGRGEIVQLKNLSKPGLPDEPAEANWEERILETNGTKVAEEDFKKCRHAFFNEDGDIGLFKGGIEHSIVIRKDMPFPKSRTYRVALGTQDEVEKQVQEMILLDIIEESTSTFISPIVLVRKKDGTYRFTTDFRLLNAVTVKQNYQIPLISDIVDLASDGTFFTNLDLIQGFFQIPLRKEDRPLTAFATPTGTYQYKRMPMGLCGAPHTFQTAVRQLQKKTKAKLFCYLDDLLIVSNTLEQHMKDIEEVLQNIAEIGFKVKIEKCKFAQPEVTFLGLLVGRNGVKPNPAKVKSIKEFPVPKTPTGVRAFLGMTNYFRKFIRQFAELAAPLHDLTKKDQPFVWEEKHQESFDQLKAALCCTPVLQAPRAGFPFVIESDASSIAVGALLLQTGEDGELHPIAYDSRKLTTTERKYPPIETEALALAFAVKAFRTYILGSSVTAIVDHRPLTSLMHRRDLIGRLAKYQIILQEMDLTIIYRPGKLNSVCDALSRYIGNEVKECREKPSKKSEEVHNVEDSSESIDQGVIKKIQEETPWIAEISKKLEQMDLNSLERYRKEDGVVYVKNQKGDMVILVPREKDVISDIMKRYHQTAHLGAHLGAEKTEASIGRRFYWNNITRDIRNFVRKCEACQRRKINPHAETKEPMGHLELLGRPWERVHADICGPWPVTKDGNRYVFTIKDDFTKYTLAIPIEKQDAATMAKIFVETIVLKFGAPKILLTDNGTNFKADLFEEMLRIMKIQHNLTAPYHKSANGTVERAHRTIEEVLSSFVNSTQTDWDQKLPFVMFAINSAPHAITKCSPHQALFGHELPTPEDVNLGIPLPSYLDVEDFQGQLRAHLKDLHEGIKEKLKIHQKKAEEQYDRTHRIAERKIEIGDKIFVRRNEPKNKLAPRLHGPFHVVEVSQFNVSYQDGKKKKIANKNDVRLAESMEDDATTTSDMDEMKAAPMDEDSRKKNVSDAPTKEMKDSMKKNAIDAPTKERMESMKENYTDAPTMDSMKKTVIEAPEKKKVSWKKADSKQKDKPMSMKESRAKQNDVEAVRRSERLRAKRM >CRE22626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:188095:194932:1 gene:WBGene00069501 transcript:CRE22626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22626 MKDTLTNDKKKAVFLTYLSDFARDKAEELLESKPDALFEDLVEHLKSTFQDPTRAEMERQQLRQCSQHQDESVDAFGARVRKLAQPAYVGKSREYIADKAKEAFIDGLTFTLKFHVKGESPRNFQEAQNSALKFELLLAEAAKANTITPQGLSFAPPPQNTPSQPAQPPQSAYQHQQPNFPPPPRRTVCYSCGYEGHYAADCRRRQGNHYQNRDPRNNNRGYRSNNNGGYRNNGDSRGNNGGYRNQDGGQRQQFPVNDPVQRHQIPSPQQEQHRRFVNSLAPTENPLVEQLRTELATSRAQLDALVQRNSELATAASTASPSRRINCVSRASYILSICALATFLSLMGSASALEPLVCMHYAPESYVQVPSPLDCTIANTMTTTARATPLELAIFRDNTINYRTNGTLCKVVKQVTTFSVNIFGARFQESSSKQLPVSTEACANMVKFQECEFGELHHRAGIFKTENAHIINWPSAFNIFAGTQVVETTNCFMMPTSVYSRFGSETPSSPAGSLVGCRFSDGSCTTRGGATFIWTPTQDQQCRFVFWKKLRGVQSGRIWLSEDKEFALSFLPNNSRIADCGRKIVVTDQGYGIVLPSRTKRQAEGLNELTNFVTSNQLAAQLLANEEAVLESASKLVKFGYKNLCHASNSQRAMVLSAVVSNPTMAARKLTGKDQIKAKFLGEGFLAIKTCSVIPKGSFEFISFKETCYSKPSVRVTTPANSTIVTFVDLTTRIITNRAHPVDCNLMANFEYVVNGTLFSLNPFTLELKTHPDFRARQISETPVFQNQIGEETPLIFHNLIIGSLSENMPEAHYNEIWEAMQGSPEALTRIVSAHSDPSSGPLLATQIEEAFDLWERIKSVGRVIFVIWTVVCNTVITILTLVAIIAGVARFYIGPWLVSLKRTEPKATQFIGTGADVQSPAAETPVVSPQETPRIQNKLAGPIPDCARQMKRLRSPASLLSYTDPKYFTAQIPIRVNGIHFWALVDTGAGFTVAGREICALIGVGKLNPPTVDHALGLGGNEVGMAGSATIKFEIGANTIFQTTNFTTGQCCPEGVSNYDFILGNDLLSRLPKFFLDYPNKCFEVGDEKLPLGSPKMETVFPYRYKVHVAKNTVIPPRSEAFVKCVVPLCQEEKDLVLLSQANSLVAQDLIVAPAIFVPSKAFLLVTNPTNEPKTLYANTTAATATDFVVDLSHAKLSDVEREILLSLLEEFHDVFSKNAYDLGSSKTEPVHIYTNTEVPIRGRPSRVPVKYQAELEKHINSLLRSRRITESNTPWTSPIVIVTKKNGSLRVCLDFRKLNEATIPDNFPLPRIDAILEKVGGSNFFSSLDMANGYLQLRLDASSSYKCGFITENKVYAYTHLPFGLKSAASYFQRALRTVLSGLEEEVLVYIDDILVFSKTFEQHVISLRKVLQRFRDFNLKASPKKCEFAKKAITFLGHEIGKDSYSPDKANVAKITEFPVPSNVNEVRRFVGMAGFFRKFIPKFSEIAEPLTRLTRKELKFTWDSAQQAAFEKLRTALASEPILGFPDYDKPFHIFCDASAVAQGAALMQTRPESEKDFYGIAYASRTLSDPETRWPAIQVEMGAIIFALRQFKPYICMSKIILHSDHKPLTFLLQKAKAHDNLSRWLIELQCYDIHIVHIDGKKNTVADCLSRARENESLEELTELKDIIDFPICMTLALPDPQTDHTNRATGPVGIGSLVVSQRKVHLTRKNQPTISLDFAAEQEKDSNILIVKKILKNQSPEDSVPEPLASRLTLSELAPNGTVLTKPYGFSKRALPWVPAHLTSLIFEAFHESYLSGGHFNGMKTRVKIERRYFWPGMAKEIWAKCKACLKCQAKNSPVPAYRERLRTVVTTKVFQKVGVDLTGPLRTTPWNNKYILNIVCWFSKFIVSVPLSDARSDTVARALLNECVLKYGAMTELVSDNASTFTSHAFGSFCQLLSVRHHYAIPYHSKGNGATERSFRTFHQLVSKYVNKSHTDWDSILPAITFCYNTTVHSTTGETPFFLMHGRDPTFGIDRIIDPTPAQLSSGDDNIENFRQELIANLREAWFHAKEQADKARTLFARTYDAKTRPSEIIVGDRVLFKNYLSKKDLSRKLVLPWVGQYRVLEVTPPEAVIQDICSPKKAPRRVHLDQIKKFVEITGPAATDRDEGPDSDEEAEQDVQVAQGDQVEAKGIDTTTEGEVRPLETVPEEDLDQSTEVNDNCDFPPTEGDEQQHRYNLRRNRNPPERFEAKFERR >CRE22659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:197380:199807:-1 gene:WBGene00069502 transcript:CRE22659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22659 EQESNNSTLPSTENLSPSETNNGEHRAPGGSDEPTYGPLPTNIERNWSLDPSFYLEMYKKDVPDEYSEEENPNDHYPENFFEKAIIDENGETDYTAVTYNSMRKAFVERKKESSRKPVFSQNFLMKCLRDGKAHCHSQNALLKLNRKKTRLVGDIHGNFDDLIRHVSLGLADGSTFVFMGDYVDRGKRSIDVLIFLISLIMMKNQQVYLLRGNHELPSINIDNGFLTECIKEYGERGGREVWKAANEFFAELPVACVLNEKMFVAHGGISRLMLKGKEVFDSIKKRPTTAYEWKLFIDILWSDPDGSLPMSRDYKLLFPVNKNRTKDADRYTSFGLIEVLDKIGMECLVRAHQVVTHGYEPFCATRCFTSYGSTNNSKNNNAAQTIVYDDSRVEFIRFKNLNKEMKCEEDTTKPRTSKGSKSKHTKKGGRKNGQSKNPKRSTSLENGKTR >CRE03331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:233697:248112:1 gene:WBGene00069503 transcript:CRE03331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03331 MEKMDIEEAQKAGDEGKGGDDANSLDGSLWAHVTELESTNVSLKEKMKRIRGFEKFVEKSEEVVQNLQKALGDTTLAARKQDLMLAPVEKYCAELRERYGEVRCDGWQEKVLKLMRERAVETVEQLRDECEKAEEENEDKEELEKENEKLKENNKYFQRLLEEKNAEMAEEMARSEAEREQLQKKLEGAEKKIEKLKNAMTKEKKVAEGLKSSIAHLEGEKMELRKKENERELQENLPRHERTSSLRKEGGRTTSDGWSSGGEDARSVRSVRSRRSSEEMWGSESRASRYSAIEDLVKSMGEVMRSSARASALPTPKVYDGVGDFREFRRAFLLKYQSVTESDEELVAILESQFLKGPAKSIFSSLKNRHERPIKDLFVEFETKLRKRQGDAKTEALNVFEGLRRSPNQRMWEYCIEIEKWSKKALGDIGEETMSQMRVTKLMKAIRQDALLHRVLITKRPEVKLEDQYEVFKDIVLQHENEEFRMSQQRGYFGGGKGRYFGKEKSESGEKSDDKGDKGVPGGRREMGERRPVDTRKCFNCGGVGHMSKQCTSKGLNEVDAQKKEDDGSVGKEVVEIAEILGKQKKIIIDSGAVVSVISTGLLGRLRKNWEEKVEMLEKPGLSLRDASKRKMAVVGQMKTVIRVRGIEAEVVFQIVENELDVFLLGTNAFASMGVEVNWKAEKAVAVTAQKLRVPPQSCAQIEVRVEADLGEDMLLESTEEWVPTSLCRKENNGKMMVVVSNWRDQPLLIKKNRPIGVANRDWKLVSEEKESHVVNMMDLDRKEGLKGVERVQEVIRVLKENGEFPDGNIQRILEECSDVFAIEENELTQTRMAQCEIELEKSVPIRQKCRPVPLALHGKVKEMLKDMERRGVIRKCQSPWASPVVLVKKKDGSIRMCVDYRKLNGVIKLNAHPLPHIEMTLQALGENKWFTTLDLMAGYWQIPMEEGSKEKTAFAVLNEQYQFEVMPFGLATSPAIFQAAMEQVLGDLIGKSVFVYIDDILIASGSEQEHAKDLVKVLKRIRECGLKLKASKCKIAQKSVEYLGHIIDERGVDVDEYKLRHAEQMHLAQEAAREHMKKERESMKKKFDEKHNVAGRKYPVVGDRVMVKIPAEKLGARNAKLVNEWKGPYRVVETTENSANVQFMGGEEKLWIPWEQLRKVPKEIVDMKCETNARRGQRGRKKKAVVNVVEQRKLCEGEKENLTLDNVKFYRKSDGEECGCKRGNAHFVDGYGDRSYDPKSLALKMLAKNEKITPEDVNLMIFEEEFQKKLGKSERLEALRRFAEICPTWAQKVMTGTVEESEGEWKEAADSLKREVLQKNQPKKATIKEKFILIGQRMNVKSRAVFEVRSATISTWKQKFDWDQVEKAVILVEWTRKDQELEGLMHLVEEIAKEVREVVVVPAKMECAFDEVGGVTAQWKKTRKTAVNVEIVDPLTPVGTKKTPLILSELRSGSLEKIVEYLELAVPSHPVVGRLKEEVTSSSLAALEKLSKAFRRPEIQIEKIATSSCIDKNKEREKSELFPQYFISASTHFLAVSQVVPSRNRYGRPYISGRPLLTCDRQKIVECYKKGMKKIHIAKQLGITHSCVSKVLRRYAETGEIVAKACRTASCSCPGSAEEHDVRYCKHLQDNTIRLFFSIEKYAETGEIVAKACRTASCSCPGSAEEHDSLVLILALVV >CRE29123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:277897:281878:1 gene:WBGene00069504 transcript:CRE29123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29123 MVCKVINCVCFSTKRCSWARGSGKKSFKNIDDEVKETNCNAYIVPLEKTAPMTSDFRGLINRLDEMVLADPKKLENVVTSNSSSHDYPDKISFTGSPLLDPITTSSCEVLEAAKRRKNTNENHLDKSKNYYFFDGCNQNVVPKELEFFEKFRGEEPAPAPGYLPVPINHLDNLEQMPRLLEVLSSTSMSVVRGLGDVIGLNPDDFLIETLAKVLHDYDLICLRQMPQKATTNYWSVQLKGKKRPNPREWACYDAHHTKKLDEFSEYYETIQDLVREAILQLNNNLEEVEKIIEELANKMKESSMSMGGIDGRCHNGRIRYQYEFDGFYDLVETSSDGCGTLLNFAGEMIDGLNKPQMYLKLPGARTTGHLENNCLASLNYNMAPEDCVWYGVPMEYAAEFQKIIAKKMSLLTMLVEQFWSCEEEILKARIPLQMFIQKPGELDYVGIGTYHWVQSNGFARNLKWNLATPTFTELAVAAACYDHYVANKYSSEYCLEMVMQRAEMDEKMKKLVKSILMRSVKNAVKYSSIMSPLSSSKSTFQNKKGKPANGASD >CRE29152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:300204:303291:-1 gene:WBGene00069505 transcript:CRE29152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29152 MRILKVLFSSKIQNLIQFLQTKAFMESSGIWRCAFTPPHNMEHHYPVQEEPMANSHYYELPLPPPVPNQPFQPYQQQNFVAMQPRDGHNYKEQGGGDAGGGDRNYDCPNSVYRVIHQQEGLFEVIGGLSEDGLIVKEWMSDGFGPPHREGYVPTEEEEERVSVASRNSPQFHIINELGHRMMVSQQHHGIHVQSVSSTRVFNKIPQVTIICQQQQHSKTMYQQRLSGATRGFHQYIVSPLSPGGFGASVSRQMPAQGAPQGVGGPGIVVSSQLGLARPEVCPVYVTRARAGGTQQEQSTRVGLPTQQAAIIGKLRPGGVMAVGSPGHEDLLRSPQRGIQVRQPVCCEPRKFQVRVTDTYSAPILKVSNSEPRGF >CRE29153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:319893:320908:-1 gene:WBGene00069506 transcript:CRE29153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29153 MSIDMRPGKVIAKKYVLGDEINCGSFARVFQIKKINEKEFTKVLKMGKSNELNEKYQTEVSILKCCRGQKSFPQITDEISINNFKCIVMTHSGESVADLLERKPTQKFSNSNILRMTTSLFRALDTLHRIGFVHRDIHKGNMMLKLSNGLLVFTLIDFGNSALAKSTLKYHSKSIAININVNFGKTEDYAPIDDFINSMYCLTKCAGIQLFNVRFEAMIQAKEKFHRDPCAVYDSSRKWMGLIMLKLLEIKKGQLKDQKSVRKILDTAIPHCLPTSPIKFKIMEDEVYIE >CRE24845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig395:3864:5218:-1 gene:WBGene00069507 transcript:CRE24845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24845 MSTTATSATSGIKVSLFNQDQWEKFYPQTEMILTRNKGRQLFPLLNYILKGLDPDSVYAVFLHFERVDNYKYEFSCNKWEKKQSGNEIPPIEMKQHIHGWRNGSHWMNGPVSFKHVKLTNDPDNTKVDSVYVQSMHKHLPVISILKTGDTVKEEFRLGLTEFIGVTSYQNTRICSLKIELNPHATGFKATGGHNKVSEEKNKRRGVKRPAREQPYQFSLSEMQAAPQVVNQYSAPALLNEENQMGMYPMQMAPGIPGTKHTFNSQGFSNNYTYQQQNQMMINMVPWYPMNMPMGPWQGMHMYPGMAPNMVPRMPLTSYPQNWQYPQGSMAPWNGGT >CRE17016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:220712:230457:1 gene:WBGene00069508 transcript:CRE17016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sym-4 description:CRE-SYM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N7Z1] MRRSSRATPTDTEPEDLKNVPSSSGFVFGRPQNHYTSTPQRPENLKIAQPTSTVRSAIRQPLMSSTPFPTSSRPSSAVFNTPSIASSRFPSSSSCSTSGRDSSHRDRLITLRHRMNKEFGNRQLVGPPSQMEYEHDETMSTVSDAPSLHSWHHKMIVKNETVVVKASDTMSSCAAPRSDTGFATSPISPSASAVDATITPMRVNIPTSDDTRHRSSTWSPTDSSCSGISPSTRIPPPIPSRAPVTSPVLQSPLVSPFTMVAPMPRCPPPLPPRTPSMKLPDPIAFEVETRIEEERKKHGVQREVSVGSSRSSDAIAYSTPEGKFSTPDGKFSTPEGKFSAGENKDSKGHRKSNSIDRGLTIAKVMKTGPFPPNVLALGGREATGEEEERNAVAEEVIKQLTTDVESAHNLPDCANLESTSLETIPSASNSSASSPSSGSHACSKLGINIPEPIPEEPPCSSKSFGALESVNSLSPAKTVTNRNSRSMSNDELRTIKSDKLSSSVDPITLDVERRLSMKRSGQHEVDQHSLGSLDETTSNRTLSRTGIGSAASFARNSVRYASGVLRGALKRVRIAAHPGSSNIARHGIGEETSDGEESDEPHHASVAPSNIVRPRKSKKGPYDFEHLTIEQELNNEHTGAIWCIKFSICGKLMATAGQDSILRIWVVRSHLQYFSDMREKYSANASTDADPMNPVENMEQFRPPSSMESVVNSEATTASSSDDNNGLFCAKPFALLKGHTADILDVSWSKNYFILSSGMDRTVKLWHLSRNECLCCFQHIDFVTCVAFLPKDDRYFLSGSLDGKLRMWHIPDKKVAVWNDTEKRYITAMTFVKSGKFAVVGTYDGKCIFYTSDQLKYHTAVDVRSTRGKNARGHKVTGLTSHGDKLLVTSNDSRIRMYDIRDKALTCKFKGVQNDHSQIRAAFSPDGRHIICGSEDKFVYIWRASDTTVALSVRKDRNSWWERVRTHNAPVPVAVFAPRPQVFFNMLNRRDKHAKSDSIDFNIGNLSVGGGGGGGQSDSLNSNGNLSIPGHVIVSADLNGVIKVMVNRPRLVKANPLESKNSRSRSSTLMS >CRE17015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:213300:218644:1 gene:WBGene00069509 transcript:CRE17015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-idhb-1 description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3N7Z0] MEFSACFFFTSLPIILVFFRMLSRTVSSLSRMAPQTLGAVNTVSTRQYAITAPRPPTELNQKLKVTIIPGDGVGPELIYTVQDIVKQTGIPIEFEEIFLSEVHYTRSSSIENAVESIGRNNNVALKGAIEESAVLHTEGELQGLNMRLRRSLDLFANVVHIKTLDGIKTRHGKNLDFVIVREQTEGEYSSLEHQLVPGVIECLKISTRVKAERIAKFAFDYATKTGRKKVTAVHKANIMKLGDGLFLRTCESVAKQYPKIQFEQMIIDNTCMQLVSKPEQFDVMVMPNLYGNIIDNLAAGLVGGAGVVPGQSVGRDFVIFEPGSRHSFQEAMGRSIANPTAMILCAANMLNHLHLDAWGNSLRTAVADVVKEGKVRTRDLGGYATTVDFADAVIDKFRI >CRE17030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:208317:209393:-1 gene:WBGene00069510 transcript:CRE17030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17030 MSYISFALFSTLSIIWVTSLWFDVQQQPRLGHHWYIYKLVMLTNLNFVLDVFYSVIVVMGYKFDRLKRIADFMHFTSIFPVGIVTCGLFWGLYAIDPALVMPDWIAKLIPWWLNHITHTYPIVYILLDSYFHKRTAPKNITCWILSAALVFIYFIVIGYVRYFDGYWLYPILTFFAIEHFVISYILAFFGFFLLTKSACLLNNFFREFNLENLNFVFLFQTNHQQHQLSWGQRKQKNNINLYI >CRE17013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:202660:205275:1 gene:WBGene00069511 transcript:CRE17013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17013 description:CEH36A [Source:UniProtKB/TrEMBL;Acc:C3U548] MTANFYTTFPALNCTAYPQFFTSASSSIVNTTIPTSSPQTAVTLATHNYNDGRRAGRRERTSFTRSQLDILEKVFEETQYPDVSRREALAKAINIPEGRLQVITVWFKNRRAKERNNKRLDGVYENEPTHYRSRNTGRNSSCSSSIGSPHVETKPDPKTLVLHLPGTPEFDAHTAAKHEANSLVLSLQQHQQLQQQIKNELDNKEPKHELTQPLLPQAQPAAWTYATAAPYAYPYNGYFPPTFYYQYGGDYTPNNATYCEGSQI >CRE17011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:195243:197351:1 gene:WBGene00069512 transcript:CRE17011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17011 MSIEFTSVGFACEMTDDIVKIYTIEHGLIEMKNTGNLELGAWYDITESEIEPFLNFDDKRCEVWEDDGEVFAKVLAIGPNHFSLPKDIRIKYKYAVWSPFLKFLDDGDNLFKDNIRGGDVVEIIVKYSRSEKHLFKIVDLIKEDYTCQAAYVRMAPWTVEFIGQKMKEIAYPRENSIALNQYAKIKNENFVVGVCINAEYNNVARPKGRNFADGGKEKCSYIFTPTHGLCRWVIKDMKVDVKGPSVSQPAEYNVADDMFTMDKRLGNWVSFCLLESSTYRKKQHNKKTVALLHSTATKVAELQDPPKETRVVDGQIEMEASFLFGNVILETDENRLIKDWQIRFKGLSTDAHFWDPYLGRIEIYPNNAKLILQTIEAHRHNLDPQEAKKLENEAIVVSVTAIVHRNFLENFEKYPTQGVFVAKSVDTICYLNGGRLIYQK >CRE17010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:183978:186364:1 gene:WBGene00069513 transcript:CRE17010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17010 MSLVCGVPVMIVMIIFRWILHTPIHPEKDVRWSILLRSLTEGDKTRKCKCGRADCSRHNQRLHLFYRRLAPGCRLQMALLFDDILRCTAHVDSIYLTRQDVGAQSEGKDFGSTQTFNVIVGQEGNTWAKLPVDGVVIDGKISADESFITRESMPVVKKPGVKEPGVKKPGSTVIGGSINQKGVLIFKATHVGNESTLSQIVCLVEKARTNRAPIQQLTDKIAVYFIPYLIGLSFVILGV >CRE17029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:179185:181303:-1 gene:WBGene00069514 transcript:CRE17029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17029 MELKDLSADCLERIASKLNAVDLIALASCSQHWTDIVKSCRIPIQHLYVNVIGLSWFARESPGHSRILLGVKPIGRRFVHFFFYVRTEEELEVIREDENTIIKMIGRRKMICQLDETNIKVYTTTPVESFFYVLEYMLELLSGGIHHMMFGHVYPTEDEIVLRVLRLQPVSECHRLTLPSRGHISPNLYQYVLDSTTFYRVVIHCDVDDPFTRDTPTNIRHLDMVYAGWVTLPSLLNMNSETIEMIEPTLTLRDMNAFAKNWLQGDNNKLKRVTIRRCRGFEWTNNEKYELLDGLDSEEWKEATERRERFYEDETSKIDCENGNDFTRSDGALATFQFNDSYLHFLVFKYR >CRE17009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:174353:176838:1 gene:WBGene00069515 transcript:CRE17009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17009 MSSYKKTSPKTVVKREEPDDDSFHPDQSSSNVVKREPESDDEFDEFPAGAIPFTRDQLTARMDARKHGLLVDIDESSRDSFDDIVATQPQPPELSNQQLLANTILPEVQRFITDQNADNVSLTTSITANGNKTEIKFTLVKTPTKRPAAEPAQGSGSSQLQAGSSNSFRRSRGVSPPEELETSREEPDDPHRTPKKKATQVPDVVTPSPAKRLRTLNLNVSESEGEEEEDEEVEDEDEDEESQETDDTDSEYQPDTQEQRDRPADFRIPKNAPADPLDLDY >CRE17008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:167148:168307:1 gene:WBGene00069516 transcript:CRE17008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17008 MKISKFPWVVQKEILRSMQLIELILLSFCSRKLRDFVENMMKWKSSKFELIVYHCWSSDNTGIAITYKEGEFFLRIRVKSRIGDRIPIQMNFMGMDVQCCMPTESEECTLYCDEGKGEAILQTVHNFLLSFIGLKTEYELITHCNYIPRLTNIRSSQQCILDYKTAASQLSSFLSYSPFPEFLCLFATRGSNLENVPGLAETQVLNYWIDGSKGDEFLANFKGRELHVYKGELSDSTVIQFLNDWISNYGYQKLNVVRMTVDEECELHPEVIMSQCSFKQFHSMDTFPIYRRTQRLRILPLVLNSCDFSSQFYIVRKSDGYVASVKVESKSLTFTAWNMNEKQFLEKHANKMLQ >CRE17028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:154511:158559:-1 gene:WBGene00069517 transcript:CRE17028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17028 MSSAPTSSSSMLTYCDGCKSWEECESDCLERKIPVPDPDTSICEYMDCIATKEGFVYAKAPRPCKSCHEYAQGFVRKICELADDGLFKQRLVLAEIRQKRKEFEINEQEQANNRALIEELKQQIEQQKKEIDDLKRAHDKEKKTVTMLYENLDKVEEDKLKWVEKLHFAKNEISSQKSEYSALEHTMTVKDAEISRLGNQLQELSKKCVFLESQIDRQSQDTVIGLEIIRDHLQNDLAFQKEKLENMLEKSRSEQEEMLRLTEELKNQKQKYEQKLESRSDHKEKLRQLAADLETQKSVSDDLYQELNDFKTSYDEIWEENKALKKEQLKQLSEDDESRKEQTEKLHQLSVELENQKSLSDDLTQQLNHFKTSYEEKCEENKALKREQLKQLSEDDESRKEQIEKLRQLSVELETQKNVYETKLDDFAKNFKKTKSLSDDLTQQLNHLKTSYEEKCEENKALKKEQAKLKKMNEQLSEEASQTFITVQDLSHQLEEQQQKIEVLRGDMTEKKEELDQLRGARENSLEDRFTILPYHRRKSMPVSFGIEGGAPMVGGGPSGFGGQPRGGGPSRGGGPSRGGGPMRMGAPTGFRGQVGVGGPHHIAPPVRPSGNPGPHQFQNKRFFKKRGHKKSFPY >CRE29156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:193793:194308:1 gene:WBGene00069518 transcript:CRE29156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29156 MKHSTARPPRDVISHVSPKSRKIRKSTSAERREKEKVTNRLRQLVAAEEDADQYELVLATIAHIRELQAQLSGKENSLPAGFEHFFTSSASVSPSTSRPETPASSPESSPTSSV >CRE19801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:315676:316461:1 gene:WBGene00069520 transcript:CRE19801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19801 MSMNKSFSLTEKVLQRCNIRYTSYLNRLEILDLYSLRHRRLKSQLILLYKIICGATFFPEIHSYVRLSNSNRRPMTLICIRPQVNDFFSSTVPIWNSITSNCPEFLSPGKFISLLEQSINRL >CRE29165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:124501:129157:-1 gene:WBGene00069521 transcript:CRE29165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29165 MSGIEEVTGQEVENVQVGGALLKVKRAFVAKSGGRFKNSVETVSLDVDIPTVFTSSPNGPDLFSEAVVKLIDSHIPAGMTPANLKVGVKFESEELVESVGLSFKKLANVLPRDIADCMEDMAQSNKNLLELEEPSITIHITYLNTPSGSGEEASNKGGEVATPAKKSGGKRKSFGIEDILGLPSTKKQRDEEEEEGSESDEDDLGDLDSGEITEEVLPKRHHLMPNKVSRNCLVHAIVQSLRHREWKQDKENKKKYNRYRRSLLKNEVREGYIDVFQEVNELKKKAGITKMYDFDSVDCSVFQEKCFAGQLQLVVFVKNSTIPYYSGPYVGKGKQIVLYLADGHYCGLRSVSTLLNNRFFCFLCLTKSTEVSKHYACRLLHRLCGKADCPPKTEDDVAKRCPACFVTFETETCYKNHLQKGPNGGKSRCDYTKYCQKCSKSYYTNKRTGKHECGESYCHRCQEKKQVGHTCTMMPSIKNEKKLTRKRGFFDVETRVCETTKRQIPTLFTCVKCCPKCSSDIPKTTEEGEDSKCSNCSPDGRLKVIDEIKDEGIDVGATFTEWMFDDKHRGFTFVAHNASGFDGQFILEALIASNKAAPTVCLDGTKLVYLEYNGVRLVDSLKYLTMSLSMVGKTFKVDSLKGDFPVHFIRKENFQYNGPIPENKYYALENKTAEHRKQLESFLEKERADGKVFNFYDELLRYCYNDVYILAASMTQFEGAFEKMTNVCLFEETTTAASAAALVFRRNHLDPKMPIVLDAKPSVSMNNSILSQKYLAWICESEKVQVNMSTTYGEEKIGNHRVDGYIPPCEKYPEGQIIEFFGCYYHGHTCTYSEESVIGEKSARDIWKADEERIKALKESGKCPVRVVYECDVKAELRSNREMSDFFDSYEAVDVLQCQRALVGGRTEVFRVYVDCKDGTGYYVDVVSLYPTVMKHEAFPIGAPENVQRSTFALPIVSPDGIPFEGFMSCKLQAPRDLRLPVIAGKVSGRLMFFLCKLCAKEGNQEKCTHSDEERSFTGTFTTVELKKALTLGYKIVEVYHGIKYEKWVKNDASGKGGLFTSYIDTMMKAKIYSSGWPSDVVTDAEKLEFCRGYMEKENIELLESLFEGNAGMRAVAKLLLNALWGKFAQRADRVNTEIILDPGKFWQIVHDTALDLLDVRPVNDVLIVQYRQREETLSSLKTSAVHLAAYVTAYARLRLYSLMEAVGPDNIVYTDTDSIIFKLPHGTPNPLEDKMGSYLGQLTNELKGTMKEFVSLGPKTYSYKEQLANGEEKVAIKAKGITINSEVAKKLNFEKMKGMVDEVLGKEDSRTSLLLPQHTMVRDKNHSVYSRNTLKQFRYTFNKRRLLPDGSTLPFGYFE >CRE20874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:217768:218569:-1 gene:WBGene00069522 transcript:CRE20874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20874 MTKAKIFMPSDAVTNEEKLEFCKEYMVKENFELIESLSEGNAEMRAVAKLLINALWGKFAQKADSLNTEITLDPGKFCNQIILIIALKAPHCLPPATAPIGQFPPPPTHPQGREVEGHHSATTTTITNKAATTTRKHASRADSAGIHHNGDNRRSDVVLSV >CRE29163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:120427:122133:-1 gene:WBGene00069523 transcript:CRE29163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29163 MSYGKYVLLSIEEHADLIANRKEVDPIGNLLNTPMESDVKLAHIKSHLTNIVNKRLNNNEIEEDDRKKTKHTPMLPQSEETPSFPQNLPSVETAGVEEEKEEEMLNDDVFLPATPITPKIPITIRASTGSRTPRSPKPISGSEAKAELLEHLEKNPQIFRFQDTSSVLYVNDTKIPTFTLNRILNDFSNITPLPGACPNFPLLTQALKQSGFPEKFILNPRRKYPTRSSKLYNDPKKGFRGVSNLLAAARKVDRRITRKEVVAYLHTNNAYTRHFPKARHIQHNPWVATGPDSHHMADLAMLPTLKRYNKGFCYILVVVDVFSRYVFARPLKNKECLTVTTAYEDILHSSWRIPARLYTDKGTEFMGKTFQKFVRSLGICHMNPKNTNVKACYAENAIMRIKNKLEKWFTATDSFEWTRVLSDIVEGLNDTYMDSIGTSPNKVTSNNSQKIWNRLYGTVQSRSPKYKVGDPVRVLLENSPFAKGTRAKWTSEVFKVVKIIEYDIPVYILADVEENEVDGIWYEEEMVLYRKPDVQ >CRE29161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:116091:118815:-1 gene:WBGene00069524 transcript:CRE29161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29161 MAKLMSNKSAPSAPSNLCIFDTPPSQVAFNKGQWMTYTPTNPVDSGTSYTFNVHDSAHFFQLNKTYVSFKLSLKNVEAATNGVDANVISHTNFIGATFFNQVKLFFNNVIVYDSNNYDYKSYIHTLFGENGDTKGGLLTAAGWCDPDGSQDGRILSSTKELDVCAPVLLEVFQTEKLLVPHVNITLTFYRNSDEFCLEAAKATKAQLEISDLKLHMRAIDVVSSAAIALENRLRTTPALYPFTISKVKIISIPEGRLDLPFNTIYHDIIPRRIIIGLLNPENSVTKDSLNFDHNHVSEIQLDAGGVMYPPQPINCDFSNKNYAEAFTRMYEELGCVSNKSCPKISYKMFRTGYTFFVFNISPIDTSNAWELQKSGSTQLKMRFSQKTPKGGLNAIVLSQFDASYEVDGFRNVVCVDVNLANHLMEKFGRLAFSTPNQTRTMNYHLLDLNILKMWNGCNGLSVDLTSELSLDATPRNHYFVNMIIPKPPAKRRFVCVQRYFEEKYEISLNQPNSPLLRDSKFLSTCRQTRESFGGVFPSDHHPIISRRPCSFIWNTAPSTEEGEHWVALWIDDRNYGYFFDSSGCDPQTEFLDFFTKNCVKWTKVIQFPIQGLLSNVCGYHCIYFLLRKTRKHGNKGIRSPFSRNLAKNDEFVVNPSILKNFLRSHFNMFDQPEEVPLPPDSLEPQIPEPDIPNQQAPQPTVMNQTPIYTPLPQYVSHPNMLPLLEDAFKTMTLIGTVKIYKDAHSKYHVASG >CRE29160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:104438:115430:-1 gene:WBGene00069525 transcript:CRE29160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29160 MAHIFFLGGLPQISEYKASSIPLTTNYKESIRYPPQFSDNVFYGVDSIESGVDLFRKSKKLFASAQMNLTQFFSNCDKLNKTLSDMDHSDSPITADQKILGIQWNIAQDTWSIPMPKQLDSEKPLTKRLILSRIASVYDPLGVLSPVILRGKLFFQTLWNRPHGWDSNSLSPDELQQWKDIEASWTGDVIERPRLLFQTPNSDSDQFELHVFSDACESAYGAVAYLRRISTSGIDTAFLMSKVKIAPHKKSLTIPQLELLGVEKAAALAVFLKTELDLEVSKTFIWSDSLCCIDQIHSNRASNVFARNRLRKIKDMALDVTFSHVPGKSNPADVLSRGCTTNELRSDDLWWNGPSFLLNHELPLRQSSVSASRATPLLSSISTVIPPPATSPLILDIDPRRFSSFHRLINTILLILTFVTKFPREQLRNRAKMVLIRLAQQLHPPDNATIDNLHLVSDGSLWYYEGRIPSHRQPYLPAHHIGKLFVQAVHEKYHHSSPRYTLSKIRNEVWIPKGLSFVTRCIRQCQHCHREATKPIRQPSFPPLPSSRTEFAPPFTTIGLDYAGPIQAQSRNQTQSYWFIVLTCLTTRYTSIELVDSLSASSLLNALRRFSALYGTPSTIITDNARQMHMMDDCLKELKDQSKKPYFNSYDWPQFKFIPALSPWQGGIYERIVGIIKRCLSKAGAHKSLFQLDDLFTLLKETEAIINDRPLTTVDADSDLQSLRPCDFVHPNKRRTSLLLVDESFDSSSLVNTHRTFVESWMSLSSITTTLRQRWNEEYLQLLQERSQREHRQDAKAVQMIPQVNDIVIIAEDGHKSSWPMARITEVGSRSAKMITGKTNRLIERPFKKIYPLEVRPSVSSEMKTTPTTTSSSTQALPMNPQANPLQAAHEQPIAKRTRSSRILLSSTTLSLVVVFCLLHSVTATETLDGFTPQHNTAGTSIVDIWNTISSLVTDIAIHLGYSVMFLGIIATLYCFSILLHVTDLVRILVHWMVTLLRKLISTVIRKCRIPRPSKPVTRTIVMLHFVLFVYGCNDVNHARSDETVCYNRNAEGNGTHMECVINSVSLINVRSTGSITCLHFGDAHQPVLTLKIESDAISTSCQKNTLFFSRDFKLDYEYIQRCDMKGSCSEKQCTTLEPDQDLPELSNLAKSKPGFTGCLPGCGCVNCGCLFCDPSCLFYRTYATPTSNTIYEVFHCPSWTPKLRVKITINNSSSTTTNLSPGVRYMIPGTNISLTAIGLVSPPISAHSANYIHARSPDGQLLWTALTFNPAASPGTPTKGFVGELMCPTNSDAEDFNCQFDNALCRCVGFSTSVQCICRHQKMKDFQLKNKLPFTSSNHQIKFNDETEQVEIDSTYDGVMGIQVDAINASVSRFTEISRCVTTQVETFNGCLSCHTGVKLHLKCQSSVKTSTLVTCSDFHANIACGPDSPTIDLQFHVKAVGLQSSSPSAIAINCSSDCGHRVEFVVRGTAAPNPAFLLQNGSFSFSSIYERIIQEDIFPSLSSLPSFIGSFISDHIFVRNRTVMPRVRYRDFRPETNRDEEREVQIEITSNEDGAWNGADVMEIDEMVEDEVDGLEYDQDETEGNGRNAESIEEESTGEDLNHVLREISTPVLLFVGSCCISRMSNREFERLLLSQEIEFAESARKLWYHRRFNFSSHSFCNQCGRTVLSNDKCSLCGSNSIAVFVRTGGFSQIMQLVKTFKTEILTLRENLKAGKNVEHNLNSPFFESSWKMESGNHLNLSCVISIDGVQISGNTKKLWPISLILVDLPSSQMQKSTNIILEGIIECSETPSTTLWNAVVPMIWTDIESYTGRIGVHSFSCRILSCSADQPAKRSFFGMRSHQSSMSCFYCTSAGTMYKLHGDSRKQTRLGSLTVSDSINSINGFGRIPSTVVRHILPYNTPLDLLHNMGEGLYDKIRKELFPPDPRYERKSDLFAIDKEAFQKELSSVLLHSSYKNINICRNGTDKTNFFRISICLGAMVSKVLPIKSRLVIIALGMISNKMYTNSKSDCLFDDQLCAAARWFLSEASNKYISIKAHEVLFHLPDVNRMFRNTGPLSTFSFESFYQFLLSGYSSSVTRYFLQNCSTKYLLHSGIRREIAYRWKHTNSRSIQRFVTLTPDIISQQISWNIPIQMLRAVDREVSVAGVTYYAMLTLACGTLTSEYGQKNTKDDMLFASLDGRKGVYRFIAAVVEDGNVRMLVERVESVSRQFSDLHTSITSLDSNDLYYASEILAKIENYDGIVCGRLSGERSIIHAEAVEGVARYVHTPNSTIFLHVNGSFLHN >CRE29159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:102257:104307:-1 gene:WBGene00069527 transcript:CRE29159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29159 MYRPTPQRNLGSQPYRVPLSQRMENNGTSFHHQDISRDRKRYRPEQSPPRDMDDEHLHDDMYTTTSSTPYPSSSQHTNNAHNLPTSSHRFHATVNQKWSPIGFAATQLYDYDRGVPLDRDTCERNLEKLIKNDRQTDYFEWLTFAQKCREEGNELLEQMALGLAFTTAKAEKTANRSQKEVKKDVPLAGIIEKRGFSLEAAAPFDTEITLPSRKFFKISDVLTPDAVSQWSSSKIEPHTRSLSLFLYDALEFSDPLFVCYGISKRAKTYVPVNPIVFAQIAEYVLAGFGQSITPDKLSYVISLANSTLENKLNRVRAEHNKKHGKNSSEEYAKWLENELKKPTSQLNRERLLFDPHRLVIPIQEPTDTTIAEGENDGSLDIVH >CRE29157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:63702:70729:-1 gene:WBGene00069528 transcript:CRE29157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29157 MFPRHPNLLVFQRIARTRQAYRRLMDELPDRVALIELDSRRAQQWPTYEPSKESFTSFIRFVNEYCNAHTRTEADARRNLPLMLNGEWKDAYRAIVEPNDNWRVAIDKLKGYVLTPARQAEYQSALLSLQQGNLTINSYAKKIEELSHCAYAENEEARNAIRLSTFLRGLKPELRKEIRRQAPENFETAVRAARNLENIMSLETAEQSHIVAAVQTLTETFRNWHSNRDGYNNRRRHSRSPPRRYENHSRSRSPHRSPYRSRSPYRDHRESSSGSSTRQDQRDHSRPNFRGRGNFRNNRGNRGNRGNRGNRGSGRIMTNFLFASIVMMCIQCSLQESFFDCSKKIGGIHISPPQKTNCSTNALSDDITSKQVTLWWMKQSEEDPVMAYRCTKESYQRCTSSLFYLSSFNQSLVKIEAVSIESCKLMIAERRIEGKTLTATDDVTFATNLEKEEWPERTFSSTSCTETERFVLQRGSVSQFGATIITPLMLNTEACTIAEGICANEVAIVIWTPPPPTSCNLELIGSFEGEVSDQLLLIPKMQSAFKFVSEEAPAQIQRCFKEKVYQTTSNVLVTFKMEEADPPSDPSCLPIAGKFSRTKEIPSEVNTPTIRKRSRSTAHLITKFNITERDVERQLRSHPSKDVSTVILHTVIAAEAAEAKKNGSHYPNIAFTDTPIDYSHTEAESWITLAKIMFREVRESVLESSFDIQPVSTRKPEPLKSYVGSEQHVDYLRTEYDRRENLRRNLTTTSINSKFQFHAETMKKDLETNFKKMSTALCETNNRIIEIWNALLKIDPTTGIRAILFRQDLTAKFIGQRTLHVSQCMQIEATEIIRSKRLGEVCYEHTPILTSRNVTFFIKHGSRDVITTSKSIPCEDVTPEIWEAENGTLWLSGQEEHVESMPLIDKINATWRNIDFKSNNILEAGIDSSFPIYLAISFGANLQALQAQRKILLKPSGLDSLIKDRRKTLEQIATLGGYTFDKVTNTISEAANNISGFYKEYLITVGSVLCAIVLAAASIYAGIRVCTGQLGIFHVGIEEDENANVCHVEEEPTAPEEEEEDYETAVKPFLRKMPPLMTYLPILMAMVNNVHTTSLPFVPITIKDRVTVALFDSGSSVTYMRSSTLKYINEATFSKLSSQAATTANGTKFVFLGHTVLPVKFGDTFMEVKFLISEDEHCPAHALLGFDFIGQLETIGIQTTLLPGQKQMIVGKTLVPLLRQGDKVYKHTKRTVNIIAADGISLRPGTSKMIQITFDSNEPVHLQKITKSPVRFSDCIIDPWLTKTTQVRIRNHGQKVFNISEGTLLGQVPTIETPSTTPEINPVSEEASPEAEWTQKFPRIPSSQEEFEGELRLENNCLSPTNRQKLVDLIFHYRDAFHNKDGNMGCFKGGIQHDIVLDKPLPRPRKSVIPYGKRDEVQKQVEKLYEEDVIELSKSDFTSPIVLVLKKDCSFRFTVDYRILNSVTRKENYLIPSTTEILDFAAGSYIFTSLDFVSGFFQIPLRKEARYLTAFEANGNTYQFTRMPMGVCGAPHTFQRVAKVLQKSVKSRLYAYLDDLLLVGDSEETHLQDLEELFQRIIEFGLKLKISKCCFARDELEFLGYIVGRKGLQPNPKKTRAIEEYPTPTSPTAIRSFIGMASYFRRFIQNFSAIAAPLYDLTKKDVDFIWTEQHQDAFGKLKNALVNAPVLVGPVLSKPYEMETDASSIAVAAVLLQRDNDGKPRVISYASRKLNQAERRYPPIESEALAIVFGLSYFKQYVLGSHTTIITDHKPLTSLLRRKNLDGRLLKYQLSIQEFDIEIVYRPGRLNPVADALSRYFPDETNEPRPIKPVLAVQLESTSTTITSDEVKEAQRESEWIMAAMAAITDKHTSRKGQVWRRRYHIHEGMLCQQLQNGSSPIVIPRDHPIKTRIIQRFHESNLHASHLGAEKTHAAIKEHFVWTKMKMDINDQIRYCIKCQQRKTDAHQTTEPIGPARQFDHPTQHWHLDHIGPLPITINGNRFILCFRDPFSRYLVTTAVKSQDAQTTSEEFLRLIIAIHGTPESITTDGGPAFKAHLFETTMESLGIKQRISTAYHHQSNGIVERANRTLEECLSAYVNKTQSDWDDFLPLVTFAINAAPSSATQISPFEAIFGRKPSIPEDNMLSSRRLFGDDYETQLKIRLKSLWNIIKERDEERIKKLHESTRRTKEKAVTVGDSILVKRQPPRNKLAPKFLGPWSVSEVSQKNVKFLVNDKEREAHKDDIKVLPRTADKGKMGDVNDHQECSLSPAVIRSSENLNPQESSSSPDVVRRSKDLSHQECGSIPDAVGSSENFYHQECGSSPAVVRRSERLKEKSILKF >CRE28809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:503788:510727:-1 gene:WBGene00069529 transcript:CRE28809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28809 MEDKIFEQTPILSRREGPTGSPQPTHKVRDFFARFLSFFDNSEEDPQDQTSGKPTENEQEEVLTPNPSDLKEKNPTPNSTPPGKPGKEEKSFTRKPEKPDMEKKELAGDLQWEEDSPAETEPLKALRAVAGHVPKFSKGNTAALRRWLVDYRLALHNLNIKQEAGARILPFFLDGLAKQRYHQLPAAQKATWTDVVENLIRAFEVPGDRELAQQEITTLKQGELTITEYARRLRTLGEYAYEGMPENVRESLLLNHFMHHAAPHLRKKLLQMENVPKTLEEMIRKAERFQRLHNLDEEKEDEQLVAAMSQLMRPAPDQDREMTGRPSYRQPSAPPLESGTQPSWRYGGRDPPNYRNGGRPNFQRNDRQNFRPNFRQNDRPNYRQDNRPPMRGRGPPLQYQPNRFPMEPPRGRFPMESPLNRFRPEQPPGRNYRNEERSQDERNFHRGRGFPNKLLAYLTISMMLVGLAQAGKPQICGFQQGGNMFVPPSVLPCEPPKTPIIATKADIFELRSDPMRQIAHSCYKQTFQVQTFSFLKFYVTAKMTNPGENVFQSVRVQECREAVRLKKFAGKDLIESPKGVFRSAHASEVAENHTAWFGSTHFNHEEIIIVVGEVASFDGATTISTLGDTSKCLYSSGYCKTEKTTIVWMESAPFQSCKYQRMTSADAFISDKHIAIPELRMFAAISQDMRFTDTEAKGCTVGNVYFTDDGKMISFPELPSDLWIPDYVRMKEGHHRRKRTLLLVPGPNNITMALNLGEKFAVPIIQRLFSVDALEKIERFETEPISDPRILNEIKTFGVTNELLMTRAERYESERKNSLGHQLIVLKCIRIMQYKFRTTERLNNLKRELTAAESELLKIMSSDLVNVFDPLLDLEFGVSEETDSTPHAGYKFPRFDEDKVLKMEYRTPYIEVEYVPPTIRTVTIAPTTTTTQQPTVAVPRTVAPTRAQVPNPPEPTNPPKTTTPKPQTPRTTPAITSPPKPTPPRLPPVTTPSTTTTTTNLPQVQTWEEYIPESNRNVVFEKPQERPFHSPAIDLFMNTCIQQREATILFQTVLNIDPTAAVRQLMKRTDIAARKAGQGILVTQCKTVEPEEIIWDRKINNTCFDLVPMMIDGKIWFLLEGTDDLVAESGSVECTTPQETGKIHKEDLVWRNEKGSETWVQTFNRPIRREANQFLFQTPTVVGKDLLGPGTSSAADEELSKMYRQKVNNIAFKLLEENVVKAKDFITNTVKVHKDRVANTLESIWNQAGKRVFETVKTVVFDVTKFLLIIIVPLAVIVILVIILYAYCKYKLARKAATVTARQMIEMATKQLRSVNYVDQTPTRKCYVATNIEDEYPIPGVYSVLHHHNKGHLPVIQVEMDGRTLHALIDTGAGVSYLPESMVPPEQIESGKQIANAANGSVIKFIGSTQQKIRIGDIVVDQLLLVSVNGDCPSEMVLGIDFVRNLNRQGYPINFDMVNKKLTIGKEVALICSVPIKHQIDSGKDVKSPASRIHGIPPEVRQEIEKQIKKMGKQGTICELLSSLGTPVILVRKAKEKSRRFRINGSELNNITKTIRSVHPNLQELLEVTAENPIHNTRDFSSSSYQIEERNKQYTNKANIDDVQFEDGNKILLRKGSKDKLSPHFLCPLEVIKTEDPNITIKGFGRVTRNGDKRETEVHKDSYNKVIESE >CRE28725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:530933:535308:1 gene:WBGene00069530 transcript:CRE28725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-26 MSETLGVVSSTIHPPRVVPQQKSLFLKMDANAEFGVYQNYSRLMASINASMCQEQHDGILYCPNHTSGPVWVRNVYPPIQELQPKVLIVVVVFVLCFIVGVCGNSSIITIIRGVVEDRRKRMKRHGDNAILYIGALCVCDFVMSLSLPPAILDSVIGFWIFGTTMCKVHHVFGSVGRIASTFLITAMSFDRYVAVCYPHQHRLRSRTFVISTIACLSAIAFVLLLPMLTYASAKEMVLHELKAHESANITRVRVFKCSDMMPGPIFYWFTSTTFILGYVVPLILIVYFNLKLINKLYAHKRVLPRSAIPVRRVVVYTVLIAAVYFVCWTPYWFSVLYAIIMSLLGKPTTNSEWVLFAIYCVHLLPYFGSSSNWILYGLLNTQLQMKNDIGDDGQSIMTTNGVPDMPRRSTSRGICDGATSSRSMPTTSTPQWQVDPMLTRGNSDTSMVFYQDTEQTHL >CRE28810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:535635:536381:-1 gene:WBGene00069531 transcript:CRE28810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28810 description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:E3MK76] MSNCAVAVLRGDDVCGTIWIKQSSEDKPAEITGEIKGLTPGRHGFHIHQYGDSTNGCTSAGPHFNPTQKTHGGPCCDNRHYGDLGNVEAGGDGVAKVNITDKLVTLYGKNSVIGRSMVVHADEDDLGKGVGEKEEESKKTGNAGARKACGVIALAAPQ >CRE28726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:537332:538386:1 gene:WBGene00069532 transcript:CRE28726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28726 MFYLWVFIFFSFALAEFHSKMILTWGEPANYNSYVNVNTDNFWTECLKQCLNDVDCVKTIASEFCPVGDDPPLFGEDNVIEPYYSINVTTSQDGISFWQFNIKCGDDSWLAIRGNQSVCISLRPFPSPYCKNYKAAQSICSENNGIGLTNSYSDIEELDIRSKSFFISQKSHGGILVRWNNILQEIAINDPETPAGQTLLDLWADGICSSGGKNCNVTDDTLQSGFNVIKDYAKKSRCVFISSTEPGKYSAE >CRE28728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:540072:540359:1 gene:WBGene00069533 transcript:CRE28728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28728 MQFNFFHLLILVVLAVVFITPVHSAPFSEQELEALVGLQEKVTDYLGSSNLSPAQKKEIEDIMAKKEAAIKSSFGK >CRE28729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:541702:544493:1 gene:WBGene00069534 transcript:CRE28729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmp-4 description:CRE-PMP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MK80] MSVQSKLLETAIDPEKRRRALLIVAGGVAAVVAAQWIALRKSQKSHYKLEKEDSVASDGTPKKNKKRAFDPHFLRQLKELLKIMVPGIFSKEAGIIGMHSIILMCRTFLTIYVAQLEGSMVQSIVEKDVLQFVLHLIKWILVALPATFVNSMIRFFESYLGLAFRTRLTKHAYKQYFSVRVDFKCIYIRNHFQDQTYYAVSNLDTRLQNADQCLTEDITMFSQSVAHLYSHLTKPVLDIALITFTLLRLAVQRGTGRSTFLPSCMAILAVSMTAKILKAVSPRFGHMVAEEARRKGHLRYLHSRIITNSEEIAFYGGHQVSELVSLLQFILMIQAEYKQLDGAYNSLYQQMMMIFKKRIPYIMIEQFLMKYVWSGTGMVMIALPILAAEYADDEKATKLEDLPDHGVSERTRGYATAKTLLFNSADAVERLMTSYKEVTELAGYTGRVHEMFKVFDDAKKGIYQRQLVSGGQVEGQRGERFDTSRIEGIVTDSETDEIVLKSVPIVTPNGDVVVKNMTLTITPGMHVLITGPNGCGKSSLFRILGGLWPVYRGHLEKPSSDRMYYIPQRPYMTLGTLRDQVIYPDTTVQMRRRGITDQDLMIMLRIVHLEHIVEREGGWDAQNDWMDVLSGGEKQRMGMARVFYHRPKYALLDECTSAVSIDVEGSIYQAIKDNGITLLTVTHRPSLWKFHTHLLQYDGEGGYKVSSLNEKTIVERLSYSEEKQQLERQLAEVPRWKERLQEVCQLLGDEDHLNMTLDTDDSE >CRE28730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:545470:548212:1 gene:WBGene00069535 transcript:CRE28730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28730 MSTPIPPNSPPSTPTSKSNRTRSFSGAATVANSACICGNVPIALSANTLADSLKVSPLYKQQDSARWNAAAQVYRTSRAVSPTLEEKEKFGFDAATSNAYINQMISPKISIPKTPISLEEIADMNRKRHEVEGGVIPSDDDVGPLELEAIAAVHELAHEVEDISVSEMLPRTSDLIFVNVKTQEGSPYTLELTMKGWRIASSHTDCMNGDYTKISLHTKYYRNARELLKFISPDHATKFNECVAEKLNKLAEVLFLREST >CRE28813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:553832:555099:-1 gene:WBGene00069536 transcript:CRE28813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28813 MTRDLLLKETLCFSSFLNRMPAKKSKGTVAKRKPSHGGKPKVSKANKTKTKATKKKTQMKKRKDKRAQKQRKERKKADKAKRTAKKKAKTKKTKKSKDKKKKKAPKKPVPAKEKADQEPPSEDGDDEEGEDALHMRLAGMTVNQMQNLRTTVVELSKDEFLEQLRDHGEHQREQRAFEAAYMTTAENRTKLFYDSERPTDASAGPFQSNRFGAVFLIPGQQ >CRE28733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:555571:557060:1 gene:WBGene00069537 transcript:CRE28733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28733 MESEKYTVHKFFLLEYILQVVMDKIKFVKSANIKNLHNNTETSILAAYVYPDQISISLFSQHLARQQMYCRYFDCEQKEIPGTAWLGRVFPESVVECPRRYGAEFVSVSKTLEDEAPTPVRLTFRVYDEPVHELSVCMSPMYGNQSTWLQIVDFIEHHKLEGASFFYFYVGQISKYDERVLNDYVRTGEVEVTRLQDKYERVFMAWQFLQIQDCHLRSKYHSKWTAFIDIDERIAVNGQKMVEVLRSIQDPTVGDVQLQSLSVVRDQDYPEKYLNLEELEKELIFKKFNDSIDPVWQGLKTIIRPNKIGIMGIHAAVAQYPGIRTLQLNSTQAVVRHLRSTKNRVFGSDWHITPNENGTLPVLKKRPLPDEFCKELREAIVKRVLHVYEIIPVNCSTIPTELTETIRHPDPCKQPWAQF >CRE28734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:557520:559930:1 gene:WBGene00069538 transcript:CRE28734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cogc-2 description:CRE-COGC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MK88] MGAPHGQATMFTSSNTFSIDESKLCFNKTHFNREDFNVERFMNLARQKADLKTIQQDLRLYLKSVQNSMIELINDDYADFVHLSSNLVSLQESLNKIEQEINRNWNEFEESTKESVGMAGRIEQKCNELGSNREKQSELRDRISFLCSIEKLAEMLQRPPQKCSVLWLQKAANFVVELKATSSSCHHSEEEKNAEITVISKLEAVLCTEGVRSASTDCQNLPLILSILSLIGGAHSLTALLVSDLLYTRFVVEIDGKEVDRLNRLEQVYENVINMRQTWAEKLGAQHFREKIRSFLDDTLLTFILTFIDKCMATVAVPSDTRLFHKCFSATQHFIDTWPSASSCRTMLKSIRDKFNLTVYFKLETQRFGKKIEQLLIPEKFESNEHENNDEELYFETSRTIFAAIEHVWSDEVYLAPIVDKLWDFTLRMLLKHYSWSEAMRSYFIEQKKDWTLMLLLHSDAGKLHQSVFDFALETIWGKLHDLSVETAPFGQCLTKHGRAVDSLCHKIDEDIVRLFADILHLELSQVSDVPKQYRWTKRAPPTSHSKYATNAVEMIYDFQSRIEKQEHPDVEKVIKSVSHSAFHYFIGKAKEVQDGVEATGSSLSRFKKKATPDVGSAVTDDDKIKQQIYHDAKFLLESAEKLNVSSEDIEGLGGVVNRFEIKSETIQEDLSGNNIVKVEDDL >CRE28735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:560200:563880:1 gene:WBGene00069539 transcript:CRE28735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ufl-1 MTSWADIQKLASDLQRVQLSHSSKKLSEVNCIEVLQKLIASQQIDVVYTRDGHSYVTKKHLETEIKNECIAAGGRAPLTDIAVALNIDFDHIERTARLIVTNDEEFTLSNAELFATEYVHRLRNELRSLLDEQGNQTTAALCKHWDLSPELLQSLLIEKLSSTDFQGVVDGDTIYTASYLDARQLVLRAVLVAVTKVTPISTIQKRVGLTAKRFWIAFENLQAIGEVPGTIIGSKTSPACSYRPKMYDYLVKACVVNQYRQNEFLQISTLKTLGVDGKQALEETLGSSEAKKLINLNSMYMTKELMDQCVQTVHDEIQKTGIAEIRVSLQTLNLPLDTVDEDFIGEKVANSEKETNFSEGFVFKASILTEALKSIDKQIETKAHQEVDKLEAEKKKQGGGAKAPPKVQDDADDWGDNKKGGKGGKKGGKGGKSGGGKSSSATTSSAPSGSGTVQVSEEELEAWIRDSQAVPEEIISVVVEKLGHEATAALRKKVQEIQALQLVASAANSKKSLSAIGDKCRQLYDTLNTFEAATTSFADPLGSDLRQYLLKTVGSDLANGLLSYATGVDNAHQMKEKQRDETVEGLPEMVREPIRALFASLKSTDDDALEKFHDAVYDCSVPSATSLSLRKIDKKGRSEVGIKISADLREQLSSQSEPATALLLSVLYLLAKNGRPITASGKFVAQLMAQIKDICPENVYDLLQSCQKGVVTCIKNKDDEVAREMLEEDISKLKAAVLQ >CRE28736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:564178:564730:1 gene:WBGene00069540 transcript:CRE28736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28736 MSADLALNQLQPNMSSFNTTVIVLDPGGHRRTHNGTITTMKVADSTGSINVSLMNPEFTETFRAGDILKFRGAHTTIFQGGLTLSVGKNGECKKVSEFMMVFSETPDISQMPLPAGVTDRRSDERAPRQQQQPLGGNRYSRP >CRE28737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:565987:570727:1 gene:WBGene00069541 transcript:CRE28737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-itsn-1 description:CRE-ITSN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MK91] MTNPWEVNDAEYQKNVAMFGQLTAGQPYMDAVTARNALMRSNLPTQVLSQIWALSDLDKDGRLDIREYSIAMRLAFNCLAGMPLPPQLPPSLLIVPARSTPVHHPMQQWPGSRHGSVDYSQTLPAGMDRRMSQSQAYPSGPPPVAAYTPSAPVSIAGTPSRHNSISAGSPLSTDRSVFDGRQLENWAIPHHNKLKYSQLFNALDKDRMGSLSSQVGRSALGLSGLPTNVLAHIWFLSDVNKDGKLSVDEYSISQYMIEMFKSGYSLPKVTPPELVRMCGISSRSANNTPELEPGAEPPQKTPAPKTFEDKRQDNLSKGQAELERRRKILEEEEQRRRAEVEKKEREEEAKRERERMEKERQAEAERQAEIERMRMLEVQREEEERKRRAEFEKRKEEEEKVRKVQMEKAKVKQMQVVKMELQVEMVRKEIVFLSDFQNQKQQENERLAQRQQREKTLQFQLQALDEKATDVEMDIGKAKEAVADVTGSIENMRSTRDEKVAKIKELQEINQKTAIESQELSHQLLQKQSAHKETTQRKNELEALRRRRDAMRKAIEDAALELSAEKEKTYNQTETLKSKKEKYQGDVYSKLIAKREEYRKMFELYVHAQTHAKSKIGELEASRVQIAPASQAPPPPVQTNGGGASTNFNDAFAEFDRTDASQKFDADFGGSSNVDPFGSVQAPDAGHSKGAVDQSSFNIHDTHKCRALFAFEARSEDELSFEPGDVIIVFQSHAAEPGWRAGQLREKVGWFPEAFVESIAAVPTPGDGPPIQNMPPNMTPSSSIDQIGARAARKAEIAAAMGGRGSVDVPAVAVPITIIAQCVAQFQWRARNEDDLSFAKGDIIEVIEKQEMKWKGRNPAGEIGWFPKSYVKETGSTPVTSPSKPVASPPTNGGPSAQYDVVPADMTIPGGQGDGEIYTVIYDFEAVESTDLALNVGDTIVVLEKNDEWWKGRCNGKEGIFPANYVERSTAAAVPTSPSQPIAAAPAPPPTVLCEAKVIVDFTASAPNQLGIKVGEIIKIREKSAAGWWEGELIRDGKPIAGWFPGDYVKVIEATTPTSPSKAVRACALYDYDASQADELTFKTGEVIIITDQSEAEWWSGHRAQEPSKSGLFPSNYVELK >CRE28738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:571600:572917:1 gene:WBGene00069542 transcript:CRE28738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uaf-2 description:CRE-UAF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MK92] MSYGDGLSGAEYLASIYGTEKDKVNCSFFFKTGACRHGDKCSRAHHTPTFSPTVVLKNFYHNPVVDVRQADAFDKVGKRNDEEQRYFDDFYEEVFVEMERKYGEVEEINVCENIGEHMVGNVYVKFMKEEDAEKAKNDLNNRWFNGQPIYAELCPVTDFRESRCRQHEVTTCSKGGFCNFMHLKAISAELGDRLYGRRGRRADAAGHYPSQRGGSSSGGGGGGGYGSGGGGGGWGGGGGGRDRDRGGWGGGGGGGGSRGYGGGGGGYGYGGGGGGRRRSRSRDRRRY >CRE28739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:573196:574257:1 gene:WBGene00069543 transcript:CRE28739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-13 description:CRE-CYN-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MK93] MNTNFPHNRKRTLYVGGFTEEVTEKVLMAAFIPFGDVVAISIPMDYESGKHRGFGFVEFDMAEDAAMAIDNMNESELFGKTIRVNFARPPKATERSQKPVWADDEWLKKYGRGGEAAAEDDAEDDASGTKEATSSASKLPRVYLGVKIGIRYIGRIVIELRTDVTPKTAENFRCLCTGERGFGYEGSTFHRIIPKFMLQGGDFTKGDGTGGKSIYGTKFEDENFTLRHTMPGTVSMANCGANTNGSQFFICTEKTDWLDGKHVVFGHVVEGMNIVRQVEQQGTPSGKPQMVVKIVESGEIEPEKRIAAQKAAEKKAVEKPVVSQEAENQEPEQAMET >CRE28740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:574443:577651:1 gene:WBGene00069544 transcript:CRE28740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sfa-1 description:CRE-SFA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MK94] MSKTGGNTEPMPFVRKWGNESDSGSADVSMGSMSHAAPPALVVPSLSAGGDTRKFLFQLFPNTIMTASGSPSRTESVSERKRDKSRSRSRDRDRKKDRKKKDRSRSRDRDRDRRSRSRSPRDRDRDRDRDSRRRRSSRSPRRRSRDRRSERRRDRSPPLMVPNSPQRVKEPVINPLIREDLAAAVETGTVAVEAVQVGSIVPINPAKKERKSRWSTTKSFVPGMPTILPADLTEDQRNAYLLQLEIEDATRKLRLADFGVPEGRERSPSPEPVYDANGKRLNTREVRKRQELEQLRHEKIQALLKINPAFKPPADYRYCFKKKLYIRNNKYFSAPNIRLHDKVWIPQEQFPDLNFVGLLIGPRGNTLKSLEAETGAKIIIRGKGSIKEGKLTNRLGPMPGENEPLHAYVTGTDMNVIKKACEKIKSVIAEATALPDNNELRKLQLRELALLNGTFRPEDLANGARCSNCGSDEHKSWECPDAPNVTNQIKCINCGAFGHISKDCKNPKGMYASEAGMDDEYSALMAELGETPTGGSSSGGHAASAGGGIPSLTGGDLGSRGGGMGRGRGGHRGGFQNPRELFGPPKGEEEQNPANAAMQGYYDHYQQYAYANQYQQPYGAAAAASAYGQQQDYSDFYTSPGARGGTGAKANKGWYGSGSSMPMPVPPPGSLGGFMPPPPPPPPMPGDLSSLLAAAPPPPPS >CRE28741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:577978:579623:1 gene:WBGene00069545 transcript:CRE28741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28741 MSRPGAWNRVASNLWKYLKGDMSKKNYVAEDASGNKFYEIANSRQNVSRGFDPPASGAHIEPDLEWQAWLRGTRRNVDVMYWNLYFDRFPPSDTEIAINRMKQQAQLAQDSNTEKRAPHVQSEGKGAGDHQPQKFPKYKDLEVSPGVQEKK >CRE28742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:579812:581342:1 gene:WBGene00069546 transcript:CRE28742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bca-2 description:CRE-BCA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MK96] MPGLQKILNGVIRFRQTVRKDLVKQFEQIRDNPHPTAVFFTCMDSRMLPARITSSQVGDMFVVRNSGNMIPHANNYGPSGYEVSVTTEPAALELAVKRGKINHVIVCGHSDCKAINTLYNLHKCPKSFDAESPMDHWLRRHGFNSIKKLEKRLADKTAGPIEFVSDNPLFSFQAIIDPEDKLNVEDKLSQINTLQQLENVASHGFLKEFLESQTVDLHAMWFDIYTGEMHMFSKPNNRFVLVDESNVEELIDEVEKHQT >CRE28743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:584280:586540:1 gene:WBGene00069547 transcript:CRE28743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28743 MASKIIRRFAQNQAASASALSKPKKFYKEVSVANEIDEKTGNSIHKVLLDHRVLKTQGGQVLKLNSYPLALAIAEEWSSQAEFLQLGQMRLTGLAFTAQDNPLEQTADTISQKILDYVDGDTVLFFNTESSKLHRYQEEKWAPLIKNLNSDLGTQVRCSESILDCDVASQTDKDKIDRWIRQHNFPALVGLQYATESVKSFIIAYNAIRHHIDAETAVDAATLEQRTQAETWGNVEWAHGLEREELMTRLSAACLFVYFNSNNFTSKTI >CRE28744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:586980:587863:1 gene:WBGene00069549 transcript:CRE28744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28744 MSSQERREAAKSDRKRKIDKSTGSDDSHDEMPSKVILQSKKAKNEKTMNTNDDDDEIIVVAEVQGKGIVEEPDLIMSDEELCDSDQQTTQKSSEQLREVVKEEEPEETAESAPVTAPVTAPVPSSGLGPEQESEEVDMKPDISSSTDNQFPTVPQVFPQGPLDVTAMMANMFDAMQKRTSDVINAINNKPSTSAPPPKKKKVMTSTTGFLRFMNIYIGSLNCEDLNDLRKNIDKKIETIAIGAEEESVPIDMLRLAIQAAVAILTT >CRE28745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:588145:591702:1 gene:WBGene00069550 transcript:CRE28745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28745 MSEPSNKIDIQEDDAFQKFLMKRALDADAPLCKGQMCEEYKKTTGSKLSWRNLKEHLEAILAQNEKNTGGDDMEEFAKLLFITSTPINDIFLKRLREQNTVAIDNKRRIIKFEGNKLNFVGIHSRRARSDRYRRYRKKRATSRRDSSADSSYSDSSSLSTSSSNSKEDLVVAQRGATAPVKATAGFTRAESIAEFFASKSNEKRATPSSSSSESVPAVVTIESDTESGFSECRIPSKDDEEEDESESDSDDSIDSENAKLLQFLAEKSKNADAPFLLYSFFDEFVEKFQSVNSSGYIQSKFRRELAPYIHEMEEYDVETRVRMLFVSSTPVDWSFLKELRKEAFVELDNHDRIIKYTSKKRGGLTLVGGHSNAAKRRSSEMKAQEESEDSESEDDEIFQKEVVTKKRRRVEKKQKRRRIVFSSDEDEEEREEMNTDNRSGASSEIATAQGDSITPELEFDSNLGMGVPDASEAPEVPEVPVSAAPSSSLPDGAPKTSLSAIQCDQTPPNTMEMANILSSLVSNHNNNFSDLATSVSDSMAVAHSYSLVPSSLSHFDMNQFSSPFLSTPIETKRLKDVLKLLKILVISLESPLLNDVNEQIKKMIEKMGDEDEEILTKDIQLILEVSLFGVTRKFRPPSYSLFSLPTKNAREFLKIFKCMIYGLESTSLYDILCKVQQTADNIEEQIITISDINQVIQNILFTLSR >CRE28814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:593254:596919:-1 gene:WBGene00069551 transcript:CRE28814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28814 MSLPSTQFNPFGRHERTVASLKNEMNEVLEKIEENNVEWPAVAKQYTNIAEKLIEIVKDIPDYAIQSLLLEIAKPFSKFLSVLGAKSSEELRTYDFSKLIRKLSQFIQNIADNSVEPFNSDVLEQWSPMFEVFFSAKHNSFKENGALLWKKTFGRIKTPLRWPGNLRKTLEKLPKNLGIVLPPEARVSSNCSLLFLDEEAMDGLSASSGDSERNFKQPESKGEALESQNSFQFSQQPEANAMADKILKDHKMKAETAKSPKFTSKLNTPSSRRRTGKISLLDEDSCDFIPITSTPPSNRKVRLTDHQKETLSINRTDFIDEESQNPENLKQALRVNNYALDEDSISAPPVTKTMKSDPMDIDESSSHSISKSSARHLFDDTPFKSLPLASSSNPSFSSPDHTELERIPDLSKVSTESPPSKRAKTDIPSKDTDGDVVLETLPQIVRIDSTSRRGRPRKNENSEINSSGNKRLGRPRKDTQQQCLPVEKEVTKNSDASVISGKPAEEAMKSAIDPEDSFADAVPMDDLETTTVTKSPPKTPSILRASKRMASDSPMTERKRNRVHFNEDSLPQESTSSPITPRRRAGSSGKSPLRPQLTTTISIVESNVDSKSSTNSLLEMESTTAEPIVTTPFFPALVDCKDRIDRIIPNLVFMCRKTYMDSAKKSLQSIGVKTVGQFAALSKADIEKLTWIKGKTTGAKNVLTQHEKMWNSVVAEDVGEDVGDVVESVEPTDSAESATVENTSSEELKIDTNPPTVPESEITQSAERIQETTEMTPQEEVNPTPFESEKGDPTPVVSEAPESGSTPSEASQTPQEEVNPTPVESDELADDSTPLRSGSTPSEDPKTPQKTPEASQSPASPISSNTASIVSTISSTDTVEPRERSAVVSRKNLAPMFLGKAIKKETQSPQKTEVQKQIESAETSFQEDGRLLHRICVNISNAHSQNKWPVENSTKLLSNLNKAAIVFKNIVQIRGSGDWDDVEYENDHVDTFSVEDDIESLVKVYKRFSRHHTSSMASCLPWKSVMDCLNESACLLESIYLARTPSS >CRE28815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:597287:597909:-1 gene:WBGene00069552 transcript:CRE28815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28815 MWDPKDNIEAGGPVEEDVLYPPNYTPFFSLQTVVSVIIPVFCFFLMFGIVIFMMYVYRRLCTRSPVFEHILCEEEEFPMPRPITPGPESFRRNSAQAQYRLLIKSKFEREFGKSRAECGLLMPVRLITSVSEEDDHF >CRE28747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:603076:605492:1 gene:WBGene00069553 transcript:CRE28747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28747 MALPTGTQPTLSREDESQIWKFIHREVCTNGSYKEVKPSLLMKYIEESGTDFDAEHLFKIYNTIMVHDLYNAKLSSLEVLELYKSLKITITQNVLDEMERRFDAWLILNAENRLYTWHYNVPDVMFGNRSNLIKPEVMENAEKPVNEEEEYGLEDMTPNQSNNESATEQPADPLEIEIWKYIGENFDEITSEKLASLQFWEKMLAEKEDMKQFNKSAFQLLRLFQGTLLETGFWRQKFNVKRKLKMIKEMRLHLDFHKYSWFWINEQVQLERSEEGYLISWKFMNDELNDENEQLIENEQLIENEQEIENEPEIERPKKEEKEEKESINITPKILRRKSAPVKSRESISVNYTRNNSSFSVTRNVKTEVEKTRNPFTKKEDWNAWKYVLKRILEVEADDKTLKKDEVKPKGLTFWLDYISYSNSDRTADNWCSHFRKIMCRELHYTKFSRANILKLYAHLNLKVDKELEKFLEKKFKAIIILDSNTFVESWIFRDKYPGPNDHEEKDIESEDDEEVENESDVDKSDDEDDVGQDASTSDKRSARNDSRKSTNQRRSRIEIDSDMDSDADSVMEPLRSQKRRRYEKESSDEDESDIENRSTRNSKKESRPAVETSSSSDEDAPAKRTLRPKKKSGGKNKNPISSSGRGRPRKDGPASRTRGKTQN >CRE28817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:605472:606421:-1 gene:WBGene00069554 transcript:CRE28817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28817 MVLTKIWLIPIFYGLCAISATATKYFFVSLLKSYPHILPFLASRDASLFGECVFAHLVSYSAFLLMLIAYIRHRQTVEYYAHRLGWENSAWRVMSTVIAGIGFFAALCITVIAHCEDPELSIVHDWADTIAFSSGLLYAWSQAVLTWALVPRMCTLRVCIFRFTIVLIETVAFVFYRFVKDDKNLSPAWLLEASLWIIAICFHVFIITFFIELRFSYLHSPKVVFVRAAAENSDRAPILRDEEDHLNNNLH >CRE28818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:606707:607701:-1 gene:WBGene00069555 transcript:CRE28818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elo-2 description:Elongation of very long chain fatty acids protein [Source:UniProtKB/TrEMBL;Acc:E3MKA6] MSTATETSPAASLVDVLTKPWSLEQTDSYMSTFVPLSYKIMIGYLITIYLGQKVMAHRKPFDLQNTLALWNFGFSLFSGIAAYKLIPELLGVFWKDGFVASYCQNESYYTDASTGFWGWAFVMSKAPELGDTMFLVLRKKPVIFMHWYHHALTFVYAVVTYSEHQAWARWSLALNLTVHTIMYFYFAVRALNIQTPRPVAKFITTIQIVQFVISCYIFGHLVFIKSADSVPGCAVSWNVLSIGGLMYISYLFLFAKFFYKAYIQKRSPTKKQE >CRE28819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:610293:610887:-1 gene:WBGene00069556 transcript:CRE28819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28819 MHSFCTKNALIGVLFIYQVTACDITATLTSQTFHEIFAQFTFHNNTKSPIYHFDEDGKTENVHITGMFCNMKPTKLDVYSISPTATTKPNGTSQAFIEGFGYVNYVLLSDGVFMGMKAGIACAAGDCGASRG >CRE28820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:611193:612443:-1 gene:WBGene00069557 transcript:CRE28820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28820 MRIIIVAALIAVAFAHPTKRQAQNSYGDEAAPAPAAAAPEQSFAAEPAQPAETAPEPVAQEEAAPEAASQDAGYRSKRQAQNSYGDEAAAAPAPAAEQPAAEQPVEQAPEPVAQEEAAPEAASQDAGYRVRRDAQNSYGDEAAAAPAPAAEQPAAEQPVEQAPEPVAQEEAAPEAASQDAGYRVRRDAQNSYGDEAAAAPAPAAEEPAAAAPEQPVEQAAEPVAQEEAAPEAASQDAGYRSKRQAQNSYGDEAAAAPAPAAEQPAAEEPAPVEQAAEPVAQEEAAPEAASQDAGYRVRRDAQNSYGDEAAAAPAPAAEEPAQEQPVEQAPEPVAQEEAAPEAASQDAGYRA >CRE28821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:615224:615750:-1 gene:WBGene00069558 transcript:CRE28821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28821 MHLLHIVLLFVLLTFLESCNYKAQVRSETDRDIWAQFTFYNKSESEIFKFSKYGETKNFTFTGTMCNLAPTILKSWETFPKKGVNPVGQTSAHLEGMGTIYYKIFPKQGPAAIRAEGMLCSWGQCRLGK >CRE28748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:616632:618967:1 gene:WBGene00069559 transcript:CRE28748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gba-3 description:Glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:E3MKB1] MKRLKEVIWILLISICTGTSTPCNPKVYDGAFKNLVCVCNATLCDEIEPIGEIGEGKAVVYRSTLDGDRLKRMSMKMKEKLGKEETVNVTISIDASERFQQIFGFGGAFTDSAGDQLAAVSEKLQDQILNSYFGENGLEYNVGRVPMASCDFSTHEYSYDDVKDDFELKHFALADEDLKLKIPFIQKAMEKTKGKLQLFASPWSAPGWMKVTGRMRGGGAMRNDEKVYKAYANYFVKFFEAYSSHSIPFWGLTIQNEPSTGADMTWRWQTMNYTAETMRDFLKDFLGPQLKGNKLTEPLKVMVLDDGRGLLPGWADTIFNDTEANKYADGIAVHWYGNLYSPAVLLDITQRHHPDKFIFGTEACAGYAIHHGPIMGDWFTAENYASDIISDLNHHFIGWTDWNLCLDEKGGPNWANNFVDSPIIVNRKAQEFYKQPMFYAMGHFRQHSTVWNCPFHQSEFQSACAGYFGHHGPIMGDWFRAESYADDILTDLNHHVTGWTDWNLCLDETGGPNWAYNVVDAPIIVNRTAQEFYKQPMFYALGHFSKFLPRGSTRVFTKVEGNLAVSATSVVIEGGQRATVILSKSSSSLMTRVVDSATGYSLVLNLPPRSIHTVIWNKRK >CRE28749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:619282:620640:1 gene:WBGene00069561 transcript:CRE28749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28749 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MKB2] MSADANNNKPGSGEDQKSKETPKFDLAKENPRCAEWMDDCIKRLNGLYKDTSVNICGVMSGHEIIAIIRLVENIFMEESNLCEAEAPIKVIGDIHAQYQDLNRLFDLIGRVPEEKLMFLGDYVDRGPQGIEVVVLLFCLKIRYRDRIFLLRGNHETPSVNKIYGFYVECQYKYGVGLWWDFQSCFNRIPMAGLISKRVLCMHGGLSPELITLDTIRNIPRPCEPLDRGLLIDLLWSDPTNKGDGWFHSIRGISYMFGKGVVEQACKSLDIDLIIRAHQVVQDGYEMMTGRRLITVFSVPNYCAQFTNSAAVVCLNANLQISFQQMTAPPLPDSCKAKAAPAIAVDTNLDAARADKETIKPYVKEGSKEGGKA >CRE28824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:621814:622809:-1 gene:WBGene00069562 transcript:CRE28824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28824 MVNHSPVLFFLFSLFFYSHFNGAFDDAEHSPIGIQDRAKLLTGTDQCVLKYLDELKYEKKFSIIPHLIKRCALLEYFALEEFGNRLNGSSIFTFFPLFQHSHLRKCNSFLFFGTSSYEMPAELEIVKNVDFEMLGCKYLIMDKRKNLHRLNNYVQVPELTEMQLNDVLLQYKDDKDYVSINSELLQFFETFQVIEHLYISEMKDIEQFIDKLLRFRKIYSLTVCQITIRLPKTVTEETLWKFTEDILRDENYILLNVSRMDNYTEFYFFANNEYQCMMRYV >CRE28825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:623134:623844:-1 gene:WBGene00069563 transcript:CRE28825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snr-1 description:CRE-SNR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MKB5] MTSVGVPIKILHEAEGHVVTLETVTGEVYRGKLIEAEDNMNCQLSETIVTFRDGRSHQLENVFIRGNKVRFKALLYLELPYIFQIRFMILPDMLKNAPMFKNIGRAQKGAIGMGLGGIDPRGRGRGTAFRRPMGRGGPRGMSRPGGAPPFRG >CRE28750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:624242:628016:1 gene:WBGene00069564 transcript:CRE28750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snx-13 MSNRLYILLAGLLFLTTFGLTGVIVLPISVICFLAGFLYIVYQTGLEKSAKLETKLADGLKKFKFPTGIEQLLKNGKRQKEQNQYVQVHSMSNSPQIDLVLEQILDNITRDYIGFWYKNLSNDSLFEHSLKRTSRRSIASLTQCLRQVEWVPLITRDVVDDFASHLRLFRKAKERTAFQIKEDDKKRTPEDLEAELLSNFFDFELEMEKNLCRDLLSTTPHYENAYLHDLVDIILYLVMPPEDFRCRPLRFLLREVVVRKVILPTLDYLSNPNEIFQLIVWLLSEVEPKPDDFLACLSSSTCIEELEAVYRSIIDEKTVMRGKDSGGEQDTFVKQQLASLQFVEQLVQRRIDFLGSKESAFFSKFGDDGDQLVQLPLHVILTNSTALSQFCEFLKSAGGQNYIDFYLAIEGFKVSVEHQMRSLSKGELTESDAYETVKEAAKYMYDQYLSEEAITRVPLDDVLISKFLQRLKNDEPHDLWFEAIQEKVFDVLKTDDHFFPAFKKSSSYVKMLLELEIIDEDRNDPTMSECDSVTSFSSQERSEDESRCESALRKLSLEMDQSAPLEGEPVMTATVETLGIGHQGKQTYALYNVRVSRCVDGIEVSSWNVIRRYSDFHTLHQVLTQKFPKLATLSFPGKKTFNNLDTQFLEKRTKALNLYLSCILQPSLLRNYPDMDRHVFDFLSQKKYANSDPLTKKLMSAMFDPIRNGVKAMGSTVMAVPDQVFEGVTKMGAGINNAAKIIINPISNSNTSNRPPVMETDRVAASLTDTEAENIPLRVLVLVVSEVFGAQGSAWLRRQLVTVIRHIVTPFGTSINKRIVDIVNWLTSEQQVGGYLHSFRKSMWPNGELAADYVEKPPEFHHRTRLLAKTLMLSSLPDELRIILGANVSYKGIDTISEAFQNKNLNRRLLYVLLERLLIKVFPSNRFEKTFAQLHSKSPRTKKM >CRE28751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:628723:630313:1 gene:WBGene00069565 transcript:CRE28751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28751 MSIGMSYMRGLQNNDLVIGELESLRAIYATDTVKCPKPFGVVEYNGSHALVTSYIDFQHGKDWAEAGKQLARMHAKNHENLKHRERRSRLLSFNSEVSDGGSECPDSEESGTEKYGFHVATCCGRLPQENEWSDSWTQFFICHRLKPQIDLLIEKHNDRDLSELSEMLYRKTEELLKSRENTVPSLVHGDLWGGNWSMVCTDSGDTQPIVFDPSSSYSDPEFEFGIMKMFGGWTKEFEQEYDKIMGKCKGRDERVALYELYHNLNHWNHFGGSYRTSSLNLIRTII >CRE28752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:631392:633612:1 gene:WBGene00069566 transcript:CRE28752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-6 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MKB8] MFGPSIVFICYLVTTVVRSQPSADIFRSFAGYIPEEHRVVHHEWQNSGKFQGDIDGVDPNLLKLPEGPVLFNALKNKQLTWENGLIPYEMDTAFSPNEVKILEKAFDSYRRNTCIRFEKREGQTDYLNIVKGYGCYSQVGRTGGKQEISLGRGCFFHEIIVHELMHSVGFWHEHSRADRDDHIRILWDNILPGMKSQFDKISAVLQDLQGENYDYKSIMHYDSTAFSRNGRNTIETVEDGFTQVIGTAQDLSPLDIVKINKLYSCKARKKDNKMRATTEEPRKLIPQVGEKKPVDSGEKCVDHFADCPHFAQYCTRASFFFVMKSYCPFTCKHCPGDRKLKKSG >CRE28753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:636526:638637:1 gene:WBGene00069567 transcript:CRE28753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28753 MESATNSSSEESIFHEQTRKLFRLCDTQNNGLIEKSDLDVLVDLIPPDDLHKISRFIGDQRVNEPAFCRILKAIVSQSLQQDMTRIETQVPVFDKTQYLQEASLEEEMQAIEQNKSYLDDPLTKILKKELEDIKKYEDSQIQNENSIDNTIIKKPLYRPIQEPSIPKVSSLAEELNAIGKKVKPREEIEEELTQPDRIFKVVFVGDSAVGKTCFLHRFCHNRFKPLFNATIGVDFTVKTMKIPPNRAIAMQLWDTAGQERFRSITKQYFRKADGVVLMFDVTSEQSFLNVRNWIDSVRAGVDEATVMCLVGNKVDLFGSDIARSGVYRAAEKLALEFKIPFYETSAYTGYGIENCMRQMAESLQRREDNHLEEALKLDMNSNYKKRSWCCI >CRE28754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:645374:651653:1 gene:WBGene00069568 transcript:CRE28754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28754 MGITTLPPSQLRPEIEWLFPKEASRILVTTSSNVVLARNSPAELPLNFLADHSLALIGALFLIVLSVALLAVLVQYHCQKSSNSNTVGVGAISPKSFSTSPSQWTTCSTVSSTYSTQSLLQLIGSDLRQSLQGLLLPSEAVVLETIVGKGYFGNVYRGRMRDPAGRLIPVAVKTLKGERARDIAHIEKFLREGVVMKHLDHPHVLSLLGISISPAGNPWVVLPYMEGGDLKTFIADPNRALCVLELLDFAHQVAQGMSYLAAQHFVHRDLAARNCMISAERIVKVADFGLAVDLLDKESYIDESETGPARLPLKWLAPESLRDRRVFSSATDVWSFGVLMWELLTRAASPYGEVSNAKVRHYLETGMRLPQPTHCPDIIYDLMQCCWRSTPEDRPDFVFLSHRLKALLQENSPEPFSRRVRPGAEQFLLPVLPGRLFTNYFAAQMHA >CRE21266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:539193:544237:-1 gene:WBGene00069569 transcript:CRE21266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21266 MNYICILFLLLALFFSTPINATPCTCSSKCVKSSSMALKGRIRQELRPEEGPEAKLAVMAVVYIEGLEYEENRWDVLKNESSLSIDWLPMSHDVIESSRRPPKLKTVHFVDVGQETTAIVIELLFDLLNMKLRSTYELRVGSIYDTKCDRMLDLTTHAALNVTFACEKIIGADCRDAIKIDHHPVCNLMRSYHTRVIELENHVDQVDVLISIEKNAHRLKKMRYFVAFYGKCEEHSKNDNGECVLDMQKAEISRFCVPNRVNCTKFVSLFFQTSEGTNSFLQNRKFSLTISNYDLAAKYGVQICGILDHRFEAPPIVAGTSKIAADALTVSTTIASLSVVAPADSLLRTLIGYAFVLAFLLIILIIFISYKCATGRWCKGDRMESDQFLMDENSLTLFEDIIIGKGRFGTVYIGQLSPDWHGPVVLDDQQRVAVKTNRYLDKSRKRDFFDEIEGAKEVGRHSRILSFIGTVVKNGNVLHVMEYCSNGNLLSYLIAKRKYMVEISTLCDYYLDDPFPRDTPATSSLPRRQQTHYDAAALISESERLLGYRL >CRE21267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:548447:551451:-1 gene:WBGene00069570 transcript:CRE21267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21267 MDEDDGMPELEDAPTDWEAWMQNGKQREPSQLLDGDPTMPDLSQGNAATFFKNTPFNQSTSSEWSTLNSQATQVYNSLPEMMTNYLPSLFNHNSKEGTPCEGWSANMPVTFNGMSSGTSFNGGSPWAIPQLSNAQKCDDVIGTNPLIRYMPPMPTLGLDNMALSKPRKGGQRKPRKQRVSKNPVIPPNWVNGMPYGYSGITATHQNTLTSQMMIGGSSTVNNQQFRKVLTGKEEAPPPPETLSVLKVVPFAADGNQFSVNKTDKKVPPFWETINVDVAVFYTMEVMRNTDSTVDELIFGECPAIKLNKNVAEEEELHMRIYFDILIMFIKRHEHETNTRVQLKVLHLTWNLNVCTDHILKIMTYLDPNYLRTLHLTGKKISTQALQLMIESPHWQRLTEIKINGPTRLTLRSFYHCNTVNIQIKELHPVELANFIKNYHAKNLLYAPNTRQPYFFIIRSARLKSEAFIQQVFNYFDQQKIAEQYETDATFMYKMNVFKFLSPHNETEEMCKVVYSHAGKINGYSCPKIGVTESFMHFDSDILQTFFDVDES >CRE21269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:553982:557085:-1 gene:WBGene00069571 transcript:CRE21269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21269 MFRNIRNRICSIFRGRRRRRQGTAAAPPIVIVSKPAENAEVEEAEEEKIEGEGLLEMSQTGEIEPDAPIFDGHIIIYPPLYSESQKYCIEFHEREIVKTRKKIREFELNEAIDKIFEKVQNRNSLIGKLQIGRRFHKEGLKETDAFMDMMMERFKMEKKKEKTYHWNVEMLHCNFSLDNINLHEFLKFFNPEILGILSVLPPYGSKPKIFQLHQTDSIINMKCMKHLKHFHIRHELTLGYNELHKLHGMNKLEVHVRRLDPLEFANYIKSYSSKRFNHMPPSLFFYINSTLGMDVTFERTVLQQYRQDGEIDTITDKYDRRAYRIRTVNIEDLWIMFHDTMVYGTWVTKKTYEPELLLTLVYRRFNNLAQVWDVKSEILNPK >CRE21270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:558563:560877:-1 gene:WBGene00069572 transcript:CRE21270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21270 MHRLLGLTQRSETSGPSQKTWEDLPFEFKVMVKDQLDVNSRTALRCCSKSDRDVVDSGPVALVRLEIRSELKQFLKHTQFECATTKKRETAARRYATSIAWVKMGTDDTVDKIMRIFKNRHSVVDEIVLNSNRRYLKGIEKLMTKLMKAIEMRRALNYKCLWKVKQLKWIGPLQCPLFFQFLGQLDPKYLNTLFIIGDISVDFEVVKNTAQWKALKECRITSPLKLHLDNFHHFAKMEIQVHRLEVQMFLEFIQKFMDKPLLTLSYFMIGSFTSMAFEWRLPILEHFFKNAFIELKGDVHHITQRPVQRFQLPRDPEKVLVVNFTENSIIGMVAGKNSVSDAFIIFLMRMNTRFTWMQ >CRE21179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:568161:570199:1 gene:WBGene00069573 transcript:CRE21179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21179 MSRPQWQDIPFHFKQDVVAHLDFKTRRSLAKCSKSEYAIVKTVPLILSSLLLDLSPDDTEGSWYTDTTPGITLTTLLVQERPFSRGLHWRDESQEKTIENLKLIFDNPKVIITEMIFTIDGNPAKFIEKLLANFGNRKFHVEKLLWTSVEVARNQKQLASCLKFFDLFDAGTLKKLEINFSQKALIREMMKMSQFQGLVEEIFIRSEIENEHLENVYHSKQVKVQLEEVRAEDLKKAIEVFQTKSLGSFFKFSTNEIQIDLDKALETFRSMPDNCSVPTNQFYERDTNTHRFEILDRTTERGEPLVLYMKIQKNSVWGVVCRSDHISKDMNSCPYF >CRE21180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:573112:576887:1 gene:WBGene00069574 transcript:CRE21180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21180 MTLHHRTGIRIIILVIFIVVVVLNSYGYWSKEPRNSATVTSSVAPFHCPVESWNQVHLDTIPLQDLHLEYVRNNISRRDNILGSQIRLLTAFVYPDHISITTTSQKSYGKKVYCRYYNCLREELEGSEYLSIFFPMNVIRCPRRVGAKYMSISFDMEGEEPQEPIPLVYRVFETPIHEVSVCVGPIYGSESKWLEVAEFIEHYKLIGVKHFYFTIFNMNEYSRKIMDEYLRTGEIELTVIQSEYQTVDWQFHLLQINECHQRAKHQSKWVINVDIDERLIILKYETIGDLLRGYNDTVGEVAFPIRRIQKTGSLPERFESDEQVRQNFNVVLIVTIISEMEFLKYNVSSPVTWGAYKSIYRPEKIAAMYYHFSYQKYPGTIGVFVRNGAALFKHYRTTKDNILGSGWLTIPKYRNFSIVSEDSTFAEKLKGKVLKKIKYVYNQRVLYCDEIAEGPYEEYKKFGHGIFNCRYRNDSRGDSNKVVDY >CRE21272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:579349:580830:-1 gene:WBGene00069575 transcript:CRE21272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21272 MVTTRQSTQQKTTDAIKKNPRRKLLPRAYFRKWVELPVELQRLVLTKLDGPYRHRARQCSKSMNNIIATIPTIIPFIEFGVLENTISDILFMKWLDEEPEDNTPRPKEWNNKKECEKDRKLAIKTFFNFFSANPASIVEVIDFNEVFFGMDGVNWMKDFVIAADKRDIKIRAKSIIINLYGPQSPLRYFVELFDENVLEEMRMTVGLAATIKAIRNTVQFKSCESFSLVHRGSIYYPEIFRIGDFFHFAKLDTKHVSWTPTDIHRLIQVGLLAGKILTYTNLLQNIRSKEEAKESDKFSMEPGLDEEEVWKLFDFVPAKKVNGTGTGITRRFTMQHPELVLEVKITMDAICGEVFKL >CRE21182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:583798:585123:1 gene:WBGene00069576 transcript:CRE21182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21182 MVTTRKSAKLSKWERLPVELQQQVLGSLDNLDRLQIRQVSKEILSVSENIPIVTHSIHFLTTPKNKYFWLNWTDKEGQRSITNRKECKKEKNGSLAVKSLLNFFACRFSKVTQLEIENIFEIQNGIDTVEKIIAEAHARNIRIRAEEVRLNLLNAVSAPLVIQFFELFDADVLKTLKLIDPPGNVVDAISETEQFRSCSKLSISSAHYDSTPSQHYSLRNMLHLVDFRIRRDQYTAQELFDLVQSYRLKPLKKGDRLQIYHTTKSSTEEEISNVLDAFPTEPNPRPPSYARNDIRVIYMADPELQLIVDTFDRNVCMCVAERRPIPASFLDLY >CRE21273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:585663:587380:-1 gene:WBGene00069577 transcript:CRE21273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21273 MNYSITDICSPHQSLKISLCLEPTKVPYFTSLQIRAGSDILFTRVYSHYSCIKDFLEILRLPDVRIELLDIGGVEIDQNHFLTQLIKKLQEGNLRIPVETVHFHSTITEGLVEKHLELLTYFNPLVLYGIELNTKVSAEIHKKLVTLEQWKQAKKVTYMSMKDCKDVVRMEDFVHFEKIFWVDFTRKMETEECWQMIKAFRNPDHPHGSYFHISDTEIDINGVIALFDIPSKCEERDTEYVKHIQHFPLDDGMVLEVRLYEDQIIGKKEVKEKLGEM >CRE21183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:588501:589578:1 gene:WBGene00069578 transcript:CRE21183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21183 MAPPRRVTTTAEFRRLTITEKVKAIKRMKLFDRATIVVDDLALLGYRTPLKLKHADIEEYVLMKMPEGSVRQTSEVCVTTTDHRKRMTQRIVFGYFINHFRGSLETFTDCFTINFSEGYENHFFGMMIPVIRGNQGVVIRCEKFQWRCTPDNHKWIETIVKFLEPDLLKTIVLMEDCTQSLLNEISVTVQFKQCEAFKFNEIKNGVKFDLEKLKHFKRLEVSVRKFTKGNFEKLVENFRKPLPVGSSFIINTKPGGMTWKNKTARSSDNIQKFATQTANQILIVKIDKNRVQRVVCREHERHPKFDNYIKVEKSKRIPMVLPKN >CRE21274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:590476:591654:-1 gene:WBGene00069579 transcript:CRE21274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dct-16 description:CRE-DCT-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MF77] MAEKLEKAKADMVAAGLSEGAIAGILKIAATYKPKDDEPKRDAATSLAIIGKMFGELNEYIKSQSEGDQKVYHAIIEKKKAELIEAAQKQ >CRE21275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:592729:595048:-1 gene:WBGene00069580 transcript:CRE21275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21275 description:3-hydroxyisobutyrate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3MF78] MSLTGFIGLGNMGGHMARNLLKNGKKLIVYDVNKQVVDQFKSEGCEVATHPADIAAASKEIVTVLPSSPHVKAVYQGENGIFKTIQPGTLCMDSSTIDQVVTLEVAQAAALLKAEYIDAPISGGVTGAQQATLTFMVGAGNDATFQRANAVLSLMGKNIVNLGAVGNGTAAKICNNMLLGIQMVAVAETMNLGMSMGLDAKALAGIINTSSGRCWSSDTYNPVPGVLPNIPCAKGYAGGFGTTLMAKDLSLAQNASTNTQAPTPMGSLAHQIYRILARDPAYQAKDFGVVYQFLKKQNV >CRE21276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:595752:598517:-1 gene:WBGene00069581 transcript:CRE21276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21276 MSANASRLKEASECERKAEECMKTSMIKLKFKPDYDGAAYSLERAAVCYRNAQEPRKAADSLLKAAQYYQENRNLFHAAKAREGAAMLLRDIKEFAEAVKLFEKAIDGYAESGSLDTAALTVEKAADVLKNDDPKKALAIYQRGLALVQQSDRAKMASQFLKQITKLSLQLEDYKGALVSIREEIEKFVEIREYPRIGQLGIGLVIVNLAMEDSVAALKDYSWVVSLSPDMQISEDGRVCENLIGYYDASDDESFQNVLKCGVLRSMDNEYLRVMNNLKAPGGNGGIAEDDDEEGLC >CRE21277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:600211:602617:-1 gene:WBGene00069582 transcript:CRE21277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21277 MGDDYSDDTKPSQQGVKRPQDATDGPANKKAHFGQEEEGGDHLSIKILIPSSAVGAIIGKGGEAMRNLKNDNNCRVQMSKNSETYPGTSERICLVKGRLNNIMAVIESIQDKIREKCADQAGNDAFDHKNTSRGNEIKIVMPNTSAGMVIGKSGANIKDIREQFGCQIQVYPKAGSVEAKTSLERVVTVAHEESAALLQAASRVLEKVASDPHHSSEINKEDFGKASGPNGASNTGGPTGNQSQNAPFQQAPAFNGGGGGGGMNVGAAGFGGGQGGGYGGGGQGQFGGGGGGGPQFGNGNPNQKYPMNGLGNNELLSFLDNLQSTLRTSGFNEQSVSEVMQAMQVLAKYNIMGLGLGLGVAAMAQMRTGQESQMTANHGSAGFGDGYGGGVQGGGQGGGGQGGQGGPQGDQVRRLLDMMEPNGRGGDNDFREKRQASYWRR >CRE21278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:605808:607608:-1 gene:WBGene00069584 transcript:CRE21278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ebp-1 description:CRE-EBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MF81] MATQVVNVYTTASSADNLSRHEMLMWVNDCLQAHFTKIEQLHTGAGYCLFTDFLFPDSLQLKKVKWNSRLELDWLSNWKLVQTAWKNLGVEKVIPVDKLIKGKFQDNFEFLQWFKKLFDANYDGHEYDPLSARNGEGLPTENGPAGAAKTPVASRMPARTVPQKPVTTMRTPAAPRPAPAPAAPRPAPKAAAPPAPKPASTAAPVRSASTVAAAPGVDMATFNKLKEELEEVTRQLTESDNVIASLEKERDFYFSKLRTIEVICQDNESIGNVEVSRVLEVLYETEEGFAPPEDEVNGAEEF >CRE21279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:607817:617598:-1 gene:WBGene00069585 transcript:CRE21279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prp-6 MATTIPGSLVNKTKKFFMGMPAPTGYVPGVGRGATGFTTRSDIGPARDPTELPEAGPVGPSPQGGGASSSGPPPKRARDNDDGDGEDLNEANYDEFSGYSGSLFAKDPYDQEDEDADRIYNEVDDRLDERHKDRREKKYKELVEKFHKERPKIQQGFSDLKRQLAEVTEDEWQAIPEVGDMRNKAKRNARAEKFTPVPDSIIAMNMNYGQMTNSIDVNSGLTTPFSSGFMSTLGGGAAAKNGIMTPGWKTGVQTGTSTDLDLVKIGQARNKIMDMQLTQVSDSVTGQTVVDPKGYLTDLQSIIPQMGGDLQDIKKARMLLKSVRETNPRHPPAWVASAVLEEQAGKLQTARNLIMEGCDKVKNSEELWIHAIRLHPADVGKTIVANAVRSCPQSVRLWCKASDLEQDVKDKKKVLRKALEQIPSSVKLWKAAVELEDPEEARILLTRAVECCSSSTEMWLALARLETYENARKVLNKARVHIPTDRHIWFAAARLEETRGQKDMVEKIVSKALNSLKANQVEINRDQWLKDAIDAEMAKCPITCQKEASKARQSYPYNCSFFLQSIIQNVISLGVEDEDKRTTWLLDAENFEKENAFICVRAVYAAAIKEFSRKKSVWDAAINFEREHGSLDDHEAILLKACETVPEVENYWLMLAKLRFVNKRIKEARDTLRLAFETQGHQSEKTLLAAAKIEIETDEFERARELFNKAREHAPSARVWMKNAHFEWCLGNLQEAKRLCEECIEKYDDFYKIYLVLGQVLEEMRDVDGARMAYTRGIRKCHGVIPLWILLVRLEESAGQIVKARVDLEKARLRNPKNEDLWLESVRFEQRVGCPEMAKERMSRALQECEGSGKLWAEAIWMEGPHGRRAKSIDALKKCEHNPHVLIAAARLFWSERKIKKARDWFQKAVNLDTDNGDGFANFLAFEQIHGKEEDRKAVIKKCVQSEPRYGDLWQSIAKNPTNWRKTTEEILALTTNKIKIPT >CRE06197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig653:3329:8032:-1 gene:WBGene00069586 transcript:CRE06197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06197 MAPRSSPQRTIRQAQRYHESIPLKCELVEAQKAPKSKPVARKRAPPKKAAKPAPKPAKNTKNPPKRQEKPSFICTDREVDTNVPYELRFTNYQPTEADFEEEYKRVEQAAKKVLAMKERVVEKEFVDMIPEQKVFKPPNPEKPRKFAPLVFKFPMGTRKKMVVPKVVNNPMKTEKLTFFEPLAHNAIAKDQYQLPNIPHVDDKVDDMTVISHIYKNYPNGIHGYVKNAMEISIEMLYRLMRELIPGTRNPDLLYYSIHKMFPNVGLQKEIADIFPKLCRQYGSNHSHMETWKKPEDYRVEPLQKIPWNIDRIMKTRRDFLGVSIKMPTENSGDFCSEHCFHTLTDQQLKPLLKKFKLTEKAPEELDIAVLKGAPSKSNSRFFNALLNKKDRTVITNFCEVSNKFPEHSCDVWFKTLLKIAKPPMEEDAAPASYQVRDQKFLNAMMAERRKFVKKQKESGEENSGRQLFGSLPTPCDHLGPCGPDVAECSCDVMCSVYCSCDVNCNRKLHGCNCTSACGTSQCTCFSVGFECSPLTCKGCFHDEDDDEEEGSKCCKNRSITDENSKIIEVKKSGIAGNGAFIGEDVKKGEYIGEYVGERVSAEEAERRGRFYELNTSYLFNLKDGTAIDSTRAGNQLRFVNNSSQPNCEARSSVVRGEERIGFYAKKALKAGEELTFFYNYDSVHEQRFFKTKPEDRLPKVKSTIRVKKELKSTDRIPKIYKNDTEIVEIKTRYGRTAKGCRVVRNAVKKEIKPEPMNESFDSTIFEDPPSESSSHHSHREVKPFAFLPPTSYDFNVFNLGHDDSQPSTSTTTYYSYTSEDFRRTHSPNCCMREKKVEGLLP >CRE06198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig653:8691:11620:-1 gene:WBGene00069587 transcript:CRE06198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06198 MTMAIERSIDERTRSRGSGNPGAVGLYNMGNTCFMSATLQCLFQTPGLSDVFTKKTFITKVNTHSRLGSKGIISAGFASLSDTIWNGTYTAIRPSRFLPPIPLFFQQLFAEEVYKALNDGRQHDASEFQIFLLDALHEDTNQAARIGFEQNYRGGQAIREEAADFLKKHYMFTASPVNRILGSISVSEVRCLTCHESSATFEENTIVSVEIVSTSSCSLDNCLQSHFSTTKLEGDSCWNCPKCKQPRPSTRTSKLWQPPTVLIIHLKRFSMFNGDFEKNTAQVSFNPENFNISPYLHEAASRERTNYKLYAATLHNGRLNSGHYTAVASHLKSERWHRYDDETVTPCEKYQVNQSLAYILFYKRC >CRE21280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:630054:633526:-1 gene:WBGene00069588 transcript:CRE21280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21280 MDSSGLKYTSIEHLLQSAKLSEQQVRQYEQKSLDTVFMSMKKMQTASEKARGDLEEQYRLLMLAGDLANIITKSPRFAKWVNDAANNSLFKAHFKQIVEDGGKLQSVLKMKYESAQVRRDKQERAAAHEAEKRAAATPVPATMRSIRSTITPRELIRKVENEEPKKSALIFDLRQNQSDAIFYNRSEMITVIQLPYDAIDSSLTFANLRNRLAVNQRALLARLSGSDFVVLMDDDSPELHSHSPAPKTKMSFLFKALTMYNTVERPRERPMFMDGGFKLWKAQYPMYTRNESNLTRIQLKISQKTG >CRE21281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:633828:635100:-1 gene:WBGene00069589 transcript:CRE21281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-calf-1 MSAPAQFTIPASEVMTSIVLPTIIFCIGLVAAMLLGINKCKQWELDKVVEMRRSRRVLQRVTNRLREKNFKTIQRARTMRKRAASAKARTEFSPPAYQSKNNSTEFPCGTSPPPSYEDDLLEEYYKECHPSRRSQPVPSSPRRYVRQRSKFSSAARRVSRSSVNTHQTRGSIHIV >CRE21184.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:635756:636912:1 gene:WBGene00069590 transcript:CRE21184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-2 description:CRE-RPL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MF85] MGRRIRIQRKGAGGIFKSHNKHRKGASKLRPLDYAERHGYIKGLVKDIIHDPGRGAPLAVVAFRDPYKYKTVKTTVVAAEGMHTGQFIHCGVKAQVQIGNIVPVGTLPEGTTICNVENKTGDRGVIARASGNYATVIAHNPDTKKTRIRLPSGAKKVIQSANRAMIGLVAGGGRTDKPLLKAGRSYHKYKAKRNSWPRVRGVAMNPVEHPHGGGNHQHIGHPSTVRRDASAGKKVGLIAARRTGRIRGGKPVKFTKEETV >CRE21184.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:635756:636910:1 gene:WBGene00069590 transcript:CRE21184.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-2 description:CRE-RPL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MF85] MGRRIRIQRKGAGGIFKSHNKHRKGASKLRPLDYAERHGYIKGLVKDIIHDPGRGAPLAVVAFRDPYKYKTVKTTVVAAEGMHTGQFIHCGVKAQVQIGNIVPVGTLPEGTTICNVENKTGDRGVIARASGNYATVIAHNPDTKKTRIRLPSGAKKVIQSANRAMIGLVAGGGRTDKPLLKAGRSYHKYKAKRNSWPRVRGVAMNPVEHPHGGGNHQHIGHPSTVRRDASAGKKVGLIAARRTGRIRGGKPVKFTKEETV >CRE21184.3 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:635756:636922:1 gene:WBGene00069590 transcript:CRE21184.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-2 description:CRE-RPL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MF85] MGRRIRIQRKGAGGIFKSHNKHRKGASKLRPLDYAERHGYIKGLVKDIIHDPGRGAPLAVVAFRDPYKYKTVKTTVVAAEGMHTGQFIHCGVKAQVQIGNIVPVGTLPEGTTICNVENKTGDRGVIARASGNYATVIAHNPDTKKTRIRLPSGAKKVIQSANRAMIGLVAGGGRTDKPLLKAGRSYHKYKAKRNSWPRVRGVAMNPVEHPHGGGNHQHIGHPSTVRRDASAGKKVGLIAARRTGRIRGGKPVKFTKEETV >CRE21282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:636996:640235:-1 gene:WBGene00069591 transcript:CRE21282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhcr-7 description:CRE-DHCR-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MF86] MKELQRIRRSSLGGSTSSLTRRSSISQKDIQALQETLQKQQKVSAQMVFALLLFVPPATFFIFYSISMHTGLFVPTITALFFRFPFVLQCVPPVWDTVAWKFSIVHSGIQMIFYWVLPHDHALVMSSAGDEKREINSFFSCILTCLLYVLGASTGIYRGDLIYIHFNSIILIFAIFALSIWAALIVSYHFGTGNSVTTISEFWFGIENHPKILDIDLKSFIRTRFTFVIWPLFIISALYFHKITYGHISTSLLCLSGVQLLYIFQFHWNEDLYLNSLDSKRCDCGFYRLWADFVLGPIIYTSPITVLAATNRSVGLISNGLFCLVSMVSMVFSAKCDRQKYEFRRTKGALKMGGVDAFFISAKYRTDSGETNANLLLGSGHWGVCRHPNYTSEAVTFLAFSAFQGFPSVLAHFPAIFVTLFLIARAFTDENRCLIKYGQYWAQYCSKVKYRFLPGVF >CRE21283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:643346:652699:-1 gene:WBGene00069592 transcript:CRE21283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21283 MRKKLAVERERLARKEKKRNDFERRRREKALCDDSGEASGPNGASNTGGPTGNLSQNAQFQQAPAFNGGGMNVGASGFGGGQGHFGGGGGGGPQFGNGNPNQKYPMNGSVNNELLSLLDNLQSTLRTAGFGGGGPEGGYSGGGQRPFGGGGGGGKNARAAGFGVGGPERGYGGGEQRQFGVGGGGGKNARGQDNHKKKTHHAQNTPRHDQMETVTIRSDVLKRISFPSDSDNVHVRSEKSKKKKKTKSADPARHEILKISSSLASNSSNLSNGEEVSVKETKKRKSSEIAVEDKKRNMTSENVHIEKKRSDNSAKPKKKKKKVDKEGQKSHQGDSSLVNSGGGGVGMNAGAVGLGGGQGGGYGGGGPQFGTGNSKISLENVKRKETSESHNLDVDAPVTLEDSNESSTIEKIIERTSDDMDSHENLGASRLNESTEKPSDNNEEIQILVENINRKSTDLGIVEKVVKIEVEKEKEPNTSTIHQNERQVENSMKPKKKKRKVIRDTDVSSDSDSDKMAGDAPGTMEDSDESSKSSDEQSMSENEIEKAQTDDKVASNSCGVNNYQEGVQIVWDNLRKNPADFMILDEFGNDLSVREEQNRLDAGQYNCSHSAYYCRFRWQNRVIRGVYPSGCIFKTAGHPTKNELKRFLKEYLYGLNAIQNAAPSVPVNTDATAKPPVSSSESQGDADNDIISECRSSDADGTREIVQKKGSHEHAPSEIPQSPPRPADSSPPSVSQRIQQFQQLIDERNSRKSRHTPSSPSDTTAPTTSLSTTRNPNGGPQFGTGNPKISLENVKTSENDQKRGNHEKNRGKMKEISQIVITKGIDSSARNQTENSLELSSDETLQSSQNTEDSIESLDSCGTMSNQEDIQIVYEKLNGRRADLVISDDSDDNMKPNIKTNQCDDLHEEKKTNGNGKEMEKDGVTRTGKTRMTDGTEDIGEEQCIHSADMCRFIQQEKSTREVCIAGCLTAGHITKAEVEEFRRKWLKDLKYHHIPSSPSVPESTSDSTMKNPANNEKKKIYLNQASTREKTAEHQLKLPDSGSKGAATSETKKTESKSDHPTSSLSKTVNHPSSVANDKKDVPSDKLTYLQTSLLAKEDAETESKSSDAGNVVVKAGSSSNKNDSIPFAELKCPKVKLSMPPRRRGRPPGSKNRSKTQDPEVSFFHEKSTPKLTKAERVEIYYQRKRQLEMVSLEKKKNSEEEKLLKEKGTPQNLCLFNHRKTRRTSPTIVHNDILGFASGPTIPTISVGDPTEMPGLCEGRQIIPFRANTAIDSRRFVRIEKIKWTCLFGVKNLWQAVPFVFVEVEDIDLLLLILISTKYEVDESNGIKRVPLGDYRKEIYSKQLLSDAMKCNETRRKLATYLTPQQLKTCYYVAEPLIHPQRVDTSRQ >CRE21287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:664667:672442:-1 gene:WBGene00069595 transcript:CRE21287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21287 MAIERKREKKRNDFETRRRERESLLVKEEELPLDATPSRIKYSKRSGRRMMAIERDRLAKKEKKRQYLEWKTKEMESRKPGNTVTIGTDLLKRIRFPSESVDGEVRTDKPKKKKTVKPNSCDEPIKKKKKRDDKGRKSHQGDLPAVNTGGPIGNQSQNTPFQQATAFNGGGGGGGMNVGAVGFGGGQGSRYGGGGSQFGNGNPMHFVPFQGNRQFNEQSVSEVMQAMQVLANHNILGLGFGLGVAAMAQMRTGQESQMTANHGSAGFGDGHGDGGQGGQGGGPQGDQLSWRFVMAKGKQKEPKFTADGRKKSKIGARRWRKKRAIERDRLAKKEKKRQDLEWKTKEIESRNSGDTVTIGTDLLKRIRFPSESEDGEVRTDKPKKKKTVKTTRPTRHDLSLPPSSLNSSNSDEVSESRSKKRKNSEMISEHQKEKKLKSSTKKQKERKQVENCDQPKKKMKKRGKEGQKSLQRDPRATNTGGPIGNQSQNTPFQQAPAFNGGGGGGGMNVGSAGCVSDHLSIKILIPPSAVGAIIGKAGEAMHSLKNGNNCRIQISKNNETYLGTSERICLVKGRLNNIMAVIESIQDKIRNTALYHKNTSRGNKIRIMMPNSSAGIVIGKSGANMKDIRKQFGCQIKVYPKARSVKAKTSLERVVTVAHEESAALLQAASRVLEKVASDPHHSSEINKEDFGKASGPNGASNTGGPTGNQSQNAPFQQAPAFNGGGGGGGMNVGAAGFGGGQGGGYGGGGPPFGNGNPMESFQFQGNRQFSEQSASEVMQAMQVSSRKSRGALGVGYVVFGLGVAAMAQMRTGQESEMTANHGSAGFGDGYGGGGQGGHGGSQGDQVKRLLDIYMLIILPYFSLPGVNESREKLLSQSNPIELLLLLQPHLQCSIKKKRDEKSQKSHQGDSRATNTGGPTGNQSQNAPFQQATAFNGGGGGGGMNVGSAGFGGGQGQFGGYGCGGPQFGNGNPMESFSFHGNRQFNEQSVSEVMQAMQVLANHNILRLGFGVGVAAMAQMRTGQESQMTANHGSAGFGDGYSGGVQGGGQGDQSHWRQ >CRE21288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:674259:686257:-1 gene:WBGene00069596 transcript:CRE21288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-21 description:CRE-DPY-21 protein [Source:UniProtKB/TrEMBL;Acc:E3MF92] MSHQYQIHQNNSSPPPDATKPVRGPRTPPEPYPDDNDPVVKPSPASSDSSKSSDSFQPRHRVGPRTPPDPHYPSSGNPAEHYATYTQYFSHIAYGAPPHQYTPHVPTAAIGVSALPPPPPLPPPSSYFYPSPYPPVPPGYHSVPPPPVPPPPPKFVAPPPAPPTLTPPPPVGSLSVFDPISQQNRRYVDASVPPPPPRPPPAPPAKTKVWNGGGASSTSSSAAAAAAAAAAAAASLPPVAVLTQNSSPSVRSPAQNGKDIGQQYPFTSCKSNPLAEILTDAHMTTIESKMNKKSKYSSHRVPTSIANEMPHDVAYTPTSSTPSSTSSYQSIPPPPPAPPIDSKMFDLPPPPPPPPRMLPYQSTRAPTPPRIQEASFCDVLTPKTPRTPGHLAGFVVKAPATTTSPAASESASKKRHLEAERTVEVERKRQRQLGRGGGETTGKGEYADNREIWSGKSWRGRNSSRRAFRTGKPVRPKPAKPAKPERPSEAPKVVREPEPPQEVTMAPESEEPKPWDLCVEEDNSMVVYTPVAPEDSVLAYLEAQNQNQHELVYEPGPTPEFPEPTLEASEAPEAPEAPESALEAPESNGLHVTEHPLEQGAPVENGAEKSAEKRIKKKSRRSHHHDSRMDQEPRSQKIGKNLACRIKMFRSMMSSRSRSRQDKFDPLVVKRRKKKEAESQEAEPETQDPQEPEPQDMDDEYIDVVGGADEPIDFDESMDQDLYDPQYEESMDETSEQAEESQDSEEVSEEESVQEESEQEEEPNDPEEAEPIEEEELLLEQPVSEEVESELPQEQQEQEQQFTEQELLGDMEEKEVEPEREEAEPEKEKTPEKEKTPEKTPEVTAPETIVLETPEVMDTPEKAPEVMETPDVIETSPIIVLETPAEQPELSEQLPTTSESVAAAPSTSDPPAASEQPTTSESAAPSTSTTAPATDDSKRKERSPSLEIIDVCEASSEPSKPRESRRKRRAWARPSADGDDLKLEGTPRATPAPVLHKPTELSATHLLAAMNSNSNPFKRARKMLTNAALKMGVSPPPLPHSKKLRESMEPGIFSSLGRGRGSNSPTPSASGSRERSSLAPITLPRNAEEMARIHEKRAVQQARYAAIEAEEKRRLDKAKAAAMTTEKGYKGAYQKLIQIEKNVLEDNRHSERFREDSVRRMNSITSKQASREESLRSFRGESSSSSYSTRQLQGGRAGAPSPSPGRESMGSRRSIRSASGSDSDDDGMTSMSRTKVRRIETSLAGIAYPARQTPSPRKKDNVVARSFDMNPSVDQRHEILTAIMNRQKSNGAAKNSMGQGVLKHLNDEEDERKNRRGHRRVHPDFEKSKRDAEMMAGMDSAGTSNRNLKMLSNKYRLPKMQCKFRRYIRVWKHPNGGASVLRCDFNQIRKEFSTKDVEKFCRQFCRLGFSEHHGSGVFAIVIMENGASMMSDLFGKIVDETNGQLQVKVGSLTNKQLIETMGIKVYQNLIHETLDHGTFRVGPLMAVSTVGAKQEETGGYFKGLLDELEAHPFTGPMMPWGEFSHLHNMPPQQSDDGPILWVRPGEQMIPMTESKRNNDAKHPLSTRHAEKREIEFLDRTPCHADQVNDKESLKRSTAAVGVLQGIRKPGDQPEKYEDWMAEERRVVKEVVVFHPADLHSVVNNLAMDLYEPPVNQCGTWVEEAKLNSMRRQGVRYAKLELKENDMYFLPRNVVHQFRTVSACTSVAWHVRLKHYYYQKEKSSIDDRKEFMCDSDYSDDGNFNG >CRE21289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:690283:698995:-1 gene:WBGene00069598 transcript:CRE21289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gck-3 description:CRE-GCK-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MF93] MSSSSNQTASSGAPGAPVGGGGGGPAHNNTVTSEYSTTSQTTQSTYQTDVTSMMGGSTVSSITPSQALSHQGTVTSMAPPPTPTPTVAPPTHPPVSSPIAAAAAASAALVAQLNPADRWPTEASAYKLDESIGVGATATVFTAYCLPRNEKVAIKCINLEKCQTSVDELSHEIQAMSQCNHPNVVNYYTSFIAQEELWVVMRLLNCGSMLDILKRKVKAIGKEQAQFGVLDEVSIATVLREVLKGLEYFHLNGQIHRDIKAGNILLADDGTIQIADFGVSGWLASSGGDLSRQKVRHTFVGTPCWMAPEVMEQVQGYDFKADIWSLGILAIELATGTAPYHKYPPMKVLMLTLQNDPPTLETNAERKDQYKAYGKSFKTLIKDCLQKDPAKRPTASELLKYSFFKKGKDKKYLVHTLIENLASVPVVSHHSSKKVASGKLRKDAHGNWEFEYDSPQESEDSDEDDEEREKKKKEQVAPSAQQRDAPLEATETLNLVLRVRNQQRELNDIKFDYTKSADSVEGIAHELVTAELIDCHDLVIVAANLQKLIDNADPKSGKRSITFALNSGVHANEVPDERTLTGFAQISLLD >CRE21290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:703360:708339:-1 gene:WBGene00069599 transcript:CRE21290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snx-6 description:CRE-SNX-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MF94] MLGEEVFANPLQPETSEVEISLNDEKEDVDETFRTARTNITGDTLQNEGAEPPVVEDTSSSSNVAAEETYSSLIENRNVRHRTEEINIDMDSADSIFVDISDALSERDKVKYTVHTRTRLQDMRPETAVVREHEEFLWLHGTLEENEAYAGFIIPPAPPKPNFDSSREKLQKLGEGEATMTKEEFLKMKHDLEQDYLAQFKKTVAMHEVFLQRVAAHPVFKNDQNFRIFLQYENELSVRGKNKKEQVESFWKRFTQSADEVLLSGQKDVDEFFEKEKNYMVEYNIHIKEAAAKAEKLNAARKKSESKISDVVVSFSKIGDCFERIARGEPNKQLARTFAQGADAMMKLKKVESRASNDEELKLSDTLNYFTRDTQAAKDLLYRRMRCLANYEAANKNLERARAKNREIQKAEAEQAEACKKFEEITGLAKTELKDLKVRRVQAFKKNLIDLAELEKKHTKAEISLLEDVVNRLKHMP >CRE21185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:710800:715978:1 gene:WBGene00069600 transcript:CRE21185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21185 MSLCRRRRDPIADTIYTLSPFKRICVILFGAVSIHLTIGTYHTFGIKISKIFSFETKNRFSGNMLPYMASYMRNFTDPSVRIEHMMWIPTFQGCFPFAMVIGGLTSSTFSPRVSAFIGCALVTSSVALSAYAIQHSFAMFFIVYGLLFGLGSGIAYVTAVSTAINWAPDKIGVVSGIVAAGFGLSSSIFAPIQTWIVNPMNLPATKDGYFVQPELLHRVPSLFTKLALIYGCMQAIALIVVCDPPFRKSRSNESIANDVDEDEEDDDYERPVFDNDEDTTLQLSPNEMLKSPTFYCLFAALFCCSFYANMFYNLYKTYGESFIEDDMFMAMAFSIASVANAIARIGWGYLTDRTSFQIALSTATCLASVFLLTMPMTRELGKGAFLVWLTGTFVCMGATHALFITATVKCFGNRHKANNYGYLILSTTASGILLAAISQFYLKTIGYTYLFIITSIFPFFAFIIISCIQWTPQGKLVT >CRE21291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:718253:720858:-1 gene:WBGene00069601 transcript:CRE21291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lon-8 description:CRE-LON-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MF96] MRNSRLYAVLAVFSAASVVVIAQRSQQAVIADLGKLIVDNCPPMLCTGLDCAVVTERNGCQLCACPIGSPSRGCDPMPFILWHDLIVNGCPNVTLNSRDPAQKVHRWFRRVNRFTNTDQCEPYIFPYCPELDFNLWRSPRTKQECELYCYSIDEQRKRGII >CRE21186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:730512:733314:1 gene:WBGene00069602 transcript:CRE21186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21186 MLPILKLPILVLNKVLTQLNVFELVSLSVCSSRCKSRCMQFRSSIKMKKQIEKFHVEFLSDSSKVDINFYPSLEQRLNFELKKLDKHLDDLYMCIANGLYISRNWSPNVKHIGHDMWWPFSATWLWKFRPIVYYSTDLAASTLTWVRFLSELFSAQPTSLSLTFNCFNTEEIDRIMDCHCLVTSFEIDSDSNQEVDQKLALSILERQNATKELKISLKPTNETFQFDLNSLRNIPEFLEIGYSFWVKWEQVLDLRSDANYLLRSNFSNFHFKDLIEKWKGGWTPKWNRIMIEANEILDIDSWINDPVINLGPEDLGRIRSLIRQNQIMHAYKFQFKVRFPYGAIIKNGYHITRQDKSIATVTVENNKIGWFILQSAEPDDVFMVYSHLRTYQCNDPPGVPSVSYYPARETPLM >CRE21187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:738001:739833:1 gene:WBGene00069603 transcript:CRE21187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21187 MEGDYIDEDFVVQEKISEKPAETLETVENPEKLFEKPEKDGVSEPKGRFKWTPHTFKMGKLRWQMRMMIRDRMEQVDKIIDAFYCTKTNIRHRRFDELMWFARRLEETLFHKSSKFEIYEKGIQTIVTLMNVLMQADPAMRHLIDENEIPSTEQLEKLFTLKAERHELIEDDYLEDGVAEIELIKEDRFRHTDLILDREPPADMIMLNLHSGSRIFAETRPSSVQYSKIRVDLRRKSTLLWHS >CRE21293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:740462:742369:-1 gene:WBGene00069604 transcript:CRE21293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21293 MIQEWSLDVGEFNLVLIVFSSVVVTLALIAIVILYCWMSCGCCKRKNGGRRHSNIPHVMVTQHRDKTPLSIDCPDLDFIDARNTNLVSSTVQVDSVHQTPTLS >CRE21188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:745096:750374:1 gene:WBGene00069605 transcript:CRE21188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slr-2 description:CRE-SLR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MFA1] MSINEPLFSKENWQNYGMNPGPSYPNNVLLSLPTPQKIRQTSAGKAMKQTWTCTECRKELSSKRSFTEHMNIHTKSRPFQCEHCAYAAASQMTLHRHKLRNHTPKTEWGYRCPYCEDAYMEPAGYQSHVQQRHAGRSATYGCPFGKCKFTSKSQRHFREHLLKHEKTDKTEGGVDPCALSNQQLVRYMVADEMGHGFKRVGGTPAVTIRARPQPTIAPKIYSTPNDGTPQKVVWRLESVIPNKTIIRTNQLIPPRGFYVHQNPEDSEDVTTTIQNPSESMPSGSSDQYPSEEFHDNEYYDVDDDDDEEEILEYIEDEEEEEGAELIEERAEHFEYDDEEGPPILESFEDPQQQQMDDNWGGVETKEVFPNGFIDDELD >CRE23046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:9799:14650:1 gene:WBGene00069606 transcript:CRE23046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23046 MEKWNTPGAIEETIEEVCAKVKLVTGSGRKPIKPLHQVAVEFENKDGTQFMDEEEIIEMKNHIHFEYEPKFVYRNEKRVSLPETRLRFKGKTNSPELMERTFDQFIRYCIGKAGGNLERSKMSFGFFHEGFHKAEGFWINERTYQTFNGQVLMEELVRITQSKAEVDIDDTFIIHMHVFNNFEGGAGRCRKKMFDEQKKLPAYVVGDGKCLPKAVALAMTFYASKEDAEQRSKWDRMIRLMYRSLNEKLQLAAANEILEKSGLSTEQQVFNIDDLEKIAATYPEYKFEVYSRPAYEKYYQIITEFNFDASKLVTIAFKKIDGVGHYDFIKPSLMHMKATYCHKCKQKTLSTGHNQVCDAKCGKCGFYECDNTQIETIHCEMCNTNFPNEDCYNGHLEYAYRAKKTMCEKRYTCLECGFRVCKDKVSQDEVHECEKRSRCMQCKEMYDATRYHNCCFQPPRKRFKESKMKAQKSYRILCYDVETIVVNSANGPDFSKPQPNHEVNLVCFKMCCNLCVEEGMECDCETGNFHYFEHVDPLEDFVDFLLHNTKLDNAYVIAHNGGRYDHNFVLSRIMTSFGIIPDYVSNGTSLIMANITASVRRTDTHNSLKFRDSFRFIPMPLSKMPKTFGITELKKGYYPYYFNHKENYGKVLNRLPDKLFYDPEHMKPEPRIEFEKWYEDHQNDVFDADMEILVYCQSDVEILTSGLSEYIKICKRLFNNWNPIIYSCTIASYVHHILKFEHFQQGDLGIISENGWPERNNSVFALKTLMWLEKKDGVTIHHKLRGPEKMIKMPNGDCFFVDGYEEKSNTVYEIYGCFYHGCPMCTNPTLEHPNHPGVENKAIYDRTMKREERIKEAEYNVISWWEHEINEMLKKDSEMRDFFKKCRHASHLVPREGMFGGRTQPYQMIVECEEDEEICYDDFNSLYPSVNIMFQYPRGQPIVYKTNFPSIIPGKGVDKKGLYFCSIYAPPAIKITVLPYKIPGFLTFPSCRTCIEKNQKTACNHTKVSDRYLTGVWTHAELNAAIERGYQLLQFHEIWWWPDDKWKTADYFVNYLKSMIQLKHESSGWPKDGMTDEEKLAYINEIAQRDGVTLVMENVKKADNMREMSKLFLNTCWGKLAENPVRTESKLFETLDHVSQSEYMSKQGYEVKGIKDWDDGRTLITRASKTESVKTKEFTSIVIGIYTTSYARLRLLQAMEAVGSENLIYVDTDSVIYKKKISDPCPVKALIGDGLGKLKSEIPKGYQMKKIICMASKVYSYLLKHLETGEEKIVTKFKGVVLNSSTSRTINMKTMEASVREFLDGQTNVISVPERTMRRTKVLGEITTAPFEKRLKPVMDKVRVLPGGKTLPSGYYLNCPLVEDYPYS >CRE23047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:18402:19462:1 gene:WBGene00069607 transcript:CRE23047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23047 MEPAFPLFRLPKNVIIEVIKNLPLKQLFKFSLVSSETKNLVSSLGLEASDVDIRIWRTIIVTVNIGRNSLSLTFYNDSNDPNVLLPVDINIPVAASCDYEGTRLQSFTPFNFSNWLNHINTVFSCTLPPDVFFARPGARFEIESLRNTIRNVNCLIVFADVTNTCSKKVLKSFNAPSELFLHRSPFEDTCQIQQIFIQNFEKISFDDVYTLDDMLSINTEIVSFTHTISQKQFNQFLKHWIRGSNPRLQDMSLSIHKTDVVSGKVYLNGIGFMEMSEETKREIRENYYRPIYVDMIQIRRNDGTPAVIATEDSANFLRIHFVVLH >CRE23077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:22045:26866:-1 gene:WBGene00069608 transcript:CRE23077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23077 MYTDELLIISFVSTKFKSLVTSLGLRASNIYIAFSHDIILDVNFFVDEFVFGEIVDNNFGIFGWNLIFSNDSNDQNAEFDITRPVSDFTFTLHKTFQPSTPFNFSDWLDHILTVFCYTQPLAVEFEPDNTCEVQKFFIQNFKSIGFQDVYSLDDMLLVNSERADLSHPISQKQFNRFLKHWIRGSNPRLQRMSLSIFDSDSVSREVLLKVFPIFSPPFFLFIISLVSTKTKNLVSSLRLDASDVDICISRRINVLARTKNSYLNLDFYKDSNDQNELLSVDITVPVAAYVGNEGSRTQSLIPFNFSKWLNHIRTVFCWTKPTNVYFSQGCERFDLRLLKDTIGNVNQLVPTGLLTDDSSRKVLKHFSSPSELCLINNPFKEACQIQQIFIQNCKILEFGDVYSLDDMLIINCERADLYHPTTQKQFNRFLKHWTRGSNPRLQHMALSINKTDFVNGEVFLVGIKCMEMSEDVKRDIHQTLSSSIYSSMIQIRRRDGTPAVIGSYKSENFIYFHFIVFH >CRE23048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:30208:31271:1 gene:WBGene00069609 transcript:CRE23048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23048 MEPTLQLLQLPETAIFKVIKNFPLRQLFEFSLVSSKTKKLVSSLGLEASDVDIYIWGSIRAAVYIGENYLDLDFYTDLNNLIATADMTLPVDAHFDFEGTRIQSSTPFNFSNWLDHIQSVFCCKKPLDVYFCQGSERFELRSLKEAIGNVNAFHVSSELTDAYTKEVLKFLNAPSNMSLVRNPFEEVCQIQQVFIQNFEIIKFDDVFSLDDMLLINSQKVRFGRPTTHKQFNKFVKHWIRGSNPRLQRMSVSIDITDSVSREMLLKGIQCVDVAEEEQQEICQTHGIVSDDMVEIRRKDGTPAVIATKDFQNVLYICFIAVH >CRE23051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:33350:34667:1 gene:WBGene00069610 transcript:CRE23051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23051 MVASPDREEKQQYTTQIHPSPPSPPRAGPGRAGPVKILKSARPGPARPGPLQVWAEGMKISRRLLGRIVKDKLKLTCYRVRKAAILSEATTKKRLERSKKLLQRTRNDEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQTHFNGRHWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLIRLDYSIWGVLQNKVNAKPHSSIEALKKTLLKEWDALSPDYLRATIDAYPRRLRAVIQNRGGRMEQN >CRE23054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:40416:41476:1 gene:WBGene00069611 transcript:CRE23054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23054 MEPIFPLLRIPKNVIIEVIKNFPLNQLFVFSLVSTKTKDLVTSLGLEASDVGICFWSGIRVIVYIGENHLVLDFYKDSNDLNAAVDITLPVAAYVVYEGPRTQSTTPLFNFSDWLNHIQLVICCNQPPSIKFDRGCERLGIDSLKEIVGNVNKLVLMTSLTDDESRKVLKCFNAASELYVYKNPFEDIGQIQQIFIQNFEIVEFFGFYSLEDMLTVNSEQVDFCSSTTQKQFNQFIKHWIRGSNPRLQRMYLPIDKTDFVNGKIYLKGIKCMKMSEEEKREIRRRHDLPSYADVIQIRRKDGTFAVIEIVELNDILAINFIVLD >CRE23079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:41996:43017:-1 gene:WBGene00069612 transcript:CRE23079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23079 MEPTFPLFRLPENAIIHVLHNMDLNQLLIISLISSKTKQIVTSLGIEARYVGINIYRCIWVTVYVGENHLTLTFYNDSNNLNELSPVDITLPVSAHLKDQGIRILSSTAFNFSNWLNHIKTVFCWIKPPNVSISQGCERFEVQSLKNAIGNVYSLSMSNQLTNILSREVLKYFKTPKKLTIGKNPFEETCEIQKLFVQNFRTIAFHEVYSLDDMLSLNCKKAHFTHLISQKQFNQFVKHWIRGSNLRLQYMTLSIDATDFANGKVYLNGIETMKMRKIEKKEIRRTHRILIRKMIRIRRKDGTPAVIGTVKRENILYVHFIVLY >CRE23080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:48154:49177:-1 gene:WBGene00069614 transcript:CRE23080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23080 MEHTFPLFRLPENAIVHVLQNMDLNQLLIISLVSMKTKNLVSSLGLEPSHVDICIESGISLSMFIGKPHLAVDFYNDSNDQNELLPTDITLPVAAYVGYKGPPIQSSTPFNFSKWLYHIKTIFCCTQPPNFQFAEGWEKFGIESLKEAIGSVNILIPHHLLTNDSSRRVLKHFNSPSGLFLVKNSFEEACQIQQIFIQNCELIEFGDVHSLDDMLLINIQNVYFYHPISQKEFNRFLKHWICGSNPRLQHMTVSINKTGSVDEEAHFKGIKCMKVSKETKNKIGRMHGLSTHDDMIQIRRNDGTTAFIGTQKSENSLRVRFIVLH >CRE23081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:49984:50896:-1 gene:WBGene00069615 transcript:CRE23081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23081 MCLTELWQFSLISTKTKNLVKSLRLKACEVDIRIHGSKDIVVYTRTSHLNMAIRSLTLVNFNKRLNHIRTIFCYSPPPNVCFYGCKQNEIELLKDIIGNANVLYVYRGLTDVLSREVLKQFDTPNRLDLDRNPFKDTCQIQELFIQNFETIVFYDVYSLDDMLLVNSEEVRFCCSTTQKQFNQFLKHWIRGSNPRLQRMNLSINKTDVASGEVYLKGIRCIEISEDAKREIRQKQEHLSGGMVQIRRKDGTPVVISTYDAPYRHHIRLIVLH >CRE23082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:52606:53505:-1 gene:WBGene00069616 transcript:CRE23082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23082 MFGTIVSLPHIMGGASFQGLCESHFFRFFISLVSSKTKNLVTSLGLRADRVDIRIDRLNEVVVHTQAPYLNLTLRPFTLLEFKDWMNHIRTIFCFTSPANVLQRMLFFQNSVRFTVQSLKDAIGNVSDLFLSRQLTDVMSRNVLKHFNTPSTLFLYRNPFEEVSEIQKIFIQNFKTISFHDVYSLDDMLLVNSESVQFTKPISQKRFNRFVKHWIRGSNPRLQYLYTPINKTDVASGEVYLEGIRCMEMSQDAKREIRQKHKFSVNADMIQIRRNDGTPAVIATNDTQRRLHMFLIVLH >CRE23083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:55262:56282:-1 gene:WBGene00069617 transcript:CRE23083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23083 MKPTFPLFRLPENVVVHVLESMDIGELFIISLVSSKTKNLVSSLGLEANYVNISISRSIRSSVRLGRNYLMLDFYKDSNDQNELLPTDITLPVAAYVGYKIPTIQSSTPFNFSNWLNHIKTVFCCNQPPKFHFAPGWETFGIESLKEAIGSVKKLVLETSLTNDSSRKLLKHFNSPSELFLERNPFEDACQTQQILIQNFEFIGFNDPFSLDDMLLINSKMVESYHKISQTQFNRFVKHWIRGSNPRLQYMSLLIDMGDFVNGEVYLKGIRCMEMSEDAKTEIREKYDLTTYVDMIQIRRKDGTTAAIGSLEDDVLYVHFIVLH >CRE23085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:59157:59975:-1 gene:WBGene00069618 transcript:CRE23085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23085 MFYFRLDFSVVSTKTNNLVTSLRLKATEVDITIYGSNDVGLFIRTSHLNTGFRPSTLFDFSAWINYIRTFFCYTPPPNVRFYDDCEKYEIELLKETIGDVNVLYVSRQLTDVMSREVLKQFNAPRELFLNRNPFEDICQIQQIFIQNYKRIVFDDVHSLEDMLLVNSEKAELSHPTTQKQFNQFLKHWIRGSNPRLQRMDLLIDSNDFVNGEVYLNGIRCMEMSEETKRGIREKHELLEGGMVEIRRKDGTPAVIATYDGHRGLNIYLIVLA >CRE23088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:62148:63259:-1 gene:WBGene00069619 transcript:CRE23088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23088 MDDTMFPLFRLPDNALLHVLRSMEELSLILFSLISNRSKTLTISANFKPENDLKVLYSRDFIFVIHLPNRDGIEFNLYDSSLWSRNSGHLPIVDLSHFVFSTVQADQYGKIGERIEYKESKGWTARQYLLHFLAILHKPYVFLYYRDSGFNADSVSKTMEGVTVKRLCTYGEFEFEKTMKALNHPSEIWFDTNQFTSHESLSKFYIQNFDYLILVNGFLVTLDDLLLMNCKNINVLFSRLREKHLNIYLKSWLRGQKEELEHVCLVGNRVDWEEEVVPYNKEVVLKGLKYTTVPDDVERLFNCSVPDTDGKRVETIKGGYDIRRKDGRLVTIVLQNDFPRFEMFVWSNDQ >CRE23057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:65742:71845:1 gene:WBGene00069620 transcript:CRE23057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23057 MRNELLILLIMSVATTSSDPGPHALSTAVINRYKEFKRLTASAHHHTLINVNNYPSNAENVTSRIKQYSLGQLSSVVFSETNYIFFADAYSFALTDSLHRLSDSGNISSRSKRSPNGKYSMSFSSLAITKSSHQDLPFNSIFPDAAFSTLQSHISALARIVTGISLYNGLVDNSISSNQAITGLMNLGSISLKELEKFDKKKVDDFVKLLMDTSNALDTNTVATEQRIIDLHTMKNMWNDVGDLRTIPNKTRFDSLKFLVNMDLSGLENFKVGADSQKSLKSLRSVSKSAIDVIENGKASAILKEMGPFQHFAQMVEYYFGSTVVEIKLAQTKTIDSVKGDLEKVQGLGSNTGSVFTTLSKIVSSRSESNTDVRQHTSGFINGFLDLKLVVKDAEDEWIRRTLKSLDLKDGMSVFNDLPETMTQLDEKWNAARTEPVKQSIQQAENYETSAKTMKYDASSFDTIMSNFRSCSFPTPSQDFKNDAVTLGGNSKKVMKKIEAIYSISRSAGESNENSSEVLEYLKPHLKTLSTGKKVNELVKEVMSKSDFYLDVRDKNYGKDYLDFFECLKNLKKDSSGLSTAAQLALDLRNLKKMAKFDTDMATASSAIAGSTDLIATIKSKIDEVKSLESGKKLIGLKELAKFSKPIGDASEVLSRVQKVLERKKELLDFVENGHSVEEAVEKLSAPSQQFEVRRDWVGFDELSSQILEQLDKIQKWADGLVKSEELNSYGAALEKLAGLGDVELAMDRRLIALDSLITFLKLTSFTSQTEEVVNFKKMITPLESLNLHFSKFDASLSQMSSTLAGLQNSGETAGNHTTMTTAPIEEKSEFDWTWVYVVAAVIILLLLVVIGLEVRFKCLTALWRRRPVKGTDETSVGGDRKRPTKGKRKLFKSKIHVPDADPALQEPVVKPPVNKSQAVEIGKLKRPLPRGDQEIPQQPAAAAPSEVAPPPTKDPLDNGRREHRQRRGSQSGDVQPPSDERPAKKEEPAGEGKKPTAEKLVEVEVLPDGRVMVKAGEKLKAGQQVIIRPPKKLNPLQKVDNPKQVRPGFKIDDVRYKPRLPYVVEYFEDQNDDNTLDNVASICNSSSRIN >CRE23091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:72582:73484:-1 gene:WBGene00069621 transcript:CRE23091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23091 MFHFRFEFSLVSTKTKYLVTSLGFEAKEVDISITGLLHVSVFIGKNNLSLVFYDESKNRNELSPIDITLPVAAYVRYEGPRIHSFTPFNFSNWMNHIWTIFCCTRKPNIRFYQGCEKYEIELVKDIIGNVNLLFVSRLVTDVLSKEILKHFNTSNLLSLGRNPFEDTCQTQRVFIQNFETIEFCDVYSLDDMLLINIQKVVFTHSISRKQFNQFVKHWIHGSNPRLQRMDLSIDKIDFLSGDVYLKGIKCMKMSEDAKREIRQKHELLEGGMVQVRREDGTPAVIATNNHRPKIHLIVLH >CRE23093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:74768:76845:-1 gene:WBGene00069622 transcript:CRE23093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23093 MEELSLIMFSLISNRSKILTISTNLKLENDIKVQYGTEFTFELQFSNRNVIGFNLYDRSLRSCHLPTMDLSHFVFSIVQADQYRKIDKLIEYKESKGWIARQYLLHFLAILHKPDVYFFYRNPGFNADCISKTMKGVTVKRLCTYGQFEFEKTMKALNYPSEIWFDTNQFTSHESLSKFYIQNFDYLILANGFLVTLDDLLLMNCKNIFVQHSRLREKHLNIYLKSWLRGQKEELEHVCLLANQVNWEEQIVPFNKDIVLKGLKYTTVPDDVERLFYYSVSDTNGKRVKTIKGGYDIKRKDGKLVTIVLKKYWPYFEMYVWPNDQ >CRE23094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:77662:78773:-1 gene:WBGene00069623 transcript:CRE23094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23094 MDGTMFPLLRLPNKALLHVLRSMEELSLILFSLISNRSKTLTISANLKPENDLKVLYAREFTFELQLPNRDGIEFNLYDSSLWSWSNGHLPIVDLSHFVFSTVQADQYGKIDERIEYKESKGWTARQYLLHFLDILHQPDVYFYYKDPGFDADCVSKTMEGVTVKRLCTYGEFEFEKTIKALNYPSEIWFDTNQFTSHESLSKFYIQNFDYLILVNGFLVTLDDLLLMNCKNIIVSISRLREKHLNIYIRSWLHGQKEELEHVCLLANRANWEEEVVPYNKKIVLKGLKYTTVPDDVERLFYCSVPDTDGKRVETIKGGYDIKRKDGKLVTIVLQNDWPWFEMFVWPNDQ >CRE23058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:79678:81261:1 gene:WBGene00069624 transcript:CRE23058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23058 MSSFPLLRLPFVVQREVIRIMHPYELVPLSVVSKRSRRLVESAHLKATRVIVRIESYITAKITISFNDYQKYTWEVHIPEAYSISYNNRRNKKKKKEKQECRKPGYELGDWIDLLLTVTHHQNLIDVLSITGILLPENPNFIQRIRNDFNGCKVNNLRVSGFDENQSFEICKAFLPNKRLYLQTGIFKREEEFVNVLNQNYDVLLFEGVFRRWEITPENFMNSRYIRIMFSREYNRGFNEFLLKWKYSEAGLLEHVNFNWRYGVEIVKDEVLSGLGAKEVEEERVYKKKFQFWKTEPTEVVIKEGFDIERIDGTIGTVLFHINQFLLQRVKIEFFVWPRVVV >CRE23063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:93194:94179:1 gene:WBGene00069625 transcript:CRE23063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23063 MEPTFPLLRLPENAIIKVFRNICLDELFFISLVSSKTKNLVKLLRLEACVVKIKIDRSIEIVVYTQPPHLYLTLRPFTLLEFSEWMNHIRTVFCYTPPPSVRFDQGCEKYKMELLKDAIGNVNNLFLSRQLTDVNSRKVLKYFNTPNKFVLRRNPFEESQEIQRFFIQNLQFMEYHDVYSLDDMLLVNSERISLYHPTTQKQFNRFLKHWIRGSNPRLQYMSLSINNTGVVSGKIYLKGIRCMEMSEETKGGIHRKHKLSVNIDMIQIRRKDGTPAVIGTNKSENVLYVHFIVLH >CRE23095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:95535:96431:-1 gene:WBGene00069626 transcript:CRE23095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23095 MFYSFRFVISLVSTKTKNLVSSLGIETEIHFTVSSSITVRVYFGDDSMDLDFYQHDQNRPVNFILPTATLCDYEKRTMQLTIPFNFTTWKTHIQTVFRCNQPVIVHFRRGAETFDIQSLKQAIGYIDEILVNERLNDGCSRQVLRWFADTKNLFLDRSPFETPLEIQQIFMQNYDKLVYCGLFSVDDMLILNSERTELTHPKTQKDFNRFIKNWIGGSNTRINFVSLLIHKTGFDVENTYLDGIRCMVVNEATEKEIREEHEFPDGVDMNQIRREDGTTAVVVAEHLMTVLHVHFIIL >CRE23096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:100177:113017:-1 gene:WBGene00069627 transcript:CRE23096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hipr-1 description:CRE-HIPR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N9G7] MDHRAQLREAFVRGQLEAVQKAITKNEVPLKPKHARTIIVGTHKEKSSGIFWHTVGRIQLEKHPVLTWKFCHLVHKMLRDGYRKVPEETYRFVGRFTQLSQFWKHLNTSGYGPCIESYCKLLHDRVQFHNKYPVVPGKLDLNDSQLKTLEGDLDNMFEMTIDMLDQMDALLVLQDRVYEMMNSLRWNSLIPQGQCMLSPLIIVILDTSKFYDYLVKMIFKLHSQVPPDALEGHRARFRTIFERTKKFYEESSNLQYFKYLVSIPTLPSHAPNFLQQSDLESYRTPHAYLHSEGSEDGTSLNGHDGELLNLAEEEPQQASPSSQPDPREEQIVMLSRAVEDEKFARERLIQEARSRIEQYENRLLQMQGEFDHARREADENREEAQRLKDELALRDASRTQSDDARVKEAELKAAAAEERFNKMKGVYEKFRSEHVMALTKLGDLQKKLDASEKTAFDKDEEITALNRKVEEAQRETGRAISKAEGDAGAVDEMRTQLAKADIEVEELKRPGLRAGPWKFSSRTDELALRDASRTQSDDARVKEAELKAAAAEERFNKMKGVYEKFRREHVMALTKLGDLQKKLDASEKPAFDKDEEIPALNRKVEEAQRETGRAISKAEGDAGAVDVLREQEAKSKIETIDHLRESHANQLVQSSADEANKILLAEQEVARESGVGITKMFEHCEEELQNATSITYPPHLAQSAMSNLVTVLSNERLDEPLAARDNVFAGHLLSTTLSSAASAAYTASIESYEGVNDQCKKVLAAAKVAFSDDSSLSRADKMKVLREDLKELNHLIVSLPLATDIDKEVVGSELEQEMRRMDEAIRRAVQEIEAIQRRARESSDGIRLEVNESILANCQALMSVIMQLVAASRELQMEIVAAGKQGGSPAEFYKRNHQWTEGLLSAAKAVGVAARVLVESADGVVTGKGKFEHLIVAAQEIAASTAQLFVSSRVKADKDSKKLEALSIASKAVNQNTAQVVAAVKTGQTTLNDGDSLDFSYLSLHAAKKEEMESQVRMLELEQSLNQERAKLAALRKQHYHMAQLVANKVSGSAPFSSFQR >CRE23097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:118745:121497:-1 gene:WBGene00069628 transcript:CRE23097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-11 MASKGAARVRKKEIVKVIHGALLRTNIKAQMASAAPPLGPQLGQRGLNVANFCKEFNKETGHFKQGVPLPTRITVKPDRTYDLEICTPTTTWLLKQAAGIGRGKASKDEIVGKLSVKHLYEIAKVKSRDKALQHVELEHICRMLIKTCRTLGIEVQYHDLNPDELKEFLVARKEKVDAQLKELADKKAAKMLRTT >CRE23064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:122716:125313:1 gene:WBGene00069629 transcript:CRE23064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23064 MSRLAGRVTFPGASDSQEQDQSTSSSTSNRPQNPRGPPKNRYPDEERSKPRGKGNVRYQGTYSDKFETSERDSEGRTSQKLENRIQKPSDRDSEFRRPQKYENRRQETSERDSDFRRPQKTSDRDSESRRPQTHHRKPTQRVEDVMPSEELLEIEDFIEQISDLNIRNDRSAAQIKRNILASQDLIDTMSSDDWEKVCRSMLTTALDQGEPEFIADLIVALFNNRMFSAVMSDELMAQSSDHIIENDEKPIPALLSAILCAHWPRQYAKAFDNINPILYTVVCIVKGWIEVVNENTGVFYKEEKKRPEYKSMNRDESEDVAASEPEEPKEIIPETPEMVNCCAVALSDLCDTAQRQLWVNWMAVTDEIYQCIKPSITHNQNLTGDVKAKLLDTFIQMNQWTKNRQAGIKHTGVQTVPMA >CRE23098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:128387:129295:-1 gene:WBGene00069630 transcript:CRE23098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23098 MFHFRFAFSLVSTKTKDLVTSLGIKTSNVDICVSGLLCVSVISTENYFSLYFYDESKYVNELSPLDITLPVTPSFEYQGSRMQASTPFNFSDWINYIRSIFCFTLPLNICFYDCEKYEIELLKKTIGNVNVLYVSRLVADVMSRTILKHFDTPCTLYLNRNPFEEACQTQQIFIQNLQFMEYHDVYSLDDMLLVNSENVDFCHPISQKQFNQFLKHWIRGSNPRLQFMFLLIDKTDVTSGAMYLNGIRCMEMSEDAKQEIRQKHNLPIEVDMIEIKRKDGTSAVIAIEDTEHSFYFRFIVLH >CRE23065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:130295:131364:1 gene:WBGene00069631 transcript:CRE23065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23065 MKPRFPLFRLPNSAIIEVIKNFPLRQLFAFSLVSTKTKDLVSSLGIKTSKLDICVYNLLHVSMISGESYLGLNFYDESKYRNGLSPIDITLPVDVCFEYKGSRMQALIPFNFSDWMNYIRTIFCFTKPPNISFYGSCEKYGIELLKDTIGNVNVLFVSHLVTDVMSRTILKHFNTPNKLFLCKNPFEEACQIQQTFVQNFETIEFDDVHSLDDMLLVNGKKVYFYHATTQKQFNQFLQHWIRGSNPRLQFMFLLINKIDVASEAMYLNGIRCMEMSEDAKTEIRQKHKLPIEVDMIKIKRKDGTSAVIGTNKSDNIIYFHFIVLH >CRE23099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:132230:133141:-1 gene:WBGene00069632 transcript:CRE23099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23099 MLHFRFAFSLVSTKTKDLVTSLGIKTSNIDIRVSGLLCVSVISGENYLYLTFYDESKYVNELSPVDITLPVTPSFEYQGRIMQALTSFNFSDWMNYIRTIFCFTKPLNIRFYRGCEKYEIELLKDTIGNVNDLFVSRQLTDIVSRRLLKQFNTPKTLILCKNPFEEACQTQQIFIQNCEVLEFGDVYTLDDMLLVNGEKVYFYHPTTHKQFNQFVKHWIRGSNPRLQHMSLSIDKTDVVSGAMYLNGIRCMEMSEDAKTEIRQKHKLSVNVDMIQIRRKDGTSAVIGIKKSDNIIYFHFIVLH >CRE23066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:134041:135106:1 gene:WBGene00069633 transcript:CRE23066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23066 MEPLLPLFRLPNSVIIEVIKNFHLKQLFAFSLVSTKTKDLVTSLGIKTSNIYIGVSNVLRVSVISGENYLCLNFYDESKYVNGLSPVDITLPVDVCFEYKGKRMQASTPFNFSAWINYIRSIFCFTLPLNIRFYGGCGKYGIELLKDTTGNVNDLFVSPLVTDVMSRTILKHFNTPSRLFLLKNPFEDGCEVQKFFIQNFQFMEYHDVYSLDDMLLVNSEIVRFTHRTTHKQFNQFLQHWIRGSNPRLQFMFLIIKKINVASREVNGIRCMEMSEDAKREIRQKHNLPIEVDMVKIKRKDGTSAVIAIEDIEHIFFFRFIVLH >CRE23067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:142502:150015:1 gene:WBGene00069634 transcript:CRE23067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23067 MPSSTASSEDCTPEVSTAWMNWKDVFLQCVQPMLAVVLLLRFSSIVDEAGFPTTLMMVFFTFLITFVTGWSSCTVVSRKSSEVGFVKTMLAYSNTEFALSFSIVYLICLLTATSTFLTSAAEAVLHIFSTFSLELLDGATHDLRLVSSVLSLITLGLCLVRNRNARYVRTFIFALTCIAIALHLSSVMFRYGEYKMHRVSDRNAMIPSPPSDEINTVFSQLFPAGMCGLTILNIGSKLQNSAPRGAFVAITVSAGFYGAATILDYMEFFARTSHSNSTQTTEYNEFLSYIYTTVPMAIVVTLACVLSAVTTLKYCSVILQSLSRSNQCRCFLWLAKGYSKRDIPIRCLFILSTIQILISAIGSYDILCIPFTVFYLFAYALFNFYVFLVKLSDPEIPSPPTLVSLSIAAVCFLASIYTNRHLALFIALIFGISYCCLLYILRRESNDDGEECAKSMYPTVLEQMHELQQEPDSRRHYHPQILLLSGSPAARPGLVDFAHSITRGKSLLICGYIIPQDPCSRSYLLQLKIDKQINDWLRAREVNAFGAAICCTKQATGANILLQTAGLGRLRPNILMVGFKTGWEKQSKETISEYFQMLSNAFDKQVGLIVFRNEITGFDVTSSIRKNGAPINDDEDLAEYVDRV >CRE23101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:150814:154320:-1 gene:WBGene00069635 transcript:CRE23101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23101 MLDTPLEGIFTCAQFTGLGVFYTYFLALILSFNIPITHAVYMTFLGALLVHFSGFYKMDNWKYEPKTCIVYGLYMMSFCSAALSACFFAHGDVDTELILIVLPKVSSILFFISFYLTRISSIFYVSILCASCKSSEVAGRLTWLVAVILMAFNLVGYEDYQKSLGITLIVYGFLYLSLAFFISDKLPETRGLFDHEVAKLFGFYQLQHVLKYEEHRTFSCSEATTFYFRNLTNDKVAINVSLIFSNPNDHLTAEHFSRKYIRGRGHLKIEVKKGQNKQKNDRLFIFYAKDLKDLENMNKCGFLTVRF >CRE23068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:155230:158976:1 gene:WBGene00069636 transcript:CRE23068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23068 MELQDEALDVEKPSVLQLYRSATLTFRNLRSVLLPAPRGKLLNTFRKMSMAVNKDLESGGRRSTSSSNRFQVIDKHSISEPDQKIIMQQMYRFRKRIANARIDVFWLREAGGLTMLVPYLLTHAGSFLEGAHIRVFTKTDGKDNKKINEEQKTMAGILRKFHIDSSDLHILPEFAKPPCKQTYDDFKDKVEKYRIETNRNSTTKAEGTFDNDDLFNLREKTRSFLRASELIREHSSDADLIVCTLPSARAEIPSPIYMGWIDMLSKQIPPTCLVRGNQVSMSALNLKFP >CRE23102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:160494:162224:-1 gene:WBGene00069637 transcript:CRE23102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23102 MTEVGNKIPYPELGSELPYPELGSWKSEWKKCPEVGIPCNSGPDQSYLLDFSDSDKAIPLIEDQVYDEAPLNTVLRLISVHSLTCGGLKASVLQHYRRIVNQSYGSHALNKVLKMQKMGLIREKGGHGKMQCEYAPMLFQQMKKNYDMLPENFSEAKLNDMAYAYSGFSPLLCKILEEGDRVKWAGWPKTMLGEDLDPISDKDPRGTCIFVIGGLTRSEMALIRENLPNIALITTSALITGDKLLNNITNI >CRE23069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:164195:168363:1 gene:WBGene00069638 transcript:CRE23069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23069 MAHNISTPIDEDADWTVLYVSFSIIFIAGFTVTAVLLLWCKKLLCFSKRQIFCPIIDMDKQEDLYPPLSEDLIVLVTGSLTFGTNEEKFDSWIKLMRMVMEEKRREKRKYPFRKLPPRKYRNYSIPLNPLTSLQIIHGNRIRSRDNTVFYATQMPMEQSEHFDETRIDFLNLIWQDEIEVLVMLGPTRPYDGKEFVQLDGMYFCEGAKGKMTIGSYEVETLKEMPFLVDGKNNNDVLMRTIRITDKKKKKNNFREITHFQYVSWNDKDVPPNGFETAYQLMSEIKKSKKPIIVHCTEGVGRTMAFIGLDYIPSHLEIHDEWKFEDGFKKLIEKRYKSFQNAQQIGWLEVGVVYFLTKKYELEMAMFDAINTKYSTICAKGITEVGGVRWR >CRE23103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:178107:181260:-1 gene:WBGene00069639 transcript:CRE23103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-33.1 description:CRE-VPS-33.1 protein [Source:UniProtKB/TrEMBL;Acc:E3N9I4] MAAGSDDRDDAAAILNWEGTNEIKSANEYSRNLLFSILDSLDGNKTIVWDRDRSVMHRVNLFAGASVLAAHGVVANHSIETKKIATTPHVVFFLAPTMVSLDLLCDYIDNVRNDTKILYQVFFIPEAWYVVRESLKLRNEGKYWERLESVKEIPLCWLPRDGECLSLSSPQIASRLLINGDWTHLHKCAVALNQLVDMCRGRSPSTHQRPMSIYSKGKWATDVARMMKKVRSSTEADNLLKNADPIEGLLKINRIVLIDRWLDPLTPMLSQLTFFGLLDEIYGIGMVNSVKVPETEFTNQGDKDGNPFDEKIEKEVHLRDEVYHRLKHSHINAISNEASKVLAEIRDDEQVKYTTLTLFTILANRTETGRSVTLLKLNVMS >CRE23073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:174550:177210:1 gene:WBGene00069640 transcript:CRE23073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23073 MIVHSLLKQSGKHFRRYKLLQLISYGSFGAVYEAETTSTTVAVKLSTDKESMDNESEILIDLMGLDCVPSWLWRGFENDYEVLVMRMSYNLDLHALRLLNFSQTFSKPTIQKFLLQALSALESIHSRGIIHRDVKADNFLCSVPSGPNNTVRIQITDFGSAARYLDGDGNFWIGDERSFNLMRHATPNMMDGGRASPVDDVLQLSYCALHIDNNHKKQFQWMDEQLNDWKNALIHLPELTLHSKSMWLLDFFEEIGEFEGTTTVDYAPIRKAIVNMKTGEDAFGDLCLTEVDGKLCLL >CRE23074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:183038:184166:1 gene:WBGene00069641 transcript:CRE23074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23074 MKLEISFLLLFALVIHQDVLATTRPDRHKHRSHHRPKPQNDQPTGNNPPKVNSTNPADCQHECNPYPGFPPTPPDFDFNCTRSTTTAGPHQPTTTTRYPPTDADTTDTTPSRDPTATTENVVTTITPDGPIGSTGTTDSYPGNHCLSTYWHNRFGAWNPQASPPNRNXLILSQEPQPLXPTGTTDSEPGTTASPPNWNH >CRE27893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:97661:98929:-1 gene:WBGene00069644 transcript:CRE27893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27893 MPRVVSLVLLCSLVFYVTSDQIVQGALEKIFPYAAVAKVKTLTTNVNKQTVIAKAKTVVKNWIPTNWKAANAKVDAKNPLSKQAYAQKKALAFIDYRFSLKKYINYLYNQAVKTKYLTTPEANNMRTMFWAADAKALNNYTVTCQTFMVEAMTKIKKTPTIQDSVTDLTGKFAAANPKDYANLQWTL >CRE27890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:88518:90356:-1 gene:WBGene00069645 transcript:CRE27890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27890 MSLKVVVEKIEKVCGVLSLFTISILLYLIIFKSPQRLGVYKYLMIYLSIFELIYASLNFWAPSQIYTKDSGFLVAMDQKLMVFPVGMCNLLYFFMFGTSLANFGVHFIYRFLAVNGNKEWTSFNATRVFIWITCPLFLGIVYTISTYMFFGMNEATEQFMRLKVLQNDSIVNLSFIGFYIYPVDRNGKEYVNWHSIFGLTAVSTLITISISIMLYFGTRCYTKLHSFTEISTVSHHYRSIQNQLFFALVIQAAIPLGLMHLPSTIIAITCFMNSAPESLGPVTAIFISFYPVLDPLPNIFIIKSYRNAFLKFQTCC >CRE01519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3440:1068:2076:-1 gene:WBGene00069646 transcript:CRE01519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01519 MKLSKRILVDCSDPSPVLPTTQCAMITAVDTTQRILVMSFRATYGNTQLGEEVLNYFVGKKQFFDVGMIFEFFYDAYVALWRGGLEQEIRNLKYKYPDYEVWVSRLRLFKIF >CRE27888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:80524:83224:-1 gene:WBGene00069647 transcript:CRE27888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27888 MLKSLVILSVGVLAVCQALQSCEDCLKSGNHFCADTKLCNSPVCLNSITHIINCPRAPNATYDDNEARTKWLPLFGASATGPIQAQKCFDNNWPTMKLSKHILVNCSDPSPILPLTTCAMLTAVDTTQKVLVMSFRATNTGTQLEEEILNYFVAKKPFFDSGYIFEFFYDAYVALWKGGLEQEMRNLKYKYPDYEVWVTGHSLGAALASVGASWVVKAGLFKPDNIKLLTAGQPRTGDYAYSLWHQNTFAYSFRVVHAHDIVPHVPFQYELVDHDKMYHHRTEIWYNNDMSVGSTYHVCQEADGFYCSSQNADLSWNDHTHYFNTDLDGYGNQGCPKKQ >CRE27878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:79392:80354:1 gene:WBGene00069648 transcript:CRE27878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27878 MKKLLIFSAVFGKLYVFSTIFGFVSLFPAISSAIPTQLKDTSPITVPACPAGSRPLLRPDGQPRKCLPHQNSLCINALPDKPNADTVCCYHNQVDYYCCLDTTEQQCPDYHQVTVVIHNSFPQNPFALKSFFFKDGIEDDIIDATFGRGDEGLQNENGILVRHQQPQGNEILKQ >CRE27877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:61132:61884:1 gene:WBGene00069649 transcript:CRE27877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27877 MKVAPKKCEHIIFSHKNKSACVNDINLKLNDMPIPAVSTVRDLGIHFSSQLSFTHHHVVTIRKAHQRINIFFSVLKYSSWKIFIKCYVVYIRPLLEYGTVVTSPIIKENVIMLESVQKSFIFRVYKKFNMTYTSYFEALDKCDLKSLEHRRLCIDLIFTYKLMVTKEVIIDDPIFELLDHSKLRRHRYYLKSLTRNSTKLSSQILSNRVLRCWNSLSDLVFPVKPSTSVFKSRIYKYNLNHFLSLNPTNY >CRE27876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:55700:59141:1 gene:WBGene00069650 transcript:CRE27876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27876 MGIVVDPTWYFYSMHFTAILTTPINLIGKTSGQTDFDFQICSMTTDFFINIGTLPVVYSPFPIGRPHGIFTRIFQFFGISLSTEAQCIMVFVSIFITAGSVELLFFLRYQAILPHSHPYKLTTFTSVVLVSLYQIVLITIMIISFHSAVPDQKVARAQFASLYPEYQYLVIDEHVYFVCVIVELVHIIFLFSCFFRLGLGMITVILLIWMSSRSLHRFDLSTKTRMMHMQLIRSLCYQISVPILAFYGPVFVVIAPLMFTIPNTQKTIETLLLSIAGILLLAFILVMLFHINCAQELELLFSGTPVAIQAEENANKELEILDVPAADHRIDSDSNVVLY >CRE27874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:34354:37705:1 gene:WBGene00069651 transcript:CRE27874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27874 MTVDLTTAEGIEDAKGKIGLAAEAMKELGETGLIALGKTIPQLACLIAVGTTLKHIIAGTKADAMEPLMKKFGELKSEIDKLSSKMSSEFGKLKSFMTEIKFESKISDDIGVLMIYMSAMNEKGLTEKQRKTRVQNFKDKYAKYDPLEYARKLRVMNNSFNSPLNTAVEADYFKSQDAYDKWEKLFTGVFKQLLMIEAVAVGLINDGNENNYDELASEAHLYIGMMDHFRENFKNDEDFWDKKVKEFVKKTLEDNKDKPVWKKADLIQKAMERVLTNDIFVVTVSASSCPCLKHVKNSDQILVDLNHSGCRALIYRSHEAYSEATDHTFQKIRDGMQEIKKVINEKDVKSFWTTQKELDEWATDKVKDAGYLVAYRERNVGIAYTRAKTVRNSCTWLIEDKHYSDFNGIHFNSMVVVTGFM >CRE27885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:26923:33997:-1 gene:WBGene00069652 transcript:CRE27885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27885 MEAGLQSLDQVIPAIDQCVQDGIFSFHHISEQIDNISAIGALASSVEDGQESEATEKFNELAGLIENLSGSMCSHLNDLKDFMANEPFYEEAMNGSLHLLNLLKDHLTNPGYGTTGDFMAAHGETNPVELGYLFIEAYAYGLSLDENLADPHALMEEIEDFEMKCEMWRNEHQTSWPDNVLNFIETVQDNENIGSCDEMADLIREGLEKIDTEDVFYVLVFPNTSLYVLHSTLSDQVVTSCDRGLFNVIVYKSIDGKYAGEDEWNGFRDVVDQYREVQKINNWVTDEDLENWANGIENNGFMVILETIDGMAVRSTKTDQLENGPGYGITVTLTIKSKQNNGDDEEEFVDDGSDEIVEIEENEVELVDTTEELYLLVGYK >CRE27873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:21185:22053:1 gene:WBGene00069653 transcript:CRE27873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27873 MRLLLLFSFFILLLSAFSTIPTYDSGILEILIESGAPVHAGFVVTYENKSHLTWRHLKPNTPELLIFEGFDLSKAHEEFMNVTIHDNVTSNSVTFKPVNKPVYDIDELPLPYTGLQIKMKCAEDWYGFICQTHCLVKDEFRCDGCGRPACAQGYCGWNCLKSGSECPVFANCSCQNGGECYSPLSESSTRCHCPTGYLGDDCQFFYIFKEKLDFVTNFGAKLTVPNKFSNRADIYQLFEEYGEEPRTSGNRICDLM >CRE27872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:19052:20121:1 gene:WBGene00069654 transcript:CRE27872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27872 MRLLLLFSFTILLSSAFFTIPANDSGILEILIESEAPIYAGFVVTYKNKSHATWCHLKPNTPQLLIFEKFNLFSGMNQALMNVTIHDNITSNSVVFLPANKPVYDINKLPLPYTGLQMKLKCAEDCYGIFCHQYCFVKNERWRCDENGNPACAQGYCGWNCLKSGSNCPAFANCSRKNGGECYSPLSESSTKCHCPAGYLGDDCGFFHIIESKLDLMTNFSANLTVPNKFSNRADIYQLFEKYGEKPRTSGHQDAQTYQSFGKLAEICVLIWLVATTGYCIIKCCGESKKDEKKNLETSGDEKKKTSEEEKKCILIEMNDM >CRE27883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:16063:17113:-1 gene:WBGene00069655 transcript:CRE27883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27883 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3NG83] MRFLLLFSFSFLLSSATFSFSTHGAGILEILIESGSPVHTGFVVTFENKSHVTWRQLKADTPELLIFEDFDLLNGHLMNVTIHDTITSNSVVFPASNKKVVDFDHLPLPYTGLQMKTKCAENWYGYSCHKHCVVKNELRCDKYGNPACAHGYCGSNCHKSGSDCPVFGNCSCKNGGECIRGISESRTRCHCPTGYWGKNCEELEMYRQKRNFTTNFGGNLTVPNKFWNRTDIYQLFEKYGEKSRTSGHQDAQTYQSFGKLAEICVLIWLVATMGYCIIKCCGESKNEKKIVETSGDKKKKKSEEEKKCILIEMNDM >CRE27882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:4452:9834:-1 gene:WBGene00069656 transcript:CRE27882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27882 MNSGRNSCLLLSIFIAVNLIIYRNLFLENQQFHRELQKTDDTNFSEFLVSGVNMRSIDNFSTASSFLHVDSTGNSQNTCVLPEYDIWHADIKKVLTAKFPTNNCDKSFEPWTQLVNSTWRIVNEAAELCLARCIEGVRASREVTFGKWMTPGYVDCEFLEAVCWDSGGKEVYGYIHTQIIAKPTPPPIHNSPPNVFFLMIDSLSTGMAKRSLPHFLKYYQSEYGGIEFRYVNKQSSEWCPPLTFLIEDWMVELMDSYPFCKGFFNKPVDHMFRPFTSVFERFGMDITRQHLKGHLCREIHEPVIEYWEQAMNAYRDRPLFTFTWLTDLAHEYPDGPVRFDDYFTQFFERNREILDDSFIFISGDHGIRVGDVSVYYSNYSNLPPIFQHITSEIGSFERNNPFLAISVPKKFRDDKNGMLEVMRVNSNQLQTHFDTRATILDILMYQPVTAFTDRNPLSIPNEKGHSLLRKQPEFPRTCGTLPIPNQYCICQVKKTKVNDQDLKMRLGRKVLDQVHMQLDKLNFTSICRRYELKEVPSLIEYDYSSPWNTYEIEVTTKEPSAVHFQTMITYNQETHTATVAKVVRMDRYGSTSECTSAYFDTPMCYCKIQGPLSYITNIFDYWL >CRE27870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:679:4275:1 gene:WBGene00069657 transcript:CRE27870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27870 MQSDIMNRSGIDNTIGELLNLGPYNASNLRKLKVSGLDEFMDVVKTFLRSVNTAYIKNGEKYISACEDIRIGSKPIRSNTPYSFPFRAEFYEKVEGLKNKFDGIIVENALKKLRSISTTLQTVQTYSLEEFVFESEKTTVVQGFHKLSNEIEDAKNDVNKLKEFIASIADYQYFKDEYERNPESENPMILVGLTELSLDKRFETLPSIVPMSFKENFIMLDKMKELVKPLEYFFDFIEHMIKYPNVPSADLKGFGAISQLSSEINDHSLNGLLKNQTDIEKLMDGLSPILTTQKASKLANISFSTNQKTRDVVSNIYSIVKDLNEISSSVENVDNTFNDYENCLKITWYSQGITLTAMSAESEMFEDLYMLSMLWIDYQKLTTELTNVTSLITFKHPNDILVSYSEISKVDVQLKSILNELKKSLDQFQRIPKDFNADTFTTHMKEVLNYKETFKTSLKNERLANEYLVFNCLEELGSRSRDVNIASRLVRKLTVYLDSDQLSLLKTYFNSLKEPVKLFTTNESIETEMKKQSVEKTVQDLNQQDWSLATTIDRAVTGIKNVLEVKKLVDLKILGQLLRNMDTVSEEITKLSGWSIKRKLKKKWRKVYDVVDRIEMGLQFFENWIHETDISTMRNISEYGSFFTGFEKMPDMWIDNSLEEVLDYVIPLVEDGTLRNELIDLKSKLDRMASLDLQFSKYNYEKVPEAFGKFDKFLNDFFSEDLPIGSEELTEDWTIYYSCLLLLIFILITGIVLFILWYYKLLCFKQRKNRTLCSVVDMDADDKTVNPLTEDLLVIMVVNASMGAIQQKYELWMELMKMVVNETRNENRAFPYIQLAIRKNWDVNLPLNPWTALQSIRLHANTFLTRIGNIFTVTQSILSECGDITNYTSFQGPMYASDDHDDTRIDFLSLIAKDETEYAVMIGQAQSEDDPKNLSLCAAYFSQGPGGSVKIGPFTVETLDETPFMNQGTAQIDVTLRTLKITDKRTKKVSRTIKHFHMSTWNDEDIPPFGYETCYQVMQTIIKSKKPILVHNTKGVGSAMAFVGLEYTSRMMEYHEEYTYKDAFRKLIEKRYCSFQNARQIGWMHVGSIFFTSRNHNLDMYMFNQMNNVFFEVDRAYSGVPKNENGVKWC >CRE15012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:9160:10290:-1 gene:WBGene00069658 transcript:CRE15012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15012 MTSPFPLFHIPYVPLGRIIDLMEPKTLVSLSFCSQKSHSVIKTQRKLSFDGHLLVGESHQNASFLSFTNFVCWIVPKSNNVLIARKFEENINYEGMESVKMGGQHVRVKMDQSHGYIISYWKNTTEGSKVISEYITNLFNIDVSDIWASNQSFHMIEWVNRRQKTPLKNVSWSATTSSEEDMIYFLKDYRPISQLRIYVKPPPNFRFFEKFRKIDCLDIWYGEWVTIDNLLTMDGIDIVLESSTLTSTNLNVFLKHWLSGGVLDGLLHNAVFVENSRNYTSPFGNSRTLSFGYDIQRADGVTATVCKQENETLVIAVWPETTHNYN >CRE28005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2919:1218:1979:1 gene:WBGene00069659 transcript:CRE28005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28005 MHRLVEYLITYWEDKLTGLKAITDYVTDLFNIDVSEVRVCKDSFKMIEHVNSKQKTPLEKVVYVDWNVVPSEDEMNYILRDCRCSSQICINSEALPDFRFSNNFRRIDCLDISNSKWVTIDNLLTMDGIDIHLNNASLTNSDLNVFLRHWLSGGSPRLKLFCARTGSVDIFQVLAGLLNNAVLVQDRGDYTSPFGYSRTLSFGYDVKRGDGVTATVCEQMNGTLVIAVWPEITYNYN >CRE15015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:17436:19980:-1 gene:WBGene00069660 transcript:CRE15015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15015 MKHLFLITIIATESRWNRSKQRCKHAKFNMDECWTDPIPIDDIRMGHKHRLEFILTCATAEVQSECAKAGCQSKCEHTESERAFSGTYATVELKKALELGYKIVQVYHGVEYKNWVENNADGEGGLFTSYINSMMAEKIVSCIQTFKNPFLFKFQYSSGWPSNVNTDEEKAEYCQGYWEKEHIRLDDWTRFVKNAGKRAVAKLLLNSLWGKFAQRVDREHTEIVIDPSKFWKLVNDTSIALLDVRPVNDVVVIKYRKKAETQV >CRE15017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:30593:31474:-1 gene:WBGene00069661 transcript:CRE15017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15017 MRFLSSLLLTLIGVDTATYFTANGTLSCNMGKTWCYYISMTEVDNFAVFSDKIDFSGVHCVKKEKEFEIKMRKRKVVRPTVSESVYKSWVHYEWNVEIEEKEDIVSEYW >CRE15010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:49579:51013:1 gene:WBGene00069663 transcript:CRE15010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15010 MKRKTLNAHNSRGGAPPPTSLEPKTKKTKPEIRSHEKEEETVNHTRTDKKRKYQPSTSSVQNQGGFLEEKKRQPKPARIVSTQRHGKGPQRKMQLRDFERSETFPTPEEEEFFTENVITSNEPHFRRHNNQFLIADTVRMKFINLDKVKDNKILHQHVAKLLDIFIRRMLKKSGGNLKTTKYWLQLNHDGYTDRDGFFVTHKTYAVADGGVIMNEIAKQMQSNKELRLDESFTVAMNVFKDKQSQLRGRGTHATRKTEKIEKIRRVLLKQHFGVSLSRVTGMSHCLPKALALGKLESDIETTTNGDERQKMEKFYRSLVRPEVLLDSLIVLNMCFLQITPKFKSESQGKLAKQLLADAGMDVNKEEHGRKDLIELANYLSNYQIILWTANKNQAVPTEEKRFNPDGKGFIGLFYYEGHYEHVNHTKGKHASR >CRE04696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:119607:124697:-1 gene:WBGene00069664 transcript:CRE04696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04696 MKRKTLNTHSSRGGAPPPTSLEPKTKKTKPESRSHEKEEENVDHTRTDKKRKYQPSTSSVQNQGGFLEEKKRQSKPARIVSTQRHGKGPQRKMQLRDFERSETFPTPEEEEFFTENVITSNEPHFRRHNSQFLIADTVRMKFINLDKVKDNKILHQHVAKLLDIFIRRMLKKSGGNLKTSKYWLQLNHDGYTDRDGFFVTHKTYAVADGGVIMNEIAKQMQSNKELRLDESFTVAMNVFKDKQSQLRGRGTHATRKTEKIEKIRRVLLKQHFGVSLSRVTGMSHCLPKALALGKLESDIETTANGDERQKMEKFYRSLVRPEVLLDSLIVRNMCFLQITPKFKSESQGKLAKQLLADAGMDVNKEEHGRKDLIELANYLSNYQIILWAANKHQVVPTEEKRFNPDGKGFIGLFYYEGHYEHVNHTKGKHASRFCYQCSTFDDNQHYRKCKAKCKRCGATGCKQEDENIYCSFCNIYFRSKMCFDAHLTAKSKKGLPHCKKYSRCEKCNLIDRSERIRGETHVCDAKAYCPICREKALKGHTCAHHVPDEKEKLRKQENQKQWAIIVYDMECIVAESGLFEGHIERGPKHKPNLICVRMICSDCRGEEGCQLCVQPWTYSYKDFPPFKNNKHDSPLASFADFLLHNPRAAGAYVIAHNGGRYVSIVNFFNFQDIFRYDHVMLLAELDRQGGTKAKEPKILLNGMTIITAEFEYEKRKLHFRDSFQYLQMGLAKMPGAFGLEGEAKGFFPHLFNHPDNYDKELKTLPSKEYYSPQFMAPSTKKEFDDWYEKCYHDGFKLHDELLKYCQSDVRILTLTLMSFIEMCESTFNGWNPIVNGCTIASYVMFVLKHEYIKKGDVGYIPENGYGGGNNSMLALKYIQWLEKIDPTLHLKYKLRGGEVKIEANGHSYFADAFNETTNEVFEIYGCVWHGCPKCYPDRDKKCPMRPDKTMEALYKETMKREEDILNEGFNLNSVWECEIYEQMEKDREMQKFFELNKYDQRLKPREALYGGRTQAFRSMAVAIEDIFLNYYDFNSLYPYLNAGGTAYPRGNPIVVDKDFPNTDEPLKLKGMHIFPILTNIYILGIVFCDVLPTQDAAMGYLPQKIMKKLMFVLCRTCANNQNIEGKCTHTKVSERFLTGVWCTDELNKAVLKGYKVLKYHEIWHWPEEAWVKGGFFADYIKPLLKLKHESSGWPKENMTDEEKKAYIARIWEMDGVKLDPLKIIKNKALRSLCKIFLNSAWGKFAQNPMKVETRLIYNSDGLAMANFFNDPNFEPTGLLPYGEHKHFISRRPKKDFLKTSPFTNLAIAAITTCAARLRLTEAIERVGIENMIYCDTDSVIFKQKKDSDPLGDLKGDNLGYLTNEIPPGNELVEAVAMAPKVYALKIRDKDGNYSYTVKAKGMCLNSGNTGSINFDTMKESVGISSVMLWPTYSFQMNAFIRDGVATPMEGEMLTFKRGDNALDGLWTFRLKKSLNPRMDKGHYVDGVVAPFGQISGDIQLINDYPF >CRE27804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:227790:228940:1 gene:WBGene00069666 transcript:CRE27804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27804 MAQKFDGYGVFGWLGYPIIPGILYFSSFYLYCQPDGYSDDFVRQEMLSNYELAIGEVPRFVIVSYNADGTLRWKNMLFLAQGVAVIGLHYLVIIYFGLQMHFHMKLKLKDYSATYQRLQKQFFRALVVQTMAPTLMFVIPAGIVFMGPLISPIFGISISLQTGWLCSMISVYPPFDSIAFMMIVTEYKKIIKEHIAYLFTPPETVGTVSEMTDARGAKPIRN >CRE27828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:217154:224032:-1 gene:WBGene00069667 transcript:CRE27828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-78 description:CRE-NHR-78 protein [Source:UniProtKB/TrEMBL;Acc:E3N5K3] MKCAICESVALSLHFGAPSCKACAAFFRRTVALDITYDCITGNNDCEVNHERRMACKRCRYQKCIDNNMQRYLVQSKKGRPDGNNDVKMSEETTTSSPSSTPFSSTPSTSSTVPLGSAPLDSDEIQKLNEHFFKMDSNLNKSRRMAFTNSRLLDIFSGMCKMPFEKHQLQPFDFRSYRGYQKQEYVMLFNYANTLPGFQDLSNASQNFLFRIACGVDFVISSSYYTNFIGMESNLLINQDGTYIQMMPLPLLGDEPGTELMFTKKEELEKYKNIIKPRVKSWIDFMPLYESLDPSFEENSILKALCCWQTAHFNLQECDKDTVRKQKSLLTQCLLKLCIDTFGEEEGAVRAANIILFSSSICAEVMELVNSLIIMSFFEIMDCDPLINEILSTTTLFS >CRE27802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:209485:212009:1 gene:WBGene00069668 transcript:CRE27802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27802 MSPFKFYKLPYLAQYLVVQLMTPAEVFYLTSLSVPIKNMTKRMRWCEQSPRVYFSHCFPFDSDEFYWKVSVGNKKMPVLAYQVISNEVEHPKQEEMKLYYKPSASPEFPFYYMCKSSDVDKFWEKVQKTLEELFQTNKIEVSGSLTEISKSITFADYRLECFRPEAKNLEKFLESLDGKKSPNSIVMRRIYGSLRENSRISKIDYVFLRDDGYCSSDFFGNFCGKNLFMVRLRGGNQAFVRLIKKWLDGERNYLESVIISHGSRFASEEVLKEFHWLPYSEERRAKVFIPSVESNFEIPPETYDHSDGVDIIRESDGLLASIKISGTEFTFFVWKNRFP >CRE27827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:158487:190246:-1 gene:WBGene00069669 transcript:CRE27827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-30 description:CRE-HLH-30 protein [Source:UniProtKB/TrEMBL;Acc:E3N5K0] MIRGLNSPGGIGLPANPRAQQGGQPPTQNQQQQQQQFYDDEPYQANASRFPFGGGVGKIMEQRRETGNLIPIAQRSMGSSTPFGSAPAQTYFGGNSGALSSPRKMQQTHQMLFGNIQPHHLRNDISNETLASLVGRPMSSANSQRQRALPSRRRLVSAPTNPRWSPSADDRRLFSYVESMRSDSNSSSKSPSPPSAKMQKRPNGPYSVRSRQPRGSPPSDGSDKIHRFGESPTPGGHGGSVFGSELDDLIIDELMGMEEEDQHRSGRPGTRPMTIGGEKTMSMARPIPGASSRAGSGHSGSPITIPSVMSNSFRQVVSSSAPTSSIDIEKMIGAVSNGGQGAGGGGGGGGGGSGGGSNGDPEDYYRDRRKKDIHNMIERRRRYNINDRIKELGQMLPKNTSEDMKLNKGTILKASCDYIRVLQKDREHALKHQQHHKSLENTAQKYADRVKELEDMLARQGVQVPPSHLPPIPKFIERPIKQEIDESPPNHTPTGSFVSTSGFLSEVTNNTAAMQITSPNDSRSFMNNSAPSDSFFSVGSASPPDYRTSGTTTWKLPGAGNGNAFSDLMMDDLNPMMNGDPLISSASGAHPSPHFHSSQMSPDIHWDASGFSPDPINPQQSNSGHYHMDFS >CRE27801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:145623:155524:1 gene:WBGene00069671 transcript:CRE27801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27801 MFIFPDDCADSPCALNATCVDLINDYKCECPTGFSGKRCHIKDNLCSSSPCVHGLCIDKLYSRECLCEPGWTGTNCDQNIDECASPSPCHNDAKCHDEINGYTCECADGYQGVHCQHLVDHCSKSPCHNNATCTNMGATYHCECALGFDGVHCEMNIDECADNQCDKLGTESCRDTVNDFKCVCKPGYTGELCQVKLDQCADAPCLNDAQCVDLGGSYKCVCKQGWTGPRCEQDNGSCASKPCRNNGFCVGLVGDYFCVCPPGVSGKNCETAPNRCIGAPCHNGGECGDFGSHLECSCPTGFTGKGCEFKSGGCTDCQNGGTCSEGSKKCTCPPGFTGDRCETNIDECSTAHCPSGATCVDQVNQSICVCPFNLTGVHCDKMINTNYDLQFLDPFRPTSAGLYAPFRIESSALSVGLWVKFEKPHQHATFFSLHKFENPNETILTVSSNLVKLRLFPDTPAVEIPFSSSQQLNNGKWNHLLVTWQSKTGGYSVIWNSLRTYSNTGYGTGRQLDVQAGVTLGSLELPSFVGSVARVGVWNRVIDFEEELPLMVQHCQRSEEIYKGLLVRFEGYSKIVGRVERTHKSTCGSGSEEMKLSPGTYSTPQRPIIVEDCPQDMVISSMDRETNVTWQEPSFLSSNAISKVEKNLKQGQMFTWGEYDVLYIATDNTTAQAQCNFKIRVGKENCVDAADPVNGVQSCESWGPQLKYKACSIECRDGFEFPRAPAVFYTCAADGKWKPNKSPSTMFRYPQCTKHVPATKVVIVRIIYGSSPACTESSKEAFTQKVQQTINAIDSKWKMCSLTDANGCVGTQVRVECGGSQLPSDTRRRRRESESILASAFGVEIEIPVKRRLLVDPSTGLETSIRDALHNEILSGVLNFEKVLPNGRPDVASLKIQEEYLCQAGQVVVRDLCVPCAPGTYHSSATGNCELCPIGEYQPLTARTECFKCAAGQITASEGAISEGECKDNCPPGHQYDSLSAGCVTCGYGYYQPTAGAFECIPCGIGKTTLSEFATSEDECRDECPDGEQLSTSGVCQPCQVGTYRSRGENKKCVSCPPGTTTEATMATRREQCNTPKCKPGQFLVKETKNCQFCPRGTFQNEEQESTCKLCPPDHTTAAPGATAESQCYSTNQCSTGEYNCSWHANCIDLPDENDVPSYECRCKPGYRGNGTHCTDACNDFCLNDGICKKNSIGNVECICKEHFNGDRCELRFQTANNKLWIATVIVGVVVIGIIVVLIVFMISFRFNHVQDTNEKSSTFADLSPTANNILYGTPPVCEPPRAFGYYYEDDDVYETKSREMIEERRPTTSTVTSMGQSMSRAIEQHKYDIRMRQAQQHMYQPSNNNNDE >CRE27826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:138618:141527:-1 gene:WBGene00069672 transcript:CRE27826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27826 MYILIFFPVPASQIFFFQEITYIFFCLQKTSLCHGQRAHSHSSIPFFFLPTAQITTASPHPFSSSSASTSEGGGAYSHDDERRRRRRETRGRRGADGEDVGHSFLSILGTCSHKMLLCCCWPLGKCAKVLACFDFMIVAFFFYKSFILLMETSNDLHWTTIVSFLFFLGFLVCQALGFFFIVLAARKKCARYCLPRLVLIAGLTVCSLIVLIFMITYFAGSAQSVNNFLFRVYEYFFGVPLTDADKVELKHELRYYGAAFFVLAALFFIYNVFALWLTIKFKQSLNEFEPVPTEPTAPQLAHNPAYAEPPLKSYPNMA >CRE27800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:120630:137981:1 gene:WBGene00069674 transcript:CRE27800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27800 MFDDFSLGADDNLIVYNGATPSATVLAEFSGNLTTKKYITSSTNLIYIYMMTRTISNSRGFSISYKRGCDLTMTEPFGEIISPGYLSSEYPNDVICTYTIENENMKADRSLSVIPNRFDLADDDFVKIFENSMKGKVLHENDGGFTAKNKPEKQIDSEANRLQMVFKSSASRQAMGFNFSYSIDCPSIPSVPMVTLSTKQRSVNTKVTVSCPIGFEFSSGEGLSKTVECLIGGKWSQSQISTCQPIYCGAVPQIANGYVDSATNVSFGGQVKYSCHKGFFFSSGKDIETVYCGELGKWSTPPPCKAATCQPLTQFTNGDRKLEFGDGTGYGSVFRFDCHSGYRREGVESLLCKSDGTWSSKQPSCTKVACTHLPDVPNAKIEVPDRFLFGDVARVVCNSGFTIDGPEEIRCLANQTVSSTPTCIDIDECSTGVSQCQSLGTKCVNLPGSYMCQCLDGYQPQLMCLESNPIGIQSGSASWCVEPKSQNERKVLKFAIPMIVEQIRFSAPKNGGKITKISIKYSESDKIHVKKLQIDNQSIFNVDDEHVATLPMALEVQVLEIEVVAMEGAPCVEIEALGCQRTSCADINECLKNNGHCDHTCINTQGSYKCACRNGYDLFTENGQSGVNISIGETGNNVNDFIRFEKSCVPRQCDHIGSPENGKLLSTASRFEYPMVVQFQCDFGYQMMGPDYIQCLADGTWNGTEPFCLPATCQGIEASSGLSVTPNNSTISFGQNVSITCTLPNRPGRSSPLSSYRQCIFDPQEDGRDYWLSGPPPDCSFIECPTPPVMPGAVYLGDVTDRKMGSTLEFTCRQPYTVVGRSSGGDQKIKCSSDATWDLGDLRCEGPVCVDPGYPYDGQVDLESVEEGAIAKFSCKRPGYVPFPSDTLQCTLGASCVLSEDVGIVSGFVPDGAFSDNSDSTNLGYEPHHARMGSSGWCGAKEDFIFLSVDLQRVYTLTTLRIAGVAGSGHLKGHVTKFQLFYKTQNQNKYEPYPVEFESPAGNHNAMHHFDLKFSLRARYILFGVTEYEGNPCMKFDLMGCVAPVFAAHEVDSHLQIGWNGSVPQCVDMEPPKFENCPETEIYAKVDENGQLKAVEFEEPVASDNSGKIAYTQIEPLGMRSGLMITSDMDITYTAYDLAGNTAVCTLKIRIPDTQPPVLKCPDSYTIPVGNSTRHVVFDMTTVDLVLHDTSNVSEVTFTPTEATLKIGEFVEVTAQAEDENGNRNSCKFQVAYAPEACSPASLASSNQIVKNCVHEDGAVVCSVYCAQGHRFIDPDQITQNFVCKDGRWSPRNNAPACVPIPKDPAGFHVNVGMTYPVSSPVPDHCLKGYAELAAKEFDNLDKVLSARCSSSVEVFVRLLKLDFSNLNGMLTGNYTIQVLPTEQQSVFYDLCGLTLRTIFDLNIPGANQPIQSLLNLSGESIASQTVGCPSITAKGSSIVQGFACGNGEVLRQEAKDRLPECMACPSGSVNINNTCILCPRGSYQDESGETSCKPCPDGTYTLDEGSQSVGSCLATCGYGMYSTTGLIPCQLCPRHTFSGPAPVGGFRDCEACPSGAYTAKLGASSATECRQACKTGSYSNSGLEPCSPCPINFYQPTVGQQSCLECSNSTATQGTGESLESSCFPIDCTAKMCENNAECSVFMHRAQCHCKPGYVGDRCEMLEDVCATQPCYNGGKCEQVGTTYKCTCPKMFTGAKCQFETDECVGKTCPNGGVCHDLPGTKSTTCLCRTGFAGPQCEEITDICSTNNPCRNGARCIGEKLGRFKCQCVPGWEGPTCDKNIAN >CRE27823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:115818:117678:-1 gene:WBGene00069675 transcript:CRE27823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27823 MLIYRTFLLFLVLIYQIYSDPINIEMLIRCDLSIAHYCGKLVYYEMDYQGQHDVFKTHQFCSDYEYQQFQYEKFWPGGDPGKEYEFSFTLEHNCTLTGQRLCIRPHQYVRKYIDGEQYVEFYVKAYNRGENFDCLLLMYRTLLLYVFVISMIYGDPINLEILIRCDSSIKFYCGHIIFYEVDVLPGSHDNFKTDRYCTDEVWEELKYPPISLGGDASPVEWTSELTDTQTDKKFQTYEFSYELRHNCTTDGLVRCVNPRQEIQAAANKEQNVELYAKLFNRGGYPNC >CRE27799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:105380:109314:1 gene:WBGene00069676 transcript:CRE27799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-78 description:CRE-CLEC-78 protein [Source:UniProtKB/TrEMBL;Acc:E3N5J0] MKPNVGRCRKRRLLEFPVVFILILAALVKPSTSSSKTPESVVTDVELKCPDEWIRLGTKCYLPFSIHQSWPFALTTCQRYGSTLAKIQTGSENQFIASLLSKPAKSSQEVKEYWIGLTVEVLDDDELYIWSDGTPTSRYVGFWRQDQPNFLNGTCAMGRVERKDLEWRLETCNLLRKFVCERPACVQGSYFCSSGACISESKKCNGYADCDDGSDEHNCPSAFHPTCRTSEKAENGQLSSPNYPNSYEPNLNCRHVLEGPINSRIELTIEHFETEPDFDVLTVLDGGPAENSTTVIKRLSGSLDTIQTITSSTNMMIVQFRTDAQSNARGWQLKWRAVPFSCGGHYTAQAYIQSFVSPGYPKTFANGAECVWTVETTPGQVVSLIVSFWLKKGAELENINLTLLVPIINQYIGRHAERVPFS >CRE27798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:93532:94771:1 gene:WBGene00069677 transcript:CRE27798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27798 MNYLLFFLLISLVNCKGCKDQCECPDLLDRLNWPERSDILYTEEAGCFRNITCLTYKWSWVRFNYNETEITRPVNATYWGVAETIDTTKPAEPQKSIVNLFEFFGMICENNDWYITKYPYGFSYVQSNGTGTYVYLMKNNNEELDGKKSKILVWNCMPPSWCECPGLLDKFKGDDNSSVLYTEEDGCVINITCKASYNSTFVGFNFTDSEIPRPADIADNYGAALTVGDQQPNLSDINLFEYFGMICENQEWYITKYPSGVRYGNATGVFVIGSNGEFDGKKTKINYFSCVTPPK >CRE27797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:80706:88057:1 gene:WBGene00069678 transcript:CRE27797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27797 MDPSEALLMHVQKPEEYPITEETVDGVKYIAFGDNAYPSTARTIAKVYGKEKEYYSLESLVCFLRFKEKYDDYEKKHGEYVKEAAAADVKAVTRIDKNKVTKFLEGEASVFPKPDGIQQESSRSLKQLLNQGEPDAKRLKLDVLPTTSGHPNDIQMEDEGAGPIPQKKEVEIRALNDSLTKDRIAEMRRKRQKNLEKGIVNIDESLSTLTSASLPKCRIHRTRENVMLGVRDLSNVLEIISAAQRQWDLNEKKEKVAAVHAAAVGGGRSGGLDGQQRSGYSRYAQEAFAHEKTKEIQTEGSFIGSNLSTLKQGHSGGHKPEMRPPPILPSVVQKPSGAMGGAKRTSRSPIIIVPSAMNTMINMYNAKDILQGLGYVSVDQKRKESNKKPTDLVIQRQKNGQTYNIRIIDNAEKLAPEDWDRVIGVFVMGVAWQFKGWKWNGNPTDIFTHIPAFHFHFDSDKPVNQVMQWNCQKIPISSTKRHMDKARFSQVWEHIEQAVRKNKPHLNQRLGL >CRE27819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:70990:79895:-1 gene:WBGene00069680 transcript:CRE27819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27819 MDPSEALLMHVQKPEEYPITEETVDGVKYIAFGDNAYPSTARTIAKVYGKEKEYYSLESLVCFLRFKGENHGVYVKEAAAANVKAVTRIDRNKVTKFLEGEASVFPKPDGIQQEQPRSLKQLLNQGEPDAKRLKLDVPTTSGHPNDIQMEDEGAGPIPQKKEVEIRALNDSLTKDRIAEMRRKRQKNLEKGIVNIDESLSTLTSASLPKCRIHRTRENVMLGVRDLSNVLEIISAAQRQWDLNEKKEKVAAVHAAVGGRSGLDGQQRSGYSRYAQEAFAHEKTKEIQTEGSFIGSNLSTLKQGHSGGQKPEMRPPPILPSVVQKPSGANGAKRTSRSPIIIVPSAMNTMINMYNAKDILQGLGYVSVDQKRKESNKKPTDLVIQRQKNGQTYNIRIIDNAEKLAPEDWDRVIGVFVMGVAWQFKGWKWNGNPTDIFTHIPAFHFHFDSDKPVNQVMQWNCQKIPISSTKRHMDKARFSQVWEHIEQAVRKNKPHLNQRLGL >CRE27796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:60324:62963:1 gene:WBGene00069681 transcript:CRE27796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27796 MLSTPQFPLLRLPLVALRHTLRMMGPSDVFLLTLFFKRVRVVAQSIFPRTKPSFYVDYCGDQKVGVLYARFPPKLNIPVLKINFRTKKEELLKKWKIDGEKFRCCFDFPANSDIPLIIISPQDNTKFWKILQTHFSRVFPKTGAPHVAVTVDTMSKVPKSEKVELIEVKESKNRILKTSEVEKFMEIYNPILIYVHPQMEGELSDKSCLLTCENLLISYSRHFSRQNFLNFSGKYLLLQNTILTSEDLKIFLETWHKGTDRHLKVVYVFGNTNFEKEKILEGFDWKPWDKTKRPANYPSRARFISPEDHYDCTNAMDIVRESDGALASIRINPKAFMFYVWIF >CRE27795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:58339:59232:1 gene:WBGene00069682 transcript:CRE27795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27795 MPALPILKLPMLVLKKILRSIDIDTVFPISLCSRKMYHLVKNFRDKTDPIRVLVDGKRSNIIVSVPENDFIVFVSPMAKNSKTAESVNINGHRVSMDRSRKHHVSTTYWNDDIQGIPTVYEYLLELSEFKCAPEVVVCRYTLWLLSYIEKRQGDNYQLVIEDLTKEVCHFILKVYHPQVVRILSLPNNFPIAQYMNSIESLIADSQLSITLNDVLNTNCVELVLWEIHFTSTEVKRILEHWAIGGFKRLKYLCLSVRGFNMENVLGELTHTRMTEKREYK >CRE27792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:55241:56330:1 gene:WBGene00069683 transcript:CRE27792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27792 MPALPILKLPIIVLIKILRLIDFEEVFPISLCSRKMFHLVKNFRDKTDPIRVLVNGKESNIIVSVPENGFIVYVAPMAKKYKTAKSVNINGHLVSIDRAREEENWDTYWNDEIQGIPAVYEHLSELLEFKGPPEVLVNRNTLWLLSYIEKRQGDNYHLVIGDISEEVCHFILKNYHPQVVRAWSLNDNFLIAQYTNSIESLYSVWKLSITLNDVLNTNCVELILPNNKFTESEIKRILQHWVIGGFKRLKYFCLSVRGFNMEDVLRELNHTRMTENREYKSESVSPTTFSDRDRLITRNDDVVASFQYNQLFGVVHFGVWPDSQGNQYS >CRE27816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:53723:54820:-1 gene:WBGene00069684 transcript:CRE27816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27816 MPALPILKLPILVLMKILKTIDIEEVILVSLCSRKMFHLIKNFRDKTEPLDFTVDGEDFSLGDSNIIVGTPDYSFAVFVSPMAKKYKTAESVNINGHLVPIDRAREEEHWNTYWNDEIQGIPAVYEHLSELLEFKGPPEVTVNRNTLWLLSYIEKRQGDNYHLVIGDISEEVCHFILKNYHPKVVRAWLLKDNFPIAQYLNSIESLSGLWRLSITLNDLLNMNCVELNLIGNHFTVSEMERILQHWAIGGFKRLKYLCLCVRDFNMEDVLRELNHTRMTEKREYKCNTAPRTTFSDRLISRNDGVVTSFQCDQPFGVVHFGVWPDSEGNEY >CRE27815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:51876:52846:-1 gene:WBGene00069685 transcript:CRE27815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27815 MAFRSTMVFTVRSLIRSFSVTPLFQMPALPILKFPTLVLKNILRSIDIETVIPFSLCSRKMYHLVKNFRDKTISLELSMDGEDSSVGVVTPDNHYHEVEVVVERKSRNLESVNINGHLVAMHRSKWHNGWVTYWDDEVKGIQSVIEYLSDLFGIKKVASVTVTPYSFKLLDVIKERQGNDYELSIDHRLSEKESHFILENHPAKVLRISGLPPYFKIGKYLQTVDSLFVDSELSITIDDLLNMNCVELFLSENCFTGAEIKRLLQHWAIGVFKQLKYLRLDVEDFDLEDVFGELTHTRMTEKRTYK >CRE27814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:50046:51158:-1 gene:WBGene00069686 transcript:CRE27814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27814 MQALPILKLPILVLRKILRTIYIDTVFPISLCSRKMYHLVKNFRDKSVTLRLEIYGESSSVRVVTPNGYHHKVNVMSRDKEKSGNLERVNINGHLVPIDRSRKHHRGWETYWDDKVEGLQSLMEYISDLFGIKNETKITVSPDTMRLLDVLKERQRNDYELYQYHRLSEKESHFILENYPAKVIRIAGLPDNFPIGKYLQTIDSLCVGTKVSITLDDLLSMNCVELVLSENRFTGTEINQILQHWAIGGFKRLKYLRLDVEYFNMHRVLEELTHTRMTEKREYKSIVFRSNTDPSTTFSDRDSIITRNDGVVASFQYNEQSDRVQFGVWPDSEGNEY >CRE27791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:48387:49235:1 gene:WBGene00069687 transcript:CRE27791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27791 MYYLVKNFRDKSVTLRIKIYGESSSVRVVTPNNYYHEVYVMSRKKETSRNLERVNINGHLVPIDRSRKHHRGWETYWDDKLEGLQSVMEYLLDIFGIKKVTTIIVSPDTMRLLDVLKERQGNNYELYQYDRLTKVLRISGLSSNFPIGKYLQTIDTLCVGSKVSITLDDLLNMNCVELLLSKNRFTSTEIKRLLQHWAIGGLRRLKYLSLWVSDLNMEDVFGELTHTRDDGKERIKECTFHLFQMIEFD >CRE27812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:35386:36123:-1 gene:WBGene00069689 transcript:CRE27812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27812 MPALPILKLPIIVLMKILRTIDIGDVFPISLCSRKMYHLVKNFRDKTIPLKLYWSDRVKGLQSIMEYLSDLFGIKKVTSVTVTPDTMRLLDIIKERQGNDYELVTCTYLSMKQSHFILENHPAKVIRISGLSPNFPIGKYLQTVDSLFVGSKVSITLDDLLNMNCVELVLVSNHFTGTEMKGILQHWAIGGIRRLKYLRLDVEDLNLEDVFGELTHTWMTEKRKYKYV >CRE25832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:4548:5446:-1 gene:WBGene00069690 transcript:CRE25832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25832 MNFQRELISFALKEFVFRKCSDGEEKYSVRAKGFTLFYHDGHYDHFHPTVENVQARFCFRCHKVVGANHARTCETKCRRCGNNECEPEEGVSIWCEKCNITFRSQECYKRHLEKKTLKAFPYCDVYEKCKNCRTIHTRESYSKVKHECFSTYLCKICQTRAGEDHQCVHVKPSEKDRNKQMMIRHLEMQEANTTVNKMVMKRGSNILDGIETVIEKKRLHPVMDKGNFASDGSLLPFGLLDSSTSIKDDYMH >CRE25834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:11442:18276:-1 gene:WBGene00069691 transcript:CRE25834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25834 MASSQSKDSSRGTRRGKPSRTGKTSKTNPGKNKKKSTHKRSSTSLSNKSEKAWTHLGHAKTIFSKRAKKTVLMIEGIQLILSEPQQPEMVSILQASYSKLSEQLNKLDKSDSEALALVLKHPALCSNSETRINNVLELCDHLKDREYPALIEKCKAILLHIEVMLKQLVDTQPLATKRSSTSEVIVKPEVREALQALPSTSKSSAIHDLNNENNPSIQSEQEHSSASNSDSSQSHETDKSQLTPIKDDKYSSSKTGYNKFPSSSEPDVQFYWHPATSPTCPAQNTDFXXXXNSTGTQPRHQHATATEHRDFNTVGPKPVDNTAAQLILTPSAMEAMFLRFSKNIQQEIMTVNKAVHQINMRVNETVETQRLFHQTIQAMKTNLEIVQDQLEQQQQHSRYEPSLQSMNTPPPRNPKQLGICDSSSNKIRNEISKGSPTIKTPETPSPVIQSPSPLRGSPTIALGNYPTDINTIFNTLKPFSGDTDKYSLFMTRFNSLVHSNPAIDTIMKQNILISLLEGDSKDLITSDELSEGAYEDLRSNLERVYNKRTDRRKQLMENYRNLPFHQTDHEQMEKDVLKHICLTNSLQKCQVAVNDPFLIDTFADKLPTMVLRPFIKQTRHSTPTFLEAATIVQTLISENKAVEDAEQRKKNRTQTNEICTAEVNKMTCGKTVHILIRNEITIHLRSSQLHNCLHGDHHRARSVTRSTRQATAPFLLRTRGMQSSNKNYARTAFRPLTKLNVVGLDTSVTLVGTNITPSSAQRRRKSTQQPTTSIPLTRSFFVPKEVTPKQAPSAIPQSKHSIRTTQLTTNHTPHISLSTPVTSQNTKTRIQQLDSQNPIDTITINLSVVSDPNYTLPFLQLLTPSGAILNALVDSGATTSLISKPAVLRLHCPVIYQKLVNFRGFMSSSGPQQVKFYKLDVLDKTGKAWSTILPEYPLLPTVVRAPIFSPDDLSVLIQKNFDLPQLTGLKRFNGKPIDLIIGNNVLPSLLSTSIRHVLPSGRIVEDTQLGIIAHPSPVPDALQQKRTTEEDPSELIEDYNEQYIHTVDVYNDDYHGSIDENIAVSQSLQVTNARLEWLLDRSWKLEVLGIEPPTATLQKEQLNQDLITKYKATAILDKDNKIYVQFPFNGKEASLNDNFLVAVKRLISLLEVQLASMTDRAKYNDIIQQQLNDGIIELVPESEQHIGPHYYIPHRVIIKPDAQNTKLRIVLDASSHMKNEQSLNQCIHPGPSILKSILGILFRSRTKPYMMIADLEKAFHQVRLQPQHRNCTKFLWLKDYTKPATPDNLVTYRFTRLPFGVSASPFLLAVTVLRYMELNPHPIHDKITQNLYVDNVMFTPDSAEELLENYRDSTATFSSMHMRLRDFLCNDKTVMNAIPEQDKAKSTICKLLGHYWDAEKDTLTLKIAQPPEGIPTKRQLASFIASTYDPQGLLSPMGVSHKSLMAKVWKEKLRWKDPLPTNLLPEWEKIKASITDSSYTIPRRVTPIQGFSQASLIMFSDASRDHYATCAYLRFECPDNITRVQLIFSKTRIRPINNEHLTIPRMELLGVLTAAHAASTIHTEMNIALSSLTFFCDNTAVLNWITHKNSSDKWVTNRVKAITEIEQEFTKKQLPTTFRYVPTDQNPADIASRGATLKQIKESKLWNHGPDFLTQDQTNWPKSLEQSPEDPKEFHFFTLDTTPPTFPPHLGLPFEHPPYQYESIVPYDNTNSLVKLVTIVQKQKYWIQRITSLTRSVRRGCTTCKRRHGNPYTYPFATSLPSVRTQAYRPFQNIGLDYCGPIGYRTESGQSGKLWCMITTCLVTRGVHLEVVPDNTTASFLLAIRRFVGRRGSPSTIISDNAPAFTLGYTMINADISTLVNSSQTLTCFLASKAIEIKQITPFAPWQGGVYERIVAIVKNMFYKTIGRLQLSFLEVETLLIECEGIINSRPITANPISISDSDAVRPIDFISPRAHLSFPNHSGHTPGTPIGITERQTREYLQHLDNIRLELWDQFYNSMYTGNHAPTYKSKAHCSINPEPDHVVLVQTPNIPRYRWPLARIVELIPSKDGKVRSVIVKCKNKLIERSVNQLIPLELTTAMGRTPAELPHVALALPSAPSTSRRPPVSRN >CRE25839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:46973:55547:-1 gene:WBGene00069693 transcript:CRE25839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-24.2 description:CRE-PTR-24.2 protein [Source:UniProtKB/TrEMBL;Acc:E3NA92] MILAPSLGHLGGMVGSRPWVSIFISLLIGILSFTSILLNPPKKNFGFEYGYTTSDAPSIMEMRAQRDFFTGGKEGNPWYQALFVEPRNKEASMHTGKEYNEMKQFYKTVKNATIRYDEELGRNITYYDLCGSTCELNELLFTTVVNFHYFFGSIPGMSFFGLSYPVTSIFSYQSNIGKHFYEVEVNNDGDLLSAKKALLVFMAFYQTREVKSDLTLYEEVVQYAVDEHNANLNNSVIFTLHGERGMAVSFKMDSVICDLLFQIAVQSGMEHAFKYLGAGVVLSTIVLFGVLLFFSRIFSQFTFGRIVLLWITAIIVPILSFLTSFAIYNFFGYSITPLTIFTPFLALIHGYYTVIMLTHTWLSDSELRRDSRDEHLLEVFATCMPSLIVTASPAIAFIICSVHPIANYASVSFLIGLIMAFTIFFAIFFFSPAVLIICPARYFTPLPTNSKQTVKSTLKKVESMRDCYCEHIDKSKYIKVVTVLGVVALLVVPVYIGCTTVEGNLDYRQLLKPESPKNYGVHLMSDVVWPTWFSIMFFVNKPPNFANPREYGRFKSMMAEIEAIDNKLPQSTDMVWINDFCRHTNAHPNDAVLNMTRFKSFIEDPIYKSWGDGVKFKFHNDTEPEITSMLHIVTFEGTKSLADKARLFEKCRAVTNKYPEFKTTPFDTEIGFADIIRQAPYVIVIIPLCAFGAMFVVSAIIIGNLSVAILNFLVVCLLYSSTVGTAGLFGVSINPFNVAFYLIVAALSPSFTTHFCYYYQQAMRINSSAEKRERMNEMLRKCFFPCISSVICSMAVFLPALICHISIFETVAFANTAFCTIGVLLAFSIQVFLNMTPDMLTGTHWLWSPTP >CRE25841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:72076:76846:-1 gene:WBGene00069694 transcript:CRE25841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25841 MSAVIQSLSRAEQVTLRHFKEMTNATDNDASISLLRECSWDLDSACEKFCMNISSSPEPVSEDSEDSDDENEDYQDDYFIDISDCDHISTSPAPSAGKLFPQEFENVEEALRFFVEKYEQKYCTQGSVPPFFMENLKNAMDLARRVNKPIALFLTNTKSVGMNIFCDQVMGNRSVLDTLRANYVIFPYDVTESHHLARLITDLQSANLHDIISIISDFTISLPESFPLLVSLTRHNGKFEMTNYCQSSDSSDSTLAKLYGAIEEHRIANRDQEEILRERREREEIRRLQEKEYQESLAADIAKIEKLKQEKEAKRQEEARRQQEMEDESRLKAEEFQRQKSLADTLPTEPSPQDPNILHVKFRLPEGKQLLRRFRQVETIQVLVNYLSSQGFPADKFKFFNSDFPKKNVMEKFSMENSFGDAKWPVREQIFVEEI >CRE25820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:79726:86170:1 gene:WBGene00069695 transcript:CRE25820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pars-2 description:CRE-PARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NA95] MIHKAKKWILSGATAPPSKSAAHRMLIENGFILPTGKGFYSLLPLGQRVIDKLCRILDTEFQKAGAMKIGMPIVGTKTLWDKTKRWDAMGTEMIKLEDRQKAPLCLQPTAEEMCTELIASLPPLKKSQFPVMIYQIGDKFRDEMNPRFGLMRSRQFLMKDMYTFSTDQEASRETYRKICRVYEKIFGQQLQLGGDLIKPISGQNRLNLILNYSLFQVEADSGIHGGHISHEYHLRSNLDEDFVNLCDSCGTFNKSEDVTTLKKCPNCDSAHQKSRKVSSVEIAHTFHLGTKYSETIGAKFQGKPLDMCCFGIGVSRLLPATVDLLSCSEKAMRLPRAIAPFDAAIIVKKSLMSNVIVEMTSSSASRYLKGGILLDDRIEMSAGRRIHEANRLGIPFIIVLANVTERSLVTQKPVIEVFTTHPKSEEPCDHGPMTLDRFVSFVQSSLYGTPNGGIDGNFDHSLVLDELGSH >CRE25821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:86370:92275:1 gene:WBGene00069696 transcript:CRE25821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25821 MAGSEPAESDSLESGKLEEVVEEPVYRRESFAALRRNNVAPRQLRVVTLNAWCLPQPWPIGSTDRVHRLSKIGEYMIEELYDIVGLQELWSYHDFVKLSEQCSSVYPYFHYFHSGFTGSGVCVFSRHPIVSTLTSRYSLNGFAHHIHRGDWFGGKVVGLTEVEIDGDLRVNFYTTHLHAEYDRENDLYLPHRTSQSFELAQFVRHTSRSADVVIVTGDLNMEPCDLGFRMILSHAKLFDAWRMSHEVENDEADGGELLKYRGIAKGGTCDRPDNCYTKRALKLAVSAKKDESKRIDYILFKSGRCNVKLEECEITLNQIPGEQMNYSDHVGLRARFTIDDRFRHERSVNTWEPNRPLLIEAIGIVSGGERRARTDRIFFLILAAICLILILGSLFFEVFPMGFAVLRFALTVVGVFFVWQGLIGLTLERKALKAAKQAMQQILNN >CRE25822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:95720:96091:1 gene:WBGene00069697 transcript:CRE25822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25822 MVDRVMGWLKRRSENSQVTYSRFGLPGDEVDERPPPTHIHVAHFDDDAPEFRAFFTHSTRAAAIAAGFGLSCSLFSFVMFLFEFRWDDHGRGFDFGTVGAFFDEEIGKKSVINDEKTEKIGQN >CRE25824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:99785:100541:1 gene:WBGene00069698 transcript:CRE25824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25824 MVNSTALFSYPNDKQTPTFLTLSVFYLTIVGIQTTMLLSISKARNYFEAKDIHRREVAVAEHGKRQNMAMQIVYVKDSDNIAPLRDTDGGTVVTSPPPRVILAANDDIA >CRE25842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:104017:106392:-1 gene:WBGene00069699 transcript:CRE25842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25842 MHNFFRKLSIRARSPPAPKKKSSANNMLKKNSSGLLRFIRNNDKPDEEPTFDMPMHLMIQVIDRMPFFEQIRQSRVCKEIKEHIEEKYSKIKKLELRKRDINEACASDDAFERHSKAYVAVKIEKDTACVVIDDAWVVADFYVFLGILEVLREGVETVEMDAPIAELIVIYMSDISLERWYAFQCILKAFNDVYEDLHLDSGFIADRDTFWPKCSDIVIHATKAQAAALGRILDYGVKSGYVFDRRTMDHLRLEFEDLEGFDEDKAINKQIYYFRCWTGSLGWDHRYEIVFNNNQSSNKQECHV >CRE25825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:115088:116410:1 gene:WBGene00069700 transcript:CRE25825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25825 MDSEVDDVTNTHLHVAILMSNGRHVAVRRIDDVGGKFKMLDFSNDDVEDSESPLLVSTSREVYLVVRPKIQKTSSEILKILKDSEGVTSEIQRIQFPWPVKIEEAVAGHDFVIFRDFGGNSFSMGTGTRGELGVGLIRRIDEPVHIEHLAGIRIQKVVCGGWHTVALADGGDVYVWGWNRYRQLGKDKSATEMYPVLLDPSEEFDEGSPDDVIDDIMATENTTQIKIGHTHFLMGSDDVAINEFMIS >CRE25843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:116943:121510:-1 gene:WBGene00069702 transcript:CRE25843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25843 MGKMETWEVTGRTEDTITVMYKRRVYKEETFPTSPGEKDEILKKEEMDDETRAVLKRLSGLNERIRKEYLMEKKPSKNNRKEDKKKNKNKEKRTSPKPKDVKAETPEDKDDHVTVNGVLVRKAQGSEPCDHRGVPLTHPMAVTPFPGARSAAQRERARKMLKEVAKKMHDDSLKKKKKKKNPEKEEKNEKKEKKKVSSSSKSSKASKTSTTTSTKASKASTKSKSSNKKMGCDKCGSCQKCIHRCSQKHAAEQKVTTQEREKFLRGISRLRQKIVKPERSREKASQSPYDVTQSESEIELSSESSSKRESAKKKKNLPTTKNQSIMTASNQEAHVSSPRTTNVDLATRLNKYIPLPARPEFRLKYVLQRGTVLSFIRSKPAHFWRSNHISELKMRYLSVTENGYLVVYEDNVQGLVIDLREVRNVICNADRQVEIPKKESQLRCHIKIRLPRGNIHLFLREEDVHKWTCAIMRGSSMKIEEEDDLTTAIEANKDSEDSVESSDSDEFEKKVEESEEGDRVNSSIFAEKIENSIRSLYKEIQKEEEIEVKKEKKWWTRSLRC >CRE25827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:130495:131246:1 gene:WBGene00069703 transcript:CRE25827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkb-2 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3NAA7] MGVDRQILVEGDNVTKPKQGQTVTCHYVLTLENGTKVDSSRDRGSPFKFKIGKGEVIKGWDQGVAQMSVGEKSKLTISADLGYGARGVPPQIPANATLIFEVELLGVN >CRE25847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:136215:149461:-1 gene:WBGene00069705 transcript:CRE25847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25847 MGSKEKEPNYLDILKEQFSALQSEYLELRRKYDLERAATSSESEDTFPGRLLNLTSSLLKKPKFSDVTFRISSSSTPSESTVTVIPAHKFVLTARTDYWKLDDDVTGGRIQEISEDVVDLDAFQTAIRWIYTDRIEMKTMSDEKLLRVCETAAHFRLEQLKNVCVQQLGARLHVDNCIQIYEFAEKQQLRPLSTVCGTMIASSWPQLGPAHFAKMTAPLLYRLIDGNTKNVLHSIVSIGREDVLFLYFMQNSERIPDCLNEMDAEGATALEHALCSSHEKARLIAEQLIEKKANVNVKDKERGETILMRMCRKENYSAMDFLLKNGADARICQSPGDYNVVHVATRIHSDQMGKWIEENMEKLDLNKVDAEERTPLMCAVISNNHLICESLIRSGVHLDVATSEGHTALSTCLLISDAPNRRISELLIQNGSQVDFRIYSNRVPFLNEIVSRRDTVGVESLLAAGVDCHVADSEGKTACHVAAETGATEIMSRIVEARRGGLRWTRDSEDRTALDIAVERRDLKTARICIKGGADVNSHDKNGRSLLSKAIVSDDDEIGVFLIENDARAKNEDRIHGKSYLESACERGLLNTVRSFISNGCKLNSRCSTGYTLIHAALSQQKLDVAAVLVNFGCDLESKVTLNTSGDVMEEADEILLEAENVEFIPIRDKFGQTILSQSMAMKDHQIASLIVARQPHAAVQTNGNGENLLHQAIRQNDIESVLFLLAVAKADPCRPITDGSGKTPLHLAAVARDEMILRNLILVNDDVNVTSSDGTTPLLEALKHRNDKHAGILLENGAEPNLKDEYGENAMLCAVRSGSLDCIRAVADSPKTNRYARNKIGYTSLHICALLTIDKLPKRTTSSDVIELILNYEETEESKWNEKQFASFIDARDADGNTALMIAYSQGNAGVCRSLLKRRACMGQRNNGDVNVFTYETATKQLLLGLLESLESEPRWSDGDTCDCGSKFSLTSRKHHCRHCGRHVCSKCSETTMPIAKYGEEKRVRVCDVCAHVISTGTAPRR >CRE25829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:154158:160709:1 gene:WBGene00069706 transcript:CRE25829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asah-1 description:CRE-ASAH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NAB1] MLRNVSILLVLLAAGVAAKHVELPAPYKDHCILDDKQNLYDPSRQFDIKWFDVNLDLAPSERWKQIGAAYKTQISELIGVLIDLITPVFPNAVDFVDDVFADMAPKLAQPYRDEIYSISEVTGIPLGRITMYNIFYEIFTVCTSIIAQDKDGHLTHARNLDFGLFMGWDPEIHDWPISQKLRKMIINVNWIKDGKLLYKSNNFAGYVGIYNGLKPNAFSLTADDRFQLEGGYYGIFKWLFGLEADGKWMSWLARETLETKATYLEAKEHLMNTPMLSPVYFILGGAKKDEGCIIARSLNGTAILSEMSDSPHGWYLLETNYDQGTEALYLDDRDTPGIRCMDKLTQKNAGFEGIFNVLSSRTNLNKLTTYTVLMSVETARFETILQSCPGECYPW >CRE25831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:169180:179418:1 gene:WBGene00069707 transcript:CRE25831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25831 MRLKNIYACGVRIWRASDGYENLEAYEGYRKRNSLSRPRLVMTMATRAAFGPESNENESSHLPREQKESKGWHDSGCIVAMKKCHVAPEKIIQLGEVRALLPSIMKTLSNFSVPFLGLMSMVTFVTIATCSNQIVYPLEALEKINSKKNYKFQELNKKSYFQKVLPSSLKLVLIVDSSGTLEVYLLTSYVDQILRFKLTVDNFSIVPPTFDICASSLAFPVTIFNCAYLKSGVSYQKVVNYKFGARNFVYLGIANSKYNFPDDPLTKSLEQLQMVSRITNAIYLQHGLITGSIPTNELISELFHLGSILPSDIHSIDATKVQGAITALKELPSKLQPPTDILTIENSFAELKTILEVIDGMGDIREWKEEKTKFKTGIQKLADSGVVTTEVSSLTTATNSWSIDSGRLLQSKTDASALSSFQYIEEALKVIQNGSDSLENWEIPSSTTPGLTPIVLANDGVVKYFKHSLEAIVSETDVELYVSYFDTIRNETKAVKDASQQTTLIHDLLKLRLSKHLILNYTSGFPGGSADVPVVHNDLKNTWIKKIVNTESLAVALSELKTLEPSISKIEAILKSKEVVDSTMPLLAFISEIGRIESKTDEFKKAVPGMYGCNPPESKAKPKFTKVQVLLDHLKKVDEMSAELKNKTELLKEYLKNPEIGEWCAEVIKICKEAETNPNIQEIVNKFKNYGHRESLTKHIMEIQKLAQAVINVQETTTIQNVSKDAVAKFDDLDEYHASVGHYAEYFDCLQKKNLDRVFEMVESLKGIRSRHGNQKFADSMDGGMKTVNALNGLAGSFKELENVIVAQKGFRSKEMEALGILKNGQKHSMTIGRAVEGVWNMKKALDRRSEVKLDIGVIQKYRSVLTNDSGDLKNLDVLVEMPKEILEMYIHLDKFQKTVQFSNDTILANHSSIFENAKMVTGLTDSDTSSLMSTLERLIQHMKDSEDVKKLEKVETDLKLLESLGLNFASGYKKSFEESKNTLKALDSFFLSYAKQMSATPAPPKLVTKPTPTNQKGKMPEVVTVTVEKKVESDESMACAAGTPDDSSAASTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDSYAYLKSAIKTYFWLLIGIATVITAVGCFFLAKKFWKCMTTIEPVGWYLDFSFKTLKSFLRTLNQAFVAYKSRNRADYSNLETFYGYFEEICAIDRAACYPFRDTELVDMRRQCRNRKKPRRNDVFDVTHYFYKGWRDDDDVPSNVDTILHIIHMLEKKEKKVIVKNTLMVVCGNGVARSGPFTFIMHAIQSMRKNEEPNLQNTMTAIHQARPYPISNGRLYGFCVYAIAKYVNDYCKGEDSFDWEEEAIYNDLVAGYNGWREGRDAVANRGQH >CRE17533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:14790:18815:-1 gene:WBGene00069708 transcript:CRE17533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17533 MTNSKNDQNKEKSDKNSNRSPPRNERIQNKVENEEMTLDVTQEMSEKSSQKFQIDKEKGIEQHQNKIILSGYSTRRVRYKKSEPDEKSVNIDADLVRNNDKTLRDEDWFLDKIEKCKQKTQNDRRMEKMEIEEAQKAGDEGKGGDDANSSDGSLWAHVTELENVNVSLKEKMKRIRGFEKFVEKSEEVVQNLQRALGDTTLAARKQDLMLAPVEKYCKELRERYEEVRCDGWQEKVLKLMREKAVETVEQLRAECEKAGDENEEEKEELEKENEKLKENNKYFQRLLEEKNVEMADEMARSEAEREQLQKKLEEAEKKIEKLKNAMTKEKKVAEGLKSSIAHLEGEKMELRKG >CRE17513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:23462:24517:1 gene:WBGene00069709 transcript:CRE17513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17513 MDADMDIADKEFIKFFSKTASKVNCSVYRRDLIRAFIAEGGIDTHRRYVHNTKMTRMILGSEYSMEQKAKMFFVARVPMTDTEFLRKLEQDFTVKTSQKMIIGLYSKTDGKSIFEAVDSKQKRIRIGPSPSTPTTSGPVKRAASEPPIPSPEQKKMAMELHDSEETPTPEVSMVRPDPDTPELEILSVVPPTTISACRNLVIVNFLQRLQMTITGFHRHELGGLCKKIKEAIQLVGSSETVLLPFRIAEIMNMFMGMLKGQRCVGSLNGEFFISQWELVDILLERMEDCTSLEYFVEALKNKQMEVRMNEELIVSYLEVGEVFSNFVDYITDKC >CRE17514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:25513:25866:1 gene:WBGene00069710 transcript:CRE17514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17514 MVSSLSVQRISFLHFQMFRYFMLFALVSAASAVTRAICENYCSSVNGRASYDNCSPWISFATQQNQTCYNECVYKCAVVYKGSCMTGNKYRCCLETFPAKKQPFKISGCNKLYNNLI >CRE17534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:27243:27596:-1 gene:WBGene00069711 transcript:CRE17534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17534 MVSSLLVQRISFPHFQMFRYFMLFALVSAASAVTRAICENYCSSVNGRASYDNCSPWISFATQQNQTCYNECVYKCAVVYKGSCMTGNKYRCCLETFPAKKQPFKISGCNKLYNNLI >CRE17515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:31156:36909:1 gene:WBGene00069712 transcript:CRE17515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17515 MNRLIQYGTVEAIPYYNCSRQSFEEWETTGVKRQWLGYPLIVFGTFIELLYIPIIYIIFKTRLIKHACYKIIVVLAFIDMCATCCSCLITGPLLILGSVFCMYPTFTYVAGSFALVVYDRIASLISGDYLFGQGKTYGIAFHEYADVIEKRLAYFSIVFSISYGFYMFMFTPTICYNSVWISWIPDPLSELTPSEKAADMYKNRPQAWNNWVFVSCMFILFSIYCGMVKKIARGQKSKASMAIFFQCIIICFFNTVSALIYNALSFVTPDFWILLLGQLCWSINHGCPALIYITMNETIKREFKKLVFGITTKYKNRPQAWNNWVFVSCMFILFSIYCGMVRKIARGQKWKASMACQLCNTSPVIS >CRE17535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:37255:38382:-1 gene:WBGene00069713 transcript:CRE17535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17535 MLETLNDWTTMLDNQSQVDVIYLDFAKAFDKIPHDILLNKLIALRLNEHLIRWLNDFLTDRTFQVKVGSEICRFRSAPCGVPQGAVLSPVLFGIFVNELSSLLPENVHCKQFADDTKLYASVNNKTVENSLQKGIDIIVEWSKSSKLPLNNAKTVAVTVGKVRKETEYSIDGQTIKKENLTRDLGFLISNKLDFTEHWRKTTNTAKFLTAQIFNQYNSKKIRLMVLLYKTFIRPVLEYGTSISSPLKVSDEKLIESVQNSFTRRLYSRHTGKYLRPNDPGYKTSIERNQLYGLSSLKDRRLQIDKKLIEKMMSGKIDLNTADFFTLSQNNRTRAKTRFVWKRPKNKLRRKFFVNRTLTYLTQLNSTSNAPQRNLV >CRE17536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:45089:45442:-1 gene:WBGene00069716 transcript:CRE17536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17536 MVSCLFVQRISFPHFQMFRYFMLFALVSAASAVTRAICENYCSSVNGRASYDNCSPWISFATQQNQTCYNECVYKCAVVYKGSCMTGNKYRCCLETFPAKKQPFKISGCNKLYNNLI >CRE17517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:46983:49945:1 gene:WBGene00069717 transcript:CRE17517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17517 MNRLIQYGTVESIPFYNCSRQSFEEWEATGVKRQWLGYPLIVFGTFIELLYIPIIYIIFKTRLIKHACYKIIVVLAFIDMCATCCSCLITGPLLILGSVFCMYPTFTYVAGSFALAMWCMACATTVSLFANRILSIAFHEYADVIEKRLAYFSIVFSISYGFYMFMFTPTICYNSVWISWIPDPLSELTPSEKAADMYKNRPQAWNNWVFVSCMFILFSIYCGMVKKIARGQKSKASMAIFFQCIIICFFNTVSALIYNALSFVTPDFWILLLGQLCWSINHGCPALIYITMNETIKREFKKLVFGITTKKIETSSVNTASRSRI >CRE17537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:60406:61168:-1 gene:WBGene00069718 transcript:CRE17537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17537 MAEVLAKDRNALKRCFLLGYLPGLSAKETYRNLRETIGEDIISYKTATTWFKNFKEEDYNLDDKCRSDRPRLHSYSLTRLLDTDDDVSDVLEDESRLSAREVSSHTGPSFATIYRHQKESGRTAKYEQVISHELTDSQLKLSCDLSQSLLSRKRSFDWILDIATGNKKWALYVNHSVKSKSDAISLVGPQRGLLQRISSGLCYNYRRPLLSITPKNNPIQSFISPGNRSFSFVA >CRE17538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:73247:75439:-1 gene:WBGene00069719 transcript:CRE17538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17538 MSVSNSSKAFDESLSSKVFDNPHLLEIIVSNLTWNCESNLSTRLINKSFNSLFLRVIRRNHRKMKIEFIGLAERCEETAKDWIFINYRKIKKSIIPGYFNFLNKIVGVKVEEIITKDLWLPEEMFARNLHDIIYSDLIGGNRESVRRLIGLEDVCEGCGNCANMARQCVEYGPVRFRMLRRVKNPIHYRKLHISDKLLEDIANDCTLKSTTKEECFKHLHGVIRPSISCDTLVLWICELKEYYVDGVMMNSHFAMPREVLDVMIRKWNVKTIRMNMVACTSENECDENWIDRGYFTKIKLDDPYWKSGQASDLKLQHVSVSLANSFDCAGGLMYSNPGTFYEKNFEDYIANLRRLFQMDKISIDFSHWKHKYSGSLEEFMKNLLRVIQLEKQRKLEVNIQFFTEICSFKVGNSEEFAEIPSEYSLLSDRVECIRMFVPCEIVESGPERFNMIKWVGRRFQVKDMDNHFTLNLNIYVKETELKELDNGLMDTHPNSLIGVFLLVAT >CRE17539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:80447:88157:-1 gene:WBGene00069720 transcript:CRE17539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17539 MRASRRSGANGNTLNKATVPLLPKNRLVKELQDRGLDTNGVQSVLADRLLEFLSAEDGTTQSAAVIATPPVVKKTPAAKKTPVSAKAPPKKRGKAAKNIKEEEPDSDAEEEPDEPPDEKPDIADTSVVVESPAPTPKGRKKAPVAKKQKSVKEEEPDEEPEVDDAPVEVPKGRKKASEVNGRRSVKREVPEEVAEEPEEVVPQETPPTANRKTSRKQNKENTTPANKKEPKKKTVTIKLEEPEEPEEPREDIPSTSDAPPPPATPATPKVLDAPETPKSEEKRARKKSARLLASEQMEQDRIELRQQQQEEEPMEEEEPQTASEPQEPERKKQKVMIKEETPDEDEPRVEEPPRKHKKVVRIKEEPLDSSDETAASDPDFQPPAESMEPTRHSKRIKEHTEQSLDVYQQMQREKAERAEKYKKQNRSSSSSQPPSTPAPPVLKEPAWKADWKAHCSAIRNHAATTAAAPVTTPTETVKRKEPTTEKPEQLPKKKKRDMTTSIDAIEALMSSTHKEKAEKKASMDRTERIVGGSDATSDHAQGYLHKAASQGLPLPSPKLAQYATGVFFNQPAIPQKPGYPVPPHPMKMIPMIPVPMHGMIPPPVPPQLVGRRRQSRFDQPPPEAAPHITDSPVPSDLHLFQSTFQLLSRILSSYNPHHFQNAPPPSLTTAPQISQRMSHGIPPPPPGRRPILDGIIPPPPPRPKPVAPVTTATSDLQRRVQELFSSEPAAPAVPIVFSPRESSVPSSTPVTPFVLPPPPPPPPPPPPPPKLVEPPVVNIKADVDDEDDDFVIRDTVVEDPDVPVVATAPPAQNQIKIEPRGESATPEAEPVPHVHAEEDNAPKAINKVEELRKKEALAEERRRRAMELGDGEYDPLEDSVALDETEAVMEYQPTTRMEDEEVEEMEENEGDDEDDEMLVDDEEEDEEDEDEEEAEEETAQDGEKKEKTAEQEEAAAPEANQDDEDDISEIPQNPLELFKRALPLLHSSTVAEQPKNDYAQLDVVVPTESLLSRPQDEQPTEVYYHGDPVPEVDENELYEIAAGIKPKKKDKKPLVQIPDEERVPADVDTIELDYYNADILVKSAEKNIWQIEPFCQDGLALMWGGIRSNFGIQLPFRQTDKDKSHRLAFQIQIDAYQSIQHLPTEFMNEGGDVRIGFSLASTPLVLGENSGSWCITASGKRASNNHFYDFGKSFDIGDCVTCILDLLEGSISFQINGEDVGTPFEKVPMREGDLIFPTICTKNANVNVNLGQELSDEKWKLSEDRDWMFITEMDRAHLVRSQTAPAAKKDCTVLMTVGLPAVGKTTWVRRYLAEHPHEHWTLISADTVMDAMKVNGVPRIRLPTLKRPDFLRGIIGKSMNRLICLAPRRRKNYILDMTNCMADRRKKKLMGFEEFNRKCMIFVPDEDTHQKRLIKQEHEENEKLDTDAVMQYKASISLPIVAEGEPCEELIFIDPPAGSEHLAFDRVAKMNYECRSWLQLPRRRGGGYHNNHHRGGDRNNYHHHHNDNHHGGGGGGYHQYNRPSTSGPPSGNQYSSRNNNTMNITHTTFPSAPSPHIREETIILPPVKITIPSVASTSASQESPAVSASPAPRSSGPSSQFSFPPPSLPQAQTAPSPAPTTPQPIQAMHNQQQQVQKLVQQQQKMVEVSQPLTLNTSLPRKSVDTAGPSSALSSAGRSSSFSQNSPRFGFPTSKETTPIRSLAPTTPTIVTTPQIKTAPPPAQIAPLAPVVPLMNISQPPPQVIMTIPTMAQMRQPPPMVFPIDVTVPPPNFSAPPPQMPSPAMMPPHLLMYHQQQMTAPPPQAPQQYVYPPPQFAPR >CRE17541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:91374:93591:-1 gene:WBGene00069721 transcript:CRE17541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17541 MLLMNTLGSSKSSSKFEIDEIINRFLISVSEREKFLGVLALLMKIQVEMGKKHSENQERLVQEGINRIEEAVKSSSSLRGAFYEHMKIVLQQHKRAEEIRFISEWSLDLLKRFKDDFFEFQDSPPDSDMGDPNSTWILKPDPERLLEIAPMFELIKELLVVKMRWREEDQDTVTSSYEICVIKDLNFAFEATLPSQDHEIPTARDSKLNHLFFLVQWCRTILNAFLAQTSTDFLSSDDVSRLTTRTFKLMMEAEKKLAASLIGSATWQVPNLKFQTTKKEDLVVVNTEKTVKSRGRKRKSDETVREQTVTEEDMVMQEAEEAEEVEKELPNVKSQLKSHYVCLKLRPLVHILKLAENKRSCLKYLCDEIQDVFDHIIRQKKKTPPMIASRSSTSPQSQLDKMYHGDAVTVWFCVKKVTEQVWGIVELVFEFFSALPDASQQPSVTMQKDLEELGEKSLKLMHCILSGDLYGAEERLTPTEKSERKSVIIRIIEKKMLAEENRSNDVEHARVEVGKYLAKSAEFSPTPAVAVAIXXXXFTRRW >CRE17518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:94007:94393:1 gene:WBGene00069722 transcript:CRE17518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17518 MKKCIFSDGNVIEKKQKGIIEMIIGFFTFIILFFRSLLGFSSPRNRNSNQQDYRNIVRGGGVNGANGDGNAYRRNGGGGGGGGGRDIGRLPSASGIAPPPMGGGCCGGGGCG >CRE17519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:100498:103404:1 gene:WBGene00069723 transcript:CRE17519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17519 MDVYQSKRTKLTRPKTRKTDLRICTFNCRSVATDNRLAELLEETNRIKYDIIGLSETKRSAEVHMTNRDGTGVILGKRNDSSVSGGVGFIINKSLMPKIKEIKIVNHRIGYLTLQVNKNQYTIIQGYAPTADYEEEDHSNFYENLEDVYKSCKSRYKMVIGDFNARIGERKGNEVFIGPHSMENRNDSGERLATFCEVNRVFHMNSQFIKPTHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHRMLRANVHINAKQAKFEQVKRRKPPRRVLDPTAALLATENLDSCEDQDIDKEYDTLVHVLKKAQDAAVTIPTNHSRNRLKDSTRLLLSKRRFTDRSDPNFKTLSKECRQAVKRDHENFAKDRILNAANQRKSLRKVARDINEYQSYIPCLLSGETGEKLTSREKMENEVRRFYSNLFATKQSKATTIIPQQTKALPPFLPEEIQHSLNSFQNGKAAGEDKLSADFLKSCHSSVHKLLANKFSRYLQEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPVLYKVFTKCILNRIRKSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINASKTKVLRNKFASSHQIHIRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKMSQVKDPLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE17546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:103916:105105:-1 gene:WBGene00069724 transcript:CRE17546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17546 MLHQDYIGKPNPFLLGSNCRYVDFPIEKKRITYFFCIPTIHNVNWKFTVDTTNSEETNIVKFSVSQKKTPKAYGSIELEVGLVVENLESSEHSIRAIGRYEFTKDTNKMIIDIPGYDRINDGKQGFFDEKAEEKTFKIRYNIVVKSSRLYDSVELFDFYHFDSTIFDVEVNVLGHQMFLSKKLISLQSLHLYHMIESQNINYSDLPTGCFFYIFHDFLQIIHGVDLQLDNENISEFLELAYHLEVPRVTEYCKRQMIRGLDGMTTNQMVELAEKWSFWDIVPRKLAQAHCLTDLKAQEWDLDELERDVIDQITRRLFELNC >CRE24336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1595:4692:5121:1 gene:WBGene00069725 transcript:CRE24336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24336 MPQMPCLSEIETNGSYPGVVILNNKRLRDIRGFINWDRHFRIQGYTQFPVFISGNDQLDTVNMPSIIHHQFSPISCEKRLTPNYSIQYAEAMSLSFVLISLIISATVFLYPLSLGSANIYFVPGYHKS >CRE17547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:107881:108946:-1 gene:WBGene00069726 transcript:CRE17547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17547 MSNCSNSMIILFVIIISVTSQNNSNLGIFAQEDLMLAKCTEPYQIYISSTLFNVSGHEILDPIFMKKFSEFTKNVSTCIGSNVVGNTARHYRFFLDALAFIGETLYRPSVFRCLQNISPKINYCFQANTHIYYENVVRINKKKTSDFKTIVDCVIEEMKIDQMCRRKETIQSIGRSMNAIILVAQQFKYFKTGRMRPMVFNPDRLR >CRE18349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1603:52:1401:1 gene:WBGene00069728 transcript:CRE18349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18349 RSKKLQRTCVCPFPPCYRIVDAIDGLKGKWQRVKRVSFLCCCPPFCCLIQRVSGGTFRNWGRFETKKSLHSVARRPSFETSLFLQSAFWPPRREYYFFKPPPNDISFQVNEESEAILMRDRKKKLKKLKRAKACIPGEMYRFGLSHPCAEDVKHARGFALKTANGNTIACIHIPCPDVSSSPRFTLLYSHPNGSDLSDHLVGVPSLIDLARFYRCEVYSYDYSGYGISGGIASEHNLYADIRAIYQYITMEKHVDPSRIVLLGFSIGSAATVELLKEEKDRKPPAGVILQAPPTSLLRVFGNMIGRKKHLEKPTCCLDRFVTIDKIHEVTIPILVIHGKDDKTVPIEHGELICQRAVTKVTPEWVPDAAHDNIENCRVVWKRIRKFIRE >CRE02742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1201:7504:10540:1 gene:WBGene00069729 transcript:CRE02742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02742 MYCSRLILLFSLAIHLVSSSFSCDTAVSPPKNCSKINLKHVKGTAFLQWPEWCEVFHGELKLRNIDLQRADFRKLRKINGSVQLINTGYSRMPQMPCLSEIETNGSYPGVVILNNKRLRDIRGFINWDRHFRIQGYTQFPVFISGNEQLDTVNMPSIIHHQFSPISCEKRLTPNYSIQYAEAMSLSFVLISLIISATVFLYPLSLGSANIYFVPGYHKS >CRE17522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:120034:123950:1 gene:WBGene00069730 transcript:CRE17522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17522 MTNSKKDQNKEKSDKNSNRSPPRNERIQKKVEKEEMTLDVTQEMSEKSSQKFQIDKEKGSEQHQNKIILSGYSTRRVRYKKSEPDEKSVNIDADLVRNNDKTLRDEDWFLEKIEKCKSKKLQRTCVCPFPPCYRIVDAIDGLKGKWQRVKRVSFLCCCPPFCCLIQRSAFWPPRREYYFFKPPPNDISFQVNEESEAILMRDRKKKLKKLKRAKACIPGEMYRFGLSHPCAEDVKHAKGFALKTANGNTIACIHIPCPDVSSSPRFTLLYSHPNGSDLSDHLVGVPSLIDLARFYRCEVYSYDYSGYGISGGIASEHNLYADIRAIYQYITMEKHVDPSRIVLLGFSIGSAATVELLKEEKDRKPPAGVILQAPPTSLLRVFGNMIGRKKHLEKPTCCLDRFVTIDKIHEVTIPILVIHGKDDKTVPIEHGELICQRAVTKVFPLVTPEWVPDAAHDNIENCRVVWKRIRKFIRE >CRE17523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:125923:126853:1 gene:WBGene00069731 transcript:CRE17523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17523 MDNMIHVDDEDTRDVGNKMYKKHKARQAAIAESKRQNEENRENHEAMRQEREQSQPRDMPIEMQTF >CRE17551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:127431:132172:-1 gene:WBGene00069732 transcript:CRE17551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17551 MSYNNNKIFQELTPNISIKNDIKAGLGTSFNKKKEMNRLLYYGSVESIPFYNCSWKSQSEWLETGLKRPLLGYPITVFGVFIELLYPPILYIIFKTKLIRHACYKIIVMLALVDMTATACSCLISGPLFIKGAVFCAYPEFIYVTGMFVLTTWCTSCACTLLLFINRIVFITLPEYSHIIDGKLAYLSIFLIIIYFIFWFFFTPTVCFNSIGMAWFPDPLAMETPTEEAADYYRNTPQAWNNWIFVSIMGVFYVAYFLKVRKVARGQKSKASAAIIVQCIIICFFNTVCALVYNSFTLITPDPWILLMGRFSYYEFTKILSILGQVCWSVNHGCPALIYITMNHTIRKEFRKLICRRKKVEDSTMSVTNTMNRY >CRE17524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:139215:142643:1 gene:WBGene00069733 transcript:CRE17524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17524 MNQHPNQNQQRSNNTGRGGRRNNRRTAKKSNGNHPDRPFSSASNHIPNQNGNRRNNRNFNRNHQGGEQDSGNFRQNNRDSMTPIDENRFGSQSARDSDYQGGHRDLSPHSHSARDHSDTWSPENRHSYSPQRNSDWNPTDFENDGQGPSRANYDDYNQGGRNSYSPPDNRQYENEDHSRRNNNYREEQRDNYLPRNNSRLGNQDSPPGNNNTPSKKKKKRPNNTARWNKALKLEIQNANGQRRRQQRTPTKDERQGPGRFGNGGYQESGRTDQNHGPRSPEYRNAPPPSYGSQDVRISGNQSRRPDSPMSLRMSRPDQIQDRYPDQRSQHGYSPARSERQGRSEERFANDNGRNSNGSFDQYFNSYFNNILLDRNGDMYNGFGYRESPPRYFEGFQSQNVRNDARMSNRPNNYHSPPSNQDRFRSPDHYRSNSPSGGFEGSDAYNDQRRFENGNQRSYSPSPPNWQNDVRGRSNSPCGQGTSNFRENSWSPIPGERRCRSPSAQNGYSLRNRSPSPRGQGSSNWQPSPPREQFRNRSPSAQCGYKQIRNRSLSPQGKGSSTYRQDNWQPSPERFRNRSPSPGPRDDWSPIPGERRCRSPSRQYEDDTQRNPRNIWSPPQNWNNQQRNRSLSRQGSGPSTSRPYDDRSRAPSYQYDHSSFSPEGQGTSNYRQNCWSPSPTPALGQQLQERNRSGSLQGPGPSSHGRDNSWSPIPGERRYYRSPSPYNPQRRHSPIPQGNYRSSSVEPRLPVSGFQQSVVQLQNETRSESSYPNERQRGTPKDVEKEPREEEEEEPFDEEERRELFAALMREEPMRRIEQSDGKWQLSITETSCDPSFLWDRPEPKGKVSQATRRFVTKDYVNPHLRNNCIFCNGKHKPDECPHVVSVEDRREILAFYKRCIRCLRRHREEPCPRKNQGQCHYCFDEDPEEPKHNSSVCRTAYIPEHMLESNQ >CRE17526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:160853:162514:1 gene:WBGene00069734 transcript:CRE17526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17526 MYNGFGYRDSPPRYFEGFQSQNVRNDARMSNRPNNHHSPPRNQDRFRSPDHYRSNSPSGGFGGQDAYNDQRQFENRNQGHYSPNPPNWQYDERGRSNSPRGQQTLNFRQSSWSPIPGERRCRSPSAQNGYSVRNRSPSPYGQVYSNYHQDNWQPRPEQFRNRSTSQGPRDDWSPIPGERRCRSPSRQYEDHSQGNSRNSWSPQQNWNNQQRNRSLSPEGPSTSRQYDDRNRAPSYQYSSYSREVQGTSNYHQNRWSPSPTPHQENQNRNRSGILQEPGLSNNRDNSWSSDRTRNVKPSLPVSGFQQPVPPLQYERRVESPYPNERQEEKPKDRIVKKEPEEEEEEVEEEPIDEEERNELFAALIREEPKRRIERSNGKWKLSITETCCDPSFLWDKSAELHLYQNIC >CRE17527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:167354:169106:1 gene:WBGene00069735 transcript:CRE17527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17527 MYNGSGNRESPPRYFERFQSQYVRNDARMSHWNSPPRNQDRFRSPDHYRSNSPSGGFGGSDAYNDERRFENRNQGHYSPNPPNWQYDVRSWSNSQDTFNFRKNSWSPIPGERRYRSPSAQGGYNQVRNRSPSPYGQVYSNYHQDNWDHSPEHYISRSQNRKYEGPSYQYDYSSYSPQGQGTSNYRQNSWSPSPAPVQEQQFQERNRSGSLQEPGPSSYSLENSWSPIPGERRYYRSPSPNNQQRRQSPSLQRNYQSHNVKPSLPVSGFQQPVSQLQNKRQTKSPYPNEREEETPKNVEKKPEEEEEEEPIDEEERRELYAALIREEPKRRIERSDGKWKLSITETCCDPSFLWDKSAELHLYQNIC >CRE17528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:171748:174669:1 gene:WBGene00069736 transcript:CRE17528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17528 MDRLIEYGSVDEIPYYNCSAKSQNEWLATGVKRPWLGYPITVFGVFIEILYLPILYIIFKSKLIKMTCYKIMVLLAFTDMTATACSCLITGPLLIIGSVFCVYPTFTYIAGGFAIATWCMSCSVTTSLFLNRVISVAFHGLSNSIEKKLAYICIFLCIFYGFYVLFFTPVVCFNSEWLIWLPDPLSEMKPSEQAAEYYRNRVQAWNNWIFVTCMFVLFTLYLAMINKISMGQKSKAAKSIFIQCCIICFFNTVIALVYNALTLITPDYWMLLLCQFCWSVNHGCPALIYITMNQTIKREFKKMILGSDKRIGSVSTHPASLTHLSTH >CRE17529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:177564:181112:1 gene:WBGene00069737 transcript:CRE17529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17529 MSNDVDGCEIIDDVFYDDSEKERDESEDVKKNITEVSIENITPLEKTEKVEECKRIDSILYGESEQGENETVDVKETGSDLSIEKGEIIDKLPVDVIENVVKESAGLLEVFRSEISTENATIPVQNRENGNDFGIISNILSKLVETVVYNVEGIGEIPKLMDIKLATPEKVCQVKQKRREKPKKQMGKIQKVPAGKADELIQQVRVWFEKEFNSYVQDGKSFQRLEWLADSLTAAIHKASVGDEGALKKIEKRCPPLEMKEGEMSTQTTVTKSARNTSQMSGEKSKGARESLGKSYWQNRAKTYNRLIGKESKQCEIPIGVLEKFFTETTSVTNVPKEVLEAKSSKLPKAQVGEWIEGEFTQKELADALKKTKDTAPGVDGLKYHHLSWFDPDSRLLALLYNECRVHRRIPAHWKEAETILLYKGGDELKPDNWRPISLMPTIYKLYSSLWNRRIRSVNGVLSKCQRGFQEREGCNESIAILRTAIDVAKGKKRDLSVAWLDLTNAFGSVPHELIEHTLIRNGFPEMVVQVVKDMYKGASIRVKSKTEKSEQIQIKSGVKQGDPISPTLFNMCLENVIRRHLDTAAGHKCLNTKIKVLAFADDMAILSESQDQLQRELTKLDGDCTPLNLIFKPAKCASLVLEKGKVNAAAVVNLKGVPIRNMACSDTYKYLGIQTGVETRTSEMDLIESVVKEFELLVRDEELTLPQKLDCIKSFMLPKMTYMYGNSIPKLTELKYFAGETMKAVKSIHEIPHSGSPVEYCQLPISKGGLGVACPKITALITYLVSTMKKLWSDDEYIKKLYSDYLKEVVVAETGRQDVTLSDMAAYLSNETPSKKKNFGYTTFTRIREVCRGLSSIKDAPLHKIKIVEHEGKLAILVQAIEDGPEAVYTESHVKKLQLLLKKEANTGILHRFITQKPVKSQVVQVVLQHPQSNSFVRNGGQMSFSAHKIVHKARLNLLVCNANTWDATSTKQCRRCVKEKETQMHILQVCTYNKSGLITERHNAVHNKVSELIKKGSKRNWKLVDDSVIAGPSVKRPDIMLRSPDGKEIILADVTCPYECGLKGMQRAWDHKVEKYTKAYKYLEARGIKVTVLPIVVGSLGTWWKPTTNSLVQLGIDRKTINEWIPKLCAATAEYSKNIYWRHIKGDRYQSIPMKFGLDKPPGNSWKRRKRRKPPKPAKN >CRE23176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:42337:43538:1 gene:WBGene00069738 transcript:CRE23176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23176 MERLIRYGSVDAIPFYNCSWKTPEEWNEMGLKRPVLGWFLVLFGGTVEVLYLPILYIIFKTKLIRYACYKLIVFLALTDMSATVCSSIISGILYIQGAVFCTYPTFDYIAGGFAISDYSRVTTRSKSSWLYRGFMGCTFCFSHQLFVSIRSRWHGFQNHCRREKRVKKLMKCRYCM >CRE23180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:37414:38418:-1 gene:WBGene00069739 transcript:CRE23180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23180 MGRPHNNKNVTLAVQEAVIQGIQMRLKRKDLALQFNLAKSSITGIFQRYELRQGVVIRKSPERPRKTNHLTDRNIVRTSRMNPRLSAGEVAALVDGPMTPVPHVRTVRRRLAESGLSGRRPAKKPFINAKNRKIRVDWAKAHVGWGRAEWKSVLWSDESKYNLFGSDGVKWIRRPVGTRYSPKYQTPTMKHGGGSICVWGCFSAYGMGPLVRVSGLMDRFQYESILENHMRPFARQSIGRSFTYQQDNDPKHTSLHVRNWFNRRHVNVLPWPSQSPDLNVIEPLWEELERRLKGKFASNADQKFSQLQDAWSQIPQSAIN >CRE23179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:25270:26420:-1 gene:WBGene00069740 transcript:CRE23179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23179 MNQHLNQSQQRPNNTGRGGRNNNRNFNSNLHRGDLDFGDFRQNNRDSMSRIDENRFGNQGSRDPNYRDYSPQRNSHGARDQGQSDRWCPYDQDNRRSYSPSRNSDWHPNVFENDGGQRSSRTHYGDYDQGQCNSYSSSWQYGNESSVRTYEDDSRRNNNYHSPPRNQDRCRSPDHYHSNYPSGGFGGPDYYDGQKRFENGNQGSYSPDPNPSNWQYDERGRSTRNSWSPPQNWNNQQSIRSLSPQGPSTSRPYDDRSRYHSPSPRYQYDNSPYNQQRRQSQSPQKNHRSHSVEPKVPFSGFQQPVAPLQNERRTESPYPKEREQEKPEDVKKEPEEKEEEEEPIDEEERRELFAALIREEPKRRIC >CRE23178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:16611:21515:-1 gene:WBGene00069741 transcript:CRE23178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23178 MSDGKIIEYAFLFRNEGFLESFLLQDLKISIQLDPIEMDRLIEYGSVDEIPYYNCSAKSQNEWLATGVKRPWLGYPITVFGVFIEILYLPILYIIFKSKLIKMTCYKIMVLLAFTDMTATACSCLITGPLLIIGSVFCVYPTFTYIAGGFAIATWCMSCSVTTSLFLNRVISVAFHGLSNSIEKKLAYICIFLCIFYGFYVLFFTPVVCFNSEWLIWLPDPLSEMKPSEQAAEYYKNRVQAWNNWIFVTCMFVLFTLYLAMINKISMGQKSKAAKSIFIQCCIICFFNTVIALVYNALTLITPDYWMLLLCQFCWSVNHGCPALIYITMNQTIKREFKKMILGSDKRIGSVSTHPASLTHLSTH >CRE08499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:1492:2577:-1 gene:WBGene00069742 transcript:CRE08499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08499 MSNTLNPLNHGIGGTHSKDLILPQPFIPINPTRPEWYKREEKLPHDHPEYRGIANYYGHAEAFPFNPERPLPVEFYADKLNKFASLMVIACDLEESCVTLALIKNIRAANAYYIEKTKKDHNELIGELVAKHDEDVEKLEQDKKFLKIQCHKKMEQMMIKYEAKITNLVKTVTDQKNEISALRDQISKQEENAKNKTAGVSGNPETIGLEHLSIDTAPSTPSPPTVATTETKKSIKSFRI >CRE08477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:4464:12290:1 gene:WBGene00069743 transcript:CRE08477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08477 MVSEHQIIRSYSLLILLLEKANCTILRFESADKGSVSQRIEEKGEERKTVPNKMSYPILPIRRRNSHSRASRGNVEEPDHSSEYTQNLNLDGLLDFDTSFDDRAESYCQKISACDIELQAVETKQDSKSPNFRLSHKDQKRDKVVQEKIKKRAGDFDEEDDDDDDQDDIRSSEPYTNNGSYNNNFSYRNWEKRNVNVVPLDPSRISLFDNNDFPKDVVGNNNSEKPHQWRATPPTQTSTHKLERSEKRLERKRLPIPREKKIRQKRRKPNNLVNYQSIPQLYHVSGFSKPIINRQSLHHGRITSIEECEEAINALNRGTLDVVEDQRTKRKIVDFLQLYGYSFFSVNENKNTADNVVRNDMKTVSGNQKVEEEINWSELFPFEEADEERYGKGNRPISREDENLHQMDASATILIAGDLKKNHSGIEKKYLAACNAISNSEILTVSPVVIRNLFNHLTQSSSVNQVNTEFPGLERWNLTDAERIGLPSADEYEIDPIVYVTMGQLAIRNSPYKFLTEDQIVSFILHHWPHFRFSNYVLWKQSLTLTLKRNKTIFEEKIIQESNTHIFRLINWNNQILNETRERLFENDPRGLEFFHKMSRGEMGLPRQLFYQTVGLCAPEFSGPENSSIFYHFLSLGLIPRKLCKLFRPHFECQNNNEPKFVEANKELVPDDLSKPEFLAGFGVNMVNGRPGRFEEGMADRFHMNIDWYFNVQKQYAKLGSPNWTTPPLNSSSFL >CRE08478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:21802:23505:1 gene:WBGene00069744 transcript:CRE08478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08478 MRRRKPWTVKKRRPRPRKTASDSAAPPKRIRKPPAPNDQKTPLITEFFKVRRSGRKTKKQLKRETELEIHQKTLSSSIKASISYALPTILRPNLKARAISIDGAVHLILVAKSKIEVGEELLYDYGDRSSEVTSVNTWLLTS >CRE08479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:25433:26590:1 gene:WBGene00069745 transcript:CRE08479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08479 MILTTILVVVGLCFGGVGAENESIQSDQDSKLCEARKIGASWSCIDNESVNDAPIFRSLVNAPAVIRECCQYPILLATANDANVTRQNFKCAEPISLKCHRDKNGQFVKLAIAGTNDQFKTFTLVSFPTFFIHFTSHFQLAESEMTLLERTVICSSSLFRSKWHLEGSEDEFSSFTCLSKSKRDNQTVVRTRNQDTFMATVQAFTGCFACREGTTEKVECYKKMRGRAIVAQLKCPSTVSFVTCDSKGRINSSKFSELWSRGNGGFNCWKVDGREEV >CRE08500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:28138:31153:-1 gene:WBGene00069746 transcript:CRE08500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08500 MYNNDRVASWNVPLRTQIHKIDFEHGTTTGKRVIRVDGVEILRRDWMFKLVGKEVFKFGTTHCTINVEAIGNFAYEYSLTVNGKTYNKFKEEQNKKLQSWEMTIYGHDWRIVLDKDSMEIWANGNIIKKEAEFVDNGTETHFELGTTPCRIVTISSGDRKIGIIHQLYADDKLIPSVSEKRGF >CRE08480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:34353:41820:1 gene:WBGene00069747 transcript:CRE08480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08480 MTAVRDGTAGYAYLANAKTKYKNPRNPTGPTDRRTRSQRKAEDLIAEAATTASTASTASIASTTSETTAATSSTTPTPTSSNPTSNTSPAVSPSSSFQTPTASPSSLAEKKTPEVRKTLFPFSVKEDYSPALTKNSTPEEVNAFLEKLLRLRSPGSRAPTSGNQEQTDLSPPGKFVRTSTPISSRQSSPCISPSHSPLKFDSPNSSFSLSEYFDSLESKTLVASPPPPGSNNCVSVKVEKDPPLDTTEDSEKETIMGDEQKPPTTPYNDRFETEETQIDVTGGTEWKSTGDVLRLLERRVRKFGDGKAADITEWLDSLARTFYRIKVPSEAAVGILPFFLEDAALHKYDRIPAEEKKTWAGVTKALLKAHECDADKEIALQEISNTHQGKSSISEYAEKIRKLGTYAYDDLEVASRDRLLATQFLNGINKEIRTELRRLPTAPKTLKEMVNQAEKIDRLLKIEAEEDDDEALVAVVQQAFPAWQPGRGGHFGGRGRREKTYRSFPNYQGTLGQAQGCQHCQHCPHHVPQQHHQVPPQYHQAPQQYGQPMQGFGHQPIQGAGYQQIQGATHQPTQAIGHQRPPPLPIEDAPPKVGFNNRTGRPVINNVSKFLLGLMTLTLLPTADSLQVCGFGVTGNVFVPPKPIQCTFNTDVHLQRHQIAVYVPRTEAMELQANKCSKTSMKAHTFGFLTIYQTTETEIGEQVEVAVEECRKAVLEKKYDGKSLEEISPGYFRTNTMENGTIKPSWFGRKTFEFHEFTLQTGHVATIDGRTIISNLGNLEQCSFESGSCKEDRFTIVWEPQIARRECPFQYSFSSEAIIHQDYIAIEETGFFAGIEKDLRKVRTILDDCAMNQALMTDDGLLIELPQILYQRPEYTVFQKDSPFPWRIKRATAGIKGNLNEWIEFEIGENFTTPLVWKLYGKKNLKDVAVVNSPIVDQVLLREFKRYNVTNNLLSQRAKFYPEDRRHQQSTLLIALKAIRIAQYAWRERRRLTSLKNGLTHAEETMFRMIEQRDAFMFDTLLEREFGKSEPDFIHLDSSASVPKFNETKLKEMGEFAPIDEAQWTRPTPPPTEKPTTPPKTTTTAPLVTPPVHTVPAAPAMSARPATPAPVITSNHAPVINQNDVKSSKVRTKTIEESFTSTCKEQFATNSLFDTLLSIDPTAAVRQLLKRKDISAKRIGESLLISKCRTVTPSTIHWDRKVNNTCYDLVPVTVDGIIWFQLPGSEDLVTEAVKISCDDRPIGIRMEHHRWIGSDNIEVSPQQLIRPNRMTQDQFLLEPPKTFYTNLNQEIGVSTSADKENEKKSSRFQRDLQKSLIKEGVLNTGIDLLTDSATKVGKSAKELYDTTVDKVGNGLRNALFSILELFIWIGSLIAVILVIVCIGYAYLKYRAFRKATKVTRKTAIGLAESLVGLTQQLHINNVQMTNRPAPPPPQKIRQKPIEEEYPMLGKVCAIKSRKWKGIRPARTPHIPLEIEGRPLKALWDTGAAISYMPMSSVVTDVDTRGQLVAQAANGSPINFLGTTMATIKIGPYAMQHNFLVSSDVDCPAPVLLGADIQTSISKNFGNISTNLFEGVIEIGGVKIPINYVGCDEEDEEESILVFPQEDVVIDAKSEAVIPAIVENYNPEMGQELLLEDTQEDGDDIYVVGRVIARIEDAGKTMVQVFNPSATPVRLQKKKAIAKASKIGSIKTFDQDNASPEADWESKLPKLPQETPRNFRISDWVDLSKSKLTENQKEVLRVIIDAHAEAFVGPDGILGEYKGKIKHRIDLEDGYKIPNAKIYRIPLEKREEIARQIKMMLEQRIIQPSDSPFLAPIVLVRKADGLTWRFTVDFRALNAITKPVQSVIPNIQEILDLCGGQEFYTSLDFQAGFHQIMVEPEHSSRTAFACFLGAFEYLRMPMGLKGSPGTFQRCMNELIKEVRARIFVYIDDLIMTSRTAEEHLQDIDEVLGKIEGIGMKLKADKSKFAETTLYFWDLSYQRMAETRSSRHSVKSIRFRQSRMSEHXXXXWVISLPAKTKYGHVIAKMVHESIFESAHLGCEKTEKRVGDIVSWPGMSKAIRGIVERCRVCQKNKDPAKTRLRAPMGKFETTSAPFERVHSDFIGPMAETDRKNKFIAVFVDSFSKFIIAEAVRDQTADELCTVFMDRVVSRFGTPKTLVTDHGTNYTSNQFRELLKSLAVEHKMSTPYHHEANGQVERANQTIQQMLRQCEDSQNWDRKLQTLVHAYNNANNATTGVSPHVVMHGQQARSPLKNALPENTLSTGVMDHVSQVRSTQETLQKDCMEKIEKRSTRQKERHDSKKVINDAVINVGDKILIRESKYSKIGKQFRGPFEVIEVKEPNITVRISGPNTRSKKERLNTVHKNRCKVYKSGKKEVPTTPEDPAEK >CRE08501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:43800:45691:-1 gene:WBGene00069748 transcript:CRE08501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08501 MEQYPANSATLSTDTPGTSQLPFSNRLFNAILHRIYKIAVTGGPSAGKTTAMEILEKFFKDHGCEVYLVPEAATELQKMGFSFPKLSADRKYNFQKELINMILYLEGALLRVIGKPEGRDIIIIMDRGVMDPSAYSSPEEWSAILKDLGLNEFELKHTRYDHVVHMVTAAEGAPNAYTLETNAVRVETAEQARDIDHKTRQAWIGHQYFDIVDNSGTTNIHDKVNKVIQVICDRMGIPGQVAVPSNKRKWLIEEVDWKNFGVFEEFHTKHIYLVSHEPQVQERIRRRTKAGQSTYTLTRREYNKDCSGYREKRTSCSEAEYNDSLKMKDRKRSSIYKRRRCFVYETMSFNLDLYCTPLPPRAHGVPYLVLEAFTNIPKGTSLPVGSVPPFLRIAKEITDNSEYSMFRLAEYSS >CRE08502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:51469:56295:-1 gene:WBGene00069749 transcript:CRE08502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08502 MNKSNAGSRLAETSPIDKVKDLDKILKRVYNAARGATMEITKDKIRFKYFCNTVNDIFDALKINDNTQKYALLNTEAVEKDNAFNTSRIMASMIVKIVAGLNRIEPDTTLAELLDIVNGKKSTREAEKYIVGLKQLNVSSEGYLSEVLVLPSGESDVRQLNMDPAISDHTTTDVKAKKRSPLLQLPAFTRQNAQSVDTEGISKEVSSDHQHWKQTSMSSIPSPKRSRYETTSIEPLPQLPAVKMTSKKPTSEVHESQYQQQFILLKNPPNVIPNRHQHVLTVQPLIRIPAYKELTFKQMQNELRKSCAQHPKKQAIVANSLCQIFERMKNQHPQVHPLPSLPGIAEQSCPSPSNIARVNNTVPASVSRNKKYEAPRTHPYFSHPPSQENNNFSFAPLGRLTQTPIVSELNLSAIETEQNFGTLSISTQSEKHEAKTSPVPNNEAEKEEIVIDDDEVKIPDTKSPKTLEEIGKLVLANISIPEFYGGRLKSSSLLIESFCTLRLQSFVLDETLMFLATDMILQHLSEETYVSKFSLLKLYVFFRCDSVHLLSNYLFPSLIGGSKGNEWFIKETISQESMASLESKCERAVNRMKTHCDMFSRKMLILPTFFISHWMLTVIVNPGHLLDGKTCHLLFFDSFYPRHWNIRKNHMKTIMLKYLENVAKKFEPERNFQPNCSPIVPRNIPLQPEGSNDCAAFTLHFMECLLKSMDQICHHPNLEMLDWQTLVPDFREQLAGNPREPLIRRILNRASLEDLGYLKTYVTENRIAGLPLIIEYSC >CRE08481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:60318:61551:1 gene:WBGene00069750 transcript:CRE08481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08481 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3N6U1] MSTVTFTTIPLEDVEHNMCKMIFHLVLFILGALLCGRMIVNLWQASKQLSCNNICSSFAPFLNFLHLATNILVWFNTLTGLRAEESTYGGFLLKLFEHFPYALQCSKQLCLFYFHVQWSISVLLVIQRIITVIWYLNPEKYMWICVGAFMMLSFYCGCVSVYLLVFQETVQIVRVENGKLVEETNIAALDFTKNWVFILSDVYMVVTIISTVCLLIALKVQIQNTSEQTRKLKNKMAKSAIWNAGLYIPIVLWSAVYAKYIHDRSSFFGNYNISILLICTDIYTLSTPVVSFFLGLSSRPPNNQNTTMPPNQVKPSASPQINNFI >CRE08483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:67247:68016:1 gene:WBGene00069751 transcript:CRE08483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08483 MLSLANPSALSPETKKLVQQQLVFLIHANACMKKSTTGTATGQTPIGPPCNLPHCQNFKHILGHMKTCRAGPLCSAQYCNSSRVILKHWTSCTNESCAICSTIRHRQT >CRE08503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:71266:73398:-1 gene:WBGene00069752 transcript:CRE08503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08503 MATQQPSNENARPASNNVRNTRQAQNENNSGPSHRNTATGQPRKRNNTRQLQPLQTNSNVTNSPRPKRRNLTLEASQGIIRIGGSVGDYRIKEKLGEGDNGFCYVAELKDKSAIVALKFQDKKENGAMIENELRLLKKVQGNDAMMKYIENFTYGSYNVIVTNLLYCDLKSQLAHNQKLSSHNTLRIGYELFTALDWLRKKRVVHRDLHSGNVFFDKKFSKAVIGDFGCSEMEKRNELGLKFHDLNHISHRVNDGEEYRFLDDAISILFLMLELCGSRSLFQDGNIEKMVKKKKKLFKKPADALIKETNKFMIPIVFELRRQFNEPRPTHTKLLTVIVKQTVPGFDPKKKYETNTSPPLHLV >CRE08504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:75997:78152:-1 gene:WBGene00069753 transcript:CRE08504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08504 MTHSDILTILNRLIITLNFFLNIFLFSQIHAARTISVDPASQRFFDFPRIEIDQTTREMPAKSSIASKETNAKVGVRKSRRLTGAFTCDDGNDLRTDGQNSEVSDNKNLMSREQRLSHRNFNKPSDRQKNKDEEAESDNTVHFGFTNTQKVGKYTIEKRLGGGDNAECYAARKKYARASVALKLIKVNKKSTKLIDNEMQMLTKAQKHDFVQVFYGSFKYEKFHVIVLQQLHCDLLSQIKNGKTLCRQNVGKVAYQTIQGLEWLGSKNIVHRDLHCGNIFLTKNFSNIVIGDFGCSEMERRNDLKLRFNDLDHISHRVNKGAEYRLLDDIVSVLFLMLTLIGDKNLFSDENLPRMVQKKEHLFNHPENVLTKARNEFMIPVIIEVQSQLNGKLSYPSLIDVFRQIPGFSPLNKYVTSSAPVKHLR >CRE08484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:100677:102424:1 gene:WBGene00069754 transcript:CRE08484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08484 MNIIWMMFMIFCSANHGAEAVEIMLDGTIQCSLNKPFCFLGLYMEYNEKPNNTQLLNIPFRCLNESSRHLGQVILPGDFKIESNSYYDVCLQLFHNCTHSGEAVLFENCWEIPVDRTRPSIQIQWNQTEDKYVPTRSFKYLVIRPHLVAYEDSDAKKYNGMSNTQKLERIEAERAKLMELLEYEKILKSIDEKIQMMRDGIQEMEMEIKKKQDVLKIMKDALEKKLSQQMIMLEHVRVENQMLRKINEQAESGNPDDNSNLKEEESKPAE >CRE08485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:109126:110597:1 gene:WBGene00069755 transcript:CRE08485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08485 MKYSLALIAVFPLLLMLFKGSIGREETKSWLSTTKLVFDGTFDCPLKQTFCFTGFYVGYNRILVDDDWIHLPFRCVEDYSRHVAQISFNETVGNVKKHYTPRLKVHHNCSDDGRTHYYFKNFGQVNAKRNLYFEEYRLHMLNQGSLDIFSDSDLRLKRDRKRLKKWFHSLPYQWNSTLDGYQPTQNFKYLVKGIHVLPFGELEMKKFEPKSKEEKLKKLDEERIRLLKKILIEEAQNPGKNNSESEWSQVEDYNKTAEKEPSVEDVILAWRKRKQEEKKRNEPQFAKQLKCDMKVADKKTDKRENVAVEEKPSTIKLNKRSDQNESSGEDP >CRE08486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:135016:137198:1 gene:WBGene00069756 transcript:CRE08486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08486 MLSHGIDAILGDNKNLQDAKVNLFKNQMKPILLSFLSPPLVSNCQQSRTTMSEFLKKFKDQEDSLKSKVGPIMIEYLADIIIMLIEQNETRLNYTGSPATAAFQKQWSDRMAVFRSTQQIHNAPIALQMLSDFTEKFKELSEKDQGCLWKFFNTQIVTLARLLIHEKESVRIKMSDHYYTELNKLGEENQQNKKIIEELKAAMTTSNTSIADEKIRFCKLEQEKSNLWSQLSKTQSRVGMQREEIGKTKLKMLKLEEEVKRLSDLLDQKNKEMINLRHATECYKNELEENLEQVAKQAEQLNNENQSLKDTMASLNIIVKTTAEYNQTEVEKPEKHMADNVCLIKQVRDQIEKKTNEIFVAYDKFEETGIATQNSEQLTNRVQVFLNEKHDSNYLSNNSHQFKGRNDCEIGRGDNEAKFMFSGPDSTNYNDSSICSQVYDNDGSEPPIKKFGKKPNR >CRE08509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:143224:144388:-1 gene:WBGene00069757 transcript:CRE08509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08509 MDHSLSYRKVNALSLPPGTLINEHYEVKKLMCRARLTEVYEVCDKRGDAKCVLKVLDINNGSFLVESSWLLKRRRDRGFPYLVEAFKSEIDGEKYNFIVTSHEGENWLELQKRNGNISIANTLRIGYRLFCLLDDMHQKGFVHRDIQFNNILFDLNYGGDIDIKLIDFEHTVLHTPAPESIRMTGWYRSLEVIEGKPFTVFDDYTSLVCLLMHCQNIRPFGNSWDTFLQLKRQFNNAPMAYFPEPKTEWIGRLYEEIKSQRTTGYNKSAIMEIFKNALEGVSPQSPISYTFTNGLFYID >CRE20617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig588:20:1597:1 gene:WBGene00069758 transcript:CRE20617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20617 MSYLNSRIRVLTRSIRCNYARTEKRILNSPHSFAARSLITRRLRCTSGIPSLSHLGRIVSTDSEKAAIFTTAFSSNFKNPTAIPNVSDFSSSVNPSASHPIDLQDSDMFAPWVIENSLRKLPPRCGFSPHLANFLIIKKCATTLALPLSIVFNDSFRTSTVPQSWKKAVVTPVLKKGNASFPNNYRPISLTDPFSRIFERIICNRIKSDFAHKLSVHQHGFLAKRSCASSLVQVISNYNIILKTHKTLDVVFFDFQKAFDQVPHNLLLNKLSSFGISPPFVAWFSDFLSSRSFSVKVNSFIDPSSASISAGVPQGSVSGPLLFLLFINDLLLSLNDIPHLHVAAYADDIKIYSHLPSSLQAGIDLVSTWAESNFLPLAHSKTGLLRLGSLNPHHQFLIASSPILDSNSVRDLGLLVEPDLKFRAHISRTVALARLRCSQILKSFKSNNPALYSFLFKTYVLPILEYCSVIFCLSPSSHLSRLLESTLRVYSRKTLQRCNISFSCYSQRLELLSMHSIRHRRLKSQ >CRE08510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:149102:149467:-1 gene:WBGene00069759 transcript:CRE08510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08510 MSYSSYFEALEECDLKSLEHRRLCIDLIFTYKLMVTKEIIIDDPIFEFLEHSRLRRHRYYLKSLTTNSNKLSSQILSNRVLRCWNSLLELVFPVKPSTAVFKSRICKYDLNHFLSLNPTNY >CRE08488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:153663:155793:1 gene:WBGene00069760 transcript:CRE08488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08488 MSGSETDSEMESKYYHTRWNRIREADMVFVQDTKFPNSTTTWVARCDSTALVSSRHVVPANALNGLLFQYYLMDSNDLSGIELYKQQVAKPILLKDLVIQVSYLPKFTQLMKTLAKRKKKKASKGKKTIGVTEKKFYIRAIPPIYVGRTKVGETRVFADEIATILPLLSEQQGDPLDISDLHYRHIYETCSMASEKCNVPESLNTMTLKELKELLEEYDINKSLITLVDDPLFIIGYKSVVETIGHFKLLSCNSCYIISGEQATWYMFQSLICGVNWEDATFEQKEEIIKEIHEYVCAEETFYHSYSRVVKRILQLKTKHSGIYKNNVKPFDFFLRGEDPNRIVPYHYYETIANSYKLPLYKVSTNEKLPQPAWKIRLYLLLGFMHTFVNYDHRLLEFIWWQINVVYRVIMILVPSDRRLEVIDMIVRDKTKESVLYFYFKKIALVCMKNPAYYPEEAQQIKGQQQKSLKDILQQDVQGTDNTDDELSDLFKNYTITEVEVCTGIDDVDKPSESQEPKDHKFIIK >CRE08489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:175208:180560:1 gene:WBGene00069761 transcript:CRE08489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08489 MSKGKLLSIPLVVALYLFSFAHPAPFNIGKETYSEDESLEDTSMPFDYDEAHNFIKNEMKKYGYLKKRSSPMEFKAALKNFQEVLEIEESGEVDEQTMAAALKPRCSQTDILHTSSRFKRFSLSKRSKWSKKHFTLPNSISLTWCLSEFTTDMSPVETRRIVKKVFDIWTSQSNIRHEKKIGLNFEEASSKDDCDINIMFATGHHGDEYAVCFSKTTRDLSLKIFILRSHIISFVWTGNQYAKIPKCTTSNVEKITRRNIKSELQLNDEDVKHYTIIVCNFLAGLQLWKNTPQYNPINSLEDQFRENQQTTLVDGTTAFRRLIRHAKHLQESSRRSPLDEDFFNNNFFESFMAEYENN >CRE08515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:193748:195411:-1 gene:WBGene00069762 transcript:CRE08515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08515 MVVYTKFNNVLTGENISIPINSLWDEYNSYFDRTNEAAHYFLYKYNVRYEDEMPIYEPDACVAFPLLNSNDDREKQYKFINVGERETFSNGSVRVRCDSFNGSIRRISEPVKGCYSNGTVHVFGASWNEPNYGDNAITFRDYQCQKSKSGYMENKVVGCSYTYECSDSFDSFYSCGMYLHLNEPARTANNKTVKCIEKEDGNVTVIEVDEYQEPGCTLDGQFHSIYKQFTNEKRAAVFFCSRRDELRKQCKKSSSLCLKIGYFSDCTFNGKLYVIGAILKLSNGCLFICHGQTNIFHCDHQLSGFEVIGKPRKVKRS >CRE08516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:204885:206153:-1 gene:WBGene00069763 transcript:CRE08516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08516 MFLHTLFIGCLLPSIMTWHVIRQQSTRVGDPLFSYINTGRTSEKNRVYFEEFTNWKTGVSLNVSHSAISQQDSISAAWEYPDNGFFWCQNSRVEDGYPEEEAIACYEEIDHPSGYRTTDRFEVNQYRSFENGTVRVSCGRFEGHVRKVSEPVYGCYYNETVYELGKKWLEPNPGEKWPLKRIMMCTKPNDGYFESKLVGCTKENIYNTTDKHIKEIMYYDEINLNSTNEEAPFFKCVETKPGNVELVEVNQRMILGCVVDNVWHERWTPWKDGKRAAIFQCEDYERYEKKYCYVGGSQFEINRELKLTNGCTFLCHPQTNIYNCDQTSKMFQVVGKPRMSKLM >CRE08491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:208181:212643:1 gene:WBGene00069764 transcript:CRE08491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08491 MHLIKFVYSSLNAFTSKNTSKRERVRSVVYSPTFSEIIRKMLEYRRRRIYNSPTLNKPDYVSFSTDYTMSEKMMAQSTLNHKSTQWKHIRITDMSVEKNEEAPNSLVSLKIVYYYYCSYSYMLTDWVAHLRDGSLLYPSHRVVPKDFRKECAYGYFLIDMDDVGGVTFLEKSARNFSWTGEQVKKVSNLTSFTEMISLVSHGSFKKKMYLRVVPPIKSDDEPRVFIDELAEMVPILREQQGNPFENPMTPQLNIYRKLGFGDHIPEIQRFGRSNITTPFSALKRILEEYDIDKTLLTVSSNNQATEFHSVRLQLVDDPGFIIGTERSMRNGGSYYLLNKWSNPVISRSQATLMLVQSLVANVNWKRASYSSKEEVINEISDFALVTEGFFFDMSTVLRKIVDIKKNNGGLYKGIKKLNIIFPKMQPYHTVEIGQYKEMCREYGITEKVLENDVIHVWVLRGMLMTGCIESVFTGECCLSLLKPLIVDTVLDAMMSRFPNDYRDFICHNSNTKFAKRNWKVILGPRCPNCNKTRVEVLLAETAFNTKNVKCYSSLKEKEIAVKARNELKIKNFRKQANLRSLLRESMRKATAIQKELADLELSYGGTLPNDDLNKIISELQVEYFNQKETFDKLKSSLSRAKMEMMTPYEQVQYGKEVVNIHLRRMQISIAQSQNARQSSATSTSTA >CRE08517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:213294:214869:-1 gene:WBGene00069765 transcript:CRE08517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08517 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3N6W6] MIIALVLFLISIMLGVSSVFLYLVTFFIIFKHWKTFYSTFFMLYILDGCINIITYFNAFIVFRLSSITSRDSICSGFYKNMDNNIVINSLTAITYHMAYVQYGMTLLISFDRLRVMRRKHLAESTPIRLIVIMLVAIFCVLVLPLLDTIRYFRYESKIIYNEEMESYQLSHPLNLYDCFQYLIWVMGIITCISLLVNCASYITVRRLPDLSGYKKRILLNIIIMLLLTCFVQIVGCALSITRIILRESPSAGILLHILPFVSDGLSFTQPYLLVYFSHKVT >CRE08519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:219532:221438:-1 gene:WBGene00069766 transcript:CRE08519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08519 MSISPHKYNDSKWNIIPSTDITLGKDPKFPNSLSNWVITYGPIPHVLPSSYLLSESLRKHQKVHNYFVDDDDMYWTRHLIENLPEFTWETHVGLFHNLAEFTNMIYKYSDGTKKRLYMRTIPFLPLGDEEVKESGVFVDEVAIMIPLLKEQQDDPFENPERKFERRKKRFRNVINLGQHFEIFQRLNSKEPGNIGTIPLSKLEELLTEYDINKSLLTLVDDPAHIIGLKSMAWTGVPFKVLSARGDQMINKNEATLYIFQSLVCGVVWEDVSFQEKLKVVNAIHSYAILGEGTYTPFDKVVSTIVRVKQSLSEIYQNRRSKFDVMYHKQSPNELISHEEYKELAVAFDLPLYKLANMKLVALPVWFLRVLLVLGWLHSFVQGSSRMKMYITIFSRVITTGLMPAVPAFDRQFVKDITKEAVGYMKNPLASESGQTKSSTLCAQRDMQKSSLESIQEQEGSTESSTKNSKERCASNHKKPSDKLGS >CRE08520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:222225:225756:-1 gene:WBGene00069767 transcript:CRE08520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08520 MAPGNTSSQSNAPEPVLPTPSPPLVPTSPEDERVSGDRKRKTDTTNQGPGKATSEEMENCNNGNIVGQKRKNDYDMIPAKKQKADNKNVGANVQQFFETHLSNRIGVFESVVYEPRRNLELLPSMYPSKPTSDNPKPNNEWFPVGNVKLNQELHMFSDDKTEISSEYVVSCSDMAVVKIQPTKYQKEVFNAKLVRQKLPKNKKLAVPRKKHLEEQVESNDRDLDGRLIPTFTELFFPHGKTEIYDLMLRDFLATSGVRAGSYSAEFKSRVLTGFVARNYEYVFKNGLGDMFQKHIQLIGLNTPGWKKEHYDAPRNKYFELRAVWNNSQSGV >CRE16493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig465:14052:15073:-1 gene:WBGene00069768 transcript:CRE16493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16493 MQDTLNDLSTDSIEKFEANYKKSDPQNLVYEIMTKLEQESTNPLKWAMKGDYLKSRDTFNKWKEILDSVLAEALFLEIYASALFPKVGQHGITKILEKTARYKEMLKEWEEDYLTKEYFWPEGIEQLMNEVHDKKSLSTKEAKVNKIWAGIESIMTNCKFYAVVVNSGHIYTLAELTRNTRAVISERNGFVIMIYHNSKKPRKQNEYVWLKEIVPVWNKRAKVLDAFNFNHWSMVRRYMEEDNIARLGHSVAAFIFAVAQSKEHIAVRYSKMDDGSLGPGHFTFDGYVVCDDAITKTTQPIFYLLGYRT >CRE16492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig465:7690:12492:-1 gene:WBGene00069769 transcript:CRE16492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16492 MSDAERPAHFKDVLLITQLFHIPIFFVSIGLSVDPDPDNQWTRTLLYLHPILCIFLFAGVIAGIIGLKLLLVAWDKCFDSEESTKCRRDLSIGYGGMITCGIIPQVLKVILMENVKDVLAFSAICAVCNFLFLLLFVFNHHDDCGTRNSKKKCAVILRIIMILLHITVTVTLVKLFIRNGNYSEKQIERMEILIALYSFCYIPCTAEFAVVLAGWITVEELPDDNISALFMSVAISRELYPAPHRSLDDTDNFVSNDSELFEYQTCRHHTADHQEFQHIVRVTVPTAPIEEEEEETTDSENIQYPISSSTSGTECNICMLRYSTTTVIPRILVGCGHTVCQACIQKLPRQEFVLCPFCRKPTSLPVSARTLPLEPPFIYKMSNKSPKQFKQFKNLLALLQCTHIPIFISWYLLSVVPENTHIQWTDTMVYQDPILYVLPVLAVIFGLKLYLVACDKCFELEALTKCRRDLAIGYFGMAVCGIVPQVLRIVWMDRVRDVIVFSVICALANFLLAALFIFRHHQKYRVIDSIPQQGALTVRTLVIQFQLACIWFLIENLKYSKEMVTSIGKFCVLYDICYVACSAEFAVVIVGWITLEKENDAYEVLRKPRLPSPWELRPIAMVTVPTVPRSLGYTAISDSDLFRIQTYPCHIAVHQESQHLVRVIVPTAPMEEEEEETTDGENLQYPISSSTSGTECNICMLRYSTTTVIPRMLVGCGHTVCQACIQKLPRQEFVLCPFCRKPTSLPDNLPSRLPKNYAVLDIIHNLEKSFRFITRQRRARLKIIGDVESPEGPPLKEIVEAPVGSRNKQNDVSYFSLRRPSPSFASPEASPLSSTCIHTNTKMYYASLYTTPRYNYGYSGKEPNIFKFKNIVTVGQIVNFALIIFYFSIWILTGSGTFEGVVLYENPKSLIVPILSVTIIPRIFLLVYDSTTKTEEIDKCRRNLSIGYGGMVLCGVAAQALTVLMRNRNNVSINQKIDF >CRE16491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig465:1352:4277:-1 gene:WBGene00069770 transcript:CRE16491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16491 MKTTVSTSTVARVQYLRTTVPKKVEKVERNNSNLSMEDENEPKHSTSPLECSICMLRYTTTTVIPRMLTACGHTVCQRWPRPSTSQKLCDIGNDRGALLIYPAPGTRRKTPPYFLNCTSFPTTLRSNLRVENLMKFFKRTVTFITSKKSYMIISHCLNAMPYNVVFNLEEQLQKFDDESEKHAEELRKTKEVFFDPDFPPNGDSLGNRKDENGKLIVVDYGDLWLTPQEIIGSKKIRSLLGDNKAASGTVNESALRGDQEALRERAGPCRRRMTSTIITGYREYSIDVIPDEKDKKWSLYDNPWPFHVKQGSLGDCWLIAAIQCIARRKDLLEHILPIRDYTEDSGIVPVRLFISGKWEVVKIDYHLPQYRNRERFAGVLNNQFWVSFIEKAYAKIQGSYANLKGGFSHEAFKYFTGFPAHREKVDKLWNPEKMWRRYSEYQ >CRE19480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:5906:7800:1 gene:WBGene00069771 transcript:CRE19480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19480 MREFLNDFFGSWFRFKDLILIFQLFQVFCIFDFYYHHIFTFNHPFNETYSVRGLVLLSLLLAAPIIMKVLLVYDEWKNGKVKKILVIRKFLFFGYGKIAVIGFVAQSWMLLNLDKEVTYHLFLTLSCTLLSFTYYALFVLPYFADYKMKNGVKRDAALTRLGVLMVYAGAMSQTTFYQVIRPELDPAIKLLLLSSGLFYSPYTAELVVILLNWVVMQDDTELPETWLTNWLISTRVLCWEPNEVMPQAERQFDNVRESEDFYKWPIVSVIKIKEDTPDSCAICALDYDSTTVIPRTLECGHTVCEECKGKLTTFEIVKFKQCPFCMQWVHSFQREAMA >CRE19491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:14447:16273:-1 gene:WBGene00069772 transcript:CRE19491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19491 MTRDADGPKSFKDLIMVIQLIRIPIFYASIPLSRPDNQNIELAETHHPSILYLYLILFLLAGIVTLKLLLVAWDKCFKPAKFTTCRRDLLIGYGGMIACGIIPQVLKVILMEKWKELFLFSVYSFVCNTLFLFLFVVKHHDKYGTSKKESKKIWAGILRTIMMLLQIAITVMLVNHFVRGKYSKKQTEQTETWIYMYIVCYIPCTAEFAVVMAGWIRLKEKLPNSNQSARKDTVQPPQESQVLVTVPTVPREEEQYETTGNSTSGTKCNICTLPYTTTTVIPRMLVGCGHTVCEECIQKFPRQDIQCVLCPFCRKPTSLPDNLPNRLPKNYAILDIIHNLEK >CRE19492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:17588:19228:-1 gene:WBGene00069773 transcript:CRE19492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19492 MTQSDAKRLKRFKDVLLITQLCHFPILYASMGLLHDPDNMHLKWSGTLVYQHYILYICLLFFVFAGIIGLKILLEVLTKPEPLIKCRRDLSIGYGGMIICGIIPQVLKVILMENVKDLLAFSFICAFFNFLFLGLFVLEHHENYGTSKKVSKEIFAGILRFIMIILHLAITGYFLNHFVRGGKYSKKQTEHTEKWIFLYTGCYISCTAEFAVVLAGWIRLKDRPQLPPGEGYILPVVDTVAPMREMYRDLHRSLGNTAISDSNLCEIQTCRFYTRVYQESQHIVRVTVPTVPTEQEQNETTGNSTSGTECNICMLRYSTTTVIPRMLVGCGHTVCQECIQKLPRQDIQSVLCPFCRKPTSLPDNLPNRLPKNYAVMDIIHNLEK >CRE19481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:20400:24013:1 gene:WBGene00069774 transcript:CRE19481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19481 MGEIEIPDENAHIFITNKHFICFLQVLNLIYTYNVIKSNFDDRGFILKSVGAIILVLTVLGALKSILILMDKTSGMEKLQKCSRDLLIGFGGIALCGVIPQLCVWWLRESMNMQILHVVCNLTPILFHLLFIRQHYTIYRVKSHNKNSEDFGFSKVRFAIVLIHLFSLVLFCSFAKKVVGEKDSSAVVGILIKNTLVFAPASAELFAILLEGIYFKTEVKKPAGVKKNTPSLRPSNPRPRPSSQPIPYYSKWGVYQAAPIQQDLHLTGSTCQICSSGFSATVIPRILVGCGHTVCQACIQKLPREGFQCVLCPFCRKLTKLPDGLPSKLPKNYAVLDMIQEIGISETRQITADDIRRAAYYLIPVTIFLGILKLLLLCVDKRQDTENLIKCKRDLLIGYGGIAVCGVVPQILVWIYRKSLTLYLTQVICTLSPIVLFFLFLIPHFSTYHVKNQSPEACSHTRLLVVSIHFAYLIGASIIADQFAREEGQKYNYIVGISLMYTLACGFTTAEFFAIWKDGIIEEG >CRE19482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:28256:28790:1 gene:WBGene00069775 transcript:CRE19482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19482 MDYRKYRQAHRLRVVLNRQQHPFIECQICTRRYNTTPTVIPRMLVGCGHTVCQECIQELIDLENGLVLCPFCRKATSLADGDTTQLPINYAVMDIVQ >CRE19485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:44847:49707:1 gene:WBGene00069776 transcript:CRE19485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19485 MSKWNSPGAIEETIEEVCAKVKLVTGSGRKPIKPLHQVAVEFENKDGTQFMSEEEIVEMKNHIHFEYEPKFVYRNEKRVSLPETRLRFKGKTNSPELMERTFDQFIRYCIGKAGGSLERSKMSFGYVLFSIKQIVFFSRFFHEGFHKSEGFWINERTYQTFNGQVLMEELQRIAQSKAEVDIDDTFIIHMHVFNNFEGGAGRCRNRMFDEEKKIPAYVVGDGKCLPKAVALGMTFYESKEDAEQRSKWDRMIQVKYKSLNEKLQLKDANEILEKSGLSTEQQVFNIDDLEKIAIAYPDYKFEVYSRPAYEKYYQIIKEFNFDASKLVTLAFKRIDGVGHYDFIKPTRTNMKATYCHKCKQKTMSTGHGQVCDAKCEKCGFYECDNTQIETIHCEMCNTNFPNEDCYNGHLECAYRAKKTMCEKRYTCLECGFRVCKDKISQDEVHECEKRSRCMQCKEMYDATRYHNCCFQPPRKRFKESKMKAQKSYRILCYDVETIVVNSPNGPDFSKPQPNHEVNLVCFKMCCNLCVEEGMECDCETGNFHYFEHVDPLEDFVDFLLHNTKLDNAYVIAHNGGRYDHNFVLSKIMTSFGIIPEYVSNGTSLIMANITASVRRTDAYNSLKFRDSFRFIPMPLSKMPKTFGITELKKGYYPYYFNHKENYGKVLDRLPDKLFYDPDHMKPEPRIEFEKWYENHKNDEFDADMEILVYCQSDVEILTSGLSEYIKICKRLFNNWNPIISSCTIASYVHHILKFEHFQRGDLGIISENGWPERNNSVFALKTLMWLEKKDGVTIHHKLRGPEKMIKMSNGDCFFVDGYEEKSNTVYEIYGCFYHGCPKCTNPTLEHPNHPGVENKAIYDRTMKREERIKEAEYNVISWWEHEINEILKKDSIMRDFFKKCRHASHLVPREGMFGGRTQPYQMIVECEEDEEMCYDDFNSLYPSVNIMFQYPRGQPIVYKTNFPSIIRGRGVDKKGLYFCSIYAPPDIKITVLPYKIPGFLTFPSCRTCIEKNKKTACDHTKVSDRYLTGVWTHAELNAAIERGYQLLQFHEIWWWPDDKWETADYFVNYLKSMIQLKHEASGWPRDDMTDEEKLAYINEIEQRDGVTLVMENVRKADNMREMSKLFLNTCWGKLAENPVRTESKIFETLDHVSQSEYMSEQGYEVKGIKDWDDGRTLITRTSKTESVKTKQFTSIVIGIYTTSYARLRLLQAMEAVGSENLIYVDTDSVIYKKKILDPSPVKALIGDGLGKLKSEIPAGYRMKKIICMASKVYSYLLKHLETGEEKIITKFKGVVLNSSTSRTINMETMEASVREFLDGQTNAISVPERTMRRSKVLGEITTAPFEKRLKPVMDKVRVLPGGKTLPSGYYLNCPLVEDYPYI >CRE19486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:53121:54893:1 gene:WBGene00069778 transcript:CRE19486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19486 MEDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSVPDVSPGKDSTNSDKASHDEVLVGALTGGSAPDKSPEKDSETSSDNTSHDEVLVGTLKSGKQGPEDGPEKDSETSSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSTSSGNSEDTSHDKPLVGALTGGEQGSEDGPERDSDNTSDANSLVKALKGGQTDSEILAFLLTFILLTIWEKEERDQIVEALKSAETGGVKETEDDKIVLKRILEKHFVELEKLHGVDSSLQEAIRKMTDNQTAFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDSSAVKKAIDALKSQLINNSKYEYKKLIGAIEEARLDELTSLRNAMKPKNVPFIGNLINFKVENDVLKVQFKDSNVLFIEFDKECAYFLGFHNCIVKNNETASSSIDFFGNISTLYVYCDVVDQTIVGNSKSSLLTVIPCKGKYGEMVQHTFPVPRYLPLMNGTIDSIKVQILSEFGDRIHFNWGSTIITLHFRKIA >CRE19487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:55769:57128:1 gene:WBGene00069779 transcript:CRE19487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19487 MLCRLDAKSQDVIIDDLDFTTMPATQSAVLASKGKVIPLHNAFLKNGPWEFILTANSRNYLNLKRTWMVFTFKITDAAGKTVTDKKLFAPIQNIASSIVKNFSVHINSQLVYHNSMNYAYKSYFENLLMYSKEHKNSTLSISGYASDNNMDDKDDLGFKTRAGWVSSGKSMQVAAPISIDLTNQPRVLLNNSNLKLTAYPNSDEFLIDNYEDSGIKYKFEIEDVYCYINEMELADGLANQLEAALIEHKLFQYPLISSQVRSFFIGENRLDAPANTLFTSKMPRRIFLGLVSADAYSGTYAKSPFNFKPHGIRDIHIDYCGITIPGRPMNLDFEKGKCVEPYLMLLETLGLARNNTSCNSISFDQFKNNGFTIFGFELSPSALDSSLFELVKPTNVSIRLDFNTPTPTGGLYCIVYAEFDQIVALDYQRNPIIDTVV >CRE19499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:59821:60745:-1 gene:WBGene00069780 transcript:CRE19499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19499 MEHFRIILTLLQFLKKDISFNCIRDMVLRGFELEPFKRHHGEKIMEIVGKMKLKNPDFIHPVKFNALKNILLTEGRYNWEAMIELRNPSFFPEHSMIYYKEFDSSILNSFRIEVTDSLHKGHDIVRSFPRTYKAFIYVDTENSYTTLPHGTDLALLTFCDIATRTVLLWRVHKMSAHQMRQIQGVIRGISEMRQFACFGAEPFFESPQDVQYQRNDGTLISLKEAVKESVGLDIDKRETMSDWTKEILTKDQIVYAAMDALAVHYIWSGRRIRLG >CRE19502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:68564:70157:-1 gene:WBGene00069781 transcript:CRE19502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19502 MCIFVLIPLCGIAAKVFVFLIWDSVLLVSHFQSTNFPYMFQEFYYCFAIFNVILLCLYIPLHSSSGKFRMSYRNNYIIYTVVAIIHGLILVIGIVHSSGFENTFGCIFFQVYYSLFTLSVIDFLVGWKGCKEHYDVVPVRRYRQSDKGNQMLVTGARRLIKDYVPVPKDASENHRTAKPSKCPICSSDTATVRILTECGHTVCEGCARKILERTCKIKCPVCRKVTIVDETKRIYIPVSMDVEPSAPVEVLEDRRPENRTASHCECQICTEEYSDTIIPRILSQCGHTVCEECARKLLGHQGNITCPVCREETNVDGRVENLPKNFAVIEMTRGNLNA >CRE19503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:73750:76485:-1 gene:WBGene00069782 transcript:CRE19503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19503 MHYSLLLILILPVIVNTQCELGSVYNSDKNVCFTFYNASVDFQTAESICTVSSGHLASVHNIIDNNYLAKQAQQYISPNGMIWLGAKSNSQNVTDWNWSDGTPFDWQYWQSGEPSSLETTACMQFSAATSKWRTASCINYAPFICEYPPGEVAVTCPPSIIKSCPSEYYYLEETQKCYKVVIDRGNYDNARSGCLNDGAELVSIHSYTENSFIHDISQTGHDVWYDINEDQTNDIYIGLIYNGYWRWTDGTSFDYSSWASGEPNNMNREFWTTYMPDAHTDNLRPNYNPNGLQWNNVINEQQRGYICQRSMVS >CRE19505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:81100:84309:-1 gene:WBGene00069783 transcript:CRE19505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19505 MVFTLFKLPILCLECCLKNMDALDLLFLSFTSRKLLNLIRRTRTSVIRFGVECDSAGYRTVVTIVRRGGVENGKWTFNLPFSVPGIKKWIKGWEFMFWRNPNNSIQSYTTVSHIHEELNLGLAYLMYLFKCKTLRVSITGLTTIDNGKLKFLIENFRIAERFEISAPMHSTFQCDPEIFQSKELVMGGEWITRDILFGLKCSCIFLKNCHILQMKDFEAFIRRWYNSTDTEFRQLAMVVDLPRNRFNLKRQKLMKWDPEMRSRYYPFNETEALDCENGKDCVRNDGLLATVLKKQKMFIFVVWHERHPDVTGLQIV >CRE10633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:168552:171037:-1 gene:WBGene00069786 transcript:CRE10633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10633 MMLPNYISCFTTPLQLLNVIVTTVFSTILTVLLILNWYPEHTGIVSCSMGICIGITVLAGIGVRCVSWLLDWKFKESGELGKCRRSLLIWTGGVSLIGSGNLVVVYLMDMTFLRYLFIQFTTCFSTLILSLAIIHEVPAATIPAMDNSISLFLTFSLNAIFLVLGFFLPSGFHPNNISFYHTLYHFYHFIFITGSILDFSLVLQDEFRLGTNPVKVEQVEMKDVVSVVEQEEEPISMREAMTVAVCKVCDTKLPNAKQICKIKRQCEHTVCVECSNKLDVKKSRAEWVCPYCKLISIINGDNSRRKKDAAKKKIQEAKESAKKF >CRE10608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:166142:166992:1 gene:WBGene00069787 transcript:CRE10608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10608 MATPLPLLRLPWLAMQAVFDTMDLLEIFRISQTSKRTHRFIKMFLSRRNFILTAKFACPFTLEARCREHRCYGICMKLLSEYNFPIITKEITIYAGLFKICKVLLVVERPTLAPRAVKLFQSLNLNIDSIVVNLHKRANEYYQEMIELSRVAKNLEIFSDPTKKFKLPISAKPFQFDTLKLFHAEWVTRYYLTNLFINCKELYMENCQLSYSDYLMFFKQWIKESRLEIAKIHMKEERNFSPMFKQLKATPVNKIKHYGK >CRE10605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:154796:156069:1 gene:WBGene00069788 transcript:CRE10605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10605 description:Inhibitor of growth protein [Source:UniProtKB/TrEMBL;Acc:E3NBM3] MDPHYDKLKKDIEKITVVLKRIHVEVPEKIAKEMEKIGELDKRTSEKMAEIEATKVEFIQFYSEMSDADKTSACSYIEKELEIAESWSTKKVEIAKSVLETLENVKVQFQEGVKNFPERYNEPEEQVWCYCREEKPDEMFIFCEIPECPIQWFHFKCVGIAVAPEGDWFCKECLAKVVVGGEPSASLEQPEMSNDDVKKTTVKESQ >CRE10632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:151648:152772:-1 gene:WBGene00069789 transcript:CRE10632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10632 MIPIEYVRPLIVWSFIVDHVFKQFPSRYTVEEYMKDYLVIQAIWFFCCCLHVLPFFWFIRLCGLVFEIDKAKLHKIELLIGNGAAVLCPIVSTYLMRSSKVDENQIYFNQLALLSFALFLFYLPYLRSSNYHLPAPFQKIHKLFLVTHGAMIYYVLKYQIAGFCFMQSVMWILSFVGIHEFWNIFWSDLRVRRENEEPPVMNNQINTIITDFWSPWGDPEADGNFPDVEQEPEIQDNGQNSAQDNRPVPKASPTQDTQNPVRQHPRLECSICWADYSKTRIPRVFKECGHSICDECAGRLQKVEDNILHVICPTCKRITQTIGSELPKNYALIELMEMLEH >CRE10603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:141865:143288:1 gene:WBGene00069790 transcript:CRE10603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10603 MIPRKIVPIIYVASTILQKLFIPESESFHVPLYLIYTILMYTVTVAVADLCLELIRYIHRILKVNEVKRCRNELLIGYMAVILWAMRSRYSLYYSGYDEETVFWLQLNALIYACSINSFLCTTLHANPRFPTPRYSEFTETQASIAAAHYYIILTALGTGNWLQVFAWILSLASSMHIYEILTSQRPEPAPVAPATITNIRDRPEARAEARAEMPDNQEEQGNLGAGIQATPNIQDRHDERERMIRGVMRDLARKRAAAPATKPEATENSTVPPTTGARQQTGSSTAVPYRQYLDSTVLPILQQGLEFLAKCPTQYPIEVLADFLLLKKDCYNAENQNPTGLSMDPAVSPHCNEAMVREEENLGARIQAAWYPMGNGGYSLRADNQRYFDLLIGRFKTIADPFKIRDSANKMEEKRRHRDQRNELLGLPNAWKDDDFFDDLEDVTGITGYSNLSGLQ >CRE10602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:139426:140775:1 gene:WBGene00069791 transcript:CRE10602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10602 MITRKIVPLIFFASTILHNLLIPKTNQNGLQYTGYIAMITLVGTCLELIRYLHQILKVNEVKQRRNELLIGYMAVIIWAMRSRYLQCYSGYDEKTVSKLQLNALIYAGSIYSFLCITLHADTRMPTPRYSEFTETRIYLVVAHFYVIFTAMDMGNWLQVFAWILSLASSMHIYEILTSQRPEPAPVAPAAFTNTRDRPEARAEPRTEVPDNQEEEGKTRIQANFNIRDQLDKRERLICGVMTDLARNRAAAPGTDPEATENPVVPPIVLTTGKQTGIQTAQYLESTVVPILLQGLTALARARPEYPIEFLANFLWCEKDRYKNQNRGAEPRAEENGNQEEENLGARIQAAWWPRGQSIEEKNQRFFDLLIQRFKNAASPFEIRNALKRMEEKRRHRDQRNELFGLPNILKDHDFFDDLEYVTGIKRCRNLSGLQ >CRE10629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:136207:137315:-1 gene:WBGene00069792 transcript:CRE10629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10629 MIPIKYVYPLFVWGIAAYLVSNKLQPRHYEEALEQLALMSFHTICLPDVAFLLVMFSSSSQVSMDKRKKNEFLILKGTVVLCPIVSTVLMGLLKIDEKIMHHIQFTLLGFALVFFYSPNIFFSSLYHLPAPFQKIHKRFLVTHGTMIFLLIQNYRAETAGICFMQAVMWIWSFVAIHEFSSVYWIDLRFKGDYLNNDFEEEQDTPGAEADYDFPIVEQEQEPEIQDDGQNEEDHNLEAPHELLRNMEQLIKTTSGCECKICLVEYSTTRIPRMLRGCGHTICEECAGQLLKNGTRFTTYNIASRSIRCPFCRKITVVQGTVQQMPKNYDLMEVIGI >CRE10628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:133389:134488:-1 gene:WBGene00069793 transcript:CRE10628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10628 MIPIKYVCPFLVWGIAAYLVISKLQPRHYTEAFVQLMLMLLPTIGLPYVAFLFVIVSSFQVSVNKRIKNVLLIGKGTAVVCPIVSTCLMPSLKVDEKIMHHIQFALLGFALVFFYFPYVLSSRFHLPAPFRKIHKRFLVTYGAMIYFLIRNYKAETAGIWFMQAAMWIWSFVAIHEFSSVYWSDLKSREDFLNWDYEEQQGTPAPGAGHNFPVVEQEQEIQDDDENEGEHNLEAPHERFRNMEQLKKTPSGCKCKICLDEYSTTRIPRMLSACGHTVCEKCAGQLLEHSVSRAVSFSMSMWIIQCPFCREGTVVRGAVQQMPKNYELMEVIGI >CRE10601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:131920:132665:1 gene:WBGene00069794 transcript:CRE10601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10601 MIRFTRNNQYDQDMDARNQRGSEARDAPQAVEIAPQENNIEEAADAEEVNADLQINVLREEIRRDIQMIQDDPRMPLEQTMIILDRIDDTWRAINLIGFDRRRLWEQRLIRQQGIVGAQNNRPVARNPVPEPDTQDVVARLPVAIEAPPTQDTQIPVRQQLRLECNICLEDYSKTRIPRILKECGHSVCDECVGQLQKINRNFFCVVCPTCRRITYTTRSKLPKNYALIGLME >CRE10600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:129739:130778:1 gene:WBGene00069795 transcript:CRE10600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10600 MVFLPVDAFFGVISFFSRFLGVNEAKRHKIELLVGNGAAVLCPIVSTCFMRSLKLDENVMYFTQFMLLGSALVFFYLPYLRSSHYHLPAPFQKIHKLFLVTHGAIIYSLVMKQKAHGICFMQVVMWILSFVGIHEFWNIFWTDLRVRRENVEPPVVNNKINTIITMSLSPCPWNYEENHEEDEEEPPVPEAPAPEADDDFPDDVEQEPDIQDDVQNEEEYNLDALHERLRNMVRNPPIFEQDATNCIVCTNGYSTTRFPIVLNCGHSICEECAETLLAIGDGFISCPFCRSVTMVYGSVRELPRNYALMQRIG >CRE10627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:127121:128738:-1 gene:WBGene00069796 transcript:CRE10627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10627 MITRKIVPLIFFGLAILHNLLITKSGTWNIILACTLMVTLVGSCLELISFVHRILEVNDVKRCRNELLIGYAAVILWAMRSRYVLYYSGYDKKTVSSLQLIALIYAGSIYSFLWFIPHGTPHRNTPHSSESTKTQILLVIAHIYLVSAAIDVGNWLQVFALMLSFASSQHLYKILVSQSPEHIPDNTRYRLDKRDPVRNREKAKAEMPDNRKEEAHSLMIPIKYVCPLLVWSFLAYLVINELQPAYYTDALVLLISILLFTIVSPVLASLGVIIVLSDFSKATRIKFELLIGNGAAVMCPIVSTYLMRSLKLDEKEMYLIQFFLLGSAFVFFYLPYLRSSLYQLPAPFQKIHKVFLVTHGAMIFLLIKNYTAETAVICLMQAVMWLLSFVGIHEFLSIYWSDLEVPSGYECTICLVEYSITRIPRMLRGCGHTICEECAGQLLERGTSKPFNTYYIASRSIRCPFCRENTVLQGTVEHMPKNYALMEIIGI >CRE07772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:4929:5523:1 gene:WBGene00069798 transcript:CRE07772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07772 MKTFLTLTLVLVVFVTVAESAQRCYSGSKDRYESRQCDTGTAGNFVCQKFTCEGGKSPFVLRTCANKRTGCLAGPAICKFSKGTGSCSRCEGDNCNS >CRE07773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:10834:17150:1 gene:WBGene00069799 transcript:CRE07773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-55 description:CRE-LGC-55 protein [Source:UniProtKB/TrEMBL;Acc:E3NB53] MVFSFILTFTISVVAAFDDECVKCDLRSSYCKTWENMTQSCECRDGFARISNGSCAMVSDLARGGMGLSQDSEEDEPFCVLGQAEKAATRILTELLSKYDRNLVPKMKGVDVDVELLIQRVSEISEIQSSSTMHILFSQIWHDPGLSFEHEEGAHCLTNLSLSHRMVDNIWLPNVCIVNSKGSAIHKSPTPNIFLAIFPNGTVWMNYRVVVESPCEMDFSFFPMDRVMCTTIFESYAFNVGKVRLHWKRQGQPVEFIDDVKLPDFHMTKFVHEKATFIYPAGVWDQLNIKLLFRRSYGFYILQIYLPTYCMVLISWISFWLDRRSLPARVTLGVSSLMALTLQYSNVSRSLPKVSYVKGLDLFMFGCIVYIFLSIVELAVVGSLEQRKQQRTNGDGDFLSDDDIKKNIFQRTFSTKSFKSGYGARHHQFSECASPEDGGEWPKTRTEWAERTYVECPEPEPPESNRHPEVENDSNNKMLVLVRERRASRKRRKRLMSGKLFQRWTAEDMDRFCQKLFPITFTFCNLIYWMYYTAKSKD >CRE07774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:17716:18478:1 gene:WBGene00069800 transcript:CRE07774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07774 MKIPITIAELVSSFSGLNRTPDFKPVTNCPGNSGGGINSGRTTPTQKYHGDRRHSTVITVGADKLPTLPSEPTLFRKASRSSNGSEVKYRKSRRQSAPCISTIAGSTCLKEKLNRLRSANSSGDEQDDIQEEDHEHEQEEFHVKNKRKQSVSYQVA >CRE07788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:22500:27051:-1 gene:WBGene00069801 transcript:CRE07788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttx-1 description:CRE-TTX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NB57] MSLSSTNQDSIVSNINLIQPQNLSGTGPGSGTGQAMNSQNFIQTQSLTGTGTQSSGSASSGNFISPDDVETKPSSLMSDDSTLSQITPGGASISSSAYPASSAVDSASLYFQLSPMPYLPNVSTATAAANMSAYFNRSAYPTSHLGLPAQVGHNYLPSSMQFIGGSLSECQSAATMGSMSWNANQPSFSRKQRRERTTFTRNQLEILESYFVKTRYPDIFMREDMAHKIQLPESRVQVWFKNRRAKARQQKKTMSSGSGNVGGCNGFSSNGTSSGGSGGSTGSEVQPSSPATTDNELKFSDSIKEECDDQSSSPSSDDQKGGAYIDPISSTTGTTSYNGTASFRPQAQYPYSAYQADYFQYAQANPNATTYSLDGNPMWKFQAS >CRE07776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:34240:34647:1 gene:WBGene00069802 transcript:CRE07776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07776 description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:E3NB58] MARTKQTARKSTGAKAPRKQLGTKAARKGPIVGAVKKPHRFRPGTVALREIRRYQKSTELLLRKLPFQRLVREISQDFKTDLRFQSAAIGALQEASESYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERT >CRE07789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:35517:39106:-1 gene:WBGene00069803 transcript:CRE07789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fre-1 description:NADPH-dependent diflavin oxidoreductase 1 [Source:UniProtKB/TrEMBL;Acc:E3NB59] MSILILYGSETGTAQDIAESLRREAQMRHLAARVFELDEYDIGQLPTENVVLFVVSTTGQGEMPPNMRKTWKLLLRKSLGPEFLKNVNTAVLGLGDSSYQKYNFAGKKLYRRLAQMGANMMCGVSLADDQHEIGIDGAFIPWKQRVWEEIQSLGIYESMTEEIDPKVKIQTKYRFVEAGNPDHRKNVVAESDTGYHALKVNSNRRVTSKNHFQDTRLVNFEIPYELQSQMKYSPGDVLMVRPYNPDKTVQVAIEALGYSDEILNKPVRIVKNDPYSKQPPYFLVGVETTLRTCLQRYFDLQQIPKRSFFEMLSYYSNDASEKERLRELASPEGLDDLLDYANRCRRTTAETFRDFPATSKHLEPDYLFEILTVIRPRAFSIASAPSASFVELLVAKVEYKSRMADKRRGLCSTFISRLKEGDEVFCKIRAGTFKFPLPEAPVICIGPGTGVAPFRSLFGQRSRLSPHSSGLLFFGCRGEHEDFYFSDEWNSMSGVEVIAAFSRDSEKKVYVQHKMGERARDIKKMLESGASVFIAGSSGDMPKAVSSVLSQIQGDEWTKKAEETGRIQYETWS >CRE07790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:39286:41071:-1 gene:WBGene00069804 transcript:CRE07790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dcs-1 description:CRE-DCS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NB60] MKRVAENQLTEQERTEETSQEWLKNAKFQEVLGADSSHKSLFLLLSQPDGSQGILLANKSPFSEDKTDIEKLLETAKLHEISRNDIFGSYNIEVDGQLNLLKSQLIYPVNERLIAKYRQEEKFVIRETPELYETVTKPYIEKFQLNLNWVYNCLEKRSEVDKIVFEDPDKNNGFLLMQDIKWDGKTIENLYVLAIIHRHGLKSVRDLTGDDLPMLHNIRDKSLKAIEEKYGLKNDQVKCYFHYQPSFYHLHVHFINLKYDAPASTTLSAILLDDVINNLELNSNHYKQSTLTFTRKNGDKLMEMFREANGK >CRE07791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:41496:44366:-1 gene:WBGene00069805 transcript:CRE07791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07791 MSQPSSSSDPETPESELEESLNILEKPLKNGKKVSEANGEAHGLDDYIQMGGYVLLVCLAAELLILPQVSSMFYMMYAGAAPRVLSCDNTVFDSQLEGKEICFEIDQIGNCSHPNFQYQFKSINVEYNYFCDTSKLVKNSISIQMIGVLTGSIVFGQISDSFGRKIGTQFASIGMFIGWLIVVQSRNLLHFTVSRTILGFFTGGSVSVINVFIMENIPKKHRMWINMAITWSPNMPIYSLFAWIAGDWKTLAYINAFVCLPGFFFFQFFIHESPRWLVTKGKISEAVQVLKRQLKTSNQLHLIHEDLEDNLNMEYAKTVKQNTRKTKFSYYHLFVTPRLAITTAALAYSYWATSIINYGVLFNMEKLSGSIYWNSVWTGLMRYACNLSFGWADLKFKRIGRKFIHTSGLVIIFISLSVVVACYALHMNHEMKDVIRISILLASSMTSQIYIADGIVANELFPTPIRTIGYSFIQTWNRVGVVCSPFIFYLVSAKKLTLSSATCFQADYWLALPFCCMIFFSLIDTFSFECLLPETKGRHLVEHMPPRHEWWFGAGKVDKIVEEEEDVGEELRPLEA >CRE07777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:48096:55774:1 gene:WBGene00069806 transcript:CRE07777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slo-1 description:CRE-SLO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NB49] MGEIYSPSQSKGFNQPYGYPMNCNLSRVFMEMTEEDRKCLEERKYWCFLLSSITTFCASMILVVIWRVVTHLCCQRREREFPEPVPPPEVVAINMNGSKHIGGEADPFLKQQQEEKHLGWMTEAKDWAGELISGQSLTGRFLVLLVFILSIGSLIIYFYDASFQNFQVETCIPWQDSPSQQIDLGFNIFFLVYFFIRFIAASDKVWFLLEMYSWIDFFTIPPSFVAIYLQRNWLGFRFLRALRLMTVPDILQYLNILKTSSSIRLTQLVTIFVAVCLTGAGLVHLLENSGDFFKGFINPHRITYADSVYFVLVTMSTVGYGDIYCTTLCGRLFMIFFILFGLAMFASYVPEIADLIGNRQKYGGEYKGEHGKKHIVVCGHITYDSVSHFLQDFLHEDRDDVDVEVVFLHRVVPDLELEGLFKRHFTKVEFFTGTVMDSLDLSRVKIGDADACLVLANKYSTNPDAEDAANIMRVISIKNYSSDIRVIVQLMQYHNKAYLLNIPSWDWRRGDDVICLAELKLGFIAQSCLAPGFSTMMANLFAMRSFKTSPHTPLWLNDYLRGAGMEISRQSQTTPDWLNLYLCGAGMEMYTDTLSHSFVGMTFPEAVDLLFNRLGLLLLAIELKDEENKECNIAINPGPNIVIQPQTQGFFIAQSADEVKRAFFWCKQCHDDIKDVSMIKKCKCKNLALFRRNTKHSTTARARATEVLQQFQPTIPPGTQMGPMGHLGQQVQLRMINQQSSTSDTHLNTKSLRFAYEIKKLMPSSGGRRNSMSIPPDGRGVDFSKDFEQQFQDMKYDSTGMFHWCPSRNLEDCVLERHQAAMTVLNGHVVVCLFADQDSPLIGLRNFIMPLRSSNFHYHELKHVVIVGDLEYLRKEWKTLYNLPKISILNGSPLSRADLRAVNINLCDMCVIISARVPNTEDTTLADKEAILASLNIKAMQFDDTLGFFPMRHQTGDRSPLGSPISMQKKGAKFGTNVPMITELVNDSNVQFLDQDDDDDPDTELYLTQPFACGTAFAISVLDSLMSTTYFNDSALTLIRTLVTGGATPELELILAEGAGLRGGYSTPETLSNRDRCRIAQISLSDKPYEGVGHNTTYGSMFTIALRRYGQLCIGLYRLHDQDNPDSMKRYVITNPPAELRIKNTDYVYVLEQFDPGLEYEPGKRQF >CRE07792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:56110:57610:-1 gene:WBGene00069807 transcript:CRE07792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07792 MYLILVLPFLFALSKCLEVPEFFEINIDRDHPDNIYKAFEEFKVKYNRKYKNAAENQIRMQNFVKTYNKVDSLNKRSEEKGLTSQFGINKFSDLSSQEFKRRLLSSTHGNITGFLKNSRKLTFPRRHKRQAEMSEDLPESLDLRTETVNGRYIIGDVKDQGECSTCWVFAVTAVVETILAHSLGRFKSLSEQELCDCATDGTPGCRGGSLHWGVEYILGKGLAGAWEYPEYNQQRANKSGMCEAASSKRSFPPNKLEFYDLSENPEYEMRGVLKFWKSPVAVFFQVGTSFRNYRSGVLTYEDDCRTGPEIHWHAGAVVGYGEDRDRYGRSQKYWIVKNSWGTGQWGDDGYVKVIRGRNWCDIERGAVGAKMTDSIHS >CRE07793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:58024:60075:-1 gene:WBGene00069808 transcript:CRE07793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmit-1.2 description:CRE-HMIT-1.2 protein [Source:UniProtKB/TrEMBL;Acc:E3NB51] MVAIEFKVSESGRARPEKNPKLGFFVYLLGSAAIIGGFLFGYDTSVVSAAMLYVPEAPGLKPMGTVWKEVIVSITPGMAAVGAWFSGAGSDRYGRKPIIIGSTIIFIAGAAICAVAWTKIIMLIGRIFLGVGIGFASMVVPVYLGEASPTHVRGVLVSAFAMMISFGQVVANVMGGIFSYWEPYTIGWRLMFAFAGIPALIQFVCFIFLPETPRWLYENGQTERAKQVLEKIYSGDEEWIEYELAEIETYAEERKKQMEEEKKSGPVIWRILKTPHVLKACFIGSMLQAFQQLAGINTILYYTADIIRSAGIENYHTIIWISVILSVCNLIGPFIPMTLIEKLGRRKLFLFSCAGVVVSLVLIGVSFLLVGNDSAPNFEMSSYSLAGSYDPTHVEAESCRILSNCDSCVTSEHCGFCEDSETRTGFCLPVNHNDPTLYSSTGLCTNGVDKSNSSFPNGRSGNSKDVYEVMLFTATSYTWQKHHCTTSYTILPIVMMGLYLLTFSSGFTSLPWVLNSEFYPMWARSTCVSISTLSNWVFNLLVSLTYLSLTHAITKYGAFWLYAIFTIIAFIFIYFLVPETTGYSIDEVEMLFMNKRQRNIAMQIRQAKLENGKDKEKETNNNSSNSLSTETITM >CRE07794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:60764:63326:-1 gene:WBGene00069809 transcript:CRE07794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hmit-1.1 description:CRE-HMIT-1.1 protein [Source:UniProtKB/TrEMBL;Acc:E3NB62] MVAIAAFSSSGRDKPSHNPQLGIFVYILASAAVIGGFLFGYDTSVVSAAMLYMPDAPGLKPMDTVWQEVLVSISPGMAAVGSLMSGTSSDYIGRRKVILGASAIFTVGALVCAASVNKIMLLVGRVLLGIAIGFASMIVPVYLGETAPTHIRGMLVSAFALMISFGQVVANVTGGAFSYIDPYNVGWRLMFAFAAVPSLIQFVCFIFLPETPRWLYENGYETETREVLEKVYNGDKEWVEYEMAEIIAFNEDQQKENEKVQQSGPVIWRILKTPHVLKACFIGSMLQAFQQLAGINTILYYTADIIRSSGISNNHTTIWISVALSVCNFIGPFIPMSLIERVGRRIIFLFSCGLVVLSLIFIGVAFLLVNHDSAATYPGSQYGNNFNSSYPDAKGCMAYTNCDYCVTTDACGFCHDANTKQGYCLPASSNNPEVFSSTGSCTSVNGAIPNNFQWEKYYCNTRYTILPIIACGVYLLTFSSGFISLPWVLNSEFYPMWARSTCVSISTTSNWVFNLIIALTYLSLTQVIGKYGAFWLYAGLTVIAFVFILFLVPETKGYSIEEVEMLFMNKKQREEAETRRRETVTEVRSRMNSTVSFGGHQVHKY >CRE07778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:65107:70686:1 gene:WBGene00069810 transcript:CRE07778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07778 MEPEKEKTEVRPKTALEKNYEKVVIEYESFFQLANQGDISGIYRNRPCFVNETSVENLVKPAIEVFNDFPAARQSVYYYTGMLIHECVHHWFTAKEKLPLTTNITTVKEATCQLLDFYTNFFTEGAGKSSLEECLQFLCELSAELSLRNVGRPAMIHAQQSGEVLSILKSIDIIEKVLSLMNMILTSALNENSEGCMKVLFESSRHGQHFSWIWLHIATFLQGTIITHLLESGAEQFKTYVIEISTRLNAQTTGPAVLNIIQTEYEHKFRAISDVFNFLMGKRNPQLQEAVSQLILDSLDSKPERVGGEKPATTSSRLGFAFFFKLVTCSIKTLQILVTNNCHLITPFNVVRAIRHVQAVDKSLILPAITYTDFIKQIVGDVDPVTHGMIFELLIELIYQHDVFEGENLPEYQEANQNIGRDCFPVLDVMINQLVRIAHSSGAFKCPSMHPAIQLFSSGEKLQFIIDSITRHLEKSPTIIRHLHAISIAFHETKAAEIALRFIMTIRFTEPNYLYIFMSYLSATVPFYPKLMETMWREFSSLKVLIENSFNAEDLEKQNKTKIHLNILYNIRQLLEWEFTTEPSEREPIRPYAYWKLYPGQYVGAVLNSLLGETNKLCWELMEKNKSGDAMAVLRATDKFLESIREASGPLRRGKPIKNSRRLIITVSQMYKLMTQFAIMLKSTLFLVTKVSDNLSGLVVFEELRSQFLCFLFGKHLDTGLAQLSPLFVNFFVTACFIDSKKLFNEELGEQMESLIDESGVQKLFDNVQLEDGPSVLEGLKSLKMRDTAVNMLHRGQLKKRRGNEQTGKYIEVNEDATQRIYAVLDAIRLMCSSGDHKIQITCSRQLANALMQVVCKDSLMTDMRFDDWDSEAEYIHRHVEITQRLAQSPFCDGILRILSETRSFALCLPIMKSKLAILLNETEKFPEHRTIPEPLRQKLHNWMMLAQKGNILNARLLYIVDLERFATCHETYLMLLEIWRFLMYRNISREIIDAYHADLLRNVVDEGLPNERDAMEKVNISVFRIIIQNHLPGTVQLFPKFFPLEYEFMMMNIMEPQ >CRE07779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:71027:72612:1 gene:WBGene00069811 transcript:CRE07779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07779 MRYFAVFLTALPIFGVISVDKFELPEFFEININREHPDEIYKAFEDFKIKYHRKYKDESEAQQRFNNFVKSYNSVNALNAKSAERGLDTKFAINKFADLSSQEFSGRLSHAPPNNTGIPMLDLEKDKSFFRATETNKTRHKRRSTRYPDYFDLRKEKVNGRYTIGPIKDQGECACCWGFAVAGLVETVNAVNEGRFTSLSDQELCDCGTEGTPGCKGGSLVLGVEYVKRYGLAAEEDYPYDQARAYSTDQCRARMTERVVRAKSFNFARINTRKAEEQIIHVLTKWRVPVAVYFKVGEQFKHYKRGVIVDDDCRSAKDWHAGIIVGYDTIQDNRGRSYDYWIIKNSWGDWAEEGYVRVIRGQDWCAIEDSPMTGDIRSHDDYY >CRE07795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:73348:73869:-1 gene:WBGene00069812 transcript:CRE07795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-24 description:CRE-TTR-24 protein [Source:UniProtKB/TrEMBL;Acc:E3NB65] MFKEFVILFSLFLLTDALGGIVGSKQTVTVVGKLVCNGQPAKDVRIKLFEDGTIYDTKLDSVKTIADGTFKVQGSQNKIRKIDPKINIYHRCNHSGLCPKKVTIHVPKNAVGKGSKEAQLFDIGTLNLANRYPGEGTDCIH >CRE07780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:74150:74668:1 gene:WBGene00069813 transcript:CRE07780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-25 description:CRE-TTR-25 protein [Source:UniProtKB/TrEMBL;Acc:E3NB66] MFKIALVFLSLIVLSHALGGIVGRGQKVTVVGKLTCNGAPAKDVKVKLYEDGTVYDTKMDTMNTLGDGSFSVNGSQTKIRKIDPKINIYHRCNHHSLCPKKVTIHVPKNAVGKGTKEAQLFDIGVLNLANKFPGETTDCIH >CRE07796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:74953:75954:-1 gene:WBGene00069814 transcript:CRE07796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-26 description:CRE-TTR-26 protein [Source:UniProtKB/TrEMBL;Acc:E3NB67] MNFIHFLAVFSVLFASASALLGLIGSKQGVTVTGRLICNGQPASGVLVKMYEDGTIYDSKLDSQKTGADGTFRVSGSQNKIRTIDPKVNIYHKCNYNGLCSKKVSINIPKSAVVSGSGNNARNYDIGTINLANRFSGESTDCIH >CRE07781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:78213:79490:1 gene:WBGene00069815 transcript:CRE07781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-34 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NB68] MDLLTNAKFMITTSYGITAMIIYTWVTGVILRNSGTFKSSFFHLFCVGYFMNLCTYLNSFVTLRLPQNTDVSGTFSDFYSSLNLNNTDNMFPLSIFHTLHFEFAYTQYIFNCFVCTNRFTAICFPVHSERVSLVWRQIRQILSRTENPYFKIYFQYWLKYFWVIILSMFLVPFILFTRHILQNRSFFNWSPTANFFIDTTYVSSEKFKKLARKNIQGRSNIYYYLMPSLIILTMINIAFNILAGIKKGVRVPETSLFSMAFTVFVIDLFLTSLTVSNYYLTNMATSLDSELVRVLLRWIPLLTPFASDALTLTHPILLLYFSKTVSFLFFSKKLCFQVRRKCMESSSFLQKFHNHRFFAESNSNVVVVSVPRNINNLVQNTSSNK >CRE07798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:82418:84752:-1 gene:WBGene00069816 transcript:CRE07798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07798 MTTQQESLKTNFTISTFIVISIPFLAITLITKLPEKPEAQLVKDRHCYNTCKLRIVETIPANISFGEGTVFPLSTFRAWKSLIQNAQEELYVAAYKSSLQGKHVLGDSAKYYSVEGDTIYNTLMSVGKSRKVNVRMVENYPPKDKGDNADGVILENFGAVTRKSIDISEYMGRGKMHSKFLVADRKSFYLGSANLDWRSLNQKMELGVLVEDCECLGEDMHNIFHVLWDLKNKGIDGNGALKVKAAYNKESPLEMQVQGAKAQVYIATSPKELNNPRRTWDLDAIVFEIDAAKKFIDIHVMDYFPLFIYRNPRVHFANIDDAIRRAVVRGVKIRFLAAALHYPDIGTRFLKSLESLNGFHANGTMEVKIFKVPRTNIESIVINRERRTHNKFMVTESAGIIGTSNWSGDYFMGGTTGAAIVIRQTGEKRPFVDELQDIFIRDWESDYAHPLDDYFEKCVTSNTGDFCEGKKDPRLFATPTSANAE >CRE07799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:86384:86617:-1 gene:WBGene00069817 transcript:CRE07799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07799 MFKYLLVVILLIALIELATADFSCYFSDSVCKSITCRNCKVATCITGDCVCTLCD >CRE07800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:87850:97678:-1 gene:WBGene00069818 transcript:CRE07800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grdn-1 MKNKSEEFFWNHPLAFWLHDCAIGDPPLIPEKEWRMKHRYNCAEVYIEEILDGLLMSSLMSYIDPNCPASYNSLDFSENGKSKKGWNQFQHLLIHINRFYETNLEQIIVCRLPDLHILTRIEFDENSQEELKKLLLLLLGCAIQSDKKKVFVERITGFNQEIQAGLARYIQQLTEGKQIVKHLNDFSRMREREDLDEGGGAIGSVEEIDTDDLESTTTTSSNGEICNKHKDQSFLMSRSTSPTSETRHLSLQMANLQHEMRQLRTQAENKDEECRKLEVELEEKSRRISSLENERLKLLEKERMVKELDDDLQAARCRIEKLQQLEHMEKKFKEARDEKEMYKSRYEAVTKKNITLEEEYTELDRNLKKLQVTSKNRAGVEEQLLRMKTKLKDLEAEVSKKNLDIGDLILEKHRMDVELKEREERILQLEMPGSTSNTPRFMDSLADQLEDAKQDEVELMKAEIRKLRAQTEGAVPDTALIVHHQELEDLRKQLSTEQHKNTELHLEIQKLQVEREQIDGNMERIGIELEGTTEQVENLSHERDEAIRMLHEARRKFGQFQTEFGVKSDEKLRKFQCEIVSMREKEEEMEFQVGKVKEENRRLQFELDEVHEEKSQIEESLKSLERSKKSLDLEKSSLKSRLVELEDLIESQKMTLLNTKVSQKRLEDRDALINSLHNQKNDLENDLKTCQTHLDLESKKLQRLREDLVQEKSKRADLVGRLRSLCTTLSLNGAHFDVEKTDDEQLIASIDDIMMNALVAVKRERDDLRIQGNQQIAELHDLKRDIEKLRRSESASLNESDDRVRELTKENMNTKEQVFMLQEKLRELNLELSTKNDELDISKASIEELSRNSISSTSNNSEIARLQVSIRNSQIQEDLVKQENSKIRDELQDLQKQNKNVSHNLDELESMHKALLVDHSRLQQLHNLLTKDYDQAKKETMELKLKVQNIPKQQAVYMNANIRELEAKLSEEISRKELQNRQFQNLEREHKMCRIHCDNLRRDITELVQTREELSLELRRAHDTCVHKNNSIDDLKKQLSQKISEINKLNSKIDALSQLNRTYNEENKNLSRQLEILLTQNKELLQRALHDKDQYHLEMKDYQDQLSALRRHKEKLEDKIMDQYRTMENKKSTPERKQPLVKRAAKALINRRRATSNGGSTTEDSSVYSADERSSPPLAPGTPDDNDNLPPTCSSSDDHEIVSPDFSEKNVLRPGMRSRNDFLGGSVRIPAGTRRYLNDNENHNQSTSFLPPRAPLRNTHTTSSLRSRPPPPPYNPRGPVSKTPHYLESDDSPSRTPGNLSSLFEPIAHSTPNSSLLGSPDRRVVAEGEKREAVRDKDERIDKTLSYYENVNLPPSQSSSSPDYQDGNPNEKTLWLAYGCV >CRE07801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:102065:104584:-1 gene:WBGene00069819 transcript:CRE07801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-70 description:CRE-NHR-70 protein [Source:UniProtKB/TrEMBL;Acc:E3NB73] MNFQLNNADIGNGMPLRDGYTGSDASSPNLLSSNKPMNSKGDKPPCSICGEVGNGIHFGAEACRACAAFFRRSVALNKLYRCRGNGHCDILSTIRCMCRACRFTKCIQVGMKREAVQKYRDAYGKRGSDVESPSTSVPIGMGFSSSQNTTLESVEEGGMPILSTLSANYAKLESVRRVVHQESGTSVFQKRTPKAVTYKEANEVSSKECDLVADWILNSYPGFSDLPKEQKKILFRNFFLPFVILQGGHFACTHNRNDVIILASGDFIDCSHPETFYYDPDGRQLMSSEDAVRMFASSFSNYRRNVTDPMLRDNVDSYEFFALCSLVLFDTGLEGQSEECIIVARRIREAIQREILYYYRNVRHIEDPSMRLANLLSLLPALQRATRRFQEDVEISHVFNVYSVDEKFYEMCNGRF >CRE07802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:105967:107250:-1 gene:WBGene00069820 transcript:CRE07802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07802 MNNSLYDLFPYVYKSTDAKIQKSRSIDKTGWDEVFEPTYTAIEHSHRLLSEWLVDTISLVASMALCIFLWRRKKLLLEYANSITFATFSAQVLYWPFYLLSTWQTMFLLFGKTPEYPSILHCSLLRHFVLGCFQSAGLITVPIAIDHICLVFLEKRLKVFNMVAIQVVITFLELFFTLAHLAVGDITINDICAQWIASPDVFLISMSFNNTLLLFAVLSNFTLGMVQIFEKFVFKKRRSHQHLCINYSFVLLLQSTTELVLSFPLTVRQWTVLLAGTHPINYFCSYGYMFSFSCIFMVPLVSMICIPKFRNEFLEIMRCRKTTKISEQRGKFKFDNVYIK >CRE07782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:111142:114756:1 gene:WBGene00069821 transcript:CRE07782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egas-3 MLPLIFLLLLFQHFPVSIEQTVSYIAASTNPPHGCPNFGLCTDVTTTLVTSTNVSTVYQYGCDPDNCYCLDGTTNGTEPCDTITDQCGNNPCGNADRFLCTSKIESYDCSCQPGWTGDNCDSEVGSACATSPCRKGATCVAAENSTTGYSCICTDKQFGTNCEWDNICTSVNCQNGGNCSMILDDSNYFCTCKTGYQGRKCELENTIDTDPVLDGCYRYNTVSIGALQTKYSDNQMTSSKCNDYAQMKSTATVTMNYLTLCGTFCMVSESPIVNDTSNMDGDCRKTCGGNSSEFCGKLNSRCIVIKQTPHRNSSETDPNPCENATLCNADIGNGVCVNWASDVTDGYACACGPLWTGRQCDTPVAPACTPSPCVNGTCVLREQYNKYTCVCDDGFFGTNCECIVYLLSSSEILNYLDADVCTASTCLYGGTCEETNNGGYKCDCLDQYFGNNCEQINRCNYGDPCVNGKCSTTVNGITPNFTCQCDDGWTGVNCDTMIDFCVPDPCQYNSTCTPKFKGYNCTCLTGLTGLNCSTIIDLCVPYKNSENQWVKSPCNTKDDMANCTKGINTFTCGCSDKWTDTLCDLNVLIKDVLMAIYGHVDLTMIGLLNDLMQNPSQIKDMVPFITGLLTDSERSELSWDVNDLFNWISFEDQRLDMTKDIHMWNDVVLGNCFTFNHQEQNFTYLMRRPGRHGGIQAFMKTRQDEYAPWYDTAAINVFIHNRDEYVFSESTRYTRLGGRYGKCVKKTSEVKAYYYPGSYTTDGCLRTCYQDRIQQECNCMDPRYPKADNATSCQLSERSCVTDASELAGDPSTWPTCVCPLPCSNQEYSVTWSKANFVNLPVSCQVASDVQACQLQYVDNLMINVVLPQLDFKIYAETPAMDFNKFLSQLGGQLGVLMGINLVTFIEVAFLFFGLLMVCCRKYDK >CRE07783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:115196:116434:1 gene:WBGene00069822 transcript:CRE07783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07783 MGDDQEEVLSSCLRFGLMLYFFLFPNVLNLQNISDKLFFQMEEKQEYLRKESEIGTLRSIALGAVTITAFSLGTSIMLLPLLFSHAQTVQSSLEHELQFCVLRSHDLWDELNKIESFTGTQSRIKRQYVTGPIGGGGGYAGGHGGYGGHQGGGGGYGGHQGGGYGGYAPRPAPQPAPVYRPAAPIYQPQPQTSSSICIFGPPGPPGFPGSDGQPGRDGEAGAPGQPGRDGGSGYGGPQANDCQTCAPARQGPPGPPGPLGQPGQPGAPGQADTSSSVGPPGPPGPSGPPGQDGHPGEAGAPGAPGQVTQGPGSVGPPGPPGPAGPKGPDGRPGQGSGGQPGPQGPPGDDGQPGQPGAAGGPGQPGQDGGSGGQGACDHCPPPRVAPGY >CRE07804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:116529:118513:-1 gene:WBGene00069824 transcript:CRE07804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07804 MGSSVTTPKPGTISPFGNETLPGLPGGFTLPTLNLSSFTMPTFAPISLANFTVPTFGTLPPLNLTFPALPTIAPFNLANFTFPTLGSMSPLNLSLPTLPTMAPLNLSGLPTLPSLNLASLTLPTFAPLNLSNLPTLAPLNLSNFTLPTMGTFPTLNLANFSIPTMAPLNLSALPTLPPMPTIAPLGNFTFGPLPTMASFFNMTMPSLFGSGNTTSGSILHSNVTLPTSDLKNSTATPVLLGDITVPHFGNMTMTTTMPGGVPLMMTTTKSGGSTPCGSTTCTTDQVCASPWNATQTCIKRLPDRRYCIDNPCATGMKCFDNTTSNAYTCYTKLDGAAGECLGIQCSPGDICYQVMGQQAKCIQLHQAPQCLGQNEEFSACKSGCESTCSTPFPPCMNSTTCTSGCACIRGYVRLNGVCELMNKCPTTTTGSVTCGGAEEYLACKPACEKSCSGIPTKACQLSLNSTTIAPTTCTPGCSCRPAYKRDTDSGQCVHARQCFHTTTCGLNESWSKCHNCESMCGQTANPSCKACWSGCGCNQGYSRSTSGVCVESTKCS >CRE07784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:125788:127575:1 gene:WBGene00069825 transcript:CRE07784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07784 MQLTLLFGVVLFVGLASGQLSSTRDQDCKDNETFQTCGSACEPSCGSPNPSFCTLQCVVGCQCDKGFFRRSDKACVPQDQCNVVANTTAPVAPTLPAPPSANLTCRTNEQANDCHNPCTEKKCPVKNGPLVNCILNCQVGCSCKPGYLRNKQGACVKDSECPAIVSEDQCNLVDCRSGLKCVIQNNEPVCIPGKHNFREFLINNNTSLCSTVLCPSGTKCQVVNSRARCMKIPAPEVPSPGITCANVRCGSKGGCGMVEPTGCNGCKLQPHCLEVNSELLIRFKLPQNCHTAKCSPKEECVLVQVTCVMAPCHPIAECRPKKNIKPLVQLREPRQTGPSCMTARCGTPAGCAMVKPSNCGNKNNCELRPACIHENACIATSCLVGTQCVLHEVQCVKAPCNPIAKCEPLDDAPALSDKRCKQKNEKYVQCKTGCSDTKCNEEPRFCPAVCRGGGCVCQDGFYRDGSGACVTQNECDIQKGK >CRE07785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:127854:130437:1 gene:WBGene00069826 transcript:CRE07785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07785 MITVQITPCSTVRCVSGTECQELERNCTVPPCEIYAACVNTTVVDNLAGGCATMRCAAGETCEEAMVKCAVPPCPKNGMCVLDMTQNDTLNSCASVSCPVGESCEETVVQCFVAPCPKAVSCVPMTTVVDNLAGGCATMRCAVGETCEEAMVKCAVPPCPKHGMCVLDMTQNDTLNSCASVSCPVGESCEETVVQCFVAPWSVELHTPKAVSCVPMNIHQNSTARQGCDVVRCRANEICEEQEVQCVKSPCPIQVACVSIVHTNGTTGGSANNSTSTTNSTSPVIPPSSCPKNQTMSDCLNKCSEEKCPGIGVSMMCTKHCGQGCACSSGFVRSSDGECYKSKDCPLEQVCGENEEYRCEKCAGTCKNPEPSCPGPKNKSCNKKCICAAGFVRKNGKCVTLASCPDHDHSEITCLGTQDYTDCLPKCRQLCSGVTDCDKNMLTEMCTPGCVCRPNYKLDSNGTCVHNRHCFKTTDCPENEEWSKCVSDDNLCGMATIQKVPLRDQCFSGCICAAGFARNSNGTCVEKC >CRE07805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:130618:131799:-1 gene:WBGene00069827 transcript:CRE07805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-19 description:CRE-UBC-19 protein [Source:UniProtKB/TrEMBL;Acc:E3NB81] MVYDDVKEQENDPNGIRLLPWDALVHKTARNKMIQIGDGSKTQETASDFRREHIFSKLGYQISEVVGRSYPQSYSWFDPAEMTVITRYDDVQVSEVSPVTSARLDREKHGIEPLDLSVISIDDSARK >CRE07786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:132254:139548:1 gene:WBGene00069828 transcript:CRE07786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07786 MTPNQAEKKKQNKKHNGGKKHHTAKNAQKSKNQNKPESSCSQQSNNEEVNLRQECSVKINNDHIQIGHFKLTNVDLRDIVRKSEQIQVEQNGKALTNDPKFMEQLDAYAQQKSEEIINSTVKTLPTTIDSSGPTQASKSSLHTSSSANSINVTNRIAPTTTVERTVNMTNPPTADAKSQAVRKVDAIQLGREFLERRKQEPPPPPPKVQPKKTYKKRFFGDKLVNPNGQDSPFVAMPIDIDSFYMEYDDIDAECTLLKEWPPLDESMLYYEQPDVIAKNILDPNLPYSFPFTIEVFFALTGIRRRLTFLYSGPNTFKSLSHKFRHKHCESENFQMFYLNHDGRYMEVHDNFTLKQMLITHGAYPRGAHCPLRSACGKVWCIPTTSYAEELIFERERDLIMGLDSELNFQLMEKRERSCVDHLPFALSADFRFAEVYHKVIHYSDEEMSRSYNKYAHEQAIDLILDCQRTMDREQLFSDCRTKELTVQELKDVLVYRYHFPTDGLTSDQSATKEIADIVTELRKSKHQTREALHMIEVELRKLAMELKKSNQNRRAPLQIFQVPYETRYSGPHIVQTIPKAEYQQLQIVQQPMQLAELIKKEVHAEKVAKKQEGSNSSETISKLVEDSVNLAKDVQNLITQRDSLEQVKSPDFEVPDPVVIEQVIKDVALLTPNQLKDVTEMVYQKMTSNPNLSGEPMNLSSAKCVETLREVLTMFLKPAQLPFKEGNISNSENQESSNKSGPIDKESFSDNQNCQNKSERKSSTQISKELILEMLECINKLQKPTHGATYKYNNNYATEKKIEETTVAEKVIIKTGSIQRDTNRKDQVSLFADQDRDRSQKPPVLDNLSLADSSDTQQNSIKTNNLDYDAMDSKLQALPATSSVDLTLNVLNGNTLSAPKLQTHVDANISSGQELEVILEASVDQSVQNRSQDLCNVRVSPTMQNKRNTNVHQKDMNAKKND >CRE07806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:139997:140856:-1 gene:WBGene00069829 transcript:CRE07806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07806 MCELILLMFVFAVSCGLLVGESISTCKCLDRIILLHNIGDFRIISSPNYPEPYCSGMNCNWHVVAPSNKFRIQFSADNLDLRKNIDDILFYDYSKPELLVNRTDNHRCTGDDLCHYTSHFQYLTICFKTSNMEDINNFGFQAVVTTRDLNGGKIRKPIVKIQ >CRE28900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:201078:209127:-1 gene:WBGene00069830 transcript:CRE28900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28900 MSKLTVSVESLEDGEIASEQASKCAAPAELRIRVAATQYRHVMASKCRFLSIFIDFFVAGNGGHNGQRPLRPPPSESVIFGMPPPSIPPLIPPNQDIARLQNLVHHSRDFRNSYPPGIRLPTFHYFPDPPPPLMSVPIQRPLMHPQQRQIQNPQIQNPYQNPSFDNYDEVSMEMGSPDEPQPENDPFARPPIQIPLEAVTSISAQNSSILAQNPPILAQNSTQNAQNSSILAQNPPILAQNSSILAPESSTTSAPETEESLRQYLLSQLGSKRTAEEKPQKSAKRSRRGSKSQKNQEVENVFENTTPIEPKPPTPKIAVKIGKIAEKPSILAQNPTQNAPNLSISTQIAPKPSIFASKSSSVSPPVAPNFSFFTQNPQKTTSNNPFLAQKPVSTGPFSAGNAQNSTENRQNPSPMTLGASIAATWPPNAPIFGQNLAQNAPNPTPVAPKASEAPISAQNATPVASKAPNPSILDQNLSILTQNAPNSAQNAPDAAPVSPLAPNPSISAQNAPAAPQAAPVAQNAPVSVQNPSISTPATLIASNPSILTGNAPVSVQNPSISTPATLIASNPSILTGNAPVSVQNPSISTPATPIASNPAILTGNAPILPQNPAILAPNPSISTQNAPESPQLTKEERKAELERRRDELSARTAQHMQKLTEAKKSDQLASSMAARAAELAKQAEEMCQVAKEMRLKSMEEAKSVKEQLAKDSTEKAKIQKELEDMVLSEFDDIGLDEYPKEELDATIVENRAPMTPVAQNAPNPPISAQNSTQNAQNQPILVQNALISGQNSSISAQNAQNQPIFIQYPAPEVISENSARIGAAGDVISDEMEQEEEDLDGVEYEEEEEEDVENDVFEPEGAESQIQEIQKDVNGVQENGRHDNVVTAEKEDDEQSVEGEDEYEEEPSQIQKAPEAVVAVASATSSPKKSTLEQLLRARLLNKRAEITPDSSTRSPENTSPSSSSSQSDRVEECRNVLHKMCKFELNGKCERPRDCTFLHLHNINDKKQQTQLLEGLFREIFQYKEADIEAAITQTMHFLPEFREFEKLMDQFFKVVIHKTPDYKNRLFTFFAHRR >CRE28852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:210446:213333:1 gene:WBGene00069831 transcript:CRE28852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsn-1 description:CRE-TSN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MXF1] MTDTGSPTVPPPASSTTSPVRRGLVKSVLSGDAVILQGQPQNGPPPEWTVYLSNVSAPRLGRRPTDSSSATPDEPYAWESREHLRKKIVGQFVTFVRDFTASSGRDHGRLYLGGTSPADAENVTKEMVSEGLLEVRQGKITDEYTTELLELQEQAKSAGRGKWSSNAGTIRDIRWAIDNPRELVDKYAQKPVDAVIEMVRDGSTVRAFLLPNFEYITLQLSGVRAPSTKNPTAPDSRAEPFSEEAKFFVESRLLQRDVQIILESTSNQNFVGSIVHPKGNIAESLLREGYAKCVDWSIGLATGGAQKLRDAEKQAKEKRLRLWKSYQPTSSAYSGDRKAFTAKVTEVILSDAVVVQKEDGSELKLHLSSIRLPRETGDDKQPSVGRQFRPLYDVPFMFQAREFLRKRILGKKVQVQIDYVQPKSDTFPEKTCATIKIGDLNIAEGLVSRGLSKVVRHRADDENRACEYDTLLAAEANAEKGKKGLFADKTAEKKDTLRIQEITGDLAKAKQFLPYFQKGGRAEGVVEFLSGGSRLRIYIPKETVLITFLLGGINCPKGARVGPGGVTMGAAEPFADEAAAFTRKLVLQHEVQLEVESTDKNGNFVGYLFVSPDGNTSRAINLSEALVEAGLASLHFTAERSGHYNALLAAENRAKKAKKNIWANYTEEQQQEEVEVQQADTSERKQNFRQVAVTDIAPGALRFSAQNIEDGAKIEKMTTEMRQAIAEHPPLAGSYTPKRGDLCVAKFSQDGQWYRAKVESVRAGQAEILYIDYGNRESVEAAKLAQIPAGFGSQPAGVKEYNLALVKLPNEDYLELTLQAFAHYLFGQSSVFVNSEYKVGTSDYVTVYFDSGNKKIDIGKALIEEGLALADERREPRLQTIVKDYKSTEAAAKKGRKNIWEYGDFTGNDI >CRE28853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:213831:220854:1 gene:WBGene00069832 transcript:CRE28853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-151 description:CRE-TAG-151 protein [Source:UniProtKB/TrEMBL;Acc:E3MXF2] MSTSGHRAGVFKKPAKPHKSWKGKRTKGEITSDNRGREGVKQITRSANSTHSAVSRDARRNQLKMARDRKMADAMERRRTSNAPCLVLKIANSEDFEPKTVKKNARENFTVFLIVKQPISSYFQPRGRSWRHNGHTDIQTDFSARKCVFQPQNLRILSIKLSEIKKSFLQTVISLGVGARPSEFLKKLATCDETIIQTNSPSTIDFSIPRFKSRLSFLTPDKENVNEVLDAIRASDILCFLWPLSAELSEWDEQLLTVVKAAGLPTIVSVVPGLGGISNVKKKEDVRKGIEFTISKWSMKSAGIMPADSINDNLQLLRTLNETKKKPLTLQSRHSYMLVENLEATGDSTGDSEDSEEQFCTLKAQGYLRGPEWSANNLVHLPGFGDFQISHIESAVDPHPLKASAKSQEPQILAKADEKRQGLETEIVPDAMDGEQTWPTEEELRDAEKEMRRVPKGTSSYQAAWILEDSEDDEDDEDSDEDMEDEEDAEEDDDEDDMEPEDLKSEAGETTASEMMFDDGIDEDINMAEVEKYRKERENAQWPDEVDTPLDQPARIRFQKYRGLKSFRTSTWDPKENLPVDYARIFQFQNYKNTKKNVMSKIGGNDVDSGDAVVGKKFNGAFATIYIEKVPVSALKELKEMKQLVLFQLLPHEQKMSVLNMTLKKHPSCSIPITSDNEQKFIFYVGYRQFEASAVFSSNSPGDKFKLERFMPVEKTFVATVYAPITFNPATVLCFRQDDKGRQELVATGSVLDTNPDRIVLKRTVLSGHPYKINRRAVVVRYMFFNREDIDWFKPVELYTPSGRRGHIKEAVGTHGNMKCRFDQQLNAQDSVMLNLYKRVFPVWNYSLFNRNLNPSRFVERSRVESISLVNDEEEAMET >CRE28901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:221660:222768:-1 gene:WBGene00069833 transcript:CRE28901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28901 MGNPVVYIPQFPKTPSLGCLPIRGTLLIVCAISLVASCISATEGTTYSTVSAVIGIISNSLVLFGVYKENACVLKWCQRLYFVFVVFSVIVLAVLPLHFACDFSSEFIDHTEIFQDDNSRTEFHISSGSDFRSDEYAGSVLARMFMEAVQKAVMKRQETKIRYIYGMVIGLVCEIALFIGVAFYYMVYVMIKRFKNYVMATNEFEKGRQPLV >CRE28902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:224502:231731:-1 gene:WBGene00069834 transcript:CRE28902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28902 description:Histone-lysine N-methyltransferase, H3 lysine-79 specific [Source:UniProtKB/TrEMBL;Acc:E3MXF4] MAEDGARPEIKLASVFYEGKPLVIPGYQLHISIQVTQILHKMKKMIPHLFEKFPQKFHDEKGLKLQEVLDVVELYNKVAKPHANTWSGSYNEGILGEWGNPKCSDAVAEDIVMWAYGMGIKRPLDLNNHYKSFSAETYGETNLKQMAKICDEIDIGKKDVFVDLGCGVGQLVCFVAAYKQCKKSVGIEKSDLPYECSTQVTGYFKKLMSHFGKKHGKFEISHGDMLDEKYRKLICEEATVIFINNLMFDEKLMFQLKLILQDLKPGTKVITTKPVCDIRRTDLSDRSLGVLTYDITALSETSVLTSLVGGVSWTQTAVPFYLTIMRQDKLEKYFEQKKTSEETERKPKRRREKEESSGEKRKKTKRRRQESEDSEDSEDVTMSSTASLDSKTLEVSEVIVKDSEAPEVPPSLQKRETLVSILETQFKDSEDVETPDSAISDVKKDSGAPNSIKMTPEDVEKAIARIQMRSTTPEASEAIVKDSEGVETSEAPNSIRMTPEYAPECPPPLQKRVTLASLLEAQFSPQKPSESPQIDLESKIFEIQMRSEAPDDVMTWLQTPESSILILDDEKLNPETPEDVKILKSIDFDVTIETMTSDDVTNVLKSLEDSEDVKTSEVVVKDSEAPESVDVKDSEAPEVGVKDSEDPVLNVVNVDSEAPESVNVKVISMTSDDMILKTSEIQEAPDDVTMDSEAFGGENIIQKDSEAPKVDVTAPESPVLNAVKLNSEAPESVDVKVKSTSDDMILKTSEIQEAPDDGTMVLKTSEIQEIDVKMDSESPVFNVTMDSEASEGNKIIQKDSEAPEVDVTAPDDVTMVLRTSEVQDPEDVTAIPKTPRHQTIIEPPIDTEPVVAPPPSSMVTRMISPIMSFARNYFFPVRLLPTQSAESAESTLPNIQEDTTSSSTKKN >CRE28903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:233396:239694:-1 gene:WBGene00069835 transcript:CRE28903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28903 MYRHPDFVTVLIKSTDFKSLRFLQPCVSQPLAWKPRRILRPPTNFEDLFARYYHRECMKCSKHAECCSSSSGLFISLTSSMILVSRGRQSAIWGTVYLDAHKEEDRNLKRGKPLYLCETRLRWLEYDWADQEWQRVYQWYSMFHSNVFINSIRDCHLHQ >CRE28854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:239902:243149:1 gene:WBGene00069836 transcript:CRE28854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28854 MGAFGEMRHTPSPGTQKLRVDMSSSGSTPISKVETPILPSSSMSQQHPNNAFPRGSQSYTSTRSSPLRINMSNRSSTNSQRPLLPPQPQNHPVRFQQNGGGGQFLQPSVYMPTTHSQADSSESRRKHEKLSDVYSQSGDTVEFAKNLSDYVNEKIPYQISIAVLTCFSLLAFLLIIFGLLNSPFCAVQPMIPIWLIVEGVLFIISATFRIYFLIPTPRRTAYRRQQRQLGASLLCKGLEVLFALANIVWLILGCVWVYGSKAFVHFNEGMFERHYCEPMIYWSAFFACTAFLIFYCVIIFLVICLLIVGSVKENSAQDQVLD >CRE28905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:246760:260082:-1 gene:WBGene00069837 transcript:CRE28905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28905 MPPGEPVGPEKEDGLDRSSSKSSQKYTPKFERTDWYVEPLLNFDADTSTMEDVYPAVKYWTEIALELKSKSYPICEGIDNWKEFDQKTRQKARMLDDFLDLFITKRLYSEEKEFEVLRVLISQGKPYLEFKEKMSKVNFSLKCNTIWENEAVAYRCNTCAFTPCMSLCEACFDANGHVGHDYIRFFSREGGACDCGNQDVIKESGNCPEHGDESKRPKYDMTDVCMAEYIITKLVVRMFLDYRGWTRRFQRAEEQFHQEAEPTLRRSEFDIGAFSAADAQRTKNIIDFLQECSNYGGPMRLIVSEILLNKDLYKALTEKTGEHYDESGRVELSLDWRTYHMFKNDRESVLPQKTQRFVLMDTVEKIECFTLLDELIFWINRQLFPQNLVNFGLSLLSEPGYRDAFAYRFFTWYPICGKVIFELCISQNALHRNEDRVSPTCSRAVHVTVQMLSSASLCKELNDNVQLVKTIFEVTRYMICERLVDSDISLKPQNIFKENKRFLNMTTMDGRPTWSVMTMLKNAAISQHGYWFVMGDIQNVLTHTSLAVDSVFDVECFGGSYMRMMCEMQGMNPIWRIISGNALEHDAGEEVQRAYTLEFETLAVTLFNIVAAIQQERSSDGSRRFFAHCKEKLVEWFHILLPIASEDERREVWGNVLRAQAYTVTFHIPLHRHISTALTHFHDIPKFNDYISETLLRDETLIRLLLIHPLRIQVARAEINCNMWVRNGAQARMSALIYSQWNVSSAFQTPDIDLIRFCAAHIDKEHFVKALTTSFNLTESIEIQRGNYVETKEESRRILGGVEEKESDGDVVPPEKRMMYEEYRRMIEEEPILIERNILGYTEKMTEVEKARHQEIIDIMTYESFATELTIDYRIPSPFDHSRDPRMPIVGEFIRRHLAAAGVAPDGEIEMDREFDPSIFDDEEIERRIVIREQAWIDPMFWGMFKLIAELIAVRVNSGATSEEHYRSEMVNCMAQGNVAYSRLRSAISEKGTRGSEMIDRHFERILQEIGDFVDPAECATHLQQGSYQLKTSIWDSEVCPVFFMMRSTSIKHAREVFARMQMREKKNAVDEGRQSTDEPFWVPFRLIDFDEKKRHEGIAKIYNMLLSERFLLHCVAVLASEYDQEAKFHDCTIQLAVYLLTLGVKYVEQYSGDEKLHAHMVSIYHTPFKLYKKDELDYLLTISSFMTRLLTIEAKRLDQQISTYRKVLSGEYDREKVTGGKLVYIGRFMSILVKISATARCLVEEKLQREETKQSLLAARQESSNARKSPMDPSKTAAKEAAKRRMEAILQNSAKKSAQTMKKLMKTEGMSADEVSTVDPSQQNRKVYECPICGEQETPNTVEMPFGMLAKLSTNFICEEQIDASIEPIRELLEYDECSKEDSNPQEETRRHYTDKRRLGMSTLEPVSIVRVVPPMVGMDLKTCGHVAHIECFNAYRASLHDTVPNTGRREAGCPMCRHTANAIIPISLDKPYVPVKTPPSPFSYSDVWKIMDVLLQKARGPVYQEDEKNVKYATNYSTREGGGLNELYEGRRKSADWTERQQSQMESCTTSTMIVSVAVAIVERSSLLRKMKAPERRKNSRMSMTEHIMTASVATSKDVDFDVTLSTMTNLFAKVSETSQKSSSPRPSSSTQQQEKVPGLSSDEMMVMVTNVFLTNLKCHFLMNPSRILCHNDVVRCEDRCDGQRIQLNEVVTDGATLPDERSGGVETVELVGVT >CRE28856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:262541:270538:1 gene:WBGene00069838 transcript:CRE28856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28856 MAAEWRNFDKWKDFREKQKKTSIGTQEEEEGRKTPTNIEHHALPRVIDLPPEDIQKEKKVYNETYRQAEKSMDTTLDSSSHISPLEAKLNEVLSPLVLLGNKSELETKETQRIQAIRESWKTKGMTDPSEFPRLRVPENYDEDLRIQKAAKRVEKEIKEFKDSKLKDMGGEFGKVVDEQEELEMRDAEEIIQEYGSEDNRFEASELIEYKDYDWCISLRIDREKTSDPAVFNLLIYSYKCGIQRLPLSQQQIDSLGTSLLMKYKRNPNDSIEVLPIYSALPSTGLLSLKYRTNKGIELRTYGIVNFIDFLKDPDHSSHRTMIWTDALGPIYLTSADRSNIRRKLQMTESKIFAPLRMIQMTVKGDFNSAIIASGTMVKWSIASFTPLVEESQKDPNIGRNLWPARIIRFDDLLFDREILPRERHHRENSDFFVNNPNGGTLLRSHAEPDIQVFCGPNLTGILNSAGPTYATKGIHSAFVVPFFIDGKFVFYEALIAGPPRVVMLITEGRYLNYCPKTWPPTIQAQQNAYRKTMVEKPWVRAVQREMSFPEDRQKSMKGFEEFHFDFKI >CRE28906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:270573:272618:-1 gene:WBGene00069839 transcript:CRE28906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-41 description:CRE-TTR-41 protein [Source:UniProtKB/TrEMBL;Acc:E3MXG1] MKWGLAVLLLAGVAVAMRKQGVAVKGVLKCGTAFANNTKVRIVDIDTGPDPDDTLDEKRTGEDGAFALTGSTHELTSIDPVLYIWHECRDEQTPCSRKIKFVIPKKYIHGGTPTDDQWVDIGVLNLEGSFDNEGRECVKD >CRE28907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:274607:277655:-1 gene:WBGene00069840 transcript:CRE28907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glt-5 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3MXG2] MSTNKTVNRWTSWLTKNMLLLMTMTGIIMGAIIGGILRNLEPSPDVIRYVGFPGELFMNMLKVMVLPLIAASIVSGLSQLDGKTSGRLGSRAVMYYAITTTHAVILGIIVVSIIHPGDPTIKQKMGIEEGATANESAAQKFLDLFRNAFPENIMRATFAQVQTHYVNHTSSNGVQQLVPKTGYVDGMNVLGIIVFCIVMGLVISKIGDEAKPLADLFHALDVVITRMVMIIMWLGPIGIPSLIAQKMLEVSDLWQTARMLGLFVFTVILGLAIQAFITLPLIYFIGTRHNPYKFLKGLGQAIMTALGTSSSAASLPVTFRCLNKLGIDPRVTKFVLPVGAMVNMDGTALYEATASIFIAQMNGLELSIGQLVTVSITSTLAAIGAASVPSAGLVTLLIVLTALDLPADDISLILAVDWFLGRLRASVNIIGDSMGCGFVHYICADHLNADVAEAEKNHAIVEAHGVNFKELEKGCEQENKHQRKQNTVELV >CRE28910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:286236:290076:-1 gene:WBGene00069841 transcript:CRE28910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28910 description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:E3MXG5] MKTLLFLAFIVGLTVGEEIKDLPGLDFEPNFKHYSGFFQVSDNHVLHYWFVESQNEPSNDPLIFWFNGGPGCSSLDGLLNEMGPYVANEDGKTLRENEYSWNKMASVVYIESPAGVGYSYATDGNITTNDDLTSLENYEAVKQFFTEFPQFRHHQTFIMGESYGGVYVPTLTARIVDGQKDFPINLKGMALGNGYVNEKLNIDTSVRFAYGHGLIDEKIWNTLERDCCSGCIDSCDLTQVAGHCATLVEDIFQFLWFGGLNPYDLYRDCDPNPSVNSKRMSHMLRGVAPAMARFDEQLKNQTKSKLYQFLKNKSQKPLTADVPCLNDTEMLSYMNDPKVRKAIHIPFNLGKWDICSDKVTTTYQKQYTDMTPFIKKIVKNHVRVLLYYGDTDMACNFMMGQQFSDQLGLRRTLKKTPWKFERQIAGFKTLFDGLSFITIRGAGHMAPQWRAPQMYYAVQQFLLNHPL >CRE28857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:296668:300799:1 gene:WBGene00069842 transcript:CRE28857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28857 MCQNCLLFHPPSHFLIASPVPQFPFLPHRFSLPFFIHFFLIFLKNHSQKALSVRPKNAMGRLKFTVRNVLIPLFLVYFFLHIGVTTWKLFQVDSTRIGYENLRDGPLLLKGGRPMRKPGVIEAVGYGKLSAMGFGGKRKKPKQVHPVLQPKDEGVPKLSDNVKATLITEAQSLLNKTSESSNFIYVVMINKAYERMTLNWICNTALMEVISMSNRAPPNKIFQNVHNRTLIVSMDPSTCLSIRSQWDETIKCVSLEINNYKNGYDWGRQQYINILTLRANLMDLLTANDIPYVLIETDATWFKDPLHLFANKTTAEEDYDIIIPVKGYDGGSWDTLAFDPMLVAATNGSKMFMEEMKTRLNSDKKLYDQDVMNQLCASQHNGLICRQFDYNEVADGKWYKMDETSRVTPFILNNNFNSGTKNKETKQALNGFWFLATKTNQCVISKVTKFMEKYSGR >CRE28912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:300868:302349:-1 gene:WBGene00069843 transcript:CRE28912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28912 MDDLFSDLSSISDCDEECLLNISTKNSKCFFIVSTQPEIVLDIPPAYELEEVDVRKQKTLEEKNCETDAKGDQTYDKWVQTEFIEMDSFSCLADLPPTYREANYTESALERVLRHMSAHLHRIQLQQWLADQPQRNASFHIKPVQTKTIFEAVYNRERPENSSTFIGFSQKHLLNESSQNYNQNHN >CRE28913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:302854:303551:-1 gene:WBGene00069844 transcript:CRE28913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28913 MGRKSGTPVREFSPEEIRGLLKFLIESLYGADDEKNDFANDEDAFNEAIRKVDSLSARKASDIENFFKQHYKLKYHRIIKSFEKNSDAFDSEASQEESDKNDKEEGEDVENAETGRKESDDSDDSLDDATQGVARMKLQKKKQDSDSS >CRE28858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:304731:305048:1 gene:WBGene00069845 transcript:CRE28858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28858 MLAKCFAAILLCTMMIGFSTQQVVVPAYYPSAYAYPSVYSPYLTAAAYPTVFAWGSNKNKGGDAVPAPEVPAQPSTLTNNGPTSA >CRE28914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:306085:307990:-1 gene:WBGene00069846 transcript:CRE28914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-66 description:CRE-CLEC-66 protein [Source:UniProtKB/TrEMBL;Acc:E3MXH1] MLWAVLLLVLAVTTPTQSQLVTDRSCGNDLSRLWLDIVFVVDNSKNMNMYKVFDTISSLFSPYVQIGTGYDDPRSTRVGFITYNWNATDVADFYKLQSYSDLSNQIQQLSTTPLSRRDESYIDTGLAAAIRMVNATQGLRDNYKKVVVFFTSQYNYYNTYPEDQAKLLKSWGATLITVNTGGDDNTQENLHDKIANKGMAFLMSDGNTTQELQRALLATNCFCRPDWYQYHYPLKSTDIFSNYGVCVYRPAVAMNRISAQNYCHQLTDTSYLVSELDEQKRAFNWEYLNSKGSDPTHAFYNGLTSFNGTWWWDQPNGMPMWPLSPYSGAAPQRAGCVADMKYSDGTYSWTPISCTNLFRFLCESVACDTDNYCEF >CRE28859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:310246:313613:1 gene:WBGene00069847 transcript:CRE28859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-65 description:CRE-CLEC-65 protein [Source:UniProtKB/TrEMBL;Acc:E3MXH2] MKMRRFLGVFLLCLAISTTLSVSDRRCGSDVTRLWLDVVIIVDNCSIMNLNLVYETISGLFNKNLQIGTGYTDPRSTRVGFITYNYNATDVADFYKLQSWADLDSQIQRLKMTPLARTTLSKMDTALYAAINMINSTAGFRDNYKKMVIVFTSVHGSYQKNPPKDVSKILKARGIPVVTVNTGSSSDTQSYLKNIASDNMAYAMADGNATQEILKAMTDTNCFCQSQWAQYQYPLYAPQNRYGTCLISTNDMVSDRETARHFCHQHFQNGYLVNELDQQKRAYNFAYLNSISASPVNAFYNGLVTLNGVWFWDQPDGRPMLPLDPNSGAAPQRSACVADMKYSDGTTAWTPVSCTNKFRFICEKVACDTDNYCENA >CRE28915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:314360:316631:-1 gene:WBGene00069848 transcript:CRE28915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-63 description:CRE-CLEC-63 protein [Source:UniProtKB/TrEMBL;Acc:E3MXH3] MFKLVTLALTFTALVSAQTGWTDSWGTTGAGPTYGPSTPRPTPGGSNVDRECGGDLANLWLDIVVVVDNSKGMTNAGITQVAANIATVFGNGTRIGNQYTDPRSTRVGLVTYNKVATEVADLNHIQSIDDLYSVVFSTLTSVSSEDDSYLATGIGAAEKVFQNGRNGNVRSNYKRLVLVYASAYKGDGQNDPIPVSDRLKSSGVVISTIAFDQDGDEALLAGLAQIASPNYAFTSEDLNLVGEIQGTALQTNCFCPNLWTQYKANFDDENSYKYGVCIRAATISSSWTAAKFACQNLAQSGFLATEYDGQKHNFLFRIAQNNTAFSAPYIYHIGLSYVNGGWNWQQPAGYPLRPLSGYTAWNPSYPKSFSSNTGVVEQQFSSDLTVGWQNINGYSVAEYYMCEVASCDTEKYCP >CRE28860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:317840:321570:1 gene:WBGene00069850 transcript:CRE28860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-62 description:CRE-CLEC-62 protein [Source:UniProtKB/TrEMBL;Acc:E3MXH4] MWHLVATYLLLLTTLSIGSAPPTDRDCGHDLINLWLDVVVVVDNSIGMTQAGLTEQADLNRFQSADDLFNSVFSILPHLSSSDEVYLAKGIAAAEQVLTAGRKNNTRSNYKQFVLIYASAYNDDGMGDPRPIAERLKTSGVNIATVAFDQTGDQDILKAIGEIASPGFNFTNKDEDLVGEVQAAMVQTNCYCSNSWHQYRDQFGVASSQKYGVCLRPVAITAGWTPAKFACQNMIQMGYMVTEYNQKKHDFVFKLIQNDTSFPEPYIYHIGLSYVNGGYYWQQPVGHALVPVNSNDSFWNPGFPQQSSTSPAVLNQQASSVISVGWQNVNQFTVAERYVCEVSSCDTENYCE >CRE28916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:322800:328649:-1 gene:WBGene00069851 transcript:CRE28916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28916 MKLTLILLLFVAFASPVAAQGIDFNTLFSTFVADLLKTIQQMILEQLTGTKAPGVTTTLPPAVTVTDGVPVTTPAPPTTVEATTVTDGVTTGPPATGGSTESATTVTGVSTTEEATTVNETPSRGESTTEGPTTVSSATGASTTDDATTVVKGSTTDTATTVDGTPATGLTTETATTVTGSTTTGELTSKDGADPSEGSTTISGSPATGGSTTEKATTVDGTPATGGSTTEGPTTVSGSPATGGSTTKEATTVTRGSTTDAATLVTAGPTDRDITEFTTESSNSATTEDSTNTTKQQMCPKSTSTTSESPDATTVTLSTLLNTPDIDMTQSNEVTKTPDSVTKPGSDNGGGVTVTGATPTTVITENPSADTTTTDRVKCELEDEEVVVEEEITRAPSKAIRDKRSLREEDEETASTELTEGNVDPVESEVTESATSSESSTTTQSSASTIPPTGSSTSPSPSESSISTIPSGEVSTQQSVATSSTISPAAIVTTVTTVTTVTGDVMTTASTPSSSASSHSSSTPSSATSTTSGSVITLSTILSSASTSESTASSSTGAPTSTSTISVSAESTSSSVASSVSSGSSSSGSVTTGSVQTPTSPATSAIVSDITVATPTTTLPPITYSREPTTTPTLAPITVSSSPAPSTSVTLPPILETSAPTITPITLQPIFEPTPVTLPPIFLTQLPPIFNSVEKSSSSSESSSSSSSTSEETGNPRPHRPTRPPRGPFPIQPDHPFPVKPSKPNGDKKPFKVRRLRHKNSQHKHLHHKNNREDKEKVSDGVKIVNFDLNGGDKKNFGRSKRSVDFPKGHFVSYNKDGMHYVKYTFD >CRE28917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:329768:331038:-1 gene:WBGene00069852 transcript:CRE28917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28917 MEKLLLISLIILMTATSSVSSIKCHQCGGAEKIPGFAKSALNKLNISIDSLFGDCKKTSGSDMCSNGTFCLKRAKVYQIGYSGVNLKWTTYTKGCATLREDNNQIPTNQCYELGQVSNSTSGYTAKRVDCYCQKDFCNSAMSQGPMISAAMVLLVKIFV >CRE13331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:874610:875370:1 gene:WBGene00069854 transcript:CRE13331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13331 MFVLSLALLSCLTTMCTSTTEWWGDLRAHLNPARQAPFYDVTYDEKVNVCPQGLHADAIPEYVYFGTMLATMTVDEHDQCLQKCAEKPRCKAVNFFHPFAYQEKGFCELLTEGQLDNPSLMRPFRKATYYEKIRCRELDDVEDVDEAEKSEITESEFSIFILYITSSIHFFPEVPEDMIREKKLDMSKLMKKLSAKVKEFNGASGGFRAARR >CRE28918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:336683:340370:-1 gene:WBGene00069855 transcript:CRE28918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28918 MLFLFFAFLFLWQPFRVRGACTFLDHQACDEVCKTDNFWYGHCIGWDGFNFSCKCYEYIAPLNGKICETRQMACSEKCKDQGSEGGFCFPQLDSRKSLRTACECFKNLQVLRRKKRQILAKRNYKRVE >CRE28919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:342191:343920:-1 gene:WBGene00069856 transcript:CRE28919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28919 MKPLLILLPLLAYTSISTAEKVCASGFKMVNNRCLALVRQYKSYSNAEKVCRFNGGAVIGLAKNAVDNRALVQFLQDAGVSTAWLGLKCGGGQCQWDDVDMLGGYNNFNGGIPSGDVCVQLNVGSGKWQSESCDKTLPFICELQETQKDCHSNCDYNYQNHCYTLVKQQKNFQDAENHCKSINAHMTSIHSFLEGRFVAQLYADWGLYWLGGTLTSADAKIKWLDGSSDDFETTKHHKDGNCLQYRVDSIGIGHDWFADNCGDESIFICKRPASC >CRE28920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:346087:347033:-1 gene:WBGene00069857 transcript:CRE28920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28920 MKPYLLLLLLIPIVLFSYIDAEQVCRDGYTMVNNKCLAFMQTPQKYDDAEKTCRFNSGGVVVLSKNAVDNRALVNFLSVWNVANVFIGLKCSNGDTSTCQWDDLDGLNDYNNFAAGYPLSSQECVILDSQSGKWTSQSCDQPMQYVCELPPTERDCNSNCEVNYNNNCYIRIITPKSFADAENTCKKLNSHLTSVLSYLEFRLIAEMYRTPGQYWIGGLCASNDSPIEWLDQSQGEFSFGKTIIDGNCLQYGVDDRSLGTSYYGQNCQGMTPFICKRPASC >CRE28921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:348559:352195:-1 gene:WBGene00069858 transcript:CRE28921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28921 MYRLILYNVIYVAVFSLWLATGYCLKFTKRKEEEDANKTLNTSKSTNKSGGKPNPINYEPDAAALERERRRKIVENRVRMRKKREKQIAKTKEEPKEPAEATKKTKEEKLLAEEPTQASNSAESLEKSKSDEKSQEKSAEQLEKDGKVVEKTAIEEKQQQDLKKSEDAAKLTRSTSTSSEELGDVQLVDHDPYAAAKKHIIKKRAQELARRKQQEDAIRKRQERESLINTVSPDDTLKNISSIQYESQLSLIKRKKRLKNSKDGSSESVESGGTAVEPKSNELYIRDVPERVME >CRE28923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:361167:362859:-1 gene:WBGene00069859 transcript:CRE28923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28923 MLLKLPYIPQSKILQQLQLHEQFFVGLCSKRARNLIKYFSRFKYDSSVIHVPSFCLQLQTTGDQGKHVAMWASCYYRPENERFYKANNNNWNRTMRFWYKEKKIKCKLSFDPEIGIPIFWCKDQYKSILPMALHSAICDVFSISPIIQVLLNLPKLSEMPYTKEVDNLILGGGITDIDVYESLMQMFTIKNCAYISPDTNYLSVNSKTLSVNHLYCSYTRWFTGEHLINFQGRTAVFRRVPSKISSEDLINFLFDWQQGTNKKLEAIIISLNKDNRMKFSRTEVFEKLNAKSWNQERRAGRFKYPDATYKTTFPSDILDCSQEMDIERKSDGLLATVVVHETLFCFFVWHNRFPDTSTHQPITRCNPYTRTEEFAVGNVHF >CRE11421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:3384:5328:1 gene:WBGene00069860 transcript:CRE11421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11421 MTDFIINNPMSLRRCILYEFTKGKKPFETYKELMKRLGDDFMNYPEFEFWFMRFSQANFGLRDISQLDVSQPRHFAARRFAPRRFAARRFAAETFRSQTFRSRELHSLNLEPKKRKITDLPVDVFEKVGDYLDFKDRNRLRNVSKDIQFLVDNWNPKITEITYYRFQQWSIEQNSKSFKFWNCMRQEQLPTVARILKNPKLRLKKLTVYPDETWMKTREELRESSTKLHVSSFIVKNSHGTIDLSLLAPESLEEVSLHINDKSVKKMNEILQSKHCKQLKMLTISTDLSPSNFPFGSFIGYPRFTIRIHPNRSEVNITEFIKKLIKCTQLELFKLEFTTYDYTPTWESIKEYLSQKDTLVPDSPNIRHYPIQGSTDFYEINLGGSSISIERKS >CRE11422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:5534:7574:1 gene:WBGene00069861 transcript:CRE11422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11422 MADALISNPITLRGCILYEFIEGKEPFETFKKLMKKLGNEFMTYPEFEFWYMRFAQGNFDLDYDFSLEPKKRQITDLPVEIFEKVGDSLYFEDRAQLRNVSKDIQFRVDNWNPKVTKFTYHCYECWDIVLNSETYDFYSKDRRRPNPLSAVVRILKNPKLRLHELSFELLEYSVAQDSGWLKIEEELRKSSTKLHVNHLTLEESRPIDFRLFAPESLEEITFYIDEENVNKVKKINEIVNPEHCQQLKMLKIRTNSCLCAFPPNSFFGFPRFTIDFYGTYCLGKVVDCIKKLIKWTQWELCILEWSSEAGDPQDWEPIIENLLQIGTSVPDRPNVRRYPIRGSSNFYEIDIEEERISIERKS >CRE11447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:11898:13812:-1 gene:WBGene00069862 transcript:CRE11447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11447 MVDPPSTPPTDLRALIIYDIYQWKTVEKSYDNYSNLCDSLRIDNISFGDFEYWFNRYSKEYYYSVKNGRSLPLLDISACVFSDFINGKSAEYSFNQISEALGESIVKKEDFQKWRNSFSIEKRESNDEESQKAHTMAELADRDPLAIRARILKEFGKVQAFITTHPKYWRSVTLRAHKRLCEAMGYDYVDYPEFEFWVLRFLHGNFELEYNRSSDPKARSFTDLPLDVFNKIGEYLSLEDRFQLRDVCKDFRYQVDNWGLKVDEIYYKNADVWHLYQKSSAVCRFYVNNRSRLGFYRNPISFVMNMLKHPRYQLEKLAINKEDKYWKKLIKKLDESNRKLRVKKVNFESNGGSSKIDLHFMVPGVLEEIKMYLLNPTRKELNEIIESEQCQSAKMVYIESLIGTPTYPLDVLYNCPRFTLKLGGDSGTKANFLKKLMKYGKVQKCVLYISTYIGAPSQIMWYFNEPEAMVPNFPSLRRYPIPETNEFYELEYQEELDYFEYREEFIHLERKQ >CRE11449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:15790:16994:-1 gene:WBGene00069863 transcript:CRE11449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11449 MAEVSERDPVVIRRRILEEFEKVQAQIATNPDIWRILSFKAHKELCKVLGEDFVDYPEFEFWFARFARGDFELDYDRRSDPKARSFTDLPLEIFEKVIECLWIYERMRLRDVCKDIRYQFDNLNPKITEFHSLGCCPLRALKLPKLQLEKLTIWEEDKHWKELIEELDESNRKLHVKKVEFTRYYPSSKIDLHFMIPGVLEEIKLFLKNPNRKDITKIIESEQCQAAKMVYIESDTIFPKFPRNVFYNCPRFTLRLGIYRGGGGPADKLKAQFLKRLMKKAEVQKCVIYFTKNCDPRSQILKCFDEPEAMVPNFPSLRRYPIPGTNEFYEIEHQEKDGYQEEFVCLERKQ >CRE11450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:19615:20842:-1 gene:WBGene00069864 transcript:CRE11450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11450 MVVAIRGRILEEFGKVETQIAANPELWRELSFEAHKELCKVLGANFIDYPEFEFWFSRFLQGNFDLDYDRRSDPKTRSLIDLPQDVFKNVGENLELHDRFQLRNVCKDFRIQIDNWDLKVTKIYYNHAKDWRVTQTSRPEPYCAADFGTNENNISSSGFYRNPISFVMNILKHPKLRLEKLTIDLQSISCKKLIKRLDASNRKLHVKKVHFPYYCSSSNNDLHFMIPGVLEEIILSNLTGREFYYIIKSEQYQAAKMVHIESRTATSYFPLTSLYDCPRFTLKLGGRPADALKAMFLKKLMNKGNVQECAIYAEREIMKYFNEPEAMVPNFPTLRRYPIRKTNDFYEIEYQGEAVRLERKP >CRE11453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:23503:23998:-1 gene:WBGene00069865 transcript:CRE11453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11453 MVNVTERDPLDTRRRIFEEYRKVQAHITAHPESWRSMAFRAHQKMCTVMRDAFIDYPEFEFWFSRFARGNFELDYDRSSDPEVRRQVTKQNQIRSYDSSNEFLRLLLRDVCKDVRAHVDNWDLRVDEISYFGSLDCHADGGILLGGLRK >CRE11454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:25042:26307:-1 gene:WBGene00069866 transcript:CRE11454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11454 MAEVAERDQVVTRRRILEEFEKVQAQIAANPELRTKLSFEAHKNICETMGDDFIDYPEFEFWFSRFARGNFGLDYDRSSDPKTRSFTDLPLDVFNKIGENLKLHDRFQLRNVCKDVRFQVDNWDPKVTNIEYCKGHWAVFQTELSYFASSIEEYNIPYSFYRNPVYLVLNILRLPKLQLEKLEIEEPDEYWKKLIEELDESNRKLHVKKVEFPSLSSSKIDLHFMIPGVLEEIEMFVENPKREEIFEIIESEQCQKAKMLYIYSPTPTSRFPLEPLYNCPRFTLTLGGKPAGGLKAKFLKKLMKYGDVQKCAVYAQREIMKYFNEPEAMVPNFPSLRRYPIPGTNEFYELEYRVEGNRYLHQFVNLERTQ >CRE11455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:27502:28784:-1 gene:WBGene00069867 transcript:CRE11455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11455 MAEVTDKDPLALRGRILKEFEKVQAEIATKPELWRKWSFEAHERLREAMGVDFLDYPELEFWFSRFLQGNFELDYDRSSDPKARSIIDLPLDVFNKIGEYLQLKDRMHLRDVCKDFRYQVDNWDLKLDEIFYNGANEWRVTPTLGQRSFWVCNYGQNEENIFSYCPYRNPTSFVMGALKLPKLQVDKLTILDQDIYWNELIEELNKSNQKLHVKKVEFPFYSSKIDLHFMIPTVLEEITMVLWNPTREEMSKIIESEQCQSAKMVYIESGACTSRFPLDALYNCPIFTLRLREKPADGLKSKFLKVCFFLNPTKSVQFSSYFQALMKYGDVKKCVLYAEREILSYFNEPEVKVPNFPSLRRYPISGTNDFYELEHVVEVNRYRHQEEFVSLERKH >CRE11456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:29326:32615:-1 gene:WBGene00069868 transcript:CRE11456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11456 MDNVTERDPLATRIRVLEEFGKVITQIAINPELWRKLSFEAHKELCKVLGEDFIDYPEFEFWFSRFLQGNFNLDYDRSSDPKARSFLDLPWDTFEKVGEYLRLYDRLELRNVCKDFRAHVDKWDPKVAKICYYTVKVWSIDHKSRREGYFSQTMEIHDRFNNSNPMSFVMNVLKHPKLQLEELTIYREDHDWKKLIKRLDKSNQKLHVKKVVFSNFYRSSKIDLHFMIPGVLEDITILLLYPERNELSEIFESEQCQAAKMVYIDSPTVISKFPLDVLYNCPRFTLRVKGKHEDVYKPNFLKTLMKKDVVQKCVIYMLEPSDIPFSPSEILKYFNEPEAMVQDIPSLRRYPIPGTNEFYELEYREEVESRKGPDFRENLVRLERKHKPHEMAERDTLVTRRRILNEFEKVQIQIAAKPELWRKLSFEAHKELCKVVKWWNFINYPEFEFWFLRFARGNFELDYDRSSDPKIRPLSDLPQDVFEKIGETLELHDRFQLRNVCKDIRIQVDNWDPKVTKICYSSANDWRIWQTSRPAPYCADNFELNENNSLRPGFHRYPISFVMSVLKHPKLQLEKMTIDPQGIMWKKLVKRLDASNRKLHVKNVEFQNRQRRAKIDLNYMIPGVLEEIKMFLVDPTREDITEIVKSEQCQAAKLVYIESPIIFSQFPSDVLYNCPRFTLKLGGSPAYGLKAKFLKKLMKEGKVQKCVIYAHCQILKHFNEPEAMVPNFPSLRRYPIPGTNDFYELEYQEKSVRLERKQ >CRE11457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:33508:34897:-1 gene:WBGene00069869 transcript:CRE11457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11457 MWICDPFATRRRILKEYRKVQAHITIHPQCWRSETFRAHKRVCAAMGENYVNFPEFEFWFARFSRGDFNLDYDRRSDPKARSFTDLPLEIFNKIGENLHLDTKMQLRDVCKDIRFQVDNWDLKLAEISYSSADVWKVKRTPGYGAAYVGKFHQDENNRYSSGYYQNLISFVMNILKLPKLQVEKLTIHRQDEYWEKLIKRLDESNRKLPVKNVEFPDCYHSSKIDLHFLVPGVLEEIKMFPVDPKRRELYDFVESEQCQSAKMVHIESWTAASRFPLDILYNCPRFTLYLYGPADGLKSRFLKNLMKYGEVQKCVLYLSKYRAGQSQIMKYFNEPEAMVPDFPLLRRYPIPGTNEYYEIEYREEFEIPEGIEIPEGIEIPEEYKVSFREEFVRFERKP >CRE11459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:41382:42692:-1 gene:WBGene00069870 transcript:CRE11459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11459 MAEVTGRNPLAIRRRILEEFEKVQAQVATNPELWRILSFDAHKKLCKVLGADFIDYPEFEFWFSRFARGDFDLNYDKCFDPKTRSLTDLPLEIFKKIGENLEIVDRFQLRDVCKDIRFHVDNWDPKVTKIFYCKGNNWRVCQTSRPELYWMGNFERNRNNIFHPGFNRDPISFVMNILKLPKLHLEELTIYEDDNWKKLIEELDESNRKLHVKKVIFPNCYHSSKIDLHFMIPGVLEEIILRNQTGREIFEIIDSEQCQAAKMMHIDSTIATSSFPLQALYNCPRFTLRLGGKRADGLKAKFLKNLMKYGEVQKCILYISKNRPAQSQILKYFNEPEAMVPNFPSLRRYPIPETNEFYELEYGVEVDHYRRREEFVRLEKKQ >CRE11460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:44332:45631:-1 gene:WBGene00069871 transcript:CRE11460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11460 MTEIIKNDPEMLRSCILYEFIEGIPIFETFKKLCRKFGEDFMDYPEFEFWYMRFAQGKYDLDYDRSLDPKTRLFTDLPVEIFEKVGEYLKLEDRFQLRDVCKDIRFQVDNWVLNMREFRYRSANKWDIYLKSQHFGQNENNLLRRNARIPISSVMSVLKLPKLRLEKLTISDQYDCWKKLIKRLDESNRKLYVMKVEFPYSHSSEIDLHYMIPGVLEEINLFIENPTREDFCEIIASEQCQAAKMVYIESPTITSEFPLDALYNCPRFTLRLEGAADDLKANFLKKLMEYGEVQKCVLYMLGPSDSRDIPCQIMKHFNEPEAMVPNRPSLRRYQIPGTNEFYELDYQENVDYREEVVRLERKQ >CRE11423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:50689:55567:1 gene:WBGene00069872 transcript:CRE11423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11423 MEKWNTPGGLFHFIYSDFNFVFTAIDETIEEVRSKVRRITGSGKKVKSLEQIAIEFENKDGTQFMTEEEISEMKEHILFEYEPRFVYRNESRVSLPETRLRFKNKTNTPEIMQRTFDQFIRYCLGKAGGNLEKTRMSFGFFHEGFHKTQGFWINERTYQTFNGQVLFDELERITQSKEGVDIDDTFIIHMHVFNTFEGGARRKNTLFDEQLKIPAHVVGSGKCLPKSVAISMAFLASKENETKRLEWERMIRVMYRSLNEKLQLKAANVILEKAGLPTDQLVFNIDDLEKIAAVYPEYKFEVYSRPAYEKVYQIIKELNLDGEKIVTIAFKKEDEVGHYDFIKPSLVYMKTSFCHKCKKKTSSTGHSQVCEAKCGKCGFYECDRTQIETIYCDKCNTNFSNQDCYNGHLECAYNSKKSMCDKRYTCRECFFRVCKDKMSQDEVHECEKRSRCMQCMEMYDKTRYHNCCFQPPRKRFRESKMKSQKTYKILCYDVESIVVNSSNGPGNVMIKSIKKTKFLDHSQPQPNHKVNLICFKMICNKCVEERLECDCESGNFHYFEHVDPLEDFTEFLLYTKKLDGAYVIAHNGGRYDHNFVLSTMIKNFGIIPDYVSNGTSLIMADITKTMWRTQEHNNLKFRDSLRFIPMALSKMPKTFGITELKKGYYPYYFNHKDNYGKILDRLPAKHFYDPEHMKPEPLSEFEKWYEEHQNDVFDADQELLAYCQSDVEILAAGVAEYIKICKNLFNNWNPIMSACTIASYVHHILKFDHFGRGDLGIIPENGFPERNNSVFALKTLMWIEKETGIRIHHKLRGPEKMIRMSNGDCYFVDGFDETTDTVYEIHGCFYHGCPKCTNPTLEHPNHPGIENKAIYDGTIKREERLKEANYNVISWWEHEINDMLKQNSEMRDFFNKCRHATHLRPREGMYGGRTQPYQMIVECEDDEELCYDDFNSLYPSVNIMFKYPRGQPIVIKTHFPPIVVGQPVNKRGLYLCSILAPADIKTTVLPYKIPGFLTFPSCRTCIEKNQKTTCTHNKVSDRYLTGIWTHVELNAAIERGYRLLQIHEIWWWPDSKWETADYFVNYLKPMIQLKHESSGWPKDDMTDNEKDAYISEIAQRDGVTLVKGNVRKADNMREMSKLFLNTCWGKFAENPVRTESKIFETLDHVSQSEYMSTQGFEVKGIEDWDCGRTLITRSSKTESVKTKPFTNVAIDTDSVIYKKKIGEPSPVEQMIGDGLGKLKSEIPAGYRMKKIVCMASKVYSYRLVHTETKAEKIVTKFKGVVLNSSTSRIINMETMESSVRQFLDGQTNIILAPERTMRRAQVLGNVTTTPFVKQLKPVMDKVRVLPDGKTLPSGYYLNCPLIEDYPYC >CRE11463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:57735:58305:-1 gene:WBGene00069873 transcript:CRE11463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11463 MLSRFEYFLDQNFPNWKSLSDDVLNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIVSYIQREWAVQSNHPSGPYVYIKKDEGSLYPIDLIHHIETETDEEIRERQQLEKKERWDALISVSKQITVLGRKHGYVKDLNFKNDIRRKVEKLARRMIGITLNS >CRE11424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:61022:62550:1 gene:WBGene00069874 transcript:CRE11424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11424 MSQPIFQIGESFVCIFKTNVPYEAKVIGIKEVKGKQCYVIHYTGWASRHDEKVPLGAEEGKMFKGSLEEYARTHNVEIPTVALNSAKKKRSVVEQGNQSEESDESSDMESPTPGIRFDMASPLKKIIIDDSKYLKSDVLTHVPAAFSIDEIVSDYLETIPVTDQELQEVNQVNFTVTEDEPTPNSVLAISAQSLVQFFDVVLGFHLLYPNERKQYNDLIHKVAIDEGLVLLNPNNLPAPAGFKSSEHYGLIHFLRMFTKLPKLLEESGLNQNVINRLTIGIESLLDFLERNFEKYYNNGVDYDSTAVEEARSSTSAPRATRRVSRR >CRE11466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:71877:73184:-1 gene:WBGene00069875 transcript:CRE11466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11466 MESKASKNQSKGTKPPSTESVVVLQQVPIKPLTKPLTYPSMVSVVEFISFGRRKQLYAKCPTIRKLEERLPYHLERMEIQTIGPGYIILRFENVSIGYFGSNNESDGKIAMWCSGKRVKRSTGLSLYEATEKFALYNLSRPGTTIKTLETKFTPKCILNCIPLTIENYVVDCNEWDEWIIRTTRPVKTLQTDFIIRHDTIKYAKHVTVTRPAHLPIRIHTDILSEWNCESITIERKLTHMEVLDYCRRVSKRTDRLIGSVFKSKLGGYSTELFEMLSRELNARKITLKIFGGGLLQFATIHINESTELVIS >CRE11429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:85434:86891:1 gene:WBGene00069876 transcript:CRE11429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11429 MADPSSPVDIRAHIRYDKYHGISAENSYSNYKKLCITFGKEAISYEEYESLFDQYFEEINYNARYERFKVNLISDIRGCILSDVINGKSVEISYKDLCETFGSDNIERQCSTEREYFIDVMCRESKRHKIDKEDHGYWFKRFENGHLFSRVTFSDFPEDVISEIVERCDMKSYFNLRNVSHGLRSVLDHLTPPCSDIKVDCGEDFIHVFLNDTLMANSHRFKTSSSYLPIEDTATLVPGTLALLLRNPKLRVKTFTFFTYSRLSEASYTKIVINLLNSLNRKIHVGSCFIEGGSVNDSIGILQCFKPGTLEKIDIFKDFPFFIASQIVEMDQWKQAKHLRLYGDGLPPMEHFLHFSTIEYIGSIPLTDFAKLCDSISKSSSFEQIKMQFEKGLDTEAIKRGLNLQPTSSPQIYSIPNSN >CRE11430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:87160:88593:1 gene:WBGene00069877 transcript:CRE11430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11430 MTNIPSNSPIDIRALVLYDIHQWKTTKNSYKNYEKMCEVMRNETISYEEYESFFNKFLEESYYSTRDKSSTDIPIPDIRGCILSNVINGKSAEKSIEDLCNAFKHHKIDKEDHDYWFKRFENGHLFNRVMFSDFPEDVFAEIVGKCDIKSYFNLRNVSFGLRTIIDQLAPPCTAIEVTCGHTGIKFLVNRSVLADSHFLEKANRNQPMEAFEKRIPESLTLLLRNRKLRLKYFTFYSFYSDQETHSYIKTVINLLNSSSRKIYVENCSIGVGSTEDLIGILQCLKPGTLEKIYLTGHFARIDINKIVEMVQWKQAKHLESEDLVLPSIEHLLHFSTVEASVVSSSLEDVIQLCEALSKSPSKAINFESFTLETESETQMDTAVKSVLNLQPTASPQIYSIPNTNLVIQFECPYRWNWNLQVLKIYKN >CRE11432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:91369:92742:1 gene:WBGene00069878 transcript:CRE11432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11432 MTDIDPSSLANLRALMLYDISQRKTMRESIENHRVLCKHLGKKAISNDEYELCFNRCLNENYHSVIEKRDLPIPDIPVCILSNVVNGKSAEKAINDLCEAIENYKIDKDDHDYWFKRFKDGQSFTRVTFSDFPEDVVAEIVEKCDIQSYLNLRNVSHGLQTVIDHLAPPCTDIGVYCEYGIKAYVDDTRIANSEHFDILELLLRIPKLRLKRFWFITSSSLRSKYPTTEIEIPPRYCKKHFLDLLNSLNHKIHAKKCMMKVNSKNDVIEVLKCLKPGTLENLDIDTGFVNEINEAVNMNQWKLAKHLKITTSELPSIENFFHFSTFELGIESITMEDMVKLCEVILIISYGYFIVFLQNASKTNRFQYCEVQIQEKFELETIKRALNLQPTSSSKIYTIPNTNLFIQFISANIFESKQKFGDSVD >CRE11433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:92958:94313:1 gene:WBGene00069879 transcript:CRE11433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11433 MTGLPSNSPFDFSKRFRMMTISIGSKSIPRRLEGEKYHRNKIFPIFTYSRDLPDIRGCILSDVINGKSAEKSMDDLCDAFNNHYIDKEDHAYWFKRFENGHLFTRVTFSDFPEDIVAEIVGKCDIKSYLELRKVSHGLRTFIDQLKPPYRNIKIHIWPYQINLSFNDVLLEYSHSQEPEVAFEELKFALRNPKLQLKTLRLVWYRSPFRDEIVRRYTKMFDNLLNSLNHKIHVEHCSISVEEEEGVISVLKCLKPGTLEMVTLTGGLSHENNQISTLEQWKQAKHAKIGIVLRVPIKHLSHFTTFEVDTASLFTVDLEEIVDALSKSTNFESCHINTAVALDTKRIERELKLQSTSLPREYSIPNSDLFIQFFLNRQSFQIHKKSHLDI >CRE11435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:96429:98869:1 gene:WBGene00069880 transcript:CRE11435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11435 MCKTPVMRIYESTFAQSDKTDAILIVEGKKLHVSKAVLSFHSDYFDALFNADFMEKSMPEISIGDVDFEDFAAVLSLILKNPISPTEENAEKLLELSDRFLLPAAKRHVEFFLISTGFDAFKKLEIASKYDSDTLLSHALKLFKTKEELVPKEEFSEFPEKVKAKILDRLIELNKLGLTGPSEFGSSSFVGFRSVIGDRLNLQMSSISTRPLLKFPIDIRAFMLYDAYQRYSTKKSYKNYEKLCEVLGEEAISFEEYESLFNQYLEEDERDHPIPDIRGCILSDVTNGKAAETSFDDLCDAFKYYKIDKEDHDYWYNRFDSGLLFSLVTFSDFPEDVIAEIVGKCDIKSYLNLRSVSRALQTVIDHLAPPCSVIQVNYGETGLQIYVDGALVDSHYFEPPNSNHPTEAIEKRILPGMLSLLLRNPKLRLKWFGVHMYFGLPENLLRARNRIETTPRQYGGLLFNLLESLKLKIHVKNCSIRVVHEKELFEILQCFKPGTLESISLSKDFPCDIDNQIVEMDQWKEAKHLVLDLFDLPSINHLLHFSTIESRTIKAKFPLEEVVKICKSIPIWTNFEHIKIGTCDALDFETIKKELNLQPTASPRIFSIPNTNSVIQFDPAWYSYSLEITKK >CRE11437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:100884:102018:1 gene:WBGene00069881 transcript:CRE11437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11437 MCRMQRTLYYLKMIVYPPNSPTNLRRLIVYDISQWKTIDKSFKIYEKLCIVLGNEAISYDIYEYWFNRYLKENYYSPYESSAPFVQDLEVCILADFIDGRSIENSYRDLCEAVGIHKIDKEYHASCYGTYDSEEHRHALIMAERSKYSNEGLPDTYNDHGLKFSDFPEDVIAEIVDRCDLKSYLNLRNVSHSLRAFVDKRPPPCTDIEIICNSDYIQINSNNDILVHSGLVELNHSRTCSMDFIEKRVFRELEFVLKNPKLRLKSFRFDFQNHSMFFDMNPSPRKYKRNCCKLLNSLNHKILVERCVIMTEHSHSK >CRE11467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:102750:104492:-1 gene:WBGene00069882 transcript:CRE11467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11467 MENDNLINELKEEKRKSISSSPSGGKILKKYAEIGKLTKNVRCQRVVRFMEELVGKEDLDLFVTDLVSFFDRNHEFQFRLKLTPEETFHVVLQFKLSASVMQGLKHFLSSKLSFDVFASRLSVDSIRKRYDPRSDYSIVATMLEKTVCGRLFRVPKTVVACLNVESVLRRRLESLDAFSNLVFDSGTKEDIVIAVTGDKGGEETKLCIIIENCSKPNSSHSLLLLGWYTGTDNHDSLKENFGIIFDSLNKLTSIEYCENGKIVKRKVRIKMVADCKFISSIYQHPGQSCSDPCFTCNIKICKSGKNRDTIGSFDFATSGEIRTLEQMRTHGWNPLLNIEPYDVEPPPLHIFMGLVKAYVVDPLFALCNKVDFKFGDLPESSKEQREYLKMLNAELDDYTRIHIGLQDTENLMLDVISVYEKMENNITPTTPFVFGCSSPHCCFNYLHSKHQNQDVFQCSECSQTFHTSCGNLFSMEECMNSTSAFTSCFDCKLNVTPTITERKDHVEDRLLYVRKRIESNSNLVITVMKEKEKLEEELFVGKGPTRQKLETVLVSIKCDPRVYYQQMTGNQVAKYVRRHV >CRE11439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:106476:107980:1 gene:WBGene00069883 transcript:CRE11439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11439 MFDFPLNSATNLRRLIVYDISLWKTIDKSYKNYKKLCEVMKKEAISYDAYEYWFNRYLKESYYSAKNGRALRVTDIRICIYSDIINEKSLESSYRDLCDAFEYDKIDKNEQSDWHPIYGYERCPHVQLMAERSNYFNATRSDTCNDVGLTFSDLPEDVISEIVDRCDLKSYLNLRIVSHSLRTIIDKRPPPCTDIEIIVRYDSIQIKANNEVLVDSRPIELIHSRHCSLNLIGKCVVQKLEFLLKNPKLRVKSFRIDSFTRVETTNYETNFLNLLDQFSHKIHVERCSIKMKQKKHIWRVLQCFKPGSLQKLVIGGSLSINEINRIAQMNQWKQAKHVKLICFAELLIEHFFHFSTFEVNCESISTNDLVRLCDVNSTLFKSCYTFFFFQNLSKSINFESCIIKSMRSLNIETIKNALNLQPSTSPNKYYVPNSNLVIQFPIGYVAYQISIRKL >CRE11440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:109396:113562:1 gene:WBGene00069884 transcript:CRE11440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11440 MADTTTGDQIKQLTEQINALVGVVSTLAKNQAQFQNSGNTETSTTTTNDTKIFDSICARIPMFVYDAEEEKTFDNWYTRYEEVIIKDGASLAEDLKTRIVLSKLGQKDYALYTNRCLPKLPNEISYTDTIKNLKALFKSTTSIFRKRQEFLRTEFGGGSLEEYTGTVLRRFATSEFKKMTDEQTCAMVWIAGMRDPSYQDIRARALQVLEQKPNLTLLELESDVKRLLDIRADARAVGGSVAATSDVNAVQKFQKSKKNTPEKKDSKQPPSACYRCGGNHWVRDCEHKKVTCSYCKKPGHLEKCCRNKNRENKSPKVKTVYIGAASIDGAERIYRQVQINGHCVKMLLDTGADITLLSQNDWTALGRPKLEKPTIKVKSATHEPVKIFGSLHCKYMMNGRQESGVAFVSNTDTLLGRDWISKDKELWKFLQNSEKINRVSVTEPACNYLGGTRERLIEAIGTKYKEIMKPGLGKCTKTKATLTLKPNARPIFRKARPVTYSARPMVSAEIERLNQTGVISPVDHSEWAAPVVAVKKKNGSIRLCADFSTGLNDAIESNNHPLPTSDDIFAKLNGGNFFTQIDLAEAYLQVEMDPDSQKLLVINTHLGLFTYNRLPFGVKSAPGIFQQIMDTMLNGLEGVSTYLDDIIICGSTIEEHNERVFKVFGRIQEYGFRIKMEKCSFLMEEIKFLGFIINKQGRRPDPEKVLHIKNMPEPTNVSQVKSFLGLIQFYGQFVKQLFRLRQPLDNLTAKDTDFKWTLECQKSFDTIKEILQSDLLLTHYNPNLPIIVAADASQYGIGATISHRFPDGTEKTIYHISKTLSKTQRNYSQIEKEGFGLITAVTKFHKFIHGRKFTLRTDHKPLLTIFGGKKGVPVYTANRLQRWATILLNYDFDIEYINTKDFGQVDALSRLIDEQNAEKAPEDFVIAQVELDPVDQLSQNLSFLPITAKTISFQTGKDTLLTDVLNSLKSGKWPKSEKGTEMWNMCNRKDEFSIVNDCIVLGERVVIPTVLRQKVLKTLHRAHPGIVRMKMLARSYVYWPGIDKDIEKVVKSCDECASAAKNPVKNLLYSWPIPKKPFERVHVDFAGPVDGMYYLVFVDSFSKWPEVYATTSTTTAATIKILAKVFGQFGNPETLVSDNGPQFTSQTFQEFTAANGITHVRSPPYHPQSNGQAERFVDTLKRALCKLRGEGNTETALQTFLQVYRSTPCPSVPNNQSPAEAFIGRKMRTVLNLLLPHKPTPSLERNLAMETQFNLHHGARDRIFEINDQVYVIDRRSPNSSQWVSGVIERKLGQTVYKVRVGSQRWTRHANQLRQRTSPPSHYDWSDFLEIEDPAEEMPKSDRADDTTIPVPSPRTSIPPTTPVPLRRSTRTIKPVQPFQIQPKQKRY >CRE11468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:114213:116169:-1 gene:WBGene00069885 transcript:CRE11468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11468 MIGRNSFGIPDRMGRLQKLDKHTFGDNFSVPVHKSIVITNFLQYPSPRFIAGDIHRIADLECVIAVDKSDDSSVEILIHLNESNEIKRLRARYFLGMFNGTGKKLISWEGEKEANTDEFLFLKPWTVPQPDKSFTFKFGLHVSAIMRTDNIWKFNFYDAIFNAENDSKMIVFKEKDNQKVRLYTHQKLMMFHSSRLPISCQNVIVPASVSMNMLEKCLQIAHGVQVHCSFEDFKKIPPIAKLLGLKNVINYCERRRIEYLNQVKITDKVFNSTFMWDRRHFLVGNVLMS >CRE11443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:123888:135966:1 gene:WBGene00069886 transcript:CRE11443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11443 MSWTDLSVKLKREVAGRLDFMSRRSMSQTTRLNREIVDSTRISVPRVKIVVDDSDYVITMYTGIEKFLKIEIKKGYDQKTVVSRSENNSETVQSKPSEKSTMDVFVEILASFFKTETVDIGVLEWDFKQHAVLGSENDMKMKKRQGIFVNVLSTKIEKSTGGSTVFHTEKLVISDKCASDFTKGLLNLCDKEYLDSMEVLTLTSIEKASKTGAWCDVYTENAESFYSQGVFYEEVPCVDKFLMTTSHRTTNWSTLRFARLNEKSMKIWSRAGSVLSRTPDKKEFKIVREKAEKKILLHRKTECGYSITVYTDEKFDKLGGMKWFETEFMNQKCDLGWFCKKCCDPFDYWYHQNLPTLNSKVLEINSDDTFVKSWGFKKSEGVKDSGAPTATTTTQRPNERAQLIAQYEQLYLEVSQLAENFTKKMIEEIKKLRDSPQTKEIKTGLAKCRELKKGVNEMVETVKSMLLKFKNSEEFGVDVIRVRIGQILEAKSRLEASMKLFEGVGAKNKLPLQLIEREILIAQCEQLFPEVSHLSVVVLAKMSEVSKKLEGVPLSAAVKTAMKQLKESTTTFRDIPKLTTSLLNQFRNNSNLVSAKVIRERLESTQKLRIRLEKAMESSEALISQHERAQLIAQCECLFLEAAKAATNFTTKLSKEIPTMERLTHLNGVKEKLDFFKFLENLGTELPEKMRSWIFQFKYTNMFEVDGIREKLSWVQRVKRDFENGMQLFEPRNPPQDSKTERDELIAKSEQLFSEITQISIAISQKMSKTIQLIELFWMALDNKTKTVLKDNAVAMREKFDSLPPVLESLLKEFKSSVKHSLGEMRVIYQMALEMKVEAKGHLKQLGDEDPIIDVKLVPEVSESDDVVKEIAERLKEAVAHKILNPLATSETLISPEDKKESQKKIPDTLGASDDMSSAGKSDVAHKILHTPVVSEDPDDVIKTPDNEKDSEAMNPATEKAKLVAQCEQLFPVVAQTLIKHTEIMKEYLKELNEAPQTDEVKKEIKDCKEVLQLIDCLPANLLKALKKMRGNDEVEVEKIREMMVQLQNIRRRMEAEVKRFEGMGIDVGEQANGKETTDVVVASEDRDDVTKAPNGKKDLEDALNAPDASKTDVFRKIGKKIVATEQKSDVVIASEDPDNVTKAPNGKKDLEDTLDALDVSKSSITVGVSEDVVKTPDDKKDSKVLYPATEKAELVAQCSQLFPEVARSLLKLTGILTVCHKKLNDAPQTDAVKTVAKDCKDVLASIDGVPRSLLNGLNRIKRDDTVEVEQIQEMMVTVQNIRQKTEVELKRFEGLGIYEDVLEETTNSNPEEKSVLIAQCEQLFSETGQVASKLLRKMTKLVKQIEDLPQKTDVCKKMLETCQIISNYCEPIPELTMNELMYLQEYSDYVGVEEIRDTMGKVENMKRMLENKLKQCENDSEDSEDVTKTPDNKKKTTVILNYEKSLLIAECEQLFPEVARMASTLVNKLSKLIKLSEGLELKTEVQKNAVETCLEVLNECEPVPESMMNLLTYLLENTNKIGVEYIREALKNAQEKKIQLEEWLSSFENHKLSNCFDTGDVVDTVVSLAKGRSQSQFELWDSLQKERKELSAEFVQLAEDVIQIAMNFSTKLSETAEICRTRVAKPAEFKEFRESCEKVAEQYKNHSKKFADALKECKKNDLVKKDVGEIRQQILKLQAYKAELENDVETIAVELWKKDSKNAPDVKKDSQNANDAPDVKTMAITVTCETQQSVRICVFFQNGVCEDVIKTPDDKKDYEAMNPAAGRAELVAHCEKLIPEVAQSVIKFLGFLTKYIERLEDAPHTDDVKADLKDCKYVLKPIEGLPAKLLNALRRIKRDDTFDVEKIRETMVMVHTIRRQMETAMKRFEGMDLDVAEQANGKETTHGVSEDVIKTRDDKKDSEDVGKALEDNKDLEIVSEGASKNEEPDVFQTIGKKMFGSIPEANALIDPRSRKARSINPDDYDYVGSMYPDDFTHYLEHRRKFENRDFDFDCSWARLQFLAVFFFVLFSILFVVLWIETFVY >CRE11470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:137015:138059:-1 gene:WBGene00069887 transcript:CRE11470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11470 MTTLFPLLRLPRLALISVLQQMEPIDLIAFSLLSNRARLLSKTSCLTATSINIVAKNHTLDIDIVLRDGKTLFLLLCAENHTDFMGVLVDNKTAVWRTLGLSTAECIHRILDVANCESIQEVQFSVTESFDALPILATLPNIEQIYISRDCNEVFVHKMLEMLSKVTSNIDMYQDGFENLEQFQKVLMLNMNSITINVMTARDPTRFRLSLDDLLICNAVHLHLHDTMVDVKTLNRFFKLWKINKSSPRLEHLKFMTLEEVSTDVLLKGLNAIKMPQTTTRTFRISDYTNARCKEKVVTGGLDVTRSDGTRATLKVEALAGTTTVEFYVWM >CRE11472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:140114:141139:-1 gene:WBGene00069888 transcript:CRE11472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11472 MEPIEIIAVSLLSKRASNLSKSLRKISPRYIYLKVKSDHLDISLRFEMWYNVELSLYFYKENALDLADAMLRNRAFTHENTGLSASQWLERVLDVTNCESLTKVSVDGTPRFDVCDAFATLTKLPSLLIKESCGERLAKKTLEILSPVTAKVELLKIPYENREEFQTFLKTNLNYLFINTHDFSSFTLDDLLVTNALKVELLRVTLRLRDLNQFLTNWFHSKHNSRLEHLKFRIFKSFDETCLPEILNAFPTDQERTFRYSKQLDTHLKTFSGGYDIERADGKKSTITFESKFGMMFTDFYIWP >CRE11474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:143466:144496:-1 gene:WBGene00069889 transcript:CRE11474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11474 MSTPFPLLRLPMLALIPVFKHMQPVEVIAFSLLSKRANNLSKILRKLSPRYIDLKGNSDHLDISFCFATWYNVELSLYFYKENAPDLADARLRNRAFTHENIGLSASQWLERVLDVTNYEKLTKLSVDGTPQFDVCDALATLTKLPSLLIMDNCDDSFAKKALEILSPVTAKVELFKIPYKNREEFQTFLKTNLNYLFIKTHQFSRFNLDDLLVTNALKVELRGVRMTARDLNRFLTSWFQSKCDSRLEHLTLRIEVFNEWSLPEILNAVPFPRDQKRRFFYSKPLDTPTKSFRGGHDIERADGRKATITFEIKFNMMYTNIYFLP >CRE11473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:141958:143008:-1 gene:WBGene00069890 transcript:CRE11473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11473 MTTPFPLLRLPRLALIPVFQQMESIDVIAFSLLSNRARFMSKTHGLTVTSIDIMTVNHSLVLDIVLGDDKKLQLFLRLIPENVLDFIGVLVNNKIVKWRNLGFSRAECIQRIMDVTNCASIQELKFCETESFHALPILATLPNIEQILITQDCNEGFVHKMFEILSKVTSNIDVFQNRMENLEQFQKVLMLNMNSITIKLMTPRDPTRLRLSLDDLLICNAVHLDLFGVMISVKDLNRFFMSWMRNKSNPRLEHLKFITVEEVSTDVLLKGLNVIEMPRTTTRTFRVYENSRCREKVVTGGMDVMRLDGTQATLEVRAMTRITFVEFYVRM >CRE11476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:147011:148034:-1 gene:WBGene00069891 transcript:CRE11476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11476 MTTPFPLLHLPRLALILVFQHMGHRYSMHEILAFCHVSKRARSVAKCLKFIPPKYFVLNQHASSMEIILYFMRHPTVRLCYRKEKDSVTLQSEATATAWTNIGFTVVEWTKRMFDVSNCEKFETVMLHKPPEFDDFFSIFSEHCRRIGKLILYPVSTDSFTKQVVETLLPITSNISFYISKDSFKDPKELRKILSQEIDSMEIHVRGSPFSLNLSDLITSNAVKFFLRGLTLTQKELNEFFKMWKRNECNPRLEYLTVLLYEDVFEDAILSGLDAVKVLNGSSSRSSNIGYSDKIAGFDIKRIDGKIGTIKFGLNFVNFYVRS >CRE11444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:148772:149558:1 gene:WBGene00069892 transcript:CRE11444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11444 MTPLPLFRLPRLAQIPVFQCMKDNEILAFCHVSKRTRSVVKCLKLISPKYFVLKQHASSMESILNFIKRPTVRFCYRKENNSVTLQCGKTTWTKIGFSVAEWTKRMFDVSNCDKFETVMLHKPPELDNFFSIFSDHARIENLILLSGFINSSVNQVVETLLPITSNIEFFFSKDSFKASEDLRKMLIQEIDSMEIHIRGHPSYFNLSDLITSNAVKLVLRGVTLTPKELNEFFKMWKRNECNP >CRE11445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:150157:151204:1 gene:WBGene00069893 transcript:CRE11445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11445 MTTPFPLLRLSKLALIPVLQQMEPIDIIALSLLSNRARLLTKTAGLSVTSISILAKNRILNIDIVQRDGKKILISLMSQNHTEFVVVFVDYYTPVWRILGFSTAELIHRILDVTNCESIQEVQFCEAVSFDALPILATLPHIEQIYISRDCNEVFVHKMFEMLSKVISNISMWQDRFANLEQFQKVLMLNMNSITINVMNIRDPTRFRLSLDDLLISNAVHLHLFEVMISVKNLNRFFKLWIRNKSNPRLEHFILITSEEVSPDVLLNGLNAIEMPQTTTRTFRASDYPNSRCQEKVVTGGFDVMRSDGTRATLEIKAMPGISVVEFYVWM >CRE28954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:168403:170309:1 gene:WBGene00069894 transcript:CRE28954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28954 MPFFPEPLTGLQKACLKQLSITLHADTICLWLNFFCLTSDPLKIQYQQKESNTTVLCKKKNFQAFMEDTDFVTVLQEDLTIILEESEPELQELHIGFQESEEQIDRVFASIEDLLKPRKDKLKVKNINLEIRNSEQLTSVLQYLDSENLKTVDLKLKGIVDLRNLLELDAWKEKNGLEMNVALDTFLVMDLEALKENLIQQPTFDTVTIYYDRIHQDAFESLHHNHQPLGISHYPHSHKISFSRVHLISPNVIQQAIPYPSTSNSVSGVIGNYVIIRNILKYVGGVDM >CRE07846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:108731:109042:1 gene:WBGene00069895 transcript:CRE07846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07846 MIRLLVLAILFIFLKSSLSTQEDNFVLVKLDGNATLPLPASANYRRIVQNANYEDEEHLYRVCNGKNKKTCGFWENVKVGQKKML >CRE07853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:103438:107837:-1 gene:WBGene00069896 transcript:CRE07853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07853 MDKPLSYESLKTVLQYLEANLRFHLSNRCVSLKCTEKIVPLRIDSLKLDQRLITVNKTTYLFGIYRDYHIKSDIPRCIQRKNNTGGLGNDVDQYGLPDYSIDHVVTSGDLVIKENGWQENIDRLRNRSMQQLEENVENMKRKREEWMLESYLADLQPHYYKRDNVLPPYDPFIQLTIKHEDETKTIHRTKYTMKLHEAIKKFNTLLFGGRRSTIHVKRVKVSNHHLIIRLPIDVTFRILELKFTGTVEIAYGELKKVVVNIDEPLEELFVDTIADLPQNFEHSLIANSKSLIIRKYAQRLIGDYLKLKNRRVHMRFEDCLFYERDHILLIRNWLETNRDVGYCYTFAFDKKETVVRRLELIKTEFDGDMNDQGSVTISMRNPSNQLCVSCVPTTYHNTGYNEPQWFLKIEVLHVD >CRE07852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:100317:103258:-1 gene:WBGene00069897 transcript:CRE07852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-60 description:CRE-COL-60 protein [Source:UniProtKB/TrEMBL;Acc:E3NGZ2] MKKKVCLIQFPSTLKELLKPLSIIPNFQFPLFFTMTGNTTTILYGCTIFSSVAILASLLFTTFMYNDLYDFHSEVEVELYGFKDIYNDAWFLMTASSSNKFSSSPDVNRIFKRQSDSCNCGQKAANCPRGPPGPPGHPGDDGTDGQAGPDGRDGHTPSDEYGSSSTDTVSCPAGPPGEPGPDGRPGEPGRDGNPGMDGQAGNEGPTGPRGPVGNKGRDGTPGRDGNPGRPGRDGQRGVGQPGNPGPIGSRGPEGPRGAPGNNGRPGDHGDSGPAGQPGMDGEQGPDGVVGIPGEPGLPGGDAAYCQCPDRTPTATETPAGGYKVVRRRLMVKHAN >CRE07851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:84071:87104:-1 gene:WBGene00069898 transcript:CRE07851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-18 description:CRE-GLY-18 protein [Source:UniProtKB/TrEMBL;Acc:E3NGZ1] MVRIFKAMNGTANVEVKSYQSGRLRGKNHTESPLPLFKSSLSALIPREASNELASSLMPRELLEFLEDTGIADEGFWGTLLGNRKKFSIPGSLDFQEWIDYRENQSSSLKWPTDGWRYYISRDQVWTKSACRNYMTSGSCVFGIGDVPRLLTSKALVAHKFYLKSEPEAYFCLKVRRRSSNPDPKFSASMYSELPQVELSRGFNMSQLTHQNWIL >CRE07845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:81463:81940:1 gene:WBGene00069899 transcript:CRE07845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07845 MLLTGSVLRGLIATIYLITLSTSATLTLIYYSEPVIFAFVITAVCLLVLSYPILCLFTVSRQYDLEIRGLCCGGFQWIPNSQFLAGNLKIKVLEEKPTKLDEAFIYAYM >CRE07844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:72420:76866:1 gene:WBGene00069900 transcript:CRE07844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07844 MISQLKIFKIFIIITLSQSLSSKAPPLPQPCEDNGTTELYALDGFTKDALILKEDTSFQVFGADANLIRYAWLADDAACQILAYKLKRRKSNSKRIRGWKKVVTYVMDNKDGKMQSADKRTDFFFCTQPRGVVFGQMVTIPEGKDYQMVYFMIPLLALCLILSATFSGLNLAIMSFSINDLKLIQGSDSNLHNQKRAGDVLRLRRQSNLVLVTIIFGNCFCNVSITLLTNYFGEFYGFSGFGYVELTATCLLLIFTEILPSLICTKNALTIASGMQYFVIFAMVVTLPVSYPLSKLLDHILGKENADLTSPIQIDSVHLDALLDDKFTDDRGMMEVIKNALNLPKKRADEVMTAIKKVKMISEDQPVASTFLNHQYDKGFSRLPVHAKDDCNRILGVLHVTDVMLLMDDGARGIDTDLTAGTLLGVLERRKKHCYVLNSTPVERFMSELQQGCPMAIVVKFLGDEVEKKQEAKEDSVDQNLKTAIDDGLETAVEMEEESTQSLSSSTSSSEEEAELFDKELKKPIDEIKMVNGEQIPTDLKERPGENYRVMGIVTLEDYMEQIIGDILDEKDTRRRDPRKLML >CRE07843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:70331:72208:1 gene:WBGene00069901 transcript:CRE07843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07843 MKTTNLLIFLSFLATNVSGCSPDVKFEKDHHRRKELEDKFIHNLADLKNHEEVLLKAVEMMKLANEKKENGEDEMDYKKRIAEIEKELKATKDDHTRLLEKHEDYLRKFDGLRTWSETISKYTGDAFGRLLFNGLWGAIVLLLPLLIRPFQRRWLAALRGGDGPTIEEVTEDHIDGMRISNEEVRQILDSDDKPKKRRVVKAE >CRE07842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:62436:68786:1 gene:WBGene00069902 transcript:CRE07842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swsn-9 description:CRE-TAG-298 protein [Source:UniProtKB/TrEMBL;Acc:E3NGY7] MPDNEPPRRSMVGVPPTRRARGGNTPAASSSTPSAPRSSARAAKRVKKEEPEEEEYKTSDQEKSEEEVESEDSGDEMTTPSRGKTPGAGKGKKKRVPLTDYHLKKKKILARKAAREAEKEREAEMEVEEVEKEPTPPPPRKPPAFSSYTPIQLMQDHIIRKLVEKDPDQYFSFPVTEAMAPGYSQIITKPMDMQTIREKIEDGLYPSLPLMKEDAQLIVANALQYNQPTTVFYLAAKRLSNLIAYYFGEQYLRFLFHSLPSANKIPFELVGIRPLATVTHDKPVNRRKAFLKDGMTSEDCLQSADAKIRDRLSAKLPDLKSASQKMAKLGFLSEKNGTVVLNVVAGGDSDKGPHNQMTSSENSAPRRVTLGDIVGPLEEGTTGMIQMADHRLFSQAPVNYLNYGPFSSFAPMYDSTWSTMTKTDTDMFLRVYGEKSNAAAAVSMRSFVENCPEFAEIVEKKLNGLTDDEHSKTIKNLNQEKDEIDDLMMENEEYRNQTVLSLLNDVTSISNFGIDVGFLKDIRQEILVPAPESEPPATEPLPEYMMDMNHMNVQQQLDHIGQEIKDLAHLQQDRLCQPPPQMLLTVPEPSPIEQKLAENVQQHLAHQMATHTIPEAFVTDAALHDAIGVDMDDGDLFSEFFVTQ >CRE07841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:53367:61471:1 gene:WBGene00069903 transcript:CRE07841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-23 description:CRE-NHR-23 protein [Source:UniProtKB/TrEMBL;Acc:E3NGY6] MQAVERKIVDPTQLHSFQHVESTSLSSPDGKSKENNESSSSSTTPPPPPPPLRHIKSEVDFRYSPEPPKGGASCGDVPPYAKLLTCVVDPNQIGNMHGMVHMNAFQQAMHHQQQMQQHHQMQQESKPLLVSAQIEVIPCKVCGDKSSGVHYGVITCEGCKGFFRRSQSSIVNYQCPRQKNCIVDRVNRNRCQYCRLKKCIELGMSRDAVKFGRMSKKQREKVEDEVRMHKQLAEASGLGGYHIYGDYSPPPSHSYCFDQSMYAHYPSGTSTPVNGYPIQVPAAPVTPMPQNMYGATPSATGALPGAPGPHYVAHQATGGSFPSPQVPPEEDVVSRVITAFDQQHHDYRTQNVVCDVDPESLCHLTRATGWELFSNQLDPLVKTIIEFAKCVDGFMNLPQETQIQLLKGSVFELCLIFAAMYYNMDTHAVCGERYTIPFSRFITEDAAEVCARKNTHFSTEISVFPDFLVFFMHLISEVHQTLHEIVALQPNTSELALLAAGLLLEQASTSSSSGIGSLIDPTTIATAEVLKTALHQTVASRLGCMETTLNRLQAIESRIRQTARLHQEALQNFRLSDPHASEKLPDLYKELFTIDRP >CRE07840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:43123:46115:1 gene:WBGene00069904 transcript:CRE07840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07840 description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:E3NGY5] MPSSKICIIGAGAAGLVTAKHAIKDGHEVELFEQTDSVGGTWVYSEKTGCHSSMYKIMKTNLPKEAMLFQDEPFREDLPSFMSHEDVLEYLVDYSKNFPIQFNTTVTDVRRDGEKWKVTTSTNSNQPASHFYDAVFVCNGHFFEPLNPYENSEFEGEMIHSHDYRRAEHFEGKTVVIVGAGPSGIDITLQVALTAFEFPFLDSSLIQLKHNDLMVSPLYQHLCHVDYPDSLFFIGLPLGTITFPLFEVQAKYALSLISGTGKLPPDTSKIQNFEARRLQGLQNPGAFHILVEEQWDYMKELAEMGGFEKWSYMETIRKLYCYIMSERKKNVIGYKMVNFEMNEDETDFRVVGI >CRE07850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:36107:39456:-1 gene:WBGene00069905 transcript:CRE07850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07850 MPTFLECPHCQRLYSRHSLVIHEKNCVEAMHKILEQEKLKMMQQNQKRGRSKSGRRKKIRPAAEVVVERPRTKSLSRYNHENNGLRICFVCGEQYDDQVIEEHKEKCYVDWSDLAKPLTIRFHIHQPKTLNTPSIDGTIDVARENMRAVQSAHNCQIVRCRFCNARISIQHAMGHQCVRFEPTIEFYC >CRE07849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:34618:35272:-1 gene:WBGene00069906 transcript:CRE07849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07849 MSGAAADGIDDRDIPKQELLEAAFNGDIEKIDSLILGEKVHIDSVDDDHVTALHIAAAMGNNKLIASKKKRQ >CRE07839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:32968:33996:1 gene:WBGene00069907 transcript:CRE07839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07839 MCSPTLTTLPLLPMSIIVECLDVESLLSLSQTAKVFKDFIKEVHLKSGGYYISIQRDHFNISIKSRRYSYSYQAKKVKKDDFQLINNYLINKFSADFKKHTDLLPGNIDYLLIDPVIASKFKNVMSCAILSIGSIIPSSEFLESSLPDSVTFHKGLALNGVNVNNPDKIPKMWNIWNIDWLQIRNSSISIPLCQLNNKIINLWDISTITEPQINRYLQDLRDGVIDQPNLSFIQFRRQSGWNSQEILEGLGTVRCQKTKIYKLKDFDEKMRMDTFLPFGLNKNGIELSDTFDFVRRKDGVKCSIFLLSWVVRVYVWNQKETTKRGRGHMEDNDGGTAAKKSC >CRE07838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:30821:31867:1 gene:WBGene00069908 transcript:CRE07838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07838 MSSVSRPSPTLTTLPVDTVKHITDYLDIDSLWNLACTAKVFMEFIQDLNIRTGGYHVDIQEGLYKIHLPAIHYYYSYYEEDDKYLIDDWNKDYKDFQSLFPANIDFLRIDATLVHYFHRFHQECTVLSVGEHPIYYDRQKMNDCSTEQLDLLLNGVNFRKGLALNGPKPLITENKKIFNIDWLQIRNATWITPEFIRKLKNKIVHLMDTEELTEDDINQYLKDLKNGKIDNPNLQVIGFNCGFGRPRWNKEAILDGLDATRGAVDETFKVADFDKRMQAEIFLPKVSDNGDIKIEESFDFTRDDGTKISVEFIYRTVRIYIWNQDKEKITKKRGHVKDINGPAAKKSC >CRE07847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:20736:22788:-1 gene:WBGene00069909 transcript:CRE07847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-61 description:CRE-COL-61 protein [Source:UniProtKB/TrEMBL;Acc:E3NGX9] MWWEVKAVAAVSTTCSLGAIVSCLVVLHGLFQEMDEMEVQVNESIRVFQFETDPAWHDMMNVQSTVAPPSRAPVNPFESHLKKKRHTYGGLPAWCQCEPTKPVCPPGPPGSPGQPGTPGTPGSRGPPGADNQQVYEPIRCPLPERDCIRCPAGLPGYPGRDGATGEPGPAGKPGNPGYRGADGKPGRPGEQGNSGLPGRPGSDGKPGLPGRDGKKGRGLPGREGRIGFPGKEGPPGLDGKLGRPGLPGPAGPEGKPGYPGVPGQDGSPGEVGAPGGPGPDAGYCQCPNRGNVYRNPYPYRSKARLHKQ >CRE10677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig259:100679:103124:-1 gene:WBGene00069910 transcript:CRE10677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-2 description:CRE-HLH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NH49] MADPNSHPTSTSTVATAALAQSQLMLPAGYDYPYNSSAMEYWNPSGYQLNAYQTMPPSEVDYASVFLPTAQPPPADSTATIPPTPQTSDATKPLTSTSVTSSPTTPSTTTTTDVLELKASVQTSSANTSGELVPPAPASTQPPVVAPLDAMSSMYGQWQAYPTYDQSKASGSYISIPTAYPFGADPTTTDLSFYQTPSGQPTGLGSDANLADYGHQFQAAGMSPHFDSSLYAGMPGMPTGSSSSSGVGGSGGRNEKTGSRAGSRRRQQGAPPSTGALTRHSSSSRLSDNESVSNDEKDTDRRSQNNARERVRVRDINSAFKELGRMCTQHNQNTERNQTKLGILHNAVAVITQLEEQVRQRNMNPKAMVGMKRKTEAEKIEENAAAAQFGHPRF >CRE10676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig259:92248:98422:1 gene:WBGene00069911 transcript:CRE10676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10676 MRLALLRLFLVLVFLLASCDARWFGKFGRQQPKGLPVILVPGDGGSQLESNLTGKPTVVHYVCSKQTADYFDLWLNLQLFTPLVIDCWADNMQLVFNSTTGLSDNMPGVDIRVAGFGATEGVEWLDKSKASQGRYFFDIVDSMVSWGYRRGKDVVGAPFDWRRSPNELNEYLIQLKTLIETTYRWNDNRKIVLVGHSMGNPLSLYFLNNYVDQAWKDKYINSFVSLAAPWAGSMQIVRLFASGYNMNYYRVILPPSKLRAMQRSFTSSAFLFPSPVAWKPHEILATTAEKNYTVQNIKEFFQDIDYMTGWEQYQQAARLNGNISAPGVPVHCIYGTGVPTPEKFEWAPGYFPDYPPTEFMGDGDGTVNKKSATVCTNWIGNNGGKKVTVHEVFQADHMAILKHPNAIELEKNVENVRKNNISAPGVPVHCIYGTGVPTPEKFEWAPGYFPDYPPTEFMGDGDGTVNKKSATVCTNWIGNNGGKKVTVHEIFQADHMAILKHPNAIELVRKAIFEEI >CRE10674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig259:82263:86188:1 gene:WBGene00069912 transcript:CRE10674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10674 MRNPKDEEVPLRERGDNDEITLEFCNQRSRIRRNKAEDSTTFMFNYLRDPEEGETLNWRELRKLKANKKWGVIRHPYILNYINQKLIDCALFYSLHILAFLVFFLLLAWHVFSRNLFKDFLISIFTGVFFMFLVLKGTIKARVTKNISTWFIIAFCFNLFTYAATMAYVWFPTVFSYDDFHMEVKKIVTWFLPIIAIISAWANLLYIMRKSPFGIYIFMMTRILRSFAHIATIWIPTLIAFSFAFLLIMRDTGVKPWPLIDQQAANMTMVQTMLVILQAVTKTSTMMIGEVDANDILDTNQWIPSILVLVFEIITVILLMNLMVSLAVGDVTYLKNTAQDKILKIKVNFVIEALQLSEQFQNNLFRLHTNLTPNVLVIMDDGSYISTFDEFPRVAPVAVSDEAFKISFMESGMRLRIKTTSGKVKTATVSRCDIECIESTESGIPVIMSTPDSRVYENEDTYWTGFAKWLIGLDWAGYLDI >CRE10673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig259:70215:74989:1 gene:WBGene00069913 transcript:CRE10673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10673 MSAITVDIGEPEEAMELIPRNGPVPPDVQPAEYPSHPDITLDFCNKRTKSFVNEMTGTKKFVFDYTGTAFKNGVFEGKSSWKVLEDLLTAKKMNVIRTPFILNYVNQKLVDCAWFYALHVFAALIIFIFLFFYIVSPQPWSIIPLILVLWICVFSLIFRGIIKTNGELRTFIFFIFTYYNICTISFSIAYLYAKIYYFYANQDTARKKFVLWFLPIIAMLSAWLNLLYVLRKSSYGIYVLMMQSIFRSFLKIAVIWIPTLITFSFAFLLVMEGSTTPWQSLNQKLINGTVEASQLESIFVVFQAIVKTSSMMLGEVDANDILDTKKWIASILVLIFEVFTIIIFMNLMLSLAVDDVKELRQKAEGEILKIKVKFLIEILLLNEELENSLLRRAILPCLTDSFHTKLPQNVLKLTSDNTYGYTNIEFENVNWNTIMIPDETWWAAVQKWFIGLDLNEYLENWHSVEIDPQTIQDLNTSDSFTTSEKNRMRAKTRFIWKFSREFERRTPKKMSRSRVSLVW >CRE10672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig259:37792:47135:1 gene:WBGene00069917 transcript:CRE10672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10672 MLKLAALLLLAVVGTTRAQHGTVGRPCEVSTDCGTGNYCAGNKRCACLTTYVEIDSYCWRKINPGESGCTQNRQCEAVWPGAYCRSGECRCANNQPPFRTRDGLVCLNYGFCPLNGNNPKFRIENQVQQCYGGADATCEAIGALAYDCICDSDDCTVNNPISFCCPSRAFACIQPPNEGYTPPGGGTTLNHWYHDPITGECRELKYQGYGGNANNFQTKDHCESYCKQTCNRGLPLYRDRTTGVKQEPVYCQGNDNGCNNPNYQCTTMGTLQQCCPTYLFICSRNGGIPSEVYNTAGGLPTEYFDVGIPDGSGNTSPRFYYDSREGRCIQFSYLGQGGNFNNFLSQDHCEKFCSRILCSAGEPLKDSSGERNMECSPTGSGANSCPSTHSCESTSGSTTFGGVCCPRPQYVCKLPREQGNCGTYSNRWWFNAKTGNCEEFIYSGCQGNANNFETYKECQDYCRDARSEPQCIQGTALTDSNGNFIICGGSSAASTTCPANHYCYYDGTTYGCCPTQAYTCSLSYKSGASCGPAVTRWYYDSTTRTCQTYSFNGCDGNSNNFATQQDCKDYCRVESCPDGGEVWKEQNGAARACTTNRQCPSTHYCTPVTTWTGTVYQTKSLCCPSKNFVCSQPRDVGVRCSSTRISRWYFNADSKTCQTFEYNGCEGNRNNFASQKSCQNYCLSEACPPGTVVAKDGDGSRLVQCSNPGGNGRVSGGCPDGYTCYSSPLLDQNVCCGASTELQSLCPAASTPFISALSLQPMQCTPNVDGACPGNFFCWFSTTTTSVNAFYCCRSPDSVDTGYCPPQLVPVPGENGAQYKYCSPSAPLNDAIQGCGANRHCQFSTNLERYICCGLESDVRLPNVCPPQPANLVPVELAGNYRTCNPYARAGTPQSCPDNSACLYTGNDNGFICCRVQLGNGKA >CRE10671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig259:18795:37292:1 gene:WBGene00069918 transcript:CRE10671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-11 MVSSGEERAAAGKGGPSDDVDASDSDADAAEEILEESPDKRWSKRREQVKQRDVPGIDVAYLAMDNETGNEVVWNEVQFSERKNFRAQEEKINAVFDNLTQLVHTNLVKFHKYWTDSKSEKPRAWKKWTTQILSALNYLHSSDPPIIHGNLTCNTVFIQQNGLIKIGCVAPDAINHHVKTCRENMRYMHYIAPEYENNTELTSAADIYSFGICSLEIAVIGGLSGCQNGSSEGPVTEDVIEKAVRSLEDPMQQDFIRQCLRKDPADRPSARELLFHQILFEVHSLKLLSAHTLVDSKKYEDVPESAFRIKDNEQIAATSKLREMAYCQVAAFQVDLEKFLDDVRNGIYPLTAFAPLAHQPSTTLRAYSNTNPTTLITSDPLSSAPSSTHPSANTTITAETSTTTAAASLLNPSSGVNVNGSGVAVSGGLSSNQASIGKSATPAELAEHQKSVTSTTTTSTEHQIPNHTLTSSLSSMTKLQNPEDVTSEGTSGGAGGAEVGSPTPEEDGETVTTMENERDMRLENRHILEINVHIENEEMSIVLLLEDQMHRQLNTAVNKNDNPASLTENLITHGFMCQLDSEGVERAISAAFDIRAARVAEGLIHDEENDASLREPNPPDDSSSEPPPPTTPQVVVENGSASSTATVPPTVTLLKSSPSPSPSPTVTAATSSSPSAPTPGTPSSNHQHHS >CRE05141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:68592:70561:-1 gene:WBGene00069920 transcript:CRE05141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05141 MSSQEQMRILKTKAIMEYQKIFRNFKVRHHATTQLKHHYPVQKDQTANPLYYELPPPLPATNQLFQPMPQQNFVAPQPRDSQNCVEQNAGDADTEYQSPPLQQQQVHPIRQRMRPVVAARPFSIGRNKVEYAESRMLPRGVSVAPCDSPQFRFHQRVGSSNDGLFTTTPRNLYSITVVTGEIAWNSEADTTASNDSGGEECAFAKFVDG >CRE05117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:74615:76056:1 gene:WBGene00069921 transcript:CRE05117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05117 MSKFINQVADAHSASSKATSGAEEQETGGGDGEMIGCEVMNRIQSGISGTSGEGLNRYEKSPDAVDVDAWKNPDFEVYTNLDRFGFALKKGDKTDERTDAQKRRIIRELSREKKWLKMTEVWKSGGLLKKMENRIWNRHPEKLRIVFWPRLLGAERMKHDNFCVTFIFY >CRE05142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:76550:81086:-1 gene:WBGene00069922 transcript:CRE05142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05142 MVFGIEWILKLLSAGLDAFDGWAARKNNQSSRFGAMLDQLTDRCGTLALVMALCKFFPDHLFFLQLSAVIDIASHWLHIHATDLSGASHEQSTNWLLNLYYTDRMLLGFMCRGNFYILLYIRAFWAGPFIFGSFHFMSIFPLIAFPVGPPLHCRRNGRRPLRQASRRGHPKGSIIFSPKSVSKKIILLPAKDNKKITPPAKKERQDSSTRRPMNLICIKPKCSDLFSHTIPIWNAITSQTSYFLSPSEFYTLISSSITRY >CRE05118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:84219:85361:1 gene:WBGene00069923 transcript:CRE05118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05118 MNSVPKPQHEFSTEATVCQNGSFQTIPLPPTPPPANTPIGQHPHPPPQVPAAPIDRFQTLPPPPPTMAPIGMIPPPTGMFPPPPLPLVLTFFIPPPPPMFEAPIVPPPAIFQAPQNTMPTPPMAPSMDAEPKQEPEDF >CRE05119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:86505:87023:1 gene:WBGene00069924 transcript:CRE05119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05119 MDSSSSYTVANNNVWLFNPNLIGYALSAGLDAFDGWAARKYKHSSRFGAMLDQLTHRCGTLSLVMALCKFFPDHLFLLQLSAVIDIASHWLHIHATDLSGASTLWFSFYSFFLF >CRE05120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:88695:89254:1 gene:WBGene00069925 transcript:CRE05120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05120 MFEAMKASLVTLLTSEDSAKQELKEKVQVMGQAVIWSLLSKYKLASMAMSTSAIHEHVETVASIFPFIKMEKQQSPSFSSSSDFRIPSRIDLAQSDSGRDTSSSSATTPGSLNWSVYDATTQMFQLNHIKLVELNEQPRLKKNFTKEQVQKLTE >CRE05143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:95203:95896:-1 gene:WBGene00069926 transcript:CRE05143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05143 MDLSGLIAAARSQLPCGSCRQVFDNSVDRDQHRQAAHPPIHHCFLCDKRIKCKKIAIHMARKHKIRGTVTCECCDWTFTNKAKADKHLEAVRTTGEFGVAPIAVTSQYHPERPNSTTNNRTEEEQKDWIRLNTQVAAVLDHQLLPAGALVFPESWKFIVDACNVMTEALRRTDASLGRVVDYNTIQTEPVDQAAADENK >CRE05122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:103903:104966:1 gene:WBGene00069927 transcript:CRE05122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05122 MASNFTYVENYFAGSDPALIGATLASCFVLFTVIFTVHKFMLNDSTCNDAIPDIIQYMDKLHHYHDMVILFVILIISVMIIAVPRFDVFFVLYGTLIPIAFIAKPISEIFTITMSLTSAFLYFNQRSKNPKSTINWSADIIRSRMSFVTRFAFVKEVFLIPFVIDVYIGSSEFEVLDVYGLFFCYSVFFLFTQLFCLTNLVWHFRFRDKLKLDFLGKILYRRLNIFLLVKLVSMVYVMIESFSFSYAIKIMEIIECIQMEKQLERKKGSKIK >CRE05145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:111536:113995:-1 gene:WBGene00069928 transcript:CRE05145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05145 MDNLDQFLKALNDLKADNGCTSSTSSQTPTEAYDLDPAAATNEVYAANGDQFSFKTGNDYLQAMLGWNNQFQTVVHTNKNYQTSYHQFSFDRQILEFREQLQEFTEKTDPGNNKCDKCDASFRFKTDLAAHMKNHPKPPVIFTKALPCSLCGEKFVNFDVFRVHRREKHPKIGFECETCGKRFEKKHNLRTHEKMHKKEGKLLKNQKFLGVTNF >CRE05146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:119286:121083:-1 gene:WBGene00069929 transcript:CRE05146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05146 MDEGWVSRNMYLISENNFSIVFSSFLLPNNSVPSFLLILYWTLVSTKVTVLLLKEIALAILITRIPTLWTLWSTHSPIPYHQWNSVLVVLLVLPFALWAIIGEILVAVLFGYLPILLTYTSTILGLGKEEIGTIYLNYSICGSTVVEALPLIYLLKKPKVFASSVAVIPLSSSGRF >CRE05123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:123976:124691:1 gene:WBGene00069930 transcript:CRE05123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05123 MSHPLSEMFETMKASLVTLLTSEDPAQQEVREKVQVMGQVVIWSLLSENKSASMAMLSPVNHEPVETVVSMFPCIKMEKQTPLSISRGSNLRNPLKIDLAQSDSERDTSSSTATTPGSLNWSVFDMTPQMLQNNHDELVNRNEQRRLPKKYTKEQVRKLAEYFKKRPYIPDEDKYQMAKETGLTAQQVSNWFANTRRNLKKKNITF >CRE05147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:125321:126117:-1 gene:WBGene00069931 transcript:CRE05147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05147 MADGLKVLLETVINSNSLSPKDLEHNTRIMENAQAIILELLAKKALMLNPASPSPSLSEPKDVLVSIFPFVKFETQRVLQDVNESVGSTSSASSSRNGRETSIFSSLSTSSDYKACSVSSDNSIRIQSTIESGSSTPSTETSGRTTESLEWPCEYQTFDDSKNDGRVDAIDKNEKVLQLYRATTATTQYILCKKGLCDRCGEEKISRRNWFNCEAS >CRE05148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:129779:130384:-1 gene:WBGene00069932 transcript:CRE05148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05148 MWKIGNILLLLTLVGLFSALMPNNSNISSDNDSKQNAYQIQSDNRYRRRRTTTPVVKTTTTTRPKTEHESNQELTNAFIEKLTAGVLAKNRTQVNDCFDLNFKFESCKRTDNKFEMVGEMLERTDSNFQMIFDASYDQPVGHWLKIMGKFNGWKGHTYNIYFSIIRENLKAVRGEVLRCSPGDP >CRE05149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:134403:137029:-1 gene:WBGene00069933 transcript:CRE05149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05149 MKPFMIFCFFVSLIPFLLPSQTSPLQTNNDVGFQSFLASGSMLPHAVKKRNLENEELEVSFDWQPFHTNESLVLEIFNKFPAHVTFRCCGELLLESVSPIVNSSMSLGPFWVQYFRELVYEKRCSQDNNLIMIATPANQPSISFEVTFNLTRFKGCLASQRANSEFEEVNIRQDANRVGMYNVKIAGIITKALTHKTTEQMVISYGFGRPISLRFTKCNLLIKEIITFNANEHYEVDENLLNKIRMLDQTVCGTESIDSGLVLPTYPGNNTSIFRISAMVISDHRLVTTLKFYMQSVTHTTQPPETTTTEASGIINVEEPGMIRFLNWVGKGKVVIDLRHILNTTLNFMIMLDIYSSEPITFSLEKQHREIIGRSIPFKEERFHFAGSWIHYFTNLSRVVTTGEVHNFTLIFSTGKKKATGNFRFGKTKWIKIQDARKVNTDGIIHIHQDSINPVSYYPALGNIIEKAVQNQSLTRRLNSYLSETINIGIGKCETNTVVTRLNETDSLSIGEDLLDHMDRMNNLFCTSDRNLGRFDLTVFSANPVNNTLRFEFDGPIDDKESGPFYTYIAGIIFGLLAVAGVCFFLVQCRRRRVQRILREKNAVMELENIRLINLFNAMDNSEEVANIQSSSESYSDITEQLDAIDRVRYRLGRLEETRMPHDTDTVRFSQESQPCTSVWVVNDSFGKRNTVSVSRSTINDFNHRFNRLPPPPPPLSEDKEAHDDDTCPFTNFSHEQREANGTKKRKRKKAV >CRE05124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:139325:140283:1 gene:WBGene00069934 transcript:CRE05124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05124 MKAVCDTMDVLEIFTISRASERAKRVLKLFLSRRNFELTALFAETFILEVHNRKHTYYGIVMQFSIKYTFETIRENIAEFVTFFKICEVSLVFERPQLASAVIQLIRSFDLTIDSFDLNLENGYKEQYHEMIELSREAKNLDILSDPTKKFRLSISANPFQFNALRLVHAKWVTRYYLTNLFINCKELYMENCQLKYSDYLMFFKQWIKESRLEVAKIKMKEQRNFSALRLDIPDGFCYMIQQENTGIRAIVLFTPPDNLVNLTTEFEL >CRE05150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:144253:145147:-1 gene:WBGene00069935 transcript:CRE05150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05150 MVISAKASSSLSPEHSSGSSSSNCFVSRSKLFLNIKDGCTSHPENQSRKPRNSGLASCTSSQKRGPMLHSFQGALPRLPLPNLDKTMRKHLLSMKPILSHDEYQELEFFSERFRKGVGRRLQRYLTLKSWFSSNYVTDWWEEFVYMRQRSPIMINSNYYRFDTLNEHPTKNQAARAANLTYTSLQFRRMVDRQEVSPFSPRTKVPFCTMQYEKLFNTCRVPGEEVDRLLHWDDAKHVAVYCRGVWFKLVVHNGKLIKNAHF >CRE05151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:153438:153961:-1 gene:WBGene00069936 transcript:CRE05151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05151 MVQTDPINLEMNIRCDPSISYWCAEFLIYEVDNLPRTNDFIASRKFCTNQTEMKSKYKPFYPGGDLSPNYEFNYVLEHDCVKNGETHCIDEIKNDVYVSVSGQQNVKFDVEAFNGGKLGNCIWYD >CRE05127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:156570:157364:1 gene:WBGene00069937 transcript:CRE05127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05127 MYEEVNKERRERKHQEWEMKYPFYKYLGERAKYSEDLVDRPRSPLDIEVELFVITVDFFRITKSQIRDFLCNERKQETSFREEHYIKFQPNSGTIDMNNIWEKNPDWKEFFELTSRKFRYKISCAMRGERKTNRERSNQLLKKRHEPSKKAVSPKPAPNQYF >CRE05152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:161051:161940:-1 gene:WBGene00069938 transcript:CRE05152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05152 MWMQILFLSLVFTYSSCFDYGLDPDHDYHRHPREYDDHAVLCQLNGTFVGLALNGTLIHCRSPPMTCTMEPHELFSFDRQNVICREPRDNLFYDIRKKTIQKECTQEYSYIRPDYCSRDNYHCTTILFFCYSKINFKPIFYFSLVIGFLTVGYFVQIVFCILKTCHHTQSIDITQSESPVKPSGPHYRKKRDEDTTPLRNRFVSMSETSV >CRE05153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:165213:166766:-1 gene:WBGene00069939 transcript:CRE05153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05153 MDVSLFKESLNTCDVADAAIKTIRKWRKMYRDGEMDQELLDEKRNGLIRDLRQQTLVLNANEIPGLVKFIYDQNGVNI >CRE05154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:172092:175750:-1 gene:WBGene00069940 transcript:CRE05154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05154 MLWKTQMENGNGNGFNALENALSCTRETNESPEAMKQEEEVPEISMRSRSNETNTLKEWGQRECSQKVAMEITNYAYECADLNTHYKSFTSELIQFYEAERQKKLKPKRRDRSDEVYERKKEKTKL >CRE05128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:182170:182592:1 gene:WBGene00069941 transcript:CRE05128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05128 MRGTTQWTCETNLIFESDFNDIEIRPDDAIKSFTLNNICCNTTREMVKKFTINPLEHDTDINLKGQLLMTCVDRMIRKNMEEPVPQDRICEFPVLNHYETFSVQFTGSQILLKRHEINLEQMLY >CRE05129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:195472:195785:1 gene:WBGene00069942 transcript:CRE05129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05129 MNRPSVGTVAPEPSHSSTQSRLSLLLEPSPPNDRKHIQSQSFRIKIEPLDAPETEITVPEAVREEVIGEESEENENKPPPITTMKDTIE >CRE05155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:196269:196857:-1 gene:WBGene00069943 transcript:CRE05155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05155 MWRFWFDLQTDQNLKDSQQIVQLFKVNIFLLSTVGNRIPPAFLPTPDFHSITELSSIRNFRGKAQKKLKNAAPVAPGTPEQKARLDIAMKNAKEAPCYNDPKLVTSSSLFDPSKQEVPQEVHNPVSTSFGASPHSLTMIEKSPMKNGK >CRE05158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:207307:208015:-1 gene:WBGene00069944 transcript:CRE05158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05158 MKTIAIMFRLKIIITQVLHLCTRVTVTPNDMALAAISVAIVSKPLPTALFRAKSYRTQLQSLGNAHLWSNTPQKGDDYVFNVHSFDQPFLNSTASIGARNQFQKPINIPVFVGSLWSIAFQEAKKPNMKDFEIELEEKKAIHGENNFFIEIAVPTSRQPQETAHHTHEVLGDQVKLFEICVDKNWQFEILRRDKYLSVALNQLMSMD >CRE05130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:208413:209964:1 gene:WBGene00069945 transcript:CRE05130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05130 MVTIAKAHCGSNLTVFQRIDYDKVDRLIRSDSRNKILVRRDGKSKETKSRVNKKQKYCEREAELE >CRE05159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:212792:216913:-1 gene:WBGene00069946 transcript:CRE05159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05159 MSTTDVPDAISILKLVMYMEDFADGESRSDNNPAPLVKNSQLPPPLVEITFVVPGSSENDVSKWPAIASYEYIAQNCEGEGLTTTAKKSIEKGAKSKCFLVMAETLLQYLDRVCPHWETMGTRVVNARLNQIGWVKIYFLHRTRDLVPGNIIIERFNSRVAMFFYRPMREYITIEEYFMIRYGRRLTAANRPVIRIIPRNILEEEEVKVENLYPMEVISIE >CRE05131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:217534:220645:1 gene:WBGene00069948 transcript:CRE05131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05131 MGERAINTYAEERREAKECGNECGVQNYNRLNPTCSSSVKSPGPSVSTSFSAHTSPIPDAPIAHMMAPFDDKHTESLKFYKVQVVSRIPHSKQLFDIYNESLPAEQKRIWRSRETIGKRKRAAEFEMPEDPSPRYQATLDAHELFAKREKNEDKNRQSSSSSDVVQHSIAPF >CRE05160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:221196:222995:-1 gene:WBGene00069949 transcript:CRE05160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05160 MGVTREIFYDGTGIGGTICQNIDPSYLTAVEKEKMAELRRSQGIPVFEGYDPEALVKVKNLIELEEINKNMRQYFVSQHKADMAAKDKEIEKQKDAKKKEKEKLRAERKNNEIFVAQSELNFLDINKHFY >CRE05133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:249395:250664:1 gene:WBGene00069951 transcript:CRE05133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05133 MNNQFSHDQGEEEKNYPTLGDDVEDPLTDDDMDDSDVEEREKPENERCFNLSSEIMESENPDSVQNVEYPSKNVSSSQRIFVSLTHNDFWAKLHSIGHEMKIARRGRILSPPLEYKIVGLDPLKLYAMALRFERTDNDKRLRWSTKQSPPGYIEIQDNRQIENPEEIPHPFGLQTGLFWMMKPFGFDKLRLTNTERSNDDYHTFVRMSTGHRYMPVLIIRESTGIVHTVRIRHTEFITVMVFHVDKRNERHQRCIENQKKQ >CRE05163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:262273:262647:-1 gene:WBGene00069952 transcript:CRE05163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05163 MELNHSFDPAVSTMVSVKSDARRVCRRLLCQRHRRFRNVAVENGRLRRFCGLGFLGVRCSASSSRRIAPKDGLTSVRELVAGSPSEYENTTFVSSTF >CRE05167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:271195:277417:-1 gene:WBGene00069954 transcript:CRE05167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05167 MMSMKQYACPLYITDNLQDSKLPTDQPPKRGRNRTKSNERRNTSHARHQAPRSRTCSCPEHLSASDMSEANDSDNESYPTRHHRVHKTPSIDSSTDSQTSSTHNSASQTPLPDCTPPRSALETLIHELSKVSEIPSITSPNAYRNELLMSLKIYIEKMLVSQQTPDVRNVESPINPAVEIQTPIESSIMLQIVNATDCSRSEQTVPVKEPVTNDTTQNVTKKDQLDEPMHHVVVQSSADSNEEINDTEFGAEITNQVLSESQVQESMNVTKLQNTVAPEVVVETDIVQLESDDTTLPSYSSEMHLPSRRDPTEKVGESQKKLISELNSQKYPSPVQIGVSSQSSQHSINPVIPLLAPNPPPLHTFLQLSGAPPNQPILQQEGQFKFPQNLIYQPTLMFLQHQQNMINAQLMMHHKPMIAQHPPNVMNWSLIEPVRGPTQSLNSNASLSSPISQRVGGQNLNQLHHYSPVVTSPSHHFGSEVNSNMFLVHQKNRDGVLKAPDNDSFKESGYKPPTGSTAAPQHVFPATGPISTVIPADIQSPIGTSGSKSKKRKLPEIECHPVVMPQMPHMINIGAGISDDSELIELQNKAIRDNLPTCPPGLYQLGRPFKNGRVRLYRKDSFERGIELIPPTQSDDERIYRQLFSKGQVLLSNLSHRPDRKFGEKEIHPSIREKMKQPTGQTVTAIHPKLHHHGVHNLHPRRRLNETVQTLQQDDNEQHKAKVARLNQNMKPVTESVLVAVYNTSPFRGQIVGYRQIAAASLDELRKKEKLVPFTRVSDFQNRSNANVELIDLTGDEEEENSVLIDTSLQNVSISSGRQNSVGKANLETTEPRHQNVSQQKDKSMRVDQPEAFNQHHPTKQFIPPDNNKYDVTKTPRERVSKITPTNPYPLIPPVKRTKHKHTKIQLKQLNKIYEMYKQHKISKTDYEKLGQPIGLAGFQVKTFINNKKAAERRATRKESSNYNIININ >CRE20020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:163260:165569:-1 gene:WBGene00069955 transcript:CRE20020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tlp-1 description:CRE-TLP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NCH0] MVSTHSSQYITDFTPTTAEPGKSPLALLAKTCETIGLPEGSSSKKSGSPQEKKDEKPASSSHRFGSLDHHQQQQSKKSASPRSTPQSKEPTLTFPGLPKPQFPMGMPGMGFPFFNPMMSPYQMPGCFPGAFPMSHGFMGAQRMPCPFAMMRQPCANPGCMQCPSSSNAVKSGQMNAEMMAQFAAHPLFSMYAHMMPNMTGVPPASYQALLAASAAASSSASNMPTDYSKPSTSTSTSEPKTVSPKSPATSKPKPSTAKYQCNWVDSDVPCGKSFEDESELTNHVKKSHAPSPSSSASSEQSTSGGKAARSATSTPQRFNPYGKAMAPQMMLPPGMSMNPMVLPFSLQAMYSNRLMPTVAHQ >CRE20010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:140688:143643:1 gene:WBGene00069956 transcript:CRE20010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20010 MTAASKPTKTKRNDLQGIRGFAILSVLGFHFYPNLFPNGYLGVDQFFVLSGFLMCMLLTKSQKLPIFQIFSEFYIRRFKRILPLYFLFILCTMFALYTVFPDTAIFQNQSSAGKALLFVSNRPHTGDEDYFEKLSIAMDLFTHTWSLSVEIQFYIFVPLIFLIGVQFKGSSRYGYYAMIATLSFMFHLFSSPTVSFNSVFARIWQFLIGMMTYFISRSRFVQHEKNIRRSEESEGNQEDTVRLMEENPEITAEKMDKTANLVTKCIILALMISVVLLPKELDPVSARAFFTFFTGVLIVISVEDVILNSRVMIYLGDISYSLYLIHWPVYAYVKLIYKSNIWILTGALLVSILLAVIVYEFFEKWYLKQSNAVITVLILVLFLSNVFYINKDTIQKSMEKKEEVPLTPERKYPRLDEITVNMTLDDAERMNANWNQRDHMAPELQEPNCVKRNAAYDWCEFEGNGTEFKILLTGSSYVINHHKLIIQECRDRAISISKHSEIGCEPLASPHKPVENGGFTAWANYCPGILAKFVNSVKETQPDYVFFLTRWFAVAEPYDTNENDLEHDTVYLEMKSQLRKILPNIKRKLFILDSFPRTNVDNIKNIAREMREGKKTMEEINKSLYNPTSFERGRRRHAELVKKECGSKCELIDYVDAFWNKTMNAFQYFDNQGFSYFTSGSHLSAHGLEHVRPIYKKICASL >CRE21480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:40414:43559:1 gene:WBGene00069957 transcript:CRE21480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21480 MTVGSKRKRNDLQGIRGLAILSVLGFHFYPNLFPNGYLGVDQFFVLSGFLMCMLLTRSQKLPIFQIFSEFYIRRFKRILPLYFLFILCTMFALYTVFPDTAIFQNQASAGKALLFVSNRAHTGDEDYFEKLSIAMDLFTHTWSLSVEIQFYIFVPLIFLIGVRFKGAARYVYYTMLVTLSFMFYLFSPPNVSFNSVFARVWQFLIGMITYFISKSRFAQHETAGDQVRLMEENQNRTTEKADGTANLVTKCIILAVMIFVVLLPKELDPVSARAFFTIFTGVLIVLSVKDVVLNSRIMIYLGDISYSLYLIHWPVYAYVRLVYKSNFWILTGALLVSILLAVIVYEFFEKWYLKQSNVVITVLILALFLSNELYINKDIIQKSMEKKEEVALTKERKYPRLDEMTANMTLDDAERMNAYWNQHDHMGPELQEPNSVRRYPDHKWFDFQENGTEFKILLTGNSYVKNHHKLIIQECKHRATSISIDEITGCEPLAAPHKKIDNGKFDDSWAATCPAELAVFVDFVKTTQPDYAFLLTRWFAVGEPYDTNENDLEHDTIYIEMKSQLKQILPNIKRKFFILDSFPRTNVDEIQNIAREMKEGKKTMEEINKSLYNPTSFERGRRRHAELVKKECGSKCELIDYVDAFWNKTMNAFQYFDNQGFSYFTRGGHLSAHGLEHVRPIYEKICSSL >CRE20007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:123923:130638:1 gene:WBGene00069958 transcript:CRE20007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20007 MNEKSNHNSRLHKPYSCVQLNSFFIRLFISFLYSEKMKTLALLLLVALIGLGEPYKILMYTNLFGHSHIKMLGAVSDTLTDAGHDVTVLMPVIDFKQENKTAMKSTKNIIKVPPGQDTAETIATMEKFMTQLWTSDNSNPLFMLFHAPAMSAIFASQCRRVLEDKELLERLKAEKFDLAITEPFDTCAYGDKLKNALQKYHFYFPELFDAIKIRAHVAVLACSRLDHVSSAIGQPIAPSYVPGTQSTYGEKMTVGQKFMNILHFVMGDFLFGYIGDEDYKVAKEVVPGVRSWREVLPEASYIFTNHIPLMDFPAPTFDKIIPIGGISVKTQRKSLQLPEKWDKILGIRKKNVLISFGSNARSADMPEEFKKNILRVAESMPEVTFIWKYENEKDTLADHLKNVYLGDWLPQNELLGDPRLSLFVTHGGLASVTELALMGKPAVMVPLFADQARNAMMLKRHGGAQVLHKTDLGDSNVIKKAIEEVLFNDEYQKSAERLAEMLNNQPTDPKETLVKHVEFAARFGQLPSMDPYGRHQSYFEYYLLDIISIALLIILTVSYISFRILRCVFGLCFRSKKVKHD >CRE20017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:119149:120912:-1 gene:WBGene00069959 transcript:CRE20017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20017 MSKVCDSKSSEALEPLAKVETAKTDGPQKIGCHKLQCLLTCLSTWPIYALIFWVSSIEKCFASHDPITTSILVGMFATLEALHLMIFAPPKTYGQSYIQLEMVDFEELEKEKMRIGVMRINQRIHGFSTLCTIWSVAIAGIYTARNQLPYVHYHIVAFIFLLLGFLATCGVYRAYSVGRWMSRYSTSTINCSIFFQTIGYILLVQGCLQRISFSLILLGASIVLGTVVHLRFAFYFKNPPQYLSVVSIKSTRPFLKNWYGWMLLGNCVIWVGLFLIGPLTSGTCSTTTVILLLAFCIATPLLMIWKIFFACWKSRAMKEKLVNV >CRE20016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:114436:115588:-1 gene:WBGene00069960 transcript:CRE20016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20016 MTRFSGKSVIITGSSNGIGRATAVLFARYGAQVTITGRDSDRLEVIGQKMLKAGGLPENVNVVVVNLTDSDGQDQIIQSTLNRFGKIDVLINNAGANFMDGTMNTDQSIDLYHKTFRINFQAVVEMIKKTKEHLIKTKGEIVNISAIAAGPQAMPMAPYYAASKAALDQYTRCVAVDLIQYGVRVNSVSPGVVTTGFMNAMGLPDQIQEKAEAFMASRKECIPAGVCGKPEDIAELIVFLADRKRASYIIGQSIVADGGSSLVAGMHAHDLKDMLGL >CRE20003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:108605:111139:1 gene:WBGene00069961 transcript:CRE20003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20003 MSDKTSSKQSTSKVDDDRMIVVETQMSVKERRKKVKKFTKLIASSVKVEDETKLQLGELATKCSEQEADAILEPMRIFHRELLEKFEEIGGDEWPRSVFRVMREFKLESVEEWREACAKAAESEEAEWSVGKVNLELQKVQYEKNLLTECWNEEKEMLGEQIRKIQREKEVAEAQVSRLEKALKQLRNTLERQERKPNGLWDEVQGSRSWCERVETWDIERNDERSRKKGGEDAFSRKTLSHSGSSEVNDMMQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTENDYELVAILEEKFLKGAAKSLFKTLPKRFERSIQSLFEEFEQKLRKRQGDSKIEALNEFEGLEKRSDQKMWEYLVEVEKWSRKAFPEVKQETLSQMRTTKLMKAVRGDETLHKMLIMKRFELSLEEQYDHLKDIVLQQENEQRRGNCQKSGYSEGWKERQKAENDGEKDVAEKESGENRYWREQKCFSCAGVGHLAHQCSPKPVQSVEVRGKGEGVGIVAVETVMMLGQDRKVVIDSGAAVSVMSTGAWNGLKKGCRNWMEVVKRLGKPSFEVIDTSKKKMRIIQQIEVPIQVRDRKAEVVFQLVENDAEIMLLGTNAFESIGVSVEWKQERTDVQQKKGKRDATSSEEKKVFMVGNLGIRVENTKPSGKTAERSKEIAVDTVTEEKKEGMKPKKTVIRESKILITPRIGVKGKSIFEYRKSALNTWKDKFDFANVESIVFLLELTEDEETNQKLGDLVRKLAEEVKEITIIPYKMNGAKSGLVESWKRSWITAGRVKWTDSAASADEKFKTWEQLLEFLEARTTENVVVAQLIKESVTSEPRIKEDKWSHQ >CRE20015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:103348:105253:-1 gene:WBGene00069962 transcript:CRE20015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20015 MVSAQNLSEYYSNIYSKCSVENSFLASWQGLAYPSHVFQAIGFPFQMLTFWLILKKTPDSMKSIKKPLLIAHILCTLLDIHFSTLVTPYMFLPSFTYLPLGVLGLFKVPVLVQSFLMVETLIAVLISLVYVFECRSRSIQENRIKFESKTARTIYYVILYLLPSLSLLLYFKVPHNQEIAKLEALQLFPCPTKEFFLDETFVVLSDPFWLSFTLAFAIPAIAILIFGNIIFHVSCCIFHLYMTPGAMTSIRTRLIQRRFFIGMFAQTGVPFVVLAIPYTLLGVSMAINRISQVITNLSFISFGLHGIVESACVLTFHHSYRLYIQNIFMKTKTIKSEFLRRVFQVESGFFQLYQVTGVSRDSHRIH >CRE20001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:97275:100354:1 gene:WBGene00069963 transcript:CRE20001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-104 description:CRE-NHR-104 protein [Source:UniProtKB/TrEMBL;Acc:E3NCF5] MMMNPCVVCLARGNGKHFGVDACRGCTAFFRRTVVNKRKYKCSEDDTCDIEKSEGVLCKKCRFEKCLKMGMKKESIQNHRDIYGRRNPKALLPSPSTSNPQINVSTSILSTIKRNYSQLENVRVVVHSMEGGSMFLKPAPRGQTYKETYRLLLREFYLVADWISNSFPHFTELPTSQKDILLRNFYLTFYNLEAGFFACQRNRNDVWFLPNGNFINCQNLESFYHDPNNLQSMTSADAAKLFKGTCTGCKRNVLEPMLRENVTQFEFLALAALILFDTGLEGQTDSCVDICRKVRTTVQKEMIHYYSTKRVEEYPLRMANILSIIPSVQKASQKMQSDLELGHLFNAYSAEKTFFENCMGKR >CRE22750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2798:54:563:1 gene:WBGene00069964 transcript:CRE22750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22750 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NVJ2] MFNGIYLFFFFTLYAVSIMITGALTLQQVRERLADHADQHKQLLRRLSKIAVAHTLVFSLFLFWFMSSTLMPVALAVEVLSSVSDLVAFSTTYIVLFFDKNVHSALKDMIPIRIALGSVGDVHQSNNNNGITP >CRE19999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:90726:92291:1 gene:WBGene00069965 transcript:CRE19999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19999 MGWISLIKSYIQLVTVVFSLFVNSIFIFLVVTESPKKLGNYKHLMCYFSFISMVYAILDYIVQPYIHSYRASFSMLMDLKGSAFENNPTVAFFVTASLTGCFASTIYAISINFVFRYFALQREGRLRYFSGKRLYLWISIPFLSGIAWVTNNWFLFSPNPEMTEYLRAEVKELYDLDADKMTYTGCLYWRTDANGNIYLSKKDLIGAFNLMIIMMIPFFTILYFGSKSYSKITKLMSQGESDYSRKLQMQLYKALVAQTLIPMVFLFIPVGIFFTSPLIGVNIEWTSFIITFFYSFYPAVDPIPIIMLIDEYRNAFFNFFRRAMSKNQVVSVVSIDLNYT >CRE21079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1473:4598:5551:-1 gene:WBGene00069966 transcript:CRE21079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21079 MSIYEKAFPSSAKTDAILVVDGKKLHVNKAFLSCNSDYFNSLFNSEFGEKSMAEIPIREVEFEDFATLLSLVHPTPIKPTKDQFEKLIELSDRFMLPGAKNRLESFMVTSCSAVIKLYWAESTD >CRE20014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:81572:85062:-1 gene:WBGene00069967 transcript:CRE20014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20014 MRSAVFETVKVCIQLISSILAILLNSFLIYIIITKSPKKMGNYRSLMCLFCGISILFAALDLIVRPNIYSRGSAFFMMTDLRGSYLSRDVAQLLIWALCGCCGSTIYGIAVHFVYRFFALERQGRLRYFKGAYQIIWFSIPIIGGLNWSFICCYFFPMSPQSSEYLEPIIKDNFNISVSQVSYSGAVFWPTGEIRLPDFNWRHGLGFLNCIVLMQISFFVIIIMGAKSRIKIKELLKQGESKYSRELQIQLYKALVVQTLIPVLFIFIPFGILFTCPLFMINCEFLSAPLTIIYAIYPALDPLPILFYIDIYRNATREIFCSKCKSNRVDVFTVGNESRNSTDNSI >CRE19997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:78924:81121:1 gene:WBGene00069968 transcript:CRE19997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19997 MKISNEWNLLIQCSSLFCAILFNSILIYLIITKSPKKMGNYKVLMIYFSTFSMLFAVIDMIVRPFIHSHGGCFFMIMSTKNWPFSDNIAQIVLSILCGFGGVTPFLIAIHFIYRYFALERKGNLKYFSGKYLIIWFMIPILGGVNWFHLSWFYYRRNDKTTEYIRASVLENFGLHMNETVYSAALFYPPDENGVPRLDLYILLSYAILSISMAVPFTILIVAGALSHSKIKKLIEHGECEYTKRLQLQLYRALVVQTFLPVFLFFMPLGVLFTAPLFHVDIESWSYITTYLYALYPAVDPLPIMFIVEEYRNAFYEIFDCFRCSHPSKIEDNSNMYRESQTI >CRE19996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:70687:74360:1 gene:WBGene00069969 transcript:CRE19996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-170 description:CRE-STR-170 protein [Source:UniProtKB/TrEMBL;Acc:E3NCE9] MMEIDTFESLKTLIQLISCAIAVLSNSLLIFLIITKSPKKMGTYRNLMCYFCGISLMFTTLDVIIKPNIYSRGSAFFMVMDLRNRMLPRRIEEFLMSVLCGCCGMTIYGIAIHFVYRYFALERQGRLRFFKGAYQIFWFFVPIFGCANWTFIAGYFFAMNPISSEYIAPMLQEKYNLPIEDAAYSAAVFWPLNEKGEKEFHWRSGYGLINLVSSMTVSLSVVICMMVKSWTKIKQLLGKLESKFSKNLQMQLYKALLAQTLIPVLFIFIPFGILFTCPLLLIDCEFLSAPITIIYAVYPALDPLPCLFFVDNYRNVIREKDFFAKRNKAKVSVMTDDQVTRNIYSI >CRE19995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:63353:63724:1 gene:WBGene00069970 transcript:CRE19995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19995 MTPFIKKIVKNHVRVLLYYGETDMACNFMMGQQFADQLGLRRTLKKTPWKFDRQMASMGSASLLSAEPDIWRHNGEHHRCITLFNNSYSIIHFKLIYDKGVIYFLMINTLWLSNKEYARMKGG >CRE20013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:58554:61001:-1 gene:WBGene00069971 transcript:CRE20013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20013 MEHFREACDNSSESYFDFDDGVFNTSYDETEAGSSTSENFDRRNAIDVISAVFLYNNVSRTFAQDIFTIAELLRDYSSISLSEIYRTIETWSNRENRCYTSCGACQKLLKQSKICTNRECSRYLRTQTNMAGCTRIITFSLKEQLLGILESGNYDKDLLNRDNWTSSLSAKLSRTPKYRDRLEKANREHPGVITMFLTLNMDGFRKRGLARGEFWPLYVAANDLTEERSKFSEYRPEVVMISSLIQTSKQIESGDFNAAFERMRCEVEETQRYPIEVTIDGVPHKIRLEIFQTVLDMDEGLPAPHEKPLSRLQCSVNSLSHTVKAGSSLKLLEHRNLDTRHIINGTDEFQSIDLTKFYMNYRLTGDTPAFQMSSLLVQILKKSTYPRFQIWKYTFYPKSSKSADIHFHKTPEHIVESLKDFCFDVTGCFLPDDFLGDPIDESHWFMEKLPFNDARTEIIRRRKMRQPVLETFTMALWQALRTLRNYHYDLSSRQLLNCTSRGDCTSHLKWEHLKNFREKCRNEGVDQDDYTEQQLHDYAMVSSSSDPRNSSAYRNGSRVQQ >CRE19994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:53809:57614:1 gene:WBGene00069972 transcript:CRE19994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19994 MHAKTFERVKFVFQSISVVFSWFINFFLIYLILTKSPKKMGNYRYLMIYFCCFSIFFSSLDIIVQPNIHTYKSAFFMVMDYKNRGIPSWMAKILICTMCGCFGTTIYGIAVHFIYRLFALERQGRLRFFQEKYLILWFFLPIAGGAAWFSVCFTLFSMDPLKSEYIRQTTKEFFDLNIDDAAYAGAAFYPYDRNGTQIINMRSFYGFGLFLTVMSIPFMVVLYAGGKSYMIISALLKQGETRYAKNLQMQLYKALVAQTVIPIFLLFIPFGTIFILPIFEINCQFLSAPITFVYALYPAVDPLPILFFVDYYRMAITDIFNKIRCKSPRVGIYEEDPSRSNDRI >CRE19993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:49488:53058:1 gene:WBGene00069973 transcript:CRE19993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19993 MQTFSNVKTTIQICSVVLTIFVNSISICLIITKSPKIMGTYRHLMIYFCSCSIIFSLCDAVVQPNIQTYKSAFYMVVDVKNRHLITPWIAELFIELLCGCIGVTVYTIAVHFIYRLLALERQGRLKYFNDQFLFVWFSIPFLAGVIWFAVTKFVFGMNPLTTNYIRNTVEEFFNLKMEDCVYGAAVFYPIDENGQQFISWKAFFGLACYMTLLTIPFVTILVCGVKSFKKVRSLLDHGESEFARNLQMQLYKALIAQTVIPVFFFFIPFGFIFILPIFEVDCHFLGAPITLVFAMYPAIDPLPTLFFVDYYRNAIFEVFNVCKCKKARIEGASDESVSRGYPNTSITTPHFSAPVMHTVTFSNVKTTIQICSVLLSIFVNSISICLIITKSPKIMGTYRHLMIYFCCCAIIFSLCDAVVQPNIQTYKSAFFMVVDVKNRHLTPWIAEMFIGLLCGCYGVTVCSIAIHFIYRLLALERQGRLKYFNDQFLLIWFSIPLLAGAIWFAVTIFVFGMNPLTTNYIRNTVEEFFNLKMEDCVYGAAVFYPIDENGHQFVSWKAFFGLACYMTLLTIPFVTILVCGVKSFKKVRSLLDHGESEFARNLQMQLYKALIAQTVIPVFFFFIPFGFIFILPIFEIDCQFLGAPITLVIAMYPAIDPLPTLFFVDYYRIAIFGIREKVFNVCRCKKARIEGASDESVSRGYPNTV >CRE20012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:39284:40627:-1 gene:WBGene00069974 transcript:CRE20012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20012 MPSTRAQVEENSEELNLLFRQLVTTNQGDQDNLLKRGASNNMSQLQDKDISTALNEDQESKKMSTSPAGQVNKAEQTKPNSTTNGTSAESEDGSSDNNNQSEVETTRWLETITQLIVPFDGNMVNYGSFVSQFDHLVDKVKEIKPELKQSILVKLLPTSLAKELCSAEFSEQGYTLLRRRLNQQYSPQAQRTALMEELKNLEFPSDDYELLISSINMFARYMEQLKTLGCDLDDEFIKHVFVCKLKGDLQLEVAKITWKKKNLSFEELMEVAHERIQSFQYVERLQQATNKSQQEVEKQLYTRCERRVQTDKKQEDVDEKTLINKFIKEFQKSFTPDSEGRIHIGIPHTVRQGELVDNSVVAKQRLSSLLSHLQEKEAKEAYQSIIAEQKDSGITEEVKPKTSTLGPEDNSLNKYFTKHSLRIKTRADKKSQKHQLFRVEGAETSDH >CRE17677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1024:3482:5216:-1 gene:WBGene00069975 transcript:CRE17677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17677 MQTFSNVKTTIQICSVLLSIFVNSISICLIITKSPKIMGTYRHLMIYFCSCSIIFSLCDAVIQPNIQTYKSAFFMVVDVKNRHLITPWIAELFIELLCGCIGVTVYTIAVHFIYRLLALERQGRLKYFNNQFLFVWFSIPFLAGVIWFAVTKFVFGMNPLVTNYIRNTVEEFFNLKTEDCVYGAAVFYPIDENGQQFVSWKAFFGLSCYMTLLTIPFVTILVCGVKSFKKIRSLLDHGESEFARNLQMQLYKALIAQVALFFCFITFSNKSSNLQTVIPVFFFFIPFGFIFILPIFEIDCHFLGAPITLVIAMYPAIDPLPTLFFVDYYRIAIFEVFNVCKCKKARIEGASDESVSRGYPNTV >CRE19990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:29852:31727:1 gene:WBGene00069976 transcript:CRE19990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19990 MNSETYRLIKLNIQVISVIFSILVNSILIYLIIKKSPINMGTYRHLMAYFCCCSIIFGVFDVIVQPNVQTYKSAFFMVVDVKQRNMSVGLGKFCVYGLCGCFGVAIYGIAIHFVYRFFALERRGRIRYFQGFYLAFWFVIPILGGVAWFLVTAMVFPKTKLETEYIRIAVRETFDIDIDDCVYNAGVFFPLDETGKRVIGWDSFAGFTCYLSIMTIPFTIILIFGFKSWKIVRELLDHGESEYSKNLQMQLYRALVAQTLVPLVLLFIPFGLLFSLPIFEIDCQFLAAIITLIFAIYPAVDPLPILYFVDYYRIPVIEAFKRTKCKKNRVSMNPDGSVTFE >CRE19989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:25527:29055:1 gene:WBGene00069977 transcript:CRE19989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19989 MELETFRLLKRSIQLACVVFSLFVNSILIYLIIKKSPTNMGTYRHLMIYFCCVSIVFSILDIIVQPNVQTYKSAFFMVVEVQRRNMDPWIAKVSVYCLCGCFGLAHYSIAIHFIYRFFALERKGRVRYFQGYSLIIWFLIPIFSGTMWFLITAEVFTQTIIEIDYIRNIVKQTFSADMHEIVFVSGIFYPIDKTGRRVINWRSFIGLGLYSVLMTIPFTVIIVFGFKSWKIVRGLLDHGESEYSKNLQMQLYKALVAQTILPMIFLFIPFGLLFSLPMFEIDCQLLSSFITLTFAMYPAVDPLPILYFIDYYRIPIIDETLRQLKRAIHLIFVVFSLFVNSILIYLIIKKSPINMGTYRHLMIYFCCVSIIFSILDIIVQPVKKLGMIKEKLKFQNFQTYKSAFFLVVEVKRRNMDPWMAKGSIYCLCGCFGVTIYCIAIHFIYRLFALERKGRVRYFQGKNLIVWFLIPIVCGAAWLLITSEVYTQTKIEADYIRNSVKQTFNSEMEDIVFISGIFYPIDETGQRIINWRTFLGFCLFSILMTIPFTVIIAFGFRSWKIVRGLLDHGESEYSKNLQMQLYKALVAQTLLPMIFLFIPFGLLFSLPMFEIDCQLLSSFITLTFAMYPAVDPLPILYFIDYYRNPIIGLELLKKNDDMMRVSFQMPSN >CRE20011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:20135:22932:-1 gene:WBGene00069978 transcript:CRE20011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20011 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NCD8] MKETESKSTYDLSKTDPKCAEWMDDCIRRLYNLKVSPENSIASIMSIDEITAILRLIEPILMEENSLLEVQAPIKIIGDLHADFDNLMRLFGLIGKVPKEKLLFLGNYVDMGMDGIEVTMMLFCLKIRYRDRIFLLRGNHETPAVNKIYGFYAECDYKYGVGVWWDFQCCFNRLPMACLISQKVLCMHGGLSPELTTLNKIRSIERPNEPISSGLEMDLLWADPTNRGDGWFQSYRGISYLFGKQIVEQACKRLKITLIIRSHMVISDGFEVMTGRRLITVFSASNYAGTVHNSAAVLCVNEKLGVSFYGPIHKVTHQKLTPISFPSHPSQITLPTTPLTADLDSAIANYDMKIVKRFVKF >CRE19987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:16714:19589:1 gene:WBGene00069979 transcript:CRE19987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19987 MPPKQESKPITSTTSPVKPPFLRSGCCKATTDILVILFVCGVLALGISAVITYFDDEITGETTLNLSSIFLTVIFLIETSSNNTTTTSWILLILFFCGVLAIGVPAAVYHYYHRLKRGENSLTSPYHYNPLQPHQLAYIWPQNVIKIINGIVDNNMHVTNDDRAVLLKTELMRFDTGDVFYIIVYDGTSGPANHAFAGINNEYISVFKPGKCNVVVYRSRFWRSSPSSALSTIAAQVEEVCKSGIAESSDYSDFPKIAFKHIDNISFLGIIGREHNVAVTSANSFGRIWGPGWWDAIPVFQNGTLVSTGKEFILIAVIFIIETSSNNTTTTSWILLILFFCGVLAIGVPAAVYHYYCRLKRGQDSLTSSYYCSPLKPHKWAYIWPQSVKKIINDVVDNNLHVTNDDRSVLLKTELMRFDTGDVFYIIVYDGTSGPANHAFAGVENEFIAVFKPGKCNVVVLEKAAVTESSDYSTFPKNSFKLIDDIRFLGIFGKEHNVSVRSANSYGRAWGPGYWETMPVFRNGTKEATGKQFILVAGFK >CRE19986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:15116:16470:1 gene:WBGene00069980 transcript:CRE19986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19986 MDESKEYSPLPSLNEHKNHAVSGKLYIPIVLLLFIFLACCALAVGISLGYIGRSNVCRGNSTSSYHFNSMNPQKLEYIWPENVEKMINEIVDNNMHITNDDRAVLLKTELMRFPTSDVFYIIVYDDTDGPTNQALTGVENSYITVFKPGKCNVVVYRSRAWSSSPSSALSTITDQVEGVCKLGIAEISDYSDFPKIAFKHIDNIRFLGIIGKEHNVAVRSANSFGRAWGPGWWDTIPVFRNGTTVSTGKEFILIAGYK >CRE19985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:7111:9183:1 gene:WBGene00069981 transcript:CRE19985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19985 MSFSTERTKKRSSSVTSSEKSWAVHMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCQELQERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWKEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQENEPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRDWEKEVEGFGKPTFTVLNASNSKMRVRGQSKIPMVVRGRKVRVVFQLVDNWVEKILIGTNAFGSIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRSASTGDVGEVFKSEGEKERKRVKIRASVIGGEGPGVLEYRKKTMTGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCEFGDVHNVAKRWKEWLKTSANVEVVDPLMLRRKSQDTVDLGEMASEVAGWSTEWEKNADRRPQFWIEERLWKRMPDRREEGEVASPKFYILETHWKRRNKRRKGTWNLDDPSHVKG >CRE17646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig504:9943:15064:1 gene:WBGene00069982 transcript:CRE17646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17646 MSTAEGGFSKIKVLKSKNKKNKKMKKTSKIRPLGTEKPEKRKAVKSKGIKSKFNTPDSKISKKSNTSKKSKISKVSNKSKISKKSLKAKIPPEKTEDVRKMDDLNLILGPNGPRVRRAPVAPVYSPPQQGYNDKPSGEKPTKSSKSGGGGGMFSWLPCCASTSKEKNAPTERRLRANDREYNAQFKYADNLIKTSKYNIITFIPQNLFEQFQRIANFYFLVLMILQFIPQISSISWYSTAVPLVIVLAFSAIKDGYDDVVSERSIESLMRLSISSFYMLHKQCTVSHTAKKNCKEREGDFFTF >CRE23134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:115:11915:1 gene:WBGene00069984 transcript:CRE23134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23134 IFSLELGNFNFFSGIEYFGAVAAKQAFILCSRYKIYPEPLEKAIICEMSSNRNPDIYDIIDEEMVKSFDRETQMLFTKEKLKYLIAEQKSHQAEIKKLEAKGGELVAKLDKFYPAEDDGCWRVEVNLNSESAALKTLNRFLKIQHPTEEYPSVAAVMEHLSRQNIDERLFCQKMTIINDIVRQHYNERRFSRKTYSELVFTMITKIPLRAVVDYVGMTIKLECLKEIMLREKIFLMACNLMKLEDENARPLSLFHCFISTCYGEPVKITEVWNIVKDLKCGIKKRKMAEMLAKVVTSNEIQVEIEDLHDMVLCCLGIHPQRFDIESTQLYVAALSKLLDFRNETERILLFEAIATTPTILELIQDILCHPAASFSNSAFQMVLYFFTRFHVGALQFYPESHLRTIAQIVNFCHWSIRKFAGEPMILSIAIDAICGYLPWSYLREKALLNDGGADELEDPVEKLIVKNLRHNRYCVLLAEHDKEDKMMTVEDFEQLPQAQFIVEILKNKDKGQDQLNILARKLATMVPNSKAFEEIHAVWLAYGLLSDKALELIDEAEKFKDTEKDWKKRNPSRTLMDALVDVGAGFHTSVSDLRMANSWLDKGLGKIQILTDREFCLDDCPP >CRE23136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:24980:27001:1 gene:WBGene00069985 transcript:CRE23136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23136 MDDWTNFFSRGQPAGIPADFSAAGTPDDSSAAGTPDDSSAAGTPAHSSASGTPDDFSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPAHSSASGTPDDFSAAGTPDELIAVSQTSKKSYGKIRQEAKRLSFDLWLFVGELGHFFKFFKGGIQCEELIVVRDEYTSTHNTLFDYTTKPVSSMCSDLYEGYRDIIDFLNDLFSIKSVSVDFAGCWQLEINTLLQHIKHIALKMDEIVLRGPGDHDEEIIEKCDGARMLRLIDPRNCNVQSIHLEQLVIKTPDEYDASHLDTVLNSKAVVIKLGCGGVNLDEFWKKWMAGRYELKYFEMTVNRNPFDLPKMVEGMEAEMMERYAVNHGGEIHEFQPNQCYRVKRRDGDYAMLYTIGDVITFRKCRPPQ >CRE23148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:49557:53736:-1 gene:WBGene00069986 transcript:CRE23148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23148 MPQISRSELILSIISGLTTAMKIPLPLLLLSLIHFSDGCLKINILPPTTTLAPNSCRCEALPLNSTTIHAYIQPSNPFYLVLSTATLTAPTVSIDECSVMMWCDGDYSLVVFDDSSLGKIVSYSGEFKRFQIHFQFGAYPANGFCDAESQEWLVDTRDGLGLTRFNQLYGICFIAPTTPSTTSSTVTTPSTPSTTRSSTTSSSTVTTPTTTSATTTTPVTTSTTTPSTTRSSTIVSSTVTTPTTPTTTSQATTTTTVTSTTSICDCEYVALDRFTIRDYITSDNLFYNDLTSETVVLPMATNESCSVTMTCPTGYSILVLDRTNLGKLFETPSASGQCDATTNKWKVDTGSRVRLTTFNQMYGICIFNEEMNGNYTLPSTLVSSTTTTPHSLPTTTTVRNECNETSVTTLVVAYSNDIPVDDFTTLWEYFDMIKVEENFDEYIAFANIRFDTVNEDVMQYHTTWEEMGVSIMERLPDPSLGFKDAETGSDVLKMIDNFASSTQSPVCRSKMYIFVSRHQTEKDIDKLVEKLRYHHIAPYLFVLKSSTGGFYPETLYDLATRTNGLCEYSDIYNSARLFPSLRLFHATNVVVPGGTGSFVSLFPRFIEEVYFLIISVQDYVPINSFKILNATWDNSVPLLQKTQSVANVDGIWFMPEKNSTQLRFDYDYTGNTSTVVHIRMYQYTSVYRISP >CRE23137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:54574:58189:1 gene:WBGene00069987 transcript:CRE23137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23137 MKSPLLLLCFISLFPPVTSQLCICEIERLDNSNIQDFIPATNPFYSTIASAKLSNPLVTATFCAVTVGCVDGASLMVFDDTGLGKWFGAQPAIGKCDRNYSTWDVDTGNGADDLTSFNQIYGTCVADNDDISTTIITTSSLSPNATSSNTTTVSSATTPATCNCQYEALDSSNIQNYLNETNPFYSTLLTATIKGPVFFTTECVTSLHCDPDYNLIIFDDTGLAKMFGGNPAEGTCNPMSKTWDVDTGNGESTSFHQMFGTCLMKLNPTTSTTTTTTVPPTTTTPEICECPTVQLSTSNIADYINDTNPFYTILTSTTIQSPSLDVDGCTGEMSCDTGYSVLVFDDTGLGKIVSWILG >CRE23139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:65373:67658:1 gene:WBGene00069988 transcript:CRE23139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23139 MCSAMYPLLLLLLFSFLFSNGSADSSCCAYQQLDNSNIKNFINPSNPFYKTLTSTQLRTPEVNFTSDCHITMYCENGSSVLTFDDTGLGKMYNSPIATGECDPTTRQVTMLIQDPSENEFTYTQLLGTCLVKEKCECTAIALDSLNIGGFIDSTSPFYSQMMSSDSKLPFISVSGCTTSMTCASGYSLLLFDDTGLGKVFDTLSTSGQCIAKTNKWKVDTGSRVRLTTFNQMYGICVVNDSETPPGVSTSTTIPTTTTVDTNCTPSSFSTLAFAYSNDYDAEDFSEYWNDEHTTQMSSDLELSWIKFVNIRFDTVNEDSIQYHNTWDELDQSITARLPDPSLGFNSSVTGSDILKIIEKFADNTQAPVCRAKMMIFVKRYPDEVDIDRLVGKLREHHIALQIYVTDTPKGGSHSETLYNLASRTNGMCIFYEEARKAGYGGIRSSKLFYAANPKVSGRGSVQLPIPQVPIYGYDLYLVVEDGPLKYFENMTISWNYTSSSQPVSNLINKTTIDAYYYNSNLGVIFMSVHDTISSITMQYSYSDKKVRTVQVRMYSLSGVPLSWPTYED >CRE23149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:69275:71156:-1 gene:WBGene00069989 transcript:CRE23149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23149 MYCENGSSVLTFDDTGLGKMYNSPIATGECDPTTRQVTMLIQDPSENEFTYTQLLGTCLVKEKCECTAIALDSLNIGGFIDSTSPFYSQMMSSDSKLPFISVSGCTTSMICASGYSLLLFDDTGLGKVFETLSASGQCIAKTNKWKVDTGSRVRLTTFNQMYGICVVNDSETPPAVSTSTTIPTTTTVDTNCTPSTLTTLAYAYSNDWDAQDFLNFWNVEHSFQSYNPQNLDKSWIKYANIRFDTVNEDSIQYHNTYDELDLSIKDHLPDPSLGFNTTATGSDILKIIEKFADNTQAPLCGARMIIFVKRYPNEVNIDRLVGKLREHHISLHIYVTDTPKGGLHSETLYNLASRTNGMCIFYNEAGYDFRWSRSQKLFYAVNPKVSGRGSVQLPVPQKESMAGSEFHLVVEDGPRKFFENVKLSWIYSSWSETMVEAIQMYSTNYGVIMLWKGDSLSNLSMQYDYSDEKVRTVQVRLYDYS >CRE23140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:72406:78143:1 gene:WBGene00069990 transcript:CRE23140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23140 MKIYFLFFISFIEACLKINNLQPTTTLAPNSCRCEAIPLNSTTIHAYIQPSNPFYLVLSTATLFAPTVSIDECSVMMWCDGDYSLVVFDDTSLGKIFGAYPANGFCDAESQEWLVDTREGLGLTRFNQLYGICFIAPTTPSTTSSTVTTPSTPSTTRSSTTVSSTVTTPTTTSATTSITSPTSPSTTRLSSSTTVYSTVTTATTPSTTSQATTTTTVTSTTSICDCEYVALDRFTIRNYISPDNLFYNDLTSETVVLPTATNQSCSVTMTCPTGYSMLVFDRTNLGKLFPGASASGDCDSINNKWKVFTGQQTTFNQFYGICIVGDSENHSTTGTSSTVPTTTTSVSTSTTVPITFTTVPATSIVPSTTTVTNSTTTVPPTFTTVPITSTVASTTTVPTTTIMPNTTKRVLDNPKTLCRSKMIVFINRYTNETNIEKLVVKLRERHVHLELYVIDTPTGGLYTQPLYDLASRTNGVCTIINDFGRIIIVTYTRQFYAANPKVSGKGTMELAIPKLPKNDYILQIAVQDHLPLDQFRNLTLTWGNGMVPNPYNINQELFIKYYGVINVLNTQIKCNGTINRMTFNYNYVGAKAQVLQIRLSNYYLIPDSFPPFDN >CRE23150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:78789:80420:-1 gene:WBGene00069991 transcript:CRE23150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23150 MPPLPLLRLPNLAIHEVVKLMDPMGILMLSYTSFKTKCVIRPFLVKPDFRIVLIDNSSGQSVAFGIHGFGFSVLKINVDQENVDLPVSKFTLSTGRVISVPLSVTDQVTHWSTRYEGFIRLLQIILDTFSIKSVSWSIDNQVDESTDLLVTDWVANQGLKLLLLRVTGEKINVGVVHSLLQLFESTPQLELLGRQDFTRYFFEDYKFSRLRIHNAEWFHLSWAVHSKSVKLTVKLFDELSLNEFLLLWMGKESSVMLEHLDLVQTDGIFNISSVINGLEAVRMDKESVEDLG >CRE23151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:81493:86300:-1 gene:WBGene00069992 transcript:CRE23151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23151 MVIQKDIPLQPLNSSGQAVAIDAPAPADVAQIQLRVYKQRWVVLLAVALLNNTNTMSWIGYAPSGNYVNRFYGEGSAAWLSLVFMICTIPVGMFAMWAGREWGLRTAILIAGYTNGIGSIIRVISSIGAISEEYRFPICMTGQAIAAVAYPFIMFLPTKVAGSWFPDTQRAIATTIGVMSNPLGVLMANLISPAIVTSPDRIFYLNLFTSIPSCIAMLIAVFFVTRSDPKHPPTISASQPQMPFTTGLKSCIHSKQYIILLIVMGGGIGMFNCLYTVMLELLCPSGYSNLFSGFCAALMIIGGICGAAASGTFVDRTKLYEETLKAGLAAAVVFGLIFLQLTLHQGFSIPIAISCLLFGICGLATYPIGLEMASECTFPVSETTSTGLIVLSGQLQSVIYVFLMKNYARPLQPERMGGQVCQLTPEDTVNTPKDNTLSIIIFSALASALVFLLVCFFKPVYKRLEAERAAIKTRATAQDITQEVSNTITIPEESAVVPLVEAKIVM >CRE23152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:91496:95990:-1 gene:WBGene00069993 transcript:CRE23152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23152 MKIHMVVLYLYLLIIGANGEAKKKSGGRLTLEENKQRLRTCGTTGIDTPSSNSTSMEPNKFPDWLSWAITRNQNSGAALTTMISPRHFLTSSQVVMHDDKTWRWNSERVKECDKEKRQNSRNLEVPKEILEHLELFKTECPSTCKNTSVIPVTRAVILNYCLTNKLWQWSQAVMVMEIAEDIGVDNGFPCLEYDTQPNGEMLDVYSFLWKGKLDQHISHMKLPVLKYKAGKFYKFPRYNEDGERGSPVMRKKSTGNWTLVGLGAQNISDSETGVLRMSWIGWQLCREVGVCYDSTPPPPPPKPTAPPRVETTTMPTATKKAEPKKTKKPEPPPVNQVPITVKPITPATKPMQKPTVTAGKLTEAENERRLQTCGQKPIDTPSGNSTSVEIKKWPDWAWGARTHGQNGAGTALTTMISPRHFLTSSQVVMHDNTTWRWNSERVKKCKTGRVNLKVPREVLDNLVFKYLISRAVILDYCKMDERMWNATQGVMIMELKKDANESFPCLADSECTDYVMGFIFIVDNFLIAPPILIYLTGDHTKFLVFDRYHEDGQRGGQIMDNVNGRWTLVGLGAGGTSEKSVAFRISALQESLCEETGVCWLKDVPKLPPPQTTELIPKELSTTSSKTPEAPSPPPTSPPEPSPEAPPPRVAPPARRREDDETDEDYEMYLKRKKEKEEAEMYENEDTDILISKDFFDDGTRPQLWITLFIIAFLVFL >CRE23141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:96332:98496:1 gene:WBGene00069994 transcript:CRE23141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23141 MKFQPIIHYLLLIVVVTNGDAQQPMTGRLTPEENAERLRTCGATTLDRPSTDAVPLEAGNWQIWLSYVEINNAKDPSNTGGAAANMISPRHFLTSSQVVMNNDRTWRWNSEKVAGCVNGENHLKVPSEILSSTKLLFHNSHVNYIQWNERPVKRAVILNYCKMAYFNNTQAVMVAEVEDTPNRGFPCLVDATTAMHVKIEDSVDVYTLILRRNNHYLLHRKLNITGNGIDMFFFPVFHEFNTRGGPIIKQIQDKWTLIALGTVGNEKYSLAFNISTLEKDLCDEVGVCGPTPTRVVPPPVASAAPPTTQPPPPAEIFIPTQNPAPPPDVQQPSPPAPTPEPVPTPATAVTSSNHPEAPPPLPTPPPEPSTEAPPPPAAPPARRGEDAETDYDDYEMFLKRKKEKEEAEMYENEDTDLLISKDDFNDCDGRRGGLQSLLLGFFVFYWFLSE >CRE23153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:102842:104986:-1 gene:WBGene00069995 transcript:CRE23153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23153 MKFQSIIHYLLLIVVVMHGDAQQQMTGRLTPEENAERLRTCGTEMIREYYSLIHLLRVLYSELPADDRKPVQTTYRPRWLLLLSGTKWSLGTMISSRHFLTSSEVVITDDKNWKVGGHAVSAKCIDGHLEVPTGFFQNAKFHKLICQNSNCTWIDKPVARAVILNYCTIAPENWNKAQAVMVVEIEVDPERSWPCLVDNIAEKTVASVHMLDILTLVGNSNNMEHRRLNIFKTSKEFLELPQYHEINHRGSPILWVFDDKRWLIGLGAGGSKDTSYGLKVSNLEKELCDVVGVCGSAQILVAPPTTQAPPPAEIFIPTENQTQEPIPVPTPVTAGTPSKTVEAPPPPKPSTEAPPPAPPAKRREDDETDNDDYETFLKRKKEKEEAEMYENEDTDILISKDDFNDCDGRRAGLRITLLCFFSVLLVFV >CRE23142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:105331:109571:1 gene:WBGene00069997 transcript:CRE23142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23142 MKIHMVVLYLYLLIIGANGEAKKKSGGRLTLEENKQRLRTCGTTAIDTPSRDNKAMELKKWPNWVSFAVTADQKGAGAALTTRISPRHFLTSSQVVMRDDSYTWRYEPKRVGNCRQMPQGNLEVSKEVLKNLLFFKSGCLPNCNKTSLIPVTRAVILNYCQIEPKMWWWSQAVMIMEIAEDIGVGGGFPCLAYETKPKGEKLDVYSYLWKERNNQSIYHRKLQLLNTDGMSYKFQRYNEDGERGSPVMKQGMKDRRWNLVGLGAQNMSDSETGVLRMSWIKWQLCREVGVCSDSKPPPPPPKPTDPPNVDTTTTPTTKKAGPPRTRTKKPNPPPVKQVPITVKPITPAPKPTPKPTVAARKLTKKENLRRLKNCGKTPIDTPSRNNQPKEVQKVPNWMLLGRTSGRNVLTTMISPRHFLTSSQVVMHDNTTWRWNSKKVESCTRENGQVNLEVPDEIVREIRLYENSKNSPIPVTRAVILNYCKIQPTTWKWSQGVMVMEIEKDIGVGGGFPCLADNSTVDNYFKGRALDLYSFSYQKYSHRRLSIREGIDFTTGVFLFPQYKGDKYRGGPIMDNGNGTWTLVALGAGKVFGGENSSAFGISTLQEQLCEVVGVCFRKDVPKLPPAKSPESTPTASGTPSKTPEAPPPPSASPPEPSTEAPPPRAAPPARRREDEKDDDDYEMFLKRKKEKEEAEMYENEDTDLLISKDDFNDCDGRRGGLRILLCAFFVLYWFLCE >CRE23154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:110226:111373:-1 gene:WBGene00069998 transcript:CRE23154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23154 MSMNFVAIIEHLAFLLVSVTCFAISLCGQRVPSTRERSSRRGPDDSASSSQKQTSQVETEKEAAKRSEYSHKSQRNETMDSIRIMRGKKNQRKQKDPKELKPDRSDSQHTEIINVPLGKAAKDAPSPSKEEKGTENRARVQFAEKLVKSSKTPTQSSPAAPQKPKEPEMSKKSKKSKKSFKDAFSRKSKKSKSAKKSGKK >CRE24824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:75762:77962:1 gene:WBGene00069999 transcript:CRE24824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24824 MLYSIVTLGILMVMIGPVASDQTAGAIIRPDGMDEEQLKFIADMNQLRLQFADKFQIQNMQMLIWSKELQNSINGSMSTSTLPNFNERNWRLMNLHDYKEFGKIQQTWITYSEDNVTTIGDSTKSNVYHHYLELFNPHQNAIGCARHNSSDSDFKVPCLIGPNGPLAEVPRYQSKKIKCTSPLIFNKVIGLCTPSGLFPDSSELMFISVLNEKRREMAKRDNISNMHELTWSEKLLQMLLIIINANNPHENYQIVEFENYASGLKLEFNKRQKLSHPSQTEISCDETRKKGNKILCLLGPNEKKTDFLRGNPGSNCIKGYENKDGLCSKSKVNPQTALETPPTAPTTKVTIEPVQQSPPTTQPPADSDDGTCPNTPPGPSPTRFTTEKVTPEPPPTHPPELADYEEIDGDEYDEDFPTGSPPSQNVYWYNDSRCGGGGLGRWIIVFVFFVFM >CRE24827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:14782:29460:-1 gene:WBGene00070000 transcript:CRE24827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ego-2 description:CRE-EGO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NHN2] MEALPTMPLMAPQFRTSTLSYDNIPSFDFRLRMKEYILLTFNADPHDYDKAFDELSQLKFLKNSFFPIKIRNDTLFLPQNRIFSKKEANIPAATPEQTHKLKKYYNQLCLMQKRFPMGSGEQMETPFAWHDGLVDMRSAQSEVTICDIEFEKASVMFNIGTCHAQIAAEQLRDTQESIKMAFSHLQAATLAFEQLNTFRNSDFFYPSVDLDANVIAFYYKVLLAQAQECLVQKSLLENRSPILIAKLCLWIQEAYDSATKIVDDWSVNIPESVQRYYAKLCRVKSDIYAVIGYMSMGDHSEKEEKKMGWRLQYYNIAMKYQDHLTMNQAKMRDRYPELYVTSLFLFDVITAKQKNAEKENDFIYHDRVPKQEDAVDAALKDGVRCIVDLKKVQLMDPSGAYGADLFAKLLPSFVQEATAKYSEKKDEILREMKEIIKAYDDHLNYQLQLAEFDKLRFMLDSGRNREAWFEISEDLMRRNADMTSYPDCVPNLIEKMRESSDTARVAEAKLNTLLSKLRAIDLPRLKADEGFNLIQKELERLGGHLEQAKANNVSLNKAIAQHSANLQLLTLPCAEMWQKIVPEEGVKKSSSEVPSEQERRMREMVNKVLEMIEQRKQFIAQLEAALKADDISSKLIGTNERGAEEIMHKELEKHSDAQKLIRLNATAQDAILRAFTDANADFFEERSELSTKKEEYERRVVELCASYEVFQDVQRKCEEGEQFYRQLMARCDQFAIPVHAMEEQYREELEKKERAQKEAEHHMNQLRMSREAQSALMDFGGGGGASRAPPPGAPGGGAYPPPPRGGASGPRLGDFLDSYRARKAGNQIPPSESQEVGGAYSQAPPPGPPSPTPSSICDFPVSSRSQRFSSHAPFQAPPPGSPAYQQPYPGPPPSSYSVPRVVPEVGGAYGQQAPPTLGAPGSYQGPPTSQQAPPPPGYQYQAPPTSYQAPPTGYVPSPVPSQGGSQGSYQTPPPHQAPPTGYSPSPVPSQGSYQVPPTSQQAPPPNQAPRTRKYQAPPTQYQAPPTSYRLRPHQLQRPMLRHRLQVMLEVKDLIKLRPLRTRPPPPAHQYQAAPPHQHAPPPPRSPVYGGTAHQYQAPPPSGAPGGYQVPPTSSVSPNHPGFPPYQAPPPTQQYQAPPPTQQYQAPPTSQQAPPPPPQYPSYQSIPPPAQPTYQHPPLGAPISAPPPSAQHQHVAPPTSVAPPPSVAPNRFTPIPGAPSPWHATPAELKTPWATQPQYHAPQPTPGAPGAAPQAPPTSQQSNVDLLSDLLGDFNMAPPIQPMVQNNQYQQQAPPPHQAPPTQQAPPLQQQNPSDFRLATNPPEPPSVASRIKPAAAVQPMPQIVELRQLAQQHVDNVQETPILMKGSPSVTELSDPSKFQLGEGNVQKLEKRMLHQSFRQKSKCKKDLPAPRVEPWLSDWYQNIKNRKQKNICHQWGSNPGHLISTKKTKNRKQKDLHPWGSNPGR >CRE09684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:195492:195813:-1 gene:WBGene00070001 transcript:CRE09684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09684 MKVFQLFILLAFVVLTTSASSNPFCKFCSPAISIPTDWATVQKLLKISCRNLGSAVKACEALVDAVDLDSSYSKMYPNMVDLREAGCKVYC >CRE08019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:303031:305974:1 gene:WBGene00070002 transcript:CRE08019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-4 description:CRE-DHS-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M3U6] MFDLILEIFFLFLNVIRFNFISLSKYILPYSLLPKKDLHKKRVLITGAGSGLGKLLTQKFADRGAILILWDVNLRSVDELKDQIRGNGGEAHSYEVNLCDPRRISEVAQMVLRDIGKVDILVNNAGVATAKLILDTTEQDINTSFGVNVKAHFYTVQQFLPSMLNEDDGHIVTIASAAGKMGSAGLADYTSTKHAVVGFHDSLVAEIMSSGKEGIKTTLVCPYYTHTSMFDATDASTRFPSLFPILDTEYVVQKIFEAIETEQEYLVTPSIFYWVLPGTQILPYKAQKVIAEFFGLIQSLDRFHK >CRE09643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:192177:192660:1 gene:WBGene00070003 transcript:CRE09643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09643 MATAMMVPSTGTIYWKHPVNDAKAQAEYQLQYLIHALNMKDIELFKTLAYDVVQATPIIKLYATGNTGYVMSASNIIGDRHLVATGNLEGTDVKLYFSWEKNAQSPSGYKLIACRACQLSECGRY >CRE09683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:188873:190144:-1 gene:WBGene00070004 transcript:CRE09683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09683 MEYCFDPYNFYFESDHLYSLVFNRMTSLPALSEDDVKSVLDEFPAFPDDQPKLLSVQNPGFKNLHTTSFVVPTNVMTIVGNIGAPKRMKQFLKKVPDSQQLGPQEPQPNRETPHLRAQCYPYSSPAHYQVASEIYKPTIISEFQPAAGAYDYQSYMTSALANYSQYTIKPRRKRTKFTETQLSVLEAKFQENNYISMKERNDLAEELKLNPMTVKNWFKNRKVLQKKRRTLSGNSWQPS >CRE09642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:184728:187936:1 gene:WBGene00070005 transcript:CRE09642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09642 MPNPTTASPVTRTLHIGEDVEITLYSFVYYPMWFWVVIAVGFTFCTISCAVWFFCAMARLRKEKPCNHPVYEARTIITKDGEEKPADKTQKSEKHCKKVGALSEAESLAKSFKSVRSKKSTKSSRKSAKSTKSSHKSGKSMKKKTSKEENVDEPRNADENQEDHGGQKGDAKSGKSRKSSRTDGGRDGEMFVVDMDQHEIQRENNGENVLKKLASSFLIWRK >CRE09682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:178357:180819:-1 gene:WBGene00070006 transcript:CRE09682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09682 MERREVYTTQQPDGTYVTTTTREQTKYKEQSVWSCGHGPTDKNYCMGPLGLLRIAQIFLCVVIVCLITSVFGPGPFKGVLFGQTILLTAASVAMLLTFIFLIAYFFTLHLNHLDFFCWREADLTFNLVCACMFVVLSIVEAYYSTGSWSNNCNDIGSDGIIHNGCRIIYEWAFASVRLFLFLTFALAILYALSAWLSHQNRHTLHIRSQQDLY >CRE09681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:169452:177726:-1 gene:WBGene00070007 transcript:CRE09681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09681 MGRPTMPRKKIRNPSNETSRETTHTTTTTTEAASTGGSNMLGVTGGGLDGSTGNDHSEKHTNSTSSSASTGASTGGSTGEASTKLTEKKKGRNEADAMNKEMMELAANCEKNAPNADKFVLEKKNFEVTSLIRGTKKDGAYFIHDDNNNKYLLRIEPAKSGRLRFAHEIIVEMEKLFPKKDDIPVLRAIAFGSVPKFGNSKCLVLSPYALTLYEILKFLKTFTTGCAYNVGLQTLDAIKYLHQAGYILRNVRPDSFSVDAESERKIYLTGFRFARSHFAGGTKNKKVRAARSNFKFGVTPRYGSLAGMNEKDQGRKDDLESWIYMFYEMLDRKNALPWANKEKSKAMIEQKEQFMENKIPEVYKIVPSEFKEIVDMVRKMKYESAPDYQAIKTIVEMVGIAKQIDITVCDWMGKFDDPAIRQMVIDKGAEKTVDNVFTGKDDFEVTTNLGRKILNKDDQLKSEKTTWKVVCRLGSGGFGDVYKVVNDKKTPYALKTECDSGPDIRMLRLKVELQVLEAIEEARKAPNQMDIPGRSDVYQHFVELVDRGRNKALKCKFIVMSLLGPSLEDLMKKYDVNLSEKQNPYMIAIQTCNVSGRVFLQKLGHLLFQAIQDLHNIGFLHRDMKPANFAIGLGRAEGTIFMLDFGIGKGYIDPNTGKPKAPRARVRFFGTRKYASMASMVFREQGRRDDLETWLYVIYDIFDPENGLSWKNEVNVEKMLLERTRFFAGTGKFQIYVCQQSYAIVTTIKDPKKKYRTPDGMRMIVNYIRGLQLDTTPRYQFITESIRNVAFLNGLSTQDLSKPFTWVGNLKLTDTKKKGTKKVEHFSDSKSNKLTGGSAEA >CRE09641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:159972:169088:1 gene:WBGene00070008 transcript:CRE09641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apr-1 description:CRE-APR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWZ4] MSSSSSDENETTIHRRSGIYTQPKAGSSKRSSSVRHDVSDADEDDEQPRRRLNKALGASNCVREQVYYLRRKPSTPPPTYYHRLNAALHTIVKESFGEEYRKVATVLGLVEALAEVLILEVHTFGIPESNAGEHRNIRKLIANALTNLTYGQILSKRRLCSYDGFIRCVVRIIIESPNITQVYAGLIRNLSWNADSGMSEALQPTVNALSIAAVYAHTHRFDVTAILSALWNLAGHSVENKRTICDTPNCLKVLASLLSPDAKFTSLVDSATGILKYVSQYLATNSTHLELRSLLISRMLTLLKSASFTCVTNTLGAIAHLIAKDPHMQQLIRQDVAAVQQLNVLRNSNRDDIRKAVKEVLNTLNQPCSSHRYGGDMSHSVGGGASGIQMLSSEPQLQMQTSHHAYHGTASPRLLSLRATRASPGKYIHPQQQMQVAVDQRSSSLPRHFAIQRNGFMMAQSYNQQMETQQQIAYQMHHQQQIMIQTDDQQQKQMQEHHQQMLYLQQQQQQQFHQLQQQAPPPPVPPPCGDDDLDIPTSTVMGTRSNSDRSLGSMNPGSAMTTGGWNSTLDTAANSSRALSPVSFSDIPASPTMCAQVFNLGIQNPTETLQNQMTSSQTSQNPNTTHYSNGSANTMTRSDGATTIPMDNIITPTYATVNPPEDLDSPDDVLPGPALEDPDDGDYAIIGGAAQKTDDELLTRSIQSEMPTSSSTPKMKVSPRLAGFFSPTSRSQTSPILKPSSQLRRDHPSDADRLLMESIMSEMPRDALPHGAPRANSKNGEADRRDAYTASHESSDQGFEIGRGGSQMQRMESLESHASSDDDSFGLNGDGNIGSTMRIQEEDDVIDRSLPMDCCVDDEDYDYTDDHFDDFDYEDQDEDPDATQFDEGIDPQLTIDCSMISSGSGGSGSSIPQKNETSGALATSTPKGSSSSIPGVRRATRVATNGKTRLPVPKTNGSLVEKMRKPVIDASRPRLPPKPTLLKEKHYYPAAEEEEEDSIENQTREDTIYVNAPIVEAEQEHRIYMNALKQNQMKLETTSSIQSTPPPQQTATKSAIVTPYNYQKPPFTGRSNGEMNTEKSVTPNPKQMLVTIV >CRE09680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:153803:156360:-1 gene:WBGene00070009 transcript:CRE09680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09680 MRREIRRICAFDVSDRWIKMFRRKHGIRYFSGRRHADNNKVNKLIPLAALDTTKVKTSNFLKERRVLRTSRKEYVDVDKRVNDEINRRQSQGERLTNPWIREYAQTIGKELHPLVADIEQFFDSNWLYRFKRRYSVELKPRNLRELSPPPLSLEEFKLEPSSTSEDNYYKMLQIHHYLHLLNGNGSGVSKEEPIGNWKNQEKEQRSMTKP >CRE09640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:136335:142576:1 gene:WBGene00070010 transcript:CRE09640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vacl-14 MSDGQYGPISAGIIRSITDKSYERRKAAALDVEKLVRDLYNNNQLSQLERCLAVLAELINSGNSNQRKGGLIGMAASAIALGNKNGPPYTAKLVEPIIPCFLDADLQIRYYACESLYNIAKICKTQVLDHFEDIFDVLWRVTADADTNVRGGAELLNRLIVETVLSKEDFDIAILMALIRDRIYTQTSSNRRFILEWLNTITTTPFFSVCNYISEISDGLFKMLGEQAPAVRDLCETVLGNFLSGIKMRPDALSHEDKIQMINVLVVHTHENEPFLARKLSLIWLEEFVKLYKEELLVMLSTCLVGILPSIVEHELRADAVNRLMMTLVGENKLEQDILDKTIEVLLKYIKYDVVETRVTVLNWIRHLHSSMPGQLFVHMHRIFPVLLNTLSDTSDEVLLLDLFLISNICQSESAPDQVDISTFGLDEEALKQLSHISPFLIKFALSLLEMFRTEPSLLRERGVLIIRQLCLLLEPAQIYRVICVLLERESKHSFAQEMVSTLHGVLLTATELFILRDELRALASESSRSLFECIFRVWSNRPIALLGLCLLSQHYQQAADVALLLSQVDITVDVLLEIDKLVNLIESPVLACEFGIVGIWEGVLSALHRSYNCTNSQYAPKVPPCRIDFPPLMQHFKTALARRQTEVRSRHRDLLSGVVTQMRSVKM >CRE09679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:127442:134454:-1 gene:WBGene00070012 transcript:CRE09679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ath-1 MFRSVSRSAISTSRCVFLSGLLSRVLLSSSVVSSVDHNGSSFSSTQRTFLASKIGSSLSSRLLCSLGGPPSDEEDSERMSAIAQGTPAVVNARGQHKGTLIFLHGLGDQGHGWADAFGSEARHENIKAICPHSAERAVTLNMGMRMPAWYDLLGLDANAPEDETGIQAAARYVHQLIDAEVAAGIPANRIAVGGFSMGGALAIYAGLTYPQKLGAIVGLSSFFLQRTKFPGNFTANNATPIFLGHGSSDFLVPLQVGQLSEQLIKQFNPNVEMHVYRGLQHSSSTEEMRDLKTFLGNHIAK >CRE09639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:123367:127373:1 gene:WBGene00070014 transcript:CRE09639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09639 MGCTHSKNSKSKSAVAKTEQPLERTLHGSRIAHFDESRATTESTSQESEDVAPQKNQFSKSFLNETRESTAVVPDKSAKKVTDAKSHQTTGASTDQHNLPPQNSTQNKTHTSEPPIEPVESVQHTAVPPTQKQYAASTYYPMPIVGEKQKSPEKKKQVMKTTAGNEEKKKKKEEKTREKSAMIGGPNYRPQLTPDDLEYIRRSVQQRNERRAYMMAHRNETDDTLYELEVRMPEKDYTTRFESTQTQNSRAY >CRE09678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:116250:121414:-1 gene:WBGene00070015 transcript:CRE09678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-48.3 description:CRE-CDC-48.3 protein [Source:UniProtKB/TrEMBL;Acc:E3MWY9] MSSAKKKSILVTCPQCDAVLLTKDSNRHQDFCGKSAEESEISCARNGVLRGFNVAIDKAESFLPPDAVGWEKEHSILINQHTMETLGLLARQPVRIRYSDDVFIGIVWPCKEMALLKISILSTRIPRERMITMETVNSINTVTSLGVTVESTLRVTVALKGFLEAYLGHSYLEYGLPVVLKYLGQIVNVIPEEPIEQRIGRMGLDAKSPSTVISTGADFRIQILNSDSGTNSTSSSSESPKPQITDLSNIGGAFGAKRILTDYVITPVLHRHEPPCSVLIWGLPGSGKTLLLQELSRVLSGNVTYIGSCDELVELGGDVTGQVVIVDLNEVEKENSKVNHALGKLLAEEKTCVILSVRSSESLDLGFRVRFPVEAEITVPTQEERLEILSKISANFNNFPPENHLEIARHTHGFTGGDLCSLLKASRFARGETPLDRVNDARKRIRPTGIRQFILEVPNVSWQDIGGNEELKLEIQQAVIWPQKHPEAFERFGIDPPAGILLYGPPGCSKTLIARALANEAKMNFLAVKGPELFSKWVGDSEKAIRDLFSRARQVAPTIVFFDEIDAVGSSRGSEKSSGVSDRVLAQLLTELDGLEKSSRVVLLAATNRPDQLDSALLRPGRLDRAIYVGLPCEVTRRAILEMRTRKMKFEDPTSTIQKLVEKTSGYSGAELVAVCRTAAMFAMRESIEASVVQWKHFEEALVAVVSRTEAYLLEIYEDFKAGRTSNA >CRE09638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:110756:115677:1 gene:WBGene00070016 transcript:CRE09638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09638 MSSGAPSGSSMSSTPGSPPPRAGGPNSVSFNFRDLCCLFCCPPFPSSIVSKLAFMPPEPSYTISEDNKLVLIEGRAAWPHENIFLETCVEMRVARTRRRNRVACTMIRAIPDAHFTLLFSHGNAVDLGQMSSFLYGLGYHLQCNVFSYDYSGYGCSTGKPSEKNLYADITAAFELLKTEFGVPKEKIILYGQSIGTVPSVDLASREDLAALILHSPLMSGMRVAFPGTTTTWCCDAFPSIEKVPRVKCPTLVIHGTDDEVIDFSHGVSIYERCPTSVEPLWVPGAGHNDVELHAAYLERLRSFIDLEASAIRVTAPITAATTAATNNGTASA >CRE09677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:103016:104510:-1 gene:WBGene00070017 transcript:CRE09677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-iftb-1 description:CRE-IFTB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWY7] MADDLALDLGKKKKTKKTIKLDDDEAAPAPETGVDALGDGVEELNLGTKKKKRAPKTTEEVVEDKVPTLDIGIGAQNLIDAKGLWPDYTYEEALTLVFQVMKDKNPDFAGDKKKFAIKLPEVGRAGSKKTAFSNFLEICRLMKRQDKHVLQFLLAELGTTGSIDGSNCLIVKGRWQQKHFESVLRKYIKEYVMCHTCKSPETQLTKDTRLFFLQCNTCGSRCSVTAIKSGFKAVVGKRAAIRRATEATAGK >CRE09676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:100487:102729:-1 gene:WBGene00070018 transcript:CRE09676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09676 MSRLFSRISNALDSKIIENLHLAISDLDSDGFDAEKCVPAFAAFLREFITWDLTKSPERSQNILICFMNYLKNPQILKEICVEILKTQKAVAVKVAVERMIEENWTLSHEECREVWKKIKDSKIDKNSENATENSKILRVSGTPNRFLRTLLLDSMGNSTKFGADFLNELAVVAPFHPLITAETSGTSFLTPHVFSDEYRFRLEIEEFIQFLHPSSPYQKEQARVMLHVFQGICERMMRIEALRSEDIEPMVDFWLAAIRIFDGFGIGMTDIQEAAKMIEKTSGRFEIQRRPLFLKRFLRKICEVKDSNCGMEPQIVATIITTFQRGAFSLRSSEFYEELGEFWTLCLKVKYDDVYYSTVFYSAVFALAQAQAVFKVKRELCRAVYREILQPMHRQIVDFKKLKEVEMNKAKSDEELMVLEEKNLGASYFSILTCTYKNAEERILEFIN >CRE09675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:97134:99435:-1 gene:WBGene00070019 transcript:CRE09675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyc-1 description:CRE-CYC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWY5] MQRAVVQGSKRGLAVLAGVTAASGMGLVYALENSVHASGDNVHPYALPWAHSGPFSSFDIASVRRGYEVYKQVCAACHSMKFLHYRHFVDTIMTEEEAKAEAADALINDVDDKGAAIQRPGILTDKLPNPYPNKKAAAAANNGAAPPDLSLMALARHGGDDYVFSLLTGYLEAPAGVKVRIGVDDGKAYNPYFPGGIISMPQQLFDEGIEYKDGTPATMSQQAKDVSAFMHWAAEPFHDTRKKWALKIAALIPFVAVVLIYGKRNIWSFTKSQKFLFKTVKGREPPKKSQ >CRE09637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:91795:96481:1 gene:WBGene00070020 transcript:CRE09637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09637 MASFIPNLPSVARHVDLKFRSALLLSQLFTKSWGHPDVIKQMAKYRRDVMSKRLVMEYVKELNPKIDLVRKSTKNGVTAYEGFFTSPHATLFPDHMPGNVGRAHFRAWLPERPSPVCIHLAGTGDHSYFRRQYLLVEDMLKDGVGSILVQNPFYGDRKPPNQFRSSLENVTDLFVMGASLIAECNHLFHWAETIGYGPFAISGVSMGGFMAQLAGSNSQRPISIIPILAWTTAGPAYTEGAIAPAVNYKLLQKQLEDPHYVEKLKRIPNQNWLDKMHEMTARNGDSEAKNMMRILMDDFTSLENYPTPLDTSLCHVFLADQDQYVLRNQGTPSYEQLWPNCTVEMMEGFGHVTAYLLKHDLWRRRINELLRRQQQKEKK >CRE09674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:87432:91573:-1 gene:WBGene00070021 transcript:CRE09674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dod-18 description:CRE-DOD-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MWY3] MSKNQEPYSVIIACDTVIEFNGEIIGKPTDANDAIETLKRLRNNTHNVYTGMALHYHDADEYEEIIEKTVVHFGDIKDRVIEEYVKSGVPLNKAGSYGIGEFAAVFVRGIEGCMPNVVGLPLHRLHQALIAKNIL >CRE09636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:76566:85390:1 gene:WBGene00070022 transcript:CRE09636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rskn-2 description:Ribosomal protein S6 kinase [Source:UniProtKB/TrEMBL;Acc:E3MWY2] MEDLMMPEGEKVSMENFALLRVLGKGAYGKVFLVRKVGGKDHNTIYAMKVLRKTRVMTKQKTLEHTMAERQVLERLRGTPFLVNLFYAFQTETKLHIVMEYVRGGELFTHLCSRGHFDLEAARFVIAELVVAIDSLHQRKVIYRDLKLENILLDEEGHVKLTDFGLSKLFLPGELDRANSYCGTIEYMSPEVINRPEGGYSDVVDWWSLGVISFELLTGCSPFTVDGGQNSSKDIAKRILTKKVPFPKTMDADARDFIGNLLEKKLEKRLGYGGVDEIKTHKFMASIDWSAAEKRELKPVIVPRIGHDLDTQFFSAEFTSQPPLYSPAESPLNANCLFRGYSYVSPSVIFANDNVIGEELMAEDVNALLASSSFFAKYKLDKSETGLLGKGAFSVVRRCERAADGAQFAVKIVSQRFASQTQREARILEMVQGHPNIVQLIDVHTDPLHFYLVMELLTGNELLERIRKLERFTESEAADIMRQLVSAVKYLHDKRIVHRDLKPENILFENDDSTARLRLVDFGFARLLPNPMEQQLKSVQVLRKMTPCFTLQYAAPEVLDVGDSQPEYNEQCDLWSLGVVLFTMLSGQVPFHARSRQESATEIMQRICRAEFSFEGDAWTNVSADAKNLITGLLTVDPKKRLSMQELTAHMWLKSSASMDTPLQTPSILPSSADETFNETLRAFLHANRDGFHLLDVAAAPLMKRRGIKRQSGDKDTGNTKSSRVTQFECLPEEQEMEMTSSTSRPNNLGMMNYREPNSGTIRETRGSDSS >CRE09673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:62216:65362:-1 gene:WBGene00070023 transcript:CRE09673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09673 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MWY1] MASNDTLRNDVDEEKGTAAEGGAAKPPPPPPLYKIINRKDEAPFELKLIPDILIFKYYMVPAYATFTIHNTKTEKHAFKVSAVKSSDNNVYQAKPSVGFIKPGEKVHIRVMYQNPAQTGPADSDSKKHVAVYHVTAGNAKTYKEAFAKKEKREGVYHYYCNHQAEVQQVADGDEEKKDENQEEKK >CRE09672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:42919:49610:-1 gene:WBGene00070024 transcript:CRE09672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09672 MGGQLGSAHFLALALAQPLALLISHVFLCSQIFFAENMGLVESSETGEKELRSTVAPPSTPYDDADQRFKTLLGDMEEVPADKIREALLDVFDDGHLLRILDHLKEWNILNEKHNTTDVCGIFMTALIHYLPIYSDTRLELSIDKFNEKTEKVYEERLEIDKKAADAEIEELTKKLSREENRAQTANSNYKKLLAKLENQKNAHKHVMQQKDEEIERLRKENTLLFEDLVRARTGNSKQEEVTESKKLLKEDLKSAKYKNQQLETTIADMKKTLDWEQLERKGMQKQIEDERERSTKLETELNESRLECAAYVQMREKDKMSKSLLRDEETRTQQLIDYIGIMWNYQVRRENNKLFNFTRMICMLSDSQKNQEIEKTRKKLTEIRYMMKPCQIEQALEDIRNSKKAKKVFEETLEGLLDHIVKNPNQGISHEVPTHATYLPIIREELQHLFEEQPKRI >CRE09671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:33336:41444:-1 gene:WBGene00070026 transcript:CRE09671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09671 MLHLSHPEFNQRARWSQAELQLCISELPSGLFEKHRDLNAQMDELEKDEKKIKKRNNEIYKNYLEIVCSQCSRLELFGHPEFLKGFVRPGELTTRYEISIGLLTVLIKNLVIVTSHPPNNTQQQRFQALNAAVGIGPQDIDIAIVPCFEIIRMPDINFEAEMIKVMDKYKYDARKHTIFMKKIYQHLTDRISFVMDLNLPIPEAPPSPSGEKGTKPCLRVIKLGADKKYFFVFVDEVKLVIDRLKGRKNIDWKSKRYHPYFPLEYLEYALELFGCKLDQISLVSMPVGRKENGIYCYTPAPNGENVVPAESCLFRFAEAYNKATENGKKMDLMRSFKQFLHTGQVLPYFVKYFTDLETQPGARQISMSAYMKKRMTTTENCYYDEVEMKKKIKGIQQKKEMIPNVPPEVTTSFPAEFRFTISALLKSHSEFTLLLHEQGYCEENMCFAEKMKLTCKGFPCKKSPELWKKQIAYVMKNDSNSTSFQDKYYRAIKSELIQFYTPEEGAGPEKEKEKEKEEEEEEQEKENQNKNRKYTKEELDAMYTKEEQELIITDEKPHHQKSIPAPMPCTVKPKTRVRASTPVPKGFGGKRNSSSQTEFRQEETPSSSSASEGCASESSNTSLDVHPEGTENLGAELMDNEHEQSIDNKKIAESHEQEVETLQQKLEDQIEENQRLSAINHELAEGVSFSREVMTLQMKNMELQHRLEMVQQSENFKDEKIKDLLKSLNKMDAQKGTYDHQSKDIERLNNEIKAEIELREIYEKWAEPSLKSAVRRIEALTSLMDKSRKDEKCFNWAHKRLIAYEAVEDNYNYRRMARDEFERARSEKRNYESSLEVAINEIKANVPHYRLNLNNNDTFFVDPLFYSRPFSKETEARMAELKEAMDQVRSKFREEAPWKPQQKYYHYKKKSN >CRE09670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:27421:32969:-1 gene:WBGene00070027 transcript:CRE09670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09670 MKQKAKIKPQKVVRKNVKAGFLKILFSEFYSPTAVAKIKYDRPDYGMDREIIEFMCKVVKKCGRLDREPFDFEVFKLSLLYSLTPDIRGLVGKVEIPDHDPSHRLELTKLEKIRDEFHYFQTKPKPVLEPHISKLIDFIFAKIEPTYKYCEIVEYKKSTVDCGIEGVIRQLCDAVPKKDQETGDRPGGSDHVVVVRPLPWPERGYVASNLVYETFPELQELFESKDGKDFYRLFMLYIGKLWPKMQTTILDHVNAFAIRYFRWIVEMMSFPQNLPPDIRWKYSPMGTVYDTMEPPITPDPSNKLLLRLFHYQRNGKPKSKFVFIHEVRVILKKLKMLGVEFAKGGEPLPPAEEDEDSPVVHEIAEFTSAGHLMRMLQKFVPQIYDYVETVTAFMHQLTMVDDKILPIVSPYNSHCIPDVKGMERIMDILVQEVQIGRRIPLNFRCLEVLIRKMDQHFTGRAPFKTMVDRKVINELMRDATGLMEKYNGKVNHLHIKLGQLTPKSTYSEQRDAFLEYYPQFQPLIPRYYAIEVSTPEDYPRFTRPGEPELELIVPLIWKFH >CRE09669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:12681:25765:-1 gene:WBGene00070028 transcript:CRE09669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09669 MGNVSENGSSSQKIDIEMPESSSSSPADQSSVSVEWFDASESTPPKTGGLLSVKVVNGGVEAFWNGAKLECVKETISSPIPPISTQLSTPDVLSDIPLDILGSGANSPPTALPNDAVAEEDVEEEEEEEADTTSSIPANNFSTDAADMKRQKKKERKKARQLNREQQMKRQRLQRTEALKNLETKLEKEKRQLAEYAAAVAKPTITQQQNEKEQYTIEVCHQTDGSISICGGGGGSKDAPMRIHVPHDIRGMIELKVDPNMQMSEMVQVESLETFEVLVCGERRKVTPFIPRKKQNSGGTDATAESSTSSSNTSVFDIPEHPPVVVPFRDAPIVGSASNQAPAHAPPPPHQAPPQYVTMPMTFQSQPNHPVLVSGPIYAPPPRYLPAPFGQPPPPPQYSMGILPVAMQRIPMQRMPVMMNQRPIFVAPPPQGAPQRVQMAIHVGPTPQRPIHHIQSFQQAPPVQQPLVRPPRTPLAITAPPLEEKKEAKKKKKTKKVATESFPEEIPKEDVPTAPEVSPEVSEQAETVIIEETIILEQAPPPQPVRRTSSTELNGELITSNVSAILDETDDEIPTSSAPTTLRPLSPTSEAQQAAINRVLGFSKPSEDTTFDIWAGWINEMPPAPIQKTSTSSDMERSFKKILEEDEKKSQKELIVEGLEKKWREEQEETENKPNLVSICKKIESYFM >CRE21402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:215811:217399:-1 gene:WBGene00070029 transcript:CRE21402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21402 MSEENDETPNGNEQSDSTPKCQDEKMNASDSPIQSVHNMCQELLEKQNTLETSISDIVEKLRLVDENYIKSTKEQQEKFDSIQSKLTKIDQTLKPEVSSKKEESDCSADITASVHSIQSKTNRETMSTFGKFFVLKHKFKSVSSMKGAQIHIMEKEEHFGVPWWESSIKLLYSIHYRRIEKKQKFCGLFKSHGVGPLWGVQKFIEWEKLEKDFVVDDCFCAEIAVKVRKMTGIYKENLRSFDDTMEKFSDALLIVNDRKFYVLKLVSSDNVKLSLKIHTFQYLAAHSPYFEALFLGQFNESKKSEIKLSGVDSDDFQKYLEVLYAEQSIDGNTVRVFNLSSFSEFTVEGILMVSDMYETPLVIQKCEEFLVRESKKTLKKKLELSTRYNLEALKKKCLSEIKSIADIQSVIPGDIHDLDASIMAELLKKSLALH >CRE09635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:9669:12090:1 gene:WBGene00070030 transcript:CRE09635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09635 MTMKTSDGNEEKTIDNTVSERTIEKVLDMLLDKQKNLEKSSLEIVEKLRLCDEKIDKLSNEHQEKFDLIQSKLNEIVETLKPTKFVESDNSTVTVDSTQDFQKNEEMTSTSGKSFVLKHTFNNVSSIKNQEDRYSEMEEHFGVPWQIGIRQWDGFLSFYLRNLFRDANEKKWETEVEYELKIVSPNCREKKEERTTKSFKSDDTVSGWGSLKFIEWNQLNKDFVVDDCLCAEIAMKMKKMTGIYKDNLRSFDKTMEECADVVLVVNDEKFFVSKLYLATHSSYFKTLFLGKFNEANKTEIKLSGIDADDFQNYLEVLYGEQAINEITVEGILMVADMYDTTFVIQKCETFLQRKSKKTMKKKLQLSSRYNLDALKKQCLGEIKSVADIKSLIPGDIHDLDPSIMAEFLLKALSVHDSNEM >CRE09667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:22:2966:-1 gene:WBGene00070031 transcript:CRE09667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09667 MTENLKSRKHQFLIDAFKKMNLHYFAFILEKAMTESREQTWKETMELRVAAEEARLLLERTREEHLKEFGELKTRMETISRFEAYEVQVASNSRIDGLKERIKELKEDREAMLREELKEERARMKRRMKEFKEEFAEEKRSYLAEIARLKEANTRLSADCLELATRHHEHTSRSEIQRQMTEISSRLAPARDNSMDRPSILATPDPEPEIEEEFIPVPESSSFTPPPSTVDLSPEACRKLKVLQKYSAEFNAVELRAFARNLVEWYNTTKANHKERKTAEKQLKEYEKALDSIEDSIKENLELLSLNVTSGLHELPDIPMPFSDRVMEK >CRE05291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig772:6309:7334:1 gene:WBGene00070032 transcript:CRE05291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05291 MHLQRPPSSFHTSASPKPDVSWSSFLMVYSIPFILVLTVACIIKWRPIREFPNIRSFLSWYCSCSPKKVIQELEQPDRTHMIMSIDAQSLASMSSVIDSPLLVREEESERFESTAIP >CRE16966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:191224:204251:-1 gene:WBGene00070033 transcript:CRE16966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16966 MNRLIEYGSVEAIPYYNCSWKSFEEWEATGVKRPWLGYPLLIFGTCIELIYLPIVYIIFKTNLIKHACYKIIVVLISIDMSATCCSCLITGPLLILGSVFCMYPTFTYLAGGIALVTWCMACAATVSLFANRVISIAFREYADAIEKKLAYSSIVFIFSYGAFMYVFTPTVCYNSAIMAWIASPLSEEFPSAAADKMYENPIQSYNNWIFLACIFTLFSIYFFMVKKLAQGQKSKASRAIFIQCSIICFWNTGVALVYNALVYVTPSPPVLIFAQICWAFNHACPAVIYMTMNSTIRREYKKIVFGIMSQVSERKIYCFDKFIN >CRE16965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:186758:188020:-1 gene:WBGene00070034 transcript:CRE16965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16965 MIRLIAISISVISVAFAQDNAADLEFSPYVFKHVDIPFKNNNYAKDWFGSDVALVQHPLLAPAKSIKPGNPVSLPTIAPLVVKWSDLNNVKINEQIGQNFEKRVDSHVWWPNK >CRE16964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:180946:183009:-1 gene:WBGene00070035 transcript:CRE16964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16964 MGLWDPTDPRVFMQLWRWAQCAAALVWRHTSSMCMASGFYTQKTVRSSWWRTRGLCTQRSAFSFYDDITTSDEVDEFDAYMKERVTESTPECPLKYWFSKKDDFPLMSKIAFNLFSTLSSETVCERAFSAVRRVVRDDRQRLNPELIENIMIGFFYSNSCK >CRE16963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:176084:177424:-1 gene:WBGene00070036 transcript:CRE16963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16963 MIRLIAISISVISVAFAQDNAADLEFSPYVFKHVDIPFKNNNYAKDWFGSDVALVQHPLLAPAKSIKPGNPVSLPTIAPLVVKWSDLNNVKINEQIGQNFEKRVDSHVWWPNK >CRE16961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:160795:164377:-1 gene:WBGene00070037 transcript:CRE16961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16961 MTSFRNGSHDPEYKLTELTKVKLNFTGHNGPPRMPQTKCPPVRYNERQMIPKPMILGKRTSYGSKDLPIFFVGQDENKIEIVRIKTENSMMIEKSPIPTPTNADRNYAIPQTTAYYSITSTIQPTIPTPPPIPTFSSTMTNEQAIKKLTTPIPDESTVNVQQIAADMMKWFSVTKCKKSVFAAKILKAAKGSIGYILTVKVGYTELRNWKEPYTRMYNWLKMSDAERREFLKMDLYPEEEESEESSSDDSDEELEEYTDHNGKFYPPEKFDLKRLLIADTPEPSEPGAMTFERMAAILNRPVVYMNTKKTIAKLKEWFETSGITKVWFSENILGKNRKAIHHVLHDTREWSKLKQGKENYERVFNWMRISEHERQEIIWLLEGKRERPPPVNFISTSMERVQQILAENQSTDLN >CRE16959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:149397:151515:-1 gene:WBGene00070038 transcript:CRE16959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16959 MISFKKVGDQQPADYTLTELTTVQYPFFTHGIHPMRQESITCSERKMLIEEKKFETRRQMKYESGQHPNSKTLLKNEAIFSEPKESTSRQNLRQPSQSSMDSFSSLPLSNASSPSSMTIEQAIRLLTQPTDYESEKWAENMNQGISEAEVSPTEIKEWLGSSSYTNKFFASNILNIKEKNLTNIFAQKRDFNSLRNTKETFIKMYNWLEMSEDVRAEMLKMNLYLYENNESTFRDENGKSRKFYMPQIYNTQIFSEPPKKIIRQDPAIMTAEKIDELMNQPVVYMNTKKVTQDIKEWMARNRTTRKWFAESKESTSRQNLRQPSQSSMDSFSSLPLSNSSNPSPMTVEQSIRFLTQPIPANINVNTTEIVKEIKEWLASSSYTNKYFASNILNIKGNHLTNIFAQPRDFNSLRNTKETFIKMYNWLEMSEDVRTEMLKMNLYEYESPLQDENDTPKKIFRQNPATMTAERIRELMNQPVAYMNTKKVTQDIKEWLARTQTTRKWFATNIVGRAKRTLVINLNYPKEWKELTRGKEAYVRLYNWMRMSEEERQDIMRFYGTENVGELESEDEDSKSLDDILKELRRQFSECNKQ >CRE16958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:141612:144633:-1 gene:WBGene00070039 transcript:CRE16958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16958 MTSFRNGSQDPEYKLTELTKVKLNFPGHNGTPRMPQMKRPPVHSNERQMIPKPMTMGKRTSYGSKDLPIFFVGQDENKIEIVRIKTENSMMVEKTAPTDRDYAMPQTTTYYSIPSTIQPTIPTPLPLPTFSSTMTVEQAIKKLTTPIPDEATVNVQQIAADMMKCRKRSIGYILTVKVGYTELRNWKEPYTRMYNWLKMSDAERKEFLKMDLYPEEEESEESSSDDSDVLEDDEEHNGKFYSPEKFDMKRLLITETPEPSEPGAMTFERMAAILNRPVVYMNTKKTIAKLKEWFKTSGITKVWFSENILGKNRKAIHHVLHDTREWSKLKQGKENYERVFNWMRISEHERQEIIWLLEGKRERPPPVNFISTSMERVQQILAENQED >CRE16957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:134144:136627:-1 gene:WBGene00070040 transcript:CRE16957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16957 MKPNGNNLCHPLTNTPTSSSSPLSTSSYFISAQQANEMLSKPIPNEIEANTKKISLEMKIWFSLEICSQSYFAVKILNVDRGRLHSLMRDARDFNTLKSGKDLYTRMYNWLKLSSGERDELLKMDLFGNHQKVVTPVSGSVVTVDENVVSAAVVEVPDTHNEVDLYEDEYILPNDPPFLPKPDYIPIPSYSRSPPYSSPSPPLPPSINRISADTAYRLLNNPIDYVDTYKIAAEILDWLKTAPVSRDWFAGKILNRTKRTLSDIIKHPRDWKDLNHRTEYFIKMYNWLNMSEEQRLQIMHCYGARPSKSQYSFTFINR >CRE16955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:113334:117891:-1 gene:WBGene00070041 transcript:CRE16955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16955 MIFRNAGKRIRGTPYGSKDFPIYFAFQNENLEDYEIVSIGDPKSGEWSPIGANGNHEPNGNNLCHPLTNTPTSSSSPLSTSSFFISAQQANEMLSKPIPNEIEANTKKISLEMKIWFSLEICSQSYFAVKILNVDRGRLHSLMRDARDFNTLKSGKDLYTRMYNWLKLSSGERDELLKMDLFGNHQKVATPVGGSVVTVDENVVSAAVVEVPDTHNEVDLYEDVFFSEYILPNEPSFLSKPDYIPMTSSYTRSPPYSSPSPPLPPSINRISADTAYRLLNNPIDYVDTYKIAAEILDWLKTAPVSRDWFAGKILNRTKRTLSDIIKHPRDWKDLNHRTEYFIKMYNWLNMSEEQRLQIMHCYGARPSKSQYSFTFINR >CRE16954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:110329:112272:-1 gene:WBGene00070042 transcript:CRE16954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16954 MISYKKFDDQQPADYTLTELTTVQYPFFTHGIHPMRQESITCSERKMLIEEKKFETRRQMKYGSGHHPNSKTLLNVSNTYETEINRFQRIVDYESEKWAENMNQGIIKEPKVSTSDQYLRKPSLPLLNASSTSPLTVEQAIRLLTQPIPSQINVNTTEIVKEIKEWLGSSSYTNKFFASNILNIKEKNLTNIFAQKRDFNSLRNTKETFIKMYNWLEMSEDIRTEMLKMDLYEYESPLQDENDKSLGFLMIRMYNTLIFSEHPKKIIRQDPAIMTAERIDELMNQPVAYMSTKKVTSDIKEWLARTRTTRKWFAESKESTSRQNLRQPSQSSMDSFTSLPLCIASSPSSMTVEQAIRLLTQPIPSQINVNTSEIVKEIKEWLGSSSYTNKYFASNILNIKGNHLTNIFAQPRDFNSLRNTKETFVKMYNWLEMSEDVRTEMLKMNLYEYESPLQDENETPKKIIRQNPATMTAERISELMNQPVAYMSTKKVTSDIKMWMAKTRTTRKWFATNIMGRAKRTLVINLNYPKEWNELTRGKEIYVRLYNWMRMSEEERQDIMRFYGAENVEEQESEVEESKSLDDILKELRRQFSECNKQ >CRE16952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:104445:105915:-1 gene:WBGene00070043 transcript:CRE16952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16952 MIPIRNIHNQQSPDGMNYTLTQLTTIKYPIDTDGNSRFVRAVPVGFNEWDIVIKEEDRFEMKRTPYGSRDLPIHFAFSSETEYQNESETETIRFNGLHHKPQRCTGMNQKRNPEVQKESLLSTISPNLPKPTQPRIASLSTPPQHSPSMTVERAIEMLSKPIPSEIHVEPLKITEDIRDWMTRNSCSQGFFASNILNVCRSRFNYLLNYPGLYGILKSGKEYSVKMYNWLGMSKDERNQIMQMDLYGIRSAGKVNKVVQISKDFVDVDGGLLYLEGVKLFLIVSEPPKKISRKRPASLRSDTSSESSSPPPSPPGFTHQMITELLNKPVDFVDTKRVSAEIKEWLVESQATQEWFASTIVGRNRRTMGPAINYPRDWNDCASKGQEMFMRMHNWMKLSEMQRQEIMRQHKLKSAKYPKTTISSMKSSERSFRNAASDMIIYFNC >CRE16951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:100419:101259:-1 gene:WBGene00070044 transcript:CRE16951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16951 MTTGLPLLRLPYLVLMPVLEQMEFKERISLSILSKRARMFVKLLKMECEHINLKLEHDRIEMKLFFDNCRGFEIRTVKVFMYIDKYQRHNYHYDMSFSCCPGRLPPMDYVLPIMDVTHCKSIKQLTIAEVPQWDTLPLLAKLPKIDEVIVSSGWRFYTLSYEDSLEKEKQLLVILRTVLPVSSAVNFSHRFQNPNHLQEILKWNLDSLVLKQHPYKRFKTFSLNDLLVTNANALELHDVTLNVKDLNRFFKLWMKKMCNP >CRE16932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:91989:99118:1 gene:WBGene00070045 transcript:CRE16932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16932 MATCLFRIRQLLIITALCVSVYFVLSTLKVGYDVGDPSFQSRAERYEEGDSDENPVKVKTVHSDRDRDKNQNSPETVPEDLLKNQKCNIPKLEINGSEVIHFFKKHEPLECQKKKSSIEDNWVFINDEGVIRFTEKRAKAKCKITYFSRIDDNNNKYEEPVEINDGDRMNGSDYATVSCIQGFQKWKSLLWDVNDNEEIHERARKMKRAEETDPEKKAYNVYFLGFDSLSQMSFRRKLPETVKFLEKTLGSVVLNGYNIVGDGTPQAFIPILTAQTETELPLTRKRYPKANYVDDVYPFIWKNYSDQGYVTMYAEDAFNIGTFTYRLKGFRNQPADHYTRTLFEEVEKLNDRNCIGSIPLHRMWLQNGRQFMKKYQDVPRFLLMHQSLLSHDDINLVDVEDIDLSAHLKHMNDEGLFDDSLVIVMADHGHRFAKLRETHQGQLEERMPFFSISIPKQLRDTEKGKIMEKNLRENAEKLTSPFDIHASLMDILNLSSAENFETMQDASAKRSLSVFRPIPSDRTCAQAGIEAHWCTCLSWKNAMDSVEDRKLTRRIANAVVREINKEVAVEKKLCAPLKLARIIDAKKLLPDKELLAYKNVKDADGFVPDLSGSTKAAFAHYQLKIQTTPGVAIYEITLFYDMVQDEVKLDFGAMSHVNKFGDTPHCIIDKNYYLATFCVCYDKI >CRE16950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:46970:55145:-1 gene:WBGene00070046 transcript:CRE16950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16950 MLSIFLCFLSGVGAAETQNEIECTNSGLRITGQYEAFEACVKNFCTNRPKLQWNPSGPTDVWIPLALKTRPHRATVKIFDGLKLNVLEKICPAVSTCEAIECTFCFQNIFNPECSPIWAWIGLAGILYCIGMALYCCFQVPITLGGPVRILWKIWKLTMLGFILLARKCFKKNEVEPKPAKEVRRKSWSSRIASSLVIVCXXXXRRIHDFYQNRSNSDFDCCRIFPNDLPHNIFVLHANNSKDAMVRCETTNYPAMETNPGFLGATRKMDQTQIPSSHSLNVSKNRIKSKLNRGQTGNTLPAWKHHICMFMSFICMLHSVQGLTYYSSFEITETMSSPRSRAVGNEILATGMKKMTEIANKARVIEQAKNLPRRGRDALIQRKDMRNEGETKKDILLNVLKIQWDIDTISEKYRDLEKQYKNEIEKLRVLGRLEIYSRDYIEYQLSQSEVNWQMNLRNFQEERDERASDRTRAKREIEELRREVQEEEDQEKEEKLTNNQRLELFGKILLDRIIKIEQAIVAGACSKSTPNEDEIDNMDELNPEGKLEHSDEMKETIEREIKRKRERSPSSSESERKRHSSSSSYKQAQDVEEIEEVEDDKEAEDDKEDEEEQEDEEEMEDEEDQEVQEAQNERHRDRHQENHPDRGRHHRSRSREERRRSRERHQNRPIIVRQLFPPDTNRHNFNTLCVFCRCRHHSNECGTYPDVAVRKAMIDAQTRCRVCLNKHPYRRCHKEGHTCSFCLKLRSDTSPPVHLKDFILSFNNFCKSSHHKSYYITISISQSFPFPTEFQCFQFLTSIMLLAGNFTFIDIDYA >CRE16949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:5970:7632:-1 gene:WBGene00070048 transcript:CRE16949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16949 MSFDFDLTAPFQTAFTTRDQHEHRHKVRPVQQSKVTRHHTSFRCRVGKELNCTVKYYTRNCELSKQLSLKTYIIAL >CRE16931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:257:1154:1 gene:WBGene00070049 transcript:CRE16931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-4 description:CRE-DPY-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N299] MVYNYVQHVRTQMHQELYACKGSAKDVWNSVYSIRELPLAANRTARSTYNEQCAGCCLPGPQGPQGTPGKPGKPGKPGAPGQPGTPGRPPQQPCEPTTPPPCQPCPQGPPGPPGPPGIPGDNGPSGEPGPKGPDAAPGEPGPKGPPGPPGPPGQAGAPGEPGAPAKSEPAVPGPPGPPGQPGQQGPPGPPGSNGIDGAPGAPGPKGEPGTPGEPGKDGEPGKPGTPGQDGTPGEKGICPKYCALDGGIFFEDGTRR >CRE02695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig401:2755:10998:-1 gene:WBGene00070050 transcript:CRE02695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02695 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3NKJ1] MQNYSGGEHKSGSGKGFPSKLMGRGLIFLWNIANALHLTLLETPFEIHQGQVRQEFEKLHHDGKSLLTASDLPEDKYIVFCGLLELTNRACVHFELRNPTQKTILFNIRTPISHCFFVKPHVGMIEAGGKAKIYCTFKGKCHRVPSDYCMIYSIYHIVIDEKSTALIKEDEFSSSNLRAVWNKKGRGVEIKNILHLSCKFDEKAKPEHTCKHHKIGRIIDLNDHGETILFNIRTPISHCFFVKPHVGMIEAGGKAKIYCTFKGKCHRVPSDYCMIYSIYHIVIDEKSTALIKEDEFSSSNLRAVWNKKGRGVEIKNILHLSCKFDEKAKPEHTCKHHKIGRIIDLNDHGEVVQASPLEDSKGEPSNTPPSATAKGRRLQKTPSVISTEEMPKTATSEKTKKK >CRE22666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig191:95191:121087:-1 gene:WBGene00070053 transcript:CRE22666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22666 MYLKKARLQGTVDEFNSYVTVKLQNVKSTTVAVRGNLPCWEQEFIFETNRPDDGMVLELWAKGVLWDKLIGVHYMPLSEVKYSNAAGSGQWLQMDHELETRNGQTVGTRGPTGHNLLADVRFELPFDAQGCDEDIQSKLLALNGLIEHDQLVPNNHHRAPFNHSGLSEDSDYTSDVSVPVNHHQLHPNSSAHQYESHLHPHRSRQLLHTREGAASYEDEEDAYHARNQNETDVVHYPEDDTSYHQSYYHDDYPSGSTQINQYSSSSAGGYHHQDTVTPVRESFGESAPPTASTSRQVYGYAASSSEERYDTPSGRMPRDEPILEHSEPEYVYDQNGYPDDENYGINPTYSEEHFDEQQPQTSTDYRNDFNASYQREYWNESEPLSYNSRPPNGHVRTGTNAWREPGTSSRPTSSQAWNYTDDTHQYDEVDRGSRVSFTRTPSVDRNERRSDSGGGFYDEFGDGTRRPDSHHNWRYDSIQEEDTEKDNWKQHVEGYEEGHDETTPKDTSPSRPKDRISPDTHYGQKELRFDEPPPPIVRQTIQEEEEKRNYQDLWHSAYKRVCADLGIKPRPLDP >CRE22665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig191:62284:84137:-1 gene:WBGene00070055 transcript:CRE22665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-13 description:CRE-UNC-13 protein [Source:UniProtKB/TrEMBL;Acc:E3NCL3] MPSFLKIWCKLSETQSDGREHRYRSRRRRPPTLLQNLFLYRPKLAPAGHGTAVQATAGANHFYTTVPPPTTTINNNNNVSKPGVTTPLPTVSPGVSNDMMTDASRTQTPSTSSNLLVPSSPGGSLQYLQYAECPPSPVSPNPPIKRSIYRIKEAYEDRNGGRERIYTTNLVTVYLEKVVPDEEDGKGVKNTSGPSGTNQPELPEEPSTNVFFGDGFSAPVHKSISYAATDHQARTSITTSKTASSLPPKYPHHRDSDPMRQLLTFSKSFKKVRRVRSAMPRRRKRRRVKIKKSRSCPILWKTEKISTIPMKSKSMTCLRVPKRVLILPPQKPKTVRMKMPAVRCESDSKAQHHKKKQLLEVYKDRGKSTVLDGNGCSAANAFYKSIDAAPNMNVARTKTSIPLVSELTMATKRAQAGLANAARTTFADTELKSHVYKKTLQALIYPISATTPHNFATTTFQTPTFCNECEGLLWGLARQGLRCTQCNVKVHDKCRELLSADCLQRAAEKSSKHGEADRTQSYQNVIRDRMIIQEQNKPEIFDMIRNIFDVQESVQKDTLKSVKASILEGSSKWSAKITLTVLCAQGLIAKDKTGKSDPYVTAQVGKTKRRTRTIHQELNPVWNEKFHFECHNSTDRIKVRVWDEDNDLKSKLRQKLTRESDDFLGQTVIEVRTLSGEMDVWYNLEKRTDKSAVSGAIRLHINVEIKGEEKLAPYHVQYTCLHEHLFAAHCVDEEVKLPKVKGEDSWKVCFQETGQEISDEFAMRYGIESIYQAMTHFACLCTKYMCAGVPAVLSTLLANINAYYAHTTATSAVSAPDRFAASNFGKERFVKLLDQLHNSLRIDLSAYRNHFPSSSPAKLQDLKSTVDLLTSITFFRMKVLELASPPRASNVVRECAKACMQQTYQLMFESCAEQFPILDTSVQFWYEFIDYIMRVIEEDQKNYTPALNQFPQELNVGQLSADTLWTLYKTDLRMALEEHAQKKKCKTPEYMNLYFKVKGFYFKYVAELQSYKTSIPEFPAWFIPFVMDWLNENDEHSMDILRNAYNVDKADNFPQTSEHTKFSNSVVDVFTQLNAALKLLKQMDCPNPEVAADMMKRFSKTLNKVLLAYADMVQKDFPKFAHDEKLACILMNNVQQLRVQLEKIYETMGGTELDEHIGQVLTVLQKKLNSVLDKLSAEFVATLEPHIHEQTIKLGMLLVKIKGPQLQKTQVQPEADAVLEPLMDLLEGSLRRYADQCEKTVLKYILKELWKITIVNMEKRVVLPPLSDKALLKALPNAKIGDVTKLMSTNITSIKNMNAVKEMMDIAKESEKSLTPKQCTVLDCALDAIKDSFHASGKGLKKSFFEKSPELQSLKYALSLYTQTTEQLIKTFITSQRQQDLPSQEQPVGEVSVQVDLFSHPGTGEQKVTVKILAANDLRWQTSSAFKPFVEVHLVGPHLSDKKRKCATKSKPGNWAPKFNETFHFFLGNEGEPEHYELMFQVKDYCFAREDRIVGVGVLQLSAVVDQAGSCAMWVQLGTRLHIDETGLILLRILSQRQTDEIAKDFVRLKTECRYETETVMAASASSQNINRS >CRE22663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig191:59635:61234:1 gene:WBGene00070057 transcript:CRE22663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22663 MNILLGIILLCAQLADSAFVNRCYEEDDLMNIKNNFDSQRSEFAIKYNIANMNELLYDPNLEKIARSFKSCDDLKDGFNYQISIALKETNAVFEQFLLETNQTDKIDQYEKNKGFGDFHPLQTGFFACELRDACRRGDSGGTMLYILSPRGKINKSAIKYGKPGSACTNGTTSDPGGICKVTIDEGLWPFPYYEDEEAEIDEYLESRMNTTVAAVETTESGAGGLNFFCSFTGLAVAILFRSFFE >CRE22664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig191:55469:56951:-1 gene:WBGene00070058 transcript:CRE22664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22664 MGNACSCKKKKKNEIIAPNTKKPTQMNYQNKKLKKKSKKQQIIVEKDRKIIQKEKELYQVIFDAEGFSVHDLALLGQKTSPESIFRQDLKPRRMGRVKLIEDLTPHLTPTSSRTPGKSSKTNKNQTAEESASKEEFEVDEVLDYVDDLYLQLLDPDRTVMEDQKENNTSTTENASSK >CRE22662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig191:29573:54595:1 gene:WBGene00070059 transcript:CRE22662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lrp-1 description:CRE-LRP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NCL0] MHPSPHGGECTCPSGYKLDDRFHRTCSDINECAEFGYCDQLCANHRPGFTCSCLGDCFTLQMEHGPGKDNLTMRGYCVSNNADKMRLFIARREGLYRLNPKNPEEEVKKLASGEFIYGIDFDYGDRKIFWTDRLAHSAFSADVDDEGEISQIKKLSLKSLVYPRCLAVDWITNTLYIIESGSRRIDVSSYDGDRRTVLLADGLTLPLDIALDPLRGEMFFTNQLKIEAAAMDGTNRRTLVSTHTHQVSGIVVDITAKRIYWVDPKVDRLESIDYQGNDRRIVAQGMNTVPHPFGLALFDQHLYWTDWTRLGVIQVEKFGSDTKLLWSNTENNVFPMGISAYHPMAQPGPGQSECLAMKIENPCTNADCEGLCILSKDQGGFGVGYKCACPIGQKLVNGKRCIDSIDYLLFSSNKIVRGIFPEINEKALAEAVLPISPISQRRIGMYFEVECDVHGNSFFYADIMDNTIYRIRPDGEGAAPVLVTHNDGLFSMSFDWISKQLYYVDNIRNSLEVVKIGETGLVHPDELVRRQLLTELRDPVSVVIHPWKGLLFYAEAMRPAAIYKCHIDGTNCQVIRNTTLGRPSEMAIDFADNRLCWGDTLLKTISCMDFDGKNVAKLDIDNPIPVAITIMNEYIYYVHQRPYSIRRVHKKNGGGSKIVREFGADERSIFSLKACSHQNQPIPDDSREHPCRASQCTQLCFATPSESNPNELEAKCACRQGFKINKDNNHSCQKDPSEPIEQLCTSNSTQFQCKNGRCIPKEWKCDGENDCLDESDEVDEKGEKCFHETECAENTIKCRNTKKCIPAQYGCDGDNDCGDYSDEDVKYCKDGQKPVCAAKKFQCDNHRCIPEQWKCDSDNDCGDGSDEKIELCGNATCAANQFSCANGRCIPIYWLCDGDNDCYDGTDEDKERCPPVQCSALQFRCANGRQCVPLRNHCDGQNDCEDGSDEDSCAVSTETCTSEQFKCQSSGLCIPASWKCDGQQDCDDGSDEPKFGCTSGRQCKADQFKCGNGRCILNNWLCDGENDCGDGSDESAERGCKTPMNARKCPFEHVACENNPEVCIPLHQLCDGKRHCPGGTDEGGRCARDLCSADRAGCAFKCHNSPNGPICSCPSGEQLVNKTKCEPENECLDASSCSQRCKDEKHGFTCSCDEGYELDANKRTCKVTNNVVDTRIYVSNRNRIYYSDHKLENWHTFGAIVENAIALAWDSLTNRIYWSDIREKKILSADRNGTNATVFIADGLDITEGIALDWVGRNLYWVDSSLNTIEVANLEDPTKRTLLVHQNISQPRGIAVDPRKGLMFWTDWGQNPCIERASMDGTDRQIIVKTKIYWPNTIALDLTTNRVYFADSKLDFIDFVNYDGTGRTQVLSSSKFVQHPHALAIFEDMMYYSDRRLQKLQVYPKYPNGTTTEYPSHTFSKALGVVAVHPALQPEIKNNPCMNNQCSHICLLNNKNSYTCKCPMGQKLDGSGKTCVDDAKPFLVIIQKTNVFGVEMNQAAANETPILAGMVPLSGLGNAFDVTYDALSEEIFILEHTNHAKTLAQITTDSAIYRSTVNGGNKTKMFSSAVPDDSYCLGFDWNGRNLVVGNKVSQTIEIISTRGKQYRSVILSNDQSPTAVVAPVSIAVDADKGYVFWLDRGGGASDAKVARAGLDGSNPLVIASNDLAELDHIALDTTNQRVYFSEAKAGRISSVTYDGQDRHYVLSDGGRQPNGLAFHGDRLFYADSAFDSIEVATINGDSQPPQWTHFKRDVENLANIKVLQPRASSSSHPCHINNGNCAHICIPQMFAQHTCTCANGYVKDGPTSCKLYDESFVIVATKTKVIGYPIDEVQSKGVAMEPIGGLSITGVDYDFESKTIYVAEASGINKGITAYTIGESAPRAVIRDSIGSLTIKSIAIDWINYNMYFINHDAERTNIEVSKLDGTYRKILLTTKTETPSSIAVDPIGRYLYWADQGQKPSIQRSFLDGSRREVIVSTGIGEPTDLVVDVASRMIYWSDAKMDGIFRVRSTGGSPELVRADIASAAGVALHGQNMYWTDNRLEKLFRATSKPNQTSLLLSPTTVAASMKDIGDVAVFSSTNQPRSSSPCQITDNLRKSPCTQLCFATPGTQTPTCSCARGVLKGRTCEEPDTYLMFSDGDKIIDAAIEPDVKASRPLKEPFPEITNLQTFDVDVNLRKVYFVVESPIGVNISWFSMNNAENPRLVFGATKQPHAKEIRHISDMKLDWLTQKIYFTTGRGGKVMAIDTAGEHLSTIASGDWTYALAIDPCSGLLFWSDSGYKTSGGLYEPRIERSNLAGGNRKVIVSESISLPAAITVDFRNQMIYWADVNRLNIEVADYNGENRKIIASGYRAKSLDLWDRWLYMSDPLSNGVFRIDKESGSGLESVVADRRIPGALRVFASETDVRTRNQVCNALTAQLCKTDNGGCDQLCSVIADDIGLAASKVQCSCNDTYELVQEPGKDYPTQCVLRGSNAEPAKECLPPYNFQCGDGSCILLGATCDSKPDCPDASDENPNYCNTRACPDGYHLCTNRRCIDSAKKCNHIDDCGDGSDELDCPSAVSCAEGTFPCSNGHCINQTKVCDGHNDCHDEQVSDESLATCPGLPIDCRGVKIRCPNTNICIQPADLCDGYDDCGDKADENQLFCMNQQCAQHYVRCPSGRCIPETWQCDGDNDCSDGWDETHTNCTDTSGKKICVGDYLFQCDNLKCISRAFICDGEDDCGDGSDEHTRHGCGNRTCTDQEFHCASNAKLAQPKYECIPRAWLCDGDVTCAGGEDESTDLCKTEKKECNKGEFRCSNQHCIHASWECDGDNDCLDGSDEHANCTYSSCQPDFWQCANHKCVPNSWRCDGNDDCEDGSDEKECPKNSAAGQKSSKCSKSQFQCTSGECIDDAKVCDRNFDCSDRSDESSLCFIDECSLAEKPLCEQKCIDQKIGYKCDCYEGFFQSFQQSYVFPEGISGCSQKCDDKIGSYKCACVDGYQLSSDDHSCKRVDLEPTPFFLLANKHYIRKISMDGNKYEMAAQGFDNVVSLDIDLTEKKAYLIDQGKLRLLRVNLDEMDSPLSSYETVLRHNVYGTEGIAVDWVARKLYMLNRQERSIRVCELDGRFCKTLIRDRIQQPKAIAVHPGKGYLFFTEWSLQPYIGRMALDGSPELQDPIFKLAEHDLGWPNAIAIDYFSDRLFWGDAHLNEIGFMDFDGNGRRHIPAQRTSHVSSMVVFDDYLYWTDWNLREVIRCDKWTGKNETVLKKTVQLPNDLRIVHPMKQPAYPNPCGDNNGGCSHLCLIGAGGNGYTCSCPDQFILLTDQKTCEPNCTERQFACGGDDAKCIPKLWYCDGEPDCRDGSDEPGESICGQRICPVGEFQCTNHNCTRPFQICDGNDDCGDGSDEQNCDKACDPWMFKCAATGRCIPRRFTCDGDDDCGDRSDEADTLCMSADRNCTAEEFRCNNNKCIAKAWRCDNDDDCGDGSDETPECAQIECKKGWTRCSTSYRCIPNWAFCNGQDDCRDNSDEEKQRCPSCDDVGEFRCATTGKCIPRRWMCDTENDCGDNSDELDATCGGTSRPCSESEFRCNDGKCIPGSKVCDGTIQCSDGLDESQCTLRRCMPGHRQCDDGTCIAEHKWCDRKKDCANAADEMHCDDVSRRTCSPFEFECSNSVCIPRKFMCDGDNDCGDNSDETSTECRSAQCDPPLRFRCAHSRLCLNILQLCNGVNDCGQNDLSDEHLSMCSSFSEYGDCTSDQFKCANGRCVNGTLACDRKDDCGDASDEIGCSKHGGKTSCESFGNNGGCKHICTDITDSFYCHCRDGFRPDPQSPKDCIDIDECAGNNTCTQLCLNTKGSYLCRCHEDYENNVVVGSMTGKDCRAKGDAANVMIGADSSLVQLSLHGAGTNRHAAAKANDEDNDIIGIAFDPRKEMMYWIDGSERTIYRSAIANGNQSHEGQKLDIDFAGMGVVPTAIAVDYTTGNLFVAAVSEDIEIGMARKKRMSEPVDNQNSGFIFVALPDGRYLKKIVAGHLQQPTALITAPTAGRICYSDAGLHAKIECADMDGTHRQIIVKDLVFSPTSMAIDEGKNNRVYWVDPKYRRVDAVNIDGTDRTTVVHDKNIPYAVDVFENHIYWLSRESKTLYVQDKFGRGRVSVLASDLEDGHTVRVSQKYAKDTQRMVSGCERAQCSHICVSLPSSGFACLCPEGIVAQMDGSCATQHVEALVMPKQCKCTNGGKCRLDGSCECTSDFEGDQCEKESSVSRKIIGTLSENFFTVLLYILAFLAALGLIGFIGLNVYRRRQLLFKKNEAADGSVSFHGNVISFSNPVLESKQDAPGSEFSMQQMTSMHEDSTTFTNPVYDLEDVDMSSPRSNNSDDQPSTSAAGMSSPTGPSTSHSFVPPTFNQNEMEVKTSDQVIVPKAEISKPPVPARPNKKEKKEDPLRVDNPLYDPDSEVSDV >CRE11649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3056:65:1783:1 gene:WBGene00070060 transcript:CRE11649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11649 VDSPPSVRSRIISASVNTASSVCNENDFRCSDGKCIRAEWKCDGSGDCSDGEDEKDCPHPGCKSDQWQCDTYTWHSVSCIAEYQRCDNITDCADGSDEKDCPASTVDCSSPNVFMCADGRQCFDMTKKCDGKYDCRDLSDEKDSCSRNHTACFQYQFRCADKTQCIQKSWVCDGSKDCADGSDEPDTCEFKKCTANEFQCKNKRCQPRKFRCDYYDDCGDNSDEEECGEYRCPPGKWNCPGTGHCIDQLNLCDGAKDCADGADEQQCCKCYNFGKTEKKTF >CRE22661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig191:2829:12918:1 gene:WBGene00070062 transcript:CRE22661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aex-1 description:CRE-AEX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NCK9] MREEALESICAALSISFSDDPTHLETIIDRFSEIFKVKDRLAHLRKSCEKYQTYSLEIRPINSNGATATCPDNVYAYIIGPETCQRQELNTSGLTKLEIGSQKDVSLKFGLNQKKDSTAKRSVSRSASLLKKLTFSDKKSSEEQLKISIFPLDVSVRKNINLGAGLGGKSVFLEMTLKRNDRRSMIEPLGFDEFLEMTTCFHEWQAGICEATEYDGNLGDPTFSMFYSIAFFFEIPSFVLKVTEMTCFLVWDDEMKKLDEKALADVSFKMTACESEVDLQHPLLNPALIYLNDCTCNTIRCILQPYSTEPFFPPVSPSRLKSINISLKLIADICVLDVWDEFENLLNPSNFLSSELKKLLESSVGRYAETLRKMEFHELCRQIQTLWMTLSNESQPYYIFFHQFDINYIGAAMIKLDEELAATIRSSLQLQLSQINLRNPTDLENFTKTTMRLFVTLRNLLNMVETFHLPECQLFHFEEWFKDISVFWTYSWREVTQQMVERTITLDEDGDSVKYGARRPLPAGLYSFLCIQKGLCLNLCVQLSRCPFCAHLGISDDMSRLEFTLPHHLVICSASVVNIMCQNIHTYARKLFSEAMRPHIEKSSRLVRATNGIEQAMCFVEEGYRRFAQFQRLEEFVDADDLSAVRTTSTRLLKSTRETCESQISILLSHFVTLKIDIVTRIAKNLCADGKESNKGLKSYMREVTSSERIESILECCYGLVDDVRCLLLPNCFKLSTQHFAASLETQIRKNIRQRQPAEYYSNIYIALKYIYEFLEIDDRKDIELLSDLHLNSFSTKDLILSYYDSLCEKIDRTRFGNAPHVDVHISYVKMEEDDMISIQIKLVKMSPIEWIDVLSDRVDYFVRLELFPKILFPSNKFDSPTTNPMPQSTRPQWKQLFEIHVPLECFFLRGACLAISVFDHERFIDRLVGRGFISLHSVPQASEEKPTQRLQVPLLPNDYSDQNNVFYQLLKNRAGRDAVAKEFIETRTRRHQRIRALQHYIRRNRNRVGHMLLG >CRE22660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig191:114:1877:1 gene:WBGene00070063 transcript:CRE22660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22660 VYDIVTGTVSRRLKGHQSVVRECDWHPQENEIVSSSWDGVTTVWTWDERMEGVIAPYDHPQISQFGDEDSCDEHYKPIKRQQTKKLRKFDRKCPPTVENL >CRE20952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:762:1719:-1 gene:WBGene00070064 transcript:CRE20952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20952 MTTAYFPLFSLPYLAIQEVFDHFGPQGIINISLCSQRAKKLAISYRGPSKNVQLDLGFGAMDCLKHSDDMTIELLLKVEQISTLSKNRALSTVKIGEFSNIPVEMGVVCEQPCLKTYWEDRIVGLTEIGNYAREIFNQNIYKVLLWKEFAENDNRRALNWVMRTQQSLEFLHCEFTSKTDQDLDQVLESYKLTKNLTVFVKPSRDYRPAAMPHINIDSIYIFPSFWISQDHLLMMNCKYVILQDSVLTHQDMNVFLKHWKSGRCFELKEVYVTCEELIDYDSLLDDVDFIEMGKDVKRSYVK >CRE20953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:3772:5351:-1 gene:WBGene00070065 transcript:CRE20953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20953 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NCR0] MDVEDIFQHLKESGCIRELVAELREQRESDRRAARRAVRKERQEIKRRIRSQKKKWEMVQEELQIARKQSKRSTLAKVSGIEVQVRVLYSSNNAAANLVCFTWYQSCTYTSLSNFLTAMTFHMAYVQYATTALISLNRFSVLLKYTWIEPAWKHYTWLLMLTIYILPAVNTLRNYETEILYLNDTDSYKYESPMPSSAVFKYLIPFMVITTIISATLNIASLSIVRSMKTQLRQKVETNLIIIMSLTCLVQVLGTALSVAIVWTVGLPICRVFAFILPFVSDGLTLIQPWLLLGFSQAIREKISVMLGLKMKTSILFVPRSNTW >CRE20954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:6579:8849:-1 gene:WBGene00070066 transcript:CRE20954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20954 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NCR1] MITFIVYLLYAIPSLILYFMTLFVIIKNKSEFSSSFFQLYCYDCLVNFATFLKVFTTLRLPEITCHECLLASSFEWCNKYLPMPFIYSMGYHMAFLQYGITTIISLNRLTVLLNYKLFEPLWKKYCWIIILLLIFLPSLSTSAAFNYPSQFTYLNSTDYYSLTTEMPLLEIYVSLIPFMIIAIIISLIANYSSFKFVKKVQLWKASKAESNFLKILSTTLVIQMIGTFLSTGMLVFEQSDFKTNLSVIRPFVSDGLTLVQPWLLYIFSHSIRKKINTMLGGRKTSVATTSRILIGRSP >CRE20946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:13603:14840:1 gene:WBGene00070067 transcript:CRE20946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20946 MQSSLEEIHEQHRRAGRLFQELLPTKPQQSKSLEPLNQKNMHMFLPPFLKSSQVAKVEKVEVITEIKDNKPVLTYQFKWIEKYEKPPAAAETASSETDVPAPLVKKKSSMMKISGNVLCDGPCGKIVPQKDTSQFGCDHVICDTCLKKNASAALFDGSPGCCNETCVKLAGDKVCSGQSDSKPSVCTVSKGKLLLKFVRDPMNS >CRE20956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:15069:15677:-1 gene:WBGene00070068 transcript:CRE20956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20956 MAPTVNSTLLAHSIDGQFDSRRTQTKMFAGKSKKTVPITPTISEEDIFEQKFKKEFPEYVESKKEKYTWKQFYQKRMDKKQKKEEDKLKRLTSKIGKSTAIQQKTAPKTKLIEIVGFTSGKKFGQSSRLRPLPTSQRTSITIPTANVPVPVTKPRQVSAPLQGPTQVRRVPPAAVARTFTQNGASTKKTTPLMRKCLQMMKK >CRE20957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:16627:17229:-1 gene:WBGene00070069 transcript:CRE20957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20957 MAPTQNSTVSAYSLNCQFDSTRIQTKIYAGKTKKTIQITPTISEEEVFEEKFKKEFPEYIERKKEKYTWKQYYQKRMEKKQKKQEKKMEKLVSRIGKSTAIQRKETSKTKLIDIAGSTSGKKPAKLRPLSTNQASQKNRTTIPSNTAPVTKPCQVVAPLQGPTQVRRVPPAAVARTFTQNGASTKKTTPLMRKCLQMMKK >CRE20948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:20292:23561:1 gene:WBGene00070070 transcript:CRE20948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20948 MKTLLWLFIVAASSTLMMLFVYPIFSFSKSSKEFFEISVNISNNSTPFLWPSSSYMIGGMDRFEWYRKQMYLKEKIIKLKTTSNISTLSAYEFEHEITVTITSKDRMGFRVYCRYMDESNLEVGEPFKSFTYPEYTVACQKRFGTRKIGLSVERNGEFQSFPLINRMLKKPKYELSICIAPLYGSEPKWLMFIEMIEHYKLQGVQHFYTHIHNASIYDLKVINDYVGTGELEIHYLLERDRRTDDHWQMVHIADCVVWSRFESRWTIFADLDERIYMSNYIGTIRRYVQSVDNERIGSLKFRQQWVLKTEQMPEKYEGEEELTEWMPTHRWHNSTGIGAPGHTSKCIIDTSKVFVMWVHSVSQFFPDGNYIEAGVKPTDGLVRHYRDQSMGNWGDKWLRVVLRFGVLRNTDYPKSLIEKLTENVKRKAEYVYGVVD >CRE08340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:299951:309447:1 gene:WBGene00070071 transcript:CRE08340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08340 MLSAAKSQVTKTATLVTHLADEAERSVETYKTLENNALRDHAKNITSEAKKLQRSMERLKNYMEALEKGFNATVEDREPTQEEVDCLDAYAAKYADAYERAAEVLDDLNIELEEPQRIWRIIDPSFIPSVHEAKITXXXXDVTLQEDATYEVRNDNTTLIEILQGFQRPNSVKQTELKKFKGDRWEFERFMTIFEEVIGKSNMRELLKLNYLLNALEGEPREHIESFELTDANYRPALAALKKKYGDKKKAVSDLLSRLKKEAARSESIKDQRRLLDKVTAIANQLLLTDEKIDNTLTQELIIEKFTFQIQKDVYGCKLDRPEEWTIRQLLKDLDNIITRDEELLELLSKRSQDKSGKDGKDKKPNARNEKSSADNNRFQEKKARKCLICNRENHYMSQCRTMPNPSDRTKFLEKEDRCGQCLRKGHKTSSCPGKVCNRCNKPGHHFGNCPNSDSASNQKKTEKKDKQKPTQGRTMAASINTECNLESQDSKEGDTKTAAATTSPSGDNTTSIPTIQAEAYNPHNSKWERISLMIDTGADLTFISEKIANNWKLPIIKKDKFCLTTFKSGEPSPGEYALTKVKCRVPNSNKELEITPYISDQLVGRIKKRTLPPEDMMYILKNDIRMNKDAFETSVVPDMIIGDDFVTLILTGNMVRLPSGMALLDTVFGNTTIGRPRDSQIEINPSEHYMFTAIGNTAIDEAQRVEEQQKRDTTMKKPEEFTGSLVNEKAEMERATVEHFKRTVEKRDKSYYVRLHMKEDREELPDNYSISEKRLISVGRQYSKEVLKTIDTVFKDQLEKGILEIVNAHERTGKRLVHYNPHQPVFTPTKTTTKCRVVVDGSAHFKNKPSLNDQIHQGPTILPDLMGMLLRFRSGKTAVTSDVEKAFLQVYLHEDDRDATRLLWVKDINKDFSGDNIMVLRFTRVLFGLNVSPFLLAATINHHLETINDQDMAREMSNNLYVDNLLMTTDMDPRNIIKLYSCPKETFNEMGMNLREFTTNSKELREMIPEKDASEETTPKVLGIPWDIKEDQLIMEVKLDNCKSNSRRTVSSVIHGIFDPLGSLAALVLPMKLFQRELWMDEYDWDTPLNKEHEIQWQQLVEDIQGFSKRIPRHVISKSLNNKLVTFTDASKEATAWTIYVVNEMGCHLIYAKSKVKPLKEIWTIPKLEMQALEMGTVNTLKTIEFLKLGNINVTEINIFTDSTIALSWVKGATDKKVVGILVANRLKSIYNTVDQITDMGILVTFGHVASEENPADLGTRGCNRDLADNKLWFNGPIPIGLTLEQWVQERDTFHLNTEVHHAYGMITTGQDKVTIFNCDVTNDYTKMIHIVAYAMKFLKRRLATGKDKLGKKIPEWMEFTDSPIISTEEFKKAREILIKDQQKLITPQQLKKWNDLGVTKDDRGVMVCVGRMENAELEQETKFPILLQPNSALAKMIILHEHGKLHLSENHTITAIRKQYCIPKIRQQVKKHLAKCVPCQRVSKLPYKYPDMAPLPAMRVKKTRPFGNIGIDGFGPIDYKGPDGTTQKAYGIIYVCMVTRATHIEVVTDQRASSFLQSLRRFIGIRGMPTKILTDNGTNFTLGSKIVKDAIDSSDLTEEVLNFLRIRDIEWKFITPLSPWKGGMYERMVKIAKQSFMKERRLQKLNLEELQTVFHEVAAMMNDRPLTYPDNEMGTQNPIRPSDFMTPRLPVTLPLESTLTSLDDYMPSKEAQAVETRKGTIKMLEASINASEKIWKRFSNEYLTELRTHHKSRMDKKRGSASQPRVGQCVLLWEEQPTPRNVWKIGQIKELVKTPDGSIREAIVKTVTGNELRKSINHLIPLELDEPDAEETPDTEETSQTPEDVSTPTVTEDDQERRYNLRKRKAMNYAEDVDHEVCFSKSSPTTFSSVLPITVIMLMGLVGSVLGKDIRGNNPVATTTPYCSNHGIHIKGRFETFETCVEDYCTNYHRIKWNNNNEYDVWIPQDKKIRPHHATIKIFDGKTIKTWQLECQAVQFCDTIDCTICWTNVLNPECHIWWAILGLAATAFIGLLIIHSVCFTPIKLVATFILGWRLTRFIWLCTSTLIMAIWMRCKCNWTGTTRRRYHRMRTIVLLFAVMMTPLTQGCQQIDVYTQFQKVCSQENEGQCEVFTEVAMDLSSTHREGCTRLEKNGTVLRDIRIRLMDIQQECNKETITYTQEVQTRVGSSKRCPGMGSCTGGKCQDVNRTTHLPELSQANQYIGNTYCTESCGAIGCGCGWFSSGCMFYRIYAFPTSSEEVEVFQCLDYQPTARLQITSSKLNTKDNNALDKEVMVPIGQSITWEDMIITIDSIWTPPTPTLSSWFIKKRGNVATWTQNHVPTITCDKDKRNCNLHEHCTCTSAEFEMQCYCDEDEVKAAFDSPQRHLPIREGHWKLEVSNDTVQAVTGTATMKITMKILKKWSTVTMLSEDRCKATAKEAVGCYACEAGSTAEINCYTRKEDTMANVDCGKEVFAIRCTPNGHNTNLTFFSNNAKFRRSCSISCGGNSERFEINGKLKYSGSIWTSIYRAIRGDSTLYNEINFPDIGHVIDSYLGYMKTVITVFVIVGIGFLLTYTMITRAGFKVVKNILKGIIFIIMMPIEIISDSYKTKTMSRRHEDQYNEERPPHWELVRIADELKRAKDAMNRRERRAAEASRQIGEVIHSHTLNNQDKIHQIVGLNAEITFEFIKTLEAIEEFERQREALRRVEDLQIAGELEIRGYLATRGLIISEVTTYITRARMFFTAISSDATAGLRDIHNEMAAQQVELVDLLKIIKGDLDEAKSDIKKNTAVIEELKGAVEDTATDVEAIRYHTATRGGSSGVQQEAPEVPQDAPGVQQEAPEVPQDAPEVRHEAPEREDRNQSRSPEPRPPSRESPMLYRNARPDNAHHERYDEGSHSPSRSPPPKRRDDGSDPSRPKRWIYPCDYESKSIKNDCAFCGLNHYSDNCQNYESSDDRRNSIRGKRCSRCIKPLTYGVCKCRPTQCRYCKRVTEHHYSLCDYPVHILRTPDDYVPRGHRGRPDYDDQRSTNSHRSDNHAPRGRRRGRSASHDSEDPNGPGPSHGHRRDSYAHRRDQSMERGGHRREQSRDDRRNERRH >CRE20949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:34922:39740:1 gene:WBGene00070072 transcript:CRE20949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20949 MSIAISLNQSKELSPKETEEEDLRIYYYPFTYFHSVLSRKIQKCSKVSEKELDMLKKKLTAEWKWKQYEVCDKPVENCTHKLQLTFITMLLCAVVSKDILDMARLDFWIQCCIFGIESVLFLVIVQKLWCQRRQINYGKKNGFSHLFTQLFPEWAILEEVGPRVSQDDDEPRERSNSKLKLLKDTVGAIREKKYVKGG >CRE20950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:52389:53961:1 gene:WBGene00070073 transcript:CRE20950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20950 MRETILLHHFGEKRTRVSGDSHCMVKALCLGKLVSDSSNPRTSEMEKNDIRKKLSNLTRKDYSETFRAKEQLKMARNFLEEAQMDPDQEEHGREDLEVLAAYLEDYQFTLWSLKGRDTVLTEEAHYNEEGKGFIGLFHYKGHYEYVTHTKSGKPSRFCYKCSTWGVGHHHTDKCKAKCWWCGFAECKPEPAIKIHCDDCNIDFPGQDCFDRHLKCVTGHALPNCKKIFFCSKCMKYDRTAEFQKRSHVCGATHFCTVCKAKKEKEHECNHPMPTEAGKKKKREKQEKWTIIVYDAECVVVKSGEYSDDPCRGPKHIPNMIVAHMFCNECRGKAGCPNCKEPIIFSYKDDEEDNDGDQQFAGEEEEEDSEVGSDSECDYDESEPEERSKTLTKFSKFLMTDPRANGAYVIAIHNEASRKLSWITWFQIYDSKAAQCCQVRLHLNVSTNHLDETQMFIQLDLSST >CRE20959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:56643:58105:-1 gene:WBGene00070074 transcript:CRE20959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20959 MKLLQYTGLTLVPVTLFGVTCNGLVVLAILTNRTLKDSFVILTGNQAIFYWIFGMVYAIYIIPMLVFDSKFMMEKSHYAGYLLLMCYDVGVQAHFLVTVNRFCAVFMPMVYRSLFSAKLTKRVVFTSFLISFTTLTIFFQFLPCRCYYSEEDLSFIFNDYPICWDYDAIDAIKVCSMCLFNVIVDTITIWKVRKIRSSQRTTKFQKKEIDYLQQSFVQALFIFISIPAIYIVPFFTTTPLANFIMKTLFWGSIHAVDGALTLYFNAEIRKSLAKQFNLNKVVPESMQRAS >CRE20960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:66566:70152:-1 gene:WBGene00070075 transcript:CRE20960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20960 MSLYETSDGILETHVTWKDVESDLQEKLGTKATFGENKTAVNIGDKKGFMSRVALVEPDWQNVEEVKELPRKFALKIPSQLAQFNIMSFEGGEGFDAEKLARFSIVTRMIHNREVEVYKQLNKFNHPDIPYAKAYSLKPFDNSDDLKGYLILEFIPNIHTIEMYQSINADDLLPLVRGIATFSALAQSLSPEETKWAIDRDWLEMMFNELFNETELAKKFETIRKLFEKDHPENAEKLIEVFQRYRELVPRYTRISEILGFKLVLNHGDLWQYNMLYSKTKNGNLELKALIDWQIVARMPPGLDLSKLLLGCLSVKDRRERGQELLKCYHETFTQVHGKELFSFHELQDCYNLFAPLMAMMVVPEIYMFIDSAKISEEEKVAARKEARTKMVAIMEDVIEIHKYNLENYSDFVKI >CRE20951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:74843:76549:1 gene:WBGene00070076 transcript:CRE20951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20951 MILIFLLTTILAVLIVRQYKRARKLPPGPMALPIIGNIPQLVYQSWKHKGIVPAFEFFRKQYGNVFTLWLGPFPHISISDYETNHEVFVKNGNNYKNRLLPPLFEHFNAGFGLLFANGEIWTEMRRFTMLTLRNMGVGRDIMEQRVLEEIDARCAELNKEEVDGKIIVNHGEFLDLMVGSVINSILVGIRFDKHNKNDFFHLKHLTHQLNDVFTIFDMMAPVWIVKNFFPKRYAKTKSGMNAVSDYIGRVAEQRWEDVKSGKYVLNEENPKDFVDAFFIKMEKENKNGGHPAYTIKSLQYVLSDLWLAGHDTTAVTLASGFNQFMNHPEVMRKAKEELMRVTNNGSRSLSQNDRIETPYFNATVAEIQRHASVLNVNFWKTSDAPTTINGYQLDSGEILTAQLGGLHANENIFKDADKFNPDRFLENEKLLHQLIPFGIGKRSCVGENLARSNLYLMFGNLLLRYDIKPHTKLPSTADQLPYTSAKIPDRSVNLEFVRI >CRE20961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:77458:80986:-1 gene:WBGene00070077 transcript:CRE20961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20961 YLPKLKSFQLGATQKIPAKWLAPEVLKTWTFSTKSDTWAFGVCIWEIYHNGAEPAYTVRKVAPKAAAVPDKNTKTVTRRRKKISGGPTGAPAAPTASVKRNVGSENDGKHLKITENVEFLPPLFEPMFDRMFSLKTRDRIELAAMADEVEKKILPTLPKMIADEVRVHVEKRPPFDPKFRVQHMSVSDGSRVSTLRSAPAAGKSKTATPKKNLSTPNDLSKIGTPNDNKADGKGAEKKEKQKTARRKTKK >CRE18287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig470:3500:5310:1 gene:WBGene00070080 transcript:CRE18287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18287 MVASYSGIKCFGYFIIVIILIFSVANYYSLVHKFEDIEKKLHRLKPHDIPLIDELTPIMELRKAALLSADQVQRDILENAVGKDNKNFYLKLRPEAFCQKKVKIGERKEDGGKIVCDPGAVKEDCTLMSLGLNNQVQFDQEMYNVTGQKCDYIAADMDPQNMNTYRIFAAMRAHVYAGKIPDNLTISHMMEQEFKTELEILKIDIEGGEHTGLEPFLQKYYVCQILIEIHGWPAEHLEMLQKIARYGFRIFNIEPNKMCSRCCEYSFINELCMPQFGVLPLAITIPRNLTNV >CRE18289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig470:11936:13243:1 gene:WBGene00070081 transcript:CRE18289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-23 description:CRE-SRD-23 protein [Source:UniProtKB/TrEMBL;Acc:E3NL99] MEFGLYQSIHTFLSVFGVGINIFLLYLALTKSPKIMRPCSALITNKSLTDIMSSLANLFVMQRIITDGSSVTIIPTGPCTSIGPTACYAGHMFLGSFLEHNLIWLIACYLFRYYILYVRDPSIKSIIFAALVVYTPSFIHMAVWIKLFHSENKDMIMNSTGSDNSTSSEMILTGSAVYWSSLVVYVQLVITAILVVIAYTWIRNVLINFILSMGATLSKDVKIINKQLVKVSFELILTFQVCIPIWIFLGVFFFLAMYTQNAQPDILQYSITISFMLAPVISPFAYIFFVPHYWNFCIGKKYVTPSTTSICGSSSNCGSVEKKSMT >CRE18290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig470:14056:16036:1 gene:WBGene00070082 transcript:CRE18290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18290 MSDSNPPAEPNRNRKRKSDSSSDTPSSSSRPPQPVPISRRSSTQSTSSITVENVIRTLSKPLEGRNLNVNNIAEKVLKFTVEYGEKVAASIGKTWSTVRTEMEYRIHPFTHANRRNEMSEKEQIPYLRLSNWFEYYEESKDKEAVLDLHKKLYERWEVLALLDSNPPAEPNRNRKRKSDSSSDTPSSSSRPPQPVPISSGFSAPSSLSITVKDIILTLCTRLEGRNFNVDKIAEKVLTFTKEYGLKVAASIGQNWDIVREEMNLRLAVQYKDMEEEKQIMLEIKYEKKWKDNLTIYGVWKKLRTVIDSNPPTEPNRNRKRKSDSSSNTPSSSSRPPQPVPISSGSSTQSSSSITVEDIIRTLSKPLEGRNLNEDTIADKVLKFPDEYGEKVAASIEKNWSNVRREMNRCIHSGGQYEKMPMRKQMVYLRLFNWFENYEESQVKEAVLDLHKELEKRWRKMDKELEESKKSKEEVEVTERKKAVNRIIKRLSTRLEGRDLNEDKIAEKVKEFTEEYGFKVAASIGQNWGIVREEMNSRLPVRIKDMEVEKQRMYMRMFNWLDYYEDSDVKEEVLNLHLELNERW >CRE26844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig445:8174:9171:-1 gene:WBGene00070083 transcript:CRE26844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26844 MSIDDASPFSVKWTPAISYEEMLARSTPRPDTRFDHYQQHLHDGTTEKLEPIRMMPEEDKPLKVVFAKKETQIYSIRKCRRAPLITFIPYSDAPPPQLLVQYPQFPERSPSPAPEASVSGLHPPIPPDLPAVRSPAPADPNDITPEMAIKILDRPLRDESNPPDTREIVNAFNRWRMANGNNAAHIATHILGVNKTTVRDYCVTPQPWNELKYEKMIYLRMHNWTRLSSEARERIWEMDLAKERAKNGSPEKPKAIITPLPDDVKEMILNEMRQAPDQVLNEPYLRQWAIEKGFNYPPIKNFHHYMRSRIREGFPI >CRE16990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:330948:332060:-1 gene:WBGene00070084 transcript:CRE16990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16990 MSTVFHLFSLPYVPLKQVLDNFGPEALIIFSLCSLKSKRIAVSYRGPSKRVQLKLQFGSWDCLQDSKESYGNILLTVEETEKLPMDETLETVRIGSFEKVAVKMKEGFVRGENLVTYWENRMTGLTEIGDYAREVFNQDIYEVLIFDKRADDDHRRAAEWVTKSQKSVQSLHCEFKLKVDNDLDCILENFKYTEKLELLVKPSEHFCPAKTPNFQIETWYLWYSYWIKQHHLLAMDCKYIWLYDSELTTQDFNVFLKHWLAGGCSKLIELRVVVEQAIDYAAVLDGVEFTERGRDVERVYVDEERTPHTMKGGFDVKRSNVTATIVDRHPVMFWIIVW >CRE16989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:325122:326937:-1 gene:WBGene00070085 transcript:CRE16989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16989 MSNMYPQNPNDQPGQRRSNRQNPPQNRTPPPPIEQLNPPWNPHLGAQQWTSQSQIVAPNMHRMFLKFPFSGIKLLVFSEPMANMDPRNPDTQPGGMEQNQPDAFPNPQLPFLRELHMQPYQPEVVPEEYQPQYLSTRANYTSSSHSLSTPPLQTQTHPTNNINTEGYPPFTFGENYAQNARLKTAGGFEMGGSADMRREFDVMDTVFQYLYFPENPNPQGSMNPLHPNAPDSPVNWERLTVRKVIQILDKPLGDGERIDTAEVARKITNLINNTPSGKGKYFECVGGKMKNYKKYIDVFYDLKEYKELNEEGQDVFRRWYNWWNIPDDEKRKSILNMHETLKTEWFNMRSAKNAERIENKLKLRNQELKRKSDAVDEKNRVREEKNARKKEEKKKKREEKAMMKGRK >CRE16988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:322152:323962:-1 gene:WBGene00070086 transcript:CRE16988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16988 MYPQHPNDQPEQRRSNRRNPPQNRTPPLPIEHLHPPWNPHLGAQQWPAQSQIVAPNMHQHPRNPNDQPGQGDQWALPNVQRMFLKFPFSGIKFLVFSEPMANMDPRNPDTQPGGMEQNQPDGFPNSQHSFLRELHMQPYQPETVPGEYQPQNMAPDYQFPPQNPLIHQAPATSVGGPVRFPPGTGPMGQHPGRKLKTLSGETMTWQFSERQLSPYFPQVNQSTSHSRRRNKTRQPQVPDTSGSFQGQASSTGQPEHPAHLNTWPEAAQRRVSQQMFSAPFPQIQPHHDVHTENDPQYRTSPSSEELIPGLPKHYLITEEKALEILKKRIVGKIDPLKLKKEVSELELSNHLKGKLTRNVLNSSETFGTIMNNAKEFELMSEKYKEIYKRLHNWWHNSEDVRLRIVSVVPDIEQ >CRE16947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:314008:316524:1 gene:WBGene00070087 transcript:CRE16947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16947 MLFSWYQKARISRTSETQIINFSALSQSIFWTGQVSWFNVDEALKIMVRMECSRRFWNQRDRLESVVSQRIAAREKDICGRNRRGSGQEKFPQDFQSAPETYPTNYPSKCDNSDIGTKEEIRGFDIDEILSIPSSKEYCDWSSLPKNLPIRNNMNTANGVKAAMDLEEDTTIKELIGEDGNLEDVIEQAETGLLDGFLDYFLDDGAAPEDPLCHLLNQICKENTDEKENKENMDPFGNRLNGQQSYNSVSFGHYPEQQAASTIGPIRQTEKKRSISKPYEIGAPGHADVIRDITAESKIAWTLQWPTPSTRKELLEM >CRE09897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig859:1937:3312:1 gene:WBGene00070088 transcript:CRE09897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09897 MSTMNPPKNDETNSSKSLSSGNGDPDYQSMPSASSHPQIPSTSHQLTVSVPSTSGDSNLISETTFRSQESSRENQDISDMDSNVKEDPQRSENNEFSHQNPDRTPSTCNEWNQKTNTRNLGDALSEVKRLDPSIVKSEFILRSPSDFQLPVGNSSTSESVLQDPSNLYNSDYDPIFHILTSVDFSSDPLHYQEVLSKTQEDDETMSKFSIQKFIPITSSGGLQSPDTALHHPETHFSDDDPDFVATKNAVERIDNFDVETPPHPIEIVEKYIKWKKERCPGDVNINTIFGKSSRTISDYLVTPQPWDMCGKEKMYYLRMHNWFQIPEDEQEQIMKLKLNEYREKYCTEPEINYERPRLPDDVRNFIINKFQLMRRPLKFKEMSDIANSKNLNFPSVKGFCRSLEKEKKK >CRE09899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig859:6480:8130:1 gene:WBGene00070089 transcript:CRE09899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09899 MFNMTPTYRSQKSKNGVASAPSGDEDPYFQLIYSPTTSSRKQNTSTSDEELLLPLPVFVPSTSEDIPFPWYLMKHHGNTYSKLVQSGVDDTFPEDLLDDSPWSREMVRRERDSKENQNEKDKIVDGSAGISEIDLSKLSVRETKEEAKRKPLGEVNRKQVVDKKNFHKNSNPYVSPIQPIVTRKPSNLTSTPKKKHDNSTSLKSSSSPKTSLQVVTGEPNKKKDQVLTQSTSELKFPIPPMSAPGARLILSDDSFLSLPPSRHLIKQIEFWRYGDDLLSQHESLMDTKILGQPKGTYKNLVDNPFELRKRRDLYKKLYNWMITPESIKQEIIGLDLYGENDKRKFRVSIGKHNDWNYFEQQTILTEIFSQCPNPSEAVIEKISRHVQLPLKSVEAFLDNYRKNLKKDDDKM >CRE16977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:287971:289582:-1 gene:WBGene00070090 transcript:CRE16977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16977 MFCIILCYTCVLLFFLLFPSQLFHLKKRKAIVFPITNHFYEIIKVIYMLFFLSISWTVLMVIFANRNSPLCAIFFALILLTHVIIFIIVQTCTLLTSLLAIQRSAIYFFPSAEKTILAVQKKLHTNIRYLYITVGFKDLFGIALLLYCLQGDKIENSCSSYQSFYLLTFAVFNFLLVFSAFLYIPIMIQVRKLSYLPSVQQSKPHKYILWQNVTILVLKSIFLPFIILTQYFTPASPIEVLLYFVVTDTFILPLIIQVSYLGCNRRNLTTLLQSFHLETFVAVLLNIKMESTVTPQESLTFASGDSTRQ >CRE16970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:257822:262487:-1 gene:WBGene00070091 transcript:CRE16970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16970 MVVEVQLIGRKVKIFAPLQSMKLERTSNSEKEGGVAAGVATSEVGTWDDHRVIPPSNACGTDEASTVIHTQPKDASGVNPSISQNPTETGGMSGVGSDANLIVNQLKEIGEIRDLKSLSDIDGTWKHQLLGYTEELVKATEEDNGKDSVPRGLTETAREDCNVSASANKDGIATIDEKTSEDDKKRAFPTARKNLATTWDAVETIQAEQPNNLVSRSGQMNRAIFEASRTSQLVDSPSTKIQCLERKVSETCLCPGVVDMVGKWDDHRVISPTTPTSPRARSLSSNRGLRPQISHTPVVMRQQGVSRDESAADMDWSQRLIDAREGIMENSHPPMANNMTPIRNGSPLPEEEKDESIPHANPDITSPNPEDVQAAVTASGGTTSPGSTPPLRLSNGNFPKIQEAVASEANDEDEVEANQVNQRRAEVNEEEGFLPHVMAPPSKSATSLPAHENINNSVRSFRDHLVTINPDASQEDSRRAFLTVLKYRARRRAESMLTEKPESTLNELVQGLKEMFECTSQIQRNKTHPRSSKQLPGKSSDDSLFHRTIKLATQSYHEYQKNTEYQKEDVTLEKFLEGLNQAIKSLVKREARPITDQTRSTALEGEACLVPNEQPPELTQLPAQLAASLANTATDHGDRDDCRDHRSERQGRDGDYKDRSSENDFQISRREIFLTFTGKCHYCGKVGHMARSHNLKQRLVANQQKSKDPASNHQTIQVDAEEEASCLREMILWQDLRIHELKEWNDRLRRDNSSSASRDQTSQADPPSAMALWTIFGQKNKFPRSKFNLSTVPCIFLYFPSLIYFIFPIFNIELGLFSNIAGINFVIYPVLDPLAVIYIIKHYRCFVFKLFCLSHKIQPNVDAEQRKNDVVMASEVEIRTEGNNEIFMSFNRISSE >CRE16942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:247522:251161:1 gene:WBGene00070092 transcript:CRE16942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-30 description:CRE-SRA-30 protein [Source:UniProtKB/TrEMBL;Acc:E3N2D0] MLYTNQTASELDGYRCTSSGIFEAQTSIWMKINFIIAFPLIFITFYTSIFAYRRLKYRNVYSSGTQFILYVVLLNANLNQLVYCVIRIRHLFQIINYSSDPCLIEFHSTECFYDNSLYMFSNYFATWLVCSLTFDRFLAFYARKLYVEQEKSKRIAMMLVAGAILFTLIGHALTYFGVNRAGYVPSCQYPPHLALNGFAIMTNLKIMFTIANCVVIVVLLFLIIRKDKRIRQTVYDTNTRYSSYENVLTTKSVLIIAVAQLFFSCLSSVAVTIVRTFEAGMSEYTYHILTQYVTGLLYGNLSIPILIYLKTTQCVQLRHRRISKMTKQPDVLESRMLSLKNMWEKE >CRE16968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:231683:236691:-1 gene:WBGene00070093 transcript:CRE16968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16968 MEEEKASTSDEGPSVTIAAPPYSFQNDVDKEDSRREKDRERKREKRANESIQESQERLQQASEYRKKKKAAETEEEKKRKRQEEADKKRLIRSQESENHKSVRRARDAENKRNSVLQDSQQDADARKNRDSQRKALDRDQETDEQRKERLLSVSARKREREREEEDELRELRLKRRADLRARLAALRATSDKTQATRSSEDKLIYLGSMSEECENCQALFFKTEIQGKKKDKINFCCSSGTIKLEEHFADFPPQLQELFEGTDDPNKKERNEQSKNFKSNARQYNNSCAMASFGAKIDHTPNQGRAPYVMKVHGQIYHFAGPLHPSNGKNRSYGQLYIMDSAQAAAERMQIPANSRCDVTIMQELSQLLTSINVFAQSYKLMHEVEKQEEIDALLAGRSPKPVQMVFDAYKKNLDLRRYNEPTSNEVAVVFVQQDGQIPSRHIAAHARDGSGLVNIFDTDPIVDPMTYPLFFPRGILGWHEGLSRVNSTRKYDRIAQQPYYRYLAMIRKGKFNPIHYGANLTQQFWVDSWTKSEQNRLFFHRNNQDQIRAEEYGELRDFVIRGDHLTPGRRVILPSSFRGSPRAMIQEYQDSMVIMTKCGKPDFFVTMTCNPKWREITENLYTGQKPSDRPDLIARVFKAKVDEMMHLLLKNHLLGEVSAYVLVYEWQKRGLPHVHALLTMKDGHKPLNRDDIDKLIRAEIPDPLLEPRLHDIVRRNMIHRPCGFENPNAPCMKDGKCQKRFPKSFRDETRWEKNGYPEYRRRDNGVTVKCEGCDMTNEYVIPFNPDLLLLFDCHINVEACAQIEVVKYLFKYVYKGSDRAAIRIRSEENDIDDVNEIDQYLDCRYVCAPEACHHIFGFPCQMKSHTIYRLPIHLPDRQTVVFKPGKEKSAVANSQKKETKLTAFFKFNSQFKEMETRGDDLTGKVDPRDVSYMDFPSKFVWNDAQGAWRERVKGGEKTIGRMYAVSPNDPERFALRLLLLATKGATSFEDLKTVSDETGSEVIHPTFILAARALGLLKDDKEFVRALQECESYQMPSQMRATFSSLIVFNEIGDPQLLWDTFKKAMSEDFVFRGCSEEEAEAMSYEDIKERMSRLGKTIESFIMAPTFNVVAPISLNEPDYTFMASEGERLYATLNYEQKTACDDILNSVENPSLRRLFFLKGCGGRRKILIQCNAWTGNASTLLPNGRTIASSFKMDINQECRVSLLKMNSKEAALLRETEVFIEDEASMIPKDAVNTMDQVLRDVMGNDLPFGGKVMIFGGDFRQVLPVVRRGSRTEQVDGCMKMSPLWSQFKELNLISNLRVTSGDNEWMEFLLSVGDGSANNELGRVELEPSVYTNGDLIEEVFGSSIDQFSDLSECAILAPKNVDVDRLNDEVHKRMIGTEKIYYSRDELVDDSNSKLVTTEYLNSINTSSLPPHQLKLKKGSIVMLLRNLDVSSGLCNGTRLTIVQLGRRVLKCKFSTGSRKGEDVLIPKIDCYDDKNLAFKLRRTQFPLRLAFTLSINKSQGQSFSRIGLWLQEDVFSHGQLYVALSRVRSKEGLFVKSDRKDLLNVVYYEAL >CRE16967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:229317:230538:-1 gene:WBGene00070094 transcript:CRE16967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16967 MTTRPKPLFYETAKCVALYMDANVRLQLYLRCPLFGTVHRSQTLRIRDLNVRPDNIEIDGTIYGLGVITQYTNQPNPRFVTFYNNIGGLQWDVDVYGLPTNKNGNMTSDNEEVASSQRQIKSLKEKLQNKKIGSNYIEDTQWQIEVAQWKVDVFQMRINKSPPPYCNYLQLGIRTGEDIRMERVVYEKPFKLIREYIEKRIFSNGNIQVRNLQIGGDRYVTKLVDFIGRGVVQQDTEPLCRYAPQGDLVKPLLSIREGCLEVRVLKVTGNVTNAVVSLQTVLSAVPLKQLRTVHQPFPDDPIIKTAHFVLIVGNLPFTALSSCPNNRTHIEESSAISNDQFTNVVNKWVESDMSVGTYYSMGDHEAQSVEELFAKFRNFPGAQSGENKETR >CRE16939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:225889:227899:1 gene:WBGene00070095 transcript:CRE16939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16939 MLCIILLAFTLHLSSSFMEKVVIVWGKPESYDVCTSRNDLKWMDCIKFCKESVAIPLCALAATDYKDMCYLCPYEGVTSVTPGIADERNKVAFRIMVNLFNFSTLKFCIQVDTTSLDYCPSGVNPPTFDNVKASADITPYNATDSDSPQYIYFYTVLYSNSIWSIIYSSVPRCLPNFVFVQRESHGWCITLIQTSPPLNYAAAVQGAVDHCGEGILSGVTSQWEMDIVVYQGGKIYANLQNMAFYIRMDGKRTAECEATPTTEECMSIQGFDTMDKDVTSFQFYNWIADSSAGATTGKQCIVTVFDGVNNGKQDFGECGDTTSLPVYAFVCGLEAAL >CRE16937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:219104:221860:1 gene:WBGene00070096 transcript:CRE16937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-188 description:CRE-CLEC-188 protein [Source:UniProtKB/TrEMBL;Acc:E3N2C3] MKFLLFLASLLLVVDSVMVVVNGKPDPSSKANQNPNATSWEKCVKYCSEEVTCLLAYDNEGKCEWFQHENITKVKQTTVLEEEKVAFKVNNFSTSSCPSGLNPPTFDNQDAHGVLLIPGEYDNPNRVNYTIKYTAGTWEFSYFEQNACPTDFFVLLQRENIQWCMSTEITPDRPFTSFSYDAAVTTCDNQNGSVLTGATNAAEMEKIKTMQSNLLSWGAVPQESFALRLDGKRTTACQATPRTASCMTDEVRKGVHED >CRE16935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:210070:212971:1 gene:WBGene00070097 transcript:CRE16935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16935 MPSGTAADGRKRQFHSRTHALEALEGIDLKGKTIAITGTTSGIGVDTAKSLVLKGAHVVMLNRNLVESEKQKRAFIEEKPNAQIDIVQCDLNSLASVKKAAATYLEKQWPLHGLILNAGVMGPATKMTSDGFEAHFGINHVAHFILVEALLPVLRSSAPSRLVIVTSALHSHSCVKPNSPMEQKLATLCPKDASKMYLHLYSCSKMCNMLVAFKVHRDEYSNGISTYSVHPGSGVRTDLHRDSGLSKMIGFLSTPITKNASQGAATSVYCVAHPEVKEVSGKYWESCWDDEKNLDKKVARDEELQDALWKRTEELIAEWERTGKANGKAK >CRE16703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:927295:928982:1 gene:WBGene00070099 transcript:CRE16703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16703 description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MB58] MSDSDTEFPLQEGTDFLDLEQMLGAAPRSKKIFEDVTKAVSEMNDISPETLTKLEQTAKKEDEMAVLPEAIQLASGTRIQSVYGSPFPSHLFKLKTVFVCDYCMQFFDGQHSLSRHRAKGCLKGPPGVEIYRKEHISIFQVNGHLEKNYCHNVCLVSRLFLNSKTIIHQTEHFMFYVLTVCDEHGYKFAGYFSKEMYWPHSFTMLCLMVLPSYRSQGLGRILIALSYAMARREGKLNGPETPLSADGKFAHEQYWMWAICNYLHEKSVFEKVHTHGISLAEISIATGINCHDVLRMVGEAGWLDLSDPPNERGVHIPKLNFNWDFISETVNKEHLKCGTGKPQFDESCLHWQVQKITPEMNGFDNYEGKGQDIGDIETEHNPSVPSASGPVKLQGKTEEDKAEDSPRAITKKFAKVVKKNKKKSKTTKRHM >CRE16799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:937773:939592:-1 gene:WBGene00070100 transcript:CRE16799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16799 MSSPSQVDLSDMMESRRERSGSANSIISIPSESGKDCRLYEAMSLRSLIKAKDELDISAFDRTRLFHPRKQRSCHKRAEPVSEEHRKKESSKNSREYTKRNRDEIITCRALFNKIHALKCRFEQILFELKRDTVEKYVKSAIRDLMTILEKYSNFGPDMKQRFGIPDAESFLVHYRVKADEAMLYQHRPNTPNHHREKLEELEEQFEKLTGDKDSLNSSKDKTNFASSKSRLNQRIVRERLKTKCWDYWNEINTMAVQAEDLQEYGNHLKQEIWNNILVVYHSFLSIPKQQPSIQDAQQIDRILEYFLPFSRRGGIFSIKWCPLKIEVNSSEMIELQSNEPLSPPSLASTQQADTTDRMSPIQRPESMDMSQALPPHLMEKLLIQDRLISTTQFTPPALASPSFTLALPTVPAASISALKRKSSQPRFIRSSVERKDEHGSPAVKSSTTNDDAITPFDVTTMKKMRSEIEIIEPTETKEKLKQKELSRPQATFPAMASTSQINPIPMLPPPIPLFNPNLTEDVTPFEISPALIRFMEQQQVMAAFLNHAFQNVKNNTA >CRE16800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:944165:945438:-1 gene:WBGene00070101 transcript:CRE16800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16800 MTSASNKEDDGFDPKYSLVYMNSTAALMETLFKNMKDEDFVYPSKKTVGADEGRIIRCEELMSRKQKASAEQSTFILGDPYLESMLAKMSDEDFTYETKKPVDPNYGRVVRALELMELAGCSTDDVHVKINIETAETIEPPQKENVAGGDCQQDRASNSSQPDERDSGSDNGDRNKKFGSQKKQNNKGRVKYNIQSWKNDHRSSGNDQNYVPRRQSTDSYRPFNQKVANANENGTHSYENRTFSPQNEMFRDYGNNGGTRYPKNQGHSNNGRGRGNRRGGYGGYNSNNGQQRTTDVDRDQSWNISQRSNWNGNQGANTAQKFWTGVYNEEHMWK >CRE16704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:946825:948992:1 gene:WBGene00070102 transcript:CRE16704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdt-1.2 description:CRE-MDT-1.2 protein [Source:UniProtKB/TrEMBL;Acc:E3MAJ5] MAQNSASETRIYLLEQRKNKELNLEHIDEQMRMEQVRQSASKMEWTSFGQAVRRNLQEKRNTIDADGRKDVLKGIAFMKSRMPIETTFTMQEKVKIMAESLGCSHAHTSRGWSITKPEELNMDLTITEGQVTTVVLSFWEEPSFYSPEATKMLQNDQWTELRNKIAGMLSKYDRQIGRQDLVNCKGALSMLEMLFSHFSQDASFIAVHRSNYGYYLRPSDLRNGRVYYLADPFYRSLRSKDRVYHLTEQDYDALPYFEVSFINYDSPCTLPDYYNSGEWVESIEADVAICMKLSRGIVMSEATRKKLGQFSKKTVSIRHYTNCYRYLTGEIKISNNLKMITQFTDGKAQHLYNVDVTSFNGEGDSVITEIFLEHMQDFHKVISILRNEAMHISLWESVLSSCYEQQGIKEHVVSAIRMNIFLSREEIVITFKTKYAPIKITIRDNANLETNVEVVNAETNLPIAKQIDETLTRKLNETWSIPVTLTYAVSGEDCKLAKIKVPLRAENPETKAPTPTAIIMRR >CRE16801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:949520:951465:-1 gene:WBGene00070103 transcript:CRE16801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-21 MESDSPLNHPVVHFLLCVSYLFVFLATIIGNLIILLVFFSQKKVRSVPNFFLANLTVADLFVGIFCVLQNAVNFVFQGHGRWPFGKVLCYSYIYVLHFIPNVSAGILVLVSVERLIAVLRPLRVRRAFSQKVLITSSAVVWLASAVMNTPYVIASQFLEISDGNETYTICTRRHVEIYGFNLLKLVATINFIVWYAVPLVILLCIYATIGLVVSKAATITKQSANKLLPRSSWRSEASTGGVSVEKRRKVGRLAVGIVVAFAFFSLPRYVYFMWTVWRDPMAPRCLNCLQSVLQPISFLLLFFNAAVDPFLYAFLSTRFRQSIKETFHVGKVRESNIELSSRLRRKVVNSELETGYEYHTHS >CRE16802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:961651:965246:-1 gene:WBGene00070104 transcript:CRE16802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16802 MASLTVKTVKRPRSRLEITVIEKTDKFLRKGNIKFCLGISTDATPALETIFNPYYQEDPVTKLRIERNQFTFPVGWIAPIARQAPNLVYLYIPSCHLGNTDFQTICNSFPNLEELIITNTGLQSIAGIQKLKHLEVLDLRENDVSESKSLKELLSLESLRELIADFDKNHSNQYFNVDNLLKSNEKLRLLGADVLKEYFEALQLEKIKGNWPVFYNMLKTVRNMMHTGGGPEQFILDTRRKYLVWLTEFMLLDELTEEGAFICLQTLVCLTQKGRLTRDYDESVPELVLKAVLHIDNIFDLNKKGDQLMWNILQDDAFCNLESELENELVDATIQTMMELETTDRVFLCCQKILNDRLCYMKPEQFSEICKNIKFRKRYLFLICTGYFFFSKGARRELDQCFRFLMAMAQNPVEEHLKVSSYFVRIFSQLLHALTGFVRMEVLVLHWFNELAFSLNKEVFDQYVLSVHIKPILMRMCDKSSKCYREATELFITLFFHTKKWSPFNYLINDAARRQLTYYTMAMLALVESRSATYEDGKYVKSIIMKAKEGKLNTWIELAKFFESSFLLLISFANET >CRE16803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:969583:972597:-1 gene:WBGene00070105 transcript:CRE16803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16803 MISTLQQMSGNAVQKRILKNDFPRRDNTPNYPLKLPSDFGNLITKFMFRHNKKRPNFNELADYLKITDAYIDAVQSFDIEHCEFFFKQELTCLKITFVPWEKLAEECKQLRMKRFDLIFVLRKLVNSNARHKMKTFCLSDTKINLAGDWAKYLGNFFPLLTTLDLAFIKINQYEFQQMCAVFKNLKRLSLRRNGITSVNGVSKLKQLEVLDVSECAFSQKEDLSDIFDLEQLKVLKFTGAYYDLRNIELYVDNNRSAPKLAYIDFSSNAITRNTIEKIVKTHPRLQTFLLIGCVIDDIGNIEEVYKHIKFYLTTSLSHCFESIDFCCFKKEIDFSDMDDKLKDILEDIDNMLHFKYYEQSTENIVKSVEYICKANSVVKTLTANRFLTNCFYTLCLTPTRCQLFNHHQKQMIVHDLVVAHERAMRCIEPDGKDILYRVWSVLILEDVVESNCKYLGHVCRCAREIIANAELDLKCRICAITVLAIFIDKLKPTFFKIVTSGISLEEHLYRLLEVSSLTHLEYTYVSLLIRKLHQLNTSTCDEEKRNIVKKYMIWMDVFEEYRDLTMDSLIAILPSLGKCPQVYFRKKEYTLLYDWCATGNKMTKHSAISLLVHFFLTKMNAKELFLKRKRIRQLIDEVCRVMMNLDPEPFSFENALTKSNSVVVTQFIRWVMTILPRNLQKPGPK >CRE16705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:977166:982033:1 gene:WBGene00070106 transcript:CRE16705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sid-3 description:CRE-KIN-25 protein [Source:UniProtKB/TrEMBL;Acc:E3MAJ9] MASTSGVLVDDNVLELLRKAQLDDYTNKFVFIFNVRRFDHFSHVRDKDMQSIGMQQQQIRQFHEQVLKMSREMWNRSDPKQVFVASDQSTPNQSSIDEKALIPNEQIKLFELLGEGSFAVVKRGTWTQSNGQRVEVAVKILRDISPNIMDDLRVEASHLLKLQHPSLIRLYGIVRQPAMMVFELCEGGSLLDRLRDDKKPIPLVSRLHDYCSQIAKALQFLESKHCVHRDVAARNILLARDEKTVKICDFGLMRALKENEQMYTMAPQKKVPFAWCPPEALRHRKFSHASDVWSYGVTIWELFTFGEEPWVGCRAIDVLKNIDAGERLEKPKYCSNRIYQIMTDCWKSNPAERCKFSAIREDLKAAMFLDATARETYNSIQPGALILTKGDEVVVVENTGQDWFGQNKKNQKFGTFPRSVVFAQTNHAVAAASAVTPQKVPTAPTIRMRPEQSLQPTPLAYTSKPLNNNTKTSLNDRTSRISMPVAGSFIHTGHGDPLGGQSWGNPSTIDDTYLKNPVKGVPLSRFVLMSFPSVNQPHVFSMSNGAQVIASKELLTNGGRSTHTPAAPSTSDMSKIRGLSLDLPEYDDFDRAFDDGFSPSKIELPRDFGNDSIISNGSNFRSEDLSSTILIKGEERRDPTFDIRGNVLKPTPIAPVQVPSTTHAPRPILLAPTSTGVMSMQLPDGFITPQPHGSAVVVDKPDPLRNQPRHVNSAGSRLDSMAQPNIPTHFTQQSQKQSMPTNLIPELQHRLNAGSDMMRPRPASSIGLQNNAMDSYNPQMNRPFSVVNVPSVPIVPQQPASIPCLVPTPAPIPAHSSAPKTSVNGQQQNPLQKALTEELRGNLNRRPTSAGASTTSNGASNLRNGVNTSIPPTTQPSLQPQKLPTAVVQKPFQPQQVKLPPPTQTMPSTSAPITTVPSVVSTNVPVQQVSTPRPMTASTAPIKKTSVPASTVLGSSAPTTTASTSSSQNVVTRRPTQTITMSDEERRSRIIQDVASALPAPSALLLGSQSAASLPSAAVPAATSSGSSNRDRPGRTTPPSQPQVTMPPKKSSEPVLSTEVLQPTRLPSAASSVPKPVSQPVPQAIQQPVRNPSPPVINTNRQSTFVDKKQTIPSHSTNVPLFNITNSSNMYPQLNSYPNYGNGYQPYGYGMNYHSGGIQYNITFLVFCIIVGYPGYTGYTPYTSGMGQLALTHNAVSSLPPLVPSENTGTAQPLDDAAIMELLGEQNRQAAAAQAAAHTSRVASSAPTSAPVTAPSTARSNDLSMADRMEVLYKEADFTDSGNCDTMVMRCNGDTEMALKLLKQNHLVQIGVAKNEMAALQALESRQYDLTAAANLLLG >CRE16804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:982698:983568:-1 gene:WBGene00070107 transcript:CRE16804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16804 MTAIRDNLCELGRLEIPRPKCQGMQFVATRGRQGFYRTIRENGAMYGIQLKPQGPKRPQLSSDLFNRPMTVPEENSEEVEEAQTSHPEVKKVELNNNNNSKVNYAARPPMPPQQSKPSYSYPQQPQQQQQQQQAQPTLQQPRMFMQYRSSGGITSAVNSRPSLISQQREISQK >CRE16805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:987683:989406:-1 gene:WBGene00070108 transcript:CRE16805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16805 MKHAQILLVVIVVLVTALFFYSKYTFDDVGVTYSPDFHQAVHEIHPVVKTNVPKIQFLKDPTLFHPGPRAKYPPRQNMGECPPLYGRVLIFVAFVKSSMETHYKVAQESLQCYLKGTNYTVAMVDLDNDERVKAECGKNKQLFFKKHCAAAAYLRDADWMLVLDADTGIANPNHCVEEWIDNRVDIIFYERFFNWEIASGNYIVRNTMFAKNFLQKWGDWEFTQPSNWNGADNGVLQIHILKTVIPYATQEIKNCDKYWHNSTGYDTYMAYVTCCKLALGATRLWPGKVRIYRRAHGWVRDGFLTTDRFCDRDFMFHGWKNNEVGAKGWESPFPKNINVTLCGSGMDGWVYRPFKNTTCDRIRETLGNFERSSGRSYPKEGRVIPHLAEPDVGECFPTCDDDI >CRE16806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:990804:992208:-1 gene:WBGene00070109 transcript:CRE16806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acdh-10 description:CRE-ACDH-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MAK2] MLSRLANTSVGLSRSATGILASQSRQISFDLSDTQKEIQAAALKFSKDVLVPNAAKFDESGEFPWEIVRQAHSLGLMNPQIPEKYGGPGMTTLETALIVEALSYGCTGLQLGIMGPSLAIAPVYIAGNEEQKKKYLGALAAEPIIASYCVTEPGAGSDVNGVKTKCEKKGNEYIINGSKAWITGGGHAKWFFVLARSDSDPKTPAGKAFTAFIVDGDTPGISRGKKEKNMGQRCSDTRTITFEDVRVPAENVLGAPGAGFKVAMGAFDMTRPGVAAGALGLSWRCLDESAKYALQRKAFGTEIANHQAVQFMLADMAVNLELARLITYKSATDVDNKVRSSYNASIAKCFAADTANQAATNAVQIFGGNGFNSEYPVEKLMRDAKIYQIYEGTSQIQRIVISRMLLGHFAQNGTSRI >CRE16807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:992428:994328:-1 gene:WBGene00070110 transcript:CRE16807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acdh-7 description:CRE-ACDH-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MAK3] MIADGRHTHNPSCCVFLPPIYFLPVVTLIDPVFDCTKNAYFQKMLSRNLSKCTRMFSTTNKAMSTGIDFNLTADQTEFRANVRKFVADEVIPVAAEYDRTMEYPWPIIKKAHAQGYLIADIPEAYGGLGVDMVSNCIISEEMAYGCSGIATAIMANDLALTPLILCANDDIKKRFLGRMVENPFVASYAVTEPGAGSDVAGIKTKCEKKGDEYILNGSKMWITNAGHANWFFVLARSDPNPKTPAGKAFTAFVVEGDSPGLTRGRKEINMGQRCSDTRGVTFEDVRVPAANVVGAPGEGFKVAMKTFDKTRPTVAALATGVTYRCLDVATQYSLERKAFGTQIANHQGVSFLLAEMAINAELARLMTYKSGAEVDAGRPGSYYASIAKLFASDAVNQAATNAVQVFGGAGFNTEYPAEKLMRDAKIFQIYEGTSQIQRMVIARQLLARVAQNGGY >CRE16706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:995972:997203:1 gene:WBGene00070111 transcript:CRE16706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rab-6.2 description:CRE-RAB-6.2 protein [Source:UniProtKB/TrEMBL;Acc:E3MAK4] MSDFGNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSTVAVVVYDITNSNSFHQTSKWIDDVRTERGSDVIIMLVGNKTDLSDKRQVTTDEGERKAKELNVMFIETSAKAGYNVKQLFRRIAGALPGIIKDDPVEPPNVVTMDPIRQRQIVTEDGSCWC >CRE16808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:997909:1001666:-1 gene:WBGene00070112 transcript:CRE16808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16808 MAWADEEDLAYTSPGVPKRNVQWYLLEDADDRVKWFTGILLVVSVGMLVAGSVMLGIGISKNNSVPVPDNSAVGYTMFLTVQTRALPYSTVVNDYSGSVTNLTDQMRQAISSPSSASSFQLAIQPNVPITILGISSAGQWADVMYALSYADSNKPLLKDVQKQVASSSAFAAVIASDQTPKTLDEMTCASVSDVTFTTVTGPTGAPTTSPVTVSSVSSGTPGTVTVPTGSVPTVTGPTVTSNPVTVTTTKNAPVTGSTLTPPIPPVTKPTEPARTTTTAFVKTPYSKDVIIVLDDSKAMLNAKNFNLVKSWIDNTLLPLWVIDRKDVQIAFATYADTEFNTLLDFDEADENEVSSVISAQVYSGKFNSSITYGIRAAGDIHGLRPVNQTVIFISASEDLTDIESATQYAYILNTLPKQLITITLNSVTDGKQLGLLSTNQNHFFGVSDFNLTFVIAQQLTQYMFGTLTPSTSAPTTTGVPDSSCKTDVTILMDNNNDVGSIDEFQNQVRIISKLIKTWPISPDLMEGEAVVFATSQGGQIIENSFAYQSASAFANEVMAFDDFYFASSAPSLTSSLQYLSQHLNNRRTGRAQATLVFTYSSDNSDVQNAVEFANQIGGNLIVVAIGNADQTVLKQLSGNVIYAKNMTTDIIDQNITGKWFALHSRNQSSYCHRYHKSSNKCSYFHSTADYVSSFDSSTNSSNYNTKFVWFIYFVLNSILVPDNCPDCSPKTANILLLMEAYGTKLADQTKLLDTDLIVNWNHFERTSVMGFDTETKFLDPINFGDLQNKDEFTTIVNSISDLAQKPTIVSAFNLAMNNAKPLAQFGKMNSIIFTSGATADEVSLSTASSSILRRNGKVIIVGMKLADTNGLDSLCDVLLKWDDLTDTATISTQINQALNS >CRE16809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1002062:1004579:-1 gene:WBGene00070113 transcript:CRE16809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-30 description:CRE-DHS-30 protein [Source:UniProtKB/TrEMBL;Acc:E3MAK6] MYLHRSYHVAHFFFVAYHFSLLMVLFAIYCLLFCNINVFSRMSFPEKFQDLLKEWAPALVIPLSLYVAYKLLNRIIPGAHNLPKLDVKNKVVVITGASSGLGKSLAFELYKRGAQVILLARSTDKLKEICEELKETFPLNQNEPTYYYFDITDPEQAPWAEIPRVDILINNAGMANRGSCADTSMAIHRQAMETNYFGHVHVTNSLLSKLSPDGCIVVTSSVQGKVAIPYRGSYGASKHALQAYFDCLRAEHKNLHILVVSAGYINTGFGSRAIDPSGKVVGVEDENQKKGYTPEHCARLIVNAIRDRKTDYIMAHADARFAVFLRYFWPTLLNYSLYIRGTKDQWAPKNKKE >CRE16707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1010380:1015106:1 gene:WBGene00070115 transcript:CRE16707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-5 description:CRE-PTR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MAK7] MTEFQDRVNDDKLPMSDSDPLNTENAAVEEEQERAVSAMDNRQEKLNCLHGFLSLRRMFYLVGYSVGRYPHAYLVVALLISINSLGMYNMVLKDRIRDGYTPTNAPSRYETDVLREFYNISGDPAMTIMILMPKNGTTMHAKENLDEAEKLSKFFLNEFNGTRDGRVLRFSELCEPYCQINKVFELYKSAYDDQYKLFTGEQRLSKSTNLSYPLATMNGFDIHLERSLFGVELNPKSEDNTTDLALDLPPHRVITNMKHVEVIVFIFRGDRDSAEKEKDLSKWELDAYEWSLNEYKSDIVDVQIVGTDVLDNEMMKDGRRLTPFFAAGFGFEITFVSLCVILTAVYHNCLDQGKLLISLGAVLCPILAITSTYGIVSIIGLRVNSFMLVMPFLVMGIGSLLFCFFSSLRLNISFESERKLHLFKKRVLFRKPKFIGVDSCFLMIHSWQKERRAQESGTGNRLGMVYESVGPSITITSLTDFLSFAIGALAPTPETRLFCIASSIALALTYILQLVLFGPILAVATKYEHKTTSTNNSKWRKWVLNVSEYRRGFNIMSFQLKACWKRCINIYCKILSHKVFAVFVMLGTACYWYFAIYGLMTMKTRLDAVKILPKDSPLQRPNLVLTNLVWANYHPVTILVNAPLDLENRHQMTRYWNMVDEFERMHNCKGKASTLLWLRDYVKFFYYGEPFDLFAFLGLSTPEVQEEINPYEVYKSSSELFMLSISGQYYNCKTTRISQIPLLQTLGQDIIKLDRFMMNVAYDNTSSWDTRIQLMTDWRKVAHNYSDLNITVWEPNGMFVDQMLSLGRTATQTGIWTLVCMAVVCAIFIPNPCSIITATFSIASITTGVMGFLSLWSFDLDPVVMAAVLMSIGLSVDFIAHVAYHFQLAHRKEIRNGKIKKIPLKGSTERLEHTLGAVAWPMIQAGVSTICCILPLLFRASYSPSVFVAAIFLVVTFGMLHGLLILPTFLAALPESVTTANCYRVFLSSSSERSCRYVPRRDSTNSIEMQKMERKDSLLK >CRE16708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1019134:1020427:1 gene:WBGene00070116 transcript:CRE16708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16708 MIRLLVVLLSLVTLSVCGRSSMVDDDTFFGITTTDKPSYTYWDYAECVQLCQVPCKRTVVHFKEEQLIDMYNCAQLKVIKTSFIDNLKGGTFKNGILIVVAITVSVMIIIACGYYCCCNKKEDDDRFPGRDEFRPHFRELGPHDERKALNKGSSVIEV >CRE16709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1021173:1021635:1 gene:WBGene00070117 transcript:CRE16709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16709 MVFKFSHDRHSVGFGLHQGINMGICLILTAIVAIAAVLACMLLLICIKFATDRDARREARKRALSASNEQKKVDGDVASAVSGHTTTTSNAEERAGLTKVLITDENED >CRE16710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1029073:1032476:1 gene:WBGene00070118 transcript:CRE16710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16710 MDNETTTLSEYEASLVWFDSNLVNFSALTMTALSIIALLVNVYLLNCARYLRRPVSVNLRLCVFLLACNALCSLCYIFTYVINIFFSHFSNCASLLLEAIKMSTFTASVVTLSALAFNHYVGIVYPLHRNAITPKTVKWTIILAYVIPLSIYLAIFTVFPGGRQLLKVLQLTNSVLGLRAPIAFAFFDREGCQGNAIYRNYFFRASLVGPFLFFITMLSILYLHIVIHMRKVSRDPLLNNNNNRRSNRKLLITILLLAGSACAGKWTTARRLLKKTNSGWLPTTLNFLLPLVFTVPRRARLILGILAQLLHVVKLLADAFIYARRLIEIKYAIYVFNQKVKNTVLEKLHCHSSDEKEQSYVPPEFQKYLSETKENRSVRSKRVKSEFQNSDRKRFGSERPKRSANNIPNNKGSPNGNKHLSAHQSLKTCKSVPSVVVHDESESRKSSNSVIVKTVRLSTTILYFFFELMVKRLLICLVLYALVLGYHSRRVLMPKIEINMLLEELSSQEAKDFLKKKSNNQTSSSSNSSPTDSMNNSTTSSSSEDIDDSTTDLISSVVSVPPESVIPDYDATATSSDPKESLQPETSTSLSRPMLLSEFADHLAGGIRPKIETTTQPLPDFPTPKPSVFTSLPDETFPYMVNEAKDFIRRYLSPEQWRKLRILLKTIKEVGGSRTDIHRAATVFISKVISKAEMAEITERKQALFETFNRRYFNKVH >CRE16810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1032663:1034794:-1 gene:WBGene00070119 transcript:CRE16810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16810 MTAIGPVDKTAVMKLLIPALICNMLAFTSILPLFPTILNYYSKEGHRDWLYDISVKGLQSFQEAIGVPHSERYDKVFFGGFLGSLFSALQFISSPTLGSLSDIYGRRAIISLCCIMTFISYVNWLKADTFAYFVLSRILGGLSKGNINVATAIVSDVYSPEDHPKGMAFIGISYSLGFLVGPMIGAYFSTIASPDAPFASPAIFSIILTVLEFGFLFFLPETLDLKEQKSLDDIKKTRKELITPKDLFQFTAVNAPQEKKNEMQKVGWIYFLFLFLYSGLEFTLPFLTHLRFNFDNMQQGKLYLFTGLLMLPIQARYVRKTPIEKQKAVAEFGIACIIPAYLLVAVAKTPLLLYAGLFFYAIASATVVTSLTSLVHVIYPQNEKGVLAGIFRSLGCLARALGPVISSTFFWLLGATTCYIMGALLLFIPLILLKRLENPAAKKTV >CRE16811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1037672:1038523:-1 gene:WBGene00070120 transcript:CRE16811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16811 MLRILTITLALIAIVSGELSKTKEDKTLKDLEDKIVNDIITHKVMVYSKTYCPWSKRLKVILANYEIDDIKIVELDRSNQTEEMQEILKKYSGRTTVPQLFISGKFVGGHDETKAIEERGELRPLLEKAHALFTNRVPVPDNGA >CRE16814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1043676:1048237:-1 gene:WBGene00070121 transcript:CRE16814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16814 MVQLAEFERSNFSEERELTERSPLRSSPPSSYSRPSSSRLSPFVGSYSNCDSVDELCDRLSRYHRQVRDMLNFGSIQIDEETRDIINQVGSVPGNKPPLLFHEIHGSNVMIMKSKIFHRSKQNIQKLFSDGRMAKRKESFCKGLAFSSRPIEIDENICLRLCEVGTSWSGVLRFGVTNEDPEQYRSIPVPTFACPDLTTKDGYWAKALPERYSTQGNILHFYVNQQGELFYGINGTQKGMFLTGINVRSPIWLILDIYGNSVAVEIYDASEFQPRRNAPPPPQIFPPLGHRLARPVPLPAVAARVNSPNFESSSASRTDDSGVTPIRFHHVKGCHITLNPFRNIATRDQAEYSQGYVFTERPIKNNEKVMIQITEVQRLYEGGLAFGVTCCDPATIRVAELPEDSSDLVEMPEYWVGIKDIALQPKANSILSFWITDSGEVKFEVDSNGARTCLHVDNSLKLYMYFDVYGSTIGIRLMGCLPVLRSHSPSAREIRESSSRSDRNDQPLSIPKRPARIMDPSSSSLFAPPALPARPPPAPHSPLRVNIRDSLESSSSAPTFPRTTIDDLLLGDLLPRTAPPPVAPRTTIASSASTSRLPSRPTTSAMMSPPAFSPPPLPTSFQNLAVSSGNDKEGEAPGEGDECTICMDAPVNSVLYTCGHMCMCFDCGRRLLTTKGTCPICRAPVQDVIKTYKS >CRE16815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1050523:1052121:-1 gene:WBGene00070122 transcript:CRE16815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vap-1 description:CRE-VAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MAL6] MVHCAIDHFSQMAFLAAVLVLACLSGTLAQSKFEMSDQNHQHFTAFGCANTKINDQARKMFWDGHNDARRSMAKGLEPNKCGLLSGGKNVYELRWDCDLEAKAQEWADGCPSSFQTFDPTWGQNYMTYTGSFPDPVSTAASAVSFWWSQVRAGGLTDPDNKYTNSALFNFANMANGKATAIGCAYAICGGNTLSVNCIYNKIGYMTNAIIFEKGTACAADSDCTTYADSTCKNGLCYQAPPVPVVETFTMCPGVTDQSDQARQKFLDTHNKLRSSLAKGLEPDGIAAGAFAPMAKQMTKMKYDCTIEANARTWAQGCVYEHSTPEQRPGLGENLYKISINNMPKLQTSEDSSLAWWSELKDFGVGSDNILTDAVWARNVGHYTQQAWETTTKLGCFVQNCPTFTYSVCQYGPAGNYKNQLIYTKGSPCTADADCPGAQTCSVAEALCVVP >CRE16711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1052508:1053113:1 gene:WBGene00070123 transcript:CRE16711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16711 MKSVVIFLTFLCTTALAGTWATWGAWGDTCVNCPGATYRGRTRVCVPGADMSGCTGDRVEKEVCNCPLEADWKEWADWSVCDKDCGFCGTHTRTRECDLLAACPAVTCTGDDTETEPCSDTDKVCIAPSVSCCDGYKKKVDIVSKRFYCGLP >CRE16712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1054117:1054554:1 gene:WBGene00070124 transcript:CRE16712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16712 MQRFTKIISRISWGPIIRIKMKLCFAVLLICIPIVATVRFKRAACDSTFGDWSEWTSCDSDCGFCGTQTRTRTCAPVSGCTDVTCSGDATESQACSTTNDICLAPSPSCCPHTYKKKADIAERRFYCELE >CRE16713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1054964:1055842:1 gene:WBGene00070125 transcript:CRE16713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16713 MKSIIFSIVLLYCITTQDVNGVTATRTKRADCSSTYEAWSDWSKCSLNCGFCGRQNRTRTCIPVSGCSEPICTGDSVETQSCGSGDKICFYPNPNCCDLKYKKTLDLPGKRFYCSLREKSRLSVNSTFTTTNTNSDSEITTPAMTTIASDADFVSTTVSTLDSTTIAQITATKGSTLSIDSASGLMSNSITDVPSASASTESARSFTVNTMNTSGSIAYTSTVPSATTSATSAE >CRE16816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1056208:1065655:-1 gene:WBGene00070126 transcript:CRE16816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crb-1 description:CRE-CRB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MAM0] MKYQHFLLFCTLVTNALSDRACSRNTCLNGGTCTVNDETRMFQCECPQGFSGLLCQDNCSLHCLHGNCLKGTFGEETCQCTERWMGSLCDILVADAQKCSPQCEEDERCIKGADGSYFCQNGNTTSLPLPPCATYTCQNNGTCVAENNEVQCKCSPGFHGDHCEIEEDLCKESFCQNGAECENLTGGYNCVCLKGFSGKYCEVQDKKQCTSDYCQNNGQCVSDGSNLTCQCAKGFEGDLCEIKTKVCINHFSILSRHFLIIPGQRPAYRLYLRKPGSCLFTRKRDDYDHAMRMSFRFYGTYTCDNPCEPTLPSFLVQSIGTDCKELKTRPCDLEPCLNGGHCVDDGQNLFTCFCLPHWTGVYCGEPVECLVNGQDCKNGGKCVFSLTATICDCPEGFNGSNCEISSSYRSHPTCTDIRCQNGGVCKLGADSEPYCDCPEGFDAPFCEPKSGCIVNPCQNGGTCQDADGQYFCHCIQGFAGVHCEALEEPSTPIPTLGTFPTFTTTGMQQLANAEMTCEDCLNSSKCLETESGPVCVCQEGYFGQKCDQRHNKCAKVTCPAGQSCSQIGDKMNITAECGCEIGHFGQKCEMVTSATFTAKSLYIHQSSKFSLGTSSFENVAYELEFSFRTTVENTHLASSENILGEKILSIQLMSGYLVFNITGNFMTHLLPMKINDAHWYTVSVKGDNEKIEIEVLNENGFKLVQKTLNGQLEVFLTRFGKVSGANHFIGCMADVRVDGELIIFTDSKRAIEIRKGCTRSEQCSRAYCQNDGVCVDHWESSSCKCKPPFLKPNCAYFLPKTTFGHLDQPSIVHLSTSETENHLLRNQIELSFLMRSGKPDAVMFYIGEKHDADVLTNYLVVKIAGGLISVRYRTGGRREIGFTSKNRVDDNEEHYVQIFVDKNRRQVCVIIIDDLTECSEPITSRMSQEFYVDDIVIGASNIVATDSEFYKGYFQDIQLNHKSVVIHPTSLAIEKIGKMEKTQNVIEGAVSDPMCNSNVCKHGECSETFNDFVCSCSDGSTGKHCDKVDFCREAKCMNGSKCENADNGYYCVFPITISNGSKISYNFESKTSLSLPSISFSMRTHTQNGHIFTLNVRKSTISAFVFKRRLVLINNSNQKFDTVISDGKWHTIVLHPFKVTIDKRSYISTSQLFPSESKSEASLFIGEQDKNVIFACLDNFQLGNYPKLSFTKTKIPSNYWLYLFRAMRFVFHLFEWWHLKCTCPAGFTGENCEENVNDCKYIDCGKNGYCLDGIDEGKCICNNGFTGDHCEHAKDECEGIECHNGGKCVKNGENVTCKCEPQWMGDHCNVTYTTSCKDSPCQNFGQCMQKTDAAFECNCMDGYSGQLCEKRDVNECNHYDCNRGHCVMTVSGPACQCELGFTGRFCEKLLNQCSSNTCSSRGTCSPVWNNTMCSCDNNWRGSHCQYQTNTCLDFPCNNDGVCKTNEDNSFSCECQKFFMGTRCEIEGSCLKADCVHGECIQLSPERHTCSCNIGYEGDACDVRFFHFSKTEYKIIDIDQIINFLQKRIDYCKAGPCLNGATCENKLTGYKCTCEVGFEGADCEVNIDECALDYCKTVLDVKIKSTTTSRNCTTDINECENPNNCINGECSNTLGGYKCACRNGFIGPRCSMKNPCTAQMSSNNISSVTCVHGKCVNPVVHIEKNREVAKYECACDRGYTGPTCSQRIKESAMSNISYLFGPIIAVVIVFAILGCLLLSL >CRE16817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1070411:1071542:-1 gene:WBGene00070127 transcript:CRE16817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osm-11 description:CRE-OSM-11 protein [Source:UniProtKB/TrEMBL;Acc:E3MAM1] MNFTTVAAIAIVIVLAQANPVRLRREASDRYCIKHIEHYNKYCGDESGPIERALYGKVSKFCPAYEKHCAVGKAGLVELPDLGAPLVMPPILPRGNDFANLDLPIADERKPAHIHSSSSSRTAPQTTRLTAAIVATCTPECVATHCTDECKCAHTHPKVHQMCNPPSSASMAATCQRWYSKCTMFTPVQY >CRE16715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1080674:1083835:1 gene:WBGene00070128 transcript:CRE16715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16715 MSYMDQRVSSSTQPSSKRDYYERSDGFDEYEPTDPVPSKRSRSSPSRSYDPQGMDHRSTVIRSEYPIPEQCVGLVIGRNGSEIHSISQRSGCRVQVTTEPTNTGYRIVEIYGVPDKISRARDLINEVISRAPHQPPPSPFPPSNALQHPTSDLPKVTIEIPIPADKCGSIIGKGGETMRRLRSSSNCHIQLIQENNIGGIVKPLRITGDRQEVEHAQQLVAKILAEHDEPPSPALMAGNGIATMSLQVKVPRSTVGAIMGVQGATIKKLSDETGTKIQFLPDDDTKLMERSLAIIGNRSKVYVAAQLIKQIVDSSNDCANQAVALFYMSIPASKCGLVIGRGGEVIKQINAESGAHVELSREANKDPLEKTFVIRGSDIQVEHAKHLICIKVGDIPPNTPFVRPGAHPQQMHHPHMQQPHPMQQMQGHPHVQQHHQMQSQHPMQSQNTMTNVQMWTGQPIIQQQPHSPLVTQLHQHQLQQQQLQQQQLQQQQLQHHQMHQQQQQMQLQQQRIQQQQQLQQHQQLQQAQPAHVMPQHIQQRMIPQQGQPVYGQPSYPSQPNVAGPPGYQQPNAASWQTARPQVNPTSPGGISVTQQMFPQVHQMHMQQQQQGRQQQLQQQHQPVQQVQQAIQSQQPQPQAKKQSRAATRTASPEGPSLYAQMARKAEEEDRAKKEEARRAEAAKKAAAQKEETKTDGEEPDFSEQWLQYYININDHTNAENVRRRIAEMKAEKAQKAAAAARASSSEHGFQK >CRE16718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1109243:1111163:1 gene:WBGene00070131 transcript:CRE16718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16718 MLNRALLCLAVALTCITAFDIGGTRQPIRELLRSKHRRQTKQTTNSNPFTTESTLIPRRFKRQTECVSTCSPLVAATESPYAPDLIPSDQFYISYTTSEAGCMIADITCVGGGLSILDYETYDGVGGTIGYDNQDVNVIEGQLPCYKDGWGATSDMGAIIDNTLNCAVQEQIPTTTTVTTAPSTTTTTPEVTTTEVTTPEITTAEVTTPEATTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEITTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPEVTTAEVTTPEVTTPEVTTPRGHNC >CRE08560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:119047:124589:1 gene:WBGene00070132 transcript:CRE08560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08560 MMTGVHLTTTETGSERSKENPRGRTARVLLVTWKSGKFFFGRKKVTEEERKLPPKSKKTQQLPPSNIITRSRARARNQENEPNATPTTTREPRSSTPIPNHRSPRPQDVFPDLSEFTEQLSRRLEAEEEYQAQEEEEEEEDTTIVPQYYTPDMSLRNTGPAGPATTEGLPLCNQMPAWKEKGELAKMMDPRIKKFSEGKSSDLHRWLKEYAKLVYRMEIPREVGTELLPFFLTGTALIKYNNLDPKIAKDWERVTKQLMLAHDCPTDRELSLQELTSAQQGKKTISEFASHIKTLGEYCYQGIPEKSKELLMSSHFLAGCGKKVKTRLRQLQSIPKTLSAMAAEAEKIQRLLDLEDEEDTLEATIAAVQQMNISQQNPENWNQEKRQFRGRGSFRGRGFQQREGYPQQNFQQQRGGYQSRGNFQGFNSRGSYRGGFNQNEHQPRGSSPFRGGYRGRGRGNWNPNNGNQGNANFQQCDERNYNYSGQGPSAQAPQNSQDNRRIGWDTTTGRPFIINSISKACLGIMMCLALIGSTEATKQICGFGEAGNIFIPPTATPCNFDRSLPLQTYAVNVYRQRIKAIQMEAHKCFKHEIEGEVYSFLKIYKTTEAKIGKRVPISVEECRKTAITKKFNDMELKEIAPGIYRSEKISEAAENATRILGTTTFKTFEFTMEVGQVASLDGVHVLSTLGSLEKCTFGSGNCQDESSTIVWQPQETRRECQFELIQSSTAIISQQFIAIEEMAIFSKFDTDLRRLQDALEGCFIQQGYRTDDGYLIEFPEVHSKGWVPDMHIDARTFGGYQNSWIRRTREIVTSLGPAGTEFRAYIGEPFITPLIRRLYGTANIEELTDLKSPITDPEILQEFGKYNVTNKLLADRARL >CRE26881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1125:584:1788:1 gene:WBGene00070133 transcript:CRE26881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26881 MVPLTLLSSYTGILSHSPPPSLTINFYIIKKCANSISVPLSLLFTESLSARKFPDCWKTATVIPLHKKGSTLDPSNFRPISLTHPLARLFERLILKPIKSELAAQLSKKQYGFLSNRSCPLALIDATSQYHLTLSKPKAFMDVILIDFRKAFDSVPHDLLLFKLMHFGLDSSLCDWFRSFLSNRESRVKIDDYILDNSFNNVSGVLQGTVTGPFLFLIYINDLIQSLPSNVYSIAFADDLKIYSENPASLQETLNVISDWCDQWKLQLAENKTVVLHLGVSNPHKDYFIGNAKLASANTARDLGLLVDCDLKFEAHIAKIVNSAKFRK >CRE08558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:94611:98329:1 gene:WBGene00070135 transcript:CRE08558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08558 MLESETCLGNLQAASKLTTANLISLRDTVVMDLGSIHKRKHAITSLSADRFESMEDTTSSSETTFSSACRCSSWTMPKDSSKWRNGRRKIAARSKEDLLLNNNDIETIDVSPKQEAEILGDDATETSTEETQESTENPSSVSLVHEEENSFQTDRSSKSNSATPVTNETNSSLEKNTSLPEDRSSELNSVTPFSNDTASSQDEKASFLEDRSSELNSVVPYPILTTVSSDSADTSDHPFAPHYTFVKNTPPSMNEHVRWIATQIDLAHVTFFRAQTEAASHIFTEGGGGRNGAPKTELAGISSKPVDRGVIYILVESDGRGWYAKPHLLEVVANDRPDLHMVHFDVFADDLSNPGVAPHANNYFPGDALYVTKLVTRPKTTADDKPVSFADIHRTKNHHFWKIKTCYLLHRTFQEDVVAVKVNYSKTRAGNVICVAAGFNNLLTAKTDMFKALGKAAAAGTVVSARIFCPALKTGEFVWNLSDESRTYAADRTSEHASANPALPSIISLAAVSAETQKMLCHTVPPFEKYPGNIEKCYEALLASAYIGLSGTLALENKNKDFQIHIVLIDRVLTVQLKPTIEFVLTNLHDPAQISQWTRSSIFFMKAEGRNLMMEVDDASFVEKVLVIRAKLVTSDAESVKAVYKTRRLRTIVWQEMENNEHHLELFPSPADYQRMEPSAPVKLLLEACFGGRPIPRQPIPGPRQSIMMGSVVLTSEQSKYVRALTKTNIPVIVANSSFGVGKTTMIAAALHIAIHESPGNKMYLVMTTTNAAAAAITQSYTRISGSVNVIRMISAENYDHIGQQHRTSFDFPIVWPQEFEKLLRRTDSDDQAPITEIVPDAYAHLRSVRSITLKLARQKNLRNALEAVRKPIRTIFEILVQLINPRGIIGTIPSMTDALRENGSHVATVQMDDASQIPIHSIIALGPLCPKARYALIGDINQPKSYTDTDLAEELRTPAVGDLLGDTSKIPCHLNISTVRGCPYAVTATSSTLFYQNRLTSVRDPKERSQILDHLDFPNSHPIQVINTAKCAAQQTSDTSIFNPSEANIALTITSRALTKKEKPSIGILTYYKAQAGHVARGLSDTPVFIGTIDESQGQEFDLVIILTSRSKSFGSHVRTSEKNDTPIGVAWPDPDYIESPERLNIAITRTRSLCLVLVNTHAAGRSELWSNFFCKIPPGAFHNDPSHLMRHLQKLH >CRE08564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:85189:89375:-1 gene:WBGene00070136 transcript:CRE08564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08564 MATVEEQLATLTQAVAQLVEYQATQARAQANAQTPAVTSGAPRLFDQIANRIPQFQYDPEMEKIFETWYSRYKDVINKDGQTLSDEEKTRIVLSKISDSDYLFYSNRLLPKLPTDYNYSETVNKLKETFKSTSSIFKKRQEFLRLEYDGTKLEEYTGMVLRKFTAAEFKKMTDDQVCCMVWISGLRDEKLSDMRTKALQTMEQKPNITLLELEAEVKRLLDVRADSMVITAPSTGINLVQKHQQRYQKQARHQSNTKSGTTPWKPCPGCGGSHWLKDCKNPKRVECKYCKRAGHLEKDCRIKQRDQNKKGAESTINSVVIQAAATSGSNRIYREIEVNGKPIRMQFDTGADVTLFHETDWIKLGRPQLHKPTISVRSANNQPISVKGWFECNVAIGKDTHVLKAHVAETSTLLGTDWISRDQQLWNALNGSERINLVGSLTGSACDFLDGAREQLKRSLENSFPQVFQPGLGKCTKMKAEIKLKPDAKPVFRKARPVPYATLSAVSEELDRLTLQGVLTPVDHSSWAAPTVTVKKKNGSIRMCADYSTGLNDSIEQHRHPLPTADSIFTSINGGKYFTQIDLAEAYLQMELSDESKELLCINTHKGLYQFNRLPFGIRFLGFIVDKNGRRPDPEKIAAIKNMPVPKDVSQVKSFLGLIQFYGAFVKSLFRLRPPLDALTKKDTPFRWSRACQNAFDKIKEVLQSDLLLTHYDPNKPIIVAADASQYGIGAVLSHRYPDGSEKAVFHISKSLNKAQQNYSQIEKEGFALVTAVTKFHKYLHGRLFILKTDHKPLLSIFGDKKGVPVYSANRLQRWAVILLNYQFKIEYVNTMSFGQADALSRLIAENADSKEQEDHVIAQVELDITDTFAQGCQQLPVNAHTIRSYSRKDTSLQEVYKAIQTGQWPKSVPKNSPLWEYYNRREDLYIVQGCIMFGERILVPPILRNRVLKMLHRAHPGIVRMKKLARGFVYWCGMDSDIQKMVQSCDQCAAVAKDPVKTTLCSWPMPTAPWQRVHADYVGPIHGKYYLVMVDAFSKWPEIRTTTSITTSATLKLLQHVFSQFGIPDTLVTDNGSQFTSSAFNEFCKSQGINHMRSPPYHPQSNGQAERFVDTLKRALGKLKGEETDDTALNIFLQNYRSTPCDASPNHVTPAENFIGRRIKTFLNQLLPSSAPVSSDHNHKMEAQFNRHHGSRTKKFAPHDKVYVKSFRNLNATTWIPGIIICRLGKTLYSVRVNGNTTWKRHANQLRRRESPPTLKTIPMDLLAEMKSEEPTLSPDIATPNLSPPRATNNPVPTPSPPAVLRRSTRPSRPPSRLTLDPNQKTYRPPN >CRE08556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:67584:69669:1 gene:WBGene00070137 transcript:CRE08556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08556 MTHFSLTITLPSGILLLNTKFGYTTMGRKKTSRDRSNVNSVMIINSIQEDEPFEYLQKQDVLKCNGDEFAGSSAEERKEKDKQILQFFRDTVQKRIEGYFVKLPLKTNKIATLPDNYRLTLKRLIGIVKTTPLEVKKMIQEIFEDQVKKNILEIVTAQTPKGEWTHYSPIQPVLTPHKATTKCRVVVDASAHYKGNDSLNDAIEQGPTLLPDILDILIRFRSGETVILADVEKAFLQVRLNEEDRDLTRILWIKDINLPATPDNVEVYRFTRVLFGLNASPFLLAATIMLHLENHANSKLASKINENLYVDNLIFTFDGSAREALELYKEFKAIFADANMNLREDIGNSMCPIQDPMDIQEILRAASTIKKHAKILEKIENEKAADKGKEIEIHLAPKWGIDPTKSTLDEMEQFIAQLKEEGAEFQKDLESAKEEEKVAHQKYVTHLDTSKMKKIENLTVKRAEELNKESDELEKQVNMANAVIGDIEAMIGFKNDVLKLVEKWTRNATFEFHRTGKKPDESHAQFLARTQGGEVPQVEKDPRTEKAIKTTQRQERDLKDRTADPMEHKHTLQSVVSKPTKRPAPSREIKMNEIKRQRKIRRITSFGEDKPNMKCSFCGGGHFSNQCPQHPSIADRKEIVKRDRLCEHCLLVKTKEPCGCKERTCYYCETTNHHSALCSLPQTIID >CRE08555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:53823:55193:1 gene:WBGene00070138 transcript:CRE08555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08555 MVLCGGQPISKSLDLRTFLAPLFHKNNFSFITIFDTNGFFCFSSFTMTAFRLLLGVLLQYTGWLISIQRFVFALVGTVPRDIFEMFRIFSKVRTLYKLVLNSFISFSFSSQVMRASPLRPTIEALFKKRVKSCKIAKRLGIPSATVRAMFSTFKKYGSLQERKKSGRPATVNTRRTRDIIKKRITRNNGISMNKIAANLEISRGSVQTIVKSRFHLRSYKLCQGQFLSEQSKASRLEKSKKLLADLQVRRVSDVIWTDEKIFTIEPLPNRQNQRQLLSQGDSKSPKRRQAHNRLFPKSVMVWAGVTSDGKTPLVFIERNVKINSEVYQNLVLKDVLLPWTAQHFAGRPFILQQDWAPSHGSKSTKAVLDAHFPGYWGKDMWPASSPDLNPMDFSVWGYLESKISGTSYNTVDALKAALQKAWDEIDVDYLRRTSDSVIRRLKACIKAKGSNFEFLL >CRE08562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:34546:37646:-1 gene:WBGene00070139 transcript:CRE08562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08562 MTSSWPLNTTTARRLQSMRALSPSISPFDPPSSSSLWPRSVSHPFIFLFVHFSLPMDPLDSVINAVASQAQLSVNVINAVASPAQLSDKVINAVVSPAQLLDNVIDSVVSPKPPVEKPVRYCLICGDKSSGCHYGALTCEGCKKFFCRAYDKEYQCRYKTPCAITPKTRNDCKACRLKKCREVGMHKQSQPPKPASNRPQPIDTIQQSLLPAINNVSLEPDINGQQPFNPTQKEKFEFAWITQHIHQFHLPTYGYSNERMMMMTIKDVELKTNTETLQHFINEINSDIKSFIPFTRNVPLLNDLSSEDKIILLKRHAFSIYLVRSAPAFTDCGFLLKNGGIIAWEKFHKLFYGGLGIKMKSFATAIELMHFSEAELGVFLMLIMLQPILMKDVVKTGFDNVFMLIENYALISRTMYYKLSTRDQEERLFDRIQGLLEQVNTINNLHNQTLDLIKNNLICFSVPRLFSEIFGVPRTVLDEEVAEHIRRIKESQEYLKSRGLLNIL >CRE08554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:24025:24681:1 gene:WBGene00070140 transcript:CRE08554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08554 MYYQLQHYKTMASNLTKKFLKEQRENREKQLEFLSMETRYHKNLERVVRNLRAEKRVSEQLKKRLDDANKLIKSLSEQKGLTGIVKQEIPEPDTPRVIREIKEEIEEQEAEDQDSELEKQSFPIKKSPETVAIHWLKKENKRLTQELRLQESRSKPKPKKKSVEFKPKKLRSKKKKDQKRKRSGRG >CRE08561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:17440:20405:-1 gene:WBGene00070141 transcript:CRE08561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08561 MSSNSTLWPNTIHFNETYINYRIQWNGWPQICAILPWIYMLPSFHVICKIFSVYLSANWTRPEPGLNQHVFLVISLSQLTVFIFFLFDWFMVRLPSTGLFTSWCASIEPNHFLKFIFMMAYFTNYCAMIFPFLMPVVRLVVVSFPRNHFKINSILLRVSVPLIWLYPLCFTFFLIPAVGVCRQISSPYPLGAIHIYYANAAFGLRNSYFYLYNTIAWLTLAILANILLFLKVAKARAQLISFQKSAVSYKAELSITITTVVMILFYVINGGFIIIYVLYYGTSSYFSFLVIVKAFANDAETCVVPWIFYLTHPVFKKKAISSDLVFSTSSFKRQINNS >CRE08553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:13442:14834:1 gene:WBGene00070142 transcript:CRE08553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08553 MYSNHLPQYSSAGSTDPMWLNQQVIWNNGQYGYPHNGFQNTHQYAASHNQDWSQYGPAQVFQGAQLQPQPQTVLDDPDNIRVTLKDEKEWKELHKLGNEMLVLSVGRMLFPQLNYLVTGLNKHGNYTFGLKLKRLNTNILKRVEEGWKERKIKVKGPWESNEIFSDTCRGSVWMEDGVFFKSAKIYSEKKRTRIVTETEEKRQEGLHINTRCRYIPVLSIYSQKSETGPREFLKSFEIEETQFVAVTCVKNTAVVNWKTAKNKYARVDYKENLIKIRKRDFKESEDDSGILSANNTMTSSRDSKIVAKRRQEDSECYQATVPSTGASQSHGHSNQESLLAHGPSTTTVAHYAPNFSNYNVTPGVPTFAFSTEELSNGGPQYSMNDCRPFNQSSMATNNLLIPWQSQPIPCRVVHHNIEQGILSRPTGPSNKSS >CRE08551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:3421:7296:1 gene:WBGene00070143 transcript:CRE08551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08551 MVNFTADEIRSLMDRRRNIRNMSVIAHVDHGKSTLTDSLVSKAGIIAQSKAGEARFTDTRKDEQERCITIKSTAISLFFELDKKDLDFVQGENQCETIEVNGKPEKFNGFLINLIDSPGHVDFSSEVTAALRVTDGAMVVVDCVSGVCVQTETVLRQAIAERIKPILFMNKMDRALLELQLGAEEMYQTFRRIVENVNVIIATYLDDDGPMGPVMVDPSIGNVGFGSGLHGWAFTLKQFSEMYADKFGVQVDRLMKNLWGDRFFNPTTKMWSYTKTDDSSKRGFNQFVLEPILMVFDAIMNVKKEKIQELVKKLSIKLDYDEEDLEGKPLLKAFMRRWLPAGDTMLQMIAFHLPSPVAAQKYRMEMLYEGPHDDDAALAIKNCNPNGPLMMYISKMVPTSDKGRFYAFGRVFSGKVATGMKARIQGPNYVPGKKNDLYEKTIQRTIIMMGRFVECIEDIPCGNIAGLVGVDQYLVKGGTITTFKDAHNLRVMKFSVSPVVRVAVEAKNPADLPKLVEGLKRLAKSDSMVQCTYENSGEHIIAGAGELHLEICLKDLEEDHACIPLKISDPVVSYRETVQAESS >CRE11503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:83552:85234:-1 gene:WBGene00070145 transcript:CRE11503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11503 MCRSKMIYSQSTIIISLLACILIINILSCFSVFRLEQKFEADELIDIYNPNALKDLRAKYNLKADKYSLELSQVARGADHKRFFGKVKLEAFCAIKERIGDVDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQQIQNVTGGHCRILGADKDPQNITIQEAYERINGQLFVGMIPNEISISSMLKKAERREVELLKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQTIAKYNFRIFNVEPNPYCSNCCEYSLIQDSCMDQYGVYPLVPIVPKVEF >CRE11502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:79394:82984:-1 gene:WBGene00070146 transcript:CRE11502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11502 MIDSPSTVIISLLSCFLIISTLTCFSVSRLEQKFEADELIDLYNPNALKDLRAKYNLKADKYSLELSQVARGANHKRFFGKVKLEAFCAIKERIGDVDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQQIQNVTGGHCRILGADKDPQNITIQEAYERINGQLFVGMIPNEISISSMLKKAERREVELLKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQTMAKYNFRIFNVEPNPYCSNCCEYSLIQDSCMDQYGVYPLVPIVPKFFLRGADHKRFFGKMKLEAFCAIKERIGDVDDGGKYVCNPRAVKKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITIQEAYERINGQLFVGMIPNEISISSMLKKAERREVELLKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLRMLQTMAKYNFRIFNVEPNPYCSNCCEYSLIQDSCMDQYGVYPLVPIVPKVEF >CRE11497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:56860:59176:1 gene:WBGene00070147 transcript:CRE11497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11497 MSSPLSNIKTESRPIWEQPPPPYIVLPELATEDPSRHITLQEYKMLACAPEIARQTWASVGQSCEAKQLLELTILHCFTEVPPLGKKRNSHQKVQDHYAIVAIKVWKRIEILYSRKFKNNVFFCFISQHASYNRALPKPEKIVCETGVLREKEEKKKQVVSGCLLAAKIGLRRRLRTFTIEKKLSKEKVEEKMWEWPLYLYMRTYRHKDYEKGLRTKALKDKMGSLSSITTDNIKKAPSALKRSVLLQVKQEEGEPPERIPQLNTSGSSLDMSAPSRHAPYFASDTSGSSQNMPGPHSSHSPKESPAISCSKRSALCHIKQEKEERPKKIPQVEPNISGSSRHVPAVSSQHQVAQYKPTHRDLPAPSRHVPGFPPDLSGPSQIMSGLSSSHSPSSSHKHRVPHYDPSLQDMLSYSPGPSYQQAQAHHMNQSGFLQQRDPLGHHKNVPTVSLQSTVNEYLKKFQALLSKTDQYHFNEDMEYLNRKLLRIHEKNETLYRAARDAVLEVTKAIERKTPPQSLESVFLGLAEFFKDVKVPE >CRE11496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:49579:54415:1 gene:WBGene00070148 transcript:CRE11496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11496 MLWNRTRQRILLLLLLIGIGLCAEKEEGGIDEENTFTEAAKNNCSWLSDPFNVTDMTGKVAFTYNGSCCSNVAAEMLKNSFYSTEINMREQTKIILEQMSPESNKLNEISNNITALKMNALVKMLYCNSKACPGMDSVWDNCSETTTSSSTTEITTSPVTTTKKLDCYWLDEPFSSYYAVGPDSMVNVIYTGGCCTETAKSALENAPDHLHLESYQEDYKAEALFNYLFCIQDACPYMTPIWTNCSGQGGFSSEDTTRTSTSTASEAETTTAKKMTQKTENNGGPSGDNEVTTRLTTVESTTDKTTTTITLGDILSSPKDDRTSTTSSTISTQTTAPSSTTTSKAPKNEDPIENEIPEEHDESTTTKTSPKVTTTTESKETQKASTATTSVTEDSTVTSTAVKQEDTSTTTSKTSSSSDDVETTSTKKETPATTTDADTEATVSTTSDDSETSKDPEETSESTTPSGTSESSWSTESFTTPLINLISSLTGSTVSSSSPKTTEVLMDSEGTESPRSSGMTAGSTIPESEIQSTDPGTVTTSGTHETGTTSSQGSSSTGSYSSPKMTKTTAESDETERPTSSGMTAGSSTTGSENQSGTHATGTTSSHGSSSTVSSSPPKTTTDSDQTERPTSSGMSAGTRSSNQSTDSTAKTTPGASETGSSETPTTSESGRTHVTGTSADVTTTTGSSTPSSTHSESEIAIGSTGTTSTETVTGPTKSTGHGSTETPTTTRAENEAGGSGEPKTSATGTPSTATRGATVNGKSTPSTSTSSTQGAGTVTTGKTEGSGSTSTAYPVSNNNVFRLTVFPNFLFQKPTISGHIIDYTGTMETTTTAKTSESTSGATGKGDSSSMGSSTKSSSSSTTTKPTQGTGSTSKPGITDSSKTSSTSKKPETGTSSYVSASTTKSSGAKSTSGTNGTTKSSSSKTTSTTAEVSKGAGATTSSKSDGTNTTTAKSTKASSTSEKSKTPDSQKVSTITNTNSPVSSSSSVSTSTTTSTPPPTSATCPMPDIDRRFTNRPTDAELKPFYAPGERVIHLCIKYYKMEWAGQPLRIYQCGEDGKWIGTFQRCVPDVPSPKKEL >CRE11495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:46614:48555:1 gene:WBGene00070149 transcript:CRE11495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11495 MKAIGLYQLISLIPTLVNSCLVVKSPPCTCPITLLDRTNIDQMSENPWYDYVVQRPLVTPTVQYISNCVAWTRCQLNYTLYDIEYIHPTEIAMQRLKRGSLLMGNACIILLTGLQFVLWRYKELCTPKTNTTFLFAYSNDMDSAKLKGMVEELKESYYNDLLSPQFVSFANLRFDVLQEETIEYHKNYEEWITAMGSKLPDPSLSFTNSETGSDVLKVISKFINNTQVPICGSRIYILLKRSPNEQDITELVAQMRKYRVYVFIVGSLPSSGGSHSETIRRLTTQTNGLDYYYEENSFVSFIALYSPFFFHMDLIYAANVNLSQSGSTVLPEMTVPRHERILYILTYQNLTATGFHMMTELCYFSVDKLFSFHKRSFTVLKFAK >CRE11501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:41218:41939:-1 gene:WBGene00070150 transcript:CRE11501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11501 MSDSAQVAVAAAAPAAPAPKAKATKTAKPTKIAKAKVPAAHPPYINMIKEAIKELKDRKGASKQAILKFISSHFKLGDNVIQINAHLRQALKRGVSSKALVQAAGAGANGRFRVAEKAAAAAKKPAAEKKAATGEKKAKKPAAKKPAAKKAAATGEKKTKKPAAAKPKKAVGEKKAKAPKKVSKPVAKKAAKSPAKKAAPKKAAAAKPAAAKKA >CRE13080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:100683:102287:1 gene:WBGene00070152 transcript:CRE13080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13080 MSTGINRSRTSSVSSELSFRERQTDMKSPPRLPSINFKSTQDAFRGAIAQSLDAASDALVELQNLRNVHQAGDLDGSLADSLRKTMKALLLMLDGDEYFLDKLDNVQLLLSEKERCALRKGVLDYLLRSPREDMVSGLRLGVTELETLLTLRGYPYLVKVTAIDLEDICKHISSPREDAKLAHGFQSTQLAQFSSRSESSDSNLDKTDTSIETKKNSVTNPDGIEAVPSATGDRRHSVYIPSAPSITEKNGSGCASSFPQVFGTTGVLPRVPRKIAQQVSSSVVPHVATSGQSLPPFPSKPEHIVCPVYYEGRETLEYESLQEVSPIKAIANQAGADVSGRLVPPRNPNNGVIYHPHDLPHTNFLSRSNAEQKMRTRSVVDTEDKVKIPRFRQSNLNDYEEEDERSYGSRKESRRSERNHSHLSFHELETVLPQFNADPLRYNRFAKCFESMVLLNPKLNDWLKYTLLEKKLVGKAKRFLADLNDPRDALEATLEDLQKAFAKSYSPINEALTRFQELTFHKTDFTRAERELLI >CRE13095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:86041:89904:-1 gene:WBGene00070153 transcript:CRE13095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13095 MLLQLTPRRHELISVFMMSIGTTFMFLGYDVQSMMAESVLHSVSTKNPDRISEYAGYYGQAIQYISFAFFSLFTATIQYYISSKSMLVLSSILFTTCYIAYIHVNSYIFYSSQLLLGFAYASNSFKIQTINVSTAIDASMVPFITLPTSVYNSAEGTYLSEHSSRRTIDSNSALETGLGHTSLFFGGVTMLFVFHFVPHTFDGHFLNFDEHVVQVIYFSLMTLTIVSVVLFTFLPTKQFDSIALNTPRVTPSLLSQFKRFGESFTHLNTSLLIFTYVYMGCMVSFMYGIYPTSLSFTSETASDVYIIALYLLSSGAAAFLSAMFIRPMIKRLHKYKLIVPMAIHCISMTIVMILVYCSVPNEATQKPTSNMNVLITPSRYLSIIIGFLLGFADFTITMTRSVICQIAVPEYRAEMFSLTRIYQCVASCVILFISPYLTVTSWILILITFLLAGIAAMFAVLCRTHNNTVAAPIEPLEEEKDEKFTEEKA >CRE13093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:71122:72068:-1 gene:WBGene00070154 transcript:CRE13093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13093 MFIRPMIKRLHKYKLIVPMAIHCIPMAIVMILVYCSVPNEATQKPTSNMNVLITPSRYLSIIIGFLLGFADFTITMTRSVICQIAVPEYRAEMFSLTRIYQCVASCVILFISPYLTVTSWILILITFLLAGIAAMFAVLCRTHNNTVAAPIEPLEEDKDEKFTEEKA >CRE13092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:57394:59702:-1 gene:WBGene00070155 transcript:CRE13092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13092 MYAHLENEWSLIVAIFFLGSVTEVFLIRTVAGRWERRVLQLRIIVWTRAMFIRPMIKRLHKYKLIVPMAIHCISMAIVMILVYCSVPNEATQKPTSNMNVLITPSRYLSIMIEFLLGFADFTITMTRSVICQIAVPEYRAEMFSLTRIYKVCFSWEHKKDKGL >CRE13089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:28937:32100:-1 gene:WBGene00070156 transcript:CRE13089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13089 MKFGRKKGVIVSIVTQVVSSILAITSYWIVNHILFTFARFLMGVGITISMGIAAMFVTEASPAYCRGVSSLINGVLLQFSLTVGAVLAMPKVLGSETHWWYLYLFQLSINTVVLCILPMVHESPSYLASQEVKHHHTFKSKIVASVKYYHEISDEDAERFAENLIETHQISRSQESIISVWKTPFNRRGTLLGMMVTFAMAMSGITVINAFAFEILMDVGMKQDTAAVANAAICFFSFAGILVSTKIIDHFGRRPLLISTFGFLTLVNVAIISLMYAYERTQNQIVSYFLISTICMFNFLFAMGPGPLSMFITGELVPQTCRSASSVWTNAIMATVRFLTLTFYLPVKNATSEFMAYAIFFIVPMVVAVLVLFFLLPETKGRSVEEIREEYERKALLR >CRE13087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:6271:10964:-1 gene:WBGene00070157 transcript:CRE13087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-66 description:CRE-LIN-66 protein [Source:UniProtKB/TrEMBL;Acc:E3NBN0] MAYDMNSLFSSNQPPLNGGGGGPGGPPQQQQGPQSLWSLQQFSQMGGPDNFHNAPFMPQLGMMNQYQNAPHLSMPPPQPLIPPSQLHQPMPDPQRADSIQGFGTLTWLSAKAGLITCKDKMVISFQLKDFCDQMLNDLTSVLRVGFTLSFHAALNETSEYTATIVNPIYGPDADVLFLNAAEVDLEAANPTPPNSKDAYSPALEQKAIPALLAIFQRHGLQQIQLSRSFSLHSQMSNCGDDELFRYVGTSSLKRRQFVERRTHLFRLQNDDSIILQYPAVYQAVYQLASFLLRHGGATSIQSLFDYYMSGDIPQEVRDHNGDGRQDFLNLLTAHNWVFALFPNRTYVSVRRNLPSYDYVGFIKQHFPELDSGRRQMGYGQPPRGIQRTMSAQMGGSFASGRGPQQSLLMQQHQHPMTPATNRPGSLWDSQSSLSQSGNSGDQWSPLFSPSTWSNTPSANPRLSSLGGIGSMNETLLSGVNYNALLAMPPSAKNERSIGVQADDLLDRMMVNPIGRSGCTCQCQCGRGGAAVIGTTRGSSSRASGGSATPPSVDGASQIDRLSPSNHAGSIGDRTLIAAPLGVDIVDPAQQAGGDGAAAQQRYYDPFGTADLLNGTRLNSLRIGN >CRE15792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig426:9142:11087:1 gene:WBGene00070158 transcript:CRE15792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15792 MKEETYLRKLISDGEGVGEDRRVQQVAAFFRESRKVEEPKIAEVLKITKALELMELSMLKQQQIAQMNKKQATEFDSFAGEIDSEIDLMYKKMEEAKLELAEAKTVKKNRQEYRKLVNVMNEVPTRAETMRKLEEVKDDLERQHERQKILEAKLLDRRNHLQAFNIILSNFQRFCAEDDEDEAGDLENEGEEEDDTASVSEKQEDEK >CRE15791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig426:8421:9037:1 gene:WBGene00070159 transcript:CRE15791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15791 MAKSKNHTNHNQNKKAHRNGIKKPKKHVFLSMSGVDAKFLKNLRFARKNNKRQINKPKESKA >CRE15790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig426:5300:7335:1 gene:WBGene00070160 transcript:CRE15790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15790 MNSLLVVLLGASVFYCANAQYGGQAYSPPAYSQPAYAAPPQQYYPPPPQPMNDNYVCSIQANYPLFGQHGKHHRPTTNFCQDAYKSDSCDRCCKIAARIQGTTIKEESIVGFNMILEKQPFCVCCSPTSSSPTSNY >CRE14989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:506:1123:1 gene:WBGene00070165 transcript:CRE14989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vamp-8 MENDNSNKKNDNVQQQEKMQNLRNQVDSVKAVMVDNVERILERGERLDNIERRTEQLNATSANFKFTARKVQRKFCMLNAKWTIILAIVILIVFTVLLLLILHWTGVIGKRNND >CRE15000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:4129:18791:-1 gene:WBGene00070166 transcript:CRE15000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rga-5 description:CRE-RGA-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NH88] MLLFHCLFISFFTFSIMFCLNCSFPACKSWMSSSSSTSNKIKEINVIVVGVSGSEAVKGPSGVGKSLLCNRFVRPAADEFHREHSSVLSQIDFCGSPVINKDHWLYWGSRLLNCPEGSTPSVVIRVAEQTEFLDDETFETIAGCSKSENYSQRCSRTSLQSRDKLMYIQKEQLGLESEFPQHLLPDGKFNVDGFILACDVSKDSPLHSNHVLNIAKAISKTKKPILIALTKCDELSEDSKRHYMNLFLTTKELKHVLCNLPPVETSSVKNVNVEYLFGTMALLCLRSQKLVKKPLGYQEASLFVEQRNLHVKCCFSTLLSQAVPLCVYPKKCLSWKQVLADIDRHPDLMNFVTVFGSRVAFEMYERYVSEAKELWAMNRLRSMVPRLFDIFQVFLDVVDLTEMEWNMARDYMRCHPLFNVLFESNEYDLDMWTPKTFNVDEKSRLPAEILLLPEAAMVFEQFRVTTQNLRLVHQLGQEFEYLLPEIPQILPGASLDSSYPYFQNFGIVKKLTPDLIAEVYDRFQQKLMDSARTQLEECLLEASSSIQNSWKSSEYIHVDFKRMENVRNYLEGDERYEWMKLMEMERDRIILSFLPFIFNASPLNCPSSDMCIDIVGTSILNDFSEQTSTFGGSSGFSECFEATRKNSGKKIPRIQICAMCGDRLSIDSLATALFHNDFIKSSNLNYSSDGSNYLEVFDEPTQNWNTLEISMSSYHSWHHKNDTNPKQFIEFTDGYLFVYNSKRASSFNYARCAIEKLSEANSVNFDCILVVAVVEGGGSDSMKLDLEYLTEGAELCKSIGARFAVIDFRKRKNKSNVSHQQLMEFLHDVLDGQVQPISSTSNSEISSLENDRPSILAPSFTTSGIQLLTKPDITNRSRRQKAVNSNIRNRVTRTDPLIMSHFYSSPIEIQPAPLATPEAVDFSPAYSLVNDAVHTIIQVNGSSPKTPPNYHHHYESSPETRSTTSTVSGSSPAPRAVSADVSRRSRTSCVSLSTDSINRLHRTSNLFQLHPETAMTAEQKQKSLSIESLTKVPEKEKGNRFVRKVATSFRLRKNLLETDGEEKKSKEENKKKSSVTTSPEQISSFLEKMATRSLPQSPRTDRKAKLYSSLNSTTEKVSNVLSWLPSKSSKRLMKNKSATHDLSTSLISYTPASTSTQGVIASNENLELLCSTSTSGIPVYLEKCIEFIENNGGFEQEGLYRVPGNQTHLAEVEKRFLKSGEFDVSSFDTPVHVAATALKSFFSCLPESLIPTDYHSRWKQVMMAADDKEKIDGIREALSHLPHSNQTVLRYLVKHLAKVSCSPKTVMNSNNLSKVWTPTLFRPVFTSYEELSSGIIAFQLALELLIINSDSLFSLNTLL >CRE14967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:155638:156359:-1 gene:WBGene00070167 transcript:CRE14967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14967 MSKQIMTKYWRAIHQQYKGHIPFEMALKTLMEQDEDFCRALDSIEKCLLVLPQRMKAITRGQAILRLCFMSNYEFDPRRMVQLLV >CRE14966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:152516:154193:-1 gene:WBGene00070168 transcript:CRE14966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14966 MAYVESHYLELEPCFIDNVDAEQVVDIQPDDSLQKAVMPKSDYCGFEGDDEIPPVYRAQLRAVQKTKTAENAVAKSSYIYGIDEKEKSMSEEKISLNDAAQSVEYYVPGFSPPPQNDEVQKRLDFSLPKIQAVEATEHVFDIKGKHEEFPKKSEMESQISYTSSDITSSIASCLTATLGPAYGDLNKYKGLSAFQPVTNCVQSQYVSEELN >CRE14942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:149340:149636:1 gene:WBGene00070169 transcript:CRE14942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14942 MVLIANEMIVVRDAINRRDAKANDQIGRIIHSHGMNNQDRIHQIVVLQAEITGEFFGTLQAIEEFERQKTAMWRLIDLGLKEDDGMELLLWNRMENIE >CRE14964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:141370:142685:-1 gene:WBGene00070170 transcript:CRE14964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14964 MTDVTLLDMPDLVMDKILKKLKIAYIMRLRKVCHALRDYIDTKPEYTIRRIKIEVHPSQIHTRLKFHSKTEITLIYQKQGNDCLYRVSNGLLVKYYLLKNKYFMNVFSGDIVDAVKNQNVPLHRLDIYGTYIECLLPFDFEPHVIEVKPNDSETSWTCSILEFIGGFCKSNSSQNPVNSSDFDSDPDAPCPLKPTAAEFYTTFTRILKLRDRPIQIEELIITIINKSDFLNMVPYIDIKNLGRLDISKMNYKNLIYNKVRMYFDELAELDGWEGIRSLNLNSLYMTLPFERFFHMTGVYFPRENVTIEEILYLKENRSKHFHALGNLLHQFQVMLTTPHISYFNFQYWTMEDADRVYSTLGVFRAGIEEKCWYYRIPDTDEVLGLSIDIFHIVSFSRMSASEVPEGVVVMN >CRE14961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:127571:130425:-1 gene:WBGene00070171 transcript:CRE14961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14961 MVMSIHESHDANGIGTGEKPYECSWPGCDWRFARSDELTRHYRKHTAVQLTRSFTTTPAVTITHSSVASILRHGRYSA >CRE14941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:118083:125475:1 gene:WBGene00070172 transcript:CRE14941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klf-1 description:CRE-KLF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NBY0] MFGPEGSGPKTSTSSSSSSSSSTLQFNATSSTMTSSGGVTTLPTVTSRERLVHIPNKPLLSIDPSARADSHVYLSPSFIQSAASSSASENDDANQRIHEATRSFNQFGSQVYESLRELSKSNNTYERLKANTLRRKMRFEEDSGTATSSSSSVFDRHAEFSAFTPYRNTAFDSTQSLFQPSTSSMNDRLEQYRNDFFAESESAFAPVRRPATLDIRRGANGHYINDILREEPSTSRDFDRLNVVRNVPIKLIQSNSNFDNASSSSGDSGHQANDDHESIIVEDADMDSPTSPLVKKSARGFDLRDDPLTINVEGSVSSTSDLPSSISSSANSFVYHHNFDPLEYQRKMLEELTTNACLASISGDPSKIQEQLDAVRKTMGELQQHIADAQGDLEMRRSSSSNLHVDLDETNSNCEPSPSSSYNDSEMNSMKRLHHCTHPSCGKVYTKSSHLKAHFRTHTGEKPYECSWPGCDWRFARSDELTRHYRKHTGDRPFKCNQCSRAFSRSDHLSLHMKRHF >CRE14940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:89401:91960:1 gene:WBGene00070173 transcript:CRE14940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14940 MKMQSIFLLILLFPIVLAVLTSDIGYGFVEDDQENRDACDVFDISINFDGAQAFLDHMRSHCAAEGRINKTLGIIKKRSKKEILTAVGFITSAIAFGVPLYTILVNIPDDQNELKKEEKLLHNITELIQNNSLHTQDIIKAMEGQIQNATYETIVQALFSTGDIQRIAAFFQINLKEIVKKMGFDETVGLEAAHKLSHTFVCGKNPQEFQLQICGSENPTRRFGEVKEVAPVGNFIHGGSIFAFYELPKYVIYTNEGPISATHCEPLGMYFGCRMAKGKCGFVSYRKCPVSQRHTPDGIFVVELGDATVVSSTVDVSLRLSKLLNNSKFQHYSLYVNGSNTTYTDHRFPATGQLLIRAPHSTKVKIGSRVIQGRHDHFELREVHAAENIPHLSHEQLELWVKNNEAIGKAFTELEKEELHNSIGFNWSWDAIKHWIQKLITAFMTVLLILAALFLVGAGIYCYCVCRCQRNLVIPN >CRE14939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:82671:85285:1 gene:WBGene00070174 transcript:CRE14939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14939 MALHDDKESTSLLLDYADSAVREPGILQTSNSRRYSNLTFLSYLIFAFSVASFMVLYVAIDWRGTKRENVSIPPVLNQVLPIFTNQSSSNNYTNQIFNDSCTVPIFDLYDESEKLYFVPHDDAKNCDRNLKPLTELSNGSWRITNKKEGMTCKARCYELPVLTGPLKISDWFPPGPTQCEFLEAVCWENGREIYGYIHTQILPRPKPPKTKNIPDVFVILVDSMSSRMMKRSLAKTVEFMTQQFQAVDFPFYSQVASRSPGNARSLWFGKQVEAGTMQGGREIKVDWSEEEYCNHYMDDKPNMFKDFLEAGYTTNYIDDWYYQTLTTNPDCKGFQNYYTNHTFFPFVNIFENTNLNITKDHLRGRKSCREAYSAALEYFEQFAKVYSDRPKFVWYWSVHLAHNFLMGADRLDKPLLEFLQGNSEMFDNAFFVLMADHGFRMGTTQFYASEIGNLERHNPALMMSVPKKYRNNGILEVLTKNSKRLQTHYDLRATLLDIAKVCAYNCLTFKQLQFQYQPFSQFTNRTLLKMPGEKGHSLLREQPLTPRNCETLPIIQDYCICKSKSIDMKYDTKLSNRLATALITYVHDILEELNVTSQCHKYEFDKVTALTIISLNGAKVTYKIVVKTKQPAIFETLVTDNETGKLEFGAIERVDRYGTTTYCTKKTHYTPLCYCIE >CRE14954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:44361:45683:-1 gene:WBGene00070175 transcript:CRE14954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14954 MFRLKWFNTPFIGKTEKLPVNDQPEGPIMDMPDLVMRKIMENVDFITMLRLRKVCHAFRNFIDDTKLDNGLIKVKIDVTPSTIYAYLDFASESRKSVNLYYIRYENNCLLKVQEGRIEEAKLIKNQDFVDVFFNDFGVILRNQSKPLKKMNIETSSFDWYPEDHDRDLLNSLKTTYSFYGCCTSSRPFEYSFDATQHLHEINDKYTLQPTADKFHDRFDCILKLRKSPISIQNLEMKVLRPSYFLNMARLIDMKQLREIVIWKTPGYSERKDGKQLVLNEIVELDVFKYIQKLNISHFKVTMPLEIFLHIPDLTVSISTLTVEDVLLIKKNMMTSPTVKSRRVYYDSIKDADTLHNTLGHANSDYGKIWYFKLPGLDQILKISRELHETCFSFTWTKTSCIYNNAVVY >CRE14951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:28364:29791:-1 gene:WBGene00070176 transcript:CRE14951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14951 MFCLKWFNTPFIGKTEKLPVNDQPEGPIMDMPDLVMRKIMKNVDFITMLKLRKVCHAFQNFIDDTNLDNELTKVNIKVTPSTIYAYLNFASASRKSVNLYYIRYGKNCLLKVIEGRLEEAKLMKNQDLVDAFFNDFGFILRNQSKPLKKMNIETSSFDWYPEDYYDRDLLNSLKTTYSFYGCCTCTRPLKGFIEPVTHLKRINEMYTLKPTADTFHDRFDSILKSRKSPIPIQILDVTVLRPSHFMNMARLIDVKQLKGIVIRKTPGYSERKDGKQLVLNEIVELNGFEYIQELIISGFKVTAPLEKLLHIPHLTFSVSTITIEDVLLIRMVSETYHTVYLYLFFQNMLTSPTAKFRCVFYDHIKDADSLYDTLGYVNSNYIKLYAHFKLPESDQTLQISMENYSYGNCFSFKWIKTS >CRE14950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:23756:25052:-1 gene:WBGene00070177 transcript:CRE14950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14950 MLCLKWFNTLLIGKTEKLPVNEQPEGPIMDMPDLVMRKIMENVDFITMLKLRKVCHAFRDFIDDTKLDNELTKVKIDVTPSTIYAFIAFASESRKSVNLYYIRYGKNCLLKVQEGRIEEAKLIKNQDFVDVFFNDFGVILRNQSKPLKKMNIETSSFDWYPKGHYDRDVLNSLKTTYSFYGCCTCTRPSKGFIETETHLQKINKKFTLKPTADTFHDRFDCILKSRKSPISIQKLEMKVLRPSYFLNMARLIDMKQLREILIRKTPGYSERKDGKQLVLNEIVELNGFKYIQELNIRDFKVTVPLEIFLHIPDLTVSISTITIEDVLLIKKVSETYHTVYLYLFFQNMLTSPTAKFRCVFYDHIKDADSLYDTLGYVNSNYIKLYAHFKIPESDQTLQISMQSYSYGNCFSFEWF >CRE14948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:16658:18098:-1 gene:WBGene00070178 transcript:CRE14948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14948 MLCLKWFNTLLIGKTEKFTFDEEPEGPIMDMPDLVMRKIMENVDFITILKLRKVCHAFRNFIDVIKLDNELIKVKIDVTPSAIYATIDFASASREYVYLDYIRYGNNCLLKVQEGRIEEAKLIKNHDFVDAFFNDFGFIMRNQSKLLKNMNINEWEYDWYTPNHYDRDLLNSVETSYSIFGCCTCTRPSKGFIETETLLHKKNKKNTLQPTADKFHDRFDFILKSRESRILIQNLKIRVLQKSHFLNMTRLIDMKQLRGIVISKTCEDSDEEDKKQLILNEIVELDVFDCIQVLSIRNFKVTVPLETFLHIPDLTVSVSTITIEDILLIKKNMLTSPTVKSRRVYYDQIKDADTLYSTLGHANQDYGIVSWYFKLPGLDQILQISWIWQLTCFSFTWTKTSCIYNNAVVY >CRE14947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:11412:12856:-1 gene:WBGene00070179 transcript:CRE14947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14947 MLCLKWFNTPLIDKTEKLTVNDQPEGPIMDMPDLVMRKIMENVDFITMLKLRKVCHAFRNFIDVTKLDNELTEVNIKVTPSSIYATIYFTSASRKSVNSNYIAYGNNCLLKVKEGRNEKAKFMKNQDFVEAFFNDFGFILRNQSKLLKTITIEESSYNWYLQDSYDRDLMNRVKITYSIHGCCTCTRPLRNFIEAHEHLEKINKTYTLQQTADKFYGRFADILRSRKSPISIQILDVRIMRLSYLLNVAQHIDREQLRGIVIRKTPEYSDREKGKQPTLNEIVELDVFDYIQVLSIRGFKVTVPLETFLHIPDLRVAISTITIEDVLLIKENMMTSPTVKSRRVYYDRMKDSDTLYNTLGHANSDHNKISWYFKLPGLDQILQISRECHETCFSFTWTKTSCIYNDAVVH >CRE01335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:231779:239180:-1 gene:WBGene00070180 transcript:CRE01335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elks-1 description:CRE-ELKS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N9S1] MADEWPSSSYNDHHQVPGPSNYPEHSMAPGPAPYGRTTSVMNSTGRNTMGYPSGIPPNTSISAMSRRPLQRQHRSMDGLGVLDAYKDSLDYDTLMQPVGTSGKPMHQPSYLPLEISTSHHHHGAHPNQFTRGNSLALSNPIPTQNAFWQHNNSMTTTTAPGASQEYDMMQAGMSRNHPTNNMNLAREYEQLKIEYNTIMEKLNQTMNSIKTFWSPELKRERQMRRDEANRIAQLERIVQSGGGGISDYGMGSNEALQLRMELREREERIRQLTTALETGSSTHMDGRMDGRVRELEDTIMRLQDLLTTKETQAMMASQDPSGRQAIENALRRIDEKQARIVELEEELMRQRMGRSNQPRDFTDKNLSGHEITTMRMKMDRSEVELAEKKQELLGCQTRMQTAEETANEMRGHLTLLKDQLTNREQHNTLLQGDVDALRQKLDSKNKQLEQKDERIGVLERELSGAKADTSDKTELIRQTEIKTSQLIGRIDGLENTVREKEQELDRAKIRLLSHPDVVKEREMTEKIEQGERERARLQEHIDQVRRNSEKDHLEQQKSYQNELTLLRGTIENLQKELADRDILLESQNEKIGDMSRDLSTAKKRLDDAMVDKGTDELRLDVEGARNEVEKLLKMVHNLEKENLTLTAQCKQMSSDKRDSGGNVGTGTLTRSTSSQSNMHKRIEELEEALRESVSITAEREVHLSQQKHHLQQVSSQLNEARKEISDLRRTKQNLSETGDRDQIIRAIETERRQHLEQLFQLKQEALLAAISEKDTHLALLEKSRGPRDEIETIRRHKDALIRKLKQENERRALVAHPDPAISMNAMASVIPGAPLPAPIIPGTIGIPQSHQNSHQNQNHDDDADGIWA >CRE01334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:223873:228397:-1 gene:WBGene00070181 transcript:CRE01334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01334 MITASVLQYILAFAMFVVTAVIGIVPLFIVRVMKRSQNETEQGYLSYLTCFAGGVFLATCFLDIIPHINEGYEELLAAYELTWHFAYPQFITCCGFFFIYFIEEFTTFVRSKNDSRMTSFLQVFGNGQQPGHGHSHSLNQRGGNKVANSSEFGGRLSPGMPKERKGSVNITNLRMEEASTWVVSDEKSNILKSLTFAVAMSFHSLLEGFALGVQDTTGRIYALFFSLLLHKGVEAFSVGLQISMANSNKIKTVLATILIYSLMAPLGSIIGSVLQNSETNIYKDCAILLLESLAAGTFIYVTFIEIMASEKSNDFNHLKQLLWIIIGFSLVTFMQIFFGHEHSHEGHGTHGTGTNETDMGHHHHHHHGGXXXXXXXXRESSCLRSLLNFKSLYLKKGRGYQKTINYKNMHN >CRE01284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:207047:211102:1 gene:WBGene00070183 transcript:CRE01284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01284 MSGIFGVIVSGRTPIEVLPVSDSEFSCEIVNADSINHVVVFLTGAQPFPDGIGGSVYIRWPTTDGGNWHYLGFICNQKPSAIFKVAQLHKSDASHSGVFNSGQQMQLYSSGSAQIGINAESLSIIEGRQAADGTQASQQSTLVEFAEKMIRNLINHTESFTQRLVDPATGGRTQEYIPVTAFQSWYNSFSRRFQANPYFWRALNNS >CRE01283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:205335:206979:1 gene:WBGene00070184 transcript:CRE01283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hrp-1 description:CRE-HRP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N9R7] MTDVEVKTENGGGDANLEPENLRKIFVGGLTSNTTDELMREFYSQFGEITDIIVMRDPTTKRSRGFGFVTFTAKTEVDAAMKQRPHVIDGKTVDPKRAVPRDDKNRSESNVSTKRLYVSGVREDHNEDMLTDYFSKYGNVTKSEIILDKATQKPRGFGFVTFDDHDSVDQCVLQKSHMVNGHRCDVRKGLSKDEMSKAQMSRDRETRGGRSRDGPRGGYNGGGGGWGGPAPRGGPGGWGGPGGGGQGGYGGGISDYGGGWGQQGGGGAGGQGGWGGPQAQGGWGGQQQGGWGGPQQQQGGWGGPQQPQQGGWGGQQAPAQQQGGWGGQSGAQQWAHAQGGNRNY >CRE01333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:192433:193763:-1 gene:WBGene00070185 transcript:CRE01333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01333 MCLESWTPEERIAKLEAELEFERNRHEETKSQLLEKESENQRLLAEIEKLKLSASKKKKKTCRRHVKRLQKQLEEIPVIPVDEVNLKNLGDSEDTQKTSEAQVMCLVPITVDGLYQTLDRKAQNPAKNLCKF >CRE01280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:184387:188557:1 gene:WBGene00070186 transcript:CRE01280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01280 MIYTVLNHKTAHFSRTEELEKLFQTTKYPDGNERERIALAIGKEPWEVQVWFSHRRRAERRKQFDASTVPECSLSASNGASGFQHGASTVPELGISTSKSGSGTRPCVIILPENKQHTPFDPKNPFGPSPFPELRLSRSNCASGAEHGASAVPELGSAPANDASGAKHGAWRPLKLGSLFRSSPSPDSSDSEHDASTRSVEDSFTPNDPSSPSPTASARLEYFGSNPYSPFSPYGSFFVPDVSDYPANDLMNRLNKTSADLEYTLSALDSASGTQHGASTVSEVRRSTTNGASDLPTSTVPKLYDYIPYSPSIPSYGASTGSELSDYPSNDLMNLLHNPSARLECIFLAPAHLFGLSTPNGASGTQYGASTVPKLSFSAPNGASGGQHGASTVPEVRLVTFWTIFWGFQPVFTIISSFHQFFHIFSTAPKRFHRKSKDFSFLKDSSSFMSALGLENVTKIVEDPLNQQKIKNGILEKRRAAKRSEAGPSSGRSLEKKARRDYGENLQEIQSKYSKSLKNLTKRVRELEIGQADNAEKIKKLKNEVKTLKINNLELERKLEEAEFGEDTVVVKDVKVEEEEEKEPDWMVEEW >CRE01279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:173811:177050:1 gene:WBGene00070187 transcript:CRE01279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01279 MSLPTRHLKDVSNDLRLLGFQVGPRSTSNPGGVEEPPQVTPPKPLPLPDYRSDLELDAEIQDAKAYHKKISSLYAMNLESETDEAPSLRDIPASNKCYMSREVHFDQIPSRSRDNCKDRPAVKPLPVRALDSKTQHENREDYGSDDSSFDDFDDLHFDQIPPRSRDNCKDRPAVKPLPTRALDSKTQHENHEQYGNSLDDLATLRATPASSSHFYPPPYSGGYDISHDRLKEKPSQDDKAPLPEDYGCYMSREVHFDHLPPRTLENSKDRPAVKPLPVRALDSKTQHENREDYGSDDSSFDDFDDLHFDHLSPRTLDNSKDRPRPLAPLPPPPPPPQVTPPRPLPQSPYSFTIDQELRLEHAFQKAGRRMPCKTLARAIQANLREVYHWYNKRLERKSKMLAAYKKPVPKTPKKIIPDAFGLRLLDLNFAQLYALEEYYSRGVYFVPDEVEKKAREIQAELSEVHEWLRRREWLEEKRTGRNADIYWLPAPKEETKKRDTVVQKVPSKEEDSNEKVKCPSEEILELKKQLEQERMEHEETKSQLSRAKIFVSVRNASVSELKKQLEQEKMEHEETKSQLTHANDTITSQATDIRELNFWVATLKQKSLSPDDSRLQNLEKQMDQMTKDMEALKTPTPSLRDLIAMEIKKYATEPSMVQEVKNKKKAGLQKENFYRIQFSKSLETVAEKDKEIEELKKNLQMFQNINYELHDIIKQKNLLVETMTEHVKESEQKICDLEAELEKKKKLVPAKPVISLRNRRSPRYFLGEKKVFLNSEGRIQVMDGKY >CRE01278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:169869:171579:1 gene:WBGene00070188 transcript:CRE01278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01278 MTSDAEAYKHLALDLMEKLANTQAVICVMAEKHLAAADHEIVNKFMDIHDKWGIVEKLANIHLADPDQQRSLIESLVPQFEETKEETLEKLRELLKAHGEAEEKVEKLNKLLEEKKGTIQLLGEASAKLGEKHQGALEHMQMKVDVKQSAIKCVERVVKRMEEQLKGEKQEKQEITHQLSDVLICLSQRDQEILELKKQNEEIKTLREDVENLKKEMQNQKLKFESDTDYLLNLSNHEAKYLENQVKNLEAEVRKLRESSAVKKDYDFCDNIDEPVERSEQIKEMSFQELRKKVMDEIEAEMKHKNIVEWANNWKGAVMRIKKLEDVMLF >CRE01330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:167347:168061:-1 gene:WBGene00070189 transcript:CRE01330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01330 MNAQQQLIQHLEHQIVELHQKLEKEKDDHEQTKSQISFEQSLVRRLSSLVPNLEATISNLTNENNELKQKLQKEAESQKKLDAKAIRRNEMILRLLNEKKASEKKGQELSKLLQELGAEFHQVKKEIAIVDAELHQAKKEIELLQKTIARRDATIRTTKKVVSGVIAKQEADTESQTLSMVMKLSEAEHTAVVKDTEVKILKDMMATIIW >CRE01329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:158023:160323:-1 gene:WBGene00070190 transcript:CRE01329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01329 MSIVANDIPARPVQQDDLFHLTFSQLHHIDRLCIKYLCRLDRDGSVFINVANITSQTGVPGETFMEFNQERRRAWRSDRYLKDKTNPDLLSGSILKISGSTDDESVTLTFDQLHLLGLIYENRYEKERKFPCCAEKKQIGDSIHPRLKTLSSQDMDTFFLTRRETFKKLELVEETYMEAESELKKRLEQQEKELNETKTQLKLANDSVKAKEQEIESQKNTIKKLQIENNKIHDVLFQENDYRMETKAQLKLANDSVKAKDEEIESLKKAVKKLHIESFDICQILWTEKIDHTKTETIVKTKDQKIESLKKTLERIIIEKDALHEDLCSEEDKHAETRKALHNAYIFNAKVLENLKKTTQSMKDSEEKKVSDLESKLQEKQDELNNTVKLYWKLEKIITEQHCEALMEKKYGAEKKAMEKKIQELEEKLKKQKTIFKKMQDAIYN >CRE01328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:151000:153355:-1 gene:WBGene00070191 transcript:CRE01328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01328 MSIVTNDIPAQPIHQDKLIYLTFSQLHHLDRLCIKYLCRLKKDDVIVSIPVASIMRKTGVPRETVIRFYQGRRRAWRNAPYLKQDETNLNLSSGPILKISEGTDDETVTLTFDQLHRLGHIYEDSYEKGNRKFQCSAEEKEIVERLETLKLAVEDLYTFFLTRRTFEKSEARQTFEILEVIEETDENGDVTVADLKKQLEEQEKELNEAKAKLKHCYVSIKSKKLEIESLKKTVETITIENNGNHKDLCAEKSRHAETKSQLKLASDSVNAKNLEIESLKMTTKKLQIENNKIQDILFTENDYHIETKTELKAAKDSVKAKDQEIESLTRTVKNITIEKDTLLCTEKSRHAETKSTDHEIESLKKKIERITIEKNALHEDLCSEEEKHAETRKALCNAYVFNAKVLENLKETTQSMKDSEKKKVNDLESKLQEKQDELNNTVNLYWNLEKIITEQHCEALLKKKYGAEKTAMEKKIQELEEKLKTQNQMFKKMQDVIYN >CRE01275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:149222:150471:1 gene:WBGene00070192 transcript:CRE01275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01275 MHASQKKTSAKAYGSAMRQDEAWPRELSKSEQERRAHDAWPAWDSEELAEKKKSEMEKMAHDAWPAWNSEEVSYQIEEFEAREKLEMANRVDDAWPRKELLYDAWPAWDSEEMKAKEKLEMARRADDAWPAPDTWEFVDSEEEPSESKKKLEMAHDAWEFEEPSDAIQDSEKEKEKLQDPIIATLQSNLDRVTRDFREFSISTAKRFEEIEKRLDADSQAPELTEQLHQKDLLIQKLQKQCSDLFRDGKAAWQLAKREMEKNKALEAKLHKKQKNFKVLQQQYIESGDIVKRALKSRDDTIKDLQQRLQESEELTRFLIEESVEDDQSLVEQLNVRADETARYEMMLQERQRKIRELNRQLKKATEDLGRKECEEKRKEKRIRELEQIVGEMEAKISSYNF >CRE01326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:145829:146704:-1 gene:WBGene00070193 transcript:CRE01326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01326 MMSLISRLRKLSQAEAKITSQAAEIQKLESWITNLTTMANIQQSIPARLLNVEKELGRVSSKLEEAELKKENERLKEQKKELEAMLQSKKKLEEEVENGKKIIAQQAAELTESKNLIAEKNAEIQNLIKNSVKDQLSARLLNVENVLARFEEAELKKENEPMLQLAHLKKENKSLKNAKKEAELKIKELLRENEILNYDLHRTEGKVADLQTVILKSKEEEDAKLQKVEELMAVLEKDVEKLKMVQEQDLATDDEESEDEEEELEEEKSARRFIDMRLPCNLINSLQLREI >CRE01271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:141257:142009:1 gene:WBGene00070194 transcript:CRE01271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01271 MEKVLSRENLREGSIRCRGTPRSANTSPSAFRKTPSESTIEESKIQKLAQALMVMNVIDELHTKNNMTATKEIEDLKVKVDRKNQKILRLKESEMDLKEKLMKAENDKKELLYAKDQQILELQGQLLKAIRDMDGVHKAFEKCVERSIKLETENLELKARLVKNRPIKLGELRAELIANARSHMVIKDFEVSREFLEAML >CRE01325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:140038:140817:-1 gene:WBGene00070195 transcript:CRE01325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01325 MDPHVMVTGQKTSMAPKTASITALQDNVQDLSKALETMKNNTAQKFKRLERKIVEQKKDQKILELKEKLRETQRNYEALQESHREHQKVVRKNAATIRKIIDEKEEKAKKVVKKGEELKKQVEELKASLAKSEATISEKEDLIEVQKKEIKNHRENYRVLSEFHSMMRNEIEYDDCAMKMLKDENKTLLKESQELKEKFLKKEREVRQMKKEMKEVRKIAMERDEQISKELEETREEMKEKKERVEEAMKKMEKELELD >CRE01268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:132999:133823:1 gene:WBGene00070196 transcript:CRE01268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01268 MDPPNHKLKPSPHFLRLLSSKTVPEDPPSTAQTPEALLEAKEAELQKLKQANKRLQSEANDAYLNTANDVSYYNYLLRERDQRIQKLLEENLKNSEVEQLKDELQKQEKKYLEVKKSEEALREEAKEFHWKMFLMKSEHQKELQMKDSEILKLKEAASTFDDQLVGKDQKVTELEEQLKKFQEAANREAELKKAEKAKDDYFDELLQMKDRENMELQKQLQKVVSEGAELKRQLEKAMEKIRELKEAETSDEEEESDGSLYSDSGSDCDEILKI >CRE01324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:130685:132360:-1 gene:WBGene00070197 transcript:CRE01324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01324 MEDITRDQHNELRKYYYEKNRFPDSFMKERIAFSHGIPLHVVDSWFSECRVMDPEELWAKISLKKKTLEEQKRKRELERGEEMAKKKKITYYQHKKLTKFYETNSLPDDDQMEIIGKSVAMTNVAVDCWFFRCRTVGTKAMWQEVGEVDLEEWRRKKEEMETELMTKLSQAEAKIASLTAENPKLESSITNLTTCTHAQQSDPVRFLTIEKELARVSSQLKAFEEAELKKENERMKDQKEQLEATLQSKKKLEEQVENEKKENEELRKIIAQQAAEITESKNLIADKNAEIQNLTAIKNCVKGDQAEDKITFLTAENQKLESWITNITTMSHVQSDPVKLLKIEKQLARVSSLIEEAELKKENERLKEQKKELEAMLQSKKKLEEQVENKTKENEELSLLLKEKNNKIETMTQRNEEQSAELREQVENGKKENEEMNKIIAQQWLELKVAKTLVADKAAEIQNLTSIQNSVKDAVNAQQEQITKLLTKTVF >CRE01323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:128680:129830:-1 gene:WBGene00070198 transcript:CRE01323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01323 MDITFYQHNILAQFYKRVPVPENVQKEIVASSYGISYAAVESWLNRCQVVGPEALWAEISLEKEKSEEQERKREREEEMALKKKITYYQHKTLTKFFETNPIPDYDQLEIIGKSVEMTNVAVDCWFFRCRTMGPEALWTEVGEEAEIKKEKDQKEQLKATLQSKKKLEEQVENEKKENKELRKIIARQAAELTESKSLIADKNAEIQNLIKKSVNDQAEIQQLKSWITNITTMSHIQSDSVRLLNVEKELARVSSMFEEAELRKENQRLKKHEKEFEAMLQFEKKLEKQVEELSFHPQEMNDKIETTTQKTQQQSVDLTESNSVLTGINSLVSTQNSVKDAVIAMQEQLGKLVNEITL >CRE01322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:126179:127459:-1 gene:WBGene00070199 transcript:CRE01322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01322 MNISLQQHDVLTKFYEKNPVPDRQQRESIAKSYGMSNVEVESWFSKCQVVGPEELWQEIMLEIIKLQEEWASNEPFTAHKHKTLTKFYKTNPTPDYDQREIIRKSVELTNVEVDLWFFMCRKMGPDAFWLEFGEEAEIEKEKDQKEQLETMLQSNSKKKLEEQVENGKKENEELRKIIAQQAEELKESKNLIADKNAEIQCLIKNSVKDQVNAQQDQAANLTTMANIQQSIPARLLNVEKELARVSLQQKAFEEAELKKENERLKEQKKELEAILQCKKKLEVQVENKTKENEELSLLLKENNNKIVAMTQRNEEQAAELKKFKNLLAGIQNLTSLQHGVQDAVNAQQEQIAKLLNIFKENCSTGLRCWSFEDIQGSSSLHPPIKVPEDSD >CRE01321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:123242:124839:-1 gene:WBGene00070200 transcript:CRE01321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01321 MNITLYQHEQLAEYYNEEDGYLERYMRMDIASSLGIPYHVVDSWYTNCRIAGPEKLWAKISLEKEKLEEQKWKREREREQEMAKNKKITYYQHKKLTKFFETNPLPDDDQIEIIGKSVAMTNLAVDCWFFRCRTMGPEALWAEVGEVDLEEWRRKKEEEETELMTKLSQAEAKIASLTAENPKLESSITNLTTCTHAQQSDPVRFLTIEKELARNERMKNQKEQLEATLQSKKKLEEQVENEKKENEELRKIIAQQAAELTESKNLIADNYAEIQNLTAIKNCVKGVQAEDKITFLTAENQKLESWITNITTMSHVQSDPVKLLKIEKQLARVSSLIEEAELKKENERLKEQKKELEAILQFKKKLEEQVEEAQKKIEELSFLLEEKNNKIETMTQRNEEQSAELKEAKTLVADKAAEIQNLTSIQNSVKDAVNAQQEQIAKLLTKTTL >CRE01320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:121270:122420:-1 gene:WBGene00070201 transcript:CRE01320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01320 MDITFYQHNILAQFYKRVPVPENVQKEIVASSYGISYAAVESWLNRCQVVGPEALWAEISLEKEKSEEQERKREREEEMALKKKITYYQHKTLTKFFETNPIPDYDQLEIIGKSVEMTNVAVDGWFFRCRTMGPEVLWQEVGEEAEIKKEKDQKEQLEATLQYKKKLEEQVENEKKENKELRKIIARQAAELTESKSLIADKNAEIQNLVKKSVNDQAEIQQLKSWITNITTMSHVQSDSVRLLNVEKELARVSAMFEGAELKKENDRLKEHEKEFEAMLQFEKKLEKQVEELSFHPQEMNDEIETTTQKTQQQSVDLKESTNLLAGINSLISTQSSLKDAVIAMQEQLGKLVNEITL >CRE01261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:87600:88986:1 gene:WBGene00070202 transcript:CRE01261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01261 MNSQDLSILQNPQVNDIIANFQAMFAVTPRAQFNADQAKMQTLETENADLVQQLKNMNMARLELIKERDVLKRSNEVQIQATKKEDAERIRVIQEQHAKELNDKDLAIQDLTTRLFAAEQETVDKLTLLQEQHAKQMKDKNVEIQTLKTKLVTSEEENTSTSMNLLEQYLKELAQRNLVIGNLETQLAAVSAAEQQIIDKLKELKGQHVKQMKDKNVEIQTLQDKLAAFQEQHAKELNDKDFVIQELKTHLPAAELQTVDKVKELRNENNRLSQVIQKLQEERIKAERRLRSALMFGPMLTEGLQKKLQVHQKTKEVYQEIPKEESCLPERRLPGFFKVQKSLRQEKKEREVVPEPTQLHTELGKLYMELQEAKKPQEALMSQLAAKDKEIEYLMEQHKAKLAAKDSEIQKLKEMIQGGDEMNEKAVIADSMAELKDGRDGQSEK >CRE01313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:84054:85016:-1 gene:WBGene00070203 transcript:CRE01313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01313 MDPSNVHISQLQTECVRLNEEKDALKKQHAATETAKLGLEIQNAELIGKLEKINLAVQKLVEERDGYRRHIRVIYEADEKSRRHHDRELNDKDQIIQSLKSQLADSQEESTRKEEELQELHAQESSDKSQLIYNLNRRLCLEEQQYSEKLTVLRKEHAKQIEGMHLELQLLKTQQEQHVKELNDKDLTIQDLATRLSAAEQQPIDKTMELTNELNKKDEVVHIELGKLYVELQEAKKPQEALMARLAAKNKGIEYLTKQHEEKLAAKDSEIQNLTKMVLQSSKFPKGTNPNSESGNSDDDWIFRKHFGRADYHKLLGMSP >CRE01260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:81642:83368:1 gene:WBGene00070204 transcript:CRE01260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01260 MNSQDLSSYLQRHPMDEFIAKFQAGHHNATTAQLNADQAKKQSLESQNVELVQQLKNLNMAMGDLITERDVLKEQHAASQSREQGLESQNAELIGQLKKMNMAMSNLIVGRDAYAQAKKVDRDAIRKLQEQHAKELENKDLELQTLKTQLAASQGQHVKDLNDKNLVIGDLETHLSAAEQQSIDKLKVLQEQHAKIENKDQVIQELKTHLPAAEQQTIEKVMELKNENSRLSQEIQNLQEYSLQGEQRVQGTLALLTERLQKQLQVHQKTDPRVKWDEDGILIIQTYLTQLAAIHEDSTKLLEQRRQSSGEQQPVDKIMELTNQNNRLSLGIRMLTERRNQCIKKAKEELGLRKKEVVSINKLKNKDLEIQTLQDKLAACQDHHSKVLNDKDRTIQELKTRLSERQTVHTVMVLTNENNRLSLKIKKLQEDSIKAERFYQGQMDILVSALQTKETLRQEKKEVVPEATQLHTELGKLYMELQEAKKPHEALMAKLAQKDKEIEYLMEQLEAKDSEIQNLKEMIQGGDEMNEKAVMADWMAELENEKKIENGRDGQSEY >CRE01258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:79691:80488:1 gene:WBGene00070205 transcript:CRE01258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01258 MNIAMQELTAERDTYKRRIEANKENAEEIREQYLKELNDRDQIIQTLINQLTDSQKNSARKKAELQEYHAQESSDKSQLIYNLNMRLCLEEQQYTEKLMVLRKEHAKQVEGMNLELQLLKTQQEQHVKELNDKDLVIQDLAIRLSASEQQTIDKMMELTNEKDRHSQVIHKFREESLLAERRLESVRMMLKGNLRQKMKEVDLELTQLHTELGKLYIELQEAKKSQEALMARLAAKNKGIEYLTRQHEAKLAAKDSEIQRLTKMV >CRE01312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:77874:78937:-1 gene:WBGene00070206 transcript:CRE01312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01312 MNFQDRSSLLQNQVNELFAMFQAARSNVKRAQLNYDKVKAVGSQNAELHYAKMLKSKNLEIQTLRSKVTPDQKEISLNLQEQHAKVLIDKDMEIQTLKTQLAASQEESSRKEEELQKYRSKEHSDDRVIHYLNTCLILEEQQHSEKIKVLQEQHFKQIEGKDLELQTLKIQQVQHVKELNDKDLAIQDLTIRLSGAKQQTVDKIMELINEKDRHSQVIQKFREESLLAERRLESVRMMLKGNLRQKMKEVDLELTQLRTRLNKLYLELQEAKKSQEALMARLAAKDRKMKYLAEQLEAKDSEIQNLNEMIQGGDEVSVNLVAKDADLKCIRNGQSKK >CRE01257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:76382:77293:1 gene:WBGene00070207 transcript:CRE01257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01257 MDASKIRAIQSVQLQYDCARYKEKIQELYAQRDFYMEKLGATETVNQKLEKQNVELIGQLEKMNMAMQELVKERDSNKTKIQVTKDDAESSNDDKNRVDMIEQPRKKKPTMEEVIRKANLICGKKRLSDTQKNAVKSNVLQEEQSKNQGIQDLATRLSGAEQQAVDKVMEPTNEPKKKDEVVHIELGKLYLELQEAKKPQEVLMARLTAKDKVIEYLMEQHEEKLDAKDSEIQNLTKMVQEVGVKNKNHEEMIANLRKVMFTMQWQMEEMRTKFNADRQAAVQDPKLTDPKASIEYEDSDDEW >CRE01255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:65584:67077:1 gene:WBGene00070208 transcript:CRE01255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01255 MDPSEILGFPQFNLQGERARHNATKLQNAGLIQQLKNANAEKEALKEQLDATQTDKLRLETENVELIGKLEKKDKAAEQLMADVEEDMEKIYKQHAKQLTKKDLGIRTLENQLAASREASTRTQSLETENAELIHQLENMNVAMRELLAERDVLRGQHAAILEERDFYMQADKLRAGTVQKLQEQLHEHSKELTDKNLEIQALKTLLAASQEESMKLQEQHDIVLCEKDRMIHNQGVRLNSEVQKYADKLKVLQEQHVEQIEAMDLELKTLEDELKTGQEQHAKELNDKDLAIRELKARLSAAEQQTADQLVEFTNEKDRLSLSIQKIQEDCLKAERGLQDVRVMLKEVQRQKEKEATSEPTTQLHIELGKLYMELQEAKKPQEAQLAAKKKEMEYLTKQHEEKLAAKDLEIERLTENVKDGKKEIENHEKMIANLRKVIYSMEYEMKEKLNAERQAALQGPKVTDPKASIEYEDVSDKEW >CRE01308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:63546:64432:-1 gene:WBGene00070209 transcript:CRE01308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01308 MIPQDLLSFLPLPPLEELAKFQAAINTGNQLNAVNSTRAQVNTDQAKMQALETQNAQLVLQLNNMNVAMEALNVERNALKEQLAATEAAKLGIEKQYAELTGQRKVMRKIVAGAMEDDRRIWEKHAKQIEDKDLKIQTLETQLAASQEERKNMKLLNQQGLMEVSQKALQKKETLGQEKKEVVSEPTTQLHTELGKLYVELQEAKKPQEALMAQLAAKDKEIENLTKQYDAKLTAKDLEIQKKTFYRILKEVIQGGDEMNENVMAKDPEVEATRDGQSE >CRE01307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:62248:63048:-1 gene:WBGene00070210 transcript:CRE01307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01307 MSIPSQEDPRIQEITLKYFNEIASCKKELEKMKTNYRPLQTQLDISTVQNKSLENKLADTQKKLEAANKKTASFHEHYWKLVETEKAQEMEDLRNKLEEFQKQHEKEIVAKDLRIQTLELELSAVQKKSCEKILELKEQNAKKFSGKDLEIQQLEIKLAAAKKEISERKSEAQLHIDLGKLYVGLQEAKKPQEALMAQLTAKEKEMENLTDQLEAKDSEIQNRTEKINNLQEIIYDVQMTMMAKDRDIGKLKERVSDLEAELCCYM >CRE01254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:60607:61629:1 gene:WBGene00070211 transcript:CRE01254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01254 MSDMDSPSQEDPRIQEITLKYFNDVAFYRRLQLERNQERFDDLLMEKNNYREKLADSQKNLEAANKRNGLFNEHYWKLVEVKKEQEMEELRNELKKFQKHHVKEIQELETKLAASREESARKIQELQKKHDKDIGGKDLKIQTLEFELSAVQKKSSDKVLELQEQHDKKLSGKDLEIQQLEIKLAAAKKEITDKTQEVQEKLDKVSVKDTKQIIPNHENNFQEKKCEEPTQLHIDLGKLYMDLQEAKKPQEALMARLAAKGQEIIYISEQHKAKLAAKDSEIQRLTEMVQHGDEKNENLQEVIDKLQKMMDAKDREIRATNERVADLEAELKGGRDGQLE >CRE01306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:58140:59473:-1 gene:WBGene00070212 transcript:CRE01306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01306 MYPQNLLGFMQNPQVLEQLAMLQAAHNTAIGAQVNTDQAKMQALETQNVALIGQLQNMNMTMGALGAEMSALKEQHAATETAKQQFESQNVALIGQLKEMNRAMQELIAEREATNMNIDAIRGLQEQHAKELCDKNMKIQTLETQLVASQEESTRKNMKLLEQHAKELNNKNLVIGDLETHLSAADQRTDDKLKVLQEQHDKQIKGKDLELQTLQDKLAASHEQHAKELTDKDLVIKELETRLPAAEVQTVDKVMELTNENKRLSLGIQKLQKEKVFAEKRLQSLGVMLQEKLRQKEDVQKKNEEVVSKPTTQLHTELHTELGKLYMELQEAKKPQESLMTQLAAKDKEIEFLMEQHKAKLAAKDSEIQNLKEMIQGGEEMNMNVIAKDAEVEAVMTGGQSEQ >CRE01253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:55730:57443:1 gene:WBGene00070213 transcript:CRE01253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01253 MDPSNILGFPQNQLVQLQDDCARQMEKIREHRDFYMEKLGDAETVNQGLEKRNVILIGRLEKMNIAMQELMAERDSYKMEIQVIKEDAERIREHHAKELNDKNRMIHNQGVCLNSEVQKYAEKLKVLQEQHVEQIEDMDLELKTLEDELVAGQEQHIKELNEKDLKIQELETRLSAAKQQTTDQLVEFTNEKDRLSLDMQKLQEECLKAERSLQDVRVMLKEVQQQKVKEVIPDPTELHIELGKLYMELQEAKKPQEARLAAKNKEMEYVTKQNVAKLAAKDSEIQNLAEIVKEGEEKNKNREEMITNLRKIMFAMEYENTEMRKKTKKGAAPSDSRAD >CRE01305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:54187:55344:-1 gene:WBGene00070214 transcript:CRE01305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01305 MNSQDVSNFVQNPEVIALFDHFHAEYAVTPKTQFNADQAKKQELEVQNAELIGQLKNMNMAMQELVAERDTLRNQHAAIQRDRDFYFQADKLRGVSIRKLQDQHAKELTNKNLEYQALEDKLVASQEQHVKDINDKDLVIGDLETHLAAAEQQTIDKLKVLQEQHDKQIKGKDLELQTLQDKLVAGQEQHVKELNDKDLLIQELETRLPAAEVQTGDKVMELTNENNRLSLGIQKLQKEKAFAEKRHQSLGVMLQETLRQKEDVQKKKEEVVSKPTTQRHADSELHTELGKLYMELQEAKKPQEALMARLAAKDKEIEYLMEQHKAKLAAKDSEIQNLKEMIQGGDEMNENLMAKDPEVEATRDGQSE >CRE01302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:50545:51640:-1 gene:WBGene00070215 transcript:CRE01302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01302 MASSVVDGSGLGQSCPHNPRHHLAIMSITSHEDPRIQEITLKYFNEIASCKKELEKMRVNYRPVQSQLDISAVQNKSLENKLADCQKKLEAANKKNDSFHEHYWKLVEAEKAKEMEDLKKKLEEFQKQHDKEIVAKDLRIQTLELELSAVQKKSSDKILELQEQHEKKLSGKDLEIQQLEYKLAAAKKEISEKKSEEPGQLHVDLGKLYVELQETKKPQETLMAQLAAKDKEMEYLTDQLEAKDSEIQNRTEKIENLQEIIYDLQMTKVAKDKEIGKMTERLADFEAELACYM >CRE01301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:48457:49725:-1 gene:WBGene00070216 transcript:CRE01301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01301 MCTNEICYRQQLKMRNEEREHMKRIEVLEYEQRELITQLEAGRSKIRQREEQLDQANASIGSYKKAIALSQEEMAKERMEFKKSYDKEAQRNQELKEQHVKEIKELESKLEVASQEVRELHGQHAIEMTKKDLVIHELESKLMATQEESAGNIQELQEQHVTDIGNKNLKIQTLEFELSAVQKKSCEKILELQEQHTKKLSGKDLEMQQLEIKLAVARKEKTLELQELTPLEIQKFVAQEQASVKDTYQIMPNHITIPQGNLQEKKSEEPTQLDVELGKLYMELQEAKKPQEALMTQLAAKNKEIEYLTKQHEVKLAAKDQEITYIRDQHTSKLAAKDSEIQRLTEMIQDGDEKNENLQEIIYKLQKMMDAKDREIRATKERVADLEAELQNGRDGQSE >CRE01298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:45988:47246:-1 gene:WBGene00070217 transcript:CRE01298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01298 MSTSNMHTAENCFALNCYQCLTKKFWKMQDDTRVLLMNRENEKLRLEAQLRTEKSEIRKLKYRVNDAKATIGDKEAKIEELKSKLDVASQKERELQEQHATEMSGKDSMIQELEGKLVASKELQEQQNLKVLELSGVQKKSCEKIQELQEQHATEMSGKDSVIRELESKLVASQEKSARKINQIQEKYVKHIRGKDLKMRTLEHELSAVQKKSSEKIQELESTLSDKNLTIQDLKIQLSAAPHQCANKLMKLQVHHNKELSDKDQVIQKLETHLATVQEQHDLVIQELEGKLVDSQEESVRKIQELQNQHSKQIHGKDLEIQQLAMKLVGSLEENVENIKEVSRQQLRIQELEQQANEDALRIQKLQEESLETDRRLQGMVEMLKREADLAELAELEDGHD >CRE01296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:43472:44763:-1 gene:WBGene00070218 transcript:CRE01296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01296 MSTHENCSAENCYQCLTNRFWKMHDVFLNTSARLINCENEKRQLQAQFATGKSESRQLDDCLNDANTAIGNYEIKIEKLESELLASQEESARKIQELQEQQNLKIQTLVLELSAVQKKSCEKILELQKQYEREVSGKDLEIQQLEIKLAGARKEKIREINAQQQVSVKDTEMMPNHVEIPQSNEPTQLHIDLGKLYMELQEAKKPQEALMTQLAAKDKEIENLTDQLEAKDQEATNIREQHISKLAARDSEVRRWKEKVKDLDERNENQRKSIYHYRQTIKEKDRDIEALKERVAELEAEPQETLIAQLAAKDKEMEYLKKQHEEKLAAQSQENTNIREHHEAKLAVKDSEIQRFTEMVQNGDEKNENMQKIIYKFQKMIMEKDREIGEMKERVTDLEAELQNGQDGQSEQ >CRE01295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:41684:42766:-1 gene:WBGene00070219 transcript:CRE01295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01295 MSTQENCSAENCYQCLTNKYWKMQDDLINIRFCLRYCENEKQLLKAQLATGKSEIQQLEARLNDANTAIGYNEEKIEELERELDVASQKESELQVQHATVMIKKNLVIQELEIKLVASKELQEQQNLKVLELSGVQKKSCEKIQELESKLSDNNLTIQDLKIQLSAAPHQCANKIMELQVHHDKELNDKDQVIQKLETHLTTVQEQHSKAINAKDLEIQQLAHKLVASLEENVENIKEVNRQQSRIQKLQEESTETERRLQDLVEMLKGVVHQKKKEVATEPSQEHIELGKLYMELQEAKKSQEALVAVKDREIEDLTKQHEAKTSESAEDDLESEELKFKN >CRE01293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:38081:39419:-1 gene:WBGene00070220 transcript:CRE01293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01293 MSSLSTCFNMTCGQNRMAMSVEKNKHVKTRARLDDCENEKRQLDAQLATRKSEIRQLEERLNDGNAAIGNYKRKIQELATELTVASQKERKLQEQHAKEMSEKDSVIQELESKLVATQEENANKIQELQEQHVTDIGNKNLKIQTLEFELSAVQKKSCEKILELQEQHAKKLNGKDLDIQQLEIKLAVAKKETSEKELQENISGNSLEKRELSKKFHAQEQLEKVSVKDAGMQYHVEKPKSNLQEKKSDEPTQLHIDLGKLYVELQEAKKPQEALMAQLAAKDKEIEYVMEQHKAKLVAKDSEIQNLKEMIQGGDEMNENLMAKDPEVEYLTRQHEAKLAAKDQEITYIREQHIAKLAAKDSEIQRLTEMIQDGDEKNENLQEIIYKLQKMMDAKDREIRATKQRVVELEAEFAELAVLEDGRGGQSE >CRE01292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:36296:37406:-1 gene:WBGene00070221 transcript:CRE01292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01292 MSISNMHTAENCFCNQCLSIMYNKEKEEHFKRFYKLFNTYVSSSYGLFNCHIETQQLHNQLQKEKCEIGKLEDRLNAAKATIGDKEANIEELKSKLDVASQKESELQVPHATVIIKKNLVIQELESKLVASKKESARKINQVQEKYVEHIRGKNLKMRTLEHDLSAVQKKSFEKIQELESTLSDKNLTIQDLKIQLSAAPHQCANKHSKLQVHHNKELSDKDQVIQKLETHLTTVQEQHDLEIQELESKLVASQEESVRKIQELQNQHSKTINAKDLEIQQLAIKLVGSLEENVEKIKEVSRQQLRIQELEQQANEDALRIQKLQEESLETDRRLQGMVEMLKREADLAELAELEDGHDGQSE >CRE01291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:33859:35834:-1 gene:WBGene00070222 transcript:CRE01291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01291 MNSSDAIACSSQDPQVQVLKAMLLVEKQQHNITSARLINCENEKRQLQAQLAIGKSESRQLDDCLNDANTAIGNYEIKIEKLESELLREESRNTTTGDQVSRSQEREDSGGASSPGNAKVRCPGAGVWSVKDTEMMPNHVEIPQSKEPTQLHIDLGKLYMELQEAKKPQEALMTQLAAKDKEVECLTDQLEAKDQEATNIREQHISKLAARDSEVRRWKENVKDLDERNENQRKSIYHYRQILKEKDRDIEALKERVPELEAEPQEALIAQLAAKDKEMEYLKKQHEEKLAAQSQENTFIREQHEAKLAVKDSEIQRFTEMVQNGDEKNENLQKIIYKFQKMIMEKDREIGEMKERGTDLEAELQNGQDGQSEQ >CRE01290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:32012:33095:-1 gene:WBGene00070223 transcript:CRE01290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01290 MSTQENCTVENCYQCLTNKYWKMQDDLINTRVRLSNCENEKQRLEAQLATGKSEIRRLEARLNNAITAIGYNEKKIEKLERELNVASQKESELQVQHATVMIKKNLVIQELEIKLVASKELQEQQNLKVLELSGVQKKSCEKIQELESKLSDNNLTIQDLKIQLSAAPHQCANKIMELQVRHDKELNDKDQVIQKLETHLTTVQEQHSKAINAKDLEIQQLAIKLVGSLEENVENIKEVNRQQSRIQKLQEESTETERRLQDLVEMLKGLVQQKEKEVVSEPSDVHIELGKLYMELQEAKKSQEALVAAKDKEIEDLTKQHEANTSESAEYDLESEELKFKN >CRE01289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:30102:31183:-1 gene:WBGene00070224 transcript:CRE01289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01289 MKMTVQEHKIHRLVEELQREKKAHQETRFILESAQSRIQQLESILPNERVRKIQELDSGMKNSSRMIEDLLEQRKEINESKTMRAQDLRAELIAKGEELRIVKGEKGETDLQLSICQLKLSCKTEEVKALEAESQKLTKRIRDMEVELDESYQANHELRVNKFDKSLVDTYRHHFDMKSSHLEFVLENLRETEAELRELKNMGSQETVFPISELLEQLESLKSEKMELEKKLKNNELKKK >CRE01288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:15468:20143:-1 gene:WBGene00070225 transcript:CRE01288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01288 MVFLQFFLNLPLFFGLIYLIVMFSARFSPFAKSPRRFFHLMMSFAANMYLYLAEGVVICVTNITLLWCILSDARNRRRREFLLVAAQSLTDIFYGVAFMLIAHLRLGLFYENKLTLTVPTSNCAFIPALWLHNMATPLLGLLPFTTSINFLVCSVAPLWYMRATHLYTFFLLSAPLTIAMLLTSINALLLIENESQIAALCIAANGAAHHIVYHVMLFFRIIANLASAVIYFIIILYLKKSHGGTLKDLSPQQLKMHRNAKITLGLVTTNSMILLLFPDILLFANPWNITKYYSTPLYSMTLSKTMINFMIYMIRYRELRNIIFLKVIACLPKKWAIPLSMKLRSTNEQSNAPKGTFATRSSIQTERIREPSLEQRKSRSGSILTFNKSRVIPQSVTVPAGPQHLPPLPNRR >CRE01251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:9044:12165:1 gene:WBGene00070226 transcript:CRE01251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01251 MFASETLNVFLSPPSSKSAKNDSNSSTISPQKPEIDPEFDFERKFHVPLAENFKKRQWKHNFRGIPWIFEIFERENHYFLRIFCNKLNKSRLWGCSAHISVISCDSRLICTEKDVEFDSETHFETDLPLFVEKIEIFVQISIKTVIGMNLKPFFDFSQPKTDIFDAILLWRGGKCMWGNSEKLRKLTYWVLKSKKLSKSIFSAKIFSNLFSKTIFQYLATYSPFLNDLLEVIPEFEIPDVKFDDFIEFLHFLYPTDAEMTSSNVERLLNLAHRFEVEILKSKCEKFLISLDSMDAAWKLILAETFSLSRLQNHVLQNLTTEKDVQKVSKSAHYRQMTDTTKTSLLNRVLEIMEKKEDSDEDDDDEEEVSMPDESDDVIYVE >CRE01286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:6035:8801:-1 gene:WBGene00070227 transcript:CRE01286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01286 MPPVESHVIPIYFNTNCQKKVKIGEVIWYFKCFHRNGWLHATIQCNSTDRSPFWSISGTCRVSFGEYDCQNFSLHFTGGKSSLKLKNLKKSSIFEENYTDLIKFHVDVYKVVGIWDKSTKIDFSKPNGGVFDAILLVEGRRIYVGRQVLRMYSKFFDRIFHSSEHTQIVLEGGKYEEIIEFLHFLYPTDAEMTSSNVERLLNHSKRFDVEIVRNRCENWLISDHFGEKSIDFGLKLLLAERFELSRLLNEILNSLESLEDVRPLAVSGFYRKMGGAIKIRILDRILEISRGTEDSDDGETASRCSTGHCEDSEDVMEDSEDSEDVEDSEDPDDVESYESEYSEDSEDSEAGNDADDDSGEEKSIEN >CRE24761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:173409:176149:-1 gene:WBGene00070229 transcript:CRE24761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24761 MQNPKAIVTHGRNDTIVTLLGWAGAADKNVAKYAGVYQKKGYTTVQYTALAAAKGWGTKGGREVENLAKTLDTVLLSPSNRIIFHVFSMNGFLTLTSLDSEYPELKAIEKCDGIFLDSCPACFTFSFENMYKHSLVMNHVYDGLVGQGNIKNSNFIVGNVYRIYKKWETTRFGSRLLMDELMIRAGIVTSEDASPFHYLLNHPHLPKIIFSVFSDADIVCSADEISSFNELAAHRSDKRRDVITTRLKDSAHVEHFKKHPKLYLERMNEFLQRVERLRNGGSSKL >CRE24746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:160251:171242:1 gene:WBGene00070230 transcript:CRE24746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24746 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N966] MALADEFTVERTIQELEIATGGSDTEWELSHRSSADFYENFFYFMGDFVAKLPSLQTVKVPAVIFGDVHAQFDTFKTMIENEAFCFKKMQWKDIDIVFNGDLPDRGSQSLRVVFVAFAMKHVWPQRIHINRGNHELRKICKSYGLYDELKSDWPKDFDRLFDAICYAFDRLPVAATIGNKILCMHGGISNKLHSLSDIMNIKRPFTTVKDNTLECHLLWSDPKHIIELNEKWGFYHNGDRTIAVYFTEDALKECLEELDVVLCVRSHSATNGFGQFGNRQMIIVFSAPNYGETHNKASVLKVAEDYEITGSIYEVIEYEENSGYSKERETTVYSSDDDDDAVGGSTSQKKGKKRVIEEKVTPMATYTVVEKTAPKQGSAEKPTGKQATDEKDRPKAGKSVDEQTEVMKIEKLEFHLDSSVELQNDERVEEQDKTEKSELVTEPMASDQVLFEDETVEKKTSQETEDSRQKSNGNKPKFTINSMVPNLAVIVGLFCVFNQFSIANANPMSLTQFSERSSTLARFVNGLYLGQSLSSGTLDKRQLIDELFYLGPSVTLDSLSKSDFKKLITDLGTIQTTISTECTGDNGCGIPSSVTDKMNTIASFQKHVSDLEKALAASSGKEFEALNKLNKLVGNIKKLPGIAEEMVTQINDLVKTISTEQQNKITIKIANVGGNMDKITEMLTELETGASLIDAVTEEVVKNVGNKFSAIAPLQKIATEYQLQSENIVKLGQGLTTTTGNQEKVIKALDANGLNAINTNLDFLNSLRFLSNPNSNLIAGFPRGLDDMEMVMKDGENKWLMDLLSSGNDLNNISSKLSPLKNLKLELDPLNAAYKTAQQDTGFHPSGIKQLLSGKSDVISKLDKITVEFSSCIVNLKQADYNFDGTQQPGDIAYPTAEFEELKSTIQTFLNLKTSLDPVTTFSTSIMGNIQNKNALTATFNDAAVITSVTNTLKNLKKNVQAITTLKTGLWLNKIKVGSIDAAGTWIQETGLIGAMKCIQTTNSAKIPELNPVAKSLSSLNSQSPLVESMTKQVKSLENLVTEWNKIAATLPTVVRLKRSSPDFTYAKTAAKDLGDASSVIGKMSDSLKMKDDLMKLIEGSSTIDSAIEGITDSTKKDQLKKMWNQEAISALKENLETAKKVSEVVAKMDDVRNISDFKIPFELAAGVKESKIDFKDLADSLDGKVSDQNLATSLKSLGPIDLRFAGYEKSKIGKVLEELESYFDSIFAVKPEVLPQKDTDPCANKICPISTTTEEPDDTVKIILLCIGGAAFILVIVGIVACILKRDKLKSVYNRRFSKKPKGSGNSTETAATTSTDVENPKPPKKQKDKPIGVLNKTKQPDLEAGETPTGYILAADKKGKPNVIILDSLKDARRPVDAESKKPADNKIPKVPGKAKKHMSAKKSQKKRKIFFKPNVPIENPKLDVPGDLRKDTYEEIMNLKLGFKRLGMDERKIDAEIEFRVQNVINHALLNHIPAQPERCKKLPKWSTVASSKPLLKVRDDSKQPDVENIRRFQRSDHQMKNVYRRLGDEEVVSVEEMDGMKTCRSEKVPHTPNKPIDPSTKTSKPALPPADPSTRTTRTAEEPSRGPGGSDLDSENAVDPTSRNNDPKLVWKLLNKYPVDHPKFVILYGKNGYIAKKSPGNKPEVIQSKWPAVRGPDRYKYVGKGKTTEIDETSSESDASTEDVASKD >CRE24745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:156640:159689:1 gene:WBGene00070231 transcript:CRE24745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24745 MSQVMKTSSPDPLDTVSFVHSFRIPTAEVKHMMPHKFVTAHQYSLINWQIEISESGLPGFIRNIIDPDRRDQSVFQLVLQTAGLREKCPPVRSIEFKIRVVDIGDKEIMSPIRYVHPPGFPSRHIFNSSYSTPERGPVQEFLQSVIGVKLLENFDLDIQTLIVFDVKDFLSMENLNLSIGSPMPVELNFRYNLLMNQKFHNFHLITTTGQGFPCNKEALFVASPYFRKNLTAEMTYFQLRARHLEAIEVAITWMLTETYHPPPIMTPELADEIVKLTLIVGRGPNQRKLLGSIERHCYEELVKNHEDLEYVKNVLLVAHNNRLGSLQEACHACIITYHFADFQRDMIQNPSPEFTDHGAFHQQSVLRGVRDNYARGLLVKSFTRKTSQKSN >CRE24759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:153107:156414:-1 gene:WBGene00070232 transcript:CRE24759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24759 MKAIHMEQSETYPIIRKFEKKFLEYQEADNIVTFNHVLDFKMGPREMRAKGVFSTVFESTMLDWDLSLRKELFSRREPSSRDSVWAITLEGNGFRDHYPPIRRVDFKLNVMDSDGSVQETLKYFIDGQMMTQQNLNNQRRRGAINKMYSTQNNNSLHFDKKLAMLMDEMKKKELSLQLETRLEFRKTDLMDEKFLVGDGAPGVPEKLDYFFDAITNSSRHDIKLKTSDGGRFLMTNKEILCLASSYFRQNLKEQTIEYTVARADSLESIDICLTYLVTGRYKKPREMTPRLAFEIISLARQWKVFEVKILQNSLERHCYEELIKNREDFLYVCNLLIIADDSQFSNIVNCCLATIISYHFHQFMKTFVNGNHPLKERLTQRREFQRPSLAMQVKRSFAASIETKSFIKFLPALGED >CRE24744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:148834:149812:1 gene:WBGene00070233 transcript:CRE24744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24744 MSSPFPLLRLPRLALFNMSTISRIMLSLCSKKTAIHINNDRLYSQKVIVSLDMINQGIRVHTKNYKDKFDIFIYPDTWSSDISNTQQFYISCCITGINTFWKNQQEGFLSFISHLLKMFRCKITTTFSCCDSDSFQQTILMFFDLQLEFKTLCILFDGSKYQNLWNQISSNLGLVEDLQIFFDNMNSGFTPVFTSWPTKEISIFGCNWFTLESLLSCTCSSITLEESRLRYKDLNEILRKWMTGGFPNLKYLRIQRLRRTDDGEHILGMDWRDLNGMVIQTDDGSKKATINNGAGGIEISVTPFE >CRE24743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:142494:144024:1 gene:WBGene00070234 transcript:CRE24743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24743 MTFRLLKLPLLAFRNVLSYWNHDQIFDFSLISKRTKYLTKSLTQKSTSATIFFEPKLYVRLDFQLQPYNAHCFALNDGFSHFRFSTENVTEGFLDVLKHLLNIFNASVESIHIDAKLGEKDMNLIFKYINNLGQESISKLYYDCDKTKQIDYLLQSNKKPIEDLELNVRRDHTYIGETIADSSSIQLYKCLNTICLSDGHLLTMLNTVGMDIRKSVLTNEYLNMFLKSWTSGRTNSRLKLAFFRVKETINLRTILGDIPVVKRDPRTTKRYFETQLWGKTYSNWIFGGYDIQLSDGRTATLQWHKFQRKSDSSPVPVRWIQKYEDVHEMEDNIDSDARENRVQEPEKEGEPVKEHKPYYLQMLSIIVW >CRE24755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:138821:139660:-1 gene:WBGene00070235 transcript:CRE24755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24755 MECPYKKVVNYKYDVRQSVTPSQTWSFSTETGQIVYLTARYFKKKFTTQIYLNPHFLFSRKPSKRLPVPPPTAPPVVKKTTVPMTNTTVAMEITTSSEVSSSTNTPSTKAAEKTTTSENVAEATTTVIAKKEEPTTKTENKTDAATTKITGESTEDPIQDPTTQKPKDPSTKPPVVPSTSSGAPTAEPEPAATIPDTPQSTASSSESQPTSPPASSVTTATAPGVTDSKQHPHAEALTDFSDIDCEKENLCFDEDIFASASANGAILLIICVSVVLMGF >CRE24752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:130691:132644:-1 gene:WBGene00070237 transcript:CRE24752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpz-1 description:CRE-CPZ-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N955] MRTVVLLLALCAISILASSVFGKVKKYSNRNRYNLKGCYKQTGNVYEHKRNDRPYELEEFDSEDLPKTWDWRDANGINYASADRNQHIPQYCGSCWAFGATSALADRINIKRKNAWPQAYLSVQEVIDCSGAGTCVMGGEPGGVYKYAHEHGIPHETCNNYQARDGKCDPYNRCGSCWPGECFSIKNYTLYKVSEYGTVRGYEKMKAEIYHKGPIACGIAATKAFETYAGGIYKEVTDEDIDHIISVHGWGVDHESGVEYWIGRNSWGEPWGEHGWFKIVTSQYKNSGSKYNLKIEEDCVWADPIV >CRE24742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:118476:126238:1 gene:WBGene00070239 transcript:CRE24742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24742 MLSTTRYYYEHSLIEETKHQEPAWQTPISRSQVSLTPSSGGIQLSKKNALLNDAVTTTTTVEVFRAPLDSESSLISLTPSPFGGAPLLVRAHGPQYEQWNDRKVQTLMSDEDRRDLRKEVQWKERLIEESDRRFRDNTSDFYDEIQDPPQYIHHDYRPKPSFQPVTHPIIMTGSRDDLRSQPPPYPAPLSPLYIVPDRHRNLTNSVSPGQYSRRSNGYPETRNEEMRREERREEPRDEYRLRAENEQNQNRERYHHRRSKSSTRDDASTSHRSLRHRSMSPASRRSLATSIGGSQEFVIPAHSPIGSTLMLASPDPNELPGRPASAKSQEIFALYQTRDAMNRIVDQLEILMERVIDELEMISSPLAESEDSLISEMSTRNDVARASWLEYRGIRRRIEDGNESDFEEDESDVYAPPPIPERDYSRLRSYRKHGEEFSMRRSKSYERPGRPRTPEKRWSRNARSLVDKSKKINAIYATPIRKKITSNAVVAPPIIEEFVEEVPRYVRPLKTVEEVKVEVVKEEEEDKEELLSPGLVPVIDLSTEIEFSSATTTAKVERPKLAREDSLTELLKRQLSTKNHLKIREELPEYVEPKDPIIRELQEFLKIEPKSSEENSQNSTSNSIEKERKEVSPNGKEKAVEANEHRLIVRGWQELLKSSSSSSTSSTSSTTV >CRE24741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:115677:118203:1 gene:WBGene00070240 transcript:CRE24741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24741 MRGSNGYHHPSRGTYDSYSNPPPSSGGHGQMVINMKTTVTTSGGQHNGLVVHSAIQSAGSPSTSQSSWMSPYSESPTRRLEEGLDLMRSNTSLHRSSPLPIQMVPSTSRETLISIGREGSSKRENGGHHHHQIPPKPPSPPPSPIYSFKGRDSPPDEFIQPTKLVLNVFRPVSHPYFVLFRTEKCEIVRDISQSSSGINVNICN >CRE24740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:108521:109525:1 gene:WBGene00070241 transcript:CRE24740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24740 MSSPFPLLRLPRLVLFDVFKSLSIGEKIKLSLCSKKIFTLINNDRLHSPKVILYLDMAHQVIRVYSENYFQIGIYLDRDIDNDREIQQWQIKGCTVPVTTDEPTKVNTFWKDLVEGFLCVTQHLMKIFQCKISTGKECWRNGLFQPILSELFDQKLEFEKITVGLHESVDHNWLNRIFFSNLGLVEELEISDLLIIPSSFIPIFPAWPRQKITIKSNASWLTLDTLFTCTCSHIHISNTNLKNKELNEILTYWMAGGLPNLEYLRIGSTKFKWDGDHILGMVPNEWEDQHTIQTDDGSKTAEVQLYQCNLKLLVFQS >CRE24739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:105730:108126:1 gene:WBGene00070242 transcript:CRE24739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24739 MKWIVVIVHLIALFESKKLTIEENEQRLKECGLTTKNKIFRGAKTTVDQAPWALIIGDSGCSAILISPRHVLSATHCIANHSDTEWTKSRINFEFDRELCTEDENYIVTEVKASKVYVMNRNYTEIGRAKFIFLLKFCRRITDKEAFQIQHPDDFMIIELAEDVEYTTNVQPACIADDIEDNPPETIARLFGYGDDPPPGVEKTPENSKKAIHRPLLTHEIRILPINVEGTLNRMDPRLFKARSQSMKSVACPGDSGGGAIRVINGRNTVIGVTMQSTCAFMNREENAWELYAAVGFYSEEICELTGVCNLDDENSSRKSEIFDLISLTLIIFWFVLQ >CRE24738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:94414:105050:1 gene:WBGene00070243 transcript:CRE24738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-607 description:CRE-LET-607 protein [Source:UniProtKB/TrEMBL;Acc:E3N949] MDLDFDGLDGQMSVQSTLNTLLLNNQPLSDLWDNDVMLEGIDDAAFLENNFSSTDPEEFTDNLFNELKTMEDANFDLMDDNLSSDDHHHHCYSLSPADSGSLPISPASTSPSSYHSSGGEDNMDMYQSILQQAENEIFRPKDEDYDICRSGPLVAYTNTNTSSHSTASNNIQHHQQQKRLNQAGFPHQNSNGIVRFKAGQQRVLNPASISLNASSSSTSSSSSSFQPSTTTTTSGNTGGFVKSSTGRKYPQLTLTEEEKRLCKKEGICLPDFYPLTKAEERDLKRIRRKIRNKRSAQTSRKRKQDYIEQLEDRVSESTKENQALKQQIERLTSENQSVLSQLKKLQAQLYQSAKRSTQAGTCLAVIMLSACLLVAPQLNPLAHQDAQNALECIDEACQPTVGTSPNSPNSAQQVVAVPVAANVPASVVVNQNASNRMRNPAMQHNHTNQKFQGTLTHHHIALDNSNHPPPTLQPHYPQQQAHPQMYRRQQHDDTLAMAMAKIGGGRKPSSTSSSSASSVSSSTSSSSATSPMYRTSRTLGAFEDQCDANDDTKCVNMPPLVPMKMSGQAVKRKIVTVNGGGPRVTYKAVPASTVTNGQAQFFKMTQQQQQNQNQKMQYMAMNERPIKYEVFQLSDYIKVEDETTLRLPNSWTTSAPRLQPQVNASAPPRSIRPLTVATPIFAPNPAKKVKTQLF >CRE24737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:70666:80475:1 gene:WBGene00070244 transcript:CRE24737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24737 MAMANNGKVANKVCLIVIDGWGISDDPYGNAILNAQTPVMDKLCSGNWAQIEAHGLHVGLPEGLMGNSEVGHLNIGAGRVIYQDIVRINLAVKNNQLVNNENLVDACNRAKNGNGRLHLAGLVSDGGVHSHIDHMFALIKAIKELGVPELYLHFYGDGRDTSPNSGVGFLEQTLEYLEKTVGYGKLATVVGRYYAMDRDKRWERINVAYEAMIGGVGETSDETGVVEVVRKRYAADETDEFLKPIILQGEKGRVQNDDTLVFFDYRADRMREISEVMGMERYKDCNSKLAHPSNVQVYGMTQYKAEFPFKSLFPPASNKNVLAEWLAEQKVSQFHCAETEKYAHVTFFFNGGLEKQFEGEERSLVPSPKVATYDLQPEMSAAGVADKMLEQIEAGTHPFIMCNFAPPDMVGHTGVYEAAVKACEATDVAIGRIYEATQKHGYSLMVTADHGNAEKMKAPDGGKHTAHTCYRVPLTLSHPGFKFVDPADRHPALCDVAPTVLAIMGLPQPAEMTGASIVQKI >CRE24749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:55255:57441:-1 gene:WBGene00070245 transcript:CRE24749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24749 MKWIVVIVHLIALFESKKLTIEENEQRLKECGLTTTNKIFRGAKTTVDQAPWALFVGSSVSSCSGTLISPRHVLSATHCIANHSDTEWTKSRINFEFDRELCTEDENYIVTEVKASKVYVMNRNYTEIGRAKFIFLLKFCRRITDKEAFQIQYPDDFMIIELAEDVEYTTNVQPACIADDIEDNPPETIARFFGYGDDPPPGVVKTPENSKKGTDRPLLTQEIRILPVNVEGTLNRMDPRLFKARSQSMKSVACPGDSGGGAIRVINGRNTVIGVTSQSTCVSLNREENGHEIYGAVGFYSEEICELTGVCNLDSDENSSHSRFEIFDLITLTLITFWFVLQ >CRE24735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:50842:51907:1 gene:WBGene00070246 transcript:CRE24735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24735 MTTTATSTVSGISVSLSNQEQWEKFYPHTEMVVTRKSGRLLFPHLKYILKGLDEDTEYSVFLHFERIDNWKYKFLSRGWDKWTEGDKKLPIDMKQHKDEWKCGRDWMKDPVSFDYIKITNNTEAKKENEILLQSMHRYLPVISIQKKGGMEKEEFRLGITEFMAVTTYQNNSIASLKVELNQHASGFRQTGGHNNKKRGIKRPAEAPPLSSPPLAARPVTPLTVLSTVPRTVQLQYPSVRPVPQWIQPLPPIESIPIGRPENKENQMEQPVYPPVTSNMGSLNYPQFMDLDSINNVVSWEMNNGMEQWKNDVEYVMIPHYWFN >CRE24748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:45656:48238:-1 gene:WBGene00070247 transcript:CRE24748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24748 MTTTATSTVSGISVSLSNQEQWEKFYPHTEMVVTRKSGRLLFPHLKYILKGLDDEKEYSVFVHFERIDDWKYKFTFAGWDKWTEGDEKLPIDMKQHKEEWKCGRDWMKDPVSFDYIKITNNTEDKKENEILLQSMHRYLPVISIQKKGDTEKEEFRLGITEFVAVTVYQNKNMPSLKVELNPRASGFRQTGGHNKPKIGDKRLSDAPPLSPPPPTKPVTPSTVPPTVPLTVPPTVQLIQPANPLPPIPVTPSLPDSEENQMEQPLKIDIPPNMVAFQYLANLQYQQMMNSMLINNMVTCWLNNKQIPKREEIDKCDEKTP >CRE24747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:33363:34427:-1 gene:WBGene00070248 transcript:CRE24747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24747 MTTTATSTVSGISVSLSNQEQWEKFYPHTEMVVTRKSGRLLFPHLKYILKGLDEETEYSVFLHFERIDNWKYKFLSRGWDKWTEGNEKLPIDMKQHKDEWKCGRDWMKDPVSFDYIKITNNPEAKKENSVLLQSMHRYLPVISIQKKGDTEKGEFRLGITEFMAVTTYQNNAIASLKVELNQHASGFRQTGGHNNKKRGIKRPAEAPPLSSPPLAARPVTLLRVPPTVPRTVQLQYPSVRPVPQWIQPLPPIESIPIGRPENKENQMEQPVYPLVTSNMVSLNYPQFMDLDSINNEVSWEMSNGMEQWKNEVENVMIPHYWFN >CRE24734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:27938:33115:1 gene:WBGene00070249 transcript:CRE24734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24734 MNSSLSDHLLNDTKMLTTTEMSMADMREWIKSQMGGAKKPVIGAPLEEDMEWATVGGRFGMAYIAFGILAILVNIVIFVCIIVRRRTTSSHVFYIIILNFTIIDTIKGVCSVLFALKLLTFNMATDGAMWTVRVDQYSGVLLRFTNLTTIMNVLLITMNEFIFICHPLRYSSIVTRCRVLWAIVLSWLLAVLLTVLNMLTSTRQRSVMIDTDCENESLINASFCIKHQETSLFHYFVFHMILIAFCLICLAITASCYFILFRIITKLVRADVKYQAETDLLKEDHSHGKSIARRKKYVLMIGSVILVYSVYLTTYAVIQGMHLVNITSRSKGTPVHARSGYIYTKYTCYLFISFHSLLQPLCFMRMREFRNILKRTLFPCLSKTDPILTTDVFKRHSSQPTDI >CRE22725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig551:1053:12825:1 gene:WBGene00070250 transcript:CRE22725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22725 MLNILMSMTKEGASDGPQFVAPAKTSRDTLITTAYRLHRTRWRILEPYRRLKNALKKLQEDYLKSKEANALMRYVKLGQSVREVAMLEKQYWKMLNIPAQEGNEDANCYVVKIIELLEETPTQLPPTRGIGALLQSTIGKPAESNVDTALYDSLKARKSEDLVKECEALYAQLYRLTKKYLGLRRLIKELHDKYDASRMFPIVPRYAMLKKMIKATLRAPEFADICHEQTE >CRE22726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig551:13032:13938:-1 gene:WBGene00070251 transcript:CRE22726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22726 MYNTDDSIRDFAHASFKYALQRKFPLYLSTKNTILKKYDGRFKDIFAEIYVEYEAEFKAAGIWYEHRLIDDMVAQAMKSDGGFVWACKNYDGDVQSDSVAQGYGSLGLMTSVLVCPDGKTVEAEAAHGTVTRHYRMHQKGQETSTNPIASIFAWSRGLAHRATLDNNAALEKFAQNLEAVCIETMEAGFLTKDLAICVKGGNASAVVRSDYLNTFEFLDKLAENLAKKQAH >CRE01356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:4958:5538:-1 gene:WBGene00070252 transcript:CRE01356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-12.3 description:CRE-HSP-12.3 protein [Source:UniProtKB/TrEMBL;Acc:E3ND64] MSATVPVVHDEGTKWDWPFQKGDGVVKVLEYEDHFEVGLEAFNFEPKEIDVKNIGDFLEIHMAHTTKDDKFGSITRSITRCYRLPNGTDPSTIKSKLDGSGILHITGNKKK >CRE01357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:6933:7670:-1 gene:WBGene00070253 transcript:CRE01357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-12.6 description:CRE-HSP-12.6 protein [Source:UniProtKB/TrEMBL;Acc:E3ND65] MMSVPVQSDQGTKWDWPLQKGDGVVNVLDDDDHFEVGLEAHHFLPKEIEVKNIGDLLEIHMEHMVKTDNFGDVSRNITRCYKLPKNVDSKTIKSNLDSNGILHIHGRKMH >CRE01336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:12564:14449:1 gene:WBGene00070254 transcript:CRE01336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpin-1 description:CRE-CPIN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ND67] MNIRGKTLHVKYAWIDGKIEENVSISVDESGKIVGINKKVFDENVHLSNHLLVPGFVNTHSHAFHRHLRGKSEIGKSAADTFWKWRDNMYGLVAEVTKEKIYQYCLSTFKEMINAGITSVGEFHYVHHSEQKFDLDQSVIQAAIDAGIRIVLLQTLYERAGFDSPAVHPVQERFIASYEDFLENLEKLRKEQSHPRVQIGVAAHSARAVPFDNIKRLFEYASEERIPFHIHLEEQPKEILDCQKWIGQKQGPSDILLSEMHLNEYFTAVHATFTPAANMVHFSKLGANVSICPCTEGYLGDGIPRINENLRISFGTDCNNRICFLEEMRWACFSQQMLNNSRSVCGLSPEKLLQCATIDGARALSLSSTAGSLEIGKYFDAVSFSLDSPLFANSLADTLIDSLVLSAGNREISHVFVSGVDRKT >CRE01359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:14730:19403:-1 gene:WBGene00070255 transcript:CRE01359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01359 MLVITLLGFLVPILQASSRCSAPNATAYLRSEGTRLMEFSTKVMKDVTLNECATACSNSLATEECLSFEYDASLLQCSHHSDDGQPFGASVLTKASQTISFFQQICLLDEAVCNAPYSFERYPQSVLIGHAMKVLTVDGLSDCLSKCALSQKSYNFLCKSVIYYYETGECIMNRDSKFIYPKLFKTDILDTLVDYFENNCADVSCRAEETLHWVRTEEYLIDESKDVIVESSDAQECNQLCQNNKIGEERFPCKAFAYSNSKQECHLTAESSYVGHKGDKRFNLAPLNSGEYFEKYCLPTNLQCIEASFELVANRMMTSAYKTIPALSQHECLSQCMKDGARCSSATYFYMDDECQLSDISQFSRPNEFVVANFTDYFDKICDPTDPKVMVTTPETPPELIQNSVDEETTSERSVAQGAANFEVATTRNSVEFEDDNLLKDNQAVEAIHGVTTSKNMGERRETKVEVEGTVIDDADEFSKEVDDSREESPLTSSEESEGRVKARLSTECRMSGISVSIKFAAATSGTIYIKDHFSSCRQPFSNTTFAELHIPFPTEDDSKCGGIESEPHKWDYNVVVERNDMKTPSLVTTKDKTFQVTCDFSKIADKNQLAALKPKVEGDLKSEKILMEIVRNGQAVTTVPLGAEVSLRWTVIDHSENLGFFINECIAERVGGQPPHPEPLKIIYQG >CRE01337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:20712:25190:1 gene:WBGene00070256 transcript:CRE01337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01337 MKFLVHCTIFFKYLLLQNKMPLRLDVKRKLLARSDRVKCVDLHPVDMWLLAALYNGNVHIWNYETQTLVKSFEVCDVPVRAAKFVPRKSWVVTGSDDMHIRIFNYNTLERVHQFEAHSDYLRSLVVHPTLPYVISSSDDMLVKMWDWDNKWAMKQSFEGHTHYVMQIAINPKDNNTFATASLDKTVKVWQFGSNVPNFTLEGHEKGVNCVDYYHGGDKPYIISGADDHLVKIWDYQNKTCVQTLDGHAQNVSSVCFHPELPLIITGSEDSTVKLWHANTYRLETTLNYGLERVWCIQAQKGANTVAIGYDEGSVTLKLGREEPAVSMDSSGKILWAKHSEVQQANLKTISAEESEAIQDGERLPLSVKDLGSSEIYPQTLAHSSNGRFVVACGDGEYIVYTAMALRNKDFGQGLEFVWAVDPNMFAVRESATNVKIKKNFKDHKSIRSDMVLEGISGGPLLALRSTNSLCFFDWETAVLVRRIEITSKNIYWSDNGEMVAICGDESFYVLKYSAEAVSNASEMTEDGIEDAFEVVGEQTEVVKTGFWIGDCFIFTTALNRINYYVGGEIVTIAHVDRPLYLLGYMAKESRVYAVDKDLNVISYKLLLSVLEYQTAVMRRDFDTADKVLTTIPKEQRTRVAHFLEKQGFKKQALAVSQDPDHRFDLAIALGDLKTAYELALTMDSEEKWKSLSNAATLKSELLLAGECLGRARDFGGLMLLASCAGSAPLIEKSSRKIGESLADPIKYENLFPGFAESLKRESFVREISKIPVPANVRAPSVASRNIEQELEEAVASGAVSFTDEGQAVLKNAPRSSEQQLKAPVSPAVARQPSPVRQPSPVREPSPIREPSPAPAQESEEEEEEFEDNQQEVHVPHNDEEDAFGTSKTPDVVLETSRPDIVPARGSAAPDLVSAPTTTQDFGDDTQWSDEDFGDAENGDLNMDDLNLDEED >CRE01360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:26355:30899:-1 gene:WBGene00070257 transcript:CRE01360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01360 MGCHPSKADFHQDAHSELFRPPAPIPVDIGSAIRNQLQKNTNSIVFIFGGPASQKGSIIEELTSSFNFTSISVEDIVFQYLPSRLSGTGTQIKDIQEALRNDEGMLSIDWVLEMISSRIKVAMNQRFVVDIVPAVSSILKAEEYRARSHDRQLNQFEMKHPIAFAIDVNVKDEQNLTRLNGEAANGKDEDSKRINPELNQMMRGADDIDRGKLEKRIAEYHTCAEPFLQYFRRSNRVISMSLTAEAVPNLVNTTRETLLKLGFTMARKDDHIICFTTETTHEDIDLTYYKLKIVNAGELSRASDNLNAQISAVYRYIASHNRHDDNFLVVVPSFKVQDAGKFKRINFMEKKKEVYLDEFIKNKQHDKPPKIRTRIAVNCISSSRQLFLFFEPFPTSFAQSISLLYLKLIAAGGTRQVPVQAHIHIPSSRCVH >CRE01361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:37608:39747:-1 gene:WBGene00070258 transcript:CRE01361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01361 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3ND71] MSREQVSVAFTPSRVNVDKYLERLMNIGKLGTGFTSTIEESEISDLVAECLQSFQRQPMMIECSPPITIVGDIHGQFGDVMRIFNNVGFPPDVNYLFLGDYIDRGQFSIETILLLMCIKMKYAENFILLRGNHETRLINRIYGFYDDLNKRFGTPRLYEDFTKMFEMMPLTGLVGKRILCMHGGLSADLVNAPKLDILNTFARPLHDPPNPSLAIDILWADPDINTKGFKANIRGCSCTFGPDVVAQTCDKFGLDLIVRAHQVVQDGYEFFANRRLVTLFSAPHYCGQFDNAAAVMQVNPNMVCSFKILRPEFPGRAAPSHQKSATTILLPPTIT >CRE01363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:42196:46078:-1 gene:WBGene00070259 transcript:CRE01363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cng-3 description:CRE-CNG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3ND73] MSEQTGQKPSNLYERKRRMSKKLDDADLIPQHFEYENQYEKWRAANPRIEFDFSVDQSGYIYWVWTFIVVCGCLYNIIVLSVLAFENIRTAYIEKFLPINIAFDVIFFLDIIIRSMLCKLGSYCDQTENRLSSAFYDDGVLVTEFAETRRNYLHRFFLLRNRSPSHLPIRLPANPENFCRILQDKPISKNLPNREFHCTILWKIDSGFDCVEVRFIVCIQVTISLSKIITACFLLFHVNACVFYIISVNSDTSSWDGVNATFDDDEFLPWPYTPEKITDAYFVGCDGRSDCYNPHFYYDEAREDHLVELYHFWRMNNRTQIFNFSTFTKEYTLSMYWSAMTMTTLGEQPAPNTSLQNAFEIVNTLAGLLLFAVIMGSVGDLVANANAVKTYWQTLMDGLKQYMTYRNLNESLQTKVLKYCEYEMGEETIMKEHEVRDELPTKLYGHVTTSIIGSSLVKSPLFRLSERSFLNDISELLEPHYFCPGDVVIEKGQLCSSMFIIVCGQMVEITDDDEIDHFEGEILGDVNLIWFNNHLNHNRHQNNFISSAFSQIHMLSRDDFFKVLGSYDLKLKRRLCDVAFYLQRQRGELDDKKRSLVENEDMESNLKRLAIDTLDLHDKMTVVEEEFWVSMDTEKEKSAHSCMPSSNRSGDARMAHVVTAGECKHASLVRTLRLAVDD >CRE01338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:49251:50741:1 gene:WBGene00070260 transcript:CRE01338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01338 MSDSFIPPGTVHFNQSYMNYQYEFNGFPTILAIIPWFYMLPTLIVILKISSVYLKTDWDTLEAGKNQYVFLVISLSLIFSYIFFVFDYIEVRLPATGYFTSYCAGIAPNHWLKMVIFLGFYSNYCAMAFPFLMPVDRLMILMYPKDHNKVCFSTYNVVIMIVGVPLILIYPIACTFFLLPAIGTCKQLEYPYPFGSIWVYYYGAAFGMRNSTFYLTNSIIWLIFAIIANIALFCKLRKAKERLITVQTSGISHRALVSVTKTTVVMITFYVTNGIFILSYYLFYGTNSLLSYSIVLRPFGNELQIVMVAWIFYCTHPVFKKAVVNTEMSFERREHTK >CRE01339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:51891:53310:1 gene:WBGene00070261 transcript:CRE01339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-1 description:CRE-SRU-1 protein [Source:UniProtKB/TrEMBL;Acc:E3ND75] MSIPPDTIHFNQSYLNYHYEWNGFPTILAFVPWIYMLPTLVVTFKITAIYFSTDWDTVEPGKNQHVFLVISLVQISCFLFFLFNYLLVRLPATGLFTSYCAGISPNSWLLVISFLASYTNYLAMVYPFFMPVVRLMILMHPKNHNRINSIMMMITVPFGLIYPICFTFFLFPAIGTCKQLEYPYPFGSIWIYYSGPAFGLRNTPFFLANLSFWLGCSIIANILLFIKIAHAREQLFTQQTSGISYKAQVSITYTTIAMIVFYVTNGLTLLSYYLFYGSHSIMAYTMLARPFGNDAQACLVSWIFYRTHPVFKKNTDTGLMFERRVATA >CRE01364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:53482:57974:-1 gene:WBGene00070262 transcript:CRE01364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-him-6 description:CRE-HIM-6 protein [Source:UniProtKB/TrEMBL;Acc:E3ND76] MLAADLYFNFLSFSFDFSRFFYFFQEFLLYFMKFQYKMSKSRGTELVAPRKTIQFGGYTFVEPDLNFKAPIFSCCGSIQDASCEKEFEESDEEMEEVPPQQQSYQSAPSSSYNKPGPSSSNSYQIEPMNNSWNRQQNYQTNLNSYHHEDDDDIFIDEQPAYRQVGKPAARSYDVDDDPMDDSFENFHATSSEAQGVPDEPDADNDSFDDFESIPAATTKSLATLQKSNSESTLNQRHDMHGRFRGFLQDDSEEFNNELELLGADMNEELYSTLKSKFGFNQFRHRQKQCILSTLMGNDTFVLMPTGAGKSLCYQLPAVILPGVTVVVSPLRSLIEDQKMKMKELGIGCEALTADLSAGAQEDIYSDLTSENPTIKLLYVTPEKISASGRLISVFYTLHRRGLLARFVIDEAHCVSQWGHDFRPDYTKLSTLREKFHNPPVPIIALTATATPKIVTDARDNLKMQNSKLFISSFVRDNLKYDLIPKAAKSLINVVEKMKQLYPGKSGIVYCLSRYKILQKKRQKIYLTLAFFRKECETVQMMLTKAGLSAEVYHAGLNDGLRVSVQKGWLANKFDVICATIAFGMGIDKPDVRFVIHYSLPKSIEGYYQETGRAGRDGMPSYCLMLYSYHDSIRLRRMIEEGNTTTGVRSMHLNNVLQVVAYCENVSVCRRKMLVEHFGEVYDEQSCRNSKTPCDVCERQRKNPEAIRLFDVSNDALSIMQCLPRMQKATLKYISELYRGNLIKKTSEQAVRMGHTKLPFYSKGAGMTEQDALRFVRKLVIEGLVHERLYSIPNQTAAVLAYAELTEAGKEIASGKKQAKVYLHIVTCEKKRKNAGLIELSNMNTVSEAQALKERHMVKHGDVFTKCLQELTQLITAVAESSGLSGPYSIVSREGIEQIAALLPRTNSDLLRIDSMTQIKITKYGRLIMELLATYWKQVDEREETEMRNQLDKLKNGEIVMGGFATLSSDSNFPPAPYMKPLGGGRGRKRTTPGFSSGRAVKKPRAVPPSGRGKTRGRGTTKATSGSTGMRKNMFPSTFF >CRE01340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:58712:60447:1 gene:WBGene00070263 transcript:CRE01340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01340 MSNNSSLYPNTIHFNQSYIDYQFDWINFSVPLAVVPWIYIIPSFIIICKIFRIYLKSSETKLETDVNRHVFLAISLSQFACFASFFFDYFMTRLPATGVFTSYCASIHPNHWLKVILFLALYTNYLSLAFPILLPLIRLVIVMYPKSHRKINSNLIRVIVPLLAAYPICFTFYLIPALGVCKSYEYPYPFGAVWIYYTNSWFGLRNSYFNLYSIFFWLVISVIINLVLLFKVNKAKSQIVQTAGGSYKAEFSITVTTLAIILFYLLNGVFVLFYIFAYGANSFTSYTVILRPFGNDMQTCVISWVFFLTHPVFKRKTIYPSSTIEIFHSHSH >CRE01341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:61226:62980:1 gene:WBGene00070264 transcript:CRE01341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-3 description:CRE-SRU-3 protein [Source:UniProtKB/TrEMBL;Acc:E3ND78] MSSNITWPGIHFNQTYIDFKYDWNHFSTYIAVVPWFYIIPSFFIMCKIFKTFFKFSKKGSSHKIDRHVLLIISLSQLTCFALFVFDYFMTRFPSSGIITAWCATITPNHWLKMIVFLTLYFTYLAMAIPFLMPVVRLFIVLFPSNHNEINAKLVNIGVPLFLVYPICFTFYFIPALGICKQASFPYPFGSVTIYYTQSAFGLRNGYFHLYNIVFWMSASVAANVILFCKVVKAKSKLVNKSKSSYKAELSMTITTLSMIASYAINGIFLILYISFAGTHDYVSYAEIVRPFGNDLQTCVTTWLFYLTHPVFKKPSTDMEAMFSTSSQKRTTKRTTV >CRE01342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:64212:65525:1 gene:WBGene00070266 transcript:CRE01342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-4 description:CRE-SRU-4 protein [Source:UniProtKB/TrEMBL;Acc:E3ND80] MSVSFNLSSLNGTVHFDESYMNYEFNWKQFPTAFATLPWIYMIPSFLVICEIFNVYINSNWKKAEPGKNQHVFLIISLSQFTCFALFFSDFWMTRLPSTGIFTSYCATIPPNHWLKCILFAALYFNYLAMSFPFLLPVIRLIIVTFPKRHVIINTILIRYGVPLILLFPICFTFYLIPALGVCKQRASPYPFGAIWIYYINSAFGLRNSFFHLYNLIFWMTLSIIANFLLFYQVGQARSRLIRAQTSGTSKRAHTSITITTLAMITFYVTNGSFLLMYIFYYGTNSYFSYAEIIRPFGNDLQTCVVTWVFYLTHPAFQKKKSMDSDLIFSTSSFRRRVDIII >CRE01366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:65979:67119:-1 gene:WBGene00070267 transcript:CRE01366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01366 MYVIPATQSFPILKLPFIPLHNVIQSFSPLEALDFSLTSRKCRNIVKSTNLFKYDIGLSLLPEQTLFRLYRNLDREHLVCRFSVNELRNKNRHMEVKGILKPYLNERKRISLHFAKVWLSYLCDVLQMKLKFLQMNPSSSIEQMFAVAEWMNSMQPEIWLCEFHDGIVKSESITRFFEIANFPIRFLSFEVIQRSDTGPINCGALNVEDISVATRTNADPVNWFNIEQIKTWNCVRLMLMACKFEERDLNQLVKGWLNGCNSRLEFFSAILDPLDFNIILEDIEFEERDETLTRLFHTSLTTLPLSRTFIGGYDITRSDGTVATLQQINRFPGPRPMWEFAMAVWP >CRE01343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:68715:72521:1 gene:WBGene00070268 transcript:CRE01343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-21 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3ND82] MKFLSLLVFLVSATSFVNSYKILMYSNLFGHSHVKMLAAASDVLTDAGHNVTVLMPIFDPLLRNKTSLKSTKNTIFVDPAPNVEMLMEDMREFLTNLWTADNANPLVMLAKAGDMARVFSEQCKRVMSEPGLIEKLKAENYDLAITEPFDTCAYALFEAINIRAHVAILSASRFDHVTEVIGQPIAASYVPGTQSTMGDRMSMGERLGNYIQYFFGSYFFTNLGDADYEEAKKIVPINRSWREVLPEASFILTNQIPLLDFPSPTFDKIVPIGGLSVKTEKKHLKLDEKWSKILGIRKNNVFISFGSNAKSMDMPDEFKKSLLEVFKSMPETTFIWKYENEKDTIVDHLDNVYLGEWLPQNELLADPRLSVFITHGGLGSVTELAMMGKPAVMIPLFADQGRNGHMLKRHGGATVLNKNDLADSKLVKETLEEVINNPKYRQSAERLAEMLTNQPTNPKETLVKYVEFAARFGKLPSLDNYGRHQSYIEYFFLDIIAIASVISLISLYISYRIFRIVLRKIFCSKCSTEKSKKE >CRE01367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:72585:73852:-1 gene:WBGene00070269 transcript:CRE01367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01367 MIVVYGKPVNTLNATSLKNTDWNSCLSLCYYSTPCLVAWQHGATCFNFNYTATGPVTKLENGSVVAFKVDNPGDQCPSGTNPPTFNNNNATGSLYVTDLTAANKATWVYYTIYLAGITWNFTYTYNTSCPIEFVSVIHADGSIVCFKQWTSNDPTGFSYNRSVELCTGINATLAGISYPVELNYIKNTLQSIRNQVKNNNTYVRIDGKRTGGCQSDPTRAACKTVDGFKFSDPTVKSLAQYEWITDSSAQEKANDNCIVLVVKGSKPIQADVRSCDIDSPLQPLIVICKKPAWIG >CRE01368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:75097:75813:-1 gene:WBGene00070270 transcript:CRE01368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-9 description:CRE-TTR-9 protein [Source:UniProtKB/TrEMBL;Acc:E3ND84] MHKPTLIISLLFFIFPSVSIAGNAAVHVRGRLVCNGKPFKNEKVELYDKNKVKRDTRILTTKTDELGNFVIQASINEWTFFTPNPYIYFPNYCVLTTKIGSFECANGIKIFVPEAFVHEGHLPKSTFDIGEVELSGVKTEQQGLERLVYSIFDQQECRDV >CRE01370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:82399:84640:-1 gene:WBGene00070271 transcript:CRE01370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01370 MRVPPFKSPIFRQLLEFKSNTYTYILACHKTGEAAIIDPVVDTVSRDVQICRDLNLKLLYGINTHVHADHVTGTHKLKSAFPSMQSVLCSKSGGEADKYVSEGDVIKVGGLKLEVRETPGHINGSRYGSFAIWKPSTLYDSIHNKILLTSQTIIDYVGHNYDGIMQTTVWEEKTLNPRLTKSKEEFVLFMKDMKLQYPKQIDVAVPANMKDGKGHE >CRE01371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:86970:87244:-1 gene:WBGene00070272 transcript:CRE01371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01371 MSDSEEKVPDVEVDYTKYDEDSVPIPEKEIEETHPGRPDLDYDETPVGPAPTECTEEKNDD >CRE01372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:87867:90207:-1 gene:WBGene00070273 transcript:CRE01372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01372 MFVIIPILLRAIIHMNESNLSCRQVLRIQSATPRCFAKILCDFLLPLFHHFNLLSRPPSFPLLFFLSADNSNKMIAFRRTHILLLLELAIMWNMVQTDHDDIEKAEAMNSMAGLKYTIDHTWDGLPLAHEAIKIDLKWHFERLVGRPHKRVVKISFDAPFFDDPEPMDSPGITPGLWDFEVLEFFFANDRGQYLEVEIGPHGHWLCLLFDGVRHPINNGEELELEVRNKWVGNRWVGEVEIPLAYFPAKVSKFNAYHIHGNDTERVYAALSPVTDGTYSEPDFHRLEFFQKINMRRVIPDGYGDRPFADFKYGDLWAGHY >CRE01344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:95068:96092:1 gene:WBGene00070274 transcript:CRE01344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01344 MHRNIVVVIVFQLLILFTIDIECRPNNLLEAYFKHRAAHNSINNNDHLLKTSGRNLIDPDYDIVDAREPGSFVKLRDSKAWSGLSQVVSPHRDEIRASSMIQHLHGYSKRLAGHIARAAV >CRE01373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:96499:97023:-1 gene:WBGene00070275 transcript:CRE01373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-35 description:CRE-NLP-35 protein [Source:UniProtKB/TrEMBL;Acc:E3ND90] MPRVSSLLVFLTFMVALLAVSNAAVVAGYDNIYQVLAPRFRRARLMSFDGEAPQYLQHLLQNLKPRFRRSI >CRE01374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:101772:102473:-1 gene:WBGene00070276 transcript:CRE01374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01374 MSSRLLRSAVVRATQQRTMYENPYINRFKARSKVSEDFHKKTTGITGLFVNEHPHRALTVVYGRILRAIEQMPRDSAYRKYTEAVVKQRLALVQAENDIKKLEEKIGMGQIEEVIEQAEYELETTRAILDSKAWEPLVESAPKGQWSWPV >CRE01345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:104228:105573:1 gene:WBGene00070277 transcript:CRE01345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elo-1 description:Elongation of very long chain fatty acids protein [Source:UniProtKB/TrEMBL;Acc:E3ND92] MAQHPLVQRLLDVKFDTKRFVALATHGGKNFPDAEGRKFFADHFDVTIQASILYMVVVFGTKWFMRNRQPFQLTVPLNIWNFLLAAFSIAGAIKMTPEFFGTITNKGFVASYCKVFDFTKGENGYWVWLFMASKLFELADTVFLVLRKRPLMFLHWYHHILTMIYAWFSHPLTPGFNRYGIYLNFVVHAFMYSYYFLRSMKIRVPGAIAQAITSLQILQFIISCAVLAHLGYLMHFTNYIYVLLFQANCDFDPSVFKFAVFMDTTYLALFINFFLQSYVFRGGKDKYKAAPQKKKKDQ >CRE01346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:106395:108068:1 gene:WBGene00070278 transcript:CRE01346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elo-7 description:Elongation of very long chain fatty acids protein [Source:UniProtKB/TrEMBL;Acc:E3ND93] MYLNYFATEIFHRSAVCESEACRSSKTMIADVFKWKFDANELWSLLTNRDETFPHIRGRKFIDEHFGLFAQMTIAYVVVIFSIKRFMRDREPFQLTNALRLWNFFLSVFSIYGSWAMFPFMIGQIRRFGLYGCGCEALSTLPSQAEYWLFLTVLSKALEFVDTIFLVLRKKPLIFLHWYHHVATFLFFCVTYPTPSSQIRVGAIVNLFVHAFMYPYYFIRSMNIKVPSKISMAITVLQLTQFMCFIYGCTLMYYSLATNQVGGSYCTIKIGQMLKNQNLNYPKGIVRVKDPNPENKIDRILITLQILLYKKSYFDLKNDSNKSASHQKTIPRNRSHVLSETVFNVLPFFQYTCDTPMFALNTTFGLSLSYFLLFANFFNKSYLQRGGKERREKKIE >CRE01375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:108291:109073:-1 gene:WBGene00070279 transcript:CRE01375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01375 MSADNVMNYAPIIWLVGVMIFGAIINFVNYYKSKKRQKELDRMTLESLLVNMSLPESFPCLLPGPHDECCGGRGIPQRPLPTYDEVLVLDETQQKQSSTSPDNVSLTAPPDYSTALSMLHKTPPASRANE >CRE01347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:110154:111295:1 gene:WBGene00070280 transcript:CRE01347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01347 MTFDVGHVIFLLLEKFKTTIPTDPNISRQESKVAIHFASVLEQAIVGDLDVEEQDELTVEDDGDWDVDDFQVTISCLIKKHSSIQAFDDVLPSHMDVRIGDKFVTREELQEALDYYRQPEKGTRTLSVMSSRFRWIVTEAHLKKLRQFEKQKIDFKESRTIVLKFLGSRMYEVVKEKLENGVTLHDKDLMMIARDINQRETKVENFRASQSWISRWKRSHRIVSRRITKFITRKCFLNMAAIEKSAEDFVEVSRREMSLFHPSQIFNADQTGIVKELHGARSLSFLGDKDVERIVQAKSSLTHSFTLLPMLFQDGTLGEKAYMVMAEPSGEFPASRPIPDCPNLVVRAGKIISNSLLMVVSMQF >CRE01349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:124395:127703:1 gene:WBGene00070281 transcript:CRE01349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01349 MYNPSIPMSSTEDCVNTIGAYICQRRITRLVPHRHRANRVGNAPRRMRDDPYSRAGEYREASQANTEFGCPMGWLYQHGHCVVFIQSFVSLSLQNAPSTTPAFTLTNTVSLPLTTIVEMEHASVIEDTIGVILIHNIDECATLMDDCLESQRCLNTPGSFKCIRTLSCGTGYAMDSSTEQCRDVDECNLGSHDCGALYQCRNTQGSYRCDPKKCGDGELQNPMTGECTSITCPNGYYPKNGMCNDIDECVTGHNCGAGEECVNTPGSFRCQQKGNLCAHGYEVNDANGFCEDVNECQQGVCGSMECINLPGTYKCKCGSGYEFNDAKKRCEDIDECIKFAGHVCDLSAECINTIGSFECKCKPGFQLAADGRRCEDVNECTTGIATCEQKCVNIPGSYQCICDRGFALGPDGTKCEDIDECSIWAGSGNDLCMGGCINTKGSYLCQCPPGYKIQPDGRTCVDVDECAMGECSGSDKVCVNTLGSFKCHSIDCPTNYIHDSLNKNRCNRVPSACGLPEECSKVPLFLTYQFISLARAVPISSHRPAITLFKVSAPNHPDTEVAFELQLKTTIVDAPGVLPAIRANFLLQKGEKRNSAVVTLRDSLDGPQTVKLQLLLRMSKKGKSFNTYAANLIVDVAAHKRHNTVHQPIKKIR >CRE01351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:129399:132216:1 gene:WBGene00070282 transcript:CRE01351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01351 MVSLSLPNSLVTDVLLEDEEHEDISIKKGSSGKLSDEELLARTIEKALKTKKLAQSSNMTSSVKVALTAEDLLDQIGIWHPYPLFITFSMAFLWLLSVMPTMSPSYMAPSSPCTLDNCSFVTVQNEFNITKTLIDPGEMTSSVFFLGNGVLGQIYAVAADRIGRRPVLIASLFISGLSGIGAAYAPSFELMLLGRFFQGSCFTALTMINWVMCCESISFSGHGYASVLFGLCWVIGYCSVSPLAIYFSTWRYVQLATSIPCVLFGILMLFTLPESFSFLVAKRKRDDLVKWIEMAERVGHEEIDYDADQIVDMSSREDDNKSLLQTLKIVLQSKLMMTYTAVESFLWIIDLMIYSALSLSSTGVGSHNMHLSYIFSGLVEIPSYFLIPAAIDWLGRKPSVMVCHLILAFSLLSMYLFDHEADPEIFLIIWLIAKFAVASAFMLCFVYGAELFPTNCRSICLGTCATISNLGAVVAPHVPAMDIFFPGLHYLFYALCAFICTILTTILPETKENHSVPRSQQQSLA >CRE01378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:135904:138397:-1 gene:WBGene00070284 transcript:CRE01378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-14 MSFFPLLHLLLLHISLHPIDTSSPSLFPCPTRCQCYSDNEQNQQVHLICKWEQLNATTLQLARPDLVRTLTIKCPYHSPKISTPPHSLFQGFRNLDRLELDRCLIDVVPDGLFAGLGQLYSLILKNANITDFPREIFAHCPNLMTLDLSGNRLRIEPYSLRSLHNLIHLDLSDNDIGFLTNTLISLTKLKVITMNNNKITNIDFRRFPENLTDLSIRHNLVSTIHYVPASARNLKRLDLSGNRLEFVAGLSTGAVNVLPAELKHADLSNNRLNYLHEFAFEHLPNLILLDLKNNSLKEVKASSFRGSKYQMKLFLSANPLLCHCNHKWLMDAEAKNISIGDLQAIECSNILKPEKTMSLALAHSRNQLLCKYSNMCEADCECCQKKDCECRFECPPTCRCLRSADVSSVRRGKIHDHHKMCNSFINFCHLLLLYRYH >CRE01352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:134770:135805:1 gene:WBGene00070285 transcript:CRE01352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-122 description:CRE-COL-122 protein [Source:UniProtKB/TrEMBL;Acc:E3NDA2] MEDNKIKAYRLIGYAAVSLSAVGILSVCITLPLVYSYVSNLRSQMHSELRHCRDTVNTVYATAVAMPALPGRINRTARQAGYDVAVQDAPAQEAGSCDGCCLPGAAGPVGAAGRPGTPGRPGAPGAPGHPGKPPQSPCEPLTPPPCPACPPGPPGAPGAPGKPGAEGPPGAPGHDGPNGGPGQPGQPGPHGPNGHPGKPGPQGPQGPPGHSDEPKPGQPGQPGRAGPRGPRGVAGLKGKDGAPGAPGQPGPRGGAGEPGQDGAPGQPGVPGADGTPGEKGICPKYCAIDGGVFFEDGSRR >CRE01379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:141000:150487:-1 gene:WBGene00070286 transcript:CRE01379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-2 description:CRE-NPR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NDA4] MLRQSDSTRMLQEMRRKLMQLHTSQMNNETVCDRFIDKHPDMTNEPSVLVTFSLLYLHIFLLGILGNSAVLYLTMKHRQLQTVQNIFILNLCASNVLMCLTSLPITFITNVYKQWFFSSPVCKLIPLVQGASIFVSTFSLSAIALDRYNLVVRPHKQKLSSRSAMMIALLIWVISVVVCMPYGWYMDVERISGLCGEYCSEHWPLAEVRKGYTFLVLITQFLFPFATMAFCYYNIFSRLRQRVETKLKKLSERSQLLENSTTCGTTNHIVSINAEAVQNGLENKQRLAVLAQQRRTTTILSCMVLLFAFTWLPHNVVTLMIEYDAFFFHSDESETSTDNTYIVSMTAHLISMLTNVTNPFLYAWLNPVFKEMLIKTIRGGNKSPKPSDIKQAQTSFIRMPNSGAPSQSSYL >CRE14251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:43838:52308:1 gene:WBGene00070288 transcript:CRE14251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dcp-66 description:CRE-DCP-66 protein [Source:UniProtKB/TrEMBL;Acc:E3N7M5] MNSDDSLTHLQNGVALFHGTPTKEMNGGHHGQSTSLMETADDGLQLSPSDLRRRSTRASALKAQEKIKLKDDIVQGPQKRLNEENEETEGIDGGNLEQDPLKKVKKRRLEDGRDLDQTCFRFGLRANDDGEVYAMTDESENSSIHESEMEIVRYHYEKMKSRELDEEQLKERLKIRREAEQQLREEEAKLQVLKKMKDSQNRAINKLAAETKAADLADAAAAAAAAYKPVLAGGKLSANGKSQAAMTVNKTMAGLANLTVQQQLELLGKLASQSPAAKQAYALAKKNPAQTTQLFAQLIQLNTLQVQKQKDAAAAAAQEAAAAALSSSSAHTIQHVAPSIPQQPVLTQSKLLNQQTPAQRIQAARLAFRAQADKQLMTIPTQKSQPHDITFLPNPNASAFLALHGLDLTVQYVLKDKSVFEAYSDPSYECEECKTDHAHTWKAIGSTPDDLHLYCENCVRSAQKRKNRTDQTNLLKRAFQKISAQEKEFEKKIAEGQLEQFAEAKAAVATAAPVTQQIPTSSTATVSSTPLPPRLQQMPSSSGSSTPTQHKASVPSTPKSSNSASAKKAMSNQQAANQMMAQMMQNSMKNPQMMQQLMFQMATAAAAQQQLASGGRNASAAATNQMAMFMAQAQAMAQVQAAQQAAQQAAAQQAAQQAQQAHTNRARETAQQQQQQMLIALLANQSGMSAQALQQIRNLTPAQQKSLMESIRNQQQRR >CRE14268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:58813:64294:-1 gene:WBGene00070289 transcript:CRE14268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-36 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3N7M7] MRFCLLILFNSFISVSICTQNPAYLVANEFKEHFNVEEKQLETVEELLLKMKKLAHSRSFAGREFGHDAVEDSKKEVAISTQQGTIDKKVSPFLFEGDIFLSRRQAVDILKALSKDKTKRLRRSFVSDKTAMWRTLPIKYRFHESIDFYTISQIIAAIRFWEDSTCITFENVSDAPDGDYIEFFSGQGCYSMIGRNGGRQGISIGESCMGVIEHEIGHALGLWHEQSRPDALGYVTIERDFILPSYISDFLQRDDEIDTLGIPYDLGSVMHYGSTAFSVDQKSKTVVTRDSLFQQTIGQREKLSFYDVATINTAYCKDECKAEKTKCENGGYMRPSKCAECLCPDGLGGDKCEKNEDSRNAECGGILELSDDWKTIESPNYPDPGYEADQKCSWLIKAPKGKRVEIEFIEDFSFLCTSTCVDFVELKISDDLRNTGFRFCCYDKPEISFVSQIETAIVIFRSQLSTDIGFKIQVRATDSEPRTTIAPTIITTTMAPVTVDTPNVWADWGEWSMCSRTCGGCGIRSRVRSCRSKKCEGRRQEFGTCNLKACPVDKHCAKLLSNNRLCNGKVCTKNDIAISSCDAPQCCPPFVNVDGMCQSDQENQHDELWLSI >CRE14252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:65524:67362:1 gene:WBGene00070290 transcript:CRE14252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14252 MRPLFLILLFGTVGCSRISQDANEDLQKTCGLSPSDRQFPWAVLIHTKVHKIINGVKRSSTTVMPATIISPSHILTSNSIRFVNNSLSVYGFESMDTNGTCQGENLVIIEGDLHSRFQINFEYYKALKDGQLEDLVSRVIVPRGCNQLDSARVMILELKENITFTENVSAACLSNSVNHWLAAEQVPVYGINSSAVFENVQYSPVNCTTVSEPYMCARKIEETKHSCTGDYGGNAVSEVNGRHTILGIFVMANHNCHPGNPDYQFVDVSYYREAICETTGVCVAPPPPTTSGLTTSVPTEAPIDESTTVPSTTTGTSLAPSTTGYSTRAPTISTVAPTTSGYTSETIRAELFPPMKLNNSEDHHASRIEPQNLIDLNIHVYLE >CRE14269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:75374:77512:-1 gene:WBGene00070291 transcript:CRE14269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14269 MYNIRMETFIILILINSSLSLSFFFCIFFSSHYQSSRPPSSNMLRFLFFCLGVTNALTTKSSINDDSQIGRIMTFESESQLSCFYEPLEVGMILNIGMRPTFDTVYPMQFRVTSPSGDFSDWASGDGDAHMEHNTTENGAYEICVYTRRPMKINLYLQFYSPEKMERSLKSFFDHNQISKDIQNSLMASTHRIYKIYYHLKFYNQMVVRDEALQIHNSEFIQNYNIVFCVVAIIITISQVYYVRKLFRIDPKRIQF >CRE14253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:90367:96644:1 gene:WBGene00070292 transcript:CRE14253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-goa-1 description:CRE-GOA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N7N0] MGCTMSQEERAALERSRMIEKNLKEDGMQAAKDIKLLLLGAGESGKSTIVKQMKIIHESGFTAEDYKQYKPVVYSNTVQSLVAILRAMTNLGVSFGSPEREVDAKLVMDVVARMEDTEPFSEELLSSMKRLWSDAGVQDCFSRSNEYQLNDSAKYFLDDLERLGEASYQPTEQDILRTRVKTTGIVEVHFTFKNLNFKLFDVGGQRSERKKWIHCFEDVTAIIFCVAMSEYDQVLHEDETTNRMHESLKLFDSICNNKWFTDTSIILFLNKKDLFEEKIKKSPLTICFPEYSGRQDYHEASAYIQAQFEAKNKSANKEIYCHMTCATDTTNIQFVFDAVTDVIIANNLRGCGLY >CRE14271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:102837:108811:-1 gene:WBGene00070293 transcript:CRE14271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-8 description:CRE-GLB-8 protein [Source:UniProtKB/TrEMBL;Acc:E3N7N2] MQKIWEWIVDKKTNTIRKWRSSNIRRNNTEPDDLAAYRNGKRKSYSTGSITSSSNNSNKKNPDFENFFEEPQIKVLLDARRESFLRHSNSAEVFPVAPPDLEVVMERSTKTTPNPTPRTVRKQLRFDIPEVQISFETQEPRKVTFESGESSSTSNSIVPPPIIDWDTPPKMNTVPEIEPVLCFDDEVSVSTRRLSASEKARQNVIAQRRQSNVQFVQSMSGRTTTTTLIPLTCAQIHLVRALWRQVYTTKGPTVIGASIYHRLCFKNLMVKEQMKQVELPPKFQNRDNFIKAHCKAVAELIDQVVENLDHLDNVTNELMRIGRVHAKVLRGELTGKLWNTVAETIIDCTLEWGDRRCRSETVRKAWALIVAFVIEKIKAGHHEQRKLMLATRQSLPSIRMPSMERFS >CRE14272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:113069:114178:-1 gene:WBGene00070294 transcript:CRE14272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14272 MDRSIDRRLCGQCHQSIGSEALVAMNRLWHPDHFCCSSCKRPIKQTFQAADNHAYCVQCFAQKYNPKCSGCMETLVDTCLLALDRHWHPRCFTCNTCNRPLPNGEFYLVDDKPYDLDCHWAKRLEKREHIERGER >CRE14254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:117690:118820:1 gene:WBGene00070295 transcript:CRE14254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14254 MIFIDFKKAFDTIEPAALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLETAFSRMSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINANKTKVLRNKFASSHQINIRKNNTMTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKDLHREDIRKMSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPIRWADSLRKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE14255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:130141:131856:1 gene:WBGene00070296 transcript:CRE14255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14255 MNMTYKLNDLTEEIFGHLCNVALHKCSDKSEKKRAGCGGRAMRKRVLIKNFVSDLFKMPKKPATSREQDMASSDEEYDDYDMSEVSFYEEDVDEFRLEDLSQYEHHHHQMSHQNELWCHQPYTTTEIQGGFGTMTSLSPAPFHGYEMSSGSSSSSYSSSSYGSYEMYGSSMSESATEGDMPLASDPLGTTSYETYPVTSIHGPGSFFPGDEYFLAAARREVPVYTSSSSSSSSSTSPEPSREDTHELTDLDVATGESHANKKKRRSTELFNDDFNVAFQKRIKI >CRE14256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:134550:138394:1 gene:WBGene00070297 transcript:CRE14256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asic-2 description:CRE-ASIC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N7N6] MTKRHKVGNRNISQLLSLTMRGSGFVQIFKDFSNWSTVAVVPHVANANNKISRIFWIAIFVFVLGMFGYELYILIAKFFSYPATVNTEILFQKQIFPVVTVCNMNPYKYSVVKSNSAFQGVKSLMNAYSAAVDGTYTTDKWGLYEEKTEEYDLDARAADALVLEANLISETNKAPALYTYADLVQDCSFAGAPCAESDFKKFIDPVYGACYSFNEDASLNYSVSREGIQFGLKLMLTVTVRKSQTKTGGATDFLPTTRLAGARVGVNSRGNEPGLDSNGIDAGVGYESAVSVTLTQHVRAKRPYGKCLDREPEATDYYQVCLYFCLSALPYYIQDYTYTLETCFNGCKQRDTVAKCNCANPRMALGPSDTACQPVKADLDCLQTLKGNQTNSNPNIDLLVECSCSPPCDESTYTPTVSLAQFPSTSYYVATSSTAGVGSCSSSNSNFGSKSACQTWYNNNGMILQVFLETLSYELYTETAGYTVSNVINDLGGQAGLWLGLSVISVVEMTGLLLVMGAFCVSGGAIKIAPDDDEIENDHRIKDVEDVKKEIDHMDKRHGEMDSSDDEPEDKKEEEKKVQ >CRE14273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:138491:143689:-1 gene:WBGene00070298 transcript:CRE14273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14273 MLSNGGFYLGKLLAKVERREEVVKILKVLLKRHNNVLDRQLSRSECSEHVIEMFFERSNKNRQFVIDLFSNSICIREQMETEKFIEQLLACSLPEKHRMLAAFSQTAWGRAKLRTSGALDVVIDVFSSSEQIEEKVAAVSAFRHFVHDTAGMRHLSENSIFVNTVVRDVKKYVEENLAECEPAVDIDFVKRLTNAENGSGDGDNGATHQNIAESVDRLHKDFYSMWSYDTPQTSPRRAQSASMSPPYNAPSPSYSFPSSVSSSAASSPAPRRPSEGILDDLSDVESDNGEEKVEKEDAAEKKKRQEKSIIEGELWLLTWLAQDDHNLQFLIRPDVVDCVVSYLRSTSSPDFRTYRVLRRMATHRTHVYRLLDLEFHVRILTGLCASPCRMLKYAKPCKHCDKCSEHGREILREFASHVDNSYGDAHINSQFTKEDFVHRTKAAIAKIVLVKERIRLAKVPALTELFNALAHVMSSPDNFRELGKMTTYENGPSFASQIIGALSILISGQKIKELCENDMWYFPTEEGAGECAVENAPESEELLHFIDENDKEIVVAPMKKICENSHYFEGMYSSDFVEKTEGIRTFQVQSESCPPEDFRLFIHLLSTCTAACTVVSSAEQCATLLALSDQFLCPTISKQLCADDGPLRTYLNGHSLHTLLPVALATNAHPTLLDTVYLTLVRFSSSEDVTKALEAICENSTVVNTFVTSLRTFLTTKC >CRE14257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:145500:146117:1 gene:WBGene00070299 transcript:CRE14257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14257 MSVDNNETEMKIGHPPATKVGGRRIVNRKDRKNSDSNDNGNSESSDDVAVREIVDVDLPAKMERSYPTEAVKRVHEKPVPAVQPNHVFRADKSGSGQHQFQPRKQTH >CRE14274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:146004:147732:-1 gene:WBGene00070300 transcript:CRE14274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14274 MVRIQWTIHIILQASITNTMSGPPPPKKRKNASSGAPDGDSPNEFEEVMGKMKGTTDREVALKAAKKLKAMLPNGAKIKDFVSHKKNLEDLVAVFTRETSLLGTSMYQEDLHKYSISILANCCYTDKSTSTGTQIRKASRGFLDQAVRIFESTTSNIEIRVSMCRLIGNLCNNKDLVTSWLSSNTILFDRIALLLESTNDNLVTQCLRIFLSLSNHSFTRVSYLHLFINYKRRVGGMFKYNRKKLQTELRIPRIKV >CRE14258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:149444:166474:1 gene:WBGene00070301 transcript:CRE14258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbrm-1 description:CRE-PBRM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N7P0] MNRRKRVADAEPEKDVASTSAGVKKRKGRMTLAEKEKRDFELAKGTYVMSKLRRHRNTAGDDSVFESFLRVPSRRLEPEYYEKVKEPIDITTIQHKLKNPDYSTYDEFKKDFAMFIKNNLAYYQKGSDEHKDMLKIQELYKTTCEKVDSGEYLDDQDVDDTPGAPADSDIEDVTPEESEGAETGGESSRDVTPMELDDFMLCDLLGAVLEATDSSNRILCPPFRVLQSREDFPQYYEKIAKPIDLKTIAQNGKQHKYATMSQLKDDLFLLFKNAQQFSGKGSDIWKDAEQLKQIVKDKIAKIEEKGVHPMRKAKSIRLVDALLTAVAVNDNFSEDSEEDEETENNEEPMWRLYWTIRNAADEKDQSVTLADNFLELPSKEQYPDYYDEIKQPVSIFMINKRLKNGQYDFKTLVADLMTMYSNAFEYNLESSEVCVAAQKLKTLTIATCKQLTPSFDMSQYEPTPASLTPQKPKATPHRRQIKIEMPDTDSEDSRTPPPSHKRKSPKKQRLDANGQPISTSTAGYKGGRKSFSSIDPNAAFMKQKGMMQALWNVIHQYRIPGNASYWPAGAFIELPSAKQYPEYYQIIQNPIDMKLIRHRIDTHQYPQVDAMIADCRLMFSNARDFNEPSSHIHMDAIQLERQVLRAYEAMRNQMQHGGGAGIPQPYNIPPTSMPTTPHSSSSSLNMMKLKTPKVETRGRKKKYPDEIDEEELARIQLQQQERRMEEAIAQLPIEEQKMWRLFKSMKDVREEGTNRPLAVNFMRLPTKEEFPAYYDVIKKPMDMMRIKAKLENRQYVTLLDVVSDYMLMLSNACKFNETDSDIYKEAVSLQKALLEMKRELDTGEDAPRVQVELRTIFTSIFASLFAKKDESGRCYADDLTEFTEVLKANGVPPAEWPYTLDQIKMNIDKCRYRRLDKLQKDFFDLFERARELSKVGSRMYEAACFLQKAFCVERDSRCKDVIHSNSYSVIEKDIDEAIEKERAVKAKEEHDEDGGNSGGAGGRPAPIKRNESEVEMEDIEIEGSKYSAPCYAYISRTDEKKTPLHIFRIERTFKDEHGEKAVSGHWVYRPEETLHLANRKFMKQEVFITPFRDTLLADRLRGLCCVVSLATFSTKILTDFSEEDVYLCEYKYHGKPKYFSKLRSWPFASEDEELEFTKRQKTMTPKRILTAADSEGNSGKDEEDVVEDEEENEEDRARLEVALDIDRYEFEASKEDKKTFYQQIRSATGKFYWLGQFVLVFNPLKPLCDVMRINKIWRDEDGTEWFSGCWFARPSETIHDEGRLFFQNEVIGVYRNDETRKLCEIQRVCDVMPAKTYVKQRQTEISECDVFVCETMVNGSADAPDDCSLLGFPMTTDEFTETQTMNLDYSKAMRKMKTYKLNVSIPNEEVLFYKQAIKMEKELSPLLKGDGSMPLDHLDEMMDDDTDGSESVTSSIHPAGRHLKEETPAPSTTATPSVSSPPVSSAPQDTPIMTPKVVKSKSGYILFSAEVRKRIMHENPDAGFGEVSKIVGIEWKKLSEEQKKHYEMRAEVVAIEKAKQDAIKATQAMTLAPGQIRIFQCKWAACDFQYESEPALLEHVIQHHTSQIIMDSDQQFVCMWMTCLRNRKDGKPFPSLPRLHRHIKEKHMPVSARNVYSNQLCKNFFKVIQTPGDTAPRVVAAPYGQIQPNAPPGGQQNGGQIPSQNGINGNQNGGGQHHQIHPQQQMQQHHDPHMQQHYQPGPPQPHQSMGPPQQPMQNGMYQQQGPSNPQQFHQVSHQQMQQGGQHHPQQQQMQQVVQQQIPDVGRTVVRAAIPAFVAPPNQIHSKRVLHSEAYLKYIESLAQNRQKSVSRWERSLAATHRNTQPSNGTVRPPAHWIRRTEAGRPVAREEDVTKALWKLRDELLKSTCGLVIDRPTL >CRE14275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:166837:169452:-1 gene:WBGene00070302 transcript:CRE14275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14275 MGIRSIFWKVLAGRGPENGGGWVDSMQVDHFDPYTPGQLTKNFTATVADEISVTRGTTVKALYRDDQWIYVQVSDGRKGFVPQTYCKLLQKRVTVDKKKGISTATLDRKWEKSNLQRFIDSLPVQKEEGEVFKMDEICEAQILRPFDSSAPDDLSVKEGDTVTILNISDPEWTYVRNSENQSGFVPSSHVKIPEDVTMNRPIREKWENENLLVVEPFTGRSPLDLTVRPGEWIRCTSGKPVDDWLWAVRIADEKQGFIPNKVVILATDL >CRE14259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:170307:172336:1 gene:WBGene00070303 transcript:CRE14259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14259 MVVELMNCGGCKERFFREDVVRGEVISLLNRMWHKEHIQCVFCKLTITDERYFRSNVDPMKPACYACHIQTTHPACVGCSLPIIERGLVAFDRLFHIDCFRCAICHKTIPQRRGFYERDLMLYDESCYMMYIKDLPPDEDAPPT >CRE14276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:173016:173699:-1 gene:WBGene00070304 transcript:CRE14276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14276 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3N7P3] MADKKSTNVPVSNFPDAGATALPNKPGEPPFKLSLSANKIEFKCSDDKKPASVFVKLHNPTAETISYKVRCTSADIFRVQPPIGFIKPNETVSIVIWYQNQDKKDAMTKCHYFAFYHTHSDGKGPRELWANAKIEGVRRVPAAFTTATK >CRE14260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:179667:196790:1 gene:WBGene00070305 transcript:CRE14260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14260 MSNGPETGALRRKTSLHIRDTRIAGLYDLEKTIGQGHFAVVKLAKHVFTGEMVLDTQTKIFLILELGDYDLHDFIIKHEKGVCESLAQQYFCQIMTAIDYCHQLHVVHRDLKPENVVFFEKLGMVKLTDFGFSNSYEPGEQLSTSCGSLAYSAPEILLGDSYDAPAVDVWSLGVILYMLVCGRLPFQEANDSETLTKILDCKYSIPDVLSDECRLLIQSMLVREPSKRASLEKIVSSSWVQAGDRGLSTAIPLIVRHHLPSSAHSTIIEQMVAGGIASEEDILRYLENDEYNSVTATYYLLAERVLASYREEQARELLAKHIEISDIEEGGGASSSSRSAVNSRCRSRSNSWRARPCSILKEESEEELSSYLRSASRQSSRFFPLHDFVSSPRSASRCCSAQMSRQNSEEAVSLKSLDVGDAIRPTTFFIPNSSGAGPGTTTPTTPTSIIASSRFDDVLSPIDERDGGSETDIQKRTTTEMRRSVLNDIVDDSLSGEEYALRKSSDSCLHAHHSLLRSASAKRLLRRNSSPSVSMFSGIQRDRVSPQAVQELLDLNRLGGARGRAASPESVRSSRSPSPPASSSGRTSPAMSTISSMSRLKVSSVSVTNSGMRKLSSSPHLLGICEETEDGSEVFQSTSSRHLRTLDDRGGRANRSASTGLVHLPSRHHSIHATKSSAASLLTTPFVTKPLTSSGASGVSTTSSGAFVQCTPNTYSAVRSIRPRQAIVSPDILRRYDPHQRFIVRSKRSTSCSSSDASDDDDGRRLTMLSTTKCKFDEKGKKRDEDDEDGGDGMGRRTTSGAGASGNGGSNGGGRQGNSQQGVGGQQSQEKRSTDVASLPLRPIPEMTLLDQTLQSPDDSSESIRNRILHSSLSTQTMIRKWTEMDLWYGTPPRDYEDRSSCHHEKSTPWLRCLRRTASSHDLIRESDEKTSSESGDVPECATSSSSPNATSSESPESSTTTMSQTSPLNIQNMYNNGSKFSFLNTLPMEKVDRWLQCAEFVF >CRE14113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:463736:468414:1 gene:WBGene00070306 transcript:CRE14113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-5 description:CRE-SRE-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MRL1] MLSPTSMYKLTVMNMTTAAIEQTDTIFQAALILEWVLISIGFGETMLLWYLLRYTNQYHRNLAMIVEQLPNQYFPSLLARMYMIYKQLTIPNTGRPFKVMCFSIAFFASLCTSMLHVDEWTESQTIRNWVYMAFNFSCWSYGTIVPFFMLAYNPLWQKELKRLGNRICCCLIHKNRIGEEPSRKKKKTTKVKDTFGRNCLVDDTEHSTIYFSQLNAEWNAPPEPDSKRRKSRDKKTELVPPTTAQPQSVAYRRSISNGSTSPRQEIN >CRE14162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:469122:470718:-1 gene:WBGene00070307 transcript:CRE14162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14162 MEVMEGSSDQFDDKNPETKFITCILRSMEANKRFALVSRVKSLRILHQRIPLHIETLKFFRNGFQLNNIEHSFVVKVRASKNKELTPFQKSINDRHGVPFDLDRYGNEEPRTHQFPGDIKFGEKTTFEPQVPPQEAKKCYPYTCIVFKVNEKKWIKPMTRKIPLRQALRERLHCVLNKGTVYVKDLWFDMGQEMLRIPERLKFKTKRLHVKELSQEVCNAFSMVLRPSSFPLKEFEFQTTFSEDEVFANNDIVHVTKSLTIKLPSGYEAVSVVRLVKSLEIKRLHLASVMVLEDVLLPLIRDWIETPRNIGCTITMVSIIRTCYRVVSLANKELKEKQITTREWNNNHHNCLSIKMNNFEFNIFRVPISNDMKELPYDDESINGSSLCLVKMVMEAEGTAEKTISAITDGTSSV >CRE14114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:480760:485669:1 gene:WBGene00070308 transcript:CRE14114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-4 description:CRE-SRE-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MRL3] MLLDPITQIEFTSSFSSSTADMILFSLINRTASNLDMSSDLIDNLYILEIVLLVWSYFECGVFFYVLCTNSQYHPNLTWLLKNLVAQYFISMACRLFQIYFQYGIDDESGLKTNWFFILVTFSRNCLIFTALYFSPFVMMERLYASVHIGDYEHKPRHYVGYTLSLFLYLWSLMIGLTYAFEVIPTYIHVSCLVSINMLAFVVCLLNESYNSKKFVQKKFSTRKSVAYSLTERYQIVENLKTAYLFKRGIISIIIFSVICGVFLVRMSSDEHDSSMNWILIGFNYAAILYGIGFPAAMFYYDQQFQKQLISYIRVMFCISSRTTPLYEQTEESSQKAKISRNLEAVKETDIYFNNLKGDWEKTAPKCKRKSEC >CRE14163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:486300:488916:-1 gene:WBGene00070310 transcript:CRE14163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14163 MWQTIGNNNANNISEKMFEEFLVLDLLSKNSTNYQYVVCYQKALFEAIIPLASLKTFGRNGLQYGTVLCGTFLIDSSSKQLRVKSVRRIYMLTKANNNKWTQLKLEFNERLKKHVIACSALTGGCFTYQEKEYRTPAVFNDVLGIIVDSDDEPQLPKRQIGRLFCTVTTIKCETDGAWKLRIEAVKKFEKVLSLAMEANVGDNEHFGLVTREECEEKRDLRLVSSRDFPRDVRIFRSGSHCCESMEPGHRRPHHMHSECMNTCVGAFPEMALIGRCMAFRMKRCHGMNPSQSTAVDAYTTTSSPTSEGRHVDFRSMRDNVSQLEVYVQSGFVEVVTIAEYSGYTDHDGQPLLWSHDVEFVVDISGLFRDQNFGFGLYKIKVVRFHRSNVFAKWRLARKNPILMATQTRFHSQSAHSISLASLSIHTKPIVDESTSEEVEEPRRRLPSFSRFGDSAQVFRKPSFPNPYVPFQSCCLPSTDSLDRLGLFDAMVRNERAASVSICVSAPSTTTVPLGTRRVNYGRSMSVSNKIVEIDDDLLWAHHENSVSKIVEDDDDAVAVLPKVGITTAAATTVGNFDENSNVF >CRE14115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:489515:493285:1 gene:WBGene00070311 transcript:CRE14115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sna-2 description:CRE-SNA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MRL5] MSEEVNPVIEQGGEEETTVEPAASLVSVNFQNLLNKSIDFDAQFSRPENSNERQIKTGRIEILFSSIDAARDAYTSLQKMVIDGFRPEVLVDPRFFSVETTTQKRQFFEIADDSRLVFLLDAPRSIDEHMIEHFFNGEAPIHFQTLPMASENGLFQVEVLLSNGEAAEKVLGGESKFKMHDGENECIVTLLSPREYALYSKMDDIRPSVTSRKAPVQHQSSEIPSNTTGQLAPEIDEDIILNRLLEIIEERKLNFAEINEKEELYELCDTVSAEYNGVPDSILKPAMGTVLQRHLNRTEMHWMRDHIEGLLRMWKMEIMNEQIYERSTFVPMETVNYQPVIQEEQKETASKGTLKRQKAARAQMGVGAFLTANRDRLIVESGDVEIESDDDGNMVVGGEALSFEAWARLTKTKASGVVRANDDGSKKIGNGGLSKKQMRQARLVEGMSQEEWKKWRNEKSSARKADIKQRIMARGAVIEGEDSVDGGAEMMDSEATSSELPTTAMTTAPPVPPPVKELDEGEIDSDEEKKDSTKNKIKRRASSDSSDSSSTSSEEDPDGPVDARKRRNKKRKMDRKMPRRNNAGASAQLDPVFKQMFENRKAIIAQMSPAHKAAFASALTQIAQNNASSATQAKASQVINSMMSGFK >CRE14164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:502949:503858:-1 gene:WBGene00070312 transcript:CRE14164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cav-1 description:Caveolin [Source:UniProtKB/TrEMBL;Acc:E3MRL6] MSTEQDTKTEEQIPLTYAAVAAPDTVATEGEAAVVAPEEPKPKKNWFTWGKKKTAGGDVANVEEGAVATGDDEPVKEKKEKTCWWKRCQKKGEGEQKEDNIAIGMDLVNRDTQNINNHIQLNFEDIFGEADSQHSWDCVWRLNHTVFNATRLFIYRLVSILALPFTFLFAIFFGLVASINVFIIVPLGKLLSIPGNLLAKIWNWLVHAIFDPIASAVGLIFSNFNIRKYGINQETTAPCV >CRE14116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:532056:539921:1 gene:WBGene00070313 transcript:CRE14116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-97 description:CRE-NHR-97 protein [Source:UniProtKB/TrEMBL;Acc:E3MRM1] MSGDAQPSSNQRATDAHPPPSPPIIKEKAAIGALCVVCGDRACSHLYYGVAACHGCKCFFWRTVKSKLNYTCRYGGNCSISTAGRNACRYCRFHQCLSVGMKMEAVKMDRKLTKRKREKTDGDDTDDGGNQESYDNKIDAKRAKSDNRLLVSSLLLIDKTSSDGNAKLSSLHFVQPSLQNLLEEPELLDGFRSEMSYRATRQADDQLCYDNERRLVTWAIDWCRQTAEIGDVHHTNDKIALLRACCGPLVLLELGCQSSSFSQSDAQIPLCNNSFLPAHIIPPSASFLNWKTIQSLSKWTQRELKPLCLQAKEIGLLKALIVLNPEAHGLSQDAESSIRMLRERVHTALFQQLMENSEPFAAASRLAQIMLLIPQLALMGVEVIEQVRVRNTFNKYSAFGEGLLFWQLYGDIFDDQHNDDNYLEHSASCSPTDSQYTNDSS >CRE22244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1631:5779:6898:-1 gene:WBGene00070314 transcript:CRE22244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22244 MYSFTLNTFENKPFFRQSLRKTSKSLRTFIDEKPINLNLPPEAIYFVVSENQIVLTIMYTDEDRPKEHGIEEFQMLTTYKQTDEGCVYYNSADVDLSMGKLLRGEDSFEIAYNDFESFLRGHAGVLEECSVEFQPIQSEIKRQKSADLQQKLVAYLQSRSELFQVEELSITAPTGTEILSLLRCFCPLAVERLIFSDGRISSMDHLLFDARGAHRQLDISNLALTEHWKKAFSIEIYRCLVQSPIHFFATPMSTFMLDTLKLEHLCMMRDSFKLFPFDRQCSMKFNNFENEETASVEFGRYCNDGRSTCWFFLFPGTNNVMRFEKRFTGQREITITNVVIQPSDLARIPLNILQV >CRE07048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:82262:83511:-1 gene:WBGene00070315 transcript:CRE07048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07048 MEVEKSALMQFPKEILDLIMRRTDFQTIQCLRKVSHPLRDFIDEKKMEVNLLDLAIDARAGCIDMMISAPRLSLRIEYKKQGNDCLVRCNDRSQKVIRNEYFMKVARNDLRDILTHHKAVMQGGMFSFQNESFHFRSHLYFDYITNLRNDLQATNGTLKIKHIECIVLHEDQVIDLLQLVDLEPLKSIRIISYVQNYEDVFSLDRLVTLPHWRKAEQLVVSGFIVNASIQNFSHFKKAELFVFNMSIGKVNTLKNAYIALPGFNRVFIEFRHFSDFPNFLENFAHPFVDGPQLDNDIRGWFFAIPSSDKVLHITIHRNHGITFRAVERPPPDILIMN >CRE07047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:80240:81656:-1 gene:WBGene00070316 transcript:CRE07047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07047 MFPVRIFSNSVILGRRLLKVTSQLNAVRTKYLTADGKPRDQLTMRNVDIIVDPNENLIDEFMNGYGKERLNFERSDIGMWKRCFKDDYSLVFVSPKGSNKVIQTVHHINYHPIPSNNDTSHQYDGFMWIHPDYRGPDSMRMLEYVVKERLRCVTNSLVVQAFPQSMNFWKQMAGHKKYGHIQYVSYYKMDEMKVPKDLNTDGIFIKNATDVPDGDIVKYDQEVFPYERSKYVLTLLRKKNGFGKVAYDENGKVIGFGTVIIYPSGECVLSPLYADDKRIAQAIFKNILEQIPLDDKRLLRFHVRSVDKCQGGFEWIQPFVKCPIRKELAAYLCYTTHLPVINYKKAFVNFPYTNCAI >CRE07046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:77968:79804:-1 gene:WBGene00070317 transcript:CRE07046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07046 MFSNSVILGRRLLKVTPQLNAVRTKYLTADGKPRDQLTMRNVDIIVDPNENLIDEFMNGSIRFVTFPKLNLIFKTMQCYFQYGKERLNFERSDIGMWKFCYGDKYSLVFICPKGTNKIIQTVHYFKFHPVSPLIGKPHQYNGFFWVHPDYRASDSMILCDNIVKDGLRTVGGNSVSHCFPSPMKIWRKMFGGNTYSHIQYVSYYKMDEIKVPEDLNTDGIFIKNATDVPDEDIVKYDQEVFPYERSKYVLTLLRKKNGFGKVAYDENGKVIGFGTVIIYPSGECVLSPLYADDKRIAQAIFKNILEQIPLDDKRLLRFHVRSVDMCQGGFEWIQPFVKCPIRKELAAYLCYATHLPVINYKKAFVNFPYTNCAI >CRE07045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:75895:77349:-1 gene:WBGene00070319 transcript:CRE07045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07045 MFSNSVILGRRLLKVAPQLNAVRTKYLAADGKPRDQLTMRNVDIIVDPNENLIDEFMNGYGKQRLNFERSDIDMWKRCFKDNYSLVFYCLKDTNTLIQTSHHITFHPLPSNSDLPHQYDGFFWIHPDYRGSDSMRMTDYVVKDRLRSVCDNALAQCFPQTMNLWGRMFGHRNYGHTQYVSYYKMEEMKVPEDLNTDGILIKNATDVPDEDIVKYDQEVFPYERSKYVLTLLRKKNGFGKVAYDENGKVIGFGTVIIYPSGECVLSPLYADDKRIAQAIFKNILEQIPLDDKRLLRFHVRSVDKCQGGFEWIQPFVKCPIRKDLAAYLTYNTHLPVINYKKAFVNFPYTNCAI >CRE07037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:72543:73437:1 gene:WBGene00070321 transcript:CRE07037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07037 MRFTLLTIFIAITTLFQYSDQAVRPVTLFIRLFDVKGKRSTILDEGYKYTVAELKSMLVKEMDVSGHILRLYFEGQELWIPDRTLASYRVRPGDTVDIYLENIAEQLAIRFGNQDKSPDGRLAE >CRE07044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:58501:61548:-1 gene:WBGene00070322 transcript:CRE07044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cka-1 description:CRE-CKA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NGW9] MVSLEVPSETPGNSRRAPSEECEIAFSHDDPTLLWKDRTTDCVDLKKVFSKFDSSAPISGEILFRARFLCAKYLGGAWRKVKIEDFRIRAITGGMSNLLFLVELPAQLTPIQMEPEKALLRVHCQSDIDQLLSESVVFTLLSERNLGPKMLGVFPGGRFEQFIPSRALQCLEISKPGLSKLIAPIVARVHTLDAPIPKEPQTLQTARQWLERFKKTPAGERPIEMYLTRADVPESDLQYPSTITVAQLERELNFVEFFLQHSHSPVVFSHNDLQEGNFLLIDGYQLADDGTVLTADGKPTNDDPLSLIDFEYCSYNYRGFDLGNHFCEYGYDYNESEPPYYKIHQHFFEVEDERKVFCEAYLDEVYKMRACGDNPHFPSDLVTGDREKDLQKIIEESILFMPVSNIFWVCWSLINAEESSIAFDYGAYGRDRLALYFHQKKQLEKYLSNL >CRE07036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:56799:58215:1 gene:WBGene00070323 transcript:CRE07036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07036 MRPVHERLAATIAKRKNDRQNFEFWSALPEELRKKCIGTMELQARCRLRATSKTNKKLVESLELDVETLVLDGEKSVIKLKYRDGDGVLRCIFVVSNSSGFESGIYLIGWLMKSATIKHMQIMRFRSTSITEVEKQIINKMVPHKSSRISALHISDFNDIIFFFLEKMWTKMDRMKVWVRGGSDYSFDQLMKIPSFDYVKLFETSNFLSNYKNPCAVNAYFPIIQTWVENNAPVGKKLMALDVRRNGFSSFVKRFKSLLISQGHSEVVKAPVARIGTNNPSKHILGSLIEGNDKYKLICVIIPACLSPDLYHKYINSLH >CRE07043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:54619:56530:-1 gene:WBGene00070324 transcript:CRE07043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07043 MPFEPNWNDLPDKVKHKCIGKMDFETKLKLRSTSRSDRRLVNIHQTHLKLVEIHEKDGFPIGSITIIPENGNSIHVQCGDQDSNHHTMIPFVKFVMKTGKIGKLDVNVYKDGELKEELIKIALKFPKKPKEYNWNDLSEKLKARVINKMDFKTRLRFRKTARYERTLVDSQLVNFNYIQLTANSQKINNFCTELSFQTTATNQECIFKFRKTSEFVRKILPLLVYILEIGVIDMFLTHFTMEFIDILIEKITKLHGFPKLRIKTLDYLVSRKAMFWFLRNCDERYLEYIETGAQNYRQFPIDRFLTFPIVYNARTLEIHDARRTTILIEVLEKWLEIDAKLGTTLILHAPGNLDEFIEKFENRIDFQSPRGDLWLSMNDPEKQIYIKVDNLDDFNCLDSCRCEVVPPCWDFINFFFMF >CRE07035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:51267:54497:1 gene:WBGene00070325 transcript:CRE07035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-100 description:CRE-NHR-100 protein [Source:UniProtKB/TrEMBL;Acc:E3NGW6] MLNIPLHGVTPLKWRNPSPVDNDSCLVCGDPNAKRHYGAMSCNGCKGFFRRSVWEKREYNCSFGDECIIEFKYRNRCRACRLKRCITVGMDREAVRSERARKPKTEIKMEFDIDGIKREIKEEPLDSDTEDECPQLLDVKPDFSRKTSTDGIIGYMISEENRVINWEEPYNRLRHYTMDCDVEPAIEDPSKVCARTRILWDNINRPLITMEALRFNWCRTFTLTIDWFETLPEYRALTGDDKVLAVKLSLMPVGWLWYAYKAYEQRCDGIVFVDGSWFPRDKTIQQQVCATCVLYYGKITESFMADVVNQMKVLEMDETEMVLLKAIAHLAPDYRYSDYAGNVVKNGREKYKKALCEYVRGKSTCYMSAAYRLSKLLQILPVVDILGKYEDESALLVSLGEMGSGGGLAYDIHASDSHFEQKGQRTRRQKYHQSIPLHIQ >CRE26012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1766:140:4989:1 gene:WBGene00070326 transcript:CRE26012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-38 description:CRE-NHR-38 protein [Source:UniProtKB/TrEMBL;Acc:E3NTC7] MICSICSDKAEGYHFGAISCAACGAFFRRSVSDQKVYSCSNRQCNIAHDPTKRGGSCRFCRFLKCVSSGMMPQDVKAKRTASTQQNVTSLYRNMNQSSILLIDQIIVFRRNIAAERQMFDSISRSTNRTNLIISLHQEFEMLRRVALGCPFFIQITEHFSIPYLGTDSKIMNDPLSDLLTLLFVFEACYLTGVKGGVQLDRLFLPNMLHVDLSEVLFTEFLECDVHASMDPYRSILNAFSYLVQMVSRSFQSANFDEPILSILLYKCLTETVPINYRHRFAEVNRDSLLTDLNRVDSTAFQHTQNIASQIHQGARAYKEAISSIW >CRE10779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2338:707:3919:1 gene:WBGene00070328 transcript:CRE10779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10779 MKCRVCGDSRAGRHYGTIACNGCKGFFRRSIWEQRDYVCRFGGKCLIVQEYRNRCRACRLRKCFTVGMDARAVQSERDKHKKNPKDSNEGSSSPQYPTSATPISIPSTSTSQTPPTTSVTSFNYQNIPGVVSRTFSENLIMRDQSVPQVEPPSSSSSSQQASHVPLVGYLIDLEKATDNLIDENCDFMSMEFDQLCRVDVTIEAAFRQPGVVAKRTPPRWLALERLTTLEDVHIAWCRSFVLCLDYAKIMKDYQELSHTDQYTLLRNRVVSVNWLCHTYKTFKAGCDGVALVNGSWYPRDKELQKQLDPGCNHYFRILSEHLMEDLVIPMRDMDMDEGEFVILKALILFRAHRRLSEEGRAHVKRVRDKYIEALYQHVQHQHRHFSSVQTSMRISKILLLLPSIEHLSQQEDDNVQFLALFNLANLNGLPYELHSSIKQHIPNGDDDTQVRKGNQ >CRE20906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:246212:247219:1 gene:WBGene00070330 transcript:CRE20906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20906 MTVLLLVVMLIVREYVKNIFDMSTISLLAIPPLHTFLSILEMMQKYSTDFSEVAGMTIVAYDKDGNIRWFNICGTLNMTSIMLVQYSIIIYCAVRMYIDMEEKLQMLSLSLRNLHKQFFKTLILQIVTPTITLFSQVMLIIYLPILDLECDLPTGIFTCAFTLYPAMDAIIVMYIVAAYKKAAKKLLKNALERAYAWLSTVETDQSKSRTRSIAANLPAALSPN >CRE04257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:131238:133805:-1 gene:WBGene00070331 transcript:CRE04257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04257 MLNLKHSIICSQINIPDQFKRVELVGVDLIIRNTSTRIIVCYHPCHNDDMSLLIEALKYLLSSHTNVFLCGDFNMPHVNWESLSASDKKCNEFLTFVLNSGLTQYVHEPTRLHPDHILDLVLTNTPIIHDVVVGELFSDHRLIKTTLTLQFETPNENKSLLNYWKGDYTMINYIIANINWNNLLADIPVERMYNVFLDILKKLIALYIPKSNPKKHTKKYPPYIKRLQHDKLYIWRLEGNSTLYKNLCASLKTALRDLEKDKLERNLVSGSSKKCFTYIKNQMGTKNEIGILKNGNNACLTDTQKSSFLAESFSNVFTQDDGINPHIPAKTKSITDNVVIEPFMVEALLLKLKDRINTTPDELPALFLKKVATSIALPLSLIFNESLKTGAIPSLWKEAIILPLFKKGSRSDASNYRPISLTSSVCKTMEKLVRNAIVGHLKSAKLLVNNQYGFRDKKSCESQLIRYSGDLLFDSSSKKPIWAVYVDFKKAFDTVSHSKLVSKLGSCGIAGNLLNWLESFLTGRSQKVMVNKTMSKPMAVSSGVPQGSVLGPLLFLIFINDIGDKYISNYLLYADDLKLYGTDETTLQSDLFKLESWCKTWQMSVAPNKCEVIKFSLSKRKSSYTHVSSKFTLNGLSLPTTSTIRDLGVYFSQDLTFSRHIEITIRKCHMRINILFRILTHSSFEVILKCFLIYVRPIIEYGTVVFSPITKVMIRKLESLQKSFLYRCYKKFNMSYISYFDCLETWKLESLEYRRLINDLLCIYKSLISKEICTYDNLRMYFPDVANLRRHKYYIRCALKNTSNQRSQFIGNRALNCWNELPEHVFPVKISSRCFKSNIAKLNLTKYLTLNTHTI >CRE04259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:178368:180135:-1 gene:WBGene00070332 transcript:CRE04259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04259 MFFLWVCIFFSFALAEFHSKMIITWGQPANYNSYDNINTDNFWTDCLKQCLEEVDCVRANTEFCPIGDDAPLFGEDNVIEPYYSINVTISQDGISFWQFNIKCGDDSWLAIRGNQSVCISLRPFPSPYCMNYEAARNMCSVNNGIGLTNSYSDIEELDIRIRWNNSLQEVAINDPNALFSKTKDLWADGICSSGGKNCNVTDDTLQSDFNVINDYAINNRCVFIAPSAPGKRSAE >CRE04260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:191476:192549:-1 gene:WBGene00070333 transcript:CRE04260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04260 MRFGTAAFFQTRLGPLLKMNHEASVWPHPQMTEKERQQHVDTIAANDGVTIDASRVVKNPALRQMCKLFLNSAWRKSAQNPQKVETKLIGIVDGGAVFAFFNSPAHEPTCFEINVVYGSITTAVARMCLYEAMNRAGADNLVYCENLLGDLRGDGHGKMTNEMLYHTSKVAREESVLDSAKHSLDASTVFFNTEQQYFFWKNLSLECNQWVFVHRMKKKYNGSNNQRTTVFFTMKNVALSMIYRVTYATAQFV >CRE04261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:196896:203566:-1 gene:WBGene00070334 transcript:CRE04261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04261 MPKRKSSGEADETHITSGLADINEVFRNLPPTSTFFSRFLPDNSIAAPTQVSSLNTLHPPSRDILSCGRFPPQSLAILSSLVVQLPRPEGFLIIFVRRAGFLLTHFGGMRIPFVFDKPRTRNFTLQLLEEDEQTKDVGFVEIDLSIAPYNHLVFFQFHNPNPSDSELRALKRRADEEEQVNTASKSLLTSSGVARAVIPASAPSIASAPSKMASQSVAANTKTTTRGKVSGEGEYQLIKNEVLRSSFGNQYEVLEFLGKGTFGQVVKAWKKGTSEIVAIKILKNHPSYVRQGQIEVGILSRLSDENSEEFNFVRAYECFDYKSHTCLVFEMLEQNLYEFLKQNKFMPLPLNAIRPILFQVLTALNKLKSLGLIHADLKPENIMLVAPQRQPFRVKVIDFGSASYRNKVVTNTYLQSRYYRAPEIILGLPFNESIDMWSLGCVIAELFLGWPLYPGSSEYDQIRFIIQTQGLPPTSMLECASKLHKFFKVVKSTSPNHANVGGSYYRLKTVEEYESSSTLAKSKETRKYIFNVIDDISRVFCGFESDPVELLCNRIDRQEFVDVLKKMLVLNPDFRITPAEALESKFVTMTHVNGYTFANYVHEAHKRMEICRAPNVPTPFTPAEKAVVPPKIQQPMIAVLPAQMNTLPNLSTVATQPDLTNLMHQYSQMAAAATNNAATAAQFFYQPIPPPPLFQYAQLQHPFAARQPHFLSLATPSHMVPQFVPVPIMDPSMLQGQWPTGAAQQFAVLANDMLRAPGVQQINPLNQMFAATPQTFTLPQFLTSSIPNAAFNANQPPGLGTTAQQQRAQSMINGNNRPKPIVSRQQKNNSPAPSVITLSSDEDSNDPRSKEFTGKRIQNRSLSRLNLKCKVIFDARYTEDIDHQHSDHEYSARENKDHEYNYCLKNKVSTRISDYC >CRE04262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:209857:210207:-1 gene:WBGene00070335 transcript:CRE04262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04262 MRDNEFSIPLGPSSIPLNSSTSSSSSIPYFNFTQKTLEFVPPIMLEEFESLDRSIEKRKIDIRDLLKRIESAKRRHRVKL >CRE07948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1735:1568:1714:1 gene:WBGene00070336 transcript:CRE07948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07948 MLEEFESLDRSIEKRKIDIRDLLKRIESAKRRHRVSLYTKNYKVKHGI >CRE09771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:159323:170977:-1 gene:WBGene00070338 transcript:CRE09771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-75 description:CRE-UNC-75 protein [Source:UniProtKB/TrEMBL;Acc:E3N9X4] MGQQGHEMRASSTSSTDSNGFPVKDPDAIKLFVGQIPRNLEEKDLRHLFEQFGKIYEFTILKDKYTGMHKGCAFLTFCHRDSAQRCQTTLHDQKTLPGMNRAMQVKPADTDSRPASPKDKADDKKLFIGMLSKQQSEDDVRTLFAAFGELDEVTVLRGADGASKGCAFVKYKSGFDAHMAISALHGSQTMPGASSSLVVKYADTEKERQNRRMQQMAAQMGMLNPLLVNQVGLQYNAYAQQVLQQQTIAAHASAASVAYLPLLQQQQTATDPLQMLQLQAAAAAAAASTPSIPQQPQQLAAQLQGLQSAQSQHYALAAQALAQQQQAVAAHQQVHPVSQSTSSTATDTTTYGLAAAAAAANYTSLLSGMESQHNAAAALQLAQMQQQAAAALPMVTPREVLGPDGCNLFIYHLPQEFGDAELIQMFAPFGHVVSAKVFVDRATNQSKCFGFVSYDNIHSSQAAIAAMNGFQIGMKRLKVQLKRPRESARPY >CRE09770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:144031:153690:-1 gene:WBGene00070340 transcript:CRE09770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09770 MSGEIRTQIGNFKSRLLHRFDKDGPLMFPEEFKSFDIESAIVAIKDIQEDEDGIQSIVRKLFAYEQKWISLRKDDPAEKDEHAAYCKKYGDYMETFKKGVDRLQALHNLYRVGYERVKALDVTRTVGLVTPDALESNGTDPSRPHNSPLQDDKSPAAFVEDGSRATGTASARTVQSINQSSVNESSVAATTTTTSAGQPIVQYALPMVPQMSFNMPSMPLPTFDGDILSYMEFREAFDSMMELMNANDQTKMHFLKRSVTGDALDLIRTLKTTHGNFPIAIQMLDNNYGGTHRTKSMLLQQLRDLPNIQNNTDAAALQKYVNKASLAFSQLLSLGYEADNFVLADMIECKLPVRVLARLYPVDQQVEPYRASELLRKIRLFAQNETMIRGLHKKVEDPRSVTTMPAMQQPTQTHQNDNRNRSQNQAGSHQSSNAATASKSCEFCADSRRRHPAKVCRTYPTYEDRRQRVLGLGICFRCLLSGHPAKTCRATCKDCNGGHHQALCQRRQPTDANRSGNSQNGGPSGSPSSSSGQQSFGSSSGYNQRRRSHVTGNSYRGPTQVNAAIAEEVPDGVAFSIQAVGIPVHNSMNNDAQSSCIEEVGQPREKVEDVVQPTVMDINAAGTAPVSSEEVIMMTVDLPVLDKDGREHMATVFFDTGANTSFISQQLVDKLQLSPKGSRKMDFNIFATKKTLRMLSSPIEVTVKTKRALETVEMFAVNHIANEVVAAEVTPNMLDLLKDDQDIALHRERKKVDVLIGMDCFVQLLGVVKNTKLANGLQLSFTDCGPILAGKELSCSQKKEIFCQAAIEAGVPSQVEYVSPEYEVDELQELFELLKRFSMLEAIGIKDPKLSNEEEAIAFYKKTTFRKPNGRFVVRLPFADEKVMTDNRMLASFRLQATVASIEVICIVYKCKYWFRNVPQYESALTYARYCILRRFKKDPEQLVKYDENFKEQQALGFIELVVDERKTDGKVIHYLSHHPVFKESSKSTKMRIVFDGSAKRSKKDKSLNDHLLPGANLLPDVAAVLLRSRLKDVLIIADIQKAFLQMELNIEDRDATRFLWVNADTGQTECYRYARVPFGLKSSPFLLNSTIKLLLEQQDNPFAETMARSVYVDDVFVGVDTVEEAKEYYHVSKRIFAGAQMNLCKYVSNSPEANRYFTEQEKTEPETAKQRLLGIDWNIDSDVLVYSLPKPKPGLLTMRKVLKTIASCYDPQGMLTPTTLAGKLFFQRLTAKMNWDTPLTLELDTFWKKVMKDWSGEPWTIQRKLFSKQQWSRATSVQLHVFTDASKAAYGAVAYIRMLVDDEALTQLLMSKSRVAPLKPSHSIPQLEMLAILTGVRLGNYICKEMDTTFDEMFLWSDSMCSLDTLKTATVIGTRFVQNRVSQINDEDSGFVFTHVPGKENPADLLTRGLAFEELKQSKKWLHGPEFLQDVKELPVRRSSVPEVSATAMMITVKPVPEVPIDPHRFSSFHRLLRTVMAILFFFTRKCSSTKKFGWNSDRERALHAQKMLFRWAQWMNPPSEQTIQSLQLKQNEDQLWIYRGKVNDRPLIFLPHGHISKLVVLDYHTRFNHSSPLFTLAQLRDTFWIPNGRSYTKKMTANCSGCKHLRVKHYRQPEFAAFPDSRVTPSKPFENTGVDFAGPLKVLINDRIVEIYFVLFTCLFSRYVHTEVVKDMETTTFLHVLRRFAARFGIPKSITSDNAPQFKMLSAVLEELKLQQGTGMVNTTSLPTFHFIPAHSPWAGGVYERMIGLVKRSLVRAGSTKVLMSYEDFVTTLSECTAIVNHRPLTYVAAEDDIRPLRPIDFVMPSNGANEIMDLSSPPDMDGLSSERQNLMEQWSRSSSITDDFQRRWNKEYVQVLQERFQFDHQQKSSESRKPNVGDVVLIEYPSLKQARWPLGRIVEVKPRSALVKNGKTKRIVEYPWKALFPMETGVVAEEPVAPETAPLRRSSRIRQNQGSAIITALTLLALVSSTSAATSASTSEMSVPQSRIETLLLIALSFGLLYTLYLVISLVHALMHIGRGLVVIMRSMLHGIAALGRCVWNCCRGFRIRRRQLIPIIVLITVIVGQAHACNEIASIQASEDVCIRSENGETCSLKTLSILNIRPNGSIGCFKISDERDLQLQSFVEIQVEAVVSKCMQRTHHYARDYEIEHSWAHSCWTAGSCTAEKCEDLQADSTELPELSSESKRAPGFSRCYKTCGCITCGWCFHCTPSCLFSRIFAVPTSDTIYQVFTCPAWTTSVDVHVNFNGERSSHRMEHGLPYKLPDTNISIIITGFSTPPAPMHAATFIKKWPKHAEDHAEYGFTYTTVSQAGAPTRGLIGEFQCPSQADAQDFNCIFDEQLCRCITKGPALRCSCEHLDLKKIMSSHRLPLTEYGIGIHAEEGTVVTKLTTSAIVAVQVQFQGQRVQRIVKEDECRLSEVTISGCFSCAKGAQLQATCHSKLNNRIEATVVCPTLGINFFDCSVNGHSEIIEFHVAVKQLDEVCDISCGKINTDFRVIGHLEEEVKFNVSQLRDKFVSYSQSIAQSDIWSSAKQAVAGVWNSLLDAIGSWTLMLIVISCGGAIVVLFILPLAVLLVPRQCRGLCRRPRYQRRRSRYFRRD >CRE09754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:138646:142860:1 gene:WBGene00070341 transcript:CRE09754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-11 description:CRE-SRI-11 protein [Source:UniProtKB/TrEMBL;Acc:E3N9X1] MSWICPGYYFFPLVGGYNTGRFFGQFIGAHLSMSVWVGIYSFELAGGLCCFVYRHNAAVQISQNTIGKQYVKKVFLVLVHIFPFATSTCMYMSGLTYQQKYNYVKINYPQCVQWMMMDGFEAYDSSVNPMIIVTGGGAFVFVFIVVWYCFTLGVHTMVILQRLRRHMSPATYQMHRAALLSLTMQMVLPGGLIIIPKNIILYITMSESLHLQEVATNMMFLMGSHSMCQCSVMIMSNSSYRRVLREKIWKFLRIDYLTNQQYGSSVEPSMRTNSFVRAQTVPVV >CRE09769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:126000:129314:-1 gene:WBGene00070343 transcript:CRE09769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-12 description:CRE-SRI-12 protein [Source:UniProtKB/TrEMBL;Acc:E3N9X0] MPAPCPETIPPYYTTSLHVIAGFSIPINLIGFYLVWFQSPGMFGYKYCLVYMQVLGSTVVITGYCNIFQLISFLTEVHMSWICPGYYFFPMVGGYNTGEFFGQFISSHLSMTLWIFIFCFELAAGLCCFVYRHNAAAHISQTYSSKLYLDKSLIFLTHIFPFATAICMWNSNLTYQQKYDFIKINYPQCLKWMFYDGFEAYDHRLNPMLAVTGIGAFAYVFVVAWYCFYLGIHTMIILQRLRQHMSSQTYQMHKAALISLAMQLVIPGVLIIVPMDLCMFVVLTEANGLQEAATDSMFMVGSHSMCQCTVMIMSNARYRRVLKEKAWRMLKLDFMTNQQYGSSVEPSMRSSSFVRAQNRVG >CRE09768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:119305:124632:-1 gene:WBGene00070344 transcript:CRE09768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgrn-1 description:CRE-PGRN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N9W9] MSENLYNLFQTANQCDKETTCGDDETCCKLGDNTWGCCPMPQAVCCDDRSHCCPSGTTCDPQGSRCIGEDNQHTPMRKKKPARKTGTTVVEKNEFDELPDLPYYLNHSQVICPDKSSKCPDGSTCCLLEQGTYGCCPVPNAVCCADMLHCCPNGFQCHGQFCSQNFAMIPHLRKFASTSIHRKPAVDFLPEEEEEVDDSTSSESTSSESSESSESSESGDMDPVACGVGKTCPSKTTCCEIRRRNGEMKTMCCPLTNAVCCENTCCPGGYHCVAGGKCEKHARTMRNRFWNKDEEEI >CRE09767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:111201:115800:-1 gene:WBGene00070345 transcript:CRE09767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09767 MKPNKKPFRLLDLPRVAMEKVLKNNTAYELLALSMQSKRAKNAVKVIANQRGFKMTITLDETPNIELYDDRSDLAELAVVFKLAPVDYDKFLFNSTPAQLDSAEDGRLVCSSYDTFMEEWNNDDDGDSEADFDVEKEKGDLSEDEEEDVEEEPIVDQISDEEEEDEDEEMKQAKKFILRRARDNLYLHCNDLPTGVNFLISTLSDLFRNIDSLDVEVNSLDKNSANQIREFLVNQKKCLKLCRYRDSINKYTSREIEQLMHFETVRLEKARMENKNMEVLFKKWIGAEYVNRELYIKNLFQPMSIQKLRRCIRDRRTILLANTAFTVKRDDELTAFVWSGGDFKLFYV >CRE09753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:99603:108221:1 gene:WBGene00070346 transcript:CRE09753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09753 MKIIFTISLLAFMAQLSPRIEARHPDLSGRFRHSEEFLNKSPPDGSIRRFRRNPKDDNLTTSLNHLKMVARVTNGIYLQNGLTSGTIPADKLISELLRFGSVTTTQIAAIDSSKIQKAVDTMKSLPSNPKPSTFADNIGDSLDVFKKLLQEVNGLGDISTQQGKDEFSKLLTELAKNKVVLQPVDDLSESNAKWNTELVLNTKKMEDSDATFYLGKARAALDEIKSSGSKLSKMLPLWKPATFKSAMDGMSSGLKSLEAANLAVKQRDSLSRKSNVWSEYSTFIGNFLTAIKSLKPVSSDVLAIKGVVESYQLEDRKRSLEYTTGFSNGLHDMALISLDLNDQWMKDVIKSDRLSVALSGLKSLEVSVLRHVFRYSTVVFQVLAKKVEDVLKEPSLGPNLVPLMRIFDSVNQLSSEEGNIKTGITAAQNCKLPDSQSSTFPDLTSLKTPLEKIDTIFNSYTQLVGQLIDAVSAPGLAETCDEFIAITNGGGTAENVFKFRNHTKFKQLKEDIAKIYQIVDNINKVEESTTIQAEAQKAQQAVTVLDTVQKSVTPYAGYFKCLQVIQDFHFQMIIFHFQNNEGLKLLIAAHEKVKAIRTVDPNRSSSLNSGLEVMKKVAGTSEDLKKLKKSIDGMKGVTNAEIDGLKALPDPGKHSKTIGSAVQGVSNMKNALEKKSDLDALVGGLDVVKKYQSKMKQKELDALMKLKPTFDSMYKSLTTFESSVTAPKTTTTLADQSEIFVKAKSVTGVSVDLQKIADSVKVLKEQVDNAEDKTKLESLETSIRMADSMGLEFSSYSKSFDGSKATLAALDSFFGGYAKKVMEATSGMKGKEEKPMDLTLLIIAAGILLVTLGSIVLINFVWYKLSFYSYSSTFPCFFPQPNYWKPQLAFFSLAVHRLTTTVNSDYKLYKYRETSESERSKEDPVVNDLVNKCNGLRIYFNKLYKEDTKRANDTVDTKDFGLVEDRPVTYSDEKEVLTARDVDPPEGAEKAPANKTKTPQEVDAKGKTPADGKAKVAATATDEKKKDRKTGGADVKTSQTTSQVTSKTEATSKNSEVKSAIPKVQKNKTTTKGKASEKPTPKAQPQQPEAPTEKPIDWKDCRDIQKYIKSTRPILTGYGNRFNNDFIAASHFKLPYRKDWYLCESPQTDSKRTHSTIGKFWWMVKQKKARMIVMFAETEFARKDCSKYFPLEEGVEESFGGDLKVKCLKIGKDKHGVEYRKLSARFGSGKRFTVTHYWFSTWIRQLRTPERQKDLVKILKTALSQRYPVVVHCPSGAVETSMFALAGHMICNIYKKKTMDFQASLIALRSSCYYALCDAEDYACVGILIMEFFAEGIDIEKIGDEELKKRYLEVQKFWMKWRVTLGLSEKYNYVPKYAAREAPPFDPLEYHSGSDKDED >CRE09766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:93727:96717:-1 gene:WBGene00070347 transcript:CRE09766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09766 MSAKEPPAKNFPLLSLPELAQKNVIDIMKVLEKYKLSTLSKRSRRMVKKSCPLGSHQLEILASSEFFCFNLCDPREEDQFDEMLLLELREDDDTFPPFQEGAIVRPHQNYQETVPAEIRLLFEVFNKPVTRIFLIEHTPLIEDITRLMGELEHELTLVCAGTGRQNDQAFMQVMQQCNPVTKRLEMYYDINQGSTFDLTNIAPFTYDQLLISNSQWLRFPQIISHFMDCKHLHLRWFREESNGLQAFLERWMEGCAMEHVNFSIRWPIQLRMILEEMRVEHTVVDVSDYKIPNREIFKPREQPPAPPITTFIIRRRCNGQETLISQNGFQFFLSTNCRLLTEEEKLNPVKDFTDWDLSQNPFNGVMERGENFRIVGGGGRCVVDQDSDSDDWGGRVDTDDSDSSGW >CRE09765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:60723:63963:-1 gene:WBGene00070348 transcript:CRE09765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09765 MGAKCCAPSSCKVRKRLLDEYETHEVYVPSRSVSQDPEAVLAGRRRDSTVRVSVRSEGAAAGQMKASNSTAGVSLTLPRVKNHTIRATDTVPIVRVPARRPPSRVETSHVVTGSLTRQLQDISGKSVVRDLKSGQTMAASRSQPPGKVTYQYKVNASERRVEYRYQSETLLYDFEETTKREQLETWQSKKRAPSGNGAVVTMIRKTQSAQEHADVSEVLEKVQPASS >CRE09764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:52059:54923:-1 gene:WBGene00070349 transcript:CRE09764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09764 MTTPHRRRLTDDVFTADSDEDDDDAEFRSSTWNFIENNNSSRRSESYLTTPRPMTLFSRGTGGGRAMSNGMETRTAFRELPTTPISATRTASTYQFHYVTRRVSSTGARHTTVELEHSARDLPPTDQQQQPVQELEGLDMDSDEDDE >CRE09763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:33698:43909:-1 gene:WBGene00070350 transcript:CRE09763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09763 MVGERYRSQQQKTADPSDAFEKEVLDHYELNREKYNDERDTVQKKTFTKWVNKHLSKTGLKVDDLFVDLRDGYALIALLEALTGERIQKENGYTRFHRIQNVQYCLDFLKKKNIKLVNIRPEDIVEGNGKLTLGLIWTIILNFQVSVIRQRLLMESQHEQMSGGAHTSNSQVPFYRGKRRVHAL >CRE09762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:31222:32262:-1 gene:WBGene00070351 transcript:CRE09762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09762 MYVLLLEYLLYWAHNVLCFTIGISCSSSPPPSQHTQQTVEIALFGGDAPSAAEETTYYHGLTAAGGSSNDPTYEAPPISWRSEGGDGGQSSSSTLLPSTSASSCSFSFPSSFLLPQQRSSSFLTSSSSPLLSSLKSPSSSSSSSHPCDHCDSYVWHATSCLATTSLILFGLATTLTMLKVVRNYSKKSERKSESSRAAAQQGGQHSSISQQHSSSQQAIGGGAGGGQNSSYYEEYSRNGGAGGYGNGHVVGSNGQMIHHADNRHLSNAVQTHHGGFSESSSYETREHFERKVQRVKKTRGERERSRSMRRDEVRSFSAENAAFFHLKMGIFALFNAKIRNFLIFSF >CRE09761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:8153:30425:-1 gene:WBGene00070353 transcript:CRE09761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09761 MLQKPQNLGFKPGVSEALHGSDATSARDALLQWARKVTAGYPRVNVNNFSSSWRDGLAFNAILHRYRPNAVDWNKISDESVSNRERLDNAFATAEREFGVSRLLDAEDVDTNNPDEKSIITYVSSLYNALPHLPELNRLQKVQEEYIEEAYEWREWVIRAIQLVDDRLLQGTASELIYELQRFKDDDLPPRDEQKRRLVLVYEHLEKMMRSTELFAIPHELSAPELHKVWLELLQSIDQRFDVLERHRVQEGNSNDIISRLERGIGIVNEKLDLILKRIEDVEARVDTSPPAAVERTVTEIVDDLNALEAPIAGFFEDVEELKTMQHPEANDFYRRVYGLHQRRTAYLDRLTNQILVRLGVRTDTLHKENQQRLENMRQTSFSRVEECIEWVRVRMEKLTTMEFLEDLETLEHVFEQHKLDNRDIQDFRQNVDECIARQAEVSAEDTYEYCELLRVLESEYQQLRDLSAGRMLDLDSLIAFVRAAQLELIWVSERERIEVTRNWSDIKQLDLPMLTNYYKQLLHEMELREKQYNDVHNQGAALLNQGHPAVRVIEIYLKTMQTQWDWLLALSKCLEEHLRDALNLKSFMEEAADAEAWIEEQSARLENNYNRTDFSLEEGERFLRELDEIKEILNKYHQVLMALTERCASISPLWQRGERIPHPMNVTALCDYADQNITIKAGDDVVLLDNSDLIKWTVRDLSGVEGQVPSVVFRIPPPDARLTAFLNRLLQQFEKLKKLWEKKHRMVRFNMVLNTMRTIQGWDLDTFNSIDPDQRDAIMKALNDDASKLLSELDPNDPLALRLREELRKTNEHFWNLLNASQKPPEPDWASQYDQKMSELLRKLEEAWRRLNDAVGKPISNTPEDLERVIHEHKRFEDALQALDGDVANVKELFRQLPNPTPTQRVNHDRLNGLWDDLWDLSRMYVERIKVLESVLNGKVEVADIVKQHEITLNSFDDLPAALDKLRGHHSQLLEINMVLKQQQTVIDQLNKNVALLRQHVARTRINEGHHPDVDAIEDEVQRLNVRWENVNSQIAERLLAVERALQIQMVYRSEYETEMKWLDDVEETINRLRKPDELRPEEYQKQLDQLIGMYSHLQEHTQNIENVNKEGGRFIHEAKIFDAKLGHYSDTIVGIHGVDVRGLFRRSKPQPKNGAQIVTEELELLNRRFAQLSSLILERRNTMQVLIQNWRRQKEPNLLIYLSLPNSGFKEEEERRRAEEEEKRRAFEVARLKALEEAERLRRDREDAEARRRAKDDADRARRLAEEAERARREAEEAERRRREEEERRRREAEERKRRQDEEDRRRREEEERRRREEEERRKPPVIDLKIQKPTINLEPIVTSHGDEWEIVDPIGDRAKISEVEDEMQTFAEETITSTQFYEMEGNLNKKTGEVLTFFEAIRQGNLTAAGEYFDVPSASIMSLEEAAKYGLVEKDLATVLGTRWGIHHPETGAPITLSEAINIRLYDPTVRQFRDIKTGEVLSQSELMSKGIANMETVWKLIKEKIMKLPPTSLSSALEKGMLNPVTGIFKGKHTDMELELWAAIYHGYLSIENPEHVTSVGISLTDTIENGFINANNAEFVDRNTDDKFTFRQAVAKKNGRNGLISNDTVEVVNTSEGSRVSLGLALVRNVIGVKDGKYTLTHLTDRKSISLKEAHQDDLIGKAMTLEEAARKGLVDSTGHFVDRGIQGRRYTLLEAIVARLIDAEVRHIVDPDENDVISISEAMERGLLLPNGNICLVKQEKEFTIPEAVHEGLLTKRVRHSIFNIRGIRNTETEEQVSFNEAVEAGIIVPNAERVVDLRTQKSYLISDAQAKYLIEDALHELLTTPVGIKNERGAFELNLIRAVSSGIIDPVKGVFFNKNTKHELSTKEAYEHGLITLRGALKVFGLLNVPPALITPSKKIDRKKRIGRPGAGGLEVGENQVKVTIAEAMKQGLIDSRTQRYRQGDINVSLDEALSRGLIDPASEWIMPDRSKGVGPTIEEKTTETMTETGQQLAPKYFPDKNIEESVTTVKRVRTTETTALGGPGGVSVYRSITGGKGALEVPSRGYHIYEAERKGLIDLTNGKISAPNVERVLSFAEGIELGIIDATTIQVSSSGRNMSVKEALEKKIMESDGSVAGRNIEKAIESKIIIIDAEPLVPYNNQSKNIIQIPPGNGPIISFRQVGQPIIEESTQSWEFDSQQGVLIDNLTGEKLTLERALATGKLAPEDISIRDGLTGREMTFEEAEKWGIIDSKNRYFVDKAQNKRMSFTEAAQQHYMYPNGGVPENASDAVHTTVKVQTRTAVAKKEALSSGLPLSDDTLGKALALGWYDGSAGTFTHPDTQKKMTLKEAIIKGLFNPYDTTIVDKRSGKELSLLEAIHEGIVDDTAGTVKDTQTGKTHNLLEAGNLGLVKGKNFGDTLDSSLFSGRLDLGTGNYTRPSGGGSMPIHEAINRNYVDQSSVSVRDPSTGHQYSYHEAVERRIVDPDRGLIHGGNNDSTSFSQALTTGHLTSSGAGGRPTSGNQQRLVEQRLQLTPFAPSNNGVRSRDGRHELVDLGGGQQVQVKVVRGEGGVEKGEYIDPNSGMKFTIQMHGDPVVTETKTSVKSTSQVHSVELEPHAEFVGIDRVRDKRNNRVMTLEEARKLGIAKVDKKGKQMTRTYQVFRSNIQNAVNNGVKDSNDEKLSLEDAIRAGIVDIRSLTYRHPKDGSIDLTQAANRGLIDVTLSEVLPKGIIHPGTGERIDIKRGIELRIIDARTGEVRDPRSNERITWLDILKPVYQAIATDGVFDPTKGHHVPVTSALNDGLINAGTGNYKNTITGEDVPLNEAADRGLIDRSTYETITKPFFTDYRSNRKLNLVEAVRERLIDPKNRTIQLSRQSIVPIAKAVQDGRIPLEIGEKLRRVDKLNFAEALGKGLIDSKQNVFTDPDTGRQMSIAQAIQEGFIDTGSVQGIEGNDESNLFNVLESSDFDENSGRIYDKKSSLHLTFADAVHRGVIDGDSLLHLQASGDLLTLRDALHQNKIDSNGKFVDGGSRIKISDAVKSGRLTVIASPSEAVQAVTEGVKRRDAEGYKFKITEYEDAQNQRQSAPKFRETTTVTKLTPHYNDPGLSVRMRQSTTSIGDRASKFIEDPSQLAEIQQDFLSSLEAAQFDTDARVIENPQTGQRVSVREAAETGLLDVQTGEIVHPDNGRRYSIPRAVHMKLVGGDAAKRLMEQLNVPVEEVGYATQTITSSTHSAHSPVFATASVSSHQPGTSSSGAAGGGTTTREYTRTINWHGQPSELRNSKTDPLAPYTSVTSNVTESSEDAPSWARKQ >CRE09760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:591:7145:-1 gene:WBGene00070354 transcript:CRE09760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09760 MFSEENVTQVVSFREAEVNNMMGDLTRFRQEIFTTHLTFNPNTQSVDAATKNVQKMKESLDSWRDKIKERLDAIDQLCREEGDSMTPEQYQALREMRRQLADEYETVLRTVEGIHTRLNILSSLLIEFSSLTSSMQSWMTDRTRLAGDIRHKSGDSQKSDDARFEAKSLMEEVIREESRLKTIGASVLRIEQEIAAMYDDVRASGSEDVPSGISVDEVHETRRRVEDDYTQLLRQCQDLIQFQNRVHAMNDEHSEQARRADEWLQTLQKEVEDVETQRIPDDDRIQRIEELNRMAAGGSSQLDEAESASRRLLNALEGTNVADDVRKRHEQLANSRRERHQGVIDRLQQNMMEAASRKAAAEGVKQAVANLKAWSQKTSEKTKRPVELPLTEIALHEARRDEQVLHGEIENRLALAEELEKKAEDVGDSESLGGLHEVKKQLKRSNSDLKGHRDNIFDAINGLQTVNSEAEKLARSVDAAGAKIRNSRLPEAETEVGQLQNQAENLEKITKNLCDIPNVTQTEPVIQKTKDLRRRVDSCAQELEAKKGKAAELESLDADFEGAKNQLTSWIGALDEEMKALEKVSINREKLAEQRKEIQELADRQQEGHSKLDDLEAIALKIGGASDENKAGNAQRQVSELSGRLQRQASELKARGDKINKLDGKATAFVDSEQAVLEYMEKRKEQLDGLPVPVTKEGVKSQLMDLERMDKSGRGEQRRVEETRLSARELGREASIEKEAMEMATKEKNLTDRWDELADAFDEARERTRNAEKVLDECAQIEKWIGAKKKMVEAIGAPSTDQAVAKSQNGQIQLMKAETEGEKTALEAVNGLANELMSRAADKQSVEELMKKMDALNRRWHSLESGLDEKAERVEEAAKLGQELREIQKELR >CRE20620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig748:10791:17740:-1 gene:WBGene00070355 transcript:CRE20620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20620 MSPNDIGDQLATLDSLKSRFGGVDKALGKLKTILEATEDLEVDATNRAEIQEQLESTQKKADELERKIENVKKAALNAQNEGMELEKRLDELIGVVSSAENELEQAAPIAADPQKLLEDSKRAEALFQQLIDHEGDVSLLRAKVAEEIKKKPDAELKKKLELLNSKWPKALGAARDRKDLLTKAGELVKQFGESEHALEQRLQGDQQELDGLLNSEDPEACDALKLVEMTMARRLADVDALNAIMNRIESAAPGPDANRLRRRAEKLADDVKSMAKKARTAAAAAQRKHDFSAKFERLVEEIRQFSDNQKAKIEEAVEKDQMNGERVQSKLNEIEDFWSLKSRELKAVGDEIKKDGCSPDDAQNVDQKIGDLQSGIDELLAILHSQNDRLAEKKEAADQILAESQKASAKINSLVAEIADLDPIGRSREELRKQKEEILNLNGDLDSAQTKVMELGAEWEAALGAGIVTQPAFETNRANADELNKLAARAGKRLAQREKKLIETESEIDKLHGDADEIVGALGAISSDESIQNTPSQQLQDPKQVAEKVRKLKESLKPVGEKMDTFNSDCKLMIKTAGPEADTKELDSLLKRVGDAYSDVVGKVSDKEMTVDAAVQQQGKVEDAYRALLNWLEETEEMMENQKKPSADAKVAKAQLHAYEVLMKHVEDKKPSVDGFKTMIEKMVEGGGGADGSDRKALLNKNQEIDDRYKELLNSAVDRQRKLLDAVDLAERLQEFTIPLDQWLISAEKRLQGLAKVPITVEKAQEMLGEQEALQEELALKSDDLSSILELAPMLASLVSVEDANVISGQVAQLESRARALDAGITNMRPLLESFLQQIQDFTLDEEDMTRFVGETEVKLSDLDELPIEPDDLVEQTNILAEIAVSIADRDEMMANIFEVGKQLAIQGEPEEALIAQKRLDDLKFRYADLMTSADEKIALLAKAIPLSEGFHDGFDNVMQVLEDMDRDLQTIDEEDPDTQAELIFLLEEDISQKIRPGVDDLTVLSTQLQALCSADKADELFANTGSDE >CRE06084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:208:1933:-1 gene:WBGene00070356 transcript:CRE06084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trpp-6 MAFPFDIFHAEIIRTVLEGEKERCAAKEEFGTILENLNGPADIEKYDGLVQKAFLHVNAETKLESIGFRVGRQLVEKVSKEAPKLVTELEIVKFICKDFWSSVFGKQVDNLRTNHQVSLNFHFFFHFHTKNYTYTVSGI >CRE06050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:2279:11077:1 gene:WBGene00070357 transcript:CRE06050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rde-1 description:CRE-RDE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NAX2] MTNSELKFYTHHLDPNMKWFPRPGEKCSGDYYVDKVMLLVNWFKFTKKIYDREYYEYIVEMKKEKRVKNKKTGQTTIKSSEIPILDRPKLFWQHLRHEQSKNPFDIEDYVFDDKDTVYSIHKNETGMNSVMPDPENPNITYILRIQYMGPFRLHFSREDPAKDDLANRSYKFLKAVMTQKVRCAPYMGNEIAIEFAKNFVYEGNSILRIPESFHDPSKFDYSLEIAPRIEAWFGIYIAVKELFDGEPVLNFAIIDKLFYNAPKMSLLDYILLIVDPETQNDERRNRRKQQLRNEKLRVSPFQARQIEKWVENLKLKCCEVWDERLNRMTERHLTFLRLSEYNAVEQTIPIPRGRARDAPIDNVPLSRIYEKNRKEIHFPLLPLAIVKSGNREYSVPLEHLEIHEKPSRYKNMIDHAMKYKFLKQTTRKPHIYKQETIKMLEDLGFSDGELNFVERFELCSELKMISLMGKVLKEPNLVNKENKKISMTPVIRGFQEKQLNVVPEKELCCALFVLRAEDEKEPCVSEEDASLFYKTLIDGCEFRSIRIGTHDNSDARSLLYDPEAKRYGFYKEVPLQYGAANFHAAANDAKSMFDRLLDKDQKILLFIVISERSLNAYGYIKEFCDVTLGVASQHITAETVLKALHQMRPESGVKSKRIFYQIALKINGKLGGVNQELDWSENGEMSVEEKEERRKAPLRMYVGIDVTHPTAGSGIDFSIAGIVASINPGGTVYRNMIVTQEECRPGERPMAHGRERTDILEGKFVQLLRIFAEFRDCDLIRTISMIEDEPMLWDMTDADYKNGEKKDSTWHRLESEVGFLKVNRGYTIKKIWVQMVRDYRASKNKNKAVSGSGLEEMTVNEFPFEKEMSFLGNISSNSIPEPCEESTPSVTKRYSSYQRENMMETPKRRRSSEDVKEETDIDKLIKICTMKLLEPEKPTSPHEENSELLVLINDTMKFLTPSQQLDLKLDIGNLCRNAKLQNSKKSASYSSMAEPSRSGFDDYDFDWQKYN >CRE06052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:17055:21782:1 gene:WBGene00070358 transcript:CRE06052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06052 MTSLIGTHSGKFHCDEAFACFMLKQLPKFKEHAILRTRDASQLEKCDIVVDVGGVFDHSKQRYDHHQRGFTETMRTLEKLNFDTKLSSAGLVYAHYGKDVINQILGGNVTPSMVDLFYHRLYEQFVESIDAIDNGIAQYDGVPRYHSPGNLSARTGQFNAHWNEPENDADERFEKAMEFIGEEFVRSVKYLANVWWPAREIIEKAVEKRFENDASGRIILIENGGCPWKEHFFDIEAQKNIRDDNVTYILFSDSTNASWRVQAIPSDKTSSFENRQPLPAAWRGLRDDDLSKESGIPGGVFVHISGFIGGNLTRDGALAMARKALEIGAGDEENPAKKQKMES >CRE06054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:23479:26582:1 gene:WBGene00070359 transcript:CRE06054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rmd-2 description:CRE-RMD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NAX7] MRSLVLVPLAIILALCSARYTDDRSYGDTKPADSQTQSSIMSFEELDKLFGTDRVQEGYDELKKRYDAGEKSIDILWRLAKFCNEIGNRVEKNKRKDIILEGKKYAVEAWNLDSNNFLAARWAALMSGKSAEFLGTKEKIEEGKHCKEYLDRAISIQPKEDALLHLRGRWALSVANLSWLERKAASFLYSEPPTATVDEAIADFKAAFDINSLWIENTVYLGKAYYEKGDKAAAKPYFEQALRHTAANDNEKELLAEAKTLFGKC >CRE06086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:26583:32146:-1 gene:WBGene00070360 transcript:CRE06086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tofu-1 MAGFRSFDELDLFSDSEHEEDFSKFLSDPEETEQEYDSEDEEETDWDLNLKKSDVVELPDGAEIGSSAPRDEDNSLLDSLLGEALSGDQINPSVDGLFDDLSLSDYEPEIIDRGLLETEDSGISTGASRSVSAETSEESTEEKLLDMSSIDKYLQYINEEEHEVSVDDLPDFASKKNVYGTSFNVRTGNISRPPRFEFARRDDRWINSCKVMTYENDKPLNELLTLGKKVPTVKRGMTINSTCKFTDVHCRSAQEMAEMETHDIQQIIRDAFNAQRLAVILFGVEKDGKVTGCLLNAGKQDNLRLALDTAVQTEFVPPIENILDAIDVQFLPVDGVENTFLIVIRIKQLRNQKYRLESSMLPRKCTIRFGTSITPNFAKLIDAVPPTDSDFTNSTLHPTTTSSRIPEAPELSMLRRPSLSAILSAISDQKALYLWQKAKIDEMGLSAFKAYMTDRMTLGTKTHTKVEEMLKIGHNEKELTEIIDAEKNLAIRNYMKSAFPVILKIQNPEISICEKRVRHPLLAYQGRFDAVVKWNDNWTILDWKTAPARSSFSQQREESLSYASYVRQLAAYASAYNYDVRFEDLPIAKQGLLVSLKEDGAPAELYQIPEEEMENTLSDVKEKLREFWSKVTSSKGTNIDFAYKPPI >CRE06055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:32563:37967:1 gene:WBGene00070361 transcript:CRE06055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ruvb-1 description:CRE-RUVB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NAX9] MDMEIDDPATGTSSSRLAPMEEVKPTVKQIKRIAAHSHVKGLGIDPETCEALPEAAGFVGQIAARTAASIIVDMIRGKSMAGRAVLLAGPPATGKTAIALAMSHDLGDGVPFVPLVASEVYSSEVKKTEVLMRSFRRAIGLRVKETKDVYEGEVTELSPVETSDNSGLGKTISHLLLSLKTAKGSKQLKLDPSIYDSVLKQRVEVGDVIYIEANSGIVKRVGRCDVYASEFDLEADEFVPMPKGDVRKSKDIVQNVSLHDLDLANARPQGRQGDVTNIVSQLMAPKKTEVTDRLRSEINKVVDEYIESGVAELMPGVLFIDEVHMLDVECFTYLYRALESPMAPVVVFATNRGKTTVRGLDDKSAHGIPPEMLDRLMIIPTMKYNEEDVRKILIHRTEAENVKFDEKAFDYLSRVGSEKSLRYALQLIAPARLCAQTCGRELIEMEDVEKCTTLFMDRSESLKKAEETQQRLAAKKA >CRE06056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:42856:44889:1 gene:WBGene00070362 transcript:CRE06056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06056 MSWREGDFDPHGGRNAFRLTDESKQSSSERAASMRAMRSFTQSIEDIEGPPELPASLDIDMIEGVSMKTSDTNDTIIEVKPGSKVDPQLGETQKYKMAVPGPGHSEQEQELHKSLIRDEEEDAEVEQVFAAVAPEPVVTPPKSLNFAETAEELRHAYDQKIRKRPTTPTSACVLDGIAFFAGSVEGASDPVPTDTEKIKVSIPNNRRRKTENMSYSEFYESMANQIPGGGGRRMSQSGRTTPLHDYEGDILDENPHYKDIAPPAPVVHRRSSIEWENFADMEGL >CRE06057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:46661:55544:1 gene:WBGene00070363 transcript:CRE06057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-41 description:CRE-UNC-41 protein [Source:UniProtKB/TrEMBL;Acc:E3NAY1] MEQAEEKARKEEEDVAKNENDDVMKKEAEKTKKKLGFHAKPPTVEITSPDAPHQGAFHDNTPKEPKVVEVAEEEDDDLPTFSEDMDEARQQIQKVMTESVVESDSAPEPVAKPVEPVAPEESYQNNAEYQQEGQEAAYDPNAYPGYIWNYETQEWEYDPNYVAPEVDQSALEAQAAAYAEYDQNAAATAYDAGYDQYQSYQDTTYPAQAYADQGQGYQAPQGYGTEAAYGTEGDPSQEYDYSAYGGYEGYLAACKAYEEANGVDATAVSTEYGYEQQGDYHHGAVGATDETETPATSTYETSTYEPNGEYAGYGDAYQGYDQGYYQSQEYDYSQYPTEQEQEAPPPRPIEPLFKQAPQEPDPFGWDAGAHAPEAPAAPAQEAPEAPTSPQPARPPPARPEAPKKAEEVEPPAPPRPPPAARPPPPRPAPATAKKEEPKEPEPVEDDAWAQFKRMTEKVRQPVCRPRAPRTLLYTLFPPLADVSTAVKSTESTLKNLEETSAANDIKDESYLANVGGSQGFVNESTQKEIQRLTEEKKMEKMQKKKLKQQGKKVASPTYDPDEEDAMDRAAQELAMKMASMRTDMDDWKAPEMIPVKEIKKSPEIRRVDSASAIPPRKRSSIKDVQQDSGGSLELPAHLADKDGNVAPNPKGDHAPDDPILSAPAWADFESSEPMLPPSESGFFSNKDASNEGGVVREPSDDPFVTTAISSEKRSSFVSDPFAPQQAALIDDSYDPFAVVAVEEVVAMAKAKAEQAAANAENDDDFYNGRQSPTLSTPTPEGGSPISQRPNAFEDDFKCAELTGLDTPTPLYDEDDSQPLADFLPKFEGDGWDLMVRHPIKKKSFMAERCWKPCYVRLHGLTLYVYNDKKDAQPIQELLLQATYSLSDTTLQAYDVYGKIHTVKLQFVVYKEKVGIRPGQISRLVDGHITKYGLPLEHSAQCTVLLKFGSLNATQLQGFVTTVEDLLFKCKITRTAKPVYKQDEVQIHCYDEYSAFVDKEGVLSDQRARVRLFCLAFLTGSPLLEVGLNDRRRQGKEIVRRKDILPMYTERWIRFEALEFHSIVNKPEFDKEQVISFSPPDGCFFEIMRFRVRPPRNREKAMSVKCIMKIAGSKVEIRIEAMAAAQIQRTRGSDERRNIPCEDIAIRFPIPEAWIYLFREERHWGVGSIHSKKLRPGKVKNLKDRLLGAVQASEPNLIECAIGEAKYEHVYRSLVWRIPRLPEKHHAAYKSHLLKCRFELSSFDLMPEEFLPRCDVDFTMPLATVSNTVVRSVSVEQHEDSDRVEKFVRYVAKYQYKVEIDYVQCADLDLDMTDPSVNPEAAAAPVPELHQPTFNPANQGPDSQQGYRIDFNEAEMGGANRRDDSSSDDEPDNHKMPIVKIDMKNYGY >CRE06058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:59944:61816:1 gene:WBGene00070364 transcript:CRE06058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06058 MTSPTKEGSPSTDPLEDDFLIALIDSVQRNPCVYNRYDPLHKVTDYKHEIWKMISIEIGYDGQPVELERKWKHMRDKYVRLRKQDKQKAPIKDTNKWYNYYQKMSFLDPYVEHRNRKRQKDRDSSPDMIDEDALFMDEIKNMGKIFVKQGNKSPSSSTSSSGNTHGRNLDSPVEEMEVEIPKRLAHPYDKMFDEAQFKISKEVGKASFSIIRQSFQTIPLLPPSNGNVAPSLPQHIEMPRSRKRKPIPIKIPSESPSPPEKVMKESILEEMLKEHNEDQVSFFIRTISQALSNMDHKQFATARLEISKVLYNIELDRVKMANLVPSCK >CRE06088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:63152:63422:-1 gene:WBGene00070365 transcript:CRE06088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06088 MNAKFLICVVLAVIMCSIETSAQYYGYASSYYPSYYGGYGAYNGYYGGAYGYGSAYGYYGKREAGFGQQAN >CRE06059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:64623:67078:1 gene:WBGene00070366 transcript:CRE06059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06059 MDLFTAEYPSSWNDPKVKKWEAMARNLQQMMYLQFAGAQFHDITALSEEARITKVCYAINGPPTQGAKNLESAYSTEQRNFGKICFDKIKEVWRQSPESRRDRKRIKLGFVFVYCKEGEKEYQVPLFRLLWDANTGSSRFIDTSLRVYTDAEDWKANNRMPMMKYCFPANLYYTCDKSSGAYNFDPKQEVAVGFDTSPACNFLSRVFSITDQVNTAVGVSVAITALISASILNGPVLLSICVVTASWGVGRAIYRLADKKLRGENMDDLESKLLILSIISSPLNFLNAFVGAKLAAGAAGGRIFTHFQRELATLLIWTTIGVDSFSLVFSMVYLIEKADNKQLTTLDCLLFSMSALFFGNMVIQPKTAEAIIMKAQQQKIGEVARQMTDAEAKAAFKKYLEHNKSKGNIQEGSNVVKDLIKMEDPNAFFKSVKNFPEVEIGAAYAETVRSETAKVQKPGKLKACLGGKDYRDHQYLGELNEQQIGRLNPVFGGTAKYDENIVNFSSRVARELKMKNNPDGYMSIVEMVVAKTKQDNNFVRTGNARDFAAGIQRDLAKVQRIGSQKELKFADPYKALYHYRKHGEQFMKKCTPEFYLGELPGQIKSRGKLADACRITSNLKNGGTEVYIRKTYFRDDDAMLVVIEKEHMKTVSTMFHKPKCWEEYTQRFQEVNTSTLKANFAKLAVATGFDAVQLQNRCSTLFFQRNNLTQSDPNYAKYQKMVGILVQDLANCLIHDSQ >CRE06089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:67208:67479:-1 gene:WBGene00070367 transcript:CRE06089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06089 MNAKFLLCVLLAVIMCSIETSAQYYGYASSYYPSYYGGYGAYNGYYGGAYGYGSAYGYYGKREAGFGQGRQTN >CRE06060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:67826:68095:1 gene:WBGene00070368 transcript:CRE06060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06060 MNTKFLLCVVLAVIMCSIETSAQYYGYASSYYPSYYGGYGAYNGYYGGVYGYGSAYGYYGKREAGFGQGHQAN >CRE06090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:68530:68801:-1 gene:WBGene00070369 transcript:CRE06090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06090 MNSKFLLCVVLAVIMCSIETSAQYYGYASSYYPSYYGGYGAYNGYYGGAYGYGSAYGYYGKREAGFGQGHQAN >CRE06061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:69271:71007:1 gene:WBGene00070370 transcript:CRE06061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06061 MAAKLKIFAFLFFLILLIFIFFLFKTRNNRVPVKLEGFIHSAYYYETSESLGSQAIAIVLTVPLTFETPTIQLVRTGVSNDTEFIDGTIIFEPEENHTEYSTAIIKGNLKTLKTMEKLEIVGGIEIPLKMPVSQQYPVVFCIAPSSQDSTLNWPDLIFQIHVSRYYGAHLHFYLTNVSSPIYDFLKIYQSQKYITLQPWLKTSNSTDGAVYTDCLLQYKDATDYIGFMGPNEILIPSGASSYYEEFMREFGGNDEISSLKYEQYLLEVQRGARFSVNVNGRGPGHRVTKRVHNGIYRLENQKIDKMLIQNFGIPKAIRRDIPLLRVDQIAEVEKDWER >CRE06091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:71476:73028:-1 gene:WBGene00070371 transcript:CRE06091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-anmt-3 MTVNIETQTTNSTDESSSPLIQNIPTEVVRELNGEKLLDRTTFFTEFSTNAYLEDFYTKVDDPAMQMVLTFLPNIVARLGKVDKMLDFGAGPTIHVAACFREIANEIHLADYLPQNRDELCRWVNNESKFDWTIPLRMILTREGQSWDLLPKVEPATRDKIMDIYHCDVFQNPSVDCPKEIFGQFDALVTIFCVEYCCNTLAEYYSAIKNTGAAVKPGGYMIYGGVFEETWCSFGGRKFTCLYITKEVMLDALAKAGFYVEEADRGCVLYEINGMFMVSAKKRI >CRE06092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:78320:78655:-1 gene:WBGene00070372 transcript:CRE06092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06092 MLIELSTLLLLSTLFVSMLAGCKKTNNASKLKPKDMGKGTTPMKAPANGGSSKEPVPGPPMPELTSEPKLAERPADDNETINDAKSNWGTVS >CRE06063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:79092:79362:1 gene:WBGene00070373 transcript:CRE06063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06063 MNSRFLLCVVLAVIMCSIETSAQYYGYASSYYPSYYGGYGAYNGYYGGAYGYGSAYGYYGKREAGFGQGQQAN >CRE06093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:79916:81672:-1 gene:WBGene00070374 transcript:CRE06093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06093 MFCFLQPTFELLRTWGPCFSKTFCCCCKNESSEERTLLNNSDTYGGRELQNFSKPAEDSEDEEEKASRRRIAEHKKQLEEERRLKMEKGNAEIVIMQRTRKEIEDDVRNQEQRLSQLVRPRRSEGAGNGDETLDTNNESSDDEEAQIHKEFQEKLKIQNEQYEKKLNDMQKRKLIRQEEHNIEMSTLKTERLEKLDNLLKLHSIFLFSSSVENEKESERVAEILRKNNKSFKEVPVDDDPYTRLAIKEFTGRSDFPLLLVGGQWVDTSIEGEFLSIVVDL >CRE06064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:83561:85454:1 gene:WBGene00070375 transcript:CRE06064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06064 MLVALFVNVICVGAALRPDILPSTSPPLDIDTELAKIADTCLSETDYEELCGNIVRYITAIGLSHVLVHESIASIALSELRALLGFSPLHPWQVYNRTKPSETELKNAPTPEAYYELREPRNQRRSLNSTHLFEKNVDAGIKYLDEHFPAIRTIFRQIFEEKLVGKGVLDKKLIDHMINVYFEALNRVDKATSDMLWYKLKCDGF >CRE06067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:100576:103671:1 gene:WBGene00070376 transcript:CRE06067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06067 MDSKIDIPKELIQELRSSSRKRPIKTILRENWGLVEKIDAKLKDADISKNLKPVPAHLTQNFMSTVSVLVNPRKLRRSKSEPPEDEVSDFESDLRDAQRDWRGFFYKYETEIHDFAVSAKKNGGVDFHEELWKRGRIEKLTTAVWNISERVQNKTVSIIPPSRFIFSFISFLASWTIMPVINTPRKLSGAASSLLRFQDRKPVENGYSQNGTQRNGTIANGADKNGAEKNGTTKSDSKISKLIITPVESDKKLRKFHEEMKDTEKFISEKNQEWHEKLNMYQKEISARLEVQEKRLYSEIEKFQQEKEARRQQEEEEFTKRMNQQDTEFHKIIKKIDDERKKLSEEEHKNLLETCKKQDAELLNLLEMTLSPKSVQRNWEEHEDYWSSRLQILRNSLALVRSEFWHFERYFRQQSENPDKNPNFVKTIYEMESASFGQTVTRAQSLINNQHEFFDALFDKYDDDFFLRVLWKITSNIANQLDKVILELWSIAVNSSDFDHFRLRSAVLEIDPCSIPTSWRLKGICHSADPSDYEDALSNSSPSVYSHF >CRE06070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:112561:113503:1 gene:WBGene00070377 transcript:CRE06070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06070 MTLSPKFVQRNWEEHEDYWSSRLQILRNSLALVRSEFWHFERYFRQQSENPEKNPNFVKTIYEMESASFGQTVTRAQSLINNQHEFFDALFDKYDDDFFLRVLWKITSNITNQLDKVMLELWSIAVNSTDFDHFRLRSAVLEIDPCSIPTSWRLKGICHSADPSDYEDALSNSSPSVYSHF >CRE02527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:131688:133026:-1 gene:WBGene00070378 transcript:CRE02527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02527 MAPRDFDPIPVPYQKIRGVPFYMNFEYKLNWVTPMTITDLLLNIIGTLIFIQIPIFYFKNKQKIKNIGLRLDVFQSFLLMQTWSIWMLIGEFLMFKIPFTGMITNYCANNNPQILLRFTVFFFYWAHYSSQLFTLLFCALRVAILYSNSNEEKKKLFYYLIPPFIFFSFSRKCTSFELCAFASFVFTAAVTFTIIGLNIAMFFKIRKRKMSSLGQSQSTQNKKASRTLTGTMIIMLTPLIVYLFVLALEVIPSDYFVHILYIGDIVGDIRVHTVSCYFYFTHPVFKKHGMIRKITVTQKVTSQSRHF >CRE06072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:118257:119017:1 gene:WBGene00070380 transcript:CRE06072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06072 MEQPYPFGAILIISKFFEDNLVRLGIFYSNMQNDMLQVLCAFGNFLLTAIVTFTIIGLNIAMFFKIRKRKMSSVGQSYSSQNQKVARTLTGTMIVMLIPLIVYLFVSAAEIISTNYFAYILYCGDIAGDIRVHIVSCYFYFTHPVFKKHGMIRRITVVQKVSSLSGHC >CRE06068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:104390:105696:1 gene:WBGene00070381 transcript:CRE06068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06068 MPPETFDPIPVPYQNIRGVPMYMNFEYTFNWVTPMTIIDLLLNFIGILIFIRIPIFYFKNKQKIKNIGLRLDVFQSFLLMQIWNILMLIGEFLMFKIPFTGIITNYCANNNPQVSLRFVIFFFYWAHYSAQLFTLLFCALRVAILYSNSDKEKEKVSLLLFYYLIPPFIIFPFLASLPHLLTEGRCLQMEQPFSFGAILIISKFFEDNLVLCAVGNFILTAIVTFTIIGLNIAMFFKIRKRKKLSVAAQSQSTQNQKVSRTLTGTMIVMLTPLIVYLFVSALEIIQTDYFVYVLYCGDIAGDVRVHIVTCYFYFTHPVFKKHGMIRKIDVAQKVTSQSGHF >CRE06075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:127381:128888:1 gene:WBGene00070382 transcript:CRE06075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06075 MPPDTVDPIPVPYQNIRGVPFYMNFEYKLNWVTLVTIIDLLLNTIGTLIFIQIPIFYFKNKQKIKNIGLRLDVFQSFLLMQIWSICMTIGEFLMFKIPVAGIFTNYCANNNPQVLLRFTIFFLHWAHYSSLLFVLLFCVLRVVNKKKEKLFYYLIPPFIIFPFLASVPHLLSEGLCLQIDQPYPFGALILISRVFDENTVRFEKCYCSKMQNVMLQPLFAFGNFVLTAIVTFTVIGLNIIMFLKISERKMTSVGQSQSSQNQKVSRTLTGTMIIMLIPLIVYLFVATAEIIPNDYLSYILYCGAIAHDIRVHLVTCYFYFTHPVFKKHGMIRKITVAQKKITSNIANQLDKVIELWSIAVNSSDFDHFRLRSAVLEIDPCSIPTPWRLNGICHSADPSDYEDALSNSSPSVYSHF >CRE06076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:131150:132362:1 gene:WBGene00070383 transcript:CRE06076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06076 MPPEKFDPIAFAYQNIRGVPFYMNFEYTFNWVTPMTIADLLWNIIGTLIFIQIPLFYFKNKQKIKKIGLRLDVFQSFLLMQIWNILMLIGEFLMFRIPFTGIFTNYCANNNPQILLRFTVFFFHWVHYSAQLFTLLFCSLRVAILYSNSNKEKEKFFYYLIPSFIIFPILASVPHLVTEGLCLEQPYPFGSILILSRFHGDNRVGFEKKGYSKMQNIMLQSKTAFANFVFTAIVTCTIIGLNISMFWKLWKRKKSPAGQSQSSQNQKVARTLTGTMIIMLIPLIVYQCSATAEIVPNNYLSVILICSAIAGDIRVHTVTCYFYFTHPVFKEQGMTRKVDVTQRVTVS >CRE06094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:129158:130364:-1 gene:WBGene00070384 transcript:CRE06094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06094 MPPETFDPIPVTYQNIRGVSFYINFEYKLNWVTPMTIVDLLLNIIGTLIFIQIPIFYLKNKQKIKKNGLRLDVFQSFLLMQIWNISMLIGKFLMFRLPFTGIFTDYCAASSTEIYSVFLSLDTLFLSIIYIIMIYYLLPPFIIFPILASTPHLVTEGLCQQMPQPSPFGAVLLISRFNLDHKVETAFVTLGFTAIVTFTIIGLNISMFWKICKRKKLPAAGQSKSIQNQKVSKTLTGTMIIMLIPLIIYLLSAASEFIPNDDFNFIMFFGAIAGDIRVHIVTCYFYFTHPVFKKQGMTRKVDVTQRVTVN >CRE06096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:137393:142704:-1 gene:WBGene00070385 transcript:CRE06096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06096 MPRSDPEILKDALRKELNDEADLRRLRAAKESEERMKNFETKTQRDLKISIENLEKRKVEEIKSMELETEKKLKEIEKKKETEKAKYEEMVAEIKKNSEKTLEEKMKVYNGIIEKNKKLLEVDQLKLEKEVLETDEELRKIHDSINESIVGDIEKTDLKREKMVEEKKMRAEEKLKEILEKKQENLETELKLGKEIAVKDDELHDKKNQHIDELGVANLQTQRGMFTTLLTKNKQYNNRVMNELIERLTTIQDVINQESSRCRRFMRDGVESDDGTLRRAEEAFENLSFSFNRARQELTNTERRLAEIQDKEASDELLKQIREMRRVLFSLDNCVAGFCGRLMMGDTNRNLEDEKELSTLMQKFSEITFLFEVKMGGSSSKETESIRAEHKEKQEKERVEREAAEELQKKEIEKKRQEEIAKENAKKEEELKMQENEFLKKVSQQAKSYEVMKAECELKVKELELEMKKNMEKLIEVQKNDGLEQVSEEKQKLREEKEKLIMKKEYMMNEQARLTEIREKMMVERSEMVKEKEREEMENMNKHHENIQKLSDEKIEVVKGGEKEMTKLTKEHRDFQDAIKKQHGDLKAVQMRDTFQLLSIHHGEQQYEDFRRNCRQHINRFSTFKRDFDAEESSLITTQDDIEDGFKLSDIPQLSDALRALRALEAANENFSFEGTDDEEKYKALRLEVKNLAEDLRTVLDLIVSRIRRYKNSKPSTSTPPQSSHQRRGSDSTMNSDIEMIYDKGVVTPPPSVKDLKNMDVDNEQTSRDNGNYSIVEEFKKAKELIKKLNDVMLKFNAPASNKFEETLALQFQSLSVAHSQIVGQITNGLLPSTSGTAQGITEGPSTTKPAIQQVEESEESEESEEETDVETE >CRE18207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:1509:2942:-1 gene:WBGene00070386 transcript:CRE18207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18207 MTSCIASTYRFTSKKKVLNNFFSNFLYPPYRKHSLSIGVAPPRTFEFSIAYSHQSDCESMTFNTPQSFLHYVRYAQLVAQLGFFSTTFFCFILVFLTMFGVKRNFGSYTYLLILFPVVGIFFATIELVLYPNVYSHNAGYVFYSTSRPFNMSQDAVTWFLAFYTGVYASTISMLSVQFLYRYWAIFDETNLRFFKGWRFLIWIAYSLSFGFQWAFGIYFFDKIDDYAKNYLRLEMMQKYSTDFSEIAGMTLVAYDKEGNIRWFNICCTLNMTAIMLVQYSIIIYCAVRMYIGMEEKLQMLSISLRNLHKQFFKTLILQIVTPTITLFSPVMLIIYLPLLDLECDLPTGIFLCAFTLYPAMDAIIVMYIVADYKKAAKKMLKNALEQTYAWLSTVETDQSSTRTRSIAANLPAALSPK >CRE18190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:3755:5011:1 gene:WBGene00070387 transcript:CRE18190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18190 MLIDMPQSFLDYVRYAQLVAQLGFFSTTFFCFILVFLTMFGVKRNFGTYKYLLVLFPVVGIFFATIELILYPNVYSHNAGFVVYSTSRPFNMTQDAVTWFIVLYTGVYATTISMLSVQFLYRYWAIFDEKKLSFFKGWRFLICTAYSLYFGIQWALGTYYFGKIDDYAENYLRLEMMQKYSTDFSEIAGMTLVAYDKDGNIRWFNICCTLNQTFIMMVQYSVIIYCGIWMYIEIEEKVQMLSLSLRNLHKQFFKTLILQIVTPTVTLFLPVIIIIYLPFLDLECDLPTGIFLSAFTLYPAMDAIIVMYIVADYREAAKTMLKEALHKVSSWLGTVETDKSSTRARSTATNLPVALSPN >CRE18209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:7716:9252:-1 gene:WBGene00070388 transcript:CRE18209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18209 MNSSSVVYDVKTWPNTVAAIMLTLSSFLGIVFNYSIIRNFFSDKKQKSAFNMICTFRASNNLFILIIVMLAIYVPASITGYSYYPPLLESIIIAFGTNLMIYNELQSIYTAVNRLFAITFPLKYNVIFGIKVTLVLHILYYLDRIRNVAMEHVERYQNSNYLLFSTEHLAYGGLMVAPDGMFKVALALLVFPFLINAVTFVRFYYLKKRTSRESEHWKKAKENMVLFAQTVLQDSLFSITVIFTMKLNTMMNHRFWTFFCQTYVWQIIHVLDGFIMLVFNDKISLFKKPAFTKVSPALTGKQVPERNTSTVVVARPIS >CRE18191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:10489:11744:1 gene:WBGene00070389 transcript:CRE18191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18191 MPFNTPQSFLHYVKYAQFIAQLGFFSTTFFCFILVFLTMFGVKRNFGSYKYLLILFPMVGIFFASIELILYPNVYSHNAGFVIYSTSRPFNMSQDAVTWFLAAYTGVYASTISMLSVQFLYRYWAIIDEKKLRFFKGWRFMIWIAYSIYFGFQWGFGLHYFGKIDDYAKNYLRLEMMQKYSTDFSEIAGMAIVAYDEDDNIRWFNICSTLNHTFIMLIQYSVIIYCGIWMYIEMEEKVQMLSLSLRNLHKQFFKTLILQILPLLDLECDLPSGIFVCAFTLYPAMDAIIVMYIVADYKKAAKKIMNNSLEQIYACLRTAETDHSNRRTRSTAANLPAALSPN >CRE18192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:13482:15178:1 gene:WBGene00070390 transcript:CRE18192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18192 MTTENLCFQLLKLPVVPRNHVLKLFTPVELISLSLTSRHTKSICKTIRGVYQCKKGMETFDVRLNSNRDIRMSFKYFPKCEWVFHFEKEPNRKGLIELGLDRIPSFETLKQWFSRIWHMFRGKRVNDQKTGSMGKSLEGLKFLSWIPVEKTASYGRGAIDSSPFKRHTMTIYSSDNVILPIHKLVLYISDLFNIELTSLGLNYTKFNADENRMIMSLFVLSQKNLKLFQLTGITPTDPSKNDILIQILKNQNTVNTRLLFNPSPKFFFDFNICCQSFKVMDIDYSHWISFKQILEMKTELLYLSRTTLLENDFKVIVQKWRNGWTPHWKIAMIELNEVLNIDSCAEGEDFFQLENQHFVHKDVIRRNWPIELNKFEQPLITTWGTIIRTGYHILRADGMIASIGVESDRVGWIHIQSPKHTRISLSNHLRSYEILWNM >CRE18210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:15892:16850:-1 gene:WBGene00070391 transcript:CRE18210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18210 MKLLITLLVVLAIHMVASASGYNFPTMRKRQVDMRSFSLYDFPDDYYNAQQEAQRQHYINPKPNYVTAFYPSYDFGRR >CRE18211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:19826:20153:-1 gene:WBGene00070392 transcript:CRE18211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18211 MNKLLLVVLILAILVQAQAYYLYYYYPSNNNGYQTYYYYPNNNNNNGQTTYYYDNGNNGNTGTTYYYYPNNNGYTYYPYTTYYYTYGRK >CRE18193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:20511:20919:1 gene:WBGene00070393 transcript:CRE18193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18193 MKFLTLIATLMALLATANAYYLYYYYPNNNYNNNNGYTTYYYYPNNNNNGYYYNNGCSGCSSSYYPNNNGYTTYYYTYGKK >CRE18212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:21534:22644:-1 gene:WBGene00070394 transcript:CRE18212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18212 MLSKTIYRSISQFAGVRDAAKYIPRRALLYVPASNQKMLDKIPMMQADSVVIELEDGVALTAKAEARAQAAVALDKLPYHTLSCQELGLRVNSVSSGLLEDDIIAVSKAEKLPHAFMIPKVDSPEDLVHIYNMFREHYGDDRITNTNTRLVIWIESARALLDMPRILSSTLNLHKQAGFFKLDAVVFGSDDFCADIGATRSSHGTETLFARQKFVTCCKAFQLQAIDSVYIDIKDLEGLKRQSIEGRQWGFTGKQVIHPSQVSVVQEQFLPPKERIEWAQELVHAYSEHESLGKGAFQFRGQMIDRPLLLQALNIIQLVERVQN >CRE18194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:23031:24424:1 gene:WBGene00070395 transcript:CRE18194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18194 MAKWGEGDPRWIVEERADATNVNNWHWSEKNATPWSLNRLRELLSGFSAEDGPIVITIDEVKKIDGEATANNRKAKLIFLFEWVIEGTFVARVSGSEEEYKGKFDIPNLSDENEASEVDLNTSLDGSGPLAHQIRQVLNKSFVSKIQDVLGVYIRELKEEFSKGLILPTDQVKPQVVTKGKTTTATVDKRQFQNTVIAEKEASTSGNEVFTTKEVSVGDTFKATPERVFEAITETQLVRGWTNGSIQEWNFQEGGTFSLFGGNVTGTFEKIEPNKEIVKKWRLKKYPNNHHATIHFTLKDNGSGTDIKITAKDVPTHLADETQSGLDRYYLTSIARTFGFSQRI >CRE18213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:24629:26055:-1 gene:WBGene00070396 transcript:CRE18213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18213 description:Methylthioribose-1-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:E3NCI2] MSLKINKIINGPIPDSFLFDETKRLDSLKFDGQNLEVLDQLLLPHEFKYIPILNVSDAFDVIKSMQVRGAPLIAVVGSLGLLLELQKMSELNSDEIRKKIEFLISSRPTAVDLRNSLTGIFPILEKEGDSDGVKLEKCREYLLEVYTSEKLQNRILVWNAYQELLAAFPNKNKLTVMTICNTGSLATVSWGTALGVIRALHSENRLELAYVLETRPYNQGIRLTATELIHGDVPFKLITDSMAAWAMKNHQVNCVLVGADNVARNGDTANKIGTYMLAVLAKHHNINFYPVVPFTTINKNIATGAAIKIEERPSGELLRVNGVLVGHEQCPVWNPAFDVTPAELITKILTDFGNWSPRLLEEQIPK >CRE18195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:26369:28353:1 gene:WBGene00070397 transcript:CRE18195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18195 MKIKQFLSTKNIISTRNYSLKVLGIETSCDDTAVAIVSSDRKILAAERYTEREIQRKQGGINPSVCAQQHRQHLPRLIEKCLSDAGTSPKELDAVAVTVTPGLVIALKEGISAAIKFARSHNLPLIPVHHMRAHALSILLIDESIRFPFSTLLLSGGHALIAVAESEEIFKLYGESISGSPGECIDKVARHLGPLGSEFDGIHSGSAVEILASRSSESGHLRYPISLPHVEKANMNFDQIKGSYLNLLDRIRKNGEDVDIPDFCASLQNTVTRHIATKLHCFYDSLSAEDRLPRQLVIGGGVAANRYIFDGNRLKNQFMNLILIILSNRAGA >CRE07186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2454:1393:1923:-1 gene:WBGene00070398 transcript:CRE07186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07186 MERKHLIIEGVHTYLYELFGLSVEYEIESDLEKLPPSLKYINRSAIQLPKNTTGEELELCFAASPNQEYVSITDSDDFELESNSILYGVQHLHMDMNGHCAHHILFNFRGKSLLLSSVILLNSSLVRFLNEWKSNKGFVNLRFFSISLGNLDDVWIKNRVDIKQSEVALELKWKMR >CRE18215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:36889:45562:-1 gene:WBGene00070400 transcript:CRE18215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-76 description:CRE-UNC-76 protein [Source:UniProtKB/TrEMBL;Acc:E3NCI6] MRFQCLSLSLSLSSLGVAHRERVTTAGSSVSSLFFFFFFFSRRRFLKPKEKKSPPQIESESIHLVGWPLSLSLRRLLLLPSLPFPSSPSFSFCVPNSPQKRHFLLSFYPTRPNQSKSMRFGEVAMEAADLRVPDIPLASCDDEDIDSNKNLSTHSSDEKHHNSNSNCNSDEERLHDEFSGSLEDLVGNFDEKIAACLKDHEVTTADIAPVQIRTQEEVMNESQTWWTLTGNFGNIQPLDFGTSSICKKMAAALDSDSLKDDASTRRSMTNSDDEDLLRQQMDVHQMIGHHHGSTDTGGETPPQTADQVIEEIDEMLQSCDFTGSMMTDRTMESVDSMYSSMRSPYPSSIQSSEADIKLRSAQALVSNPDNLQELSYSKLVTLCAEMEQLIRVYNESLVDELAHRDELDYEKEMKNSFISLLLAIQNKRRVYANDRKRKGGKGPADASQLPQYLTATIPYNDNQHIDNASIASLIKILRAIHDDNTTVPTLLTDYILTHVCPKNISC >CRE18216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:48300:49789:-1 gene:WBGene00070401 transcript:CRE18216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-3 description:CRE-DNJ-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NCI7] MISSKRLLASYITRKNYYEIIGVPATATRLEIRDAFLAKTKQLKKTLKFQLHPDQSSSQNSKKDSRVGWRTGASETEQFMLVKEAYDVLRNEEKRKEYDMATSREGGFLMEATLKTQQMSTQRRNIQRAEWSADVIPDARKKSKTTVYSHFRNPEEEYLKEKRKNRLLGVLAATVMALIAANILYIRRLQARRLLEDSQPVR >CRE18217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:49953:50501:-1 gene:WBGene00070402 transcript:CRE18217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18217 MVFPGNMTLFITPGVREFVQQYRILVYLCTPLPIVSKLLITFVERISSINRPSLLLIPVFILHLLAIFLSFTALSLFFDTEIVYFSCFIIFMNTCELIFYTLQNYSIFSSSRMLLLLIITSLINTFLLPTFLSIFAFPYVPVFVVSLIVSFYINFHICHFMDKLGPKDWPQAVVWMFSRIPV >CRE18218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:50808:51807:-1 gene:WBGene00070403 transcript:CRE18218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-otub-1 description:CRE-OTUB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NCI9] MANDSDENKPTSTAAMTEDDLLLQDQQLKQIEDEQKASPLVGDKLPFYALAGLYDPVSAITFFTKANELAAIYSDIRFIRGDGNCFYRAVLVGIVEILMKDRERLIKFIDTGREWMAKLVKLGFPDWTCSDFCEFFIEFLEKIRDGKYTEAEVFQNLNDDGTANYLLMYFRLITSGFLKDNCEEYAPFIAEGMTLQQYCETEIEAMWKEADHLSIMALVRATGIRLRIQYMDRSEAPNGGYQHDLPDEADNVTPDITLLYRPGHYDLIYRNLVAQ >CRE18197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:53911:55027:1 gene:WBGene00070404 transcript:CRE18197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rap-2 description:CRE-RAP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NCJ0] MREFKVVVLGSGGVGKSALTVQFVSSTFIEKYDPTIEDFYRKEIEVDGQPSVLEILDTAGTEQFSSMRDLYIKNGQGFVVVYSITSQQTFHDIRNMKEQIVRVKGSENVPILLVGNKCDLSHQRQVRSEEGLALAESWSCPFTECSAKNNQNVNVTFAEIVREMNYVQSRSRQSKTCCSLM >CRE18219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:55398:55986:-1 gene:WBGene00070405 transcript:CRE18219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18219 MQLLFVISILALWISATESTTCFVQNSIPSVFTQVCPGNIYTCMKFDCKVNNPKKFKQTTKGCNDPGNALVACTQLMTQCQAQGGTGQCYTCNGDYCNSSPTTFAAMLSVLIPAISYFLLH >CRE18198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:56813:59456:1 gene:WBGene00070406 transcript:CRE18198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mcm-3 description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:E3NCJ2] MDGHNDGMFIDRAEDARKQEITEQYLNFLDNQSDTHHYRQKIVKMMNDGESRLVMNLDEIRESMPERAEGLLTQSVLEMNCLQAALEMAINRSDVQAIVKTGFHVGFEGTFGERHVNPRTLKSTYLGNLVCCEGIVTKCSSVRQKLLTSVHYCPATNKVLEKKFADFTMLDTIVTNNAYPTEDENKNPLETEFGHSVYKDHQTFTIQELPESAPAGQLPRAVDCVADLDLADRVKPGDRVRIIGVFRVLPNKQNGVSSGSFRSIIILNHIQMLSKEIVPHFEPQEVKDIRKISKSREPFELLARSLAPSICGHEETKKALLCLLLGGMEKILNNGSRLRGDINVLLIGDPSVAKSQLLRYVLRMAPRAITTTGRGSSGVGLTAAVTTDPDSGERRLEAGAMVLADRGVVCIDEFDKMSDIDRTAIHEVMEQGRVTISKAGIHAKLNARCSVLAAANPVYGRYNPFKSPMENIGMQDSLLSRFDLIFVLLDEHDADQDAVVAGHVLKLHTFRAQGEADGTVMPMGGGVETISTINMETKKASSSIYEENTQWTGDQNSKILTMDFMRKYIHLAKGVKPTLTDEATAFISEVYADIRSYDIAKTDQERTMPVTARQLETLIRLSTAIAKVRFSKTVSKEDAKKAYDLLHFACFKEKPKARQEYEKNKRGPRHHDIDDEENEPSDEEPEDMTDEPGSSSVPTAATPRRGVRRRAADDDSQSSMNDTTVTESQPTAKRARTGPAAIGVDRYKDLRKYVRKAFDDIGQTDDMVDLQVITDSIQAQAGNNKFTEDELQAGYEQLENDNAAMIADDKITLI >CRE18221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:60195:62465:-1 gene:WBGene00070407 transcript:CRE18221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18221 MRNLLYSLLSLLLTFASFVECCDKGLTQLRHKDTLQLMRCVPTLPGHPDPCILQTGGQMSFCQPDGQDYVCCGTPELLVDLVGSQSKLQFPDKWSFYPRQSHYDYSVFVRGSKEQLESDPTFKNQVILTTPKPSTTSHTTTRKRQSLKSNVVLQMLTIPSLSRGNYSNSLKLSTNSVLVRDGACVFVVDTGLPAQKKQISKNLASYGAPAKKIKFVVVTSSQPQFSGNLNLFPFSQFIMADATMFKDNIVFMKRFEKHSILELCSPNSLIQSTPGPTPNSITVIVRNVDLMGTIAIAGALFPNGNDLNVFDTNSIYDIDKFIESRNRIICEVDWIVPASSSPFRVTQLHRSNANC >CRE18200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:80156:85871:1 gene:WBGene00070408 transcript:CRE18200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdc-3 description:CRE-SDC-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NCJ6] MGAGVRLDSIPKSNFSITVGAPIFKVPFPIVEPPAEVVAPEPEPEIKKHERPFWKDLKDTDPRHPNYINPEWIEAATKEFGVPLLPNGRCPSPDDDEYMPKGKSEYQLFKEREAERLRKLELMEYSDEEIVDDDVPVDPEPVSEFQMESSPISEKRVSRTPSVLRDEELNEPAAPEYQYTADELLGDLEEKVVTEEEDTSGDNGLLEDDLVAEESITVEEPILVEPETDSVIFPEEEAAMEYGILEKSDEAIKVKTPPKKTSKSVKKSVSVEQQSDPLDENALLDGAFEAQEEIDEAALLDKEISVEPENLVIPEEDSHALLLDDPSLVEPESTAPDFQYEDLEKEYGQPEKEATPAPAPTVVEPAPDAPRKRGRPKKRVPPQAAVGTVAKKPAPEKEEEPKEETKKPAAGRRARKPEEAPELVTGPRTKRTYVSANNPLPRVRDFVEPVAPADEEPDRLTGVAGACRAGSPGGTPEKLKHMSSAMRKLIEVDREESVVPQENVPIPVEEIKTEDQWVIPEPITPYSRQSKKRQREALNQNILEEIKEEIEGNNRENDCVIVFAQVGPPIVKIEDDPELVVMRANFNNEQLTQLEPEILPVKRIDPKGKEHPTHMWLITHKMWEGVNELFMTDPEKFSNLMMILVVDSKRRGNVFRYDVTVVNRTSEFTPAFINYLSECRKTLTIEQEESMEYNTFFKRYNEMATAFLGDHHISAYILHQVVENIKTVKNAIREDCPAGSLRENEREALATCERILIGQYIESIMKMAHISQVKWATNTHVKRRLEMIYHGWKMFLCTGGFFRLLLAIKMDKPISDVFRKYCQEYVEEIDILYARAIEIYKKTDEEVLKDMEETSGITAADIAKVDADIQVKKSETHTHRCSTCLIRSQDAYFSSSELLDIHEKIHTSDMEECGKCFSDLLVPELVMHRIITHYSMRVQDDY >CRE18201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:88738:96650:1 gene:WBGene00070409 transcript:CRE18201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cng-1 description:CRE-CNG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NCJ8] MSEVVSTTSSARRETLGGIVYRIYALRGWVRRARKRLHLFRKNDVDIETNFREAIRENPEEEEEKSGIFHFTVDEHSNSFYLWTCLISLVAMHPLIFTALSVFNDIHPFLRRTGPFNLIFDLLNIMDLIVHTRIEYVENGVAVKNLSKLMHHRLKSKFFILDVIAVVPAESLEVFGQSFFWARINRLTKCYRLFDFSTQTDTRTTSPHAFGLFKLIFICLVIFHWNGCLYFHISKFYNYTTARLEHWIFSYDKIINPILAACVTDIPNDKDFCDTDDFLITHLPDNEVQSTVTSFMDTWNNKTRTLKFDNFFRQYALSFYWSALTLVTLGEQPSPCTTFQNAFEIGDTLLGLVIFAVIVGDVGNMVVAINLRKSEFENVLDGCKRFMVYRKVPNLLRKKAVEYFGYVWAHGGAQVDEEEIAEFLPPRLFGEIAVEIHMDTLKKVKLFEDCDPRLLYELILKLQLRVYSPMDYICKKGEVGTEMYIVKEGFVEVVSEDGQTIFVTLPAGFVFGELSILNIPGNKNKNLRTASVRSKGYSDLYVLDKEDLWEALREYPQAKDSLIEKGIQILEKDKMIDPNMVDDEEGDFGGSIEEYLEHLEKEILKITTIVDDAEKSIHTSQQRMKTRLFGMEMELIGEMRRMGKGRKNRWKGVTTF >CRE18202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:100563:103749:1 gene:WBGene00070410 transcript:CRE18202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-obr-2 description:Oxysterol-binding protein [Source:UniProtKB/TrEMBL;Acc:E3NCJ9] MGSPRIQNFKRQTVLHKGQRTRLPATYVAPEDVSIWDIIRPNLGKDFSRFTVPVFMNEPLSFLQRLSENVQYNYLLEKAAKCEDELQRMEYVAAFALATVSANHKRMSKPFNPLLLETFELERNGVRFLAEQVSHHPPISAVYAESDEFTVEGTVEPTLSFWMTKLIANPHAHLKLTFKKTGETYSWCAPKCAIYNVIMGKMYMNFTSHMKIESSGTYDAVFSFDNKGYYNHKGGDVHVEGHVFEGKNKIKALYGNWTLFLASCDQDDFKHHRKEYVQMFNESVLEKDQGPVIPGSKILWTANQIPKLFDDQFHFTNFTLSLNEMYPGMSDKLAPTDSRRRKDMKALEDGKNDEAEEFKHKYEEEQRERRANKTGNVPMWFAKDDDGHWSYKGDYWAREFSNCLDLFESGDNNNSTRSNSSSSSTSSAD >CRE18203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:104086:111915:1 gene:WBGene00070411 transcript:CRE18203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmr-2 description:CRE-NMR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NCK0] MLWTLVFLFLISIDPLETLDLGGSRKVQKPKEDPRREDVNIAVVYQHYAGRSKSYDKAFKEVIRKINDATAVSSLRRLATRYNFQPVDCILPTGQFFVKEVLDCLCNNVTSNNVALIIFVTASETYDSTTAAEQYFLTAASYTGIPIIAWNADNAGFTFENDLSPYRIIQMAPPIEHQARAMLALLRRYNWPKFGVVTSEMAGNDRFVTAVREELERFSNKSTKWKRVQKSRVTIVDPSLVTGPNSLLNLAELTTRNLEEYIGEMDHPTTTEKALEFVATYGLLANERECEQDWCSQYMSLVKDSSKKNDMLVWRCSTCKSDGMSSKVSIRTSSLGRIDIYEMIHHCHLDTRNNTDIDLKLAEVKKNQAKIILLYANAAQAGAIFAHAEKHDMIGEKYLWIGTQSVKGTQTTVKAPAQAGMLCVNFHTVSNAMFAPRDDILPLIIQLAPKLFGAALLQLRPNEQFQLKSNVSCKSEDGDPYWENGKYIYEHMKAAFVKGNPFHVDDGHDSFFYTFEKTGRLRNSILQISNLRTNSKGEKTWEKVGIFTNNELKMADVQWPGEKANPPQGAADKFHVKVVTLHEPPFITVSDVDPDTQKCPGNQGSICDWGDVEYTDDAGIKKNRTLLKCCSGYCVDLLNKLANDIGFTYTLYKVRDEKWGLKTENGWNGLIADLMHNKADMCVTSLKLNSERARDIDFSLPFLDTGISIIVKIRSGVLSPTAFLEPFEYSTWVIILFVCIHVAAISIFIFEWVSPYSFNMQKYPPPEHKFSLFRSYWLVWATLFSASVSTDVPKSTVSRLMALVWAAFGLTFLAVYTANLAAFMITRVQYYDLSGIHDPMLNFPQDQKPPFRFGTVDGGNTHETMKRNWHKMHEYVKHNKFFRMNISSGIDAVKNEELDAFIYDAVVLDYWAGKDANCALMTVGKWASMTGYGIGFPKNSPHTSIVNHYMLQYQQKGDLERLQNFWLTGACTPDSHSQTQSAPLGIENFLSAFVLLAGGIVSHSSFFFFHFRWFQIVSVIVLGFEHIYCMHLRKPLQKIDPDGWCGIISMAMGKSLTFTEAVDRVQEWRSRTQSLASTNSPQLKRRRSANLKPIEDDVAPRRSPRFLQVETNL >CRE18223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:112159:113339:-1 gene:WBGene00070412 transcript:CRE18223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18223 MFHQSLDHSQTIEAGVVMSANQATDNDNAFSLLRDIVSNKGANEQLIIICEDKLCRNMTLSLLAKGIKSTTFLSELCDEKETFASQKLEFNRSKIAVCSDEAYQKLDLPLSDMYVIFGMPPKLSRFPKLLKSIEKSAESANRMVHVDVIVKPTDGPELVKSLYTQMKDRISAVPSWLKKAIVMHYAEEDLQVAYLNNESRPKRPSTPSKPAEKALNNDIQEPLTSSDTSGSPGSSFLQCQSIPSSPPIELIRKNNEIKPKNNEVEEDFDIYNMRPNAEGRYLIPARFIVNADYDENEVLSSSDITYDDEYDYESALYDEDFEYEY >CRE18224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:113606:115685:-1 gene:WBGene00070413 transcript:CRE18224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mut-15 description:CRE-MUT-15 protein [Source:UniProtKB/TrEMBL;Acc:E3NCK2] MGNIGCEMRRNEKFLAGIVDINGLNSIGSATQTSFSIAEVRKNNPSWGPAEPRATVKYPVSPNIILPKLTNIIGDFSISRGVENRQKRNLRETIAYYVFHLESTKHLFCIHPRSTPEFYYDWTVLGIPANLTDLQRSPYPRVMIIVDTAKESKRIYQTLLRAEIRKLNKCNRKTKLRLSERNQNHAIGSLDNPRDNTDVDFNPKIMIGAIDSIISYLETLIKGRTITELASFLQYIVVNNAEKLAKDNQLYSLLTAIAGHCVHSFVRFIYMFNFATSQELLVTVVERCHEINRRGMYIEVDEPKARDLFTRVEFIPAVSAEEVANHQAAQAIAKENPFSEQQMENVATVMTPQAQKLGFCLRIIQRLREERQMENQRRRNQRRERILIVTKDTATAILVMIFLRQRVAASNGKLQYKVNKLLSYDSVEEMERRNFEFRHDNLDVLVIDWRSIQDVNRGAVDAVIMFDSPKPQYFQTIMETEMENLTSRNCVKLKLYIFLNNETDRMLYPEYVKFLQKYKKKAAPQWFSALYEKFRKEWEEGRVTPEFWFDCQDNFNN >CRE18204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:116251:117642:1 gene:WBGene00070414 transcript:CRE18204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fib-1 description:CRE-FIB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NCK3] MGRPEFNRGGSGGFRGGRGGDRGGGRGGFGGGGRGGFGGGDRVGYGGDRGGFGGDRGGFRGGRGGGDRGGFRGGRGGGDRGGFGGRGSPRGGFGGRGSPRGGRGSPRGGRGGAGGMRGGKTVVVEPHRLGGVFIVKGKEDALATKNMVVGESVYGEKRVSVDDGAGSIEYRVWNPFRSKLAASIMGGLEDTHIKPGTKLLYLGAASGTTVSHCSDVVGPEGIVYAVEFSHRSGRDLLGVAKKRPNVVPIVEDARHPHKYRMLVGMVDVIFSDVAQPDQARIVALNAQNFLKNGGHAVISIKANCIDSTAEPEAVFAGEVNKLKEEKFKPLEQVTLEPYERDHAVVVAVYRPVKGKKN >CRE18205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:118483:119046:1 gene:WBGene00070415 transcript:CRE18205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-16 description:CRE-RPS-16 protein [Source:UniProtKB/TrEMBL;Acc:E3NCK4] MSSTVQSVQTFGRKKTATAVAHCKKGQGLIKVNGRPLEFLEPQILRIKLQVTFIHIFYFLCLIFQEPLLLVGKERFQDVDIRIRVSGGGHVAQIYAVRQALAKALVAYYHKYVDEQSKRELKNIFAAYDKSLLVADPRRRESKKFGGPGARARYQKSYR >CRE18226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:124873:125457:-1 gene:WBGene00070417 transcript:CRE18226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18226 MYMYERNQSELIIECVHNYFYAFFGSSIDYQIDFNCDKLRQSLKNIASSRIGLSENMTGKELEACFTSSPSQKFIAILYKLNGKLCPDSVLYNTENLYLNSTHIDGDDLLSRFRGKSLFLVERNVTDSNIIRFLNEWKSSRKFQNLKFFSVFTHRRNRFDVAKVLYEADIKHLNPSETTLKLEWKQRFVNFNQI >CRE18206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:132588:133820:1 gene:WBGene00070418 transcript:CRE18206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18206 MSDSTHSSTPSSSTNNSLPNNSSSSASSRPETVTSAPPPQSIVFNTPSSHFYITGCIGSGNVHGTPTIPSAHYSDLIAVKDSEIEEWKNRYNEQTVELDRIKESLAAHQDQHRIMEIALAAKSDEFEEMKEMWIAEKQCTEMKERELATMRIQVESIQKQNTDLTVLQQKMEETALKKDTEMQNYATERNQMIKNVDENVQKLEELGRKCEEENRMHTETKKILEMSKQQVRALENLSIEMETGRRRLEDDVETIRNKYNDAKRWIEYGKKEIKKLEEIVLVRNKLNDKKTAEIHHKQGIINLLGSEKRRLKKRVDELEASRQGGTLRRSMRQPAATADGVQ >CRE15748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig267:77681:79451:-1 gene:WBGene00070419 transcript:CRE15748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15748 MSADRILDYCTSYWRGLSKRPKLDEQEQRAATAVLEILNAAEEGDFQINSEEFFTEFEDDARDISWTPGDEVYDAMKVYDDVIQEPGKPNMIQFSLGKFVELDKVEEAVKYYGSKKAFNNNGSKARPPLETMKKLFRFIKDTNLLKKLRNYEQIGSVKFARANNLQFLSEELKKEVTKHLHNGKILHDATLRFLIAEIRKKHNLEIQNFEASDTWIAKWKRGFGLSSRKITKFVARIRHKNKDQMEKDSKKFVRVANREMASYPLSNVFNADQSGFQLEMHTGRTLAFTGSKDVPCVVQNVSSTTHSYTVMPLIAADGTLHNKLFVTLKERNGRWPKNGHWKADNLVVTCHTSHIMTKNLMRTFFEKVVFDSSMPDDILLCVDSWGSWKDGAAIDSVKPPSHKLKIMIIPPGCTGSVQPCDVGIFGGIKKVVKTVTGYAQLTCPDHKLFSRDQTLKLKDWARNAWAACGYDVPRPPFFKTPAEELFPRDVARPCDEPNCTATSMIRCLYCDKYFCFKDMFIKFHEC >CRE15747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig267:71895:72374:-1 gene:WBGene00070420 transcript:CRE15747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15747 MVSTMPKKPRLETYLHKNQLSINGQPHNTNASLIAFATNIELETQDFTFVERKNVSSALLTFKFKISCLQEVDKLPYMLSPNGKGDLLNYAGENIAGLNSAQLYVKVPGSRTSIHPENSALASFNHNIGPGDCVRYCVPLK >CRE15746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig267:69599:70630:-1 gene:WBGene00070421 transcript:CRE15746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15746 MNAAPIFVPDRLHIRHVILFLFLSNSKITEIEERMVEVYKDNAPQRQTISRWVHRFKNNDFSLAEKARSGRPVELDIDKLREVVESDPFQSIRELATVMGSTHSAVERGLGALGKVKKMGRWIPHKLSNFDLERRVDMSRHLLTHHPNFNWLNHLVTSDEKWVLYENHHRRAQWVDADKQPEDVVKQELHPKKILLSVWWSVHGVHYWELLPEGKTITADYYSSQLQKVKSKLKTSPLHGHRVHYLHDNARPHTAKTTKSLLATFHWTVLAHPPYSPDLAPSDYHLFSDMHRSLEGQDFKTKSEVEKWLKKYFDSKQPEFWRKGIESLPTKWQTVVDKGGHYV >CRE15743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig267:46267:46533:1 gene:WBGene00070422 transcript:CRE15743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15743 MGKKKSDSASGGEIPEGDYEKGKKIFKQRCKQCHVVNSLQTKTGPTLNGVIGRQSGQVAGFDYSAANKNKGVVWDRQTLFEYLANPKK >CRE15745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig267:37426:38116:-1 gene:WBGene00070423 transcript:CRE15745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15745 MNLPEKFMLSHTFRNVQHSNRNTFCGPRETINGIECCLLCHKTNESEWQCCLGSSNYPPSPLHWKVEYKIRTENGVETVGTTDGTIRDSAKITFRDDPKYYVDGNLTIECHVEFYEKCE >CRE15742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig267:14045:23013:1 gene:WBGene00070424 transcript:CRE15742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15742 MRELLKLNYLLNALEGEPREHIESFELTDANYRPALAALKKKYGDKKKAVSDLLSRLKKEAARSESIKDQRRLLDKVTAIANQLLLTDEKIDNTLTQELIIEKFTFQIQKDVYGCKLDRPEEWTIRQLLKDLDNIITRDEELLELLSKRSQDKSGKDGKDKKPNARNEKSSADNNRFQEKKARKCLICNRENHYMSQCRTMPNPSDRTKFLEKEDRCGQCLSKGHKTSNCPGRICNKCNKPGHHFGNCPNNDSTSHQKKTEKKDKQKPTQGRTMAASINAECNHESQDSNEEDTKDKKTAAATTRPSGDNTTSIPTIQAEAYNPHSSKWERISLMIDTGADLTFISEKIANTWKLPIIKKDKFSLTTFKSGEPKPGEYALTKVKCRVPNSNKELEITPYISDQLVGRIKKRTLPPEDMIYILKNDIRMNKDAFETSVVPDMIIGNDFVTLILTGNMVRLPSGMALLDTVFGNTTIGRPRDSQIELNPSEHYMFTAIGNTAIDEAQRVEEQQKRDTTMKKPEEFTGSLVNEKAEMERATVEHFKRTVEKRDKSYYVRLHMKEDREELPDNYSIAEKRLISVGRQYSKEVLKTIDTVFQDQLEKGILEIVDAHERTGKRLVHYNPHQPVFTPTKTTTKCRVVVDGSAHFKNKPSLNDQIHQGPTILPDLMGMLLRFRSGKTAVTSDVEKAFLQVYLHEDDRDATRLLWVKDINKDFTGDNIMVLRFTRVLFGLNVSPFLLAATINHHLETINDQDMAREMSNNLYVDNLLMTTDKDPGNIIKLYSGPKETFNEMGMNLREFTTNSKELREMIPEKDASEETTPKVLGIPWDIKEDQLIMEVKLDNCKSNSRRTVSSVIHGIFDPLGSLAALVLPMKLFQRELWMDEYDWDTPLNKEHEIQWQQLVEDIQGFSKRIPRHVISKSLNNKLVTFTDASKEATAWTIYVVNEMGCHLIYAKSKVKPLKEIWTIPKLEMQALEMGTVNTLKTIEFLKLGNINVNEINIFTDSTIALSWVKGATDKKVVGILVANRLKSIYNTVDQITDMGILVRFGHVASEENPADLGTRGCNRELADNKLWFNGPIPIGQTLEQWVQERDTFHLNTEVHHAYGMITTGQDQIAIFNCDVTNNYTKMIHIVAYAMKFLKRRLATGKDKLGKKIPEWMEFEFTDSPTISTEEFKKAREILIKDQQKLITPQQLKKWNDLGVTKDDRGVMVCVGRMKNAELEQETKFPILLQPNSALAKMIILHEHGKLHLSENHTITAIRKQYCLPKIRQQVKKHLAKCVPCQRVSKLPYKYPDMAPLPAMRVTKTRPFGNIGIDGFGPIDYKGPDGTTQKAYGIIYVCMVTRATHIEVVTDQRASSFLQSLRRFIGIRGMPTKILTDNGKNFTLGSKIVKDAIDSSDLTEEVRNFLRIRDIEWKFITPLSPWKGGMYERMVKIAKQSFMKERRLQKLNLEELQTVFHEVAAMMNDRPLTYPDNEIGTQNPIRPSDFMTPRLPVTLPLESTLTSLDDYMPSKEAQAVETRKGTIKMLEASINASEKIWRRFSNEYLTELRTHHKSRMDKKRGSASQPRVGQCVLLWEEQPTPRNVWKIGQIKELVKTPDGSIREAIVKTVTGNELRKSINHLIPLELDEPDAEETPDTEETSQMPEDVSTPTVTEDDQERRYNLRKRKAMNYAEDVDHEVCFSKSSPMTFSSVLPITLIMLMGLVGSAFGKDVRGNNPVATTTPYCSNHGIHITGRFETFEACVEDYCTNYHRIKWNNNNEYDVWIPQDKKIRPHHATIKIFDGKTIKTWQLECQAVQFCDTIDCTICWTNVLNPECHIWWAILGLAATAFIGLLIIHSVCFTPIKLVATFILGWRLTRFIWLCTSALIMAIWMRCKCNWTGTNRRRYNRMRTIVLLFAVVMTPLTQGCQQIDVYTQFQKVCSQENEGQCEVFTEVAMDLSSTHREGCTRLEKNGTVLRDIRIRLMDIQQECTKETITYTQEVQTRVWSSKRCPGMGSCTGGKCQDVNRTTHLPELSQANQYIGNTYCTESCGAIGCGCGWFSSGCMFYRIYAFPTSSEEVEVFQCLDYQPTARLQITSSKLNTRDNNALDKEVMVPIGQSITWEDMIITIDSIWTPPTPTLSSWFIKKRGNVATWPQNHVPTITCDKDKRNCNLHERCTCTSAEFEMQCYCDEDEVKAAFDSPQRHLPIREGHWKLEVSNDTVQAVTGTATMKITMKILKKWSTVTMLSEDRCKATAKEAAGCYACEAGSTAEVNCYTRKEDTMANVDCGKEVFAIRCTPKGYNTNLTFFSSNAKLRRSCSISCGGNSERFEINGNLKYSGSIWTSIYRAIRGDSTLYNEINFPDIEHVIDSYLGYMKTVITVLVIVGIGFLLTYTMITRAGFKVVKTILKGILFIIMMPIEIISNTLTIMNRTRREEQYPEDRPPHWELERIANELQRAKDAMNRRERRAADASRQIGQIIHSHTLNNQDKIHQIVGLNAEITGEFIKTLQAIEEFERQRAALGRLEDLGIADDLEIRGYLANRGLSLSEVTTDIARARTFFTAISAEATTGLRDIHNDMAAQQVELVDLLKIIKGDLDEARSAIKKHTDVIEELKSSIEATTNDIEAIRYYGRGGSSGVEQAPESPGHAQHRRDGSPGQAQHRREGSPGQAQHRREGSPGQPERRREGSPGQYADRRDNRSSQEQKDKRRSPERQDDHRERDEDEDSHRSRSPPPKRRDDGFDPSRPKRWIYPRDYELKAIKNDCAFCGLNHYSDNCQNFESADDRRDSIRGIRCSRCIKPLAHGFCKCRPTHCRYCKRVTEHHYSLCDYPVHIHRTPEDYVPANPTRPHCHAPRGHRGRPDYDDQWSTNGHRGDNHAPRGNRRGRSSSHDSEDPNVPGPSHGRRRDSHAPRRDKSSERGGHRREQSRDNRKDERRH >CRE02703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig561:12291:12967:-1 gene:WBGene00070425 transcript:CRE02703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02703 MNINTEVLRNFTARTLKSWSGYQLALDNSCGGDETKEKDKWFLDVLCEQMTISRGLKAEELEEWLTNVLYHDFDLILEDDSSYQIAFFLLEGFGYIKNNNEQGLQQLMSKLPSDEELAKVKRESVRGAENEDEDDEDMEIPEDEEEEEGPSEPRGPQRQVVVDDDGWTTITKRQ >CRE31573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig607:4103:13924:-1 gene:WBGene00070426 transcript:CRE31573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31573 MADGEHSLPPNEELFEQPMEQEPQQYELPRADQDQGYHGVPEDPQASGGAPPHDMEHHYPVQEDHLANSGYYEPPPPTATNQVFQPTPQQNFVAPQPRDAQNYEEQGAGDAGGGGAAVNGEDPPGDADTVWIDSDSDDDPEAEFLRANFGLTYSVSDGNLLEFFYEFCFQDHNYDCPDPADRVIHPQEGPFKVIGGLSEDGRIVKEWMPDGYGPPHREGYVPTEEDEEEATAATVKEEPLQQQHHQEYQSAPLQQQQVHPMRQTMRPVVAAQPFSIGGNQVEYAEPRMPPRGVSVAPRDTQFRIINELGHPMMSSSQQHHGIRAQSVSSTRVLNNNPHATIIRQQPQHPQTLYQAPQGSLRGTPLQSQQQRLGGATPGSYQHIVNPSPSGNFGATIRRQVPAQGAPRGVGGPGIVVSSNQLGPRPGVRPVHVTRPMTDNLDREFIEHPMPLPPQGPPATAQIRRAPADVAPHRMTAEQRLEQQQLNRQRAQFPMQRGGVQQPQQQATTRVPAQQAAIIGRGRGGVMAVGSPGHEDLLRSPQRGIQERQPVVCEPRKFQVRVTDTYSAPIPKASDQLPAQLTEDPPEEVQKVDEPTDEAPEASDVKQEIKSPSRLTSATSSPVKSHGIQQKPTPPHRMTQEEKNAHLAKLSTDKEKPTNLNTLPLRGVHHQDDTLAVVQSVFESNKPRQPDTPKDKEAISKIADLLRFSAEEFSGASGSGTSNTRQRSISGGANRAQNYGSAPMVQPHHQPHLHHQQQQQPPNPMDDEARRKRHGSGRYDNNMGIGGQNPMHQMRSPAHIQQQQQAGEPEPNLDPPIRPRGRPRGTTQQRVARFGNAPETLAPHRAAGGARTLPPRQVQQHQEPPAPPPRAAMSANSDSESEAVDSESWEMRCHCDMDHGDGETVECESCKTWQHMACMGLNMNSDTTKYKCEVCQPRRLPVTKAEAIRTQKKILEKLRRAAERDRRNKRKSEPVEPVKPVVQQSRKSAPMPLQPQPAPQSHRIAQLNEYSRQATALLNSMQQTAGADQLLEESRRHNKARRMFVEENVEALVTMELVQIRQVILEVNGYVAMSNEMKRQPGGGNCIFMYDGLMKGTAGEDMGSGQELVCIDTKKKGNDTKFTRRSCIPNCVLKHVLGSQATLGIMVVATKDILRNTEVTLPFDADWKESDVPLDCAEHMRDIHSCPFEQERRRAAGDRQRVKDTEKRRIEEAKRADEERRRLEEEVRRERAAKTKQLEEEAEKERLELERAEKERKAKEREEAKKKREQEKKKEQEKEGSSSKQPEGITSRDALRVQQAEERFRRQEEEEKRREARRSRSKSQTPKPEDVPTSSDSAVPSTSDSQANRRQSSRNQQKKEMSEKVETPEVKEAPVAEDTPTTSGTRSSKRMKTPAAIFVAASAANSAAKKPRYSTAVRVEPTTTISPAPNVTRKRGAAASSLTPAAKRANAGRPEPPMYRLRDLAEEMNENAAVNSMVLEFEPPERCTDESSVSDWAKEAKANEEVLKAKKAAKSSSSSSSKSVEPPPKKAATPIVKKKDVAAAPEVVDTSKTPRRRGEVKEAPKTVEIAAKEKPSEAAPEDKNVAGPAPPPPARAASPKKSAAKPVEKPEKPSEIHGMEREASESAEGSVEKELTPTTPSAAKKAPKKLTLADYATRRSKREEPGTSAASAPSPSSASTSTRRGFIPSTDGLGGNVQLSAIPLDNHPANASITTTAPSHQPPPPPPPASSSAISITSPSTRSRARAAASESADETPAEHQMSLTDRIAHMFGDGIVSAPAAAPPPPPPQSSNDTSNSSRPRTRPTRWNV >CRE07998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:148656:149456:1 gene:WBGene00070427 transcript:CRE07998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07998 MQFADDLKIFTSFSKSQTGKTKDLQKAIDSITEWSENAKLELNKSKTYVLSLGKTPTKVEYRLQNEPIKRELTVRDLGFILNEKLDFKLHWRTAIKKANFAIYSIFKSFCSSNVRLLLLLYKTFARPFLEYGTVVSNPTDMKTVKSIEAVQNTFTRRVMFRMAGRRILNTDPEYRSASDRLIDYNLQTLKDRREHFDVKFFQKLLLGKIAIDHNNYFSYSPTKTRRGHSYRWKKSKTKISRLFFTNRVLNKVVNQSSRKNTDSDIS >CRE22096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:145038:152855:1 gene:WBGene00070429 transcript:CRE22096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22096 MHFLPPLTLHVFRFLLKSLPTLLLPIWILFDVSTAYMASQAAQFVWKSMKKSDEETKNIGKLVFNIYAFNPITIVSTGILSLTVFQNFCFAAIFLLFVTDRPSICAILIGSWSSFTIYPFTLIFCLVFRSNGSKLKFLSFVTLGLISWAAFFGLNFLLNGGNSNFVEPVYLSILFFCSLKFSSIQPNVGIYWYFFVQIFEHFRSFYTNSFVILYFFMPFPITCMIRKDPILHFTIIGLLASIFFPYPTLNQVSLIFAILPLLEVYRKHFRYTILIAGTIVTTIMLMPIMWHMWMVSSSGNANFFFGATIVYNVALINLVMDMIFVYSRRQIDLEYSDTLKKDTKMDFAFY >CRE22107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:141177:142541:-1 gene:WBGene00070430 transcript:CRE22107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-26 description:CRE-GLB-26 protein [Source:UniProtKB/TrEMBL;Acc:E3NCM9] MMARKSTIGEVLDRSTLDYHNIQIVEFLQKEIIVFSVMQMLDEPDKISKLCQEVGQKHAKYRRSKGMKIDYWDKLGEAITETIREYQGWKIHRESLRAATVLVSYVVDQLRFGMRDSEEGETALHRANERDTQVFTVFDLFFGALTLWNCCVLELL >CRE22095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:134416:140046:1 gene:WBGene00070431 transcript:CRE22095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22095 MENNEEKQETAPVAAPVTAPVAEEDSITLKQMVEEMEDAEKTAQLLFATQDPQVCTFPEGYVPRQTVFACLTCTPAPELAGVCYGCSLHCHEDHNIIELYTKRKFKCDCGNSKFGDKKCTLYEEKDEKNEFNEYNHNYHGRFCTCDAFYPDEPERAFMQCELCEDWFHDDHTPATFVGTEEGQANGDASVQNTASMICSTCIRQKLPFIAHIPPGKDVFCHSKLSAEQLVIPEDQKALMISHFRKRLCKCTDCTRVYDLADCEYLMDEEDDMTKFDEDSKKAIEREQPMSEGDEMRELVRSVGMEGAQVVYRGLNEFKRKFQELIEKAGDRIITEADVKEWTETLKKRPRHE >CRE22106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:122040:130313:-1 gene:WBGene00070432 transcript:CRE22106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22106 MMTSRTKNDEIDQLRAKTDFLNPSISADWAKKKLIWVPSEKDGFALGAITGSAHSDGTIDVELMETGEKQRVSSDDCQKPNPPKYDKCEDMSMLTCLNEASVLHNLKQRYFSNLYYTYSGLFCVVINPYKRIPIYTDTIAEQFKCKKRKEMPPHIFAVADEAYRSMLQERDDQSILCTGESGAGKTENTKKVIQYLAYVANRTMVKSRKTSVDLDASTNRVMGQLEEQLLQANPILEAFGNSKTVKNDNSSRFGKFIRVHFDSTGCISGANIEFYLLEKSRVLKQSANERSFHIFYQLLKGLSKAQRGRLIILKQFLLEDSLSKYKFMSNGDSKLAGVDDGAEMKETLTAMSIMGLNDDEIAGILRVVSAVMLFGNLEFSQENKNNDQAVLLNDAVAQKIASLLGVNVTELMRAFLKPKIKVQRDLVHRAQSVDQVNFSVGAIAKASYERLFRWLVHRLNKSLDRTRQQSVSFIGILDIAGFEIFDTNSFEQLCINYTNEKLQQLFNNTMFVREQQEYLDEGLEWKFVDFGLNLQPTIELIDKPMGIMSTLDDVCLFPQGSDLSFVTRLNDTHNQHPKYVVPEIRSRSDFAVVHYAGRVDYQADGWRVKNMDPLNENVIDLLKASKESIIVDMWKDIADVCSLSAADSASDTGVFGSRMPKKGMFRTVSQLYKEQLTRLMSTLNNTNPHFVRCIIPNHEKKHGVLNAHLVLDQLRCNGVLEGIRICRQGFPTRLPFQEFRHRYEKLLAPDVNPAGFMDGKEAVRRIVQYLELDSNLFRIGQSKIFFRAAVVAEFEEMRDQKLSDLIVSFQAQCRGWLGRRVMVKRREQEVAIKILQRNGLAWMRLREWQWWRLLTKVKPLLEVTNKDELIAEKEEELKVTSERLRRSEVFIADCKQQMEKMDEERLVLKTRLDAESSERAEMFEERSRIAARKIELEGMLDDMSKRLESEEQKAKKAEVETRRLTEMVKHLEENLEDEERSRQKLLLEKNSIESRLKELEAQGVELEDSGNKVHFFLGILLTKEKKALEERCEDLSSRLIDETERSKQLVKAKARLESAIAEATDELEK >CRE22104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:86812:114265:-1 gene:WBGene00070434 transcript:CRE22104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smgl-1 description:CRE-SMGL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NCM5] MTHMRGTNHEKSEMEQENSKILLKERYDLLKWLTNVEMRSPNFLIPVQIANYVESLPMCKLAVSPKCDRVALMSYARQLDIYQISGEILEHESSVQVLEEMSPEYCLLQFSASSNLLLSSRSTPHIDIFDNMGAYCYDIPLEIHEGIFDVNKAICGMQTVANHFTQSSDKFTEILYVLQYSGVFSAFKIGRLSKYSRMWSVQLDIGQTGSFIVLPQYSLLLVSAHHKESTSVTPPAGLCSFRLMDMDPFVEPIRISTPEGGFFSRLPFSTSFMTYLPKLSLSFESQLLVTVATSGSLYLFEIPSLRVKFQFNFISGPRPIEACFVDTDEIAVIYDNGYLLRCQIDDLEEKMYSMKMKSKKQLDEPDDHKDIYSEHTVMVSPAQREIFLLSAQGDNTFLREAAHKRVQLAGQLWLYTVWNSFKKLLGMAMGEPAEQLQMATHIAKFEFALIHSPTRTLQELFNRTLVEHDYTRARELAETYDTIDIDVVLKSEWRDKCSKNKVTVEDVEGILKRIGDSEWIAEQCANADSDDFEVHKALIDLGLSLGESSVTWQIRLLHHWRMLEVCRIKGDVDTYMIARKGSCLDAALAFAHNGDIDSLTRIIESNMTVMKRHQKRILSAIPTCTSPTKYEILMPRLLSDDVEEQWELEEQHDDGICERLHQILREHPDSEALIRNINVGNVEDEGRFDYVEWIRETLPKIDFECGLTDICVNLLRIAIERGYDDLIHEMGIWERYAQYIRICSSVSESITSFQDSSVKSFIDRFARLLDSELISFAEEVTGLIEWKVNTSESESEDVTPEIRLQKAITLLLKATNERDTKVLVAFRTARPDVVDDHVILEVLLNMTSTGADLMKSLGDLPVDKYSNVTSSLGSLMSRGVKMTFKSIFESMKEPDGARRVLIKLSRSGNCSSMEDWTSLRDDVYDMANGIYGDLVTTEEALELVAGEILEDERIGSHPELMELVLTLNPRVEHQDPKKLSVAKSAEVLLSKSDELMSEATQRSDPLLGKSRFFAVAARPISPKKSKEKLDWLDAIDAALELGCTMMPIAIKLSDHDTLLRDVVRLGSNYKQGKKILSFAKQLNIDTPIATALSYCALAALRSNDAVYLSKYIGEVMKAKGVPVVHQLCMKIMESPHVPTDMEDVYSCAINNCSEENLLETINAISGSEKRLNSGRRVREFRLEDVPISEDVVGDPMYTPLKLYNPKKEATDDVKQKLTYFESYGKRDTEVFKRLIAHESSTIALWFSLFEKKNSGENAESQEDSESNWTKNDKLKRYEKGLRFFEDRIPLPVLITAPASSIIKEANRGDASITAVDRIEDYGCDKSRFIGDAQYRIETIIGLAGTENEQIFADALELASKYGIDEWQLHMASLEYLLDPSYNVSRNDVKLIMKSRKHLSKLRGKPSEFHSRLRTMVLPTLETNEQFLAYTSLFAENEPEKRAAETLKEIVSKRKNTEAIRMWTDLKYLSSIMNTIPDGKLRTFIPTFLLIPRIGVKACELSAEFLLDGDETRPPSNPFVVFLLMRSNVEEFLDLVANKKSRDDEIAYLDRAVLLLENTPKVPENLKEAVKTRAERMHRATVTPPPETPSASNPSGFSSFFSNSDDNTGMMKRRKN >CRE22103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:80062:85029:-1 gene:WBGene00070435 transcript:CRE22103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22103 MKRGAVKLKRKDSDEWLCSSTYQPNRQEIIQKSVETPKPKPKKKLNIVVPIKSKKSIKFENFEKNRFSTSRSTPNLNFTIDDDADDVDILNFVSTSEAVRTPMTRVKIISPAIPKASIRKSLSPPLIPQIRQSPEQLFAALTPKRRPSFEVTEQVVKRRKKDSEEIQNSAEDVVTTESGRISSGETLEIQNPAEDVADSESDVSNIFDTMSPVKLPPEESPPQTTNYKFSLDFITGFEAQFSRFEDTQPPEDVSFSESSSQIINDLEEPMSIFEEESEEKKVIFEEKTVKKSIRFVEPSSAWRKENLIEESCGAHLQTTSVIREYAIETCGMTMAESSALPAPDEETQKYLAAESCALLANTPLTDSQDDEIYERDELFGLSARFASLMSSKTSERRLLMSDVVFGTVPKEKLRKLDVSSHKR >CRE20039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:113938:115731:-1 gene:WBGene00070436 transcript:CRE20039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20039 MDLLAPGHVFSTALFRKRKKIVCEIERLREKETFFKRISVERSSRRRKTPTIHTDDDENESLDANGKKWKKPKISGKSPEKEANISSANVTVRRSRRGRASEVASEDVVEKPKQRKRKAVEEDSDEPTTTTSSELPSEDVRPLSRVMTPMLFDETKVGGRFCSTPIRGRDSDTVGDVTFDDVNLSAITENEPVKNRKSHAQYLQQIFEEDEEN >CRE22102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:58912:72962:-1 gene:WBGene00070437 transcript:CRE22102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22102 MRDDIGHFYNLNDMKGRSSEISVEEACTCSSSAGGIPCHNQWCEVRSNATHIAACAVVRSDKQTKKTQTLACVRVNARADLGYCTYDVKRDATKCWCTRGDYCNVDLVDRIRDKRLSNEDDYDESAEEDVEEEPDFTVETNTEFNENNNEIDEKNSEETVESKRFESSPVGVIVDMRKPVETKKQMSAEEAALPPWRRMNPINAGNEWKPPPPPPMPAGNLPVVRPTTTTTFTTTTTTTTPRPTTTTTTTLSYEEIRRQHEERRRQMEAQREAELRRRAELERQRMEEERRRLVQQEQTTKTTTTTTTTTTAPPTTTTTPSIIYPPPAFRTAPPRETTTTTTTTTTPSTAIPEKKTYESYSRNWRPQHRRPLDLNPTQISVTNFSAIVYPPRGFVKASTTTTPSSSSTTTTTTTTTTTPRPTTTTTTTTVKPTTTTPPIIYPPAGFKKATKVSTTTTTEVPPSSTSEIAYNLPDDEDEGVELNDDEKTRDMEMIWRRKMVEMPKLESSESEDIVKTWYTPKPAAAATAPPPQSVEPSVRTPVEKMNEYKKLLGEKEPSASSSIILPSFISIIIFAFFLL >CRE22094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:49924:51076:1 gene:WBGene00070439 transcript:CRE22094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22094 MDEMPEYEKEKGVLIRNKRAAPAAATTAGPSKAPPTGTLKPSPARIALMKKRVAGFVTVYASLTGALIVLCFVNIGLFIWLSVTLSKLKKE >CRE22101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:44121:47331:-1 gene:WBGene00070440 transcript:CRE22101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-55 description:CRE-UNC-55 protein [Source:UniProtKB/TrEMBL;Acc:E3NCM1] MIGFSSDTGRRSNETQTAVSAVQRGRLPVQMPNFFPPNPFLRPPFPFLPAPFNPMMLQISKPSVKESIFEFAAQTIFTTVHWARTSMSNLSKSDQLILLRHSWTPIFVFALAHSNFAQNLVSHLAPAENSGSSTSSGSKSDDEKSEVKAEVGSLLDDAPFLGFQTKLDKIRDYHLDPVEIQSLRAVLLFSCDEEPLEDKLKIDEIVEKLTSAVDEYCKMNKRNERYRHLCECLQLLKSTRTLPISRLFFSRLLGTTPLESILSDLLNSPPPPPPTLPLFPPFSVVRS >CRE22093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:30817:32835:1 gene:WBGene00070441 transcript:CRE22093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22093 MMSRWFREKDQNFSHISKCTALLPESLVDPRLRHGIARLIWDKFIGAAFQSIVQLVEKTGRRPKDRECRKEIGMGDVRLEEFLLECEKFLDILMVAVRDMPAPIDFKQDLLVEVSLNAIEGGVVQMAYSSFASHLQQSKTTSSRHDQLSSLASRQSLVNFHLVLHHQHLALALRLQLTTGLRFHPLRNLFCVTGNRAFFAPLDSHPLIPLDRVDDATLEKRHAFLIKVAEQGGMEERRLARNLEMEWKLTVNEISFMQALSSFRHGNDHQGALELASCVRDDRSAVALARVLAGRLIQLATEANKRYSTAHSQYLCGLAGEEAARVELYEASGDEDPLVDRNPKTWKEAVTSLGRAGNSVPQSAQAAIPFVRMNDIAKLYFGAQWVNN >CRE22098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:27074:29477:-1 gene:WBGene00070442 transcript:CRE22098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22098 MDSGVRKKRTLAERRESDKLRQRRFRAKKREEQRLLQVIHGVVEHEKKERVYTLPKKTADEIRETNRIRQQRFRAKRRGEDISEGPPLSLLEIPKIPMEEKKPVPIVIKQETPPPPPPIVIPVIQETPEEIMKRLILQAANDEKNRQTEIRREKDRVRKRRERQRKRDRAMKLAGLTSDEMIKLHFQKTLKNMEINEAQKAGEQKEAIQSEDDMEDDEVQYSDIMNIEQVVQPVEEEENPTIHLDANNFIAQLAEMGFQFDATTTPTPPENIDVAIKQESLSDESTSSTSSSTPETPRTPLPFEMFTEKKPWQRCNTSEERRERERMRKRVYRAKRKYISTGKLEMQWDPSPIKRSPNDDVEEGITPLPEWNANLSSEQKAEAHRIYNKRYRERLKSSALKGEESRSQSRETLLVEHDQAALSSEMITELLSTISQGHLPSLVSNKVAE >CRE22092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:14479:26522:1 gene:WBGene00070443 transcript:CRE22092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbg-2 description:CRE-RBG-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NCL6] MSVPPVNLEAYCAVNDDVAKQVKKYLLGDFGDESKFERKNSESSEGSETQFCKNTEKNENVEEWDDWGGGEGKEEAENSIESDESIRNWLFRCDLKTYSDAEYLLVTWESRFVVLRKPPDESQYKIVCKQYIEGDPVGNEITASCIFGLSNVRHIDLLDSIIIALGTLDGHVYFFTEQGTMLYFDRFSIFEPVNSVQFDVVKTGQTFIIVFTKGFFMINPISLKSVLYQAKMLIAKGEKTVKQISESIELQSELLAPDIKGNIIHVIFTGLQKPSTFDQYISASYDSFYAKVEKPSLPLYSTFMVTTEKEFAVFVWHDREEQEKLLDDVIKYGKSLVPSFGIRKFFGISTEPTRIAAHMRSAVHVPTRSLILEQRIAQTVSRSPDCNYVAVTDRLARVLVIDIINRQVVLIFKGYRDATVSWVSATQEDRVAQFLTIFAPRRSLLEVWTVLGNVRVCAQHVPSSECNVVPGGENKMLCGRCHIHSDSNSYFIDENGQFHRIVMPFHLALTSRARQDQHDHIRLKELEKKETGSAEWFDTFSDLRMPTSRKSTFQNALFTLKSAEEAHQFIAKIKTIQSAASLGDLPNIAEKSIAFYARIINESRRSREKENDFEKKCEKYELDSIVERILECHMNRFGEREKEMMNNVLRVGEWLKYVDLEHDEIDVFSEHWSETRRLLLTNLIFAPLFGSFEIDEYYDTVLEKLPISRQNLIKLFYLKLEKTTNRLDWRSFHRTVEMCVNFEKSENGLLEKMDRMAMDSKNVTMGMMLLVVCWCARKVMRRLRDEKDSGGLDDVDEDSVDIYAEKSQDDVKNKTLEDWDAVSLEVEHLDSIIMCLHCVTLAQTLLGEDSESDVRIADVAQRIDSYIRENVAKWIVNESIETETIEKLFPRDPTENLAEEGDEEDRRSKMIIDFPDDKEEVVGRMYQMIPRVFEHDLVVAGE >CRE22097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:404:9285:-1 gene:WBGene00070445 transcript:CRE22097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22097 MVLFPLLFLLFFRRVAHTLTFPPSSSSTTTVLFCLQPFVPENAHVIFNEPGPYARDTVAKYSCALGFDLIGSEERTCLSDGSWSDEPPICAIDVAFNKPVTQSSGNVAIALGGNMCTMTNDESKSFWEVDLLGDYSIRSLSMRLGTKSSPIVSVEAIETGGAVHQCIVDSSLFTINTTTSISCLYDNISRLRITATRRLHLCQVNVYAVNAVSPWQCAQSQMEVVGVFGGMCYAASRDEQTDWLGAQRKCLDRGSTLPLRIDDSTRRGLRASLSASSSAKAFYWIGASSSMTEWRWVDGEGVGDSADWPGQPSPIPSASEAVLLARPLDWKWVPASQTAWNSFLCQSKPKFCTSPGVGEATKVSFSSHSYAIGTLCFYSCDAGYDLHGIRQRECAENGRWTGTIPNCFRKSCGAVRQWKFGRIKLLNKTTLFESEVEYECSNGWHLANSPSPSYRSLRRICQSDGTWSSSEPTCELVDCGRPPLIANGRVDVESTTYESVANYTCHQGFRLIGPESLMCGDRGEWQPATPFCYDIATLQEIKGSTSHEQQNGGGSDSTIAMAVLASVIVAILCYGITKFAKSTNHGANGDLSISRDKLNASNAAIYATPSIPPQRPDSVIYYAPTVAHMEVPPHLLQLQQLPNGNIHVTLPIGRQMGRPSLPSSMLFNSSMPPPSPTPSQILYSFDHEPIYDTPPDTHVYQS >CRE05292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig772:7517:8338:-1 gene:WBGene00070446 transcript:CRE05292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05292 MGRPSLPSSMLFNSSMPPPSPTPSQILYSFDHEPIYDTPPDTHVYQSESVYERLPDRPAPPPPIPIRAESGGNGCDLPPLPPPPPR >CRE16475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig305:52514:55305:-1 gene:WBGene00070451 transcript:CRE16475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16475 MGIFRYDGTSEPAEAAPPKTKSTRKDAKSQRDNKDDEVTPKEIEKDEVVKTEEQGDGTKTKESEMTEAKKEILKEDDTLRDTKSIGKKGKKK >CRE09570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:265394:267397:-1 gene:WBGene00070452 transcript:CRE09570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09570 MTDYDSDDSFSSFPDLGRSSAPRPMVSIFAFLCEVSSPPPALIQKFKVRIKNIQHSMKIKLHRRENYILASLHSRSSRTLINSRVKCRSAIPHLSVGNELITSDSAKASIFSTEFLSNYNSTGPSSPSYSTTKPSSSPHTLPLMDLFPPWVIEQAISKIPPKCGFSVHLANYYVIKQCATTLALPLSIIFSESFKTSTVPKAWLHATIIPVFKKGNPSSPQNYRPISLTDPFARLFERIICRQIRLDVGHQFSVHQHGFLPRRSCPSSLVYSTSNYKRILKDHQTVDVVFFDFRKAFDQVNHTLLLQKLKDFGVPLQYVFWFQSFLKDRTFSVMVNGSIDSIISPIPSGVPQGTVAGPLLFLIFINDLLLSLPSSIHFAAFADDIKLYSHDPILLQSGIDIVSEWASANSLPLAHTKTTLLRLGAKNPGHHYHIDSIPITESAVVRDLGLLTDSHLKFDSHIAKTSSLAILRCSQLLKSFRSRSLPLYKHLFNTYVLPVLEYCSAVYSPSPSSILSHKLEKPLRSFTRKVLQRCNIRYTSYLNRLEILDLYSLRHRRLKSQLMLLYKIICGATFFPEIHSYVRLSNSNRRPMTLICIRPQVNDFFSSTVPIWNSITSNCPEFLPPPVNLSPFLYNPLIDYKFDLSLSHPHVLYCSLTRLSHFNGLSL >CRE03411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:6494:7947:-1 gene:WBGene00070453 transcript:CRE03411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03411 MRNNDEIEKVAHEFVRDCKQEMAQFPLYSVLNADQTGIQKELYGSRAHAFKGAKNVERLVQAKSSLTHSFTFLPMLFMNGTLGPKAYVKLAEPTGRIPPSRPIPAGITNLEYPWKKSGYMDRDANEPEFTTPAEYCMGKATPEDCYISGCVELGCLKCARCQNWVCFDHLVVSQIHLCPLP >CRE03400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:14059:16052:1 gene:WBGene00070454 transcript:CRE03400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03400 MSAANTTDDQERVKNQVPRNKLPPIALIQCPPISQQRIDELLEVGKEPSPAPPVFGLGLPSVEPGTDAEKELLDYEESSGEDNHILDGAGNSYSNEDDLPPPNEQIQFGPSPFYDSSDKDQDVRPTSISGDETDGKMPETVAQLLDTTQESEMIPTIFAMLKKISDQLETISTASVTHDDLGKYVTKKDLEVLATKTDLEALAKKADLTDRIPSLDQWTDMIERLAPITTLQGISKNMGIFLEQLTRIAIDQRRLSRSQGKGNEKVEMLLKQGSLDWKKFESTSLKITRVYDSLRSYIARKVSSMETATEVRDLKIQMAGIKKDQDSFKHILEPDADHDDTVLIALDEIAAIGTSSDRAHNRKVAEIEERKLHEARKTSSTKCFYCTGTHDAAYCDVHLDLQSKRLALITQQRCIICGKNDCDGSPELCKAKHRLCLRCDPSMPSKEREHHPLLCPSRHQKHPSSSAGETSKAGNRSESDPKEDSSYFGANMGKYIDQSRPRQEGRYHVQRKYVDFKENHFFSDSGSDEEKASSSHRALAPSSSKGSGGEPSKKFGGSKWQRRGAKHRSSGKRDDAKRPRLAPVAKSESSIKKEPETAD >CRE03401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:18174:20707:1 gene:WBGene00070455 transcript:CRE03401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03401 MNGANPLPLCPSDDFAKRLGVSENILNIHRELTSYAERVPLINGDDIEYLDNPTSRGCHLWYLRREQLPHPAIFLREVVKREPYMANNCRLKRWIRSMLRGTYSSQHDPADHLITSACSACGSSCLGAALVDHSEKDCGFVLYLSNEEKLQFSVANTYAFCGFCNSRSVSHTHCDMPRRCRRCHREGHQHYHGVCALERTPLQFQEMVHDLRIQRGRRIKWLLENGSLGFPLPNDYIPLGVHQEIRFVHNRGLTIRGVGALPRPAADEFGKIPDCIYRWKPYYGLLNRELDHRELLVNVTLTRDEHEWFILLERQARRVYREIRDSGRVPYIMKFTYLLEDPVFPPEIYALGLNQNQGARVVELPNNGDPRVPLVHEEREPQAMENIDALVESFRTYIQANPPVVADQRLQNRIVMQSPAGTLREEMIQISNRILASPRGTVFTMDNGVETDNVELYREERWSHLVLQYIRNYINCMSDDRYTFKVCALAVAEFNSADTPTFRPAILWRIQTWQLILTGQFDDERVSEEVTDVTLARYVRFLADLGQGLAGAPSAYVRLAYERVDFNFREVFMAIPTLRLFEDPVMIDIVRRWMEEPLDGFARFNAPRHRFPYSEDLRGLIDIGISEERERARELEVQAVIDFLDVRRQAFNYRHRFPSLEVMDILLVYPFPEQKPQIISRVQTMQLTLTGNSERTDELNRCDAGELERYYEFCKASLHAFRQIATTGASAPVRMSECVVELLHGGKTSFEVALPSMRVYRLESRSWWLVWIDKTLVPQLCRISGNQCRCGGHSAPARPRDCHDEPGR >CRE03412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:21897:22826:-1 gene:WBGene00070456 transcript:CRE03412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03412 MRNNDEIEKVAHEFVRDCKQEMAQFPLYSVLNADQTGIQKELYGSRAHAFKGAKNVERLVQAKSSLTHSFTFLPMLFMNGTLGPKAYVKLAEPTGRIPPSRPIPAGITNLEVRAGKSHIMTKEDMCDWLKSCVSDPSLPKKLYLLLDHWPPFKDHDTIRKCAPPGYDITIRNIPPHATGLIQPLDVYFNLPWKNLLKKFTNYVINFHPEFLIAQRNNELCMVSALYHQISAREFQSFLQYPWKKSGYMDRDANEPEFTTPAEYCMGKATPEDCYISGCVELGCLKCARCQNWVCFDHLVVSQIHLCPLP >CRE03413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:27939:28973:-1 gene:WBGene00070457 transcript:CRE03413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03413 MGRKISAINCHQDIFEALRDKIHLLKSRVFDLEYEAQEYRNEIRENQEKFENLEIWNVENETYYLEAIEELEKAKNVEFIAYDGAKMKELEQENKELKGKIEEVKEELSEERKRNITVCRSKLQTKFDEFSYYMKQCELYQEIENRDEKLREKEKEIENWQLDVIDLKRKIQKPSSLVKQNTQLVEEIEEAKKTIQKLEQEAIISARNVPDLPTDPTATEDSSCVSKAEYNRKVYELEYANEQIAMILGDQEEKKKREDDDEKKMLREQIEELNETIRLMSQW >CRE03402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:29508:29933:1 gene:WBGene00070458 transcript:CRE03402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03402 MLDKATRAVIERFPDSKDDPEQEKRDLEFFSTFSAKHGLPSPSQAHRHPSKTACRPLKLQFASNSDRDKFLNGFFKAKNADPSLSSIQSRPRARRDLTREELRKLYESRKFVYDNNLKEKSSKFIMVDIEYKLNKNPRPFL >CRE03414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:47462:48626:-1 gene:WBGene00070459 transcript:CRE03414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03414 MIHIIVVIGFSLISAVFIVPMCNKSKKAKNNSSNKAKMSAISTGEKAPPPPPGTVTPPIPTDTPTQQTPKNEKDENNKVESNNKNEGGGGEEKVVKEENKAEKETVKKEEPEKKEEVKKKSKEHVKKESGGGAGGDGGGQKEEVKEDSEEKNKEKKVN >CRE03403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:49603:50584:1 gene:WBGene00070460 transcript:CRE03403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03403 MFEAKCRNIAIFRQLINTVDKTVNLLNFSCDINGMSMESMNEAKCIYISMFWPKSEFQKYNCDKKTGWTIDAKILSKTLKMSELDSETCILKLTDDNPDEILVEFNNAQSGLVRKVYMKLMEHEDIYFPAFSNVDIKFIIDSKDFQQEIAKMISCKKVQIQATENSVIFKGKTDLQRIHVEFPLHSNHSNDTSLEVMDSYFRDNNSLGNDSHKVWRYTT >CRE03404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:52463:61530:1 gene:WBGene00070461 transcript:CRE03404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acdh-13 description:CRE-ACDH-13 protein [Source:UniProtKB/TrEMBL;Acc:E3NAL9] MHRALLRVQKFQKYTARCLSDAGKAEKLAEFSEKSKYAIRTLDNSSPIEKRSLSRGFAMNRFEKDFMIYPEYTDSDDVRNIEGFVDILQKSLNLSVDSKIIEKEGNLPSEVIETLRSNAIFSVSIPKDFGGIGMKNKEISKVFEELSIDWNIYTSAQIALQVSNILTIYGSDEQKSKYLTALSDGKLRPAVAIVKDTNGGKCELTTGPQGKSMLNGENIRVIGHHNANFYVVFGDNKGEQTCFILDETELATTDKIKFHRDETFGLKGTDIGRIELTALVNEKNVLGAPGNGTEISAELTGSGRMPFAAATVGMAKRTLRELSIWCNRTPSRRTDRSVLSVDSRSQRLVTDLALKVYSLESALYYLSGLIDEGLSIVVDIENSLLSMLTRDVLQTRLTSAPRLISCNQLSRFLEVMADLIPNEKGSEGEVDYRRQIKSIDSVEFDKRIESCGIRGDFYKEDKTTAEYLKKKMRKILLEPAILEVFDDNVFGFDFSTKDCINALENYFSLNAPDKDNGLTSKALHIKIHQFIRSCHHVARSQNPKFQLNDRLKMALFD >CRE03415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:64681:66244:-1 gene:WBGene00070462 transcript:CRE03415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03415 MGAPLYIAISQHIGFFVSLLTNSLLLYLIITQAGKLFGRYRVLMICFCVYCLFYAILEMLTAPVVHIHGAGILFYVNSFLKYDFFWAMVMANAYSACFAFCMSLLANHFVFRYIAVCKSHKLYYFDGYKLYLWFIPPLTMLIAWSITIQFLYDPTDPERRDYFRNMTREVYDENIEKLAYIGPVYYTWENGKRQFRLQDLLGSMVISSIISISSTTCIVCAYKTYKKLNDLTNQMSSRTLHLNKQLFLTLGLQTLLPCFTQYIPVGLNFTLPLFEIPVGKIANLVGVTPCLYPALDPLIAIFMIDRFRNWLFQKESPSHGGSGARVHALPPANIIPDN >CRE03417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:70320:71622:-1 gene:WBGene00070463 transcript:CRE03417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03417 MGAPLYIPIVQHIGFLVSLLTNSLLLYLIKTRSGKLFGRYRVLMICFCVYCLLYAILEMLTSPVVHIHGAGILFYVNSFLKNDLFWGTEMSVAYSACFALCISLLANQFVFRYFAVCRSNKLYYFDGYKLYLWFIPPLTMFSVWATAVQFVYVPNPETRDYFRNMTREVYGEDIDQIAYVGPLYYTWENGKRQFRLPDLLGGLLICIIIGLSFTICIICAYKTYKKLNDLTHQMSNKTRDLNKQLFWTLGLQTLLPCFTQYTPVGLNFTFPLFEIPAGKFANIVGVTPCLYPAMDPLIAILMIARFRNWLFRKDSSSAGGSGARVHAHVVNIHDSN >CRE03416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:66682:66852:-1 gene:WBGene00070464 transcript:CRE03416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03416 MSNRTRDPNKQLFWTLGLQTLLPCFTQYMPVGLLFILPLFEIEVGKVGNIVGVTCC >CRE03419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:77104:78414:-1 gene:WBGene00070465 transcript:CRE03419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03419 MTVSKYVPIGQHICFLIAILANSLLLYLIKIRAGTAFGRYRIMMICFSVYSIFYATVETLTLPVMHIHGSGILFYVNSFLKNDLLWGVVITSRFALFREILNNSVSVAYCACFAFCISTLATHFVFRYIAVCRSNKLYYFDGYKLYLWFLPPLVMFSVWATTIQFIYVPNPETRDFFRNMTREVYEENIDQIAYVGPVYYTWENGKRQFRLPDLLGSLVICNIIGLSFTTCIICAYKTYKKLNDFSTQMSNRTRALNKQLFWTLGLQTLLPCFTQYMPVGLLFILPLFEIEVGKVGNIVGVTCCLYPAMDPLIAIFMIDRFRNCVFRKDNQSKTRSGRVTALNSDMYSSNQ >CRE03420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:87212:88608:-1 gene:WBGene00070466 transcript:CRE03420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03420 MSKKSIYGNQTYMDFEFKFNTFPVYFALLPMSYVLPTLYIVCYTVFVFMEHYLRRKEFIVNSQILLVVSMAHIVNLFSFFFGYMSNRFPATGMMTSWCASNNHETLLIFILASHFYLDF >CRE03421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:91956:95058:-1 gene:WBGene00070467 transcript:CRE03421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-7 description:CRE-SRU-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NAM7] MKNLFSFFFDYMSNRFPATGMMTSWCASNNHETLLIFILASHFYLDYLAMGFPFFMSVLRLIFMVYPNTHKQIINKLLRIALPCISIYPVFNTFFMFPATGECRQLYPPYEFGSIFIHYYGEIGGLSNSPFLLANIAFWMGSTISINLMVIFLVAQARNRGSHHVQYKSRKSRRAELSVTLTTFAMILSFLMRGICLIVFLTIPSVASWLAIIRPLGSDAEVVVSPWVFYLTHPAFRRKKRQSTITVGYSISLGSGGRKI >CRE03406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:104749:105185:1 gene:WBGene00070468 transcript:CRE03406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03406 MLVAVCRGAGALLKSSSHQTARNKVDFFGNVSTLYLYCDVVDPIIVGNTKSSLLSVIPCRGNFGEMIHHTVAYPRYLPLMNSTIDSIRVELLSEFDEQIDFNWGSTIIILHF >CRE03424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:108118:113426:-1 gene:WBGene00070469 transcript:CRE03424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03424 MSVAAYDQNLKTYEFEFNFSSLPIYLAFIPLMYIIPTIYVVLRIFLVFSKCLVFKNREKVRRDMDMNVFSIIVCLHFMNFIFFISDYLMYRFPATGILTPWCSRIPYSNLLTVIVFTTYYSALIVLLFPSVLSFIRLFLIISPYFTVTTIVFRVSLPLIFVYSLFFTFFLIPAKGICKPLGLPYPYGSVMVYYTDSYRGIHNSTFFLANNLVWMIIGGIVNIALLAKLAGFLKKKAQSSVTMWKPECAKLKKVCIFTL >CRE24219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:6005:7646:-1 gene:WBGene00070470 transcript:CRE24219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24219 MNSSPFPLLRLPLVPFGKIIRLINPLPLALCSTRCQNAVRALNLETKDVFIRRSVNECTVDINFECFNNSWSFSWNEEEDDEEFPDRYVLGGHVFKTLTESEEYADGNMDFVTLWEDHLLAVNILETFLKSIFHCENISQELDGTENITEDVINIINSTHLGSTKLELGWLKVERNGVHRVFEPIQPSVGDDALKLVLSTLQEDIDLKISICPGEEFQYNEPIRQKTLHMATANWFTFKNLIYSKCENFTVNTRFRSPSWFTLKNANRYLKRWYIGAQPYIRKILIKCEWNKRQINQKLFDGIDVFDIVETTEQDDNDVDAYQFECKIQAENGSTASVSIKQQEFTFQVL >CRE24203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:11647:13194:1 gene:WBGene00070471 transcript:CRE24203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24203 MSSSSLSSEYKLATDALCMVCEARAQGYYSGVLTCKTCQNFFDCHSVKKTFICEKNQNCGVRAAIPTYCQRCRLRKCLDVGMTKIVSEGKLYGSAQFESIPELLFEEIVKKLAASYANSSINHNIQKTTSILQLSISENALINRINAWRIVAPFMENELKRTVNLMAQMPGLHRFGEEDKVTLLKKNVFLVFFLRNLKNFDIHGYPLPSFIIPFYILSTLFGCSFDKISVFIHCVKLLQLDDKQIAVFTGFAFFHLFSVADRKQNQFQDHNNLVLINKVYRTVLLKLLSKQRKNLRTFLNALTVKSTLRQLFFEKTRKVFGISTTPP >CRE24221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:17981:19595:-1 gene:WBGene00070472 transcript:CRE24221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24221 MTQSARQTKQVDYEKSWLLEKEMNEKMSKLVESSETLIQKLKKDLSAEKQEKEIYKYQYNELKKQVHLLTFEKKKLQSKIQGLKEDDSADTGTLESKNDKKKDKEILQLTNHCEALKHFSYSNLSLKDKTIENLKSRVDDYLKKTRKMSGELKENNAEIQKLKESEGFYVRRMNAMKRDLEDIRKKDSADHPMEVECFEDSQSAKHSEKVNGGQGVKDSEGVAKEHSNVVTEYQSIDVERDNGTPAVDVDSVLEIASLKSEVDLLRQAYNEIRREKDQEIAQLQGQYEMSSNTCIRLNEILIHVQSTGQMPNWYRF >CRE24226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:30240:31604:-1 gene:WBGene00070473 transcript:CRE24226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24226 MFGKPMTYLSIQTVLSHLESNKRMELSANSSYIKQILYRMPQKFPHLEIGDGFLVVGSTYYTMSIVRNYMGGEAPEYFQNEKEDGGVTYDVGKFAHPECRFNALRNDEDAPPTYYEIETARNAKKRLNVLHGFLKKDRSYPAIGRLDPRLKRGYTYEAKSLEELIKRYEEKVRIAKLEYKECIVLNKMNADGTTVGQEMVEYSISLKSAWAYFLKRFFVVRKETTVEKLRIFHPKPHIMLQGLQLRVNDLILESDEKKYLSEIQQNLSIKSFPLKSIEVRSEWVLDHPMITTANQIIISGGFTSLTPNHFSNGIIRIKPSFSSAVIASNLLNFYQENGCSERKDVIIETDDLRQVKEVLKVFEPLLKGFEKKIKSSKLPIHFPICIAHRIRPMNLYLIVTHMKKDNQLIYSITMFAVPKL >CRE24205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:40317:41403:1 gene:WBGene00070474 transcript:CRE24205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24205 MDKFPILSLPAVPLRQVLGGLCPIDLFELLQCSSRTKEILKSFEKANKTFKVGVDFQRNWVEIKGVCKFYVKEEDNNMKMDKETKKRTFCDREVPISTDETKATNTYWPAGEKLEGTMNVGQNFVKTLGVGQLDQILISAENPAAECLSWLENCNVRLENFNLVGAEPSHADKLATTIFSDDFLSKVSVNFTSLLEPSEGWRPINFSGDMPINIFKIHLQHSQWFTGKQLMAMNCSSIILHHSALKNEDIKNYLEAWKSGAYPKFMYLSVHVNEANKLNFNLIVNGLTDNQPTVADNTTSVEFQREDGDSIEICLGNGGKHFTAFIN >CRE24230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:65339:66425:-1 gene:WBGene00070475 transcript:CRE24230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24230 MSVPTDDIDVAMPSETLWKKYFEVGNEQTYTAIGRKIFPRLEYCITGLEPTKLYSMSLHFERADNMEVRRHHEGHCDILSNKKMEDSRKIEHFFGEQDGSIWMKHNILFNHVKITSKKSKEIEDSDCVFLHLNHRYIPVLTVYEGASPIHVAKPEYTKFLLVAIYYNKAIRDLNREYRCNKKPSSRSNRQQAQSVTSSSMAGSQVSTSSQISNSYPFPDPSIYGLSSPLSSQPTRQKTPVLPILPSLSNLFPFPNVFPSNQILFNPILSTFPASDIYSQNQSLINLLPPIYILPNQLLPKVIQVPTKTANTIFPTPSPYYTSPNMYYL >CRE24206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:69195:73057:1 gene:WBGene00070476 transcript:CRE24206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24206 MPQHYLFNDGRQVNSYQKKRIRNILSLPLGNSTRNENIPPPEKPTEQPNLSTTNTNPNSTLKNNTAPSTNRSTNVEISQPQQLVNDNTPGSIGTSKREIEIGSSSNIQHLILEASQVHVPPLPSRQPLKPKNDLARLSQTQRSLAHKISTTTKRNSSQILVSDKELYLSLDNIVGPQKKRQKTNMPELEQQKFQSSKIIADWNIRRKQLVVQPPINQPCLINSVNTSEPVLQLITQPENSLSTNQESEPECVEVETNFLVSSKPLRDEISTNDTALDILWQNSERQYIQLFTESQLNILNERFKKDDIIEENEKVELGRKFGVESEEIGNWFMVQKWKKTKDLTMKKKSREIVSIYENKEVIQPIQEGREMSFKKPLPTDSFDVLLLENGRNDFSCFLNTTLNMLYSCQDLRNSINKDRLEASEPDILLKNVFRKTVKTVAKLRLALPVGLHEGPNDVHRAFEELLKILNVDFNSIEFKSKKETRCAINHNIIRVGEIQSQLYSYLMVRESGTFEEVYRKKFPLNEQKLKSNNYLKTMRIQSINPSGKYHVMLVANERNHKILDFTSDSVIQMYGLQWRVISFAEFIPDPNPKKSHYKCWVRCEDIWCCVNDSYVEKITSYVDFSKFNIRALVFEKV >CRE24208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:80274:82802:1 gene:WBGene00070477 transcript:CRE24208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24208 MEYCYDPCNIYFESDPLEIDSGASNRIMSLPVLSEDEVKGILEEFPALPQDQPHQLSVQKPGFENIPLIQEDSYLTPQQSYQKPPQQSPQSWETTPPIVPSQTPLQHQATQGMEHQYSNQSSYLLSNQGSMETTSLPLYQRQVHQQLPHQLQPIAATSMQQEVMGTEMYNLPLPRVSLSSSPPEKAEVQGSYNAFKYPEAVTTALKNNIQAYTRMSLTKKHKFCAELGLTPKQVTQWYSNAKRRFAKNNVQQASEMTQENRLHTISSLVSTNVMTNVGNMQHLVSPKIIPADEQITQGTEAFFKKVQYSQQPEQHGSHELPQPNRGTPQQTEHLKAPCYQYNFPHQLAHYQNASDIFKQPLPNLSGQLQFRLPNQSVWSQSQKFQQQTTQSPYAWATLPNPDFEIPPQQPPSGNSDISTTHFTEKSFANSWSPATQTLEATAQTITETCTANTRCGKRKHFTAYQISELCNRFAVGDRIVGKEKLELAVKIGVSPSQIAIFFKNRRNEIRKKSHNYQQSRHQVKQVSGPQQNDPSKMSADEQITQGMEECLKLVQDFQHPGQSQQQEPTPDWETPQQTAHFSSMQTSKFLTASCYPNSSPHQIAQYQVAAEIYNRPSPKNSGKLKFRFPNQSVLPHPQQYQQQTTQSSLSGATLPQAYHWPETRIPEVQPADSQNVFCGAYDDQSHITAQADSQPTTEQRRKRTTLNSAQLSVLEARFLKDNYRKYCIITAPVIERHLYYNGTPSIIRIHEYEYPSVFIVFD >CRE24209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:83623:84755:1 gene:WBGene00070478 transcript:CRE24209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24209 MSYYHDPSFYYPYVGNQSCKDRNSHFSNTDGFGRQNQNQLHVLHGPSQPAVVQTGNYLPGVQQHYSTVQYPQNTIVPHFPCQAAHQHLLHQLKQVSGPSQQIAPKRMSVDEQITQRMEEFLEQVQDYQQPEQSQQLISQEPRPNRGTPHTLHYQNASEIYKQPLPNHSGQLRFPLPNHSVWSQPLQFQQQKPQSPHAWATLPNPDFVISPQQPPTENSEIPTAQDSFLIRAKSETQTLEPVDQTIAKTRTNYPRYAKKNFFTAYQLFELCKRFSVADRIVGEEKEELAAKIRVSPHQIAIWFKNKRNEIRKKLNPNNYKTPKYIYPRLRNPRNQ >CRE24211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:95350:97814:1 gene:WBGene00070479 transcript:CRE24211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24211 MPFNHFSLAHQSFNRIGYPFAVTHGGNKSLAITSTSEELCAETNSITTPVHCFEEYQYENLLRSLSLPKLKIRETDFEINPPTRTYFDLDEQVEHEYAVIFPANNTFHSGRNLSGSPTLLGNDEEVPQNKDVESSQLSSLTVLPTFKKCPKFNALETKARAHSGEQPVSLSIPVLESSMNKHELAHTETKKFQCNFCLTICSSNSNLKRHLNRKHSTKEPFQCEICGHTFAIKGEMNRHKKIHLPSGYMITCRTCNQPFKNSFRLRNHQMKSTCNVEDGTLMEVKEIVNVNPIPKFSFQKQDELQKLESPIKGQATSERNQPQTVDRELVVTE >CRE24232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:107752:109900:-1 gene:WBGene00070480 transcript:CRE24232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24232 MYDQFESQSAVFQMKTKRRRHEGPRPKTDAPNLSMTYVKDEIIPDEFLVTADCDLNAIRSPQSTSIDMKRDQIEPHGRETPSAPIGTTCSKMNDDKFLQFCKMLGVPVIYRGIGADEQYLQFLHKKNKYEAVGEKGQIGESNLLLVFRPVIQSDFHCRYQILHSSGPTTEDSLEGDLRSSSSVCATLDFLTDTTQFWFLDFFKRSTILKTLKLAASLKFDAIRRISGTDTL >CRE24213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:122818:140750:1 gene:WBGene00070481 transcript:CRE24213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24213 MRVPRGIGLVFMVAVAAALVAGLILTIVLVSRPSAAPTSDDGRVINVGLCNSNLEEPQQSFRFKRDVDYSACNFTSNKNQLMKFIESTTDDVNFKLISYSDSATKTSLISKKQVLAQLTALGTQPSSTIKQSTAFDKYDPAEYKNSDLVFFTPCKNDYQGYADDMKTVQDTIKTKVVEKKYVIVSLAMNVTQMKQQYGDDNSHNIATNSTGDVSQDINHVLNLPVSTTTSTTRAQMNTTVVMTTQAATTTVPVTTTVPVVSSSAAPMSSSTQAPPKTTPTTVTTKPTSPTTVTVPTVPTTVTIPTTVTTPTTVTMPSTVTMPSTVTTPSTVTMPSTVTTPSTVTMPTTVTTPSTVTMPSTVTTPSTVTMPTTVTTPSTVTMPSTVTTPSTVTMPTTVTTPSTVTMPSTVTTPSTVTMPSTVTVSSTVTVPTTVTTPSTVTMPTTVTTPSTVTTPSIVTTPSTATVSSTATTPTTVDHAKYKFHHSGDEYQNLAGLLAPSSIATTSKPIPSVTCLFMVDYQSSGIDQAAITTYRSVRVFESRKYIIITLQYYNFALLTASKLNDASDFTGDLDNFGYTGALPDHVSYTPRNYDDFKNVPFPIDSTDDGIDLDLKEVNSNLTIAGWNNATNKQTCLLFFSAAPEAEYGGTTIQTTYNSFTTVIGVRIGGATSIPGLTDSIDASSMTDGDAQAIVTKLLESLPPT >CRE24214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:144061:151779:1 gene:WBGene00070482 transcript:CRE24214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrt-9 description:CRE-WRT-9 protein [Source:UniProtKB/TrEMBL;Acc:E3NCY5] MRHRAFSFLVLLFSILQFHLINASYCGANGVPYSLEILSDGSPVLGCAQPTCMAEPSEDYEDSTFIANAAGQEDGFFREGDRQRKSYTQSYKPKAECPGQFSDFACTKKNQWVGGIDFIDHPRQPLILQCCTFEGLRFSQDVGVTTISAGEAVTGGEVVREGRQISFDVIANVRKLVDPDDPKRTYYEVTVRRMNCLPDPPEFEVAYDDDVEPEIRRVLGNATNSAMNIGHENHPHITREKKVSKDRPYKRKPKTSSTHNVVSPFADGHDGEKKGRSEPFIGSFGATIFNPTAENNKHHEIPEEPATPPPRRDHAPYTRRILTPKPRYVQPKTTTPAPTTQETIAPPVHVQVEPNTPAVTAPPNPFAFAPLPPFPQFGLPQPNLFQFPAAPPASPPAPPPLPPPAMFGVPQAPQLAPLQPHTHHHFGFFQPQPQFQPQPQVFGGYGLNGGGFGQQQQPVYGLQGVQNVQPNQLDNLDVYTKSLLTNPNSPFLLQVPTFGAAPPAPQFFAPQQQPVIGQDPKLQRVNIQAPQREISSQPAQFSLQPQADPNQAPKSDTLGALYRPPPFAALGTTLYNFQSHNGYKKA >CRE24215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:164578:172859:1 gene:WBGene00070483 transcript:CRE24215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24215 MADSLLDNYNPLYDIHLRQYFALPHMQKHLQKMGLLESTLNLNGDEVYARHHAMMDMMLKNREAQLVKMAELRKKLDAAEKVECCRRIRTGQSPESYRQGKPSRSLSRNRAGQKNNSSGGRQRRFSNSFEDRDFVQRIEERNTDPVEQNTRDPYKRLSANAKRFNYLHKLDDNTLVAYKDNLKKQLQRLERFREISFGPHSVARQPPPPQASWFFRRRSVLNMRGRKATTGNKLNASHDSRTSCPPTTRKRKGKIAATIVSLIDFIPDSNTRLPPIHPNKNRVPTKPPAPVSLQQITTKLPPAAKKPAPTRGRPSNKRQTTTTTTTTITSVSKSPQISDTTTTTLPSLPSVTGTSDYCLLLSGHGIFTGAAAAATAAAIGTAAINMDDLIGIDEPENVDEPVEVEKPRSPVLETQKTFDRSSPTQQADEEVPTDILDNLADESEKVAEQEEQEFIPRQIVLDNTPINHGDYEDSDSEPEYAEEDRETPEAPNIEEAFIRQEIVQAAASPTEPLAVHIHSEAAHVSPPVSDNTQESPKELSYQHDEPSPVLPSPGDAPEDAPEEAHSPIQSVRSGHRTPPTLIFTDQSTEDAPKSPEHFEHREAQQSPVVDVHSTHVVEHFESHDAIPQSPVSSVHGGAAVEHEEEVEVPQSPVLSVHSSHHSEHHETELQSPVASEHQSEPHEPAPQSPVLSIHSSHHSEHQPEHEDIQSAAEHHEPAPQSPALSIHSSHHSEHHEQALQSPVASEHHSEHHEPTPQSPVLSVHSSHHSEHHDQSPPGSPAASDKDAVELSPSIYSSQASEQSEQRQDSPVASERSARSARSPTFESSVTMQESYGSEKDAPVASEHDQHEATPRADEHHHEPAPHSPVASGFERAPSIRSQGSDDFEHVQAESAPKSPVASEGAHSLAPQIPLQEVSAPSEQEIHHQEPAPQSPAQDGVFERASSVHGSHTSAPMSPAASEKEIHFQRAPSTEGSDHHYLEHQEPAPQSPVLSVHSSHHSEQHSDHVHADHEVPRSPVLSVHSEHQDHHEPAPQSPVLSVHSSHASEHVAPQSPAGSDHVSEEQYEKIEHMAEAAHPTDHIDLTDIPKSPVLSMNDSYAPDHLELEAIPHSPAAAPEPESHSPEPSEKDAQFAPRSPSVHTSDAEEETFEVQHHAALTHTSAPSPPVRAPQSPTEMDLYNPSRENQPSPVMSEPDLPAGIPIADRIDLEAYHNSPTKESTSPVVVNPVDHPMEVQISAEQVAEQLSTPEQKQNFEDIINKSADEEASHLIQEALTEAPAIIDMVNTYEEKEKFPEAYELKYKNEDEGHSPVYNAMTTSIYQPSSDQEDHDSNYEDHKVIEEHKPTIEVKEWDEGDHHVKESTTVSDFTDGTTHMSFQEKVTIVSDNGHEDHSENSKMAPNSEHDNISTDSLIIHDDAHQHNHPSDFDNQMTQSIYQPHDEETEEKEWDDGNKHVHETVTNSEFTDESGKHYSETVTTTTTVIKSGDDDLKNNNDEDDQDGGSDNDDKDKIIEEEGELGSNGNHVRETITTTTRTVTSGGIPEGGILVDDGDETNISSL >CRE24234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:179121:182342:-1 gene:WBGene00070484 transcript:CRE24234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24234 MSDPGPSRKRPADESDSDEETTPTKNKFVPNTLIFGPLCFKGNLPNAYFRRPLNSPVAENEIIESESDQSDAEIEILECESEQPDDDIQIIESESDQADDDIQIIESESDQADDENEILESESDQPDVEIEDFESQSASDQVDDESSEYLDESSAKVEMEHQDSALKANEPYSLETTDWVQILGSENTKPLPEKDKDMEYLRARKRMIAKQMSWTEFVPTVVAFWALHPLDRRVKNYQKARAKREKEKEQRFKANYCSLVTLLTLVRGTRMPGQCLYLKTEYNLKPLVMDVMLDYIKYYIQSLLFNFKSNGISCESIVSFYYNNVGYVTMPNCDYATTNYQANEYSLHDHAHAEIMDCTYNLEQVLYQKEHPSLSKQVDEALKQYAKNQYRHVRYVGSYPRLKINIDGLQTELMVVDESSAAEASELALQRSLNQLSAEYETSLVEAQHKTPPSVWRRLPYQELNEKFLNKRKELLANYVKEPHMLPVVLDFWALHPFHRKCPVEEYVLAEEERKLQIHRYLRHILLVAPEPAPREDQYPIPNKEMMEGTFKYTKRNFFNRKLVMKLINEHIRHVLGEMIESWSARYEEIICCYELAWDVCLPRQFSRVMYDRPILPLQRFSPYTTDEIEKAGNGLTKIGVFLRKCFDHYDIDVAEFHEMVDQLPRDYQFELDEAFELIKMSKKREHFLLRAFKQVNLLANSICFNEISLKNYGLKLKNSKFIDLMA >CRE24216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:186375:188764:1 gene:WBGene00070485 transcript:CRE24216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24216 MKAAAFHLVCASLYAFVCYKDSQIVTGALLPIPYVNWSRFVWLTIIDLYMQTLYHSIGFILSIVSPNKRHVIFDYWAKALVGPIGIAVTVLFWGLFLFDPATLARDEMAMKILSLFWFNHGLHTLPAITAHLDVLIYNHTNFSTSAILKGIAVFVTLYLVDLHYVFYTAGFWAYPILGELAAPFRLVFIAACMFVVYLGYVWLSLLHTLVHGSEKPKNKKKN >CRE24235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:192679:193034:-1 gene:WBGene00070486 transcript:CRE24235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24235 MIFEVPTKYFPPTTDAAKEVKKTAYVSPFEKFLRTEMASMNKFLGGSNNGTKFSLCDTIKSTISSSPKVFNSSSGTGLASAVAQKIADMSTDFSTSFKLTL >CRE24236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:193506:194730:-1 gene:WBGene00070487 transcript:CRE24236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24236 MSHFYKPGEFDTPGVKHLAKKYNVSRRSVATDNRLAELLEETNRIIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINANKTKVLRNKFASSHQINIRKNNTTTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKFVGITLTEQREKNLHREDIRKMSQVKDPLVFIKKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE03610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:711:2036:1 gene:WBGene00070488 transcript:CRE03610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03610 MITGSAPLSTNVLTFVRAAMGCVVVEGYGQTECVAACTVSMEGDSLAGHVGMVIPSCQIKLVDVPELNYYAKDQAGEVCVKGHIIFKGYYKNEQQTKETIDSDGWLHTGDIGRWTPEGTLKIVDRKKHIFKLSQGEYVAPEKIENIYVRSKYVAQSFVHGESLKTCLIAVVVPDAEVLVPAMADQGIKGTIEELCKNETVKKAILDDMVSVGKKAGLFSFEQVRDIYLSAEQFSVENDLLTPTLKSKRPKLKAHYSKQLNEMYAKLP >CRE03810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:6584:9897:-1 gene:WBGene00070489 transcript:CRE03810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-deps-1 description:CRE-DEPS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LX87] MYMENQRSFEYQALVVSSCSSEHQESETDLVLILKSLKDQKEVKSRIYGVAKEAFLPPTSIEQTFDNIEDAKNLERRCAIPLKFGDIIQFNREDVNHDLKTVYRFFREKAIYEVSSNENGPLLKIGGVIEPNKPTTFWTPLETMAIPTQEATRAEPDVYLYAWIRVETQMTSSRDPYNLELSFDSFESCDATEQGRVCEAPWHRYSLESKFTMWRAEPTPCDSDDEIDLEPRKDVYVVENKWAERIQRQLGLFVGERLILCKDLPQYDFIIPLLRPISCVNDTKTFLYPSVGEYFHFSAVWSVHHNAFLVTELLPYQVLRAHTTTTSGNLLVRVNTSDKMSGFFNDSGNSLGLIDDPYHSLCFLEFHPVLHEQLKVMAEVRAVRAVENRSVRYRIVRTVLEDETSKRLNQWLQTSEFCVGPINGMVINRDTVISAKYPNIFFRLDTKENLPPGTGLRFRGKRAPGVNSEVRIIEYHLTAEYSARKVIGTEDNRIFQVYLKSIQTQEQLAHSEIVGPVDMRLLKPPKDVADDEKFLGWIRESLHVGDARRSCTIMEIFSLALCPPMLPVNTSSSRTSSRLSRTTPRGSSTGGSTGSRSSIYSIANNRFVGPGTRKLSQLNSTSKDSVA >CRE03612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:10161:11788:1 gene:WBGene00070490 transcript:CRE03612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03612 MADTSIRSTHSNISRGARPESGRLHRKYPYRWLFDQVHVKSLIFHVEVSLLAAAIVGLYNASQMTPDEQFDPISVPGFDGFSATGEIQRKSNGLPERPNYMISRNLINVYSYFLIFSAIIGFLGMRLSETSITRRKFPQRMNPVLLLVPSTISVITFMYPLFIWTLRAVHSTIKLLIFEKLKISIVLGRVSNFYTFHHIFTLDSCRLTLFPIIMIWAIYVYFLYGFWLGVLYYQRRGDPPTPTTHHDSPFRRPVRRSSLIRFHGKAGVNLLSDLNSVTNELSGTTTAPQSTSSHCVLSISSNSISSAKQQPHNRSVSPRSFRHLETVDEEAQSRTSSEC >CRE03613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:12178:13348:1 gene:WBGene00070491 transcript:CRE03613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03613 MYVPWRSRRSSSEDVDDTFHQVYVPLLRTSLKISIIKYICLLVYCIRSMQEHLSIPTYCNDPSSPIRCSSSSCYWLHKVVLNLPRFMINEWFFVEQCAYLLIFFFTSCSLFLYSVYLVKKEHDLKIRYRAILTLPSMFFELIICFLSYYATITSIFEYSKTAKLNPNFHSSAFIIFAFIISLFYAILIVIHFSSLYYIMIYPVVPLSVYTVSNANYSTMNYGQMSGGSGSRSQQYEPFQAMPLMNDSYRLSINNWQTGNDARGTVSSYSQIRSWNNTLRSTNTLRAPRETILREDDSDYLELV >CRE03811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:13644:17327:-1 gene:WBGene00070493 transcript:CRE03811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-haf-4 description:CRE-HAF-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LX90] MTSAVASRLSWGLILTSIDFLACLLFACLHDGTFKFANFTSQFSDFSFFTSTIDLFLLQLLRFALWMVPAAIHVANKADTLTMWKEVIENKSPLKPRFSNFQPIFCTSLIICAASPTKLLLLTEKLKPDEFLTFGDTAFLVWNFLAAILLNSSWSRYFIRTPSSYIILEEEDLEVAPKQTFELIFRLLQYCKREWLWHISGFSWLFIYSITRIFVPYYTGQVIATVVATKSYPALANSVYIMTIISLVSAVAAGFRGGSFEYAYARIQRSIRYDLFHGLVKQDVAFYDAHKTGEITSRLAADCQTMSDTVALNVNVFLRNCVMLLGSMIFMMKLSWRLSLVTFILVPIIFVASKIFGTYYDHLSERTQDTIAASNDVAEEVLSTMRTVRSFACENVESDRFYGKLTHTLDVTRTKAIAYIGFLWVSELFQSFIIVAVLWYGGHLVLTQKMKADLLVSFLLYQMQLGDNLRQMGEVWTGLMQSVGASRKVFEYIDREPQIQHLGEYMPENVVGKIEFRNVHFSYPTRSDQPILKDLSFTVEPGETVALVGPSGSGKSSCISLLENFYIPNAGQVLVDGVPLEDYEHHYIHKKIALVGQEPILFARSVMENVRYGVEVADTDVIRSCEMANAHGFIMQTTLKYETNVGEKGTQMSGGQKQRIAIARALVREPAILLLDEATSALDTESEHVVQEAIYKNLGGKSVILIAHRLSTVEKADKIVVINKGRVEQIGNHETLLKDTNGTYAKLVQRQMMGDQKTRKRVTVPRSGPRPATSINVAGPSQGNAMSLLSTSFSQSASSVTSK >CRE03812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:19114:20473:-1 gene:WBGene00070494 transcript:CRE03812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03812 MYTNTQSTNYNAWQEDLGRFNGPMNAEQSQEAPVGQHLAADYHFTAPIVHLTNTEEWMRFHVHQNEMMVTNKGRDVCPVLKYSVEGLEENTVYKAGITLVQLDPYVWKFDRKSTKWMQTPVTVSMENSNEIFSLQTTGRNMMENGIVFERAKIYNIGEESKTMKRNNETIEAKLRNKGHQMMRVRTQCRYVPVIVIYKMLENGSTEYLGSFEFDETKFIVVTSYKNQNVKIEKNTGNKYVRKDIKEGAQETKQANSSANEMTVPQVPENADSRWSTSPELLNDVSTYNIPSSSSFGLPIEPMTWDENQFYPLAYNQYQYHQSAQQSSYDQMQPNQMGHVYPGSQYSSPGNSSPSDSTSSTPPHSTSTDYCVPHDDMSGFSSYSL >CRE03614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:22430:23570:1 gene:WBGene00070495 transcript:CRE03614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03614 MGFLWKTAKLGLKVGLVAGAVKLSIDNDIWSTNNVKGSELYQKLKKYILPGTVVFPQQLPTVEDVQLKAGGTWNNVVDSKKLIKTKRCIKIQANSSANEMTVPQVPENADSRWSTSPELLNDVSTYNIPSSSSFGLPIEPMTWDENQFYPLAYNQYQYHQSAQQSSYDQMQPNQMGHVYPGSQYSSPGNSSPSDSTSSTPPHSTSTDYCVPHDDMSGFSSYPL >CRE03813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:23765:24697:-1 gene:WBGene00070496 transcript:CRE03813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03813 MGFLWKTAKLGLKVGLVAGAVKLSIDNDIWSTNNVKGSELYQKLKKYILPGTVVFPQQLPTVEDVQLKAGGTWNNAVDSVFTTIENVPSSVNTVANRLINNK >CRE03615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:25680:28647:1 gene:WBGene00070497 transcript:CRE03615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03615 MNTYNFTKICMQDDTSTMKVTYTKSESNFLQSCVAIGSLAASIPFSVAFQHLPHKPVFIVAGIISGVSTGLVPLASTLGYGWFVTARIFQGMAFSATFPLAGSITANWATPFEHGVFIGLLTGNTQLSNIFTMPVSGWLCSSSGGWTSVYYVHSGVSLVTFSLFFLFFKSSPREHSWVGEKELETIERGKVAKKISRPKDLPFRHILTSLSLWACWIASFGDLITVQLVSQFNPQYMKNYLNYDVLSSGFLAALPIIFQFITKLSSGIISDRIKFINETLKAKIFNTIALAVAAVFFIVLAFIPQEKHLLAIIIMICAESVMGANTAGFNKCATLHSQQYAYFSMQQIMNIWACTIFIEPFFVNMIVKDNTFIDWRNCFLAHAILLLFVNTIFCFFADASPAKWTRLNNNNPEDVIVETSEKERYDTFAIEDTKLIPPSPPSYSMAPMLSSMPMSPASPQQMSSQMSSYSIPPPSIPYSHGASSVMMGSQTPFLSALDPPLPPVSSSAYTLSAPTIDKSQYEISPEVSRWDRQEPEYKHVDEAEAVVAPDVIVADTVDENSTSSDKVEKVHDNERLARMDLKYEEPEPTPFENGTVTFHAWFVRKL >CRE03616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:29479:31324:1 gene:WBGene00070498 transcript:CRE03616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-13 description:CRE-CUTL-13 protein [Source:UniProtKB/TrEMBL;Acc:E3LX95] MKLLVVLLFMIEGRALFQLDNEIVGEPKLECNTDDIVFSFNTRKPFRGNVYVRGYYGSNGCSRPFDSQHQSGGHLSIRIGDCGMRRSRQIGGPRGVNQHITVVANFHRLFTTKEDRTFNVRCFYAHSESVVKTDLSVSPMAEESLEQAATIIPQCTYTLREGSLEGPKVTSTRVGMKIFHRWECDTSGNYGILLRGCTILGSRGGESVPFLDDNGCSVLYDFPQVVYSESLTTAYMAVEAISFPDQPSISFACQIRLCDKRSDECREMHPPPCPLAQIPHIPFDNRIENTFDGIPVEPWMKEPSPPIDDSNQTTVISGEPMPRLISEEEQNQIASNHIEGREKRFAHRLFNISSENIFVDLNEPTKLETPGAPEEYSGASIAKPCISIETFYISAFAVLFVFVVSIGMVCFAGSHVLKK >CRE03814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:32166:34594:-1 gene:WBGene00070499 transcript:CRE03814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnp-8 description:CRE-RNP-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LX96] MNGQAERCDRTAYVSGLQPTVSDIELFEVFNRTAHVEKVIVRNGTVRHALVVFKTVNGLYQVLVNFQGTTLHGRQLHIRPLRESSHANSETITTMFEKAKNQGGRPENQKSHQRPSSAQEMTTQTQDPPPQYSTSSSSYTSHRNHHNQNAHHQNPQHHSYHNPSSQQYSQNQRGYRNSSGSNGSSGLYGRRRNGGYNRSAGFQNESYSGNGVGVTMFDNHPVQQYTGFQQNQDIQFDDYAEGAKRFDNLANLIRASTPTDPFANYHKNSDASSCAISPTPQQRSRALSARDFKQPPPTWKMELRIKNEMAEAQAAADRASEAHQQFPQPLSMSPQEFLAQIAQQATIPAAEKQGTDYQGMRKKRPNLSVINPSLFYEQYPRTSSPVAFVPNTTSNSDKNPSPHDPAVLAYSRLRAPQSAFEGLSPIDTNNCSFITKHLGPTAEIPSNKSRDLTNEELSDMIVSTGNLRINPTITDSFVEPTHPEEPAPWSPLKRLRAESGSLSAAPIASPQFSPIKSKSVDELCDNDTEDDDVFGGSENKETSGDKERQQTVAPVVEQKISDFEDINNSRLPSNSHSAAPSSDQKSFVFPPEYPMCRHSSVPSIAHLVGDLSDFCPLSPHLTAGEKMLIGGGDDSVYPENTEDVDKTSEEKTSSDEKSSEDVEIMTTPEINTEALKVSNVAVEI >CRE03617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:41395:43814:1 gene:WBGene00070500 transcript:CRE03617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-8 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3LX99] MSSKRSKKELQPESTQSDDKDPSTNTAKSEETKTGETIQERNVALDNLAKTPIQLIVQPTPVTPAITPGKPLTPGILNKAESETKNDELKTPKPREQLVEVPSDEVGRVENNIDNIPFYHGFMGRTECEAMLSNHGDFLIRMTEIGKRIAYVISIRWHYQNVHILVKRTKTKKLYWTKKYAFKSVCELIAYHKLNHKPIYENMTLVCGLARHGWQLNNEQVTFNKKLGEGQFGEVHKGQLKTSVFTSPVTVAVKTLHQNHLSANEKILFLKEANVMLTLSHPNVIKFYGVCTMKEPIMIVMEFCDGSSLEDVLLSKESKVPPEDKILYLFHAACGIDYLHGRHVIHRDIAARNCLLNSKKILKISDFGLSVKGVAIKERKGGCLPVKYMAPETLKKGLYSTSSDVYSYGALIYEVYTDGKTPFESCGLRGNELRKAIISKTVQLTIDVEVPTFIQAIFDQSRQYDTDERISSRRIIEIFKEESGVHEIDPNGIFHRFTHIGDVFTKIRNREREAVEVSN >CRE03817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:44062:57036:-1 gene:WBGene00070501 transcript:CRE03817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03817 MNELKRQIEYVLRGDGSKSKTAKDKNINELVILYDKFDHHVIEKEYVNAYGGLFELTKHIFKLARKEFEENQEKWDHLSEHEKTQKKEGQNKKLKIRYPLFELWVRHLKTCRRTHMDYNTVPWIPYCLTLLKFKWIQKDEGMEIEISNLLMLCSMASSIQWAEHSHLKALWDFMWYRVEDSKLEENILKNYIEMAVEMMKNVDFVVYEESAIGVVSRVIVTLKNAMKGIDQKKEWSQKRKYSVVLILSYVVRRWGLEARDLILREVFELTDNMFSLISVEHNNSQSKVCMMRLVDDLVKLAMIDTSRGHRTISEKTEENIRNLVKAGINESLKSILRQFSQSSSFVVLEEYIRYVANWFLAERRLDSDGGMIDESFESTRSDETKKERNELSLEGLIHLSFGASTPTKYAAWNVAIQILNEILNSRRWDARTAEKILSILWGKRKLYQSENVRISFCSLLSTSISQNLKFGEKSIPSIDSILKYSLSLMPNVISLPNAALLTENILKYCSNLVPKGSIQLIWDTVSRTSPSSFEVVRLLSAMISYTEFDENGRFANDENVGRWSLRKDIIEWLLVDPNVHCHKLIYELCHYHPIFCYESEEPCSDDELLQTMKLCKLVTPSKESSEVTEPPSRIHDAYIQEIVNYADDKLKCLLSAEVTLPVFLLSYEFSRKFPDVFFDFDRIYQRLPHIMNDLNCNEFLHSVNQISEWPQNLELPMQFLPPIDCIMAYFLKNLHNQLLDLGKFEERAKEIIETLAEHSKKYPRICEKVQKSMQINRFVKKFILENNGDPYEMTSRYEKFSFLLSHRHLLGTREIIMSRSTKLLEEEAITGADLFIFEKLTSSTCLRNITGSRKLGGYELDAHTVSKCAEHVAFDERSLKIYLRSLKKSPFLAQNIVRTVLENGEMWHLHGKMLKSVMKNEPLLTVCIATIPNMVRYLKIYQVHFQTKLKAVQFLNLDKDSMTSCQKYLLKSKQYSHLITPNNLTALFGCEKKMWKRLIFRFWKLFEQEPALVCEKLHTFASECVDLGLKHLLVELLKALTSSEFCQKVIMKNHLRTVFELTYRSIFLVLTKDKCSPEILELCEDHNLRSDLFEHRIKCVPPHHVADFERFQMKISLAIEHFFTFGTESDSVDMMNFGLIEFYKQLNDNLTEDAIKSNEKRNIYLVDKLSTIWLALPSMRSHIRPIVARFKHVSPAWTHFPQPPHTPATENTFTWKLRFHITLKMMKTTQWKSGEFATCIMLFLTSFDGSYLKTNLIEERQIARLKNETKRNVLCILSKILRRETAKEAGKEDELVIEESFFEAITRSASLFPDVAAFTVPFLFKLCVDSKQNIDFATSKLLACLRGVQQDDQQVVYCLAECVDSIGLNAIARYERIDLKSNELVWKGQFRPQYYFLLARLFLRHGYRTHAFAIANILFDRLSSKKRNIMMINRITLNGIENSDELIELLVDIYVAENNSTALSSLPPGVQNRPDVRQVIYKSSKEWKRLISSDQLNSRESTIIQWMCGLPSNPSKNDKYLDSILRCHFNDYPKILESPLKLVYFSLFHQAIGTEIPSEISDYFSKMVQSPTIDEMRLMMISNNTANFEPESIEEHVIEAIRNLRETVMWREKSSMEHVHDVNSNTKKMVKLAELLTENNAYDAAMLLLNSWEEECLKWKIPTMIDVDIIRICKEYVTCQSGDARMAEINLRSMQPSIASMTDVALAEWTIALSKITIEYRNNHEEGIRILEFGCRNLEKKNSLNARLKVLLKFHSVCIEQLSKLEEYLETRSYRMKKEVISEFEKQLAAPRVQRGNSRDEGSNRTIQRVRKEQQIEKADVEKVENLVLSAARKAVSSAFQALSCISQLDDDLEAIRTSSLIIFPLIDVVYKYEQDQGVVNLLRDHSKTQLPSKLWLCATSHLASKCFSPEKSPITRYLSQILCHLIYDYPYHVLHTILMYEYEKNGSEVRHFLKQIYSAKTNREMKEVAKLKQIVDLMRETHSAYREIASLNVKENVRIQRMEVNGKTVLMWPSELKIFKCKLHLLPIPTITQKIGRPGDLSTTNLITWKSWKNVFTIADGLSAPKIWEIQGSDGKWYKTVWKKDDVRQDVLVEQMFDVTNNMLEKRMLRTYNVVPLDTECGIIEFCGGTVSLKELLCGTNRLGGLHHEFNPKEPGAIKVSQQMREVQMGSTEVRREIFVDICQQYSPVFRHFFYTNFPTAHIWREKIINYRQSLATWSVVCYIVGLGDRHASNILFDEKECTFVHIDLGMILEYSKRSLPVPEQVPFRISRDVLDPILIEGIENGQLAEDCTLIMEKLKENGKVILGVASALLRETMTNFREADQQIGRPSYISEMAIGRLRDKLRGTDDGVTAQSSNLQIRRLLREATNADNLSRMFCGWMPFL >CRE03618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:54650:55540:1 gene:WBGene00070502 transcript:CRE03618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-athp-3 MSTISNKTEELHNSEYNQTGCDEFGKQENVAKNIIPTPNFWLKKEIKEELEGVDETLPPRRKRATHILPPHLLKEIKHEVDDDLSEIGQCVADLRRNPELDPEYVMLRRQSEKSAERYLAAKEVWDRFVSFEDAKPVKRRRCAEVVASTELPKKRAKPVKKNWRDLEEEEYTSQMEKARKGEVRQSRNNEDSEKGVVIKKKEATKKQGQQAKNRECPSKLTHMKKEIQTASSHNSAAQKPKKEKEEARKYNCPICLKAARSGTCLCIGCGEWIHLKCAGIKMSQYDSEFRCRKCSH >CRE03619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:59299:60011:1 gene:WBGene00070503 transcript:CRE03619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03619 description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:E3LXA3] MEIGPDGKPCRACVSVEDMMKKGKEMAEKMKKKEAEEKNPSAPSTSTGAKLHGCPVDKDELGRSTWNLLHTMSVYYPEKPTEEDKSRAKSFMTILGQTYPCDFCAKDLRKDLKESPPKVESRQDFALWMCQLHNKVNEKTGKAKFDCKDVMERWRDGWKDGSCDY >CRE03819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:60151:61262:-1 gene:WBGene00070504 transcript:CRE03819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03819 MDSFKKLQSKVFTSVSSIRKPDESADEQLYNTKRCFIHVNHLSIAIAVVELSILAYQVNLKFYNCVILIIPKPCFSIHIESCYFQIFNGSWMNSEHAMIFIIAAILFLLVIGLLFVAIFFQIGNFLIPHIVMQILLILCFLGLTFATLYALFHGATFQLLVVITNPQIAADSMTLLPAPMISTNVVSGFLVGLLIIFAFIYILIAILNTWCMYVVIDSYQLLKGQKNQTRAPSVEEYCAPKTIQLSLYPNQIVQATDF >CRE03820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:67032:70900:-1 gene:WBGene00070505 transcript:CRE03820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03820 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LXA5] MLHFDNFYFHFFFFLIFILFCLFHNKLRLQTRMLKAYEAFIKYTTNPSLILMGSFTSKHHRLLPVHNATCGPVQGVGYDQEDGSVVEGYLGIPYAEPPVGPLRFKKPVAHRVWEEPLECIKFGPRCPQNDELLGQFVNTVGKSEEHCLSLNVFTPKWESDEWPNGFPVMVFIHGGGFSVHSSSNYGCATIARNLCTKDVVVVTINYRLGVLGFFTTGDEVCPGNLGLWDQTAALQWVRNHISSFRGDPNNVTIFGQSAGGASVDLLCLSPHSRKLFHRAIPMAGNGECDFAVRTSEQQATLSKEFARFLGWDGDDDDSEDLLRFIDEQPLYKIEMGINPKRGFRTSQAGSLYFVPNFDGDFFPKPLSQLRKEVPKMQLMTGTTKYEGLFFIALGALSRNPEGIKKFMSRIFKECDYGEHADQVLQMTYDFYFKGVHPKDQEKNVHQIVKFIGDYSINYGTYRLANMMSEFGHDVYFYQFEYHNPGGFGVFRWLLPFLGSTHCTEMRYILGKGIISKFKPNENDKKMLETMTTYFTNFAKYGNPNGQTTNEEPGEWEKHDSFTPFRHFKIDIEDSEMVEDYQDRRAELWDKLRALNISRAQM >CRE03620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:77292:80796:1 gene:WBGene00070506 transcript:CRE03620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ptr-11 description:CRE-PTR-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LXA6] MGWDSISAIVARLLAAYPVYSILLSILSIIALSAGLVNIRLEPDIRKSFSPEDSDAGYETRVWLEYYGLDIYPERAFCIFTAKSENGSILQEEALKDIYTVDKRLSDAVGLRDGDGRKNCDPLCDLNSPFHLLANQTRKNNGTTSVFTYPDMPYSGLDIFLGLHFSNVDFNPPTHRISSRSLVLWYFSRSDTPEGKLAFKDAIDELFELSKNSSAFENVQFTIFSDQVANREMIRGAIEATTLMTIGFFLLLTQVIIVIKRLSTIKMTIYLVATSLLTPIAATIASFGAICWMGFPSFSIQCVTPFLVLGIGVDDAFILLHRWKHHIAITDGPRRLEQVIVDVGPSITITSLTNIIAFGIGFFTPTPQMSLFCLTASLALLLDYIFTYTILAPIVFLCNDPTYQSVPKDETISKADTWLAKYSRFVCSLKGRLVCACVLIGMYVLTTYGVMTMRTTFEPAKAFPSNSKLVDSLSNIKPVFNTYFPITVIVNNPPNIRNDIEYNSFNNMMTRLEKVPGIRGDNRSLIFLPQYEKYDRFRNVVSSLLGDKYNRSYDNLPSWMDAIGNPPLVKYHIGEDNKTEVTSFRLTLLGKGMSEWAERARAMQHIRTVLREEKQFNATLFDCDSAILSIILTVGTDLIGSIAVTVVCMAIVCFVFIANFNAVAVITSIIASICYVLVGGLSLWGADLDPVIQVDVLLATGFSVDYTAHVAYNYFRARGSPQERVYSSLAEMAMPMCEAGLSTFLCMLPLIFVPTYAIVCFAKTVFLVVAIEFSSTDEPKISCSGLLHGLFILPVVLALFSRNSIDEKNGPPAAITFSTAADQPLVDKDLNAV >CRE03621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:84356:91289:1 gene:WBGene00070507 transcript:CRE03621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bli-3 description:CRE-DUOX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LXA7] MRSKSILYIPLLFIIFFICPVIGIQQNEEFQRYDGWYNNLANREWGSAGSRLHRDARSHYSDGVYSVNNSLPSARELSDTLFKGESGIPNTRGCTTLLAFFSKYSSLEIQCRVADFSGQVVAYEIMQSNGVSCPLETLKIQVPICDSVFDKGCQGKTEIPFTRAKYDKATGNGLNSPREQINERTSWIDGSFIYGTTQPWVSSLRSFKQGRLAEGVPGYPPLNNPHIPLNNPAPPQVHRLMSPDRLFMLGDSRVNENPGLLSFGLILFRWHNYNANQIHRKHPEWTDEQIFQAARRLVIASMQKIIAYDFVPALLGEDVRLSNYTKYMPHVPPGISHAFGAAAFRFPHSIVPPAMLLRKRGNKCEFRTEVGGYPALRLCQNWWNAQDIVKEYSVDEIILGMASQIAERDDNIVVEDLRDYIFGPMHFSRLDVVASSIMRGRDNGIPPYNELRRTFGLPPKTWETINEDFYKKHTEKVERLKELYGGNILYLDAYIGGMLEGGENGPGELFKEIIKDQFTRIRDGDRFWFENKLNGIFTEEEVQMIHGITLRDIIKATTDIDEPMLQNDVFFFKEGDPCPQPFQVNTTGLEPCVPFMQSTYWTDNDTTYVFTLIGLACVPLICYGIGRYLVNRRIAIGHNSACDSLTTDFLTDGYTQKGDVYGVNGLFWYYRYSKLKFRVLALEWLQEEYIRQVRIEIENTTLTVKKPRGGILRIIRFEPGQKIDLFHSTPNPEAMHGPFVLLSQKNNHHLVIRLPSDRDLSKFLDQIRLAATSINSEVNVADEQNSVLLSQAITKERRQDRLDQFFREAYAKSFNDDELRDSENSFDSTNEDILNETISREELASAMGMKADNEFVKRLFAMTAKQNEDSLSFNEFLTVLREFVNASQREKLLTLFKMCDLEGTNRVLRKDLAELVRSLNQTAGVNISEAMQMRIFNEVLHNAGVGNEARYITYDDFNALFAEIPDRQPVGLPFNRRVFQPSIGETSSLNSFAVVDRSINSSAPMTLIHKICAFLETYRQHVFIVFCFVAINLVLFFERFWHYRYMTENRDLRRVMGAGIAITRGAAGALSFCMALILLTVCRNIITLLRETVVSQYIPFDSAIAFHKIVALFAAFWATLHTVGHCVNFYHVGTQSQEGLACLFQEAFFGSNFLPSISYWFYGTITGLTGIALVAVMCIIYVFALPCFIKRAYHAFRLTHLLTIAFYALTFLHGLPKLLDSPKFVYYVIGPILIFVIDRIIGLMQYYKKLEIVSAEILPSDIIYIEFRRPRTFQYKSGQWLTVSSPSIPCTFNEAHAFSIASSPQDDNVKLYIKAVGPWTWKLRSELLRAQNTGSPYPLIHLKGPYGDGNQEWMNYEVAIMVGGGIGVTPYASTLNDLVQLTSNDAFHRVRCRKVYFLWVCPTHKNYEWFVDVLKNVENQDRQGILETHIFVTQLFHKFDLRTTMLYICEKHFRATNAGMSMFTGLHAKNHFGRPNFKAFFQFIQSEHKEQSEIGVFSCGPVNLNEKIAEGCAEANRQRDAPSFAHRFETF >CRE03822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:92019:93733:-1 gene:WBGene00070508 transcript:CRE03822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03822 MHSTYPSLGQLADQLSKAPEYAQLRTYIRGTMNMALVGSKKLNEGKEPLSDRECVSHSLIAKWLDSKGYNIAAQMMRSQMSEEYVENPEKYLIGTENIDSFLKNLKTDISEKIVRNPKPIENGGPFPKVNPIELRREPIRVTPLSEEEIQRTKRQRAEIQRARLARLKVAQEIADSVESSSESSDSSDDSLSSSESSDSPNESKSSEEVEEEKLTFADILGKSGKPEESEVLEVSGGLSFLRNSKPTMKSDSSKTWGPSKLGLVTDDVATTSSESAPSSSLPSLFSPAHLPPLTTNRPILKSTKSEEIDALFGDEDMYDLDDFDDNDEEEKEEKKIVESKKEEVKTVEKPVNVVPPVQKKEEKKSEVVQQIEPLVLSDGESIDELEDFDTGLLSSGGSDYSF >CRE03823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:94022:100131:-1 gene:WBGene00070509 transcript:CRE03823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mex-3 description:CRE-MEX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LXB0] MPVMSPPYSMRNEGYSNNSYGYNRWEPEFWNQMIEETAQKLPGAWYYEEDNASCSPVSDPDDIAQFLNYRTSIGVQNVTESVEVPTSEHVAEIVGRQGCKIKALRAKTNTYIKTPIRGEDPIFVVTGRLEDVREAKREIECAADHFTQIRASRRHSQVVPGAHAPGQVTIYVRVPLRVVGLVVGPKGATIKRIQQDTHTYIITPSREKEPVFEVTGLPHNVEAARKEIETHIFQRTGNLPETEQELMSSGQRGGISLMMQKQLQAQQLQAQQQQHSQQMMYRKFTNGSNLFNQMSNDLPFSMESSLGLDALLRSFPSMRNSLTPDSLQAFGRNGAPVAQKMQRPSLGAQKQDVGTYDYWNNNSINDIMENEILSRKYDVLSSWSNIGLEKEKREESPTNGLMSLKGSSTSSGFGFLNTIWSDNMNLSPGSLPSASPSSSTCDHNDHTLVPISG >CRE03824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:106682:108367:-1 gene:WBGene00070510 transcript:CRE03824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-marc-4 MSQNGENENHHIYVTAPPPPPPPIPELQSSAVRQPSRMEKEPLIDEGNDMIGESRTTYWKGCEFLKASGLCSSKLSLQSASANMCRICHTSSSSRSNPLISPCRCSGTLLFVHKACVVRWLEMSTRKMVPSPRCELCGYDYRRGNIFQMKSLHVPHVDRTSCLLNVLFLITVFIMVFCGYFTIQFIQENALLKRRLFAHSSTNTAYTWKRRGYFSGNGGNNGDSDVTDGYFSRTPVSSLFDIKVVLCASMFLVSFILALFTQYKAESTIFRCIFRFFVINQNWMIKNYDIKNDPEMAHRRLQRHPPSSAAPLTLSASDMCLNVSS >CRE09693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:310197:312773:-1 gene:WBGene00070512 transcript:CRE09693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09693 MSDKTASKQSTSKVDDDRMIVVETQMSVKDRRKKVKNFTKLIASSVKVEDETRLQLGELTTKCSETEVDAILEPMKSFHRELLEKFEEIGGDKWPRSVIRVMREFELESVAELREACAKAAEGEEAEWSVGKVNLELQQVQYEMKVLTECWNEEREMLGEQIRKVQEEKEVAEIQVSKLEKALKQLRKTLERQERRPNGLWDETQGSRSWCERVENWDIERNDERSRKKGGEDAFSRKTLSHSGSSEVNDMMQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTENDYELVAILEEKFLKGAAKSLFKTLPKRFERSIQSLFEEFEQKLRKRQGDSKIEALNEFEGLEKRSDQKMWEYLVEVEKWSRKAFPEVKQETLSQMRTTKLMKAVRGDETLHKMLIMKRFELSLEEQYDHLKDIVLQQENEQRRGNGQKSGYSEGWKGRKDSQWKEREEERPKAENDGEKGETVADRGSGEKQYWREQRCFSCGGVGHLARQCSPKPVQSVEVRGKGEGVGIVAVETVMMLGQERKMVIDSGAAVSVMSTGAWNGLKKGCRNWMEVVKRLGKPNFEVIDTSKKKMRIIQQIEVPIQVRDRKAEVVFQLVENDAEIMLLGTNAFESIGVSVEWKQERTDVQQKKGKRDARSREEKKVFMVGNLGIRVENTKLSGKTAEKSKEIAVDTVTEEKKEGMKPKKTVIRESKILITPRIAVKGKSIFEYRKSALNTWKDKFDFVNVESIVFLLELTEDEETNQKLGNLVRKLAEEVKEITIIPYKMDWAESGLVESWKRSWITAGHVKWSDSAASAGEKFKTWEQLLEFLEARTTGNVVVAQLRKESVTSEPRIKENKWSHQ >CRE16363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:43717:50443:1 gene:WBGene00070513 transcript:CRE16363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16363 MITDIYSLPLVTQVGEPLDETVERVVETIQFSVICFTLPFYIFVTYFMLDALQRGIDELSTPFFRLCITTAFIDIWTLLNNYLGAMFPKWGWGTKVYSFMDGYYAHTYLYFAWASGICQAMCVSLLATNRVSAIIFPQRHSRMWTSTRLRVAIAIQFFPGLIAGLATFFNETQLYRNAKNGIIPRFKSEIFIAVLFGIAGAFLATVCIYLIMAYCYLLLMLRKSSNAIKNTHFAKSRAVIKKKEVKLFIMSSITVTIQMSILVLVIIYATSLLNFPLDKFYLFYNAISDLYAGINPYLLWIFSDSLRKHIYIRLGLKKKRRVPSSSVVTVN >CRE16371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:32853:34454:-1 gene:WBGene00070514 transcript:CRE16371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16371 MSKKVTWVHILDDFNTVALDFLRPFRFPLAVIGALVNAFHILVLARKSMRSNCINIIMIGIGICDLYVMGYKVQDKIRDMIPVPDCSLPYSYTYMMVTSCFEIAESLLRRLSAYLAVLMATVRVLVVKNPLNAKFDSLSTPMFAIKSIILLTLLSAINDAFYYAPSRFESDDMPVKPPARCGYGENFTAIVLVRTFNIIFYENFYQQVYVIYDGISKFHTFEFFRAKSNLTTKMIASMTIASVIAEGPYGILAIAAALSEEYSFQYYALTDLQSVFNYLVMLNTMTHCVVSLAISSQYRDAVFKLLPCLKLIRRKKPEVVSYNS >CRE16361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:23312:26335:1 gene:WBGene00070515 transcript:CRE16361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16361 MQIFKLLISYYFINYARGSSKTSAETGNDIEKFLLESNSVKELSPKNTEMSALNNKKPDKFMEDLIEVQRTYRSLKEKWQRMMEEKNRVIQMASSTTPPTTTSATSSEVQVIDVDVFEAPEKFQDISSDSEFDDESFMDISMTSSTSFPSSPVSTTTTVSQLDQIQTAIREAFGGVGAKVPVQKEQKISQPSLFEIIGSSSSTTTTTSTTTKPVTLQQMDATITTIKIDQSPPPRPTVAAGVSTLTLDTVARNQQVPELTMLNVPRPRHRPAGNVIPQDHPGPSTMDGTQIMAGVNSGATTQDVQSAPAMSQVVPAATEASPVIPTVTQVAQAPLASEGNSKSLLSTFGGRPPAPFPKVATPWRHPAPTMDSLDTANDPFDTTTRVTPPTTTSESPTTSLPLHILPTSSFHSSGTHPDAQPATNLFRYPDQVKIPFFGAKTEEDSGETTVHPMRPTTPEPFRSEEIQNLEEVENEDGDMTLAEDLASSDAADSDLENTESELEENGEIEESEFDGDEEIDEITEATTTPRGIEQNEDYVEETFSQEKSSEASNLKIPESFGIGKAPAPPMDPSPGLDVFGSPPGSQKPRPKAFGTLPSSSGPTESEIGGFGSPPGSSGPKLGSFGNSAPSFPTDDIFGSPPGSGSSGPQGPSGLSSTFEEQIIDSDSAPSFGIGRSPPGFSQPIGPTLPPAAQPQKPGVKFPTLVEQVESFFNEDGSSVEDTGASSALGSFASAEQVANVPVSQNIQVLDDPKPVTRPPTHMPTSRNPVGIFGTKLKTINERAYKPPMFPSALSSETVKMTQLGNPYQQRTTVLPIGPHGLAEPAMEVNSADTELPAWGVEK >CRE23742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig237:108041:121253:-1 gene:WBGene00070516 transcript:CRE23742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23742 MNADSHYELPSGSNQSSSHPDQVLPPQPKITVERMERFLKEQAVNFDRLSLPSLIARFIKEHFQENRSEEEVKKALFDTLRTVNWQEEKVLKMIGYHPDTERNLIDFLMEEKMKAVEFTLEESCRRFVEENELGADPKFLEVLFIHILTNDPDAIIWSTEYGLDNVAFFYMALGLPCSDQFLKRCNEKKILYSLQDNLLVCYHSEQRNLIGECRVDAHKNSRTNSAGSSGSPVVNRASRLSPSVPSTTNERQIGSAQNRVVPNGAVARSGPSRENGGSSLAGSSGPVVAALPDVPDVSQSPAAPEVRSRLFSRLVKEESAIRSSAGNSEPASVNGTPPNPTRRNRTAPVPGGSNISSPVRNQPNGRPRNEEDAPATSSAVSGSAISPKTNNIEETSGNTKPTNGSSTDPAVRQFGLPRRPVEEDNEVRSTTGSSTPALRAEQRVQNKNSQSRGLPSTSGAVPPVPQLPGAPKLVLRLPRRPVEEDTDARTAATVNRTPNVMISPNPTIRTGTAPVPASMSNPSPSHNRQNGNSLNRGLPDVSVASQSSGSSEIRSGLPVEAISTAKKPAESSTPASRTEQRVPATVNGSPPVTTSPNPTGRNETAPGAAEPAVNKKQGLNLEASSPQEEKRIRAWRPSGYQLSPAAVNGTPNLARRNRTLPVRSQPSGSPQNGGGASGTLSKSSETTASTSKSNGVNEALRSVEPVVYNFGNYQQKWDGKEKPKPPVSRQEAMKSSPILSNEESRTVSEASPLTIDSEHQNGGGAPGTSSKSFEPTTSSSGHASTSKSNGVNEAPRSVEPVVYHFGNYQQQFDNTEINPEPEPEQDLPRLSLLQTDNIEISSTDSSDSPMDQADDARGSDARNIPSSSSSRKRHNVDSSPAFTVPNLPPKKSNPLKRPAEENSGDRNSSLPSRGAGKRKVIKPREFTPYEDRKGKYETGERSMEGMLDKLRESSVSISRGSSPDSKAPVEPVSGPPPAKRGRGRPPGKKQRQVLRIIRIAESFFIIFRPPTAPIPPAPQEEQREPSEDSTQPSSSVTPATAEGVIAVTDLGKVRERRRRKPKIAPAPPVEVEDPKNEHPSPTLAVLKMPEPSTSTSRVLSPDSQLPVEPATPMSPATQEEPRELSEDICMPYSRTVTLTTADNLFPQNLTMYGNFSRVVVAATDRALGKTPADKKRGRQPTIAQVDVSPRNPVLADDPLNRPSTSTAESIVSLQGATPILPNEESRPSPLLIDSDDMASALNPGHSSNPEPVPEPELPRLSPSQNENNPTGSSDSPDEQEQPAGDELGSETQSIPGPSSPPTFAVPTVPPNRSNPLKQPAEEDSKDTKSPKLTEPSASTSHGLEPVSGSPAGKNPAPPAGIVISPAPQEEHRESSEDISMPPSSSVTPATARNRALEKARAAKVAKKDGKKGRKPKITPAPPVEVEDPRNRPSVSTAEPNVSLQGAKKPSPILPNEKSRPSPLLIDSDDIAPAQNPEHFSNPEPILEPELPRTSSPSIETSPVESSDSILEQEESADDVTGSEAQNIPSSSRKRKHEDPSPTLAVPKLPKPSTSTSHELSPDSQLPVGPATPMSPAPQEESREPSEDICMYSRSGTLATVDHFSPHKLGRQPKISQVVVSPQNPVLVDNPLDRPSTSSSYAEPIVRIDSHREERDLALSDIYKIFGKEEQESDNNETAKIETPKAPKAPKTSRRTSAPKDVSEDLVTFLQKMTQIVIQPIPVEVLAGLYWVQTPNGKEEEMQEIQTNIQQILNYMFNLDMDASTKALILYMTQASVPKRLLAQICKEVKITLHLDHESKIFQCQTNSISFTRDVNVKLEEWDATEMKEMMTFILTVAQANQQLTLNDIFKMYQESEMNWKKRAAEDVRIKVISCVTCATPTTYDFINIAASLIINFKIPVMDEVKEVILSGGEFQLDDKGYMCFYKREQFVAGQVNDMMTNPVIKKNLENLKGLMKAFHDGMEAANLEFLKDLMEEVNVRMEKLVGEETIKIMEVRQSLYDVVRMIRREAFEESTDDNSICVAKVLRILLATANVLTGSLAKEVQVTVQKVVKDYEVHPEKIPQEMVIRALRVVMNLQNE >CRE23737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig237:73413:76276:1 gene:WBGene00070517 transcript:CRE23737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23737 MSGMSLKFLWDIIIITFCFLLFQHAEKKKIQKLHDGTNIATGLQTQLITDKLFNWKNAQKLAQIGVPFDERDSFLDEIQMEFEFLVEHNWQLNMFACWMCDLLRRAPQLNDGLAQSTIGKLTVISEQMNKLLFMLVSQSFIVSVQPEPVLKTQHKFVTEEEQFDVWTLSLPIMVTVHGSQDCDAQVAILWHRAFASISRNPNATDVTAVTWDNLALMLRNKFQLFTGARRPLSESDLAYLSEKMLMPNVADQKPITFHRFAKQAMRDELAFSFWEWFFSIMQLIKQKLLKYWDEGWCIGFISKHDASQSMMMSPHSSFLLRFSDTQTGAVSIGFVCDEEGQKVPFHLAPLTIKDLDQLSLASRIASCPQLRDIRYMYPHIDKEEMLRYFESEERHRVGGPDSPGGYIQSEIVMVAKTNGNFRRMSNAPSMFGADSPSPLSIQSKLDWSPGEVHQNSMMEMSDDLGQILTVSGMDAMSGDVETLLGPAFKNQITNFHPHDNSHQQHNLHFVDMSHPAMMLQPQQQQQQHHHSHNHNHNQFYPS >CRE22583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:261328:261881:1 gene:WBGene00070519 transcript:CRE22583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22583 MAKRALLVISHDKVQIDDLTLPSADESSSNQVDVKNNLPSQKPESKNIVRVLRKTCDEPKGKFQGAIATVRLLLELPPALILLDSEKFGECAIKLMKTHNPFKSDRSIVSIAIEGDDNISNVSTQFKPIGNIKPVDVARCIEDADHEIDLGLRQKTVIFTYLNPPGSN >CRE07032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:97982:102608:-1 gene:WBGene00070520 transcript:CRE07032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lron-6 MWEFVIVLLFSAGFSNGSESCEPLHAQAHGINLVCCSLNHSLTPSCEFSSLCQEHCSCFLTITRDLDCQLESKSLLDDNKKPYQWLDHQPNSSPFSCRNSNLPNPQSPYTIVVENSNGSQTKEFLLGACSLNVTSITFQHYHSIYDSIDFGLCFPNLASFQVVLLHRTRANFHVSLRNLNFLKVLSLVNVDFEFWLHPSPFVNTINYIHIENSSITELPKWISNSKTLSTVYLKGTSITSLSPIAQLPAVKSLKLSHNLIENLHRLLFVSPFLIHVDLSYNRITSFASHTFSKCVDLRVLDLTGNPIKMLPYKPFAKNIRLKWLKLSRTNITTLSPDHFYGLGALKTLSLSRMPIQTISPYSFVPLKSLRYLDMDSCNLTRLPQAVTANCHLARLNVANNMLHRSSSMPPEVMAMLSGLSQLRIDGNPLTEFPASFLLISRENIRLLRHLLHSTMTLPVWLREPCTPYYWSIHLANRTNNLKSFVNQYDEKRMLKNGLGYCKEQYDWMMEQMEVYRELEKNSGCYSLRRLRSSITSSPTFAPKLPKNVTNSLFFSIDHDPSSPAFHIPILLLISLCANFLLLFSMLMCSIMACRMEKNVENV >CRE07022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:95592:97679:1 gene:WBGene00070521 transcript:CRE07022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07022 MKILIIFGLLASFANAQVDRDWSFQRMYEFWDGGSSYRPRSNGFNTSALLSTQWPLILRWVVRFFKCVYTVFFQESAKRYCEENVPYHINDVTPGERTKCSAEATLICKNEWIQLFGRCYKMTKELMTRDNAVEHCKTQKDDATIAFLHRETLPFRIYDYFTRVSRLWIDASEAITQDLIDENVNGNLLLAIDGYMYNLPNVALTRVDSSETAMVLCEYTPPMNRAESNNLLKKYGEIYYPTVSTSEGAFIRTTSSLNRIDEDLFKDNRYCSRVMNPFIHNSNARSAIPTREFLEEVNKVQNGLIIRTAAFSKSSRKSERIGATCSANKGSIYHVLWMGSDGNAVSQPIDKSLWRSDEPNEICDAGSWSSALVSGRDGSPGLEAMSDARYAPIYCQNIVDSYSYGDCPAGFTEYYRKSLGQKWCHRFFPDKMVNEDAEAHCQKHGAHLTGYTNQEELKLLADLIGDNYEKLYHNLDTWIGARRRSECITTGTDNEPGYDRDPASKCSRVRVFEWINGVAPNPPDIEPDWNHDGEPNFAENREQCLAVMKGNKLTGTLNDVPCTMKYNFICGTEAPIIIKSP >CRE07031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:93273:95241:-1 gene:WBGene00070522 transcript:CRE07031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07031 MSLLARVLRLNTSHRLLNSTSSVSFSQKYRADDVTPQPLQLLSETENSFVETVRRFAGDVIQPLVREMDRKGEMDDRVLKGCFDNGLMGIEVPEKYGGSGSKFFDAVLVIEEISKVDASVAALVDVHNTLFVPLIIELGTEEQKQKYLPRSVTEAVLSFALYEVSSGSDAFALKTTAKKDGDDYIINGSKMWITNSEHSEAFLVMLIRVKDTKESLLSWLTRIARDLVLANRKTNLESARLPLVPFISTTFVSPSQRFWENTEKCLNAGRIGIGAQMLGLAQGCFDQTIPYLQQREQFGERLIDFQGMQHQIAQIRTEIEAARLLVYNAARMKENGLPFVREAAMAKLFASQVTTETESLRSQSTYFQVATNTTSKCVEWLGGVGFTKEFPVEKYYRDCKIGTIYEGTSNIQLNTIAKLIDLEFKNKA >CRE07021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:91212:92925:1 gene:WBGene00070524 transcript:CRE07021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07021 MLVEKCDFLSRRRLRASSSRMYQVVNSTKLYIPSVEIGLWGGEVKAKLKFDQLEKEYTLKFKKNQTGGTQICQDFREDVFVENSDYMVEGIDWFRQMCLQKNVTIGRLEIEAIFNPQKVEKTLDLVLRKSETPLKIKSIFCVGMTTADIMWKIMHYSDKKVLKEMKVKHRKARSLVSSEIPMVFDLCRNLKKIEMECTCIVSDEDLLALNASVIVLKFENFSEDLIYKLIEKFTNRREEGSAFWIVDSKWAHRKQKLSFKAIPPGLEKVFCQNGYENYQLIDTNKPTVSLRLSDCEVILEIGDTSKADFWIDDPDYDLLDDRAFDESDSEDDEDEF >CRE07030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:89372:90626:-1 gene:WBGene00070525 transcript:CRE07030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07030 MQEDKLGIRASSTCPIHFDNVRVPKSAILGEYGKGYKYAIECLNAGRIGIGAQMLGLAQGCFDQTIPYLQQREQFGERLIDFQGMQHQIAQIRTEIEAARLLVYNAARMKENGLPFVREAAMAKLFASQVATNTTSKCAKLIDLEFKNKA >CRE07020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:87178:89022:1 gene:WBGene00070528 transcript:CRE07020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07020 MTEKSIELSELPPEIQQLLVDKCDFITRRRLRASSSLMYQVVNSTKQYIPFVKIKELSNEGVLVKLTIKLFKDDYTLKFKKNQTGGTRICQDFRKEVLIENSNPMDEAVDWFRQMCLQKNVTIGQFHIGSTYDSEKLTEKLDGVLGKSETPLKIKSILCVGTESVDLMWKLMEYCDKNLLKEMKVVTSNKDEIFELFGKQDEIVKTLEKIEIDPVCNASVEDVLSLNASVISLKSENFTEDLVYNLIEKFTNRREDGSAFCIENSQKRNLDLEMIPPGFKGTNSTNEYKEFRNQLIETDHPTVYIRVSEDRVRLQIGDTKKANFWNEDGRMYTNAPEYDYDSSEYDAYDDFDDLESEYYGNPYASDPDYDLLDDRAFDESDFEDDQDEY >CRE07019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:84380:86881:1 gene:WBGene00070529 transcript:CRE07019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07019 MAGKSIELSDLPPEILQLMVEKCDFVTRRRLRASSTLMYQVVDSTKLYIDSVDMNFCGYSIDVSLSLEPPFRHIYNLTFVKNETGGTRMESDFRKEVLIENSDHMGAAIDWFVQMCHQKNVTIGQFYTDLSGHFPKKQLAEKLDEVLGKSEIPLKIKSIHCADYGLDSGKVMWKIAEYCDKRLLKEMEVLIEGPKSLAPHYIWVYSDFELFGKQDEIVKNLKKIQVNASFNVSVEDVLSLNASVIYLDSQNFTKDFVYKLIEKFTNRREDGSAFCIKNSQEENLDLEMIPPGFEKTDSTDEYKEYRNQLINTNHPTVYLRVSEDRVRLQIGDTKKGTFWSGYEFHSDTSDDSSSGSDSDSDANDNAPE >CRE07018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:83340:83704:1 gene:WBGene00070530 transcript:CRE07018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07018 MWKAEEDGSWSIDKNKEFVTFLGNFGAKVGDEVIEERRRRRGSYPAIFHCSLVLELLKLKPDDYPSILVQTVEVIYRRADSMQPICLDRMVDWFSFHLSNFQYRI >CRE07017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:79142:83018:1 gene:WBGene00070531 transcript:CRE07017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncbp-1 description:CRE-NCBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NEH5] MSRRRQNDDEDETQTKRRRGAPLISDVEKKLQEVIGKVGEKVCTNSRTLETKISKSQSTGSSITMNLEKLTEFLTDDLDKYRTSIIDIVAGCAIYLPNRVTVYTTLVGLLNAKNFNFGGEVVEKLIAEQQDLLTKQKYQEAQNVAIFLCDLGNSGVLTAQSIGEYLESFISAAFEENMPQVRNDYYIQTVLRCLPWIGKELTEKSPEQMENIVEAVGKYLELRNKNHVTLLQVWSSTDQEQEDYLESLSAQIEQLRALNWTENHIPRYYKDFEAILADALQHNLPSFASPDHTSDMIYPYPLVVFRLFQDSDCSSFSDKPLPGGHSIDRFLFEGEISWIIEKNQFNRKSCARELLAFAEENPSVPIGFLIFETIFGQMLRLPHAPYPAIFHCSLVLELLKLKPDDYPSILVQTVEVIYRRADSMQPICLDRMVDWFSFHLSNFQYRYTWPDWKDCLTKDAYSGSQIFLREVIEKCRRLGSYEKIIAALPSDFVKIHPCSPDVRYLIDEEDTALVQRAETFTQMFQERQPAEAFLNELKSAEGSEELPYNINEFGLFVMVMLKMASKTFSHNFSALFRYQATLKTVCDASEQYQEKLLETLFSCWKSNQQMLMILTDKLLKMQVIDCSAVVAWLFDEKMWAEHNRQWLFEVLNQALEKLTRQINVVEKDIKELTERVENKGTDDVKEEEMEAEEEKNEKLKQDVDDLENHKEKLERMVTFQKGLFNDFLIAFVEEIKIAGANTSEMDGSGDTAGRQSPKFQWLKGRFCHVLLAHAETLLKHSSSIAEEVFTEGADPSVTECFNQFQSLRF >CRE07029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:77588:78926:-1 gene:WBGene00070532 transcript:CRE07029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rbx-2 description:CRE-RBX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NEH4] MSTPSNSAGSPMQEDNGSVQKQKNANPTENRPFVLKKWNALAIWAWDVECDTCAICRVHLMEECLRCQSEPNSDCCVVWGDCNHSFHHCCMTQWIRQNNRCPLCQKDWVVSRTSK >CRE07027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:62852:70706:-1 gene:WBGene00070533 transcript:CRE07027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07027 MTMTTRPTSLSDSGVQLSPDAETPLPSLTSPLSEGDDTQRAKSRMEKYFAEKTDKNIFMKIIHPSYHERNQQFKKNFVDKGLIDENDQFLASYSCAYQREILAQGRMFISQFHVCFHANIMGWETTLVIPMKEIKLVKKMKAAYIFPNSIQIERNTSEKYFFASFINRDKSFQVLTTAHQKMVGEEARAMTREEVWDMVYNNEDKNPQNQTPPDGSTPASSIKTASTENMSTLATSPTFTVSSTSDSTTMKPSDKDNTSQSSTSSDFHDDDSTAHLSEQFDLDDEEVQCPCSEHTGRLIMDQEVKVSVEKLYELLFTENDFMSEYNKKNRVDSFVAATWVRNHQGENTRSCTYTIFVANPLASKDIVVNEKQVLIHFTNPKHGFIMQKETQNSGVPYADHFTVNCQYCVSRTSPTSCRVKVHAAIVYKKSIWGVVKGFVEKGTFSALDEHYKILSKMFEEYTLKNPEPEKRSIVSANFPDLDVTLKPSDKTPEIRRRRTKMPAGDRLIAGGEVSKSLEFAPVPREIQPITVTSSAEYKPFLYIITALLALFLILNLYVLRGFQKESTAVAINNNQDLGQMLSILMDQVKELKEKVDQMKTNQ >CRE07016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:60831:62545:1 gene:WBGene00070534 transcript:CRE07016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-san-1 description:CRE-SAN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NEH1] MAEEPYVFTLKKRNNDDSEDDWDRYSENLRPTRRGRKIEALRRKPEEVVTEATAREKLDELLKTLQEYENSSEIDEIQKILEFCSWFEEKLAVGFHKLYYELLWKIISRQGFLEKYKDDERMLKIWERMADNSAGHAHDIYQFAISRNSLIKCAALYARWSQCVELAGAYVEARRVLILARSNCAVPLKIINDAEDELEMRDMRRHLQDRDSDDDEEFEETRKAFTNLPIIGENHTVPIVRLPSMCSDSTKKAMKFDTNNVEKVESVCIGNQMQPFEVLSYADADDVEYLKNVHELNAHIERYAIKETINPSIAGTSSGSATIVPVTDHGFEVWTVESSNPTKPKARMAIKRIFKEISFEEYAASLLPKHPSMQREAVKKLDFDETL >CRE07026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:58315:59680:-1 gene:WBGene00070535 transcript:CRE07026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07026 MSWDSFHIVFNRCCGIAALLTNTLMFHLICYKSPKNLGAYKYLMLYFAIFEGLYAILDMIALPDSITLRSALLTVVSSKKNHMPKELLPVLNGGFREVILNESDINIDEFDMMGFYIYPEGIKQRVNWNSVTAIIEISATVGFSETIMCVFGIKCYKKIEKLNSKKTRSNQYQSVQKQLFLALVLQTIIPLVLMYIPSGVLLFFCVTDQSFELFGRIMSTTAAVHRVLDPLLCYCRTIFCLKSNVTEGVTSGTDASSSLEMK >CRE07025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:54581:57878:-1 gene:WBGene00070536 transcript:CRE07025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07025 MSWDSFHIVFIRCCGVAALLTNTLMFHLICYKSPKNLGAYKYLMMYFAIFEGQYAILDMIALPDSITLRSALLTVVSSKKNHMPEVLLPVFNVLYWTSYGMSLALIDVHFVFRYLVVSGNKIWTSSHPSKLFLWLFYPILFGGLHAAGCRYFAGPTHESTQFYKEVLFNGSEIDTNEVDIMGFYIYPKDINGKQQINWMNVAELIEKTVEISFSGTIMCVFGMKCYKKIESLNSKKTRSNRYQSVQKQLFLALVLQTIIPLVLMYIPSGIIIIFCIADWSVELFGRIICITIALYPVLDPLPNMFVINTFRNALFKYCKKIFCLKGKNNKEQETTGTATKKYFPRGYIFVQYLYRKLTHPLHMSTALSHSVQLICQMSWDSFHIVFLRCCGIAALLTNTLMFHLICYKSPKNLGVYKYLMMYFAVFEGLYALLDMTILPDSYTLQSAFLIVISSRKSHMPEVLLQVFNVLYWTSYGMSLALIDVHFVFRYLVVSGEVLFNGSEIDTNEVDIMGFYVYPRDIHGKQQINWMNVAGMVENTVEISFYGTIMCVFGIKCYQEIENLNSMKTRSNQYQSVQKQLFLALVLQTIIPLVLMYIPGGFITIFCIADWSIEIFGRILCITIALYPVLDPLPNMFVINTFRHAIFKYCKKIFCLKGKKNKEQPNGTGTQITSWR >CRE07024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:51298:54220:-1 gene:WBGene00070538 transcript:CRE07024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07024 MSWDSFHIVFIRCCGVAALLTNTLMFYLICYKSPQNLGVYKYLMLYFAIFEGLYAILDMIILLDSYTLKSTFLTVISSEKSHIPEVFLPVFNVLYWSSYGMSIALIDVHFVFRYLVVSGEVLFNGSQVDTNGVDIMGFYIYPRDINGKQQINWMNVAGVVENTVEIIFSSTIMCVFGMKCYQEIEKLNSKRTTSSQYQSFQKQLFLALVLQTIIPLVLMYIPGGFIIIFCIADCSIALFGRILGITIALYPVLDPLPNMFVINTFRNAIFICDRFVSIKHETRLKRNEMSLQVLTLLAVIAATSILGEAARPCRVKVPTTPSSSILPCMKVWENMNSEDTEYEGYALATTTAASAIECGKACEAEVKCAYSLYKSDKKCLTFERFLAADFSKNGDMSLIFKLYLNGTTTCDTATMDGLAASKEKMYYPDGGSFWLFKNIMDTIGYASYNPGLGYKRRKRSVLGWLLNF >CRE07012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:38339:39818:1 gene:WBGene00070539 transcript:CRE07012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cuti-1 description:CRE-CUTI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NEG4] MGHDKISPLPPNFVFSPHDKFYYAPATCNSMHYTTAAYISAFIEFIVMGTGAVCFYVMSHKTDTIEVWMFYIQAIIVVLSVLSSILMMIGLWKEKPNLFISKFAFIWFLLGVWETVYTKKHDKNSSC >CRE07023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:10343:17626:-1 gene:WBGene00070540 transcript:CRE07023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klu-2 MNKRPGMIIPPTVEISTIIDTATPSSSNENRVESSLFIGQLQHPPLRRRPVSAMASLPWVAYQAPREEGTDDEDVAVESTLFLSTSPGIGFPSGGSVSSLALSPPGLSPLNFNDGSPFSLSSTPSSTSSLVRNQNRFTFDHIPFVGSSTNSTPATASTGPPGLSPGSALNIDHEKSAFEIVSCHHQSAEEILQRVRLGTSGSTSSSTNNTRNSQSETTGGSGESTSGVPDGSVPGQYYCFICEKDFRRPDILSRHTRRHTGEKPFKCEDCGRFFSRSDHLRTHRRTHTDEKPYHCCVCNYSARRRDVLTRHMSTRHQTIAPPSVLGTHRNVRRCLSDGDYHKMAAQELRQRHQATREDVEVPPMEDIEDDVIVDDI >CRE07011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:5728:9118:1 gene:WBGene00070541 transcript:CRE07011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gld-2 description:CRE-GLD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NEG2] MPATISSMMCDVSHGGETSVSGDPSPSQSSLSSRIMNGFSHSMSHIHHHNYPTTTTRRKYQTSISTQSSSSTTTSLERISTSTCTSSVSSASEVCLSRAEKDVQTMLTSDASTEEESDEDCDDEEEDDEDDDDEDEDDDEDDDSDSEDYDSEESGSETESEDSHVADEEEEIMEPFVSSWCFAMGTQRSIISSVGEKAPKSNVEHMEDWELDVVERAHRDSRLAELYADLSWRFYTHPPTSFCLARVFMRHGLTGNEGMSVFKLPRKANRRDSIDSSISSASGACSPALDESTLTKIMPTDEFRGGRGVASPSPPAALLSEPLSRMDVLSEKIWDYHNKVSQTDEMLQRKLHLRDMLYTAISPVFPLSGLYVVGSSLNGFGNNSSDMDLCLMITNKDLDQKNDAVVVLNLILSTLQYEKFVASQKLILAKVPILRIKFAAPFDDITVDLNANNSVAIRNTHLLCYYSSYDWRVRPLVSVVKEWAKRKGINDANKSSFTSYSLVLMVIHYLQCGTQTKVLPNLQQSYPTRFSNKVDVRTLNVTMPLEAVQDDIDPSLSENTTLGELLIGFLDYYANEFNYDRDAISIRQGKRVERAALAARPKVHLSSEGDKETPPPSSSASSSSIHNGVAGIPMHHSMSNPHFWRSQWRCVCIEEPFTNSNTAHSIYDEMVFGAIKDAFREAHGELQHNRDLDRLLECEPIKASTTNAGAAVFAATYEGERPLAQQPTSIASASLRAMNVIPSTNGNGHYHYQQQSNQQLMKQQRSGSNLGYQPSNNRGVNGNNNQHQQQNRRVYNSMSSSNAGNSGNGSGARSSKSNENVKESLSRPPSNPRNSSGSAKENLISTNGVTTLAEKKSQSVTKKDEGNRTKRSSAVQSPEPTKPKAEKTPTKAAQ >CRE07010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:2250:2625:1 gene:WBGene00070542 transcript:CRE07010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07010 MRSMKNRDQMYQHHVSLQKLPSSDGGESPAGKRDSSFDSQSSSEADPDALPSIMSKLDDDVSGADLDKTPSTEKKDNSRRSRKSDTKKQNEEEKSPAGWFQGQKCYGMG >CRE14111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:443625:444841:1 gene:WBGene00070543 transcript:CRE14111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14111 MDDSKPFPLFRLPRIAIEEVISTMSPFEIINFSMTSMKIKYFIKCFLRTSRNSQYVLLMNANKEPTVSIEGSEKMYFEFKITLDEMKNGMREYKECLDEKFDTIWVYSENLIDEWMNLVKTSIEIFKFMKHIVIFDIDKFPTRNKSIVDFMKSQTPSIECCEFHGKAGTDEDVEYFLNNINVTEFLGINLKLSDSFKIPQFNHLNSCSLDPANWLTFNQLLQFNGSELYIHGSPITNQELNLFLILWMTSQCHQNLRFLIININDPQSLATIFNLPFEIMDPNVERIGRLSNNATVSLRGGIDIKRNDGMTGTIHSDWRLDKMLLTMVVSRIE >CRE14160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:442701:443307:-1 gene:WBGene00070544 transcript:CRE14160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14160 MNEEVHNRMRGSEKIFYSRDEVVDDSNTKVVTTEFLNSINTSSLPPHRLKLKVGSIVMLLRNLDVASGLCNGTRLTVLELGRRMLKCKYSTGSRIGKTVLIPRIDCYDDNNLAFKLRRTQFPVRLAFALSINKSQGQSFSRIGLWLPEDVFTHGQLYVALSRVRSKKGLFVKTENSNLLNVVFTEVL >CRE14110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:439154:440498:1 gene:WBGene00070545 transcript:CRE14110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14110 MDYLEIKAFALAHTVAFEASSMRVLGESVMPSHVPDLLTPSSDRMSLYERLLNSAIQTLHSYYRLTPEYYTSYSNPEERIYPLERLPDASFVFTNSNPYLDFPRATIAKNIQIGGISVSMETRKLGEEWDQILNLRNKNFLIAFGSVILSKDMPFESKVSLARAMKQFPDVKFIWKYEDSDTDKFAEGIQNIHFTKWVPQRELLADARLSAFMTHGGLGSVNEVSYMGKSSIMCPIMGDQMRNTKMLVRHNGSIEISKYDLGNSELVEKVIRKILYDESYKIAAQLLSDHLMNQPVSPKDLFLKHTEFAARFGKLPSLDPYSRQMGFMEYFMIDLVLIVTVIVVVLCLLLYFLLKLVYRISGKRMKVE >CRE14109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:430911:432771:1 gene:WBGene00070546 transcript:CRE14109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14109 MDSFADPSLSKYKRRPWYQKTVDIVSDAMKVMSEHVQFNSLNQVMVSPSISSQLNDTLVRCDSCFGLKEILERSHSDIERREAKRKLDQHYDYISRQRVIIQTLCAQSRDPNCDVRVVMIDGMSNRHTKLPRLVDRPKFVTDSIRVIMSLTTVQIARSEGKKIECATHNTFSGANSFTNFDYPSIEKTYSHDSSYTLSLFLNAISKLSTIPSVFVLLLDSAAMNKSYMLLGGLGVILSKISKLQKIYIVYPCKGHTHLSVDGHFGTLSQSLRSKNLLDPKDMTVFLEQSPSVAEVITTPTVYEFSEVQQHISKVGNLFSNAQFCLSKDETGDIYWSSAATLHSSLLFNAENDQNAFKLFKEAFLPEEFVPTIRKPETTIIENKVNELKRQCGELLTDQNHQNFCSYIVEYGKKSFRHTMTDINQKRQLVPSPATSPDDPHQTVLQFLKRNGYPTGKMPKNPSI >CRE14158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:427526:430218:-1 gene:WBGene00070547 transcript:CRE14158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14158 MYLQITKNETNVLLRTTVERFRCHRRILEGTHLIICHKLISGCNKNRKIDIDEVSSPINFYYDDNQDLFLTDFDEEEKVLELPIHLIAIGEEVVKIYFQGYLLNIQKSCMHKSVLNVDFYLKRSSRMQEVEEPRKKKARYVESQRPTESEISEELGIYTDSDSMLSITSDISQSYSNSPIETDTQQSDLSLDNPTPIRKVMPIPKSKLDSKTQHDLLKDDVKDKLNQLFARFRFPGKEQLIAPEALESTKNDCSSDKIFQEMVKEIKNTLGLGEENPIVVTEINGGRNMKVLSGHRRVQAYKTAGLNNIRVTVINPEEEADFSLYHFFSSTFKGRAEPHHYDYIHFFNQLFLHLKILKSDLKTWTVQDIREVFSQFLGQNDRVTLFIEICQFDELADALLELRSFVKPLSVACLMNILRRFKINPRSTLEVLNSAQPDMTESALRKTISVIQPDARHLLCKKGCPSSDAEELLTLFGRNPNFPHFVRGMDVRSNNKTLGLEFIKMRFESYLKKSAHKSAEALHKPYIYISEDNSNCDLFITDHEDSIKPYLDSTEEGVALLIGKHSIGATHHTIVLNDESSWKDEEGVLQNHYSLSLWCKSNGMFKTGRSLSDVFIKNGSQRKTIMSARDVRSIIRKGSIGFLNSTSSTTTNIASELLCTCQLILVPNEEIRHLLHESLSKNPSI >CRE14157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:406639:413792:-1 gene:WBGene00070548 transcript:CRE14157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osm-9 description:CRE-OSM-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MRK1] MGGGSSRNKTEPRGEGVKLAFDPDEKWSNLYREREKNHLYKWVGVRKGGELINIYERDGEEGVLKFAEEKLLTILYEDGNTPKLVTYSDYIKWKKGVNVQLGLSEESVDMQQSRFKEHYALWKLNKRGVEGENLIHLLLNREQQVCYEIARILLKRFPGMANDIYLGDEQFGQSALHLAIVHDDYETVSLLLNNKADVNARACGNFFLPEDYKLTNKITDYQGYAYYGEYPLAFAACFGNKDIYDLLIQFGANPNLQDSFGNTILHMCVINYSSSMYSYAVRHWAKPADPHVVNHAGFTPLTLATKLGRKHIFEEMLEIMKVEFWRFSDMTCSAYPLNTLDTIQPDGSTNYDSALMTVINGSTPEHLDMIGSEVIQRLLADKWKAFAQRKLIERLVLLIFQLITLSIVVYIRPTELPRLYMEEPQWDDWVRTVCEILTIGNCVFFVGYQQFGEIRTQGMRGYLRNLKTAPAKAVFCIANLFLLLCIPFRLLRKHEIEEALFVFALPGSWIFLLFFARSAKLTGPFVQMIYSMIAGDMIRFAIISAIFLVSFSQVFYFVGKDMDAKQKLEDTNPHACRISGYTIYTYNTFPETFITLFRASMGGYDYEEFSCANYQALTKTLFVLYMFVMPIMMINILIAMMGNTYTTVIAQAEKAWRQQYAQIVMVLERSVGKERLAASQLEYSIRLDQEGSSGMEVRGLMVIKQTKKTRARQRKQAIYNWKTIGRKVIHTIDKVGTEQAILLLHGHDRLDRVYEDHVQPEKVPSRSRTPTRIGTTLHSSKRLKTTMVVGAAAAAAASNTHEIRTDEAVNSMLLSAPPSLSGEGGTMDWQPSITPVEERSESKSQGRSEASSPIVVIPPVHSKTPPKADSPVKVQEYSRTVRVRGADSIPSIELPNIPNKSTASTPPHRAVSPRLRADMFRRHPNTSSFDQNPPAPPSE >CRE14156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:391787:402336:-1 gene:WBGene00070549 transcript:CRE14156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nstp-6 MTGITRQTMPHGIEIDRQNDQSSNPIIRVICSVQLLSMIAVTAHSTAMPFLVRIANKTHFFPTTSVFMMEVLKLIFCLVITLFKTGSVKSTAQELHKTIWKNRLETLKVAVPAVVYAIQNNLYYIALANIDPTTYSVTLQLRILTTALLSVCLLNKKLSWYQWGAQVMALLGVVIVQLDKSNAHKEAVGSFWIGVGAVIGMCWTSAFAGVYFEKMLKNSLADVWIQNIRLSILTLFFAGITMMTTDGEAVIQGRMFEGWSQMVWLVTVLNSIGGLCISLVMKYADNVMKTYCQSIAIGLTSLVSIFLGERLLTLYLVYGVLMVTSSVVVYSLFPASPPSLPYHKLEQQEDVEELLKSSEEDEEDEIFGENERKVAELSI >CRE14107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:388566:391566:1 gene:WBGene00070550 transcript:CRE14107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14107 MHFVKKVPTTEQEKAAKAKEHAKRSQQFLHVRDRIFAKRDKGEYDDELLSLTQGVLEKNADIYTFWNIRRTTIEQRIEANDKIQKDSEASDEEKTKSAQKIENLLAGELFLSYECIKSNPKSYSAWYQRAWVLQRQTSPDYAKELALCEKALQMDCRNFHCWDHRRIVARLANRTEQQELEFSNRLIDENFSNYSAWHYRYQKSIALQNIHRDAATGMTKIDDALIGSELQKVKNAFYMDAEDQSAWTYTRWLLEVGSGKEFLRPESSSPIELISASFHGNNTTLVFSRAVTIPFLLTFVDTEDTTRWRAFSSTSPNPSSSRVWQYLSDSPLRVVTSQSTDENVTWNELTDDRYVNKSRLETIYDIVEAKEPEYIKELLEDCHQLIQLEPKNKWPLYMRTLVLLEYQPIRSHDEIISNLKNLAENLDSKRAELYKSLLSRQKLNHSIREQFERLIGKEHDQLVVRYAELTSLEGVEFLAGLVGNADFQGNLLTEIHRIVLPNLHNLTISENPIERLSPTPSLSHLTFLSIAGTQISEVSSVMPFFQTTPSLDRLIFCETPLVEKTEELRAQLPGVRLIPHWL >CRE22729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3594:27:1376:-1 gene:WBGene00070552 transcript:CRE22729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsg-101 description:CRE-TSG-101 protein [Source:UniProtKB/TrEMBL;Acc:E3NW97] MSGHQVQQCLQRAGGKYVDSAKKDIVGALSQFKDLAPGTDTFMFPDGKRRTAFRLKGTIPVYYKGACYNIPVTVYLWDTHPYYAPICYVNPTATMVIKESEHVNKEGKVFLPYLNEWRKPSNFLYFHVMAMVFQEKCPVFARSAANSGAATPSAASSASSTPTPAPYPSSQPTMPTPYPSGSGATPYPSSATPYPSAG >CRE14105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:363124:367600:1 gene:WBGene00070555 transcript:CRE14105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-53 description:CRE-CEH-53 protein [Source:UniProtKB/TrEMBL;Acc:E3MRJ5] MIMKECSSSPPQPLSSVPLEERRVRRLRTAFSENQLEMLEDAFLKCQYPDIQQREALGKRIELAEARIQVWFKNRRAKARKRQRNESSDGSPTDDGNEDESDGMNKKKIKEEATIITWTPGAALFNSSISPTTTSIQNSSLPTPQLNFICHQNPFYAYQYQNFNTIPTHLITTANDKMGLVVEKKNIG >CRE14153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:357872:359516:-1 gene:WBGene00070556 transcript:CRE14153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14153 MRILLVLFPFLARFSDTCAPTRATTPIVCACSTSEVALLYRIDGSDGGAEAIQPVGEVTTSDANNCPTEYTITCTLPEGSTGTVMMMFQDSVSGGLQQNTIPLACTDGNWLYLDETNGQT >CRE14152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:341087:356416:-1 gene:WBGene00070557 transcript:CRE14152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hum-9 MDSASGIDLDPNVLRHLQTKKVEERIEDLNNQIWMEDDAVGYRLVTVIDEGLSDLLVGFRDEQGFFEKKRIEKAKCEVPSLNNYSDDLCTLTQPNAATVLHALNIRYTSNVIHTYCGLFCVVINPWRTIPIYSDEVKQLYQHRNDLPPHVYSVAQNAFHGILKGGRNQSILITGESGAGKTENTKKIIDFILSSSGSNNTIGECVVTSGVLLEAMGNARTTHNSNSSRFGKFIRIEFDENSKLIGAKIECCKPLFLPIHPHLKFSDLLEKSRVVSQSDGDRNFHIFYQMLSNYFDNPHKSFLKLSKKVEQYKYLRNDDASIDDAETAKLTDEAFSKIGFSEEEKIWIFQILSAVLWIGDIKFGERSGLDVSFVESMQEVDNIAELLEMKSSKLVDALTQPTIKVHDKLIRKNQNLAKTLSSASAMAKVLYERLFGWVVKRCNDAFSVDDTKNSCRNSRFIAVLDIAGFEIIEKNSFEQFCINYTNEKLQQFFNHFMFVKEQSDYLEEGIKWAQVNFANQLQPTIDLIEKPMGVLSFLEEECVVPNGSEKSLLEKLCSNLAGDSSFKKSKQSQKYSTVRHFAVQHYAGEVHYNIDGWLEKNRDNVETSVLDILSQSTHPLLKVLFPPVPANNLKARRGTITNSTVSFLYKNQLQCLLDTLNTSSAHFIRCVVPNYEKLPGKIDAPLVLSQLKCNGVLEGIRICREGYPSRLPHSEFIERYSLLLKNKEKVSGASEKEKCAHICQDADVRKERYAVGKTKLFCKVGVISELERKRNEYISSFIVLIQANIRYLNVQADLLERRRKAEAIVTIQENVRQFAQLSQWPWYRIHHLARGLIPKNRDKERIQELEMEKMKLEEEIQEMEVKNEEALKENLKLSMLLDREKTEKIKVQKEMEEVEKRGREKLLEKEREFRKTMEEMEQNEEIFSVLEKKYNDQHKKVMKMNDVLRDYERRIEQLNMEKSDLEAENLKLKEAQNRQDSHYGNMEKELMEKTSMIDELQNQVQKLLDETNEQKITIAKLETALEDEKARHSRQNNTIGDMQKLITELNEKIARLDNVALNERNSTRKIEREKEKLNEELTTAKEIIQKQAKKIDELKDECRKRGNEVNRLERKLEDKEAMMADCVKELKDSHKERLKEMEQKVEDVKRKNSKLENENSTQKSQIETFQRESSVDSDYGRSSSGRLSTLGRQYSLTSIGSFSSIRTVGLSRKDSVSDMTSSMYSLRGRRDSTYDMTSSISNSVGLQRSPSTSQVMEKERRILELEKEKAAINTELQLVKRELDVYKSQLSAVESEKESLQTTLRKQTNQLQETTRQFNSAQKNADNLALRLKKALADCDEWKKKHEESINESKTEILAERKRAMDRAEASEKETELKQSRMATIESAKSALSGELARTQAELDRCRQIIVQLEENIKSQETLGNSFERHQSNLNFEIENLRDENCALKAKIRRQYKQIELLTQQDETNDELNHFENKTERLL >CRE14104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:340293:340791:1 gene:WBGene00070558 transcript:CRE14104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14104 MRYISAYLLASLGGNSDPKADDLKKILSSVGIDSDVENINNVVASLQGKNMEEIFAEGMTRIASVPSGRAPAASSAAPAAAAADTKAAKKEEPKEESDDDMGFGLFD >CRE14151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:339207:339711:-1 gene:WBGene00070559 transcript:CRE14151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14151 MRYTSAYLLAALGGNSDPKADDLKKILSSVGIDADAEKVDSVVAALKGKNLKEVITEGKAKIASVPSGGAPAASSAAPAAAAADTKAAKKEEPKEESDDDMGFGLFD >CRE14103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:338231:339073:1 gene:WBGene00070560 transcript:CRE14103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14103 MKMNCFTIYTKSYEKRYCEKSREEQRKKMIEIVRTLLQTYLQVSVAIPDSTCIVSIPKGNFKCGAVPGEFIPKHGEPPACYYEYQMIGDDRIQVAWFVLAGVRYVAGVCIYLETPYESRIRYRYDIILDLLYKADYWSTVSLKEETMQVLIRKKNNELTLFTNNRYGEPSCFRFDSDKRKFVTCQASSLDNYKRIKSTVHTHHLTIQVCQHQNKLALTAINNGTRMHATWNRKYRQIEYSRCASCAEVYDTQLPTYQSLLHHNSYYYNYFNDFFYQNMCY >CRE14102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:335594:336651:1 gene:WBGene00070561 transcript:CRE14102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14102 MSSNCNCSRVRATNEHTNQEKGEAMLAMMGITLLFFIIFIIIVIVLYIRSWKFHENTKFPKQPNWMLKLDSIFSIKKQDRFTIYTKYYEKRYCEKTRAEQPKKMMKTIRTLLQTYLQVPVTIPDSTCIVSIPKRNFKCGAVPGEFIPKHGEPPACYYEYQMIGDDRIQVAWFVVAGVRYVAGVCIYLETPYVGRIRYREEIILDLLQKPDYWPTFTLKEETMQVLIRKCKNELTLFTNNRYNEPCCFRFVSDKRKFVTGQALALDNYKRIKSAVHTHHIPIQVCPHGNELALIRINNGTRMHATWNRKCRHTEYSICASCAELNETPPPTYQSLY >CRE14101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:334114:335176:1 gene:WBGene00070562 transcript:CRE14101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14101 MNEKNTNCSCNRYGKKPLTKEEEQAMMVFMSIFFGIILLMIVFLVIIYIFHYIRSWKFHKVTKIPKQPNWLMRIEVSALTKREQKYFTIYTKRYSPVYGKLYPDAVKKLREVLGNHFKFTIDSDVIPDLTCIISVPKRNFKCGRIPGEFIPKHGESPGCYFEYQMIGDDRIQVTWFMVEGKKYVAGICIYMKNPEQFHYMYREQIIEQLLKEPDYWSTYGLKEETMKVLIRKDNEEWNLFTNNRYGEERCFRFISEKRQLDTYRPFDSKHYGKKKSTEDAGDLTVHVCKSRNEMVMIGMRDGERMHATWNKKHQQMEYYRCASCAELNYTPPPTYQSLY >CRE14100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:331704:333288:1 gene:WBGene00070563 transcript:CRE14100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14100 MDVAVPSSPAANLEWAKHFVAKMSNEQRQLLQQMFIADQEKKKKAREEELAKQQQAILDSLTRQIPITVDVVRATTPPESQASSSSSVVSCYGEEEHITVKDAVMGKLKNASRCVPSGSPKPYRPRTTRERVLFDSHLYVFDKCSYDSKKKFYRCEKKNTCPARLHTPFDSPRVIHKVQVHNHPPPATHDLSHWDIDYGKMRSGFIYLLVPKQSQGQAPSRANQMPHSLLLTPKSDFDGDRSEKSVTPTPMDFLTKLANQLDDKKVVSVKLPAKFSNLNQSEVFEIELALTKFLLSQHDLRNEFINYLTNIPTFFPNAPKNELILFVADFSVPDAPFHMLTVKERNEKSIRAAIMQHLKQVSTRALMVNVCARINVPLSQQMIDEWKTEEFIRLDFSKPNAWKVHRITKLHE >CRE14150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:320514:321678:-1 gene:WBGene00070564 transcript:CRE14150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14150 MSRRSARLHEQDAFARETERQRELDQDIARLSPFFQRMLPGPGTSTPRSQRNRDRMMRAPRSEPSPIERERNQYIRHVFRTETLRRSPRRLMVPPVTPVRGRNSMIQTLYPMDPPSPTESEENTPTQSRQNSPSPPRSPVPEPREIHLIPPPNSPESGIPKRWERLAEDNINMGFGFALSWINTIKFSRLEAEERIILPRIYRRVPRKSLMCLLAHMNVDETVFHHVEVKMKSEVGETKSLKWNKIQRKVFFQMNMRKTFAEFVKLPIPFHRLRLKVDKYAEVPINQGIADKFEPVEYFRLDTKLPNLWILHQIVNHGPKVEEYKKPLPPNFEKAKKGREDF >CRE14149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:315731:318028:-1 gene:WBGene00070565 transcript:CRE14149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14149 MSTDCSSPSPPATSGLQAPTLPEAPEIQMEVEEASPGASEASPEAPTAPATPESPDSSPGSPDEGMDVTEGEPSTSDATAVVPHPGNIDMAEVFRVRFLFSQNFSVKFDQKLISIFQNNQLALQALITGGTLSDNPTLAISKLFSAVGLLPQVKTEPGAPEPKKARLKVFSNGFFMTFDKISSCKMKHFWRCEYKNTCKARMHTDIESEKIVTYIHDHNHTPPTPEEVRLYGIDPTSVERNRVYIVGNVADTNARRKIRKQVADREAAAKRLEEQKKAELQKQQNEATIKAAQEAYARAISSGAISGGAISQRSPMAAAASLLQSASTATAPINPHALLLAQLPYLNQGMARNEIPGMHNPAFMASNMSMPSSSPPVPPPNVYSSPLVIQSEVADAPAAAPVSNQPSTSNRPLDPMMPDPDMLAHPLFEPTFQIARQLRKMWSGVPKRYPRPADTPTDHFDFYVAKYDGGLEHLYNLIRLERRDEAHMKNALERFFNEEFVGPLLFKVSPKICIAFNKPMLDTWENNQFFLVDTRIPSCWKMMYVDDQCD >CRE14148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:314633:315672:-1 gene:WBGene00070566 transcript:CRE14148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14148 MDIKKKLVDSEEDELRKVAFVAIVVSAAAGIMCIILIPGLYTYLQYIQSSVQSDVGFCVDGAKNLENMYQSTKDSDSKLVKRQSGYGSAPNRSSGSRPAPSPYDAATTSSSSSSDSCCSCGIGLAGPQGFPGSPGRDGQDGPAGRPGQDGRDLDGGASDGSEFEIDCPAGPPGAPGNQGPPGSAGRPGMDGVPGRNGRCGRPGEQGERGPNGEDGRPGRRGDDGQPGEVRDVPAPAGPPGLRGAPGGPGPMGPRGNDGRPGNKGPAGPPGDQGFDGAPGGPGADGEPGAQGPLGAAGGCSHCPPPRTAPGY >CRE14147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:313048:313671:-1 gene:WBGene00070567 transcript:CRE14147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14147 MVTKKTVTELSLFAGLIVSMHVAYYTIQNNPSLVAPHQRQELFYVRWLKEKIPALRPYGVTDEPPKADH >CRE14146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:299534:303478:-1 gene:WBGene00070568 transcript:CRE14146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14146 MSSATSTVEKGIRRKLPPPLNRMGLFEPYIKDGKKITEIVRLNPVEVFLAGFIPPMFGSVSAIAIALIFHNDEISNYNWQCGRARLPSLSRIINLPVERTFWQLFLLFHVPIRVVELITGFSRYKRMRNVNYKRVWLYELSRYLYFVVGLLELIFLSGLSIIGERENIQVHVIFFYVFGICGIVHMIANIFCHAHSLYYLNPYGRLSYYLKILFTSLYVLSTPILVASFLLYWRKCITWAYDVFALCEYSGVFLNICFHGCAFFDIRYKVTFSVRKIEDAIQNEPTKQQQVEAIPEKH >CRE14145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:297277:298912:-1 gene:WBGene00070569 transcript:CRE14145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14145 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MRI1] MSVVFAFIFLLVNTVVLSTILFQCATAKRSNQHKNKSKKSTRSRKSNRSGKSSRSRKSSRSGRSTASSKSGKSSRSGRSSKSGKSSKSKSVKSYKLKPNPKGQSNKKVQAAILADQKAAKDAINPSLKEVHDLSTSEKQMNQLVTKKEGNSKVSYTNIRVIPHEISFPQIGGLKHVRIKNSSGKRIVYMVKCSDNMMYSINPVYGIIENDKDAQINILRENGEAKYDKLVIITAVVSHTDKNKTAEQTFASLNDNNSHNYNINVVPLLIQ >CRE14099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:291613:297092:1 gene:WBGene00070570 transcript:CRE14099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14099 MERCIVDKTILEVVRDLLKQIAYLHSVSLKHTSWSTLVADLPPSYYAHTIGNFDETMTFFERSDVDHSRFVHIGKYFSDEYLHSTATETTELLEIPKVLVHGEPYASNVFTKMEGKEQRILSLIDWTVDETAMFRAKYYRDHMVDRPTVWVHAPRIAESLQRHLLEIGELPGLLGKKVNRAKTEASESGTDPKEVGSLGRALVQSNVPIIDEKKEGHSGCFAEDVAKIICWNLNAKERVDNTASLLEGYHFHLARYYDGDCPFTVDIIQRCYELFVPFAMVSLCGKVMSVKNKTEKEPLIERAKSLIQQVYAMERLNE >CRE23189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig438:20056:23412:-1 gene:WBGene00070574 transcript:CRE23189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-1 description:CRE-SRD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NKY5] MATPTELAYNRAALIDSLQFTFLMDEVGKHLSEAICGAGIVLNLLLIYVICHRTPVHMKSYAVLLFNFAIFDLLTCVASLFACQKTIFSGFSLTYIFHGPCKYVAPWLCYFCHCFVCHAMAHSQWILLISFIYRWRILVDEAPGVKTMSMIVAGFYSMSFVVFLFYYLDMGASDELKQIMYDLHPQYHYEDVDIWGNLTVSGNTTIFTISSMTAIIYMTVTCVPIYFLIHWFRNQTLSILASSACSMSETTKASHAKLILALSIQATIPLFWLLASFIFTLAEFGVISGPIPENMTFRLMDCIPSSSPLVAFIFIAPYREGLLRLISKTGIYKKATENRVSSTVEKFSQPPKQPPNPLNNTAPMVATI >CRE29255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig639:81:1184:1 gene:WBGene00070575 transcript:CRE29255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29255 VCNFYTIFRVIFSGFSLIYIFHGPCKYFSPWFCYFCHCFECHTLAHSQWILLASFIYRHRVLVGGSPSVKDMLKNSVAFYSMSACFLLIYLCDRSDSDELLRVMARLHPEYHYDDDRIWHLLFHFLDTGLTLSGNLSMFSPITFLSILYMTFPCVPIYCAILYFRYNTLTILSDPAINLSPVSKKSHQKLVRALTVQAGIPIFWLVASGIYTMAQFGLIGGPIPENITFRLMDCIPMVSPIVTIFFIQPYRDGLLKIVFRGSGIFIPTTIGSSVVDVSGDTHTHPQVVENQTQVVAKTQKLLKKNTSCSQ >CRE12364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig577:4502:6978:-1 gene:WBGene00070576 transcript:CRE12364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdc-25.4 description:CRE-CDC-25.4 protein [Source:UniProtKB/TrEMBL;Acc:E3NM56] MTREVNYCILKSDNLQDNRQSRLSPQDEHREEAHVKTPVRRYTLTTVESPQTESTTFRSISAPVLASLLRDRSRGLQLIIFDCRYPFEYFGGHIKGAINIYSLDELEKYLFDEFGVRSTMGGLLPIFYCEYSQVRGPAMARRLRKIDMHRNHHRASSLDFPEIYLLDRGYFNFWSDQMLRDLCEPRYYISMHARPYKHALRQYTQHHRSKSISNEHKKKNHLRVFSEEHLLEEEPPVEELIEETPSRRATTPRTRLLFE >CRE15800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig666:4841:6812:-1 gene:WBGene00070578 transcript:CRE15800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15800 MHSPLPYLLAVTFLDIVICMAPMPHIDWCLYWRYLNKDQFRPECNGVYRLRFAKHKAHDHRPQITGQQSSVPWTPARPVPRFNSFSLNTNSILPVTNENGFGDCRPHHTSCQQSGQCSSGQLCIDSNGYCCGSKRTLTECPQPSTLVAHCAFRRRHVNWCTSDAECAPHLAPRQNMFFSNDASLLDSIQAAPSLCCPTQCGYNMCVR >CRE23743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:1798:4480:1 gene:WBGene00070579 transcript:CRE23743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srb-6 description:CRE-SRB-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NHR3] MLYFAEILLSVFNNVVVFSHHVITPIYAENNCDLLVDTLKNKVFQNIGVFGVSCPMLTILGITFERLLALIFAHCYERVRLCIGLIIGIIAVVCDMVLVWFFFRYETFEQPSISYFMVPDSSGYRMNILCWWLLAANAVNLVFNYILVKVNVALKKKWRSSLSTRFQMEENIITTKFSTFISFVHVFFFSLYLIFTLGIRLFGSNFLTTPADFVAVRGVYITIPTYNLVIGVASCIYLRRLKGEKSAKVHAEVTFKYAGYEAAQIHEEAMLSIWRTHSSKKSIF >CRE23744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:12019:12312:1 gene:WBGene00070580 transcript:CRE23744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23744 MADKSAYMSSGRYSSGYMGSNASSSGYAREDYASGGSGGLNNNNQGGSGGNTNSGAQSSSRLVLMNRATWVHNSSSKKPNRHQPIFVSIIKTCYFLI >CRE23754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:12453:14890:-1 gene:WBGene00070581 transcript:CRE23754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23754 MLKSIEMRNIGRGGEFSRILNMEQVQQAKSVKLNSYLDSFPFHSSLKNTEISKYLNYDTFEKVVILNYDIHDKQWMKKYVSKHKKQGNDGVTMIALVNGPRFELNLRKCSKRCQSLVDSMPLTLDFLGIKASSYVNIKYSESKIHTEDEYVSSYIAILILCVANEVRNIQYKEKVGSLLAIFLKHLKSLISSLPHKINVQHFSLGGNLLTPEDYCELLSCLKSEKLESISLFGERTGDSFDKIAHLEQIKRVPSIDIRHAVDRIPLDMLSNTPNYLINVRNISESEFIRIIEQHLQRDNFQMGRIAVGKDEMEPWITDFLVKNAAVNEDDGSMTATLEGPKFKNTVNVDDEWIVFRRMI >CRE23756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:22321:24590:-1 gene:WBGene00070582 transcript:CRE23756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23756 MWDVVWPVVQNLTEELSRMPRYIASKWTVSTPLAFPYFIKEVLENEALFPLYSFIVYTFLLFSITEIVRRIGDRFLDESMMWKFNELISMTTMCACLNPQVLIFDKYGPFSMLIAVALHRKLAEFLNRGAGLHSSLLIEEKVQRTRITDEDFIWLSVAHVLSASFAFVYAGGIWNLTFWLTGLGAVAPRACLFTEKISMEAVGGMQFGLSFLIRVVLHYLATPELKKYHVLVYAVFIVGGYFLTGMIGIDAMVAVSTVLGCTHMGNHTPSKGILTYLMSLNSGWMLAGWLMGDTPMRSIHRERVEKRLEEEEAARVAALPPAPPKFVGKGNRRRQVR >CRE23757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:25397:27532:-1 gene:WBGene00070583 transcript:CRE23757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23757 MHSSSSACSSSLRSTDTLRNARFFLVEAPKSTLTMTSSLIQNLLEHKELHPLYASILFTIGIFGLTYGFKKINDLVFKHHVWCREFAGILNLCCCIFQEEILQENYGFSAMFIAIVIHWKLREYFHPSHAENSSLLLEEGLIRRRLFILDALYLIVIHVFCAYLAQGAAFDVLKSTYNRTGLEVSIRRCLCRELIPLEYVGVIQFAATFLLRFVLHHLATPERKKYHVLVYASFLVGSFCTIFGWMLAACLFGDTPRRTCHRERFEEYTERMEEATRLAALPPPRIVPVRKGNRRN >CRE23746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:30101:33336:1 gene:WBGene00070584 transcript:CRE23746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23746 MMRLSDQILGCPNCDYKARSSNMRKHIGAVHGEETLKKFKEALVAKKAAISGSKVQKCEVCAHICPTIGGMKTHMKLHKRNEAVKPVDPSEVSLFEENGGKDESNLMREPPSKKFHCDDTIYDTVNVYGRVPKPASNVNAVKERERDREMRMTCPVDGCLVTVGTRVSLVEHMGRNHDSRFQLENDEFYDEKALTVSRKHKNSIKNMKLIKEWIDVRQTESTTTFIKKKTVHSESGSTCYYHCQHEGNYDSKGTLRFGHVTKKETGTSSCPAFLKVFSNAEKQVFKVVGCFQHHGHRQEVVNQKLTDEDCDILKQMMVDGYTNKQILHKILKTYNENHRIFFSTPDDLSNLRKKEQLFPGRRHENDLESILLRVNEKKDCDGIRFYSPPENATGEGFKLVIISPEQLDLIKKYSHRGITMDDTHHCTTYRLKLSTMLVCDGFDRGLPVAFLLSFSTTTADVEELFKCVKILYPSFNPQFVMSDKAYVFYNGFSNVFPNSQARKVLCRWHIFRTWKKMAKNTLKESSVSKILPKLRELLREPVKEHFDRRIAEILHFLDNLERKEGEMFADYFRTRYLDRVSEWSTTEREGIIFHTSMYAESWHSMLKKEILDGKTKIRVDTLVHQLYIAVSWVLEKNRIKVARKLAKGIPRLAKNHKYCRSASLQLSNYLVFEESSDFDGERTFRVGKVGTDVEKLYIVTEKSSCLCLQNENTHCDCGGCGYRHVCTCLNQEAGVCCKHIHMALRTIGSHQSVDVDEIQKALDVVLPLLPSVEPALPLRRHASQSLTARQTMNDHLKLETDSPLDHDTNPLEDTFHWNYWRKCPDCLLPVHLECGNGQKLCAHCNVKFIDYD >CRE23758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:34111:37274:-1 gene:WBGene00070585 transcript:CRE23758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23758 MNASPPLAYQSLKAVLPYMYTTLRINLTVRCPSLRSVDKTVPLTIDSFEPKEHSFIIDDMEYEIGLFKEYPEGSCPDSAKRDNKNGGLESDIDQYGFEDWSTRGALTPGDTDLREWRKREYKENPGLEVTGLDEHERNLRVYREQLAVVESFGPVDLRSDPIHSSEKINQIMNRFMDSNTTTDLLNCYREYKEFEFARALAHETLRDHIMRLEPLLESFYCRRDRRAVPFNSFIMLTIKSSVSGVTKTVEMVKYEKKLHEALKYLIFKIFGGRLHPVTMNTIYLSGETIIRFPPELKIKLRNIDTSADENLTLEALNPFIDETCYPLKSIKFEVSDSRIFENPVVRSAEELVVSEPFPRTDWVPIFLNLPNKKIHLLYDGNVISVDGFIALIRHYMSAGKEVGASFTYGLSAEITMEGGNNESDEFLIALFRKIRKEFKESKSWKRRAHIPTTDGKTLVVSTVACEYMDDYCVQLRIDS >CRE23759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:38576:40403:-1 gene:WBGene00070586 transcript:CRE23759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23759 MNPGPPLAYDSLRTVLQHMDPNLRIRLSINCPSIRSAEKAVPLKIKKLEYTDQSFTVDGKKYKVGIYKKYPEGMCPIVENDNKFWGRLATDLDQHGYEDWQTLDKLRPGDVNLRCSNDRVRVYQEINEVGIKKKEEEELPELQEQLDYVESFGPMATSFSFDEFYSDDMVEQITSYFVKGYTSEDLSAYYSTLQEFEHGRDRAYEELKDKILETKAILQQWYARRDGLPVPFESYIMFTISDRYTKKNIEFIRYEKKLHESLNYLMHKIFENRRHPVAVKLLIPQSEILRLTPGLRMQIEEMYFDGEVDCAFAELAPYIEESSYPLKCLKISVWDTAVFQHPKLRSAKHLVVGRSHEEIRWLPILLNLENHKVSYLEGKWMTVDDFMTLIRHWVSCGKELGASFSYTLELTEDEYLDIDGFHKEVFKEIKAQFKNSISGHRNANIPIDNGTTLKVSVEYSRDEYFPYNLVLQILPLEQ >CRE23747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:41880:43667:1 gene:WBGene00070587 transcript:CRE23747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23747 MNPGPPLSYESLKTVLQYMDPNLRYTVGIYKKYPAGMSPPLAEKENGYGGLATDLDQHGYEDWQTSFEVRPGDVDLRSSYKRSRVYEQINEVETKEKEEELPDLQERLDYVESLGPIINSNLDESYSRRMFREITSYFVIDDFSEELSGHYSTQPEFEHARAQAYEELKDEVLNTKAILQQWYARRDGLPVPFESYIMLTISNHETKENKKIEFVNYERKLHKSLNYLMHRIFENRRHPVAVKLLITNAEILRLTPGLRMQIEEMDIEKQIDSSYPLKWLKVNVYHPSIFQHAKLRSAKHLVVLGMDHNDWLPIYLNLENHKVHVMDHQVVGLMPVDDIMVFIRHWTSCGKELGASFSYRLNVYNKRERLDFHEEILKRIKKQFKNSISEHRYAKIPTVHETTLKVSLELSDRENFPWDIVLQILPLEQ >CRE23748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:44352:45808:1 gene:WBGene00070588 transcript:CRE23748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23748 MNPGPPLSYESLKTVLQYMDPNLRIRLFINCPLIRSAEKVVPLKIKKLELSHRWIAIDDTRYTVGIYKKYPAGTCPPRVERENEFGGLATDLDQHGYEDWPGRLQLRPGDVDVRGPFEIVNETGYRQIQGFEIRAKEKKLPDLQERLEYVESLGPINDHFTLSYNHSDIEIIVKYFKEGKTTTDATSHFKVRKEFEHARARAYSELKNRVLNTKAILQQWYARRDGLPVPFESYIMLTISNNKREKKEKVEFVKYEKRLPEALNYLVHRIFENRRHPVAVKLLIPKSEILRLTPGLRMEIEEMNFDGGSYRAFDDIAAYIEESSYPLKSVKACVYHPSIFQHTMLRSAKHLVVRGIGSFEWLPIFLNLENHKVHIIWNYLRETMPVDDYMTLIRHWVSSGKDVGASFRQPLKVEKGAELETNNFHLEVFKEIKAQFKNSISGHRYIF >CRE23760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:46419:49130:-1 gene:WBGene00070589 transcript:CRE23760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23760 MNPGPPLAYESSKTVLKHLNANSRIRLSTHYPSMRSVEKVVPLKIKKLELSDQSFIVDGMRYKVGIYKKYPAGMCPPSIEEENEDGGWRIDSDHHGFDDWPSRLTLRPGDVDLRDPFERYREYPGINRDQIKEKEKELPELEKRLGYVESLGPINPHFDLSYKESNVEIILGYFMERRLTTDHTSHFKVRKEFEHARARAYKKLKDKVLDTKAILQQWYARRDGLPVPFESYITFTISNDKTKEKKTVEFVKYEKSLFEALNYLMHRIFENRRYPVAVKLLVPEADILRLTPGLRMQVEGMHFDGEADRAFAELTPYIEESSYPLKKLKIPVYDTEVFEHPKLRSAKHLVIVGTDDDIEWLPYFLKLENHKVHLINECDEQILSVTDCMIFIKHWISCEKEEGASFSFSLDAYDDLEMDDYHKKVFKRIKKTFKKSVSGRRFAKIPTDNDTILKVSVEPSGVEEYPWNIVLQILPLEDM >CRE23749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:57280:58869:1 gene:WBGene00070590 transcript:CRE23749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-exl-1 description:CRE-EXL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NHS8] MTKFSLWLAAGTNNVLPAGDPYAHHLFMRCLFHAKHDDTIKFDVKTTKITKTSDEFKATGLRRMPGIFVVEESGETQTFETEDEILDFLEYLKPSRGDDEEAENATCDLFRQFARFVKDVEHSDTALNTELLRLDKYLSEHGTRFLLSDDIAHLDCLVLTKLHSIRIAARHLKNYEIPSELSHVLDYLKAGYDTEMFRLSCPSDQEIIIHWTELKDTPNLSAKDRAKLVRDEPVYSFTI >CRE23751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:66771:75968:1 gene:WBGene00070591 transcript:CRE23751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23751 MTNLPTEMRKLTVEDERKLWRFVFNQAIKEKNPMSLRKIIAEFIELGLIRDFGLAEVEFHFHKEMVPYLYKSDELSPVEILQFYRHFKVTISTELKKFLSHLCNVTIQLHYTSNQIKSWTNINRRNPAPTATTKSTSKVPQHVELAMWKHLSANANRINKGMQANSIKFWREMKMSKEDEENVSMTDIVTFFHTHIRRLIFALRIDPRDKMKLIQELRLKPSATQKLWMIRYDFLYIETNKEGYVNSFYNWKHDKAFHSKLFPKPASFESYKPLKLYGTGGEERPDSSIDLPKLKTDAYGIFRVPDYDDSGDEPEGFDEYKKWLKKNDPEELDKLENKKRPNDCLYPVRRNAPRAAAVATVSPKSPPEASNSRKNGRKLTGNADIDMDADADAEMDSESDRDGDDMDSDVPKPEIPSKSAGRASKSNKKAEIPSKSVRKIRKPTPLETLGIVAEAEISSTHTIVASSKPTEKPVISTSNASESAPKSTSSGFVMPEFIDSGEYSDVEMEVEIGGDKSDDDDVFVDAFEEIPGGLQCPPSPIVPSSNDTYVDVESVTSDEEEDEELRRRLPSIDLSSAEEPLEIDPQELTVIPSVIQKPTVEKKMVNNKRRMNTSLVIKIEPPINFDENFNDKDIPSTSGPPPSKKRAPRAPRHSTPLTESKKSGGQNSQKFSKNDAKSESSAGKDSEGEDVEKGDEKKKEEEMERRNGEGVEQTFVRSRLPSALEKRLREIQEAPKVPLIPGQSYGTGRLRIYSADAKFTGPVYTTPAAQNPAQTEIDSNIPMCYEPPLVIEKTLSDQDDIIRYYNCLEKLPIYFEDDGMAFERNGPTVKMTLINKTKQQIKQERGSNETSRGAASKQLRMSSARRYTPPPPTTSS >CRE23761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:76347:77888:-1 gene:WBGene00070592 transcript:CRE23761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23761 METDRNLYDGQRYKRDGTTYQCEIRPGKRSHRAVGCNIVENGRDINKVIGCRWYEQSPDSKIEKTCETDGPNKTKVTTVGCIYKYKGFDRIFLEPGKYTIWNLPKQKESSVGLACRKTADGAELVVFDVAQLERNTAGLTYDLPRGK >CRE16378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig306:6752:11270:-1 gene:WBGene00070594 transcript:CRE16378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdhb-1 description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3NIS9] MLARSALLAHSAELAANALRAASGAAAAAPQKKTGNRIKTFEIYRFNPEAPGAKPTIQKFDVDLDQCGTMILDALIKIKNEVDPTLTFRRSCREGICGSCAMNIGGQNTLACICKIDADTSKSTKIYPLPHMFVVKDLVPDMNLFYAQYASIQPWIQKKTPLTLGEKQMHQSVAERDRLDGLYECILCACCSTSCPSYWWNADKYLGPAVLMQAYRWVIDSRDDYATERLHRMHDSFSAFKCHTIMNCTKTCPKHLNPAKAIGEIKSLLTGMKTKPEPVPSSFEVKDL >CRE16376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig306:11480:14976:1 gene:WBGene00070595 transcript:CRE16376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16376 MTHFRLFFLVFSDFHFENYKIQMLNSLSRILLSSILLFSVLSTEKQNFTRDSAPITDDPWDLDGPCQKYVEKFAMVQSDMVACATNWSIPPKVCTNCFQNYINFKQYEYETKNLNNVYSLDNRTCTQVIYDNYLLSYSSDISTALTSNIWEKSRCDSCITFDWKFAENKTTPRVIERTIVFQNYLYEWRNCIVNYTSFDAEIIDHSLSNASKICNLCKTPFDELYGYYWKIYTTPDVDFCVDVETTMNDTIHLWDDVWKCAEKQDRNRDLFGIMITFGTLVLLTALFYAASYIQGGGQTRNLVRYSRLSDPRGQRSRLLSSGMSDADLVHRVPLPTTSTQVYNIPIHQTR >CRE16377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig306:27616:60900:1 gene:WBGene00070597 transcript:CRE16377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mel-11 description:CRE-MEL-11 protein [Source:UniProtKB/TrEMBL;Acc:E3NIT1] MGQDDEDDAQMLQAKQQITFNVVNKRKDQLRRWQSSEMNREAARRMKRPKVQFQDSDIFLSACMSGDEEEVEELLSKGADINTCTVDGLTALHQSVIDSKPEMVRFLCSKGADVNAQDNEGWTPLHAAACCGNVAIVRYLCQHGADLSVVNSDKELALDLAVDEQCREYLEDDYRRNRINLEECREQELHLMLKDVKMWMSQGEYRDIPHHRTGGTAMHVAAGRGYTQLLELLIKAGGNVRSQDKEGWTPLHAAAHWAERDACKILLENGAELSDLTYTGIDVLGVADKDCVDYLVELADTVKSQNKRKSPGGSLPPASVLQEKNHRMSTTTTHEEHVLSTERKRDLQHKDQHSENEYLHSIPSTTSIGSTVSTSSSNNMNNLSNTSTSTTTIVIDDVVKDSEVIVKDSEDVVVAASEEEEEKAEVQEEVTAEEKDVEEEDEDVEEEDEESEILKETGRSASESRSLSVTRSLDGYTDRSSSGRETSVDTSEAASLVSHTTASSAASGSGSSGSSSRFTSSSTPLSQRSASVQQQETPRSSLENTSSIGSGDQTVSATVPIAPLTAPPPKAVHQSPSSWINRGVPLSSRSSTSSVTRSSSTPVSEIISPPASLHSQHSFPSSSLTNTSTATVTPTPSSIPSTPVNSNVMAATISSSAKNTPSPAGSVTISATFSKPSSRNPSEELYRSPSQPTNVPWASLWHNVNNASTGHIRSHIEQLRNASSSSLSTYYPPRVSAFRPPTVRPSASSASVNSTTSSATYPHSPVIAEYPRGFVPQQINPNKMSRWQSKTVTESEAERRNNSRMQRQHRRSTQGVTKEQLEEASKFATDEVARRNSQVLSTYPNTLQARLASEEKDLSSTSDDPNLTTGGDVTTSATVSLVNSPNSTSSSVRRKSQGLTISRSNRRATGPVNPEDLAPAMSLRLASAAPQPYSIRANTGTMPLISSSRYVMEKTVAEKAQSLPPGGMTQTPTATQSTTQSLSSRSAVVAGLSPAPTMRTPGAPLISNTTTSSRFMPSSSLSSNGSSVTNPPVSAYGLQTRPTETNLNYKALFEKERTECDRLRREMDDLRRSQTNDTWRGASTQPAWRTRNASPSAQPHNLSVAKSTSLASFDENERRSMERKIADLELQLKTTQNLRMENQRLKEENGALVRVISKMTI >CRE30431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:3516:5316:1 gene:WBGene00070600 transcript:CRE30431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30431 MAPPSIYGEPKIRSENGSVFLEVIATGADVSKIQWFFGADELEENEFLKFSHNDEGGNRTMFIAEIKDFDKPLAGEYKAVFANADGQNSASFTVTAGNAPDFHDKPHIVQRDNGNVIVIKVRAKSHLEMKAEWFKDDKPVKMTDRVKAVVKKDDKDKDGYQFLLEITGPQKDDEAKYKCIVKNSEGQNQQSLNLVFD >CRE30432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:12334:13536:1 gene:WBGene00070601 transcript:CRE30432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30432 MSSSNSTNRVSFVDVIIFTGLESLGICAILGNLALIIVLLNNKYLHRASFILMLNLAIADVIHGFVTTCHFYPPILLKEMHIGEMAVRLFNIADWTAWAITLTHMSAICLDRLIAIILYGRYNVLVTVQRIKTFSISCWALFLSTNVTLFFLQACCMIRPLESLNYYSFGYAENSKSGFIIFNKV >CRE30433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:15318:17282:1 gene:WBGene00070602 transcript:CRE30433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30433 MSAYYVSYYSRFQSIALMIFNSYFYSITHMINPVIYFSLNKEMRAQLREAFVDFRKLFSCKKKDPYGFANSSTKINHSTKMTMVRAQSTSCSETSPLFSSNNHYKSTGTTQKNEERLLDSVEGSSTGNESAEIRAIVQNDDPSDQCSLPKSDIYMTPPVEMPPNKMGAKERSTFINQLVSALQYASNKSLASVKGQNEVEDEDECVEEDGDSLRVQPVLRKLDKSATTNDISSMIKQRYIRFSNTLQVIPRDTSFHPENHRYVSMGSLERNCLLNDMSGNSDSTSTTVQKSVTRSISSSSNVFRNSTTNCNGDTGLLLDDELDTDLDEEEIAYL >CRE30434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:19834:20943:1 gene:WBGene00070603 transcript:CRE30434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-27 description:CRE-UNC-27 protein [Source:UniProtKB/TrEMBL;Acc:E3NDW7] MSEEAGEDAQRKAAEREAKKAEVRKRLEEAGNKKKAKKGFLTPERKKKLRKLLMVKAAEDLKRQQLLKEQERQKALADRTVPLPNVDSIEDKGQLEKIYNDLWSRLTQLEEEKYDINYVVSQTESEINSLTIEVNDLRGKFVKPSLKKVSKYDNKFKKSAETKAGAKEDFRSNLKIVKKDVMEAITNVKKKDDKPDWSKKNKEAKTEEAAPAAAAEPEPVAEEPEAADPEAEEEEGEEEEEE >CRE30435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:22180:26198:1 gene:WBGene00070604 transcript:CRE30435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chup-1 description:CRE-TAG-130 protein [Source:UniProtKB/TrEMBL;Acc:E3NDW8] MKATQCIFLLIFLEVVKSQLPQVIPAKWDVMYGKETGKNMSLTIFRFKVEEKYSVARIIMSCNESTEHNPLLAVFREKLAVLSLQVPLIVDNYEYSQVARTLCPFTEYKEGEAFTVEVTSAQPVRYNFRAELVKNFFLYNNGKRLVTESASEPVYLRYDIPDDVDSVAVHVDSNSTTCMTVSVQKIGCPVFDLPDNVNSMGLHQTMTTSATIPVEKSRMSNFYVVFVVNTNDDLCSEIMSIKPNPFNVTIESSMKIFDYTIPIVFWACVLLLVTIVVFVYHYFDGIWERRFLSRAYTHLEDDAQEERIRDFYDFKRMSEDDDLKDYDLLTDCKDMMVVRAKASLTVADLSMTPYELREQKYDVYKIALAIIGIFYNITVLQLIISKAGSLRQSGDLDECTFNFQCARPLWYFVAFNNVVSNGGYVYFGLLIIVMNYCRERSFRRLFAVQPALAERYGLPQHSGLMTAIGLAVIMEGISSATYHVCPNNINYQFDTALMYVIGMLGKLKIWSLRHPDMVVSAYHAFGFLGIFLMAAIAGVYVHNMIFWIMFSIIYIGSMMLISLEFYFKGIWTLNIRELRNSVRMAWASSRRLSCIMPAYKARFFVILMLNIVNTAVVVYGLEAHPKDFLSFLLIPFIGNLFIYIIYYILMKMIYREKIPKRALALLFAAVISWTCAGILFNQRVSDWSKMPAISRELNKPCIFLNFYDNHDIWHLSSAFAIFFSFTAINVIDDDLMFVVRNTIRVF >CRE30456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:26777:27522:-1 gene:WBGene00070605 transcript:CRE30456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30456 MMHIHRNDLLTIESTSASKPSLLSSFWSINVQVQNNERLDLDPKTCSQFSLPEVAQNDCQMDTTQRLTRSLSVTELSTKYETPSSSAELPANFMTEQGKPQPPPSAKEAASCRVADWLTNLDINK >CRE30458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:38125:43092:-1 gene:WBGene00070606 transcript:CRE30458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30458 MMKEFIKKEIKEEEPEEDAHVFQEEDAHVLGDDEPDGDMPELPKIAARQRKPKLVKIRGKTRKRKTRKTKSKTGTTKRRKGTKRRRKTTKTTRKTTETGVKIGRINRFGREKEPKLHIRQNDDVIVFDEEPEERKPTIAPPAPPKPTPPNILDSILFAQEKFIGGVDPKKVDVKNKTLPNGRRSVW >CRE30436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:44461:48117:1 gene:WBGene00070607 transcript:CRE30436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30436 MTIKILLLFFLVCIQNLVIDGKLVKWEYIWRLFLDFIAFQNPIVSGVRIESDVNAPGFLGYSDAGELLVEADTPVDIVIFGHGLEDVEMVAFTDSVCVTSEFNISESSFHIHKDMKIVFKYSFIAWPRPWRICLKSESHGLIQIDDDRTWIQAVERIHETYMPVWAQSAILCLLFSISALCSGLTLGLMALTPQELKILMKSGTTSEQKYASAIYPMRIHGNRLLCTVIIMNVIVNTGITLLFDDMAEGLIAFVASTVGIVIFGEILPQSICVKYGLAVGANTVFITRFFMFILFPITWPLGKILDKYAGVDIDVVNRSRMVEMLKMNMENEACDIDLSTLKIAIGAMELTKKSVRDVMTDIDDVFMLSEDQVLNAETMTRVSDSGYTRIPVYEGNNRNKVKNLLYVSDLALIGKDNNITVKAVARFNKRRLRIVDENMPLTALMDEFKMGDYHLAMVAKALDVKKHHNGKFVDDKMDNFILKSMKLVEATVLPAVDASEDHPVTLVGLITLEDITEELLQAEITDETDCYITDDAQKKRRTNTSKKSVAEMYCSEKKSDRLSLHMLEVIREYIQSSLAFSVENAHFENMTEKWLLEKTPLFSEMNPKAFENLIQQNIREVLIVPPKNSTSPGTLNLFDAGVMSKRFVLILEGKASIKFNEKDLVFDCGPWTCFGEAILEKMEQCIRDGREPSTGFFFLPDYTLTVSGPCRFLQISTSSLLHSLRITQFVKEIRTPKISITNDDDTGTNSRKVSIMDNSPTGSRKRSSTSVMSTLALPTARLAAKIASVEELKPLME >CRE30460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:50415:52552:-1 gene:WBGene00070608 transcript:CRE30460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ifd-1 description:CRE-IFD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NDX4] MSKPIKPLRIVHNPVLARIIESGATNLPTGVTASGQLSILGANAAAGIRDNRDREKREIAELNNRLARYIEKVRFLEAQNRVLESDIGLFRQAAHIHSGKITVYYEAEKTSLVTLVREHDAKVSSAKQNIRKLEPEIATARKNWEMSLEHRQTVRSEKRSQMEKLSHIEAETAFFKRIINDCEEEKGHIKSEISRLRGEIKRVLAQRDKERSGFARSQSAAQDLLKKLNGTISQHEIAIREEINKARRDSTDKNRDYFHRELNLAMKEIRDQFESNTKKTRKTWDEWYKKKITDIKKTSERYTLTQTQAREEILRIRSFLNELRVKISDADTFNQQLAKRIEEMKYREEEDLRMFEHSLNEKQYATERMMDECAKLSVEVERLVENQITLRNEIAYYRKLMESAEHIRTTHQSNFVIDTPSPLMRTTSYHSHGSAFTLNVRDTQDKIVHHDNYDISNLSSINSQQFRSYSKGDVKIMEHKDESIVIENADNYKSKDLSNWKIHHYVDGALVGTFIFPIATHIHPRDKITVIHSLQSSNLLDDLVAHQIYSFDFSKNTKTVLVDDADEVYIL >CRE30461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:57131:57491:-1 gene:WBGene00070609 transcript:CRE30461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30461 MSQPMKPLESGATNLPTGITTSGQLSVYAANAAAGIRDDRDLEKKEIAHLNNRLALYIEKLRFLEAQNRVLENDIGLFRQAAHIHSGKITVKLQNYPSSQLS >CRE30438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:58008:59404:1 gene:WBGene00070610 transcript:CRE30438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30438 MTMTYLFWVSLAQTVGKFAFATTTLSGLVLIYFNFFEVKKIFGTYKYLMVIFTSMGIGLATLEMVFHPNLHFYNIGYVYFTLSEPFKLSTGTLTRFLGIYAGVYCLTISLLAVQFIYRYWAVFSLNKMQYFQGWKSSIWIVYCFFFGVQWYIGVYYLLEPDSVTKEYFKEEMLQRYSVVSNDLPFRAFMAYDPVDRTIRWVNWLFAFIVTAIMAFQYGIMIYCGWAMYSGMEEKMRNFSSALKHHHNQLFKTLVFQISTPTIFLFSPLVLVIYLPFFDIELSFPAGATVCAFNFYPAVDVVIVLYVVTEYRVAAKKYLNIIRNQFKSSSSKYAENNQQTQQTYQLSTLPA >CRE30463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:61159:65956:-1 gene:WBGene00070611 transcript:CRE30463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-15 description:CRE-SEC-15 protein [Source:UniProtKB/TrEMBL;Acc:E3NDX9] MPNSSSTSQQANTGSETASTSYVNYVEMSAEQEYFLYELETTDSGSMGLVLRAIYDTGDVQQFARALQQRISHYDKNIQKVCSFHYQSFVDAMQELMQLKEQCQDIKDETVSIDGEIQRISQRLCQKKQEIVRYRKLMKNAKTAMDQITVCLPVLENYAKLQEQMGNKKYYQALKTLEELEHTHLALVEKYRFTQVLAKSMTPVRLEIKEKAYSEFKDFLENIKKVAGRIGKHASKDTAEQHSFGVTDAERARKIQEEARKNASNVEIEVSADGSIVKKNVSPKRYPQAQIEDDEQVSAQDLIDFTPVHRCCQIFNVLGAKEEFEQYYRQQRREQCDLVIEPSHKMNNFKHYVEYLDEIVGFFVVEDQILMTQSNLSTTADKDKLWDNALLKIRHHLDARFGGCPDVEMMLRMKKVILLFILTMKSYGYAVSPLYELLQNFRDQYNEILVKEYCAQFERDLDKDNYTPITVNTEEEFRAVIRQFPFYKRSMEQEAFPRKFPFSRFIISAYTQAKQYLVGCLKFMDNLQLNTSVIDDTVRRCANVLLGRWAGILKTFVHKRLSMIQLVQITINLGYLEKSCESLGAFITSKTSGEEAIGTTSHQVVLSEKVFRDVRSEVEQQIDECMQSKVDEIIELSNYDWELPAASGQASDFITDLIKFLLTTFQSFTNLPSGLAKHVCTQTCKHISQSLSDLLLSPDTKCISTGALDQFSLDVMQCEMFTTRCPVTGVDPQTLSMTFADLRQLLDLVMSSDWTTFNAEYGKDHAKYLRVKASTAIVVLEKMIEYERKSTGFFGIARGDRKRLLDTIVRQLKLLEIQ >CRE30439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:67186:68178:1 gene:WBGene00070612 transcript:CRE30439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30439 MTSLSGIETTFGLDLLNSIEFQEPFVFSPVSLLFALALLGRDGFNSTVLNTYTKYGFRDPEVYNYLKKDLSDIVVRGDSGVSDVRRNTTNQSRILFNGVWESKMPLKRTGVFYPTTTTSKQIVYLKNERHVLLNVDDQFKMISIPYDDWNLQFVVLLPAKSFNLKKALKKLTKTRFEKLHQDATIELVHIMIPKFDILQLLINSKNMGLQPPIKTSLKYKHSPRVAGMLYRPENREPYFFKADNPFVFGVLRNGRPVYLGIYS >CRE30441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:71133:71882:1 gene:WBGene00070613 transcript:CRE30441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30441 MNSTEKRIHRELRNYVRDDLSDSRIVLELVNNDLMNLKAYMKGVTGTPYEGGKYELDITIDDSYPYKAPTFKFVTRIWNPCVSPFDGTICLDHVGNGVWPVTMTIFEALLIIQSWMSVYDSEHPVDMDISKQAVEHEEIFKKTAKFWATKYAGARNPYNRKLLRKLRLMVRITNNEDLATFALSYHNWVLPTDIKNPRIVRI >CRE30464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:72456:74432:-1 gene:WBGene00070614 transcript:CRE30464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30464 MEGNIAAPHWEDLTENEMTRLAKILKPVEIHSRRTQNAITMHLTLLMQTLVNKLRDNGIDINGVYLIGGAASYVVSSVHIFNDIDLMMSVGAPNGYEEEKKLFNSIRDLVVKCIGQMTASAGSQYFHKTHLTHNNNGDSWSLLSLYNMYGKNIELKFVLRLARDFVFSTDSIKVDISPQVFGLSGENRMTSSFGNLEVAKYHIQNRMIDTINPQQIKGGGFLKFVHLKCKKYKVAKKEKDLEQLLVAMTEGFLREPYQLEKYLANHFRQQDVNYKIGFLRKFWDICNKPCLQIFSEDLERFKNTALEICRRLEQ >CRE30466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:84905:85779:-1 gene:WBGene00070615 transcript:CRE30466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30466 MNLLLLCLVAGSLAYVSTTTYSRYHNASAHESIVLNAQQLREEPMLKITSGLEQLKALTEKKLVLTCDVLYRPAATIMWTFNELPIQGDLEISMEDKIINMDTPVYEAGNLRSTLTIDCPSTADTGVYRCITTNGIETVSSAAWIEFVSSGDECKSNGISGPVITQWSYSRFEYKGNAAILVCRADRPAEWIWKNADNETIETGGRFEILPNGDLLIQNISFADMGPYFCTARNEFGESGEVTFLYPFSAPRRF >CRE30467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:90039:91553:-1 gene:WBGene00070616 transcript:CRE30467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-22 description:CRE-UBC-22 protein [Source:UniProtKB/TrEMBL;Acc:E3NDY6] MSGNDSHRSFLQDLKIAHDVYTIEVVDEDSKKITLHLAGPAGTPYVGGVYEVDIKFPATYPDGLPEIVFNVPIWNAAVEPSTGRVSFNNETNLNVGQTLNYVDDLLKVLDFEDDSEFAKTARFWSAEFAGAMGYPEYNILVAKVRKVEEMGFPTKDAIIALSECEWKVEEAAVQLIDQASTEC >CRE30442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:92102:94394:1 gene:WBGene00070617 transcript:CRE30442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-21 description:CRE-UBC-21 protein [Source:UniProtKB/TrEMBL;Acc:E3NDY7] MASLAVTRVMRECREVANATDITEAGIHVILTDNNIRNIQGFIKGPADSPYADGIFEVVIAIPDQYPFAPPKVRFVSRIWHPNISSQTGVICLDILKDKWAASLTIRTVLLSIQAMMCSPEASDPQDAVVARQYITNFDMFKATAKYWAAYFAQSKKDVDQIYREKVFLLKEMGINEVPIESRNYESVIWVPDGCSCHAQLQRLET >CRE30443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:97350:98963:1 gene:WBGene00070618 transcript:CRE30443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30443 MGIALPGFPRIERVYGLPSAMKRTHFNSHKRFGIQIQDCELTYNVWQYNGSIYGPYSAESVLKFFCEGKLKFPTRLQITEANKKGSFGILEYFGTIQDLQDMFGTQDFLPKRLSQFKGKWTLPEIHPNAALYKYESNNFLTFNLSTFLSNLSTSSYCPFVTGIRGDFPDEHILPLSSVVELARRILVEYSNLEQEERQLLHILLSEVFSPRVCDVCQKVMEDQHSYLIHALSLIHLENAVIKCNKIFTIELDYLKLRKLFDDVKRYTFERKLSRQMSKIGTNQHEPSTSTRPIPWTPPTFASSSSSNHYYQNLPLQQ >CRE30444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:102002:103259:1 gene:WBGene00070619 transcript:CRE30444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30444 MDFDVNIGVWKLLMCLVLFASNFLAGAATIFCFSACAGSKQAKMFATLAQCLTAGIFFGVTFMIMIPEIPLVIEAYDKKYGTVSIFINPYMHCVFGILMMMTIDAVSRAWKKSDPEVSDSDIEMSQELTEASDNPVVVVEKTKGTLMKYALFTMLLSFHSLFEGLPLGYKTDQTEMTKFFVPMLLHKLLEAFSVAAAGLNEQKKHSMLGSFIHSIMTPIGCFFGHFLVSGTPSQAMDSFLLILNGLSTGTITYIAFMEVLVDLMTNEKFEAISEVFKIVWVFIGFLVTVGMSCAIEAVEKTRSLENSLFGNATFA >CRE30448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:119820:122126:1 gene:WBGene00070620 transcript:CRE30448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30448 MVLPVHASKLPIRTLEDFSTEEYNNIQPDYFHKLNNEDTATQLMIIKEIGKQLHRSSDQNDMYRMVGVEICKMTGKLLKIDDIDSLYKVATSCLFKLIHRAVVEKSILPANMEGYLEQWELYPAIRFMRDKTRAYENTLRRSIEKSASVSSPVVGSSRVPELFSSQVGLQVPDPEKHAAIPQTSRLPQFTFGRKSEKFSTPGIFPTPDIFSSSGVFPAAPIARNQTLDHESNLRRPVKKTPTLHPTSVASPVVGINRHPELFQVERQTSDPEKHPPFLQTSRLPFTFGPQPGMFPTQGIFPTPDIFSSSGVFPTASNARNQTLDHESTLRKPVQQAPTLHTTSVASPVSRLPLTFEPQPGMFPTPRIFPTPEISPCKGVFPAVSNPFLSQIRPISSLSTFHLTVVDCLTTISNMSKTQDNVSHISKKIVTDYSKKPHLSTPYLVSFRINLFLFLHFRFQKKNPKRQNTKAQEERIHDVGQFSASLFGTVPSLPSLGTVSSAPICGTVPPAPPFATVPSTPSFGTIPPNDFISNFLHYNHIMQNIGSSGPAANILVRTFAFRNEIISIIFQSTLQVPPATPLSFSSSDLPATTNNVLQQNTIVNLLKENPEVLKQINDLLTKSVLK >CRE30472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:137043:138703:-1 gene:WBGene00070621 transcript:CRE30472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30472 MQQNGESDVADSARSKLIKTNWLHDEEDLINNSPSQLDGISFQLERLKKREGCEFIFNATKKVMEGIDETYALHFVFNQSHKKFIFSRLISTAAYFFHRFYTVQSLKKCDPIDVAAASVLVTCKPELYRTPLLNIVKIVWNHKYPSKQPTDEGLQQLKSLVILLEALLLKTLGFDLNIQLPHYFILKIMGEIDQGSGQNVEVVKSAYFLATEMLLFSNWSVRFSSPTIAAACLKIAGISHRQKMCDITRDNMGEDWYKVYEPSLKSKVIRYMTSEIVCGRVPAIYDLIREKDHRGFKKIITERENVYIRPIKSEWRTCGRKRHWTTQPHSSQNAASASNSSSNTKHIPSLLELHIPKMSDFERQNPSVFSQTSEEW >CRE30454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:140384:141285:1 gene:WBGene00070622 transcript:CRE30454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30454 MRIRLRILNSVLHLLKFKKQYITRFKVRFEREIDDLTHNPLSSSALNELQLTRARRVVNASKVILGMGPHAVHIDHKKFELWRSILLLNNVSYNKTQRDIKNKGHILSDPALQLPSKGIRHR >CRE31475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:77254:79694:-1 gene:WBGene00070624 transcript:CRE31475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31475 description:Receptor expression-enhancing protein [Source:UniProtKB/TrEMBL;Acc:E3NAD8] MSLKSTLKARAPIPAAGGATGGGSTGDDLQKLQNDFITWLYADHGQFYNENVKKLEESTQTKREIFAYGLIALNCVYMIIGSWAEFVCNLIGVAYPAYVSVKAIRTVGTDDDTVWLIYWTVFGAFSIIDFFAMGIMSYFPFYWVAKAAFLLYLYLPQTHGSWMIYHQIIDPLVAHLEKSISGKLPPNVGNMPASTLPPGAFIPQVDENNNNAAGKSAAQGPPQ >CRE31462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:68187:76777:1 gene:WBGene00070625 transcript:CRE31462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31462 MSENVSLLDGSPLPPRLSNTLIPRPSPSKNNGNLLVDFSGGGFKQNMKETWLEIRGMTCHSCVNNIQDVIGAKPGISNIQVNLKEENGKVTYDSNVWTDEQIAEAVDDMGFECRVIRDRPCPITTTNNPPIMTNPKLKMRRAVVSIDGMTCHACVNNIQDTVGSKEGIQKIVVSLEQKQGIVDYNTEKWTGETVAEAIDDMGFECKLMTDQGEEWTSGRTDGQTHRQMFGHPDRQTNIQIMNRKHPEINTPSRPP >CRE31460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:62603:64332:1 gene:WBGene00070626 transcript:CRE31460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abcf-2 description:CRE-ABCF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NAD4] MPSDAKKAREAAKKAASKGGKGKKKVFLKLKFQNLCKFLQEVTPSMSQNPSVASLSGLDEELDQAAATLAKIELENAAARSVAGALTSDPKGLDHRVESLTITFHGREIVVDTKLELNRGRRYGLIGLNGSGKSTVLQAIYNKEMPIPESVDMYLVSREMPASEVTALQAVVDVDSVRKDLEHLAEQLASQPDEESQEKLMDVYERLDEMDAELAEKKAAEILHGLGFTKTMQMKKCKDFSGGWRMRIALARALYLKPSVLLLDEPTNHLDLEACVWLEEELSQYKRTLLVVSHSQDFMNGVCTNIIHLFQKQLVYYGGNYDSFVKTRLELLENQQKRYNWEQSQLQHMKDYVARFGHGSAKLARQAQSKEKTMAKMIAGGLTEKAVTETVKQFYFFDAGEIPPPVIMVQHVSFRYNENTPWIYKDIDFGIDLDTRIALVGPNGAGKSTLLKLLCTDVMPTDGLIRRHSHCKIGRYHQTITMMSTIIIHLKLSTSSSPVSSFFTVFNTGVCSIGVVVVGTAVFGLVVGALEH >CRE31474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:64528:65399:-1 gene:WBGene00070627 transcript:CRE31474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-164 description:CRE-TAG-164 protein [Source:UniProtKB/TrEMBL;Acc:E3NAD5] MLKINVLNYSEFLFFYKENGRNRRYSLNFSFISFSIKKSFLLEIGEMSESKETDNNLNGQSTGPVAVAEPTKKDEAKKEDSKKGEEEQMKTAKSESLSKRKAKRAKQLSGRSNTSSKKTRSRLSIRRTLRDDPEKEMKATLLTFQAVKNKPEQARVQLLLCNISDRDIFVKLRCSAASNVTALPAGSGHISPKSQMRILLSWKMPEGFTQWKDLRMPKLLLTTYFMQSGEKSADEAPTNTRLMARVSTSKQCDPDSPPIEQLLLDAVGKDLGVTP >CRE31459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:62199:62571:1 gene:WBGene00070628 transcript:CRE31459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31459 description:Cytochrome b-c1 complex subunit 6 [Source:UniProtKB/TrEMBL;Acc:E3NAD3] MSSHKQEPLEENVDQLTQFRERCADHVTDFKAILDECNDRVNSRSETEETCHQEMADYVHHLDHCAMPKAFASLK >CRE31473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:60053:61501:-1 gene:WBGene00070629 transcript:CRE31473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-61 description:CRE-TAG-61 protein [Source:UniProtKB/TrEMBL;Acc:E3NAD2] MSKEKSFDTRKFLIDLASGGTAAAVSKTAVAPIERVKLLLQVQDASKTITADKRYKGIMDVLVRVPKEQGVAALWRGNLANVIRYFPTQALNFAFKDTYKAIFLEGLDKKKDFWKFFAGNLASGGAAGATSLCFVYPLDFARTRLAADIGKANDREFKGLADCLVKIVKSDGPIGLYRGFFVSVQGIIIYRAAYFGMFDTAKMVFAADGQKLNFFAAWGIAQVVTVGSGILSYPWDTVRRRMMMQSGRKDILYKNTLDCARKIIANEGMSAMFKGALSNVFRGTGGALVLAIYDEIQKFI >CRE31458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:57961:59866:1 gene:WBGene00070630 transcript:CRE31458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdk-5 description:CRE-CDK-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NAD1] MLNYDKMEKIGEGTYGTVFKARNKSSGEIVALKRVRLDDDDEGVPSSALREICILRELKHRNVVRLYDVVHSENKLTLVFEFCDQDLKKFFDSLNGYMDAQTARSLMLQLLRGLSFCHTHHVLHRDLKPQNLLINTNGTLKLADFGLARAFGVPVRCFSAEVVTLWYRPPDVLFGAKLYNTSIDMWSAGCIFAEISNAGRPLFPGADVDDQLKRIFKQLGSPTEDSWPSITQLPDYKPYPIYHPTLTWSQIVPNLNTRGRDLLQKLLVCNPTGRIDADAALRHAYFADTSDV >CRE31472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:53457:57711:-1 gene:WBGene00070631 transcript:CRE31472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31472 MHTSNNSERLEKCDRIGRIPLCTDDDYDFQKVDILDEMYKKSYTIFNELRSKCQLCDVALVVENRKLSAHKVILAATIPYFRGMFTLDLMEANMKEIAIEDMNYETVDALLSFAYTGELRISTSNVQSIMMGANFFQMLEVVQYCGTFLLTRLHPSNALSIREFCRMMCVEGSIAEKINDYIQKHFSAISKDDDFKKLPLDDTVDLLKNDNLYVDSEEQIFVAAMEWLRFEESRHDDAEKLV >CRE31457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:44498:51646:1 gene:WBGene00070632 transcript:CRE31457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31457 MTRAEVQLQYLNYPKLVSIENKEVIARIPFLAALMKSQNELWEHVDTVRLDPIEIPYTRKVGDFVLRRVFKSLNSKEIDEEEKQEVETMEHEDLMNIFCLVDEWGVDRELFAPFRDPLKNKIDDIKDYWTAEQEGKDAFKADGEKDEMSSIQRSTDVMPNEDEKVSGDGQVQAKECVEPRVEKKRRQMISDYLKQMATPEIEAQLAPLRAAVKECGDLIRDLKAKGAPKIDIDKAIVELKAQKRRLEETEIALAPKEASFDRLKLEDLLKRRFFYDQSFAVYGGVAGLYDFGPMGCSLKANMLQKWRKHFILEEGMLEVDCTSLTPESVQKASGHVDRFADWMVKDVKNGECFRADLLIKNCIEKLMNDKKVSAEVKKDGEDVLARLEGFNGKDMHEVITRFKFKSPITGNDLTEPIAFNLMFPTQIGHTGDFKAFLRPETAQGIFVNFKRLLEFNYGKLPFAAAQIGLVFRNEISPRQGLIHVREFTMCEIEHFVDPEDKSFSKFAKIADQKLVLLSACDQLDGAPAREVAIGEAVANKTVANETLGYYMARCHQFLMKVGIDGRRLRFRQHLSNEMAHYAQDCWGAEILTSYGWIECVGNADTACYELQQHFKATNGKLDKRRMVDVNVVEAQANMALLGKSFKKDSKKIQTALEKLPSEQVAFLEWELSSKNLYNLVINGENYPLTPGLVNIKKYTKKMYKTDVIPAVIQSSYGIGRIMYALLEHSFRQREGDEQRTFLAFKPLVAPIKCSILPISANETLVPVMEAVKEELALYELSYKVDDSSGTIGRRYARTDEIGIPFGITVDFDSDKTTPHTVTIRHVETMSQIRLPISELGRLISDLVAGRQQWSDAQAKYPRFEANAE >CRE31455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:41538:42596:1 gene:WBGene00070633 transcript:CRE31455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31455 MSDGDPPLRLLSLPIKPLQNIVRFMNHIDQFALSLVSKRSKELVKSIDIKCLSVNIKVDSGILIQILIASEILLECSFDDYQRSIDNPSPNNIKSKVSLENRKGFVHSKPEYRFEEWLNHALEVYHQSELNHILCITLLPDIQSFRKTFRSCSTLIILVASDEVQIQEYSRTFRPEKRLIFGVKEFLGRDRSKISDHIYEILVQNLDEIYYNFMPELILDDLLIMNSSIVRIYFYEAIKYESMLRRFIKHWMAGSNPTLRYIELESLKGYYPQAEIVLKGIKHEMVSKEDPETLNVFRAARIKNVAFLGGYNIRGKDGTVATLSFKKRRCFVMLVWS >CRE31471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:32553:38105:-1 gene:WBGene00070634 transcript:CRE31471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kel-3 description:CRE-KEL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NAC4] MIWSLILLTIGVGSKILLCVRLPLLSPTYLSSIVASNSIIKKDIPCRDLIDEAKDYHLLPERRSLLKSFKCTPRACQRVPGLIVAIGGLMHQSQSKSSVEIYDPIQQKWSSIEGVVTLRTRVGVAVHQRQVYAIGGFNGQDRMDLVEKFDYDTLKWTTLAPLNRKRSALAAAFLSNRLYVCGGYDGNHSLSTMEIYDINKNVWDAGPQMENQRSAAGVTVLDNKYIYESFPSTISIPSFPVCGGHDGMQIFATVERLDTETLQWERAPSMIQQRCRFGAATFKGKIYVAGGYDGTSFLKSVEVFDPKDGKWAPVSAMNMRRSRVSLVATNEGLFAVAGFDGENNLCSMEQYDDVTDQWTVTTPLTCHEGGVGVGVIPMPPHML >CRE31452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:28788:32287:1 gene:WBGene00070635 transcript:CRE31452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31452 MLCQSHVIIFQINGVELKCKSFDFLTTTSTCSFTSEAAVPVGNGQLKQREEASYHEKICVSKSFVESCPSTFFSRHPQMILVGFAESVSDSPSFDHCFDTCLNSYQLFGFNCTSGMYYFEENQLNCILNSENRNTQSELFTEENTDIVDYFEVECTRPKTAIQKRRKMSGVRNFETDAIGADKMLMGGSSAPSSNHEEDVEADGSKWESWSECQEGKQTRRKSCTSFNKIEDCAEEVRDCENEETQEGAEPSGMRMSIVRSGDLEESSNQENGEGAEPMEERNNEAETEDPVPTKEEIAEVKQKIRRTGFKCPLNECCRVFLSCSYGLRHNSHTKQLEWCRRPCNDAVNSFRRSRLLR >CRE31451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:27604:28150:1 gene:WBGene00070636 transcript:CRE31451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31451 MPVTEIKINFKDSDKPVDLKSGEVIKKCPAIARAIEADNGNWETEDTIVDAPIDIPFPQKSGEFLFSHILKYIKPAEDSWDTKPEDFPEANAMDLEELKSIIELANFLECTDFMHCIGFVIAKKVEVLSIEEIAAYFGVECKPEANFFDEADGWVHPPKEIFEGN >CRE31470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:25939:26485:-1 gene:WBGene00070637 transcript:CRE31470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31470 MPVTEIKINFKDSDKPVDLKSGEVIKKCPAIARAIEADNGNWETEDTIVDAPIDIPFPQKSGEFLFSHILKYIKPAEDSWDTKPEDFPEANAMDLEELKSIIELANFLECTDFMHCIGFVIAKKVEVLSIEEIAAYFGVECKPEANFFDEADGWVHPPKEIFEGN >CRE31469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:24138:24685:-1 gene:WBGene00070638 transcript:CRE31469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31469 MPVTEIKINFKDSDKPVDLKSGEVIKKCPAIARAIEADNGNWETEDTIVDAPIDIPFPQKSGEFLFSHILKYIKPAEDSWDTKPEDFPEANAMDLDELKSIIELANFLECTDFMHCIGFVIAKKVEVLSIEEIAAYFGVECKPEANFFDEADGWVHPPKEIFEGN >CRE31450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:20396:23115:1 gene:WBGene00070639 transcript:CRE31450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31450 MRVHTVFLISWIFFFPSNASNLIEDITDKEDASNDDHLQTFPTPPPIGTTTSTADALFTRMNEILRKEDKEKSQNFQVFTEKDLVANSNTNPYFSTTRKPKNRSDSSQKARDPDSQHNQVIAGIPDLSDPCFRRYENSIIVCDLFAHVGDQAPARLLKFQTRDYFEPTDIVHCLSLINSVSRSTNEDSDSEEISPPSPPPSAPIIALATNTDKRDEDETESVTTEKIEDITVSSTSTEISPDDNCPRGKQSTFLRTEGFELFKHDEQEMVVDDVAECAKACIENKVSDFGTVSDLSRPSRRGPEKYNSKTESDTKKLQKLST >CRE31468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:13836:17619:-1 gene:WBGene00070640 transcript:CRE31468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31468 MGQERLDNANPVLFDSKPRHRPVTGTERDESVEDPIDSWEIFDLIRDINDPEHPYTLEQLNVVQEELIKVFIDEEETFVKVNFTPTIPHCSMATLIGLAIRVKLLRCLHPKVKVTVSITPGSHSTEESINRQLADKERVAAAMENQGLMHAVNECLRVPE >CRE31467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:10304:13597:-1 gene:WBGene00070641 transcript:CRE31467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31467 MLKNGVFRNLLSSRTFSTKKAKGNLNRYIQRQSSDEFAVKAREHNYRARSAFKLMEIDEKFKFLKPESTVIDIGCAPGSWIQVVVEKCPNGYVLGVDLQNVLPIRGAEILSQSDVTSPEVHLKIREKLKNRQLDVVLSDMAPNPTGDNATDHLRLIELCRTVFRLFSEENCIELKRNGVFLCKIWDGAARGDFIRELSERFATVKTVKPTACRDNSAEMYLFCRGFK >CRE31466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:5438:8863:-1 gene:WBGene00070642 transcript:CRE31466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31466 MPWRTALKVVLAAGEAVTKALTRAVRDEIRQTQQAAARHATATGQTASETKENANANAKLGISLEESLQILNVKTPLNREDVEKNYEHLFAINDKTKGGTFYLQSKVFRAKERIDEELGRLEQKSEAKKEENAKNE >CRE07078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig374:3541:4107:1 gene:WBGene00070643 transcript:CRE07078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07078 MRTIRWKYDEIVDFNVKISKNTRSSLNGDATSQRVVVNLKVIPAGETEVNTLFVDFDEAQLEDFIWRLQEAKAMKERIQKAVTVTTGSKKKAMR >CRE31449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:980:3964:1 gene:WBGene00070644 transcript:CRE31449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31449 MTKATDQNSCQTAEAIGTRESKTNLLVIDGLPNLNSTDATENGGNTTDMEHSGHSDYPDLPKGGGGATSDEITVTVTMSPYFQKYLQKTPNIPNKQIILFALDISKAMCHLASKSVIHRDLAARNCLITKDVRVKLSDFGLSVHGKQTVVKNLRKAPIRWLSPETLSKGIFNEKTDVWSYGVLCTELMTRCAADPLAPRDLKEAQKWIKEADHPHRIDGGEPRELIEIVDYCCEKSPSARPDFMIVRNKVHKLHQKFADLELAHALSPNPNVTPPPQTPSPNPVEKVVMNLRSTEHVFFCRKNQRTAGVTRIVEATRIVVVRRMRR >CRE30112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:172890:173605:-1 gene:WBGene00070645 transcript:CRE30112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30112 MIPHNSLVQVVTNYKIILKTHGSLDVVFFDFRKAFDQVPHNLLLNKLALFDIPPLFISWFSDFLTSRSFSVKVNSTTDPSSALIHSGVPQGSVAGPLLFLLYINDLLISLQSIPYLYIAAYADDIKIYSHLPSSLQAGIDLVSDWAVSNDLPLAHSKTGLLHLGSLNPSHRFHIVGSPILDSHSVRDLGILFEPDLKFSAHIIKSVSISSSSSLFPNPQILQV >CRE14988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:112917:116394:-1 gene:WBGene00070646 transcript:CRE14988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-52 description:CRE-TTR-52 protein [Source:UniProtKB/TrEMBL;Acc:E3NF17] MTSPLLLSIFILTTFLTNFALCKTSCVMATGVLKCPTDPHAVKKVHIDLWDEDSLPLESDDLMGRTWSDANGNFQVTGCASDFGPINTPDPYIYIEHDCPHRYTNATDPIQIDVIPLFLPSIVRLGNIYLDRYLDDY >CRE14987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:109813:111294:-1 gene:WBGene00070647 transcript:CRE14987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-1 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3NF16] MLPIVLSVLLSAPLALCQAPFWMPNMEQFSFLTDTDFRNALLLPATNIRRVRSLYHDMRIPMQRFKRGGGVAVAAEKDKWPNGRVPYILSAAYTSAQRAVLARAFDAYAKRTCIRFVPKSPTDKDYIVIQKLDGCYADFSRVGGRQQVSLADECIDYATIIHELMHVIGFIHEHQREDRDSYVSILYQNVIQGANTDFDKLSNLGLSYYGEHYDYTSIMHYEANEGSRNGKNTIEAKNTHFTTIMGKANDFSTSDLRRVNRAYKCSIF >CRE14986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:101622:108169:-1 gene:WBGene00070648 transcript:CRE14986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14986 MLSLLREDLADRIADSLLENRDDIPNLCPDLSQKVFDSLFTYSNRPISDSVVDRISEKLTFSRVVLNRAVSKSHCSMIYKQNLEKLEISDLDDDGDSEVAVDILGILKMLLNPKSCKDLTEIVIGGNRLKFVNDWIASMSTMLPSLKSLNIRNCKISQKDFEALTICLPNITSLDISNTGIERIKGIGNMKTLESLNVSNLEIGSVDILEVFRLCKLKYLDLSKPQKAWELDFSRNLKCFMECDGVLLNLEFIDFSGNFHSEQMIWDLLETHTTLRYLCFFDLDGINCSPNFQHEYPHIHPLTTNSFRNCMKSMNHFVHPFRSHKLVEILKMMTFHIQRLELNETDELTTIYEQKRAILKTLSLLNLPQRCVAVNIQVTACVLEMCRKFGVSMFTSEEISGIIKTLLSVDKWWKWTSGKINEPSHKLHANSLEILNLFLPAVSTPEDVERILNRNLKIVMRNEYYKEVIPACLQVINQWIPRISDDYFKRICNDYELKEKILEMIIMSSQDSEFHVAVLISILSAMKSRNLEEKTLKNECFDVNTICVLKETVMENFDFSSIQKQVLIGFEKFVRTMKAEVFMEWMDETWFLELIKMSFDKNWSEYGRRTATVSFLVTIWILNTDNEIVEILKQNIVDEFMNIVDKSEDVRGDVRLGMEQILEMAELPDTSAFVGWLASQIYFM >CRE14985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:77296:92315:-1 gene:WBGene00070649 transcript:CRE14985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-myo-6 MANIDFMMDPGWKYLRQAQDEALKEQAARRFDNKTHAWVPDPAEGFVTASIAVQEGDNLTMTMPDGQQKKTTRAEVQEINPAKFEKTEDMSNLTFLNEASVLHNLRQRYYSMMIYTYSGLFCVFINPYKMLPIYTDSVASMYVNKRRAEMPPHLFAVSDEAFRNMMSDKENQSMLITGESGAGKTENTKKVIAYFAMIGSGNKDQKSESSLENQVVQANPAIEAFGNGATTRNYNSSRYGKFIRIHFDRKGRLVGGDIEHYLLEKSRVIKQAPGERSYHIFYQIMTQKALRERYGLSDNIRDYKFVSQAEITVPGMNDTEEWSITDTAFNVMGFSEREKDDLYKLCSAIMHIGNSTFKQKPRDEQAEVDDMKSPTAACKLLGIDTDQFLNALTRPRIKVGMEWVNKGQNVQQVDWAVGALSKAIYARMFHWLIKRVNKTLQANSDDMVYYIGVLDIAGFEIFDRNSFEQLWINFVNEKLQQFFNHHMFVLEQEEYQREGIEWTFIDFGLDLQSCIELIEKPLGIVSMLDEECIVPKATDMTYVDKLLTQHLGKHPNFQKAKPPKGKQADAHFSIMHYAGTVRYNAEQWLDKNKDPLNDSAVAILKTSDKEGVLYQLWEEYQTDVDREESEKRGKAAAKKKGKSASFLTVSTMYRESLTSLMTMLHTTHPHFIRCIIPNEKKTSGLIDAPLVLNQLTCNGVLEGIRICRKGFPNRMMFADFRFRYAILAADEAAEKDAAKASKAMLRKLSKNNQLNVDTFKVGTTKVFFRAGMLARLEELRDEALSAVILKFQCSVRHYLAQVEYKRRLDREDAYPIIQENVRAWIKLRSWPWYRLFSRLKPMLKGMKSNAEIEALEKKCKELEENHKREEEARKKYADELRAKIEQYEETKAALERDRMLLDKRNKEIEELNRNLKSESESNYENAKKAAELEKLREKERKEWDEKERRMQMESATLETDALRILDHRRAISKQPLPRVYVEDPDSDSE >CRE28672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:172738:174994:1 gene:WBGene00070653 transcript:CRE28672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28672 MSPLSHSAKQFNLQRLRNICCQTKKRSGLRHVAIRSFPFGLNTSAVTKYKHTHNETITHSSIYRPPTAFDSPNDDENTPPDHVESADKSNKKEMKSLRSEVTKIMKQHLNHGKKISALETAVSVTASEVDAVNATLANTEEEVTVLKEDIASTKEDLELLATRVRTDTVSEATFAHLQTRVGHFEMLMATQKRRNQQLESSGPTKISKGEGPAEGSGNASKPEAPTCCLCDGQHPIKNCQLFPTSLSRLNEFKKSGRCLKCATLGCSGKSQCPNSIKTCSNCENRQAPPSSFHLSAVCLYDEIFVKRQRDKKERERRTRTMETPVNNQLQQSAPQQTQQQQVQQPAPQQVQPQQTVMQPGQQQQQMQPQQQQMPQFPGIIFEY >CRE08395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:280352:284634:-1 gene:WBGene00070654 transcript:CRE08395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08395 MAALSDDKDQRNGKKSLKNVDTSLLEMEPPSIVCGDIHRQYSDLLRILDKNGFPPDVNFLFLGERIFIRKEDVLSMYIRNFGKPPAALETSIIRLITNQTLRYHILGFITTVFCSDPEKNPWNSSTTGLKFSSPFPENHDMLHSLLISGCSIHP >CRE08398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:289750:296387:-1 gene:WBGene00070655 transcript:CRE08398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08398 MTEASTRSVMRQANPTQSTARFRAPRRPDHGTLGKDINLRSNHFAMKIPTMQVQHYYIDISPVCPRRVNRQVFNILITANQGQFIGTLPVYDGKHNIYVQELFQFGKEKKEFEIMLPDESPTERPFKVSINWSKEIAIDGDSEDTELSYDALQVVDTVLRHVPSSKYSPVGKSFYSPPVLKNGRHLHEYKLGGGREIWFGYHQSVRSSQWKTMLNIDVSATAFYGTMTMMAFLAEVLELPYQALAEKRILSDSQRVKFVKEVKHLKVEITHCGAIKRRYRVINVSRRPATTQTFPRVLDSGETIECTVAKYFKDKYNMDLKYQHLPCLQVGKEENHTYLPLEVCNLVPGQRCIKKLTDSQTSIMIKTTARTAPERQQEINHLMYKAQFASDKYVNDFGISINPSMTEVKGRVLPPPRMIYSGKTYSNPTQGIWDLRGKQFHTGIEVQEWAIVCFADQMQVKQNDLRNFTSMLQKISREAGMPITSGPCFCKYAVGVEQVESILTYLKSKYKKIQLVIVVLPGKTPVYAEVKRVGDTIMGVPTQCVQAKNVMRSTPQTISNICLKMNVKLGGVNCILHPSLRPPIFMEPVIFLGCDITHPPTSDTRNPSVASIVGSMDAHPSKYAATVRVQKSRVEMILEMSTMVKELLQKFYMSTHFKPSRIVVYRDGVSEGQFFNVLQYELRAIREACLMLETGYEPGITFIAVQKKHHTRLFSAEKKDQVGRSSNIPPGTTVDVGITHATEFDFYLCSHAGIQGTSRPSRYHVLWDDSNMKSDDVQQMTYQLCHTYARCTRSVSIPAPAYYAHLVALRARYHLADREHDSGLGSQSSAQSQNTNIAHLSKAVKVRDTNKMMKFM >CRE08403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:353848:355245:-1 gene:WBGene00070656 transcript:CRE08403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08403 MENEYSFVKSLENIESILSSLPPTTEILSKWQALRLFQQAMDEYVTHEKLQKSVIPNFNITEIHLFPFQFIRFYMFNAPCICHHSLCDPVEFEPRYGCTNCTKLLKESSDIEKLCLLCQTYQMITGTSRPSNHVNFTDEERKLISAWKAKEKELNKFMDREVFEKLCNDEKIQRWKDLKLTDEENIMLGFQNSRTRTSYAKKTDAQKRTWLLENLQPFVLPIFIDCKCSNSADRKALMKKQQLCLPKIYGPRVVRFAEDFNPWLDLSTE >CRE08346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:357334:359496:1 gene:WBGene00070657 transcript:CRE08346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08346 MSDKTSSKQSTSKVDDNRMIVVETQMSVKERRKKVKNFTKLIASSVKVEDETRLQLGELTTKCSETEVDAILEPMRSFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAAESEEAEWSVGKVNLELQKVQYEKNLLTECWNEEKEMLGEQIRKIQREKEVAEAQVSRLEKALKQLRKTQELEERKPKGLWDEAQGSKSWYERVENWDFERSRKRGGEDAFSRKTSSQSGSNEVNDMVQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTESDDELVAILEEKFLKGAAKSLFKTLPKRFERSIQSLFEEFEQKLRKRQGDSKIEALNEFEELEKRSDQKMWEYLVEVEKWSRKAFPEVKQETLSQMRTTKLMKAARADETLHKMLIMKRFELSLEEQYDHLKDIVLQQENEQRRGNSQKSGNSEGWKERPKAENDGEKDVAEKESEENRYWREQKCFSCGGVGHLARQCSPKPVQSVEVRGKGEGVGIVAVETVMMLGQERKMVIDSGAAVSVMSTGAWNGLKKGCRNWVEVVKRLGKPSFEVIDTSKKKMRIIQQFEVPIQVRDRKAEVVFQLVENDAEIMLLGTNAFESIGVSVEWKQERMDVQQKKAKRDATSSKEKKVFMVGNLGIRVENTKPSEKTAERSKEIAVDTVTEEKKEGMKPKKTVIRESKILITPRIGVKGKSIFXXXXSCRWQGTLITL >CRE08348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:363333:366039:1 gene:WBGene00070658 transcript:CRE08348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08348 MPQSATSQILKKDLLLINVFILTFHIYVISKWLRSDTVLVFDDLFVCDRRNVQLKHKTISAMFAKLSHCLLQQIGSGSFGDVSMVSLPGNSQLVAMKKVRRTEKVTFEQIDNEYNIHRFLTENDGHPNLVTLLARGDGKRSTYMYMEYVEGGTVSSNMPKSGYPASTAQRLFKQLINGIEYVHKKGFVHRDIKPDNLLLSSNGVLKICDFGFACSFRDEAGKKLKLDERVGTEAYAAPEVSTEDEFDGQPTDWWSCGVTLFYMTIGSAPWKSAHWSDPAYNDWRNTNWSARENWRCLEPRVRDLLCKILVENPELRANLKDIQSHQWFTANRDELANSSAQPTIECDRQDESRNNLNADTDSTGSVLFLREVEKSSSVVFLKTVKQSGSIIYVKMVNAETAQSLLPINLSENLEKKTARKGLKRKIIEYGDKAVDSKQRNIA >CRE08407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:394681:395739:-1 gene:WBGene00070660 transcript:CRE08407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08407 MSGSSNPAVGERAGGASPAEKKRRFAVASDFSDVVLEVCGTKFHVNKQQLARHSKFFHKKFYGEHKVDTSEPVKIFCFKEDFEELLELMYGYSNVNARNIRTIIHWASHYECEDLIKRCGDFLMEDTKITKAERFEWALRFKIDYLKKKMLAEITTIEELRSLLKMNDLESYSHEDTTTLLKMALELPRRGAAKPRKEEAHEPIQASMSRVQNNGQDKEAKRRIVPVIDIDGPEVNNAPSIRHLLNLHNNWRRED >CRE08354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:401776:403198:1 gene:WBGene00070661 transcript:CRE08354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08354 description:Inhibitor of growth protein [Source:UniProtKB/TrEMBL;Acc:E3MPK8] MDSFYVDLKKEIDNITISLEKIHVELPPKIKEGMEKIGVLDRKVAAKMEGIETTKAEFVEYFKEMDQEQKVAACKYIEKEYQEASDLSERKIKIAEGIRKAIEKVKREFQEGTMNFIENYPEPEVPKARKPKKKTAKKEKKQPSTEEPCSSSSLAPSSSSEVPSKKGSRLKKKKVAEEEQLWCYCREEKPGEMIFCEDPECAIQWFHFECIGMKVAPEGDWFCKECLGKMLEDPFDDEPAPEKKSNTPKSSEKMRNDNQEEVVVRTPKKVVVEKGTVEKPDSSSNNQKMKDAESNKESKTITEGDEASEEEPEEPVIKIVSTPDVVSFEEVA >CRE08409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:418083:419171:-1 gene:WBGene00070662 transcript:CRE08409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08409 MNQLFDSAKYGFWETKSVEDYLKKHADIERFQFFTWIDQWRQYAESVYSDIIKRIRPINKRNNDVLLQVLPMVTQLMEAIQRIEKKVDKLQEEPEITAKLIERPAATSIHPLNEDEEGPVDRKEEITAPTEDLIPSEEPDQKVSSGILSQPPLEKRRRNSSSSPSSSSRSSSTSSSKRTSKRRSTPSKGHETSSHSTKESKGHVSRSEYTEESNGHASRSESAKEATRHSPPRRRTSQPRAKETLKRRRISPPKTEESPRNRRRSPPQAKTSAQRRRITPPRTQNRPERHETERTNMPVTHRFVLEKDMEEESRNPCSFCSQRHFSDRCGNHVDMEERKRILTEKNRCWRCLLVRQPGHNCP >CRE08357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:428022:430121:1 gene:WBGene00070663 transcript:CRE08357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08357 MLLSKGPKFAPSGKFNQKVLSRISMGFVSLAATLRTRAASRSQNGITWDTLPPIPFPPAHFFLHPKSDKTDQQVAAAFNIFMKKINEQKCLHIADNMSKKMWSALKELGQNKDINITVSDKGGEFVITTNAFYRESTILHLTDTSVYTKITKTEYNEEVKKFYGGIESVLKSWNKKTADRLTDCHPSKNTLYILYKTHKFEERGEKATPSNTKVRPIISGVGGPTDRPSWVVCTIISQFLQFVGCHLQNTNEILKSLNDIRGKKIKTEIFYESFDVESLYTNIDNEAAYEVVITKLKQHYAQIKWYGVSFRDIKSLLKTCLNFNAFVFNEQHYVQKRGLAMGSRLAPVLAILYMDKLESPSKSLPTLVFKRYIDDFIVVAESKEVLDSVFKLLNAQTPNIRLTRETPSNGWLPFLNMEITVEKGIFATRWYRKKANKNLLLPIDSHHPTKQKRNIYDVTKSTAMSMSSITHRDYSMELAESLLRKNGYNSRPKCPRFTFSEKKQVSNSNGKLFTDLPILPIPFVSDWTTNTVRNTLAQVGIKAMIIELKSPNLRDRLMKSRRFDNKCQRRQCRVCPFIGNGGCGKKGVIYRIDCDCGDFYIGETGRPLAERFNEHSRAAEKPGTPSYKTTIWSKHSFEKHQGSPLSLKLSILETERNTTRRRILEGIYIKTVDPTLNTKEELSDMVADLGFGITLTRKL >CRE08413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:433138:435604:-1 gene:WBGene00070664 transcript:CRE08413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08413 MTNRIDCVPVDLALINDQIVAGLTCDSLTLFSTECSTILENHRADSVEKVYADEKSVFMVGTKPILYTIDVETSRADSKRVFAQSTKISFSDFLRQDRQLLVCSHSNQSNSSENVVSLYDINGDSIVKKFKAVGDVSAANFQSDRYKLMTAGTDGIWRHFDLRGSSKNPECESFTLGGRINHQGHVGNISYCVATMNNVNTLWTFSSEGRLRKCWEYTNKEWFLGLLHGGNSDDTFAIHGSFKNAQTIITSTTNLPTHKTSFFHKYGTRDETGHFCFDELENRIFATGCKSGIVIQSAEFKVADYARHTARRKQALRMQKKRNAEDIVHKEQEKIRQRWERSVKLNEGINVFDSNVNEGSFEFGDTLGAGSFGTVYKAVSKKSSKEFAIKVMANRCSVFTEKFVTERELLIQRELSHKNIVSMYAAFQSKIAVFFVFEKMTESLEDVLKRKKPVLLTVAEVAWLSECVAAGLSYIHKREVLHRDLKPANILYDANGCAKISDFGIATDERDGTFCGSPGYIAPEVIGRQKQTSALDCFSLGIILHRCSTGRTPFELPDGHVSDEIVSKCKYVPPVSMNSSVRAVTTNLIKKSPNDRWTAVEVLYSQLVTDHQHQRQYALQKTVRDNDL >CRE08414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:438396:442554:-1 gene:WBGene00070665 transcript:CRE08414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08414 description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3MPL8] MSRMSQSSRSPLELDVPNISVRITYLNPPAGSGKRKFDTGSIMDLTTFEKRPKLDESLDNVESERTKRSNMMPNEVLEDCLFHALHQALMYNRWKQSKSLEDYNKYRSSIRKTYKKPGLCCNVYEAVKAMKEEAEMTKSNNFDLIDVDHLQKTVFTGKYQIIVFCQNSTTPYYRGPYVGEKKSLVLYLSNGHYNGVRSICALLKTSYYCFLCNSRTENAITHYSCPLLHRLCGKKNCPAVKEGEQKKCEKCTVVFRSIQCYENHIAKGPNGGKSRCDYTSVCKKCDGIYYTNKRKNDHQCGAKWCSRCNCKRTNPHKCNMPKAVKNEKKLTRKRVYFDIEVRLSNKLNVHCVYFQSRADESTGQQHPVLFVALRCCPKCSSNIPKCLQSLKSETCQHCAPDGRLKIIECITLENRHVNVGSQMTKWLFADQHRGRVVVAHNASGYDAQFILENMIASNTAKPKIILEGTKLVFLEYNGVRLLDSMKFLTMSLASLGKSFEVDSVKGDFPVLFIKPKHYNYNGSIPEDKWYNLENKSSSVKKQILNFLENERNSNKTFNFVDEIMRYCYNDVYILAKAMDIFEKEFETMTDVCLLEESTTAASAAALVFRRNHLDPEKPIVLDVKPSVSIKCSVISQKYLAWFSKKENVSLNMSTTYGEEKIGKYPVDGFVSPCEKYPDGLVIEFFGCYWHAHSCSYSQESVIGDLSAKEIRARDDERLKYLRRKHPVKVVWECEVNQELCENQEMHDFFENYEPVDILQSEKSLAGGRTEVFRLYMNNEKRTLRYLDVVSLYPTVMKHEAYPIGPPENISRSTIKTPMTLPEHITFRGFISCNVLPPRHLRLPVLPIKYGGKLLFGLCKRKFYQNASFKTNFSECCKESNQSDCKHNNEERSFNGTFTTVELQKALSVGYIINDIYHSVKYEHWVQNNEKGEGGLFTSFINQMMEEKIYSSGWPSNVKTDEEKDAFCKAYLEKEHIHLSVRNRFKKNPGKRAVAKLMLNSLWGKFAQNVDRESTEIVIDPMEFWKLVYDTNVVISIVRCVNDVLVVKYKKQQETLQSLKTSAMQLASFTTSYARLRFYRFMEMVGGENIVYTDTDSIIYAVPEDTKDPLEMEVGPYLGQLTNEVDGEMTEFVSLGPKTYCYKDISNGEEKIVRKSQRNYDEFTSREVCEL >CRE25010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:641166:643130:-1 gene:WBGene00070668 transcript:CRE25010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25010 MEQWFAVERMKMQSVSAESADKPKKKKMIIISQPDVLELSSPFHVHAEQKAVKCMKKKTIEFQTDIFIAPKPKSYAELKKTLSKDTAKVTSRSRSMNMNDNSKVDQEGVCKIYLSPKGIYIQMVQTFHDFKAVPYDDGDPDAESEKPRENTYPNMLKIKLAGDGKKSVEGDLRLVFSEVAIMKKECCNCGVTVNIGLKDVTNIGTASINTKNEATDPSKSIKFIQNVQFDEKKMSLMISHAAIADVSEKTNSETGTIELKEKIESSIKY >CRE25011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:664873:665948:-1 gene:WBGene00070669 transcript:CRE25011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25011 MSAAFPLLRLPKKALHHVLYSIDYVDIVSFSLASNKTKEVVKSLNLKINDINLKIDNIIIIQIDAFRNSPSQMLWYFYPENNNDSIEPIPIYMPARVMGMRDTNPPQNIVRYRNPGLSIREWLAHLQYIFFSSKIHSLYFTRETCKFDMSSVKETIGGSEIGTLRFYDFCGLECAQMALRQFPSVKCLSAGSRGLEDPSMYRNILIQNLDMLVLGEPTTSIRIGLDEMLLINSKEIYACSSTITNKGINRFLKHWMNGSNPRMEVVNFDFPNGRFPDKDVLLKGTNYHEIPSNQVRRYKRHEKIIVVKGGYDIRRMDGTLGTVTIRQRLQIRFVLFFVWG >CRE24939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:667056:668132:1 gene:WBGene00070670 transcript:CRE24939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24939 MSATFPLLRLPKKALHHVLYSIDYVDIVAFSLASNKTKEVVKSLNLKSIISVLTLDYFIRIQIDAFRNNSSRMLWNFYPEKYDNSTEPIPVYMPACVIGMRDTNPVQNLVKYRNPGLSIQEWFTHFQYIFSFPEEKSLRFTKETCKFDLSSLKETIGKSDMTRLEFHNSCSLECAQMVLRQFPYAKGFFACSRSLEDPSMYRNILVQNFDRLALGHRTPFLRMELDDLLLINSKEIYVCSLTITNKVINQFLKFWMRGSNPRMEVVNFDYPDGRLPDKDVLLKRTNYHEISSNQVRHYYRHEKTIVIKGGYDIRRTDGTLGTVSILQHGQGHLIRLFVWS >CRE24940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:669488:670567:1 gene:WBGene00070671 transcript:CRE24940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24940 MSTTFSLLCLPKKALHHVVYSIDYIDIVAFSLTSNKTKEVVKSLKLEINNISLTLDYIIRIQIDDFRNSTSPMIWNFYPEKDYNSIEPIPIYMSAHVEGVRDVNPRQTLAKYRNPGLSIREWLAHFQYIFSFPGRSPLLFGSETCKFELSSLKETIGKSDVTTLVFYNSCSLECAQMVLRQFPFIKRFFACSQSFEDPSMYRNILIQNFDTLVLGHRTTSVKIELDELLLINSKEIHIRSTTITDNVINRFLKHWIEGSNPRMDVVSFDFRDGRFLDKNAILKGTNYREVLLNEVRHSKRFAHGIIEVKGGYDIRRKDGNVGTVSILQQGQKRMVQFFIWS >CRE25012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:673797:674510:-1 gene:WBGene00070672 transcript:CRE25012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25012 MDKPESSLFAVKNPRVWLKLIIEVFSHTPNLDILLTKPDCLFDMKSIKDTVKGFNVDGLNFNHDCGMECAQMALKNMPESKTVFIKSPAFRNPVEYQNILIQNVDCLAISPFDLDLKISLNDILLINSKLIEIKSRHITDKMINRYLKHWIQGSNPRMGYVRIEFEPNRILDEEVILKSLKYRRAQPNRKKYFGMIGYGGVVTAEGGIDIHRKDGAEGTIVFETLNGNSSFVFYVCN >CRE25013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:675837:676724:-1 gene:WBGene00070673 transcript:CRE25013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25013 MTISSILKQWLTIHKYWVGWAFYPPEPSTGVQPVRGTVPIYVPSRVKAEKNFEDIMDFENPGMSISEWLKLIIEVFNHKPYLSIVLTKPDCLFDMKSIRDAVKGFNADGLAFTEECGIECAQLALKNMPESKTVLFEGPSFGNPVEYQDMLIQNVKRLVIGSCHNLHSFDLKISLDDILLINSEWIEIISRHITDKMINRFLKHWIKGSNPRMEHMRIEFEPNRTFDKDVILKGLKYRRAQPIRNIFRMIALGVGLAKGGVDIRRKDGTEGTITFIKSNGISSIVFYVSNCYGFN >CRE25019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:688530:689504:-1 gene:WBGene00070674 transcript:CRE25019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25019 MNAFRINFSLLSNNAKQLARSLNITMDILSLRPNDNIEIDIRGDDASRNMRWTFYPPKRVRRFLWFKRPKRGSHSVYMPGRVEAKLFNWAPRDTVVFQNPGLSISSWLKQFQDVYHNPGIVDIQLEKAACIFDMESIKDTVQGMDVNALSFTEDCGVKCAQLAFRSFPEAKILHISSTALSNPDEYQNMLIQNLDGLAIIKKGDLKISLDQILLINSATVFLSWTHITDKLINRYLKHWIQGSNPRMENIRIAFEPNHIFDKDMILKGLKFRRCLPYLKKGFEGMKTYGFMEFGFEIRRKDGTEGMIAFENDDNSRYFIFHVFA >CRE25018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:686017:687101:-1 gene:WBGene00070675 transcript:CRE25018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25018 MSTATFPLLLLPENAQRKVLNGFDVIQLMDASRINFSLLSNNAKQLAKSLNLAIDKIFLCPDDDFIEILSILEYEISVRLIFYPPESSTGIQPVRGTVPIFVPSRVKVEKDLEETGEYQNPGLSVSEWLELFREVFNHPPILNIAWSKPDCLFNMKSIKDTVKGFNVDGLYFTLDCGMDCAQLVLKNFPEYKKLFIDSPAFRNPVEYQNILIQNADILTIGSFDLDLKISLDDILLINSEWIDIISRHITDKMINRYLKHWIQGSNPRMEKMRIKFEPNRILDEEVILKGLKYRRTQPNRKRYFRMIEHEGVVTAEEGIDIRRKDGAEGTIVFETLNGNSCFVFYVCN >CRE25015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:680341:681433:-1 gene:WBGene00070676 transcript:CRE25015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25015 MSSAFPLLRLPDNVQRKVLNGFDAIQLTDAFRINFSLLSSNAKQLARSLNITMDMLSLRPNDNIEIDICGDDASRNMRWTFYPPKRVRRFMWFKRPKRGSQPVYMPGRVEAKLFNWAPRDTVVFQNPGLSISSWLKQFQDVYHNSEISDISFEKTDCLFDTASIKDTVQGMDVNALSFTEDCGVECAQLAFRAMPKTKILLISSTALSNPDEYQNMLIQNLDGLAIIKKGDLKISLDQILLINSATVFLSSTHITDKMINRYVKHWIQGSNPRMENMRIAFEPNHIFNKDVILKGLKYRRCIPHLKKGYNGVKRYGINEFGFEIRRKDGTEGMIAFENDDEYRYFIFHVFT >CRE25021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:691224:692295:-1 gene:WBGene00070677 transcript:CRE25021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25021 MSSAFPLLHLPENAQRKVLNGFDAVQLINFSLFSNSAKRLARSLNLTIDQIELCPDDNLIDIQTIDDNEYWVGWIFYPPEPNTFIQPVRGTVPIYVPSCVKALTDFEEIDFENPGLSISEWLKLIIEVFNHTPHLDILLTKPDCLFDMKSIRDTVKGFNVGGLTFTEECGMECAQLALKSFPEYEALFIDSPAFANPVEYQNILIQNVESLIIGSFALDLKISLDDILLINSELIKINSRHITDKMINRYLKHWIQGSNPRMKNMRIGFEPNRTFDEDMILKGLKYHRAQPDRMREYEEAEEVELVEGAYDIRQKDGTEGMIVFESDQESRYFVLIVFA >CRE24941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:692841:694010:1 gene:WBGene00070678 transcript:CRE24941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24941 MVNFYYDPFSYIPDTEYPSQRNNSNGTEILEYSGLQPTVISNYIPYGNSCHQNVPCQQTYFNSSNGYPQHITSHQNYQHPNYFPDLQPPTLHYQPYQPQFKYGRLFTTEQIYAMEQKFKVDCFISPEEAIALGEMIGLTKSQILSWFERRRAKQRAIDSKKKDGGWKPEQFDLTIGSSENSRSHHNPYRHPQHTMTQFPGSQSSYPNYQQPHYQPYQPQLPVHKRRTVFTDDQLTLLEEAFQKNDKITPGEVQTLMKQTGLSNAQIRTWFNNRRQRQKQENKINLKIQSLKEGSTVLVSGENSKSNNSSY >CRE25022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:697705:698751:-1 gene:WBGene00070679 transcript:CRE25022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25022 MSAAFSLLGLPKNAQRKVLNGFDAVQLINFSLLSNNAKTLVRSLNFTIAQITLCADEDFIEIQAIVDKEQSVGWIFYPPEPSSRIQPVRGTVPIFVPNLVKAEKNFEETIYFKNPKMSISEWLTLIIEVFNHPPILDILWTKPDCLFDMKSIKGIVERFNVGSHFFTRNCGVECAQLALRTMSESRTLAIASPAFANPAEYQDILILNSKSIFIGFSFDFDLKISLDDILIINSKLIHIMSRHITDKTINRYLKHWIQGSNPRMENMRLEFEPNRIFDEEVILRGLKYRRARLIRQNQPKICIRRKDGTNGIIVLHLAETRCFDFYVSN >CRE24943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:699759:705993:1 gene:WBGene00070681 transcript:CRE24943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24943 MVEYYYDPFSNIPNTEYPDQLNSSNETGILELSGMQSTVTSSYSPYGNSCHQNVPCQQTYSNSSYGYPQHINSHQNYQHPNYFPDLQPLALHYQPYQPQFKASRLFTTEQIFAMEQKFKEDCFISPEEGIALADMIGLSKRQVMSWFERRRAKQRNIDSQKENGDCNPEQLDPTIGSSENFGSSYNSQHTMTQFLGSRFSYPDYQPPHYQPYQPPLPVFKSRTMFSKDQLIILEGAFKKNEKITHEKMQILMKKTDLSNAQIRTWFNNRRQRKKQENERNMKIQSLKEGSTVLPSGTNSISNRSSYGNLSHQNYSKSFHLSDSQNSYPDYQPPSHYGNFHMKEAIVKIIKIHQSAFNYNLDAQLFNRKMTPMEFANSLFDGKIEDNVFEEYGNLKLVDGLKQGRELFELLNKIKNPKTTDEMRNDVFKGMDVLSGWRHTFDSFDPMNTLDGFFEKKANMGKLETQEIRHLESNLANRIRVFQQLVDSYKQSHEESLIIGIVRQAGAVKSSFSEFEAYFQNIGYLNNDYRIVSNLIEIRPSFTKEKEEIMNRLKREFPAIESDIDTLLNVNNSQAIKEVIAIVNKLDNTLSLLNSFRISKDKRKKYQGVSKPLFQRISSLSSFMNHIQYQAPRLSATWKPLEETLRLLKDDNYYYYRNGFQTEKDCIMGYNISSDLTTSDPELIAFEEYLNNYSSAEVEFHAAWRDLSQNTKTENLVGYDTSGAPFDYLKSRVVEFIEYNFPTEALENILEMLGNKNIPGKEDISVFEETSLKAVRWLETIQKANSKELTEKLTKNIPEMKAYFECGGPGNYYTMENVRTISNISRHASSVNPNSLLFGAVEWMGWLKEAHEMIEGIKNWKSEDDPTIGAFPLAVSDLDVISDGLTAIKLAVKIQDFWTGGWEGRDDDDFEAVKDNWYPLSKAISNLVEDLDRVDINNVTENAESHLSDSVLSSIDMVRKFVRDEYDGNQWKDIQNFLDDLQSFPGFANKVNRMNEEIRKYKEWETTRHPEEKEVKKPFVDCSQVDLQCFMKFKTDNFQSNLGECGVPLNLPAAPDVPVEKFEL >CRE24944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:707446:709602:1 gene:WBGene00070682 transcript:CRE24944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-try-9 description:CRE-TRY-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MHX0] MNWKTLFLCFLFIVHVSTKRLTPRENKILKANCGRYVQGFTSLNGHREKTSEEYKALYGKEVKIGEAPWAVSLAMKKKTLSGKNVENQFAQHGTGTLISPWHIVTAAHLIGISEDPLPDCQTGKLGDAHFLRDFKDFVAFINVTCAVPEMCKGLKRKDMFKPFEIQSLYIRQGYVGDGCIDRESFNDIAVFELKEPIEFSRNIFPACLPSTSKSPVLGAKGYKLYGYGRDPADKQLESGKLKTLVSFVAECSDEFPYGGVFCTSAENRGLSCDGDSGSGVVRTSDTRNVEELVGVLSAGMPCPELYDSHNRQRRLRQKLTQETDLLVDVAAHLDFFCNCCGICTNKK >CRE25023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:709664:714451:-1 gene:WBGene00070683 transcript:CRE25023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25023 MSSRTLASFASKQENLELLAEGKTKQIYGIKGEKDYVLIRSKDSLTAFNAERKNELEGKSKIASKTTVNVFEYLQLLGLPTHFEQSISDTEFIARKCTMIPIEWVARRVATGSFLKRNPGVKEGFRFNELKLETFFKDDANDDPQWTDEQIVSNGLMIDHLKIGRDEISLMKKMTKLVFRALEKAWALENSALIDMKIEFGVTVEGEILLADVIDNDSWRVWPENDRRLQLDKQVYRDMKEVTAEGLQLVLKNYTKVMEITSTFSKPRQSCHVLVIMGSGSDGVFARKISDAAKSFGLETTLKVSSAHKTTSDTLEVLAEFEDSGVPTVVIAVAGRSNGLGPVIAGNSSLPVINCPPSSESLSLDIWSSLRMPNGIGCTTVLDPSEAALAAAKILASHNHIVFGKVLTAQLQNQINIYNANRKLE >CRE25024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:715176:719135:-1 gene:WBGene00070684 transcript:CRE25024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ntl-2 description:CRE-NTL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MHX2] MFSANLMRSDPSLTNSEFQIQNEDFPALPGVGGGQSQRTMLGDQLANMLPDDHQVNFAGPLGDCDPSRLGGLSRTSQEGPMHGIITHPDGEVTNIPASMLADQFGMAGLVTYLRTVDNPSIVSLALGYDLTTLGLNLNLAERNMHRSFGGPWADSPIRAHELDVKVPEEYMTHNHIRDKLPPLRLSKVSEDVLFYLFYNCPNEIYQVAAACELYNREWRFHKSEQVWLTRSQYGGVKEQTGQYEKGHYNVFDQMQWRKIPKELKLEYKELEDKPKLPNAVAGQPAHFKYFFQGPQFPSGPETGLMLQMHNLGVGTGQITPPIPTGLNGVMGGVVANGGGGAPGAPGGVIGGMVQQQGQGQQQGPINPSARATPN >CRE24945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:727132:727598:1 gene:WBGene00070685 transcript:CRE24945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24945 MRNFLVTKCLGFQTTLENTDRHSLIHFFIVTVIWLHKKKIESDAKQQKDDLDKFQSKFKLKESEIYGYRTKNKECLTELSSCQKQSKGEKDEKPNLETAEKLKIEISGLKKKVGEMEEKMKEMENDSNSMTAILKVSVA >CRE25025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:728162:731746:-1 gene:WBGene00070686 transcript:CRE25025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fkh-6 description:CRE-FKH-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MHX4] MTRHQTHLPFHIVQEGNANEKPPYSYVALIAMAIEASPDKRMTLNQIYKFIEAKFPYYRDADAKRKQGWQNSIRHNLSLNDCFVKKARDGQSCANDRKGNYWQMVADNAPQFDNGNFKRRRVKRLGIGKMNATYGNNSGNHNNENTETSAAGTGGTILATPQIPFFNGLKWPQNIQTMDPFQFFKGFGVEMGAVIIQVPFYYRFPYPPPSVTDPSSNNSSSSTSFDSSLYTSAFPIPTSYFDTSLVAPPPPVLTSDDTSDSSLVKEELLDMKPLIPGIPSASFFTSLGQMTTPTDPRTIEQQGKSLGIDGHPDKRDFQQLLSTATNMYPNAFMSPYTSWSCQPTTTFPSLSFDDPSLYCYGQQFPASS >CRE25026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:758320:771911:-1 gene:WBGene00070687 transcript:CRE25026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lat-2 description:CRE-LAT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MHX7] MSHHSVIFLLLLLLLLYGGVLGQNLCQSNICQNGGTCLVASTVPSTSTCPKGSIYYMGYCYLFDGTGTTRAWNDVSAALFCDKMNGATLPLVESTEDQAFFAGFVPAMIPSMPQTNIRPPPDGIWTAVRGVNNMTRPSWVSYPGSFLITETFWAAQEPNIYVNYNDVCVGLESISFYRDWTTALCNVPKYTVCKIPPTLIQAKYVAQCSCPTGYSGQNCETTSNQQDSSSTQRTCGSGDFQFSCPTNDQTIVVDYASFGAQVYVKKVNCLTNKAQENSMCNQASQGREQTCSNVNSLQTVINACQGLQSCEIKNLTSTFANTPCPVPQEQYLETRLRCQTAQQLSCSSGLIQYDGRCYSMTIETNEKKQRTMEDAERYCSQSGGSLVSSLPDNLLQQIMKEVNDETKKTVSFWVDGEQGCQLLIITSGTSSPSYSQCPPSPSATANVICSTVPQSTLPSRQSQTAPVDREAQTMARREVYTGVPPPTVPDSINKAKYCKKEKTDGITYDQTRACMQHEQPCPDPENVEGTVTRYCNCQTAKWEVPDTTNCTHRWVAEMQTAIQDNQPVEDISSTVNRQLKSTIQRKLFGGDITGTVRLSNDMLSLARNQFDIMNDRNLRENKARNFTENLGGSGDQLLSPVAGRVWDQLSSSIRIQHASRLMSVLEQSVLLLGDYMTDQKLNLAYVNWAMEVERSEPESQAFGATAAAPDVQDDMGMTRVMAAAPPSSPPADTNTTINFPSLKLSPTITLPSASLLSSFTSPSTVSGGGPSILSSFQDDTPVGAFAPNLNRNPIKLGYYAFAGFGQLLNSNGEHTVINSQVIGASIENATRSVTLPTDHPVTFTFQHLTTKGVSNPRCVYWDLQDRKWSTQGCTLVSTTFNSSQCSCTHLTSFAILMDVSGQVGQFSGGLASALDVISTIGCAISIVCLALSVAVFTFFRNLQNVRNSIHRNLCLCLLIAELVFVIGTVCPKVSKKHFIFYVFRNGPNRESYGLRCRRHSHPLLFPRLVLLDASRRLSTLHDAYSSFRTKSNQNFPLLSVLLWLSCSGCRDFIVLSRPKPRPNTKWNRIIGWLKGSATLLCLLGITWIFGFLTAVKGGTGTAFAWIFTLLNCTQGIFIFVLHVVLNEKVRATIVRWLRTGICCLPDTSSAAYNSRSFLSSRQRILNMIKVNGHSYPSTASTDDKEKQLTPITKTTDWLSRLPNQDSVSVPDSNLNLNSNLNSNLNSNLNSAEIHEVPEIQEIRRRVTVDLNPMVVNNNSNNEIERISHASSDPRGSGIIEVTNVEKKAPVKRIKFPLGAKQSERGSQHRTKVITPESPDSASGTKDYRF >CRE24948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:772737:775545:1 gene:WBGene00070688 transcript:CRE24948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24948 MWKYSIVTLLLLSFQPVSAGTSSLKAIHIQIREISQLAEDFHLKSELLNEKISTTDVVKVFFPGFPYFSDGLNDVEIFNGMEGQKEVVDLMKYVKTAEISKETMMEIMNGFEVLEELVGLKPQIDFGPRKDLDDLLKRLKNFGKTDFKNITTSIGLMMFDQRFPDFLQDLKGRLEKLLSSRQPTSAQIEHVIGFALALMDRAVVRIPIHYEIAGFLHRNVTFNPVVKMFNATKLLSGKMNKINILKEFSEKLNVIYNEMKFWAELESDKNIYNTEQLWSRIQKDMNPLKSFKTPTKGFKKFESIDNWMSHRMTKFASHMKTVEWKYSMFSKEIQSFFDTTKNMIEFVQNGEQLFARMCLEDYDFSTDFSKDETELRSLEPHLTTYFLESLNLEKELDRLKKFVNFTDFQMYKKSGLSDSEIREAVEKMKKIDLSEFDVILKHIKPNNQSYMMFETIKRKEHDAMKKFAEANGNEFLEKVLEELTTLQTFLNCTHLEEAVSIMKPLIDTFVKIGYVDANLAFSGMAYTVFMFREGNELVEEINGWKPESDPYIESFPLNNDDLKGISDGIEAIESIRKVQESWEELIDLDDSEMSESDDWKAIHDFITNFVGKLSTMDFNLELSNLQEFLATVSFPEDSQIDSFEKFIKEEYEGNQYFDILSFIKHLRGLKNGFSNVLVQLKNMTEGVRKYKEWENTKEKSEKMEFVDCSQSYEGSCSTPLTLPKADPDVPVKKFEL >CRE24949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:777243:779527:1 gene:WBGene00070689 transcript:CRE24949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24949 MRKKYKDARKTLRYLKSCPDLPFLLEISPNRFEIPSEGVLEVTIKNPTNDTQDVYCQFDSFYFLVDFKSANPWGQQGDTISAAYGFYELAPGESCSLTIGYENGRYPEFEWEPCVVNKNCGLEEEMDEEMKVMRARMESKGNIYYNCDRPEGYLKVMYKKKAEIGKDVKWAVREAELHLVEETEKYQELKEKYLKIRKDQERRICWGETLLTNKYGTHRMHKMEPDLQGYVPSHEKAFWERVMADKTIPQDLEEFDGMSDEDIQKVKMEKRKEFFETDSDGDDRLKVELMMTEIEDAWGKRCRCEMPEHYSKKVQEECTGMKSYVKEKPMKNGGRRRKKSYYPLFRRVFKKPENSESSETPDVPTPETPDKSKSQNTKSSQKSKTSETSKTSEESEIPKKSVEPLKYPIPKSTISPARPSETILEEKPRKAPEAPQPIPMSVGRPETKIVETKKVVEKKKKKKKNPCCSIC >CRE16344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:7893:9200:-1 gene:WBGene00070690 transcript:CRE16344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16344 MNSSLNRKDIEDFWRRSNSICDQDPPSDPESLQVQVRRMSLADFTGLAPQQKLTDIEPPTWKSSSSQSSLLNSFSTRRRSVLSNDVLDSPSRRLSPRIEEEMDVHTAIQRLRSIETKSAELKPCDPPSTATADDVAAEKKQANDSIHRIQAAARQLNQ >CRE16345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:23216:24094:-1 gene:WBGene00070691 transcript:CRE16345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16345 MKVLLAVTLVLLSARLGYTDFIEFCRTEEEYNKPLANHPISRIAYLNIIRASTAKVHQIANMHELKYDMALEKEARKMKSCDDIKHGVNYRVDFYGRKESDPIWKEFVKNSANKTASSYTETDHPLLTSFIECELTTICSMETIIANEPDDNFKPTFIILYGWRGTLSLSDLQHGPPGSKCTHGTTEHGLCIAPPRSEIETTAPSRSNSEAIGSSSGNNGESEGLNSVFVYLSIAFMSLFL >CRE16347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:26257:27098:-1 gene:WBGene00070692 transcript:CRE16347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16347 MKVLLAVTLVLLSARFGYTDFIKHCLTEEEYNQRYAPFLHNKSETKVEAENTDRVDVAKGFQIANMHEMKYDMSLEKEARKMKSCDDFKHGVNYRVQFYRERESRAIWQEFEKSLNMSPSNFVEGAHPLQTAYIECDLTTDCRFDALRYDNFDDLETDHITFYGWRGTLSVSDFQYGPPGSKCSHGKTKQGLCIGPPRSEMETTGPSAENNGKSEGMNSILVYLSISFVSLFL >CRE16348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:27684:28591:-1 gene:WBGene00070693 transcript:CRE16348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16348 MKAILTVTLVLFGVRVGYTDFIKHCLIEEEYNKMEMSFTNKENTNVKSMNSDRAETAEDYKVANMNELKYDMTLEKEARKMKSCDDYKHGVNYRVGNYGERESNAIWREFMKGSRTDSLHYYIEEEHPLQTSFIQCNLTVTCVVEIPTLSGVHKSSINYIILYGGRGTFSLSDYQRGPPGSKCSHGKTEQGLCIAPPKTELESTTSPKSKIETTAPSRSDSEAIGSSSENNDEGVNSIFVYLSIAFVYLFL >CRE16349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:29817:33355:-1 gene:WBGene00070694 transcript:CRE16349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16349 MFQWLPESARFDMVSGHPERALETLQAAARMNRVQLPTGRLVSSTKMGSESRGDIANLLSPDLRKTTLLLWCIWAITAFSYYGMVLFTTVLFQSHDECHGGLYLNGTSLEVCHPLTRSDYFDLLSTTLAEFPGLIITVLIIEWFGRKKTMALEYAIFAVFTFLLYFCLDRFTVTVLIFVARAFISGAFQCAYVYTPEVYPTTLRAVGLGTCSAMARIGAIVTPFIAQTYDEALAKRARKMKSCADLKHGSDYQLFTYGREESNKVWNEFMGKHWNKTGETQIEYDHPLQTAFVKCNLTVECKVKIFYFGKYVDSEDSDIVLLGYKGTLTLDDIKFGPPGSQCPHGVTDRNLCIAPPRAKEENDSESGEDDEGGEGSGGKNMATMVAVNSIFVYLSIWLLF >CRE16350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:35336:36209:-1 gene:WBGene00070695 transcript:CRE16350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16350 MKVLLAATLLLLSAPSGNTDFIKHCLTEEEYKTWSDPTIEGNKITDLNNERAHIATIYQIANMHELKYDMALEKEARKMRSCDDLKHGVNYRKSGYGSDESDEIWVAYVRNGSDTPYTIWAYEEDKHPLQTSFIECELTADCYKGSVIVLYGWRGTLSLSDFQRGPPGSKCTHGKTEEGLCIAPPKTEAKTTAPPKSKIETTAPSRSDSEAIGSSSENNDEGVNSILVYLSIALMSLFL >CRE16351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:37039:39251:-1 gene:WBGene00070696 transcript:CRE16351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16351 MKAILTVTLVLFGVRFGQTDFIKNCLTEGESIKDIIAAMNEIRASHAEDYQIANMHELKYDMALEKEARKMKSCDDLKHGVNYRVAFYGEGKSDAVWKEFVKNSARTDSYSEADHPLLTFMIKCDLTTECDERNFIVLFGPRGTLSLSDFQRGPPGSKCTHGKTEEGLCIAPPKTELETTAPPTSKIETTAPPKFKIETTAPSRSDSEAIGSSSENNGEGVNSSFVYLSIAFLASVTPTSSNIVSPKKSLISTEQTIRYPRSNLSTRFEAILQVFVKLQTCMMRFPSPSYYNKFLIQKYDMSLEKEARKMKSCDDIKHGVNYRLAFYGERESRAIWQEFSKSTEFPSFYFHEEEHPLQTSVIQCVLTTTCHLNASRSGNSFNTEMATITLYGWRGTFSLSDYQRGESGSKCTHGKTERGLCIASSSENNGESVGMNSIFVYLFIAFMYLFL >CRE16352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:39666:44563:-1 gene:WBGene00070697 transcript:CRE16352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16352 MGDKAILTEVLEASNLTEAYVDLTAKQLIKEIRHVGDDFAVRYSNLDDRNELSEPSDQREIDGSQEKTFTVDEAVEALGFGRFQLKLSILTGMAWMADAMEMMLLSLISPALACEWGISSVQQALVTTCVFSGMMLSSTFWGKICDRFGRRKGLTFSTLVACIMGVLSGMSPHFYVLLFFRGLTGFGIGGVPQSVTLYAEFLPTAQRAKCVVLIESFWAIGAVFEALLAYFVMETFGWRALMFLSSLPLGIFAVASFWLPESARFDMASGHPERALETLQAAARMNRVQLPTGRLVSSTKMGSESRGDIANLLSPDLRKTTLLLWCIWAITAFSYYGMVLFTTVLFQSHDECHGGLYSNGTSLEVCHPLTRSDYFDLLSTTLAEFPGLIITVLIIEWFGRKKTMALEYAIFAVFTFLLYFCLDRFTVTVLIFVARAFISGAFQCAYVYTPEVYPTTLRAVGLGTCSAMARIGAIVTPFIAQVASEHSLSLPIGIYGTAAILGLIASLSLPIETKGRQMMDSH >CRE16337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:47928:53633:1 gene:WBGene00070698 transcript:CRE16337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16337 MSNSTMEATQWKVKQAVDEMIDDLDKNYLRDMQKSMFLCSAKCCDNKKTTRDAVENCVESCNDGMKKAQGNLERELGGLQDQLSRCAMTCYDKLVQQFGPDVNKYTESQKMSFNEKLDSCVSVCADDHIKLIPAIKKRFAKNL >CRE16338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:55162:63483:1 gene:WBGene00070700 transcript:CRE16338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lnkn-1 description:CRE-TAG-256 protein [Source:UniProtKB/TrEMBL;Acc:E3NC84] MKRVLPSVLWSLFLLVTVTHSLEKTAPDLLGKVCAFGDFNADRNTDILVFNNGSLTINYQETKLLDVLEASKFTQGISFVVGKSDLNPELVECSVGDFNGDSRLDVLVSIRDADGLYNHTLWTSDVENEKEIFRPFHVDFLQQHAMAIDVTNDGWTDILGFYPNGTMFCSQVGKQGTVYPIVHGCDNEFTSFPDSLNIFPGMPHLFVDLNSDLIADIIFMTKDTDGSLVMNVWQLTKIGWQYRDWIPKISVNQYANLAAPIVMDFDSDGEMDILVPICQDSSCNRFAQLASWSKTKSWGTVTIDMQDYTVKREPFSLVVFRVGEFSLDSFPDITAIIQSRVNSRPIVKVIENAECKNCEKNGTRRFELRKLEFVQPRNMSLGVIKMGTFFDLLEDGSLDLLVEYEYGNQTRFGFIYCPDKGDTTFLKVQVFTGVCSDNRCNPKSNEIGSSISMTGACASFSMTDGWGGSTQSVACQVPSSSHRSLYLPFLLYGLGRSPNFVDELNIAIPKYADRKEDWKTSLKQIVPNSRIIVLPPSTEYPHWSSRLYVTPSALIVQSLAVIALVCCMLLMVVVFLHYREKKEDRYERQQQSHRFHFDAM >CRE16339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:63927:64872:1 gene:WBGene00070701 transcript:CRE16339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16339 MKERVLEFLDYYFGPIKLYLFSYPMPNGFWDNRKWRMKASGVQVLPRVETEPIVDRLIHIVQKPPAR >CRE16340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:65662:71272:1 gene:WBGene00070702 transcript:CRE16340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asna-1 description:ATPase asna-1 [Source:UniProtKB/TrEMBL;Acc:E3NC86] MSDQLEGSIKNVLEQKSLKWIFVGGKGGVGKTTCSCSLAAQLSKVRERVLLISTDPAHNISDAFCQKFTKTPTLVEGFENLFAMEIDSNPSGEGVEMANIEEMLQNAAQNESGSGGGFAMGKDLLQSFAGGLPGIDEAMSFGEMMKLIDSLDFDVVVFDTAPTGHTLRLLQFPTLLEKVFTKILSLQGMFGPMLNQFGGMFGMGGGSINEMIEKMTTTLESVKKMNAQFKDPEVTTFVCVCIAEFLSLYETERLIQELTKQGIDTHNIIVNQLLFPDTDENGKVTCRKCGSRQAIQSKYLSEIDELYEDFHVVKLPLLETEVRGGPEILKFSERMVHPEKNN >CRE16353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:71855:76120:-1 gene:WBGene00070703 transcript:CRE16353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-anmt-1 MTGTEEVEEKKDDTEVDGEEEKKDEECGAEEHKDKFNPSAYLDCFYKTASEDTAMQIVLFFLPGILYRLPEKVNTVLDLGAGPTVYLPIALRLRAQNIYTSDYAPANRETLISWCENRSSFDWSNVCTWIANIEASMETGKVMQEKTRQLMRAVLDVNVHESPVVKSVVWKENPSIEVPQKFQVVSTVFCLEYSCETLDAYFRAVRSACSLIEDGGFLIQGGVLGATTYNFGGKSFRCHCLKQSHIVESLKANGMATTAEQGYKFITHDDIFLLFSKKL >CRE16341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:78823:103253:1 gene:WBGene00070705 transcript:CRE16341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fli-1 description:CRE-FLI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NC88] MSTGVLQFVKGIDFSGNDFSGDRFPHDVEQMTQMTWLKLNDSKLEQVPDELSRCANLEHLQMAHNQLTSVHGELSDLPRLRSVIVRDNNLKTAGIPTDIFRMKDLTIIDLSRNQLREVPTNLEYAKGSIVLNLSYNNIETIPNSVCANLIDLLFLDLSNNKLDMLPPQIRRLSMLQSLKLSNNPLNHFQLKQLPSMTSLSVLHMSNTNRTLDNIPPTLDDMHNLRDVDFSENNLPVVPEALFKLRNLRKLNLSGNRIEKLNMTEGEWENLETLNISHNQLTVIPDCVVKLTRLTRLYASNNQLTFEGIPSGIGKLIQLTVLHLSYNRLELVPEGISRCVKLQKLKLDHNRLITLPEGIHLLPDLKTLDLHDNENLVMPPKPNDARKKLAFYNIDFSLEHQRKIAGQMTSPSSSISSVHSGGARQDALARRKEFIRRRKQQADQQSADKVIQGMSKIAGVGAALSEKQQEEEERQIEAKSAVNWKKNIEKHRRHIDYSDIFDEDVGSEEGMWVWEIENFYPSIMDEAFHGQFYDADAYLVLKTTREISGQLRHAIFYWLGEHASLDKGMCSAVHAVGLRNHLNATCRTQREEMNDETEEFLTLFGEEIVYIEGGRTTSGFYTTEKPAHLTRLYRAGVNGTAVEMEPVPLSAESLDPRFCFLLDAGETIWIWSGYKSRITVSNKARLFAERLNKRDRKGKSEIETCRQARCPPEFWQALTGHPDKPTGTIVEHVPEGFVAERKKLYKVNIGMGFLELPQVELPKGIAKQDMLNSKGVFILDSNSDIFLWTGKKANRLLKMAGQKLVVELHQMLDRPDYAQVYRETEGEESMMFRSKFAGWDEIVQVDYTRVAESVQRVPDLKVIMKKDNMMKTDLGALFLERQPSMSYEESEELMLDCNYDLELMESFVLEGKKFVKLPQKEFGIFYTMDCYVFLCRYAVLPEEDEEEEEGAESDEKPEMDFKCVVYFWQGRDASNMGWLNFTFQLQPNFEEIFKDKLEVVRMYQQQENHKFLSHFKRKFLIKRGRRGLTKNLGGKWPELFQMRANGSSVCNRTIQVDCQSNQLCSAFCHMLRIPFKEIDESGHRGVVYVWFGKDSDPREHEFARQVASDLVVRDDDDDFRIVDVREGEENEEFWRVLGGKKKYETDSSFVKHTRLFRCTNEKGYFAVSEKTVDFCQDDLDDDDIMILDNGDAVFLWIGARSSDIEAKLSYQAAQVYHASLRMKANEKPRKFMLAVRGHESCRFRKCFHAWSKMKEPMG >CRE16342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:104823:111910:1 gene:WBGene00070706 transcript:CRE16342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgl-2 description:CRE-PGL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NC89] MSTRQREIVDFDGLRTYFFPNLALYALNNDELLTMRPEKANPVAAYIFGGSERRPTEEEIMGMLVPDNRDPETILTGMDACLVMGGRYLAQYKKLAERLSTLKHSHDLYDVINTENHSALKQIGRKSRLQKGISPRILSIIVRLMTVEKELFEEICVLCTDSKLNLDFDAFVLMKLMELGDEENEELMDIIKDNVINELMDTKPVLAELLRNGPADEFTRLLSLPIDSESAGKLVDQIVKSGIWNWDDECNPPVPKSNYQLIFLLIRCLTTGDLHVAKRILEMIPVEAKKQIFPGLQRILVEKTLEFASCMIQMFLSENTVSEDWKMSESLLDSLNCVWSRIAVTQILDAFRNSISSPQIFDKFVPLNPESSIYHQDLVELVQNSLRFATWIVKNYSNLDDSKSIEQDLHFLKKSEISRYLETVKKALGHEDVEKRDSESVETMDSGIQATSSEDSVERGQQPAISRIQTLWSSNSIKIKPESLSWSEPSEKNNEREENWLEKNLEKALSPTFFSEEKREDLFVSKSLRIQTTNHQNIPIIPTKTERDFNVEVLKVDNNDNSDMKLIKITRNHYSRNSRQVSAQSSSSQVMSLRIGVNNRYEKWAEPPDKLLF >CRE16355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:121793:132840:-1 gene:WBGene00070707 transcript:CRE16355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16355 MVRPGKIQESFESTTPKRRISTRRAVPSTPYCLPSSESSDDEAPPPKRKKDLETSQKSSSHRIVAKPTNPEFTEEKLRNILQKFAPPSQPSTESKEELTSEKKKATKRKRKVDKPELLQEHSSPVIENIEKWDERTTLMEIFKQEPNPSRQMTKEIAKVLKKRQGRVSRFFSNQRNKEKNSGKYSHVTPEIRLKLEEEFKVNQNLTTERKKEVAAANNLQLKTVTTWFFSRRMKEKDPENWKKMKAKYRADSKRRLRLESNKPLQFKYSEEQKNFMNGKVSEGHEITKEQSREWAKEINLNEYQAARRRRPRARTPLTEEEAIPIIGKVLKENPNYRECGNGVLINTLFWSKPKVGFQCFIELTTSSIQINYYICQNPLNEGKLRKTPDSRVKLTQPVMETIESAFERNPFFSASQMKAWGKEFNLKPQAFHTFAFYSRTKILKKYLAQGEYIDTLPTTMRLLETEYLKSTCIQSVTEAARIVDMTKVEFRNALGYFSMRRRLDRERGIDVIKEEDVPKILYKDVRKNQKKTAESVVKLTQPVMETIVPAFERNPFFSAPQVEAWGKEFNLKPKTLYMFGFKKRTRILKKYLSEGQKIDTLPTTMRLLEMEYLRSNFIESVTEAAGIVDRTKVKFCNALGYFSMRRRLDRERGVDVIKEEDVPKILNKHVKKNQKKNQKTPELVERVKLEEPDYDELVYQPPVNVIKQEDFDDSAATSNRQAGEEDNNDESDDASDSEDFDSEWSSDNPLSSDDDDNEEAFVNMLRVPKKEEIE >CRE16356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:135409:143331:-1 gene:WBGene00070708 transcript:CRE16356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16356 MVRPRKIQESSESPTPKRRTSTRRAVPSTPYCLPSSDSSDDEAPPPKRKKDLETSQKSSSRRIVAKPTHPEFTEEKLRNILRKFAPPSQLSPESKEEPTPRVSWFFRYQRNKENNSGKYSHVTPEIRLKLEEEFKMNQNPSTERKREVAAANNLILKTVTNWFVIRRMKEKDPEKWKEMRTKNNAWERDRKRRLRLESNKPPPFKFSEEQRNFMIGKLNEGVAITVEQSKEWAKQINLNWYQVYTFMRRFKQKQIDPEYVKRIRKQRRENSRKRRKETKEEKEERRRSQPAGTPLTEEEAIPIIEKVLKENPYYRECGNGVLINTLFWSKSKINHYICQNPLTEGKTLNDLKMVLTQPIMKTIEPAFERNPFFTASQTRAWGKRFNVKPQTFHTFAFNSRTKILKRYLSEGQQIDTLPTTMRLLETEYLKSTCIESVTEAVGIFDRTKVEFRHALGYFSMRRRLDRERGVDVIKEEDVPKISYKDVKTNQKISSKTPDSVERVKLEEPDYESSVEAENYDDLEKEDQPPAIKEEEEEDDELEQKYQPPVNDIKQEDFDVVSASNRQARVESTDDESDDDSDDDTDSENFDSEWSSEDLLSGDDDDNEDAGANMLREPKEEKM >CRE16357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:143905:146296:-1 gene:WBGene00070709 transcript:CRE16357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16357 MNGVVAALEEMPPVTRFYTGACVLLTTAVHLEFVTPFHLYFNWELIVRKYQFWRLITSFCFFGSFGFSFLFNMIFTYRYCMMLEEGSFRGRRADFVYMFLFGGVLMILSGIFVQVLFLGQAFTIMLVYIWSRRNPNIQMNFFGVLTFTAPYLPWVLLLFSLLLGNNAVVDFMGIACGHIYFFLEDVFPFQEHGRRFLKTPQWLCYLFDERRPEPLAEDERPGGFEWGIEDAEAEPQNN >CRE10655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:116756:117565:1 gene:WBGene00070711 transcript:CRE10655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10655 MLNGRGPRVKRALTETDSNDAPPKFVAYEDYRALYDHVLKLTRQVNELQACLMETCSTKVSERLSSACPMAQDPPAIGEPVFCHSNNDVFSFSSSNGDECRPTSYAEISSKNLPKQLSTLSIAQEAAKMLDKATRAVIERFPDSKDDPEQEKRDLEFFSTFSAKHGLPSPSQAHRHPSKTACRPLKLQFASNSERDKFLNGFFKAKNADPSLSSIQSRPRARRDLTREELKKLYESRKFVYDNNLKEKSSKFIMVDIEYKLNKNPRPFL >CRE10669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:111844:112658:-1 gene:WBGene00070712 transcript:CRE10669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10669 MNSSILAIFAVLAVIAFAGPGGPMFLRDQPRNVQQSYYQIMRNSQLTQQQKDQQLQQWAQTNNLSTQYSAYTQQQQQMEQQISQNTTRIIGQLSMVQTQLEQILDNDSQTGQQKQQAIQSLSNQYPQEVPVLFYIRKMGKRQMGMDMDD >CRE10667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:108155:109467:-1 gene:WBGene00070713 transcript:CRE10667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-12 description:CRE-SRX-12 protein [Source:UniProtKB/TrEMBL;Acc:E3NEU7] MSTTNSTTPVIPEIENIYASIIIFALSFSGCLFNLLAGIVVLRNPILKNAFGALCFSHTIANFGVLFVFCTWVTPTTIIQYEYTDLTFGKILGQINILFWNACCYSHLVISLNRFLTICMPVKVTNLFNYRNTCFIIGFVWCMAIGHVIPYFWRDTCYVAYDPVSWTWIFGDTPCGAIITTYTDYYTSVAIFVVMSTLDASTFTMLVLYRRKSHLTSDAETKRRRRVEIRFFTQSCIQGILFFYEVFNFYYIVTLNTNQWFVFMTSTFAWELCHCLDGLVVVLFHFKRSFLRKSSQVTTMFSKASDARSNKKY >CRE10653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:100871:103818:1 gene:WBGene00070714 transcript:CRE10653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-13 description:CRE-SRX-13 protein [Source:UniProtKB/TrEMBL;Acc:E3NEU5] MTTTIPNIITTTVKMVLDETELEMKREDVLLAAAIIFFVAFFGLIFNLLGITVVMKNPILKNSFGTLCLSHSIANSGVLFVFFIWSAPATYIQAQNTSGMISKLLGQLNILCWDACVYSHLAISFNRFFSIAIPARASFIFSRHNTRVIIAIVWFIAFCHIFPYFWYNECYITYDAISWTWNFAPTRCGFIISTYTDYYTSVAIFIAMSSVDFMTLILLFIHRKHSHFTSSDESVKRRKVEIRFFMQSCLQGILFFYEIFNFYYVSTLNTNQWFVFMTSTFAWEICHCLDGFVVVLFHFRRRIFLANSSPHAPRSQTNHAQQRAPAAPITSFKSTKTSLL >CRE10652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:96842:98741:1 gene:WBGene00070715 transcript:CRE10652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dcap-1 description:CRE-DCAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NEU4] MSDPKKKAAAELAAKNLQQLQKIDIAASKILDKMPFTAIYRIDPVKKEWRNADCEGTLFVYQRADRPYFSFLIANRNDPTDFIEPLTLNHILRLEGNFIYFQKDKSSIQALWFHEATDTQRIYNLLQKLVDKLKASTTEQARAASAAGGAATKASAPVPTSAPAPSKTIDLLQMIKSAQSQSNSVNVTPAITAPVTTPAPAPEQMPALLQKLMFKEPGTAMSADELEKDLLKTAKPHRNHLLQDFTNSPSAISLAAISTRSVHGSEGDQDVDVAEGEVLEPLDTSFVVGSGGQTPVLNKEQFISAIAHLMQNDDEFVAQIHQAYIGALNRRLNID >CRE10651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:95181:96356:1 gene:WBGene00070716 transcript:CRE10651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10651 MSMYKLTPTFASDFEVHPKIACYRVASYHNPAAGAAEGSSGASQKAETDPRKALEQKQQDLITRLKSQTEQLNKLLVSLAPATAQKTCQKPAQTPKTTGKAPEAAGSAAPSGKLDKDAKKEARKAAKAEAVKKVGGAPAAAKGSKTSTPWTVEDDRKTWETNLTLTVNIPASLVVYPQEHLKNVTLTVKDSDLPWVRALAKVGEKRGVAFEGDVKNGAKEKKTVVKVVKGGAVAALQIEKTNVKSLQTIWKVLGMALGLFSRRAAQVLSASHQAIWLNKAEQILSGAGDLSYSTREASQFLARFDSLSSQWEVSVADIVFRSLLNTAENQPNNVETWAKKIDALIG >CRE10666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:93576:94353:-1 gene:WBGene00070717 transcript:CRE10666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-25 MPFMHGSMPLRRTFFYLQQGKVKFRDNVNVFAMGFHRNPNEQQSGARDFVYWHWAQLQYHNPKVQLVKHADKVITPFARAYLNDGREVLFDLDGMRREEIEQTLAKTLGKTELVARREHLESIAKMNPADFGSKNERQCMCEVQGQHPCTSLLRAPKCMTGKQRWNHNLI >CRE10650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:91254:93588:1 gene:WBGene00070718 transcript:CRE10650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10650 MVGVKTILLQTVLLFAVVTQPALAQTTNEDFLKKPHVLPSAERAYHAIYHELDTKMEGGAKQTGMFGQLYVALIEDQFTFGGDLDEWPKTLFKNDNKGCKPFMGANVDHKCDHAYFLVHQDMVKLVKTTFDKGGKPEDPSLDKVCADANESGFIPEQDPDRLVYRYGHRVLMTYKPDVNHNKCIGTYDTRTDTFTCMKYVAGQPKLVPFELQNFLWSEDTGHFARGTGNIEVFCKQLHYKPEKSPTAPK >CRE10665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:85776:87425:-1 gene:WBGene00070719 transcript:CRE10665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10665 MANQFIILSVIIIITMINFMMWSGALHSNKSFLLPQLTYAPSKTPLQNTTDVLQSMQEIRQKLDAEMEILKNLKIGKDTEPDSFKEHFQVGTQKCFNAKFGFFQKMRLFSITQVPLRRRTLARIFKPNQYYLLYGSLAPEVFCPEKIRVGTVGDGGKWVCNPWKVPRDSVMFSLGLNNYIGFEEEWQQMTNNSNVLYGFDAAEQNPDTKNTYSNIRGTFRQATIAVASDPSKHKYTIEDLARTSNISDIEILKIDIEGAELTCLIPFLTKYQVCQIYLELHGGAPEHVALLNRIAHLGYRLFSYEINGYSMSACEYSFIHDKCIDKYGGMPIANYLDFKV >CRE10649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:79936:82934:1 gene:WBGene00070720 transcript:CRE10649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10649 MTLDYTEDTHMKEEDEEEFDEEELMEELDEEEEELEEDSEELDNSDSSSSLVAGSPHGSSSGSNSSHSPSLQGESPSSGSSPGQASTHPPEKRHICNICDKGFAYFSILESHKRAHTGEKPYNCHFCEKKFAQKATLQVHERTHTGERPYKCRYCPKTFAQYGTKTVHEKSAHLGIRNYKCPKCQKSLSSPSALYTHKKTHGDKVFRCEFCPKTFALKNYLKLHVKQVHEHNERKHVCNYCNKGFAYAGSLLVHVRTHTGERPYVCRYCPKSFASQGNLQSHERTHTGERPYSCHFCQRTFIQKSQLTAHEATHLAHKPPSSADSTSPVPEPMGAHECTICHKRYPYASSLHVHMRKHMNGEKPGFSCDGCCKAYSSKTSLGIHQDQCDVYKNQLKLKQIRIQSADSVTQFPHKCEGCGEQCVQRISLQIHLDQCVAYKNLLRMRLSLQNQNINPLTLNQNLLQNQNSDSESDTEMKICPLPNPPLTLTPAQQQIPPPVNIYAQQAPPTSLGSILGNTSLTPTSMGFGLASQTVFSSLNAHTPFTPIELPNTMAHPLTASKPPAAIQPSDAISAFHAPPRKLPETSPLGSLSSSILSTQLLLQQLHSTDFNYLLNSNLLSSLAAGLGGGLPGAAPAAPPTSSQPFLMGAASGAIVPVRSIV >CRE10647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:64389:66341:1 gene:WBGene00070721 transcript:CRE10647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10647 MTEIERSAEVAEIFAQDTDHKAQFETLSKLCNKYLSVNAIGRVDTNEIIKIIDTVIALETGSMVVSRQFVSLITERLDNPNLESECIKLIAEGILSIIKTRTISYEDQVCILRLMLASLYEKEGRIKDAAQALIAINSDTSPKFNSPQATKEGAKALLCIRITKLLLDCAEIDEAEQYVNRTSLLMIEVGSTANPEIQIEHKALQARVCDAKRRFVEAAQRYYELSVTEQLPMSDRITALGKAIVCVLLAKPGPQRSRLLTIIFKDERAPSCPSFEIIAKMYLTKVIHKDELAEFESQLQPHQKADEHGESILKGVIQEHNITAVSQLHINIKFKTLGMLLGVDTDAAESMAGEMIASERLHGYIDQTNGVLHFEDANPMRVWDGQILGTLEQVNKVSDMIVAQHPQFATFLS >CRE10646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:62168:64006:1 gene:WBGene00070722 transcript:CRE10646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cct-8 description:CRE-CCT-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NET6] MAMKIPKSGYGRFMKEGAQHFKGTDEAVQRNIEACTELASQIRSAYGPNGMNKMVINHIEKLFVTNDAATILKELEIQHPAARIIIMATEMQEKQIGDNTNTVVILAAALLEHASNLINMGMTPQEVAAGYEQAAEKALEILPTLVVKEASDLKNMDEVRQYLRSAITSKQYDNEDIIADLVAKACVTTCPANSYNFNVDNIRICKIIGSGVNTSRVMNGMVFKRGAEGEIRSASDARIAVYTCPFDLTQTETKGTVLIENADELVNFSKGEESEVEEQVKAIADNGVKVRIAVVVAAGKFGDLYLHFLNKYKIMAVRLTSKFDLRRLCRTVGAQPQARICAPAVNLLGHCDSVAVQEIGDENVVVFDKNSETGKVATIIIRGSSQSRIDDVERAVDDAVNTYKALCKDGKLLAGAGAVEIELAKEIESYGAKAPGLEQYAIKKFAHALETLPKAIAENAGMPTTETLTKLYAEHVAGHKNAGIDIWKRGKAYRPFSTKKTNNFDLKLILFFPEVMDAVAHNIFDLYAGKRLAIKLATDAAATILKVDQIIMAKQATGGPKTRGPKQQDEDDEGMA >CRE10664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:60349:61383:-1 gene:WBGene00070723 transcript:CRE10664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10664 MPVVVVNGDSDFDRKFASANGKACFIDFTASWCGPCKYIAPIFSELSDQYKGSVFLKVDVDECRGTAATYGVNAMPTFIAFVNGQKKQTIQGADEGGLRSMVSKYASTSVAWSGTGQRLSGASGASSSSSGSSSGASGASAPPRQAPAGPDPLAPLLNIIDTINQRLNGFGIPNIDAGGFQIQPFHMCLALTLLFFFGPFGALIALAICFFTQQRAPAPARGGGAPRGAPGGGAAPGPRPFGGSGQRLGGN >CRE10663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:58038:60031:-1 gene:WBGene00070724 transcript:CRE10663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10663 MLSRICVPRHMTASTSFLTPSSSEKLHHHHRRQMSALPPAIAPAFQYASDCYITQSIQMGMEGLHGIGMTWPTAFISAAILLRIGTAPLHIYAEKLFAQRLHAQNFLTQGILKKVSERYRVQLGPSADGSKLEVKSSDPKIAKATEDALQEVPSMLSEHGLQAARIQNLKMSTVPIWIFSSFALRNVINSDFHPSVAGALWIPDMLAPDPYFVLPVAVGVFGFLNLYSQRKIYPGVVKMTWKQKSYDAVLAFFTMFAVSIMSQLPACIPMYWLIVSMSGMAQAQLLRHPKIKSIFGIKKLPTDSRTPIRDLFKMRAV >CRE10661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:53229:55902:-1 gene:WBGene00070725 transcript:CRE10661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10661 MTTYPVDNPVVSSTPFPVTVPSPTNPGFSINLNNNSNFNSNNFSLSSSSTGDTGCSSCNSSSGSLGLNQTISLNSSSSSNDTGTLTSNSSAISASNSSQNSQNSNSNSLSQNSSQFQNLSNSNSNNLTSHSLSGSNNSNSNNQVVNNYINVYGNNGSGAGGSGGGGGGAGADMPSGGCCCCCCRRHRDSEGSEERDHRGDLDSSEETEHPNFQDFIGVDGHRARRILPPSWWRRRSSYDRWPCRRELYLCGSPCSWSFNRNKRGFRCHGRPGCRLCSSSESSRSSSSELSESFESVSSEDSLEEWRRRGWNRKCGCARSLGCSGVCGCLRRTCECQPVSGRRCGCLGSRGCGCSRRGCYGCSGHRGYSGRCCQGFRCQFSNCCYGFCKRRRCRTTTTTSTTSTTTTTNQEPVTDSGPITLSTHEPTTTVETTSMATNEPTPTPITTTSESSTSTETATVSSTTSTEAITGNLMSIESNYIFMTDSEPMFSTMSPSATTMTTTNPEPVTEPTTSLSTHEPTATTVETTSMTTITTTTEPSTTTETTSTTGWTTTAGNLIDILESTYIFLTTSEQMFSTMSTSGTTTTSTTSIMESTTREEITEPTILTTFFSTTQHTTSLAMMTTESSTAFSTTVTSDPTTTLTIAEHTYATSATLVSVGPSTEPALTVTAGTPTSLSTLSSTRDFTTTYNFLTTSTRLIAMASSESSKETSSTEQIRLATETYSILS >CRE10645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:50698:52873:1 gene:WBGene00070726 transcript:CRE10645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10645 MLSTLNRNDALEFQEEKPLKLSPFLMAITKIAEEEGDFISEKVLKELEQMVTPDASLRSSMQYASLTGPGFEITDERAETIKTVITVIKGLAQAGGFISEKFGKYLGPIGTAAGVVKDIVEFFQDKKPDPVMKELGELKNQLTALSDKMSVHFNALESFMVKQEFYKNYTVAMKSMMSFMMDTTDGSKESVDLFKKIYDERRPQTLVYDMLAELELDDRNPLKLAMHGDNLQSKNTFKKWKDILEGALSQALFLEVYASGLFPEVGNYGTTKILEKIARYQQLVDQWDYHFWTNENFWPKGVEKLVNDVHDDRNLKTKDEKMEVLWKGIESIHTQFKFYAVVVPSEHIIRWYKHFEAQAIVSDRNGFTIMVYRHTGKVVRTMSWLYNYIGEHLHEERSDFMQFNNWQSVRNHFSDDNVEKIGKYTGTFVFIIAQSKSFIALKYSRFLGNKGPGVHTFDATLTTTGGFTIETFPVFKFLGY >CRE10660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:44392:49015:-1 gene:WBGene00070727 transcript:CRE10660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10660 MIVYSLLLLILYDFFPKTETFGTVCIGLCHCVGDVVDCSSLDLPDIPNSIPNNTRILLLSDNEIETIDKTRLKGFYFLQTLDLSNNIIRHIDSEFFLNLPNLRVLNLRKNRLPRIPHGSHQLDHLEKLDLRSNLISQISSEELSHLAAIRSVDLSRNLISYLPKPVTSNKVNIEKLDLASNSITDIGSESFSIFSSLISLKLARNHITSLRQFSFSRLRKLESIDLTRNMIREVRFLAFNQLPSLKNVSLAKNDVYRLDDGMFYACEGLSRLNLSTNRVQSVTEGWMFGLTSLEVLDLSYNQIQSFHTSSWSHTPKLKWLSLHSNRIQSLPSGSFRALRQLEELILSANSIDSLHKFALIGMDNLHKLDLSSNTLAVCVEDGAVLYNTSMPFLRSLRFTNNQLRVIPKRAFERFPALEELDLTDNPIATIHPEAFEPLELKKLTMNSSSILCDCQISWLATWIYRLKLDRSTITAKCSYPPPLADLDVIAIDTANLTCHDDSPRAKIVRQPVEVRALIGEQAKFMCNVYGASPLSIEWRVMENGQPRVLVQDSATFLSVNTTAVVNGTLDGRELAAAELVLDNVAMTDNSEYQCVARNRFGSDFSSHVKLLVYQPPKFTYIPSDMSILVGQNAKFLCAAGGTPRPEVKWAFERIPFPAAEARRLYVTQNDDHIYVMNVTMEDQGVYTCHATNIAGQVQASAKLRVFDNKFHHPDSPDSKTIKKGSPIKIDCSVDLQPEHQRIVWKHDHQAILFAKRARFSKHQQLLTVTQTTFSDSGEYSCELWVDDSMLMRKVVNVRVLSETEYDSTEGSLTQRAHEIRAMAAKWAENIKSSAGNGFYLMVSCSAFGFMILGVFCSISVCLIKYSCQRHPKSDPIKPVYV >CRE10644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:41434:43837:1 gene:WBGene00070728 transcript:CRE10644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ost-1 description:CRE-OST-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NES9] MRYALVACLLLLAATAFVDAKKKKVADDELGELLDNIDADEEKKSVEPAKNPCEDHQCGWGKECVVGKKGEPTCECISKCPELDGDPMDKVCANNNQTFTSLCDLYRERCLCKRKSKECEKATNAKVHLEYLGECKKLDECTEEHMAQFPERMADWLFQVMKELKKRRELHKLEWEELLSEAENDDEKKHVYPVIWKFCELDTKPHDKSVSHHELIPITAPVIPMESCIKPFLEGCDANNDGNISIKEWGKCLGLKEGEIQERC >CRE10643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:37211:38583:1 gene:WBGene00070729 transcript:CRE10643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10643 MNKTIRGLENVLERLTLKRNDIEENLLWRPIFEEIQQKAEKIRNSLGSPPNKMISVQVTPRKGNEDGRCGGSLISYPETHQNYIIHDIVEQANLFWQMVYEKRCPFIVMLSVNRSIPYFPLNEGEKILYNLYQVTCTKKIENDEYIVRVFSVVWIQRRKKSHTLTHIQCKSWGSQAPYLERFEEFFDYWNKCKTSNTDMRNAKRMYRRTWSIYAPVIQSNSGMGRVGCFLIMDVISDLLRNNMKHHYNIERMMLKLKTQLPLGISNLNEYKFVGDQIVWEIEKMSGQSYARLQVTNTMRTRKFGTRNVKRMIYSKNGLSNFEAIRRHRYIKQGGEIPKKVRNVTKKFISKSKEEFPARPSFVTRVWRILARFRNYFRRNEWSERDTSETAMIGVTVL >CRE10642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:35121:36497:1 gene:WBGene00070730 transcript:CRE10642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10642 MLHHLLLLSTLAVLVSTENSDCFLSRETGNSGCGEQGARSFYFHKNTRTCQPFFYQGCDGNGNRFPSKEACEGACRNATASDDLDYKVCASGAYPAGATSGQQVATTNCPHGYEVQEGQCCPTKEHTCSLQYDAGKFGSSGKHTPRYFFSKNYKNCMLFTFYGRDGNANNFATYNECKNFCM >CRE10659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:29112:32544:-1 gene:WBGene00070731 transcript:CRE10659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pat-6 description:CRE-PAT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NES6] MSTLGRSKTPSRDDSKKPSVFEKLSGTLSRKKKLPEEEQNGQGGGQHGGAEEDEVLELELEGREALDSSLVPVLARNIWLEEGETRRYLTKETARDQKLAQVVDLLIYWLNEELADQRIVVRHIQEDLFDGQIIQKLLEKLEQIRIEVPEVSQSEEGQRQKLQIVIQTVNRILGQPRDQEKWSADLIHQKDFTAIIQLLVLIALHYRAPVRFPDNVVANVVVAQKEHGQVKTHRITEQITTVQTELAPKGTRDAFDTLFDYGPDKLAHVKTSLLAFCNKHLNKINLEVSDLDTQFQDGVFLVLLVGLLEGYFVPLYHFNLQVHGHEEKVKNVSFAFKLMEDAGLEKPRSRVQDIANGDVKSTLRLLHLLFTKYKHI >CRE10641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:27731:28837:1 gene:WBGene00070732 transcript:CRE10641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-19 description:CRE-GRL-19 protein [Source:UniProtKB/TrEMBL;Acc:E3NES5] MLVKLLLLLSLCHMASSLFFPTVTPSGGGCGCGCGPPPPVCGGCGCGGRKKREIGHVKGHMVHRDDQEWNNQCNSQEFSEVILKHLEMSSLKSSREAIHKELDAAYPDSLFTVFCLKNSTASYQADSSRYCMEKTKDRSCYVFEF >CRE10658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:25551:26647:-1 gene:WBGene00070733 transcript:CRE10658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-9 description:CRE-DPY-9 protein [Source:UniProtKB/TrEMBL;Acc:E3NES4] MDSSIWRTSTIVGSVVSTFAVLTVIIGLPLMHNHVQKMTTLMLTDVELCKTETQDIWKQMKFSRLAPNRTKRQSPYGNYGASPAGSCCACTQGAPGPRGAPGDDGEPGRDGFPGREGDNGVAGKYLPAPPPGTNACQKCPPGAPGPPGLPGPKGPRGAAGFEGKPGRLGEDNRPGPPGPPGVRGEPGASGEKGPTGDRGKVLNGAPPGPTGPPGKVGPRGLPGGKGHDGKPGLGGQGGVRGQVGARGDAGNPGLPGPQGPKGEPGNPGTCHHCQARREPPAAPQQPPQDYATPAPAPESYPAPNGQYLWIH >CRE10640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:21245:25537:1 gene:WBGene00070734 transcript:CRE10640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10640 MPTFKLEEMDEDVPYGGDNDMYFRQWRIPSMKPVLDEKLDRDFLEAVTKKDELMRKRAERRQQHSQKFDKKFEDLVKAMSEASVDKKQKENVPFVRKATEMELKQRRDELEMEFASPSSTAKMVPFVARSRPRVNPVFGEKMVGMKNLVLTPPPPSATTPKEPNFSAHETVFYPQTHDSFNLDHYNGSRPSFAFSGIQGATSTPVSKGKVFSGGVIQEEDGDDSVFEHSKYVTAMESPIQKASVPISSQNPQKPAEKPIFPTPIAPEASKSQSSSLFAQKPAENDQNAAIDTSKPVGTSILGQKPIFSFPVAPEASKLAPDLLKPIGTSLYAPKPAENAQNSSRTSIFAQKPAPDLSKPVGTPLFAQKPAESAQNSAPDASKPVATSNFGQNPAGNTQNSSGTSIFGQKLLFSAPKASEAAEAASKPTGSQTTSIFAQKPGLEASKPVGASVFAPKPAENAQKPAPDLSKPVGTSIFGQKPLFSAPKAPESAEEASKPTGSQTALIFAQKPVTSALSSTPSTSEFWKPKVAQIPAVIPSIFGQKTTPESKKPPVTSILDPKPPRSGMFTSSTDQKPSVNLFGSNSGWGFPTKSALKSTSAETPPDSPKGSPRGSKNLSFAKEIATKPAENDAIFGQNEPFVPSIPAEKPAEKEAPEAPNRSITPPLRVPSRPFILFKNYIGLLKTLNEEKKTFETSSDPQFRSLVKRTITEKVTIFTERQTSSDGRAEILEFFKTMLQKKEVQGFAVIGKAPKFKLAKDEEVNYAVQCIVDKYISLAELDEELAPTISDLISRLSAVIRRVEKVFIVQMFNKSTLLRQNPEECLQKFVEHSTAENSDEKAIEWTQERALVTLFFTVFAKNAFISTKGRKMVLSDELLWKYVETTMAHVLEVPKASFVLLQLITTCKPRLHVDEDRFNEMLMTIETEVLPELDENPFDGDEAVISQLGQMVRHLSAGK >CRE10657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:17239:20008:-1 gene:WBGene00070735 transcript:CRE10657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10657 MTQMHQWAVEDCKALVAAIRTEKKSRESKTSFVCSYCRVLFRSVRHLVQHKRICASQPHLTNGEENEEDLFLDEMIVEGEEEVGYPDSAQEDSEKPSTSSSFDLVVPPHRQTARPVPPHHLPPERLSLSSIDKPLKFPLQSSGSSPSKFPLSGRHRGSHLKCPECTTTAESLEEFIAHCREKHESADRMFTVERRLFDSKEEFKKWFDQRQEDTCTSLTKRTGHGGETLYRCHRVGKYRSVAKSRKSNPRKIDQTCTAYLKVGINNKCI >CRE10639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:15658:17057:1 gene:WBGene00070736 transcript:CRE10639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10639 MPTFKLEEMDEDVPYGGDNDMYFRQWRIPSMKPVLDEKLDRDFLEAVTKKDELMRKRAERRQQHSQKFDKKFEDLVKAMSEASVDKKQKENVPFVRKATEMELKQRRDELEMEFASPSSTAKMVPFVARSRPRVNPVFGEKMVGMKNLVLTPPPPSATTPKEPNFSAHETVFYPQTHDSFNLDHYNGSRPSFAFSGIQGATSTPVSKGKVFSGGVIQEEDGDDSVFEHSKYVTAMESPIQKASVPISSQKSQKPAEKPLFSTQIAPEASKSQSSSLFAQKPAESDQNAAPDASKPVGTSILGQKPIFSFPVASEASKLAPDLLKPIGTSLSAPKPAENAQNSSGTSIFGQKLSIRWR >CRE10656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:11637:15241:-1 gene:WBGene00070737 transcript:CRE10656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10656 MAATQIEIESYVIDQIRCPECAQTCLQKNIYRHMTQMHQWAVEDCKALVAAIRTEKKSRESKTSFVCSYCRVLFRSVRHLVQHKRICASQPHLTNGEENEEDLFLDEMIVEGEEEVGYPDSAQEDSEKPSTSSSFDLAVPPHRQTARPIPPHHLPPERLSLSSIDKPLKFPLQSSGSSPSKFPLSGRHRGSHLKCPECTTTAESLEEFIAHCREKHESADRMFTVERRLFDSKEEFKKWFDQRQEDTCTSLTKRTGHGGETLYRCHRVGKYRSVAKSRKSNPRKIDQTCTAYLKVTTDETGNTWVNGCFTHIGHDLDHKLLWLTETQENYVRELIDLSWSSDQIFFYIRNEYRDYECKLKYVSKNDIRNITVRYNREKEKLGWGDRKPEKALIDANSENQEQEEDPKQAENCEETEENEVKSKIPRIEKPEDVGTKPVEHSEDVAKQPAEEYVKDDAERNPDEPPSGYVMEEIVEGEEVDPCTIVTEEEEYINVVDDDSMPELEKIN >CRE10638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:10739:11488:1 gene:WBGene00070738 transcript:CRE10638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-26 description:CRE-GRL-26 protein [Source:UniProtKB/TrEMBL;Acc:E3NER9] MYIPYLIYLIFLIDFSTGCAGLFGGGGGGGGCCCQSGCGRKKRSVDEEYQAAEFRGIASRNEDMMCNSPEMKSLIVANMKPTPQASSKSLQLALEDHDSHRYVVVCSDNLFHYSIKHDSAYCGARNGSHYCQAFAI >CRE10637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:7750:9175:1 gene:WBGene00070739 transcript:CRE10637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10637 MAKQNDNVNPGNNEEGSPPTKRRKIEELLEKQKNLEKSHLEMGEKLRLAEEEIKKISQELEEDTVEEKLTEETTSGLQNSVTSPEVFDENTAQTLARSGKCFVLKHVFTDVPDMNHGECYYGKEEEHFGVAWLDFKQKTKKKYINFRQAYLWKQDNKLNLYLECVKLLSGEKWLISSNAVFKLASKNGKFQSELISGTHGNADGNTKFVSYGASEFIEWNRVKEDFLEDGKLTVEIHVEIEKMIGIYKNDLISFDDEMKPFSDVVLVVNEKKFFVSKLHLAGLSPYFNSLLMGNFQESMKSEIKLTGIDADDFQNYLEVLYGEQSIDECTVEGILVVADMYDTPTVIRKCEGFLVKEAEKTLKKMLEMSIRYNLEALKKKCLGKINSIADIKSVVPGHIHDMDASIMATLL >CRE10634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:70:694:1 gene:WBGene00070740 transcript:CRE10634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10634 MPDIDEIIKYHKEVSSGKQISPANSVKQEELEEKKVKLEVVQEPPKKKIRVEEESEIKPIVQPTQHDKSPENLIHDRPEPSQNPLSEEDQISQMKHQILLGNMSTQQLEQYTAYRRSRFQKSTIRKLVKEFTGGLNVNDNVVITIGALAKMLVGDIVEEALDIRDLKEDEADLPLKPHHIRSAYMKVARQGQF >CRE23132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:118141:119181:-1 gene:WBGene00070742 transcript:CRE23132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23132 MPFNPALRNMTGKTIAGYHLTQIIGYGSYGATYKAISGSETICLKVSDKFRGYENEWKTMEKLKGIRGVPTLIMHNSNETLWVIGMSLEGPNLKTLQERNPTKSFQKSTLHKMLYQLVTILEEIHTRGIVHRDIKLNNIIVSHPRSNDKSVYLIICDYGQARQYRNEEGQRIMEPDDDYRLANRFHATPNVDMGEDHGPMDDVRQLSYAVLFASGYNDPEYLKNRTHRDKIKRELFRAPTGVLPECAQWMEYFFDAISENDDIDFPNYEEIKDSAPQVKSNVLGKNFITHQKRFQISQNMNQKTCLVFFYKPT >CRE23116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:110674:111452:1 gene:WBGene00070743 transcript:CRE23116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23116 METFKTALIIKIGDTENLESYLPIFLLGMPKYSTGRLLNTNNDLEPETHRRRRAAWTALNNIKNTTDALSCPKIRAQLFDTTVLPALTSGSETRTLTQALFERIRVTYAALERKLVGIILTQQREKYIYRKT >CRE23130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:104544:109125:-1 gene:WBGene00070744 transcript:CRE23130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23130 MTNRIECCPVDIALVSEQVVASVTSDSLTLFSTECSTVLETYRANSIVNVYADEDHIHMIGAKPLVYTVDVENSAVESKEISSLAMICCSDFLREENKLLLCSEDLSNKVVLLYDVNTHSVVNKFKTDREVTSVKFRSKNFEVMTAGKNGIWKIYDIRGSSQNPVSTQYDLGGQLRLQGHTGSVSYCLSHVNGANSLYTWKGQGRPQKRWEYSDREWFVGLLPGDNPDDTFAVHGFFKNAQAIITSTKNLPAHKTKYFHKYGTKDESGHFCMNELNKKTFVTACKTGIVVQSAEFSVPDYAKHTARLKDAVRKQKKRMFEDVEHKEMEKVRIRWETEAKKNEGLNVFDTHLSEESFEIGGTLGAGSFGVVFKATSKKTGKDFALKVMPNRCSVYTEKFVTERELLIQREVSHKNIVPMYAAFQTKLSVFFVYEIMNESLQDVLNKKKPMLLAVNESAWLTECVASGLCYIHKRGVLHRDLKHGTVCGSPGYIAPEVISRQKQTPALDCFSLGVILHRSCVGRTPFELPDGHVSDEYVTKCKYSPPVSMNLSVREVTTNLIKKSPSERWTAKQVLYSQLVTDFQHQREYNLQKLVRDNELLSSKDLNTCLNLPLTE >CRE23115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:94579:96300:1 gene:WBGene00070745 transcript:CRE23115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23115 MNNKTTFETSRAIGDASLKAGLSASAGISLCGNNRKTAIIQGTFQTSPAKEDSILEAEKRMQAFLAAKQAKSAGKKFPEPATGDMVRYTGTSTIQQTDRFPECDQKQPQVNKGENMDGVKFLSVGYANCFSVKNKLAQLELVTITNNFDIVCLTETKLDNTFPDSLLSLSNTFSVVRKDRNKHGGGVAILISKSIRFLPIEIPSSLLSSEIAGVDIMAGGVSIRIVVGYHPSHHSKLDGMISCLEFLLSTRKNCVILGDFNMPHISWPSLTASDSHCKKFLSFVTKNGLTQHIASPTRLKPDNILDLCFTNTDILRDVRVGDLFSDHKLIHATLSVKNRTKKVTKEVKLFRKADYASINCLLSNTDWVLRFSNLNADGMYENLLSLLHELIASYVPVKAINTLSKRHLAEILKLQKAKLNVWRKEGDSTNYKNKSADLKVALIKEERRVNDEKLTNGSVKDFLKFINSRYKDNQEIGTLKNDSGAPINCDSEKVKLFSDSFSKVFTEDNNVQPHFDKRTEELVSSPDFEPYIIEHTLSKLTPKLNTTPDGIPALFLKNVCTALALPLSIIFRE >CRE23129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:82702:85753:-1 gene:WBGene00070746 transcript:CRE23129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23129 MSQNNEMPGSPESKRHKPNTDVVEVDKTENNKIRKTGKRFVLKHTFKNILGLEVGKNVYSRKEEHFGVQWYFCIEHAPEDFLIVLYCSWPNSIGESTIKVFFEFKFGANTGDTTSKKFIATFRNNNGSGSNGFQMKWSKLESDFVEDGKFKVELNAEVLESTGLFGPRLRNFDETTKEVSDLILVVNGEKFYVSKFYLASQSDYFRALFLKGYSESSMPEIKLEGIDADDFQRFLELLYGEKVMNEITVEGILLIADMFNIPVAIRQCEDFLLDVSKKMLKEKFQMAIRYNLEKLKIMPKRNNKSLKNDEEGSSAPKNQKVGTESMENDKMECSEDCTQLLNGEQQEEK >CRE23111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:45517:46585:1 gene:WBGene00070747 transcript:CRE23111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23111 MSPSSFNPTPIIPSPMTAAKRQGMVLGLLKNKLPRGAIYSPGTIADLLHFGGRYGFDGVIGRKIMNLIRTHSQRLNIEEPIYNTMEAVLLQDSERAYHALRGEAEKVDGQIIYDELDHIDHHITCDFAEIMDIMRNEMRVASSWPLYLDTEGSYSELLNGSKLALITLFDVDSRTVYLFRVHRMSYEQLQTIQRELKTVANNRRIVSFGPETTIKCATSDIQRHPRLSLQAAADQIRVPISKSETMSNWCGAQLRDDQIQYAAMDAIVLHNINIGTALDWSYSPPRPSRTDISPRFFDPVAPTPTQMHKVAEIRFEMMEVVYGM >CRE23109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:43695:44328:1 gene:WBGene00070748 transcript:CRE23109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23109 MFRNHGYTIFGFELSPIAQDHNLIELVQQTNVAVRLKFSKKTPEGGLYAIIYGEFDNVMNMSWDRQVTIPTLTIRMEQLWGDEFLEDSSLWPEKSPAYAQPLEETQIPGTWKLLHSGPVEASGNVKVNVYLDGKITRIVYITV >CRE23126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:32495:36009:-1 gene:WBGene00070749 transcript:CRE23126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23126 MSRAKRYDQERKNSLGSQLIVLKCIRIRQYRYREFTRIHKLSGERTEKDNELLTAMEEDEVNTFDALLDLEFGKSEHKLGNFPAGYRVPRFDENKVQSMEHIDPYIEPSYQPPPPSTSTTTTTTTTTTTTTTMKPRTVPPPATTRSTSTTTTTRAPTTTRRPIVIPPTTTSTEKPVPYYEDIVPPENRNVYYEKPQSNPFHSPAQDIFMQTCANQQEATILFLTLLNIDPTAAIRQLFKRTDIAARKAGQGLLVSQCRPVEPEEIYWNRRINDTCFDLVPMLVEGKIWFLLEGTDDLVADSGSIECKRPLVTGKVHVENGNWKNEQGTEIWVQTLHRPIRRTANQFLFQAPAVIGNDLLGPGTSSAANEELNKIYRRRVNNITFRLLEENIVKGKDYITTKVRVHKDKVANTLDELWKNTGKRVFAAIKHVIFNVWTFTLLVIAPLLLVVILVIILYCYCKFRLSRSAASATANRLIEMATRQLRGVNHVDYDGGHRVYIAQDINEEYPIPGVYSVLHRKNRGHLPVIQIEINGRNVHALLDTGAGISYLPVSQISPEELDVGKEQQARAANVDQTLLVSHDDDCPSEILLGVDFIRNMNKMGHPVSFDMLKKEVQIGTEISLVCNVELAPEREEIKVAVCHNCTVNPTSEAIIPVKLVNYRKEFGTEFMIADNKKESEQIYAIARSVVFTDQEGKALLQLVNPSATPIKLFAGQALATATIYEQIWEDSYTPPEADWTAKLPLMPQPTPPDYKPSNEIDLKNSIFTEKQKSTLRNIIDRHPKAFVSPDGVLRCYNGTIRHRIDFVKDAKMPAPRNYRVPLERRREVEKQVREMESQGVTCLPPSPLADPIVMVGKSERIKNTKTHERVKENGAGKDIVSGEGKAEEDKAEDQPKEEKSEAPTQSNPKQESTQTGCEPMAWEDELEKEGMEEVEE >CRE23123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:26862:27977:-1 gene:WBGene00070750 transcript:CRE23123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23123 MKLVRKYHMIPYEEGAPLESGRRFLEKILNDKSLDEVAKCRFFQDILYKIKHNMHLAIVNSDMFDIVRENFQRHTKTPKPTPYSSPKYKPNNDDYPNDDDDDDDDLDNLIWDNYGMPDYSPPESYHPPSRHSSPLPPPPPPPPPPGPPPVLAPAPRGERVGGKMSKPGSHHRGVSEFQNIVRLAAERFVDRRNRQNIPMEVEEEIKEELPSKPGTHINRRDSKPETQQKHKREKSVAAERKQVNKIIAENTRGVPKVLQTSGSSNLGVKLEPHIKQERNIKQEPNIKQERNIKQERNIKQEPIKKEIKQEIKKEIKKEIKQEIKQEPVKRKIKQEPPERKIKTENKPGFRVKEEPSSGFRRRTKGEGVAPK >CRE15223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1867:4186:5760:-1 gene:WBGene00070751 transcript:CRE15223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15223 MSNIKLIRTKGYNSRMNGAVERFNRTIQTVLKKITVIPAEWDEKLPYAVFAYNSCRHDATGESPHYLMYGRDARIPMKADAEELVGRYQVDTDEYKFRHAEQMNSAQEEARAHIKREQEDAKRYFDKKHGVHKIRYPVVGDRVLIKSPSEKIGTKNAKLRNEWQGMYRVLKTTENSAEVIPIVGGKETIWVPWEHVRKVPIEVPEMSVKAKTRRGKRGVRESGESGVHEIRNIEISVENMNSFRNFVINGCDCKFGPCHVKLDEQSCRTLEEAANLLVMRNKQIRGADGHMMMHSAMVRKEVGEPEKVEALKMFAKECAMVAKAIMNSTIQEKEWKAAAEEVKKEVEERLKPKKTVIREPEILIEPRMGIKGKGLLEMREANADGWVDKYDFEQVQTAVFLLTLTTDEEKNKRTGDVIDKLAREVKELVVCPFRMDCTFAEVPLVTETWKRTLMTSANAIWIEPMKSVGAKQMPMITTVPERFKTAKELADFLEAVMPSGGIVEMLKKDLEKEPPSKRSRPSHQ >CRE23121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:19600:22236:-1 gene:WBGene00070752 transcript:CRE23121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23121 MVARPTRFIGVEVYSLVHRNFILPAPAIRLVPDKRRTPMVIRHQVSFGGGTTSVRRRSFAEVVIGASRPQFRAFSGDAKRTGDPMQFREESIALVSSIREGSSVLVSTIREESDVLVREGSDNWHSPICEKSCEMDPWHRDESRGLDTPYSINTEVRSSVSSGIGKQVSPVVTATICEGSDTDAVASDNVKGCYENILTELCSNSETHPRVMTISLVDDAVIVSKQEAESDHVTSSGNEDRKQCSHMHSTPHVMMISLEDDVRLSRYSGSDNEDLAEFVRSFEDKFAITGKDDNVKGKFFLAYLKEDARDTVQEVLDNNKNSTFDQLVESLKKRFMNPALNDRFKQQLRSRTKRTGETVEEFYRGVTRLVKRIHNSTSSAVAKDAILDQFLYGLDDNIMKMHVKLSKPKTPQDALETALSVEGVMTITKHTDVLSNPRVLAAIAGKVPNRDNSLRESDDVRRSSVSSQQCYYCQEEGHYAWQCPEKARRHHQPGSSRAQVGCIHVNAKQRLERLTDQEHEGNFRSECYTLQCRYENAQKGPSCNAEYKDFGAPASIISASIPIEANDYACLALVDTGATITLTSGVMCSRLGLPEPEAPLKKTVIGIGNASVKIAGSRVITFTIGSYRINHRVHITAEPLGDYDFLLGIDLLFRLPNIGFDLREAKMSIGKDVLPLGERAKCQECQRRSLENKTLKVQKSSWSEEAHEGTYTDFVIVDSWAEEMESSQVQEAVESKPSKLTKRPKLQSTPRRKGTCHYCKEEGHFARECHKKAKLVASKKDQRPNPPTILDRGEDISSSHTQLAQEIETLRKQVEELLVQNRKLISERFTSCEHTRAAPQQSTEVEKTEVEVEKTEEEQNVTSSSHCDQEQKDRQSSM >CRE30238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig329:7509:9152:-1 gene:WBGene00070753 transcript:CRE30238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30238 MQAIPADRGSTYKRKGIEGGDRGIIDNDVKLDDIVIKEELGSGTFGQVRVCESKKSGKLFAVKILPNTKAIIAEKHLLEKEIAIQVKLLHENVVQLITSLDTPSNLYIIFELMKCSLREKMEQVEVFNEMTTFSIMSDLSAALDFCHSENVIHRDIKPENCLYGSDGLWKLADFGISISTKGLTKVGTERYQPPEILDGKPHSFSVDIWCLGCLYYECLEGITPFPQSSTKAMIDAIMSGKLRRNTYMSEESLMFAKEMLTVDPKMRLSALAVSRHPWMKKQRKEIVAIMRSKLRKNPLLPCGYITHSL >CRE23105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:695:1636:1 gene:WBGene00070754 transcript:CRE23105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23105 MTSKRDLARGILWTTFLQDDHLLAALRDDSKIDVLPNSLTDLADRHIVEREILIQAEMQHKNVVSLIPTFATSAQIHIIMELMSHSLRQKMISEGALCEKDASWILHDATNGIAFCHLHGVLHRDLKPENITISDHGTAKITDFGLSTNTKGLTACGTEQYKAPEIWAHEEQTTSVDMWSLGCIMFEALTKRLTFPQAKTSDMIAAIDSAKVSYPHSLSNVSKDLIQKLIVRKAGSRLTASQVRHT >CRE14277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:6229:8983:1 gene:WBGene00070756 transcript:CRE14277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14277 MSLASKPADLMDLDKEDDEVFLPKESTSGEIDQYAIQFYAKKCEQYHEDPKAFAAFLLNVAGNLRMISYACSPNENTDRKKLVSKKGKDQIIHKNPLVLARRGLIRYFISELKKLESGNSDVFDKNREGEIQMKMTCQLYMYSTYSYICENVYWGMEEAKGHDNNHCIMNKIRRWVSLGVQGALLSNIINPIYITKIVLGSTPNIPILDLRALLFGTMNFQDRECPRMEAIPRDSPNLVLTRTYVWYLGLSNLDIIGADGRLEPEGKGSTICKQEIFKAYLKLGAANKSALKYSRAKKKAGHYQYMKKLLYKKWETENNGKWLRKKSNKADKFWVELKRL >CRE14290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:24539:41700:-1 gene:WBGene00070757 transcript:CRE14290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sem-4 description:CRE-SEM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3NBU3] MNELLAEMAAVSSRRKQPKPRRMSGEGDAMMSPIDLSTKGFDENNEKCGAATPLEDRSNILPHFPVPFTSPQQFLSLCAQLGNNSSSSRNVSSTASTTTSSCPIQSCSQSFTSAAALTWHVLDAHEDEQEIFSCDGCGTTFSNGQDIREHKCSKSVTSRSSSVPPSTLPSSVCFLSTPTTPCPQFSINESIGTSSENREEEEEEEEMDTEDQEQEAANQFFGQLLQKSDDRSKISSLFNNSMPPFASFPNMPPHFLMRQPFDPRTDIFSSRHENDDDWEALMEISTSDEAEKIRALVGDKAVPTTDPNQCILCRRVLSCKSALQMHYRTHTGERPFKCKICQRAFTTKGNLKTHMGVHRSKHSFRGLPISLPPHLAAAHQMPPRLQLQNPPTSVAAAVAQIQAQAGGQQCPICQQRFMNASEMAVHIAEHRNSLTQPPRGMPTPQQTRVQTFPFVPFFTPPSLNATDMSTQFNLANILSAQLKNDSSPNTDTSSVIEEKITRDDPPPKMASLSPSNSSESSSSVRQETLEEEEKLHLKKLDDTPPILEQQVSPSPTPTGGVKNENPLLAMQKMWAETEPPPPRAIPVLSKHQCGVCFKHFSSSSALQIHMRTHTGDKPFKCEMCGRAFTTRGNLKVHMGTHSWQQSPSRRGRRIFDVASSGGAGVEKPMMPMMGGGANGGGPSPLAMLGPNGLSGLEMMMMLWRTVCSVCQKVCQSPNELEQHLKEHLNNGSNAGGQTALPATPPPS >CRE29387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2049:1:2086:-1 gene:WBGene00070758 transcript:CRE29387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29387 MMNETSKTNGINGETISENEEEEEEKEGNDDRQHSSSFLRLLNAPRNSVCNANTIHSISSFRSDHLSRKSTHKLLDNPNLFAIELTEKLSPPWIENTFEKRECVRFTAQSKDAERCGCGRSLSAHSSASRLFYTLPYHLLEKEQEVWTIANNTQTSTTDAFGTIVFQGGAHAHKAQYVRLSYDSEPLDVMYLMEKVWGLEAPRLVITVHGGMSNFELQERLGRLFRKGMLKAAQTTGAWIITSGLDSGVVRHVAKALDEAGISARMRSQIVTIGIAPWGVIKRKERLIRKNEHVYYDVHSLSVNANVGILNDRHSYFLLADNGTVGRFGADLHLRQNLENHIATYGCNGRKVPVVCTLLEGGISSINAIHDYVTMKPDIPAIICDGSGRAADLISFAARYINPDGTFAAEVGEKLQNLIKMVFPESDQDGILSKITECVLHDDLLRIFRYGEEEEEDVDFVILSTVLQKQNLPPDEQLALTLSWNR >CRE14291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:54646:72536:-1 gene:WBGene00070759 transcript:CRE14291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubql-1 MSEESALIKVFVKSPTQKYEVEIAPDATVSDLKDKVLVLVPTANKEQICIIYTGKILKDEETLSHNKIGDGHTVHLVIRNQNRPAAATASPAPPAATTTTTAPSSTPSATPSSTGVPPTTPPPNPFGLFGASGGAAPTPAEILANPNLLRNVTENPIVQSLMGNPEFMRTIISSNPTFQQMIERNPELGHIINDPNMMRQTMEMMRNPNMMNEMMRNHDQAIRNLQGLPGGEAALERLYTDVQEPLMNSAASSLGGNPFASLRSDQQQPRVDRAGQENNEALPNPWASNNSQSSNNAPSNNRSNDFSSMMDSPGMSSLMEQMMSNPSIQASMFSPEVIDSIRQNMSSNPALIDSIIGSIPSARDNPQISEGIRRSFPQMLNMMTDPSVLAAMRNPAVAEAFRNIQEGFNVLRREAPQLLNMFQAGGAASGLDSLFSGAGGAGGAAGAPGGGANIADLLNGLNMGGGVPAVPLNPEQAYASQLEQLQSMGFSDRARNLAALTATLGDLNGAVERLLNSP >CRE14280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:74003:77900:1 gene:WBGene00070760 transcript:CRE14280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdi-3 MSWIQAALVASFLAFASAGGAVLEYTDGNFDDLIQTHDIALVKFYAPWCGHCKKIAPEYEKAAPKLASNDPPVALVKVDCTTEKTVCDKFGVKGFPTLKIFRNGVPAQDYDGPRDADGIVKFMRGQSGPSSKELKTVAEFEKFTGGDENVVVGFFESESKLKDSFLKVADTERDRFAFAHTSNKDIIKKAGYSDDIAVFVPKKLHNKFDSNEFKYDGNYDTDKIKNFLTHETVGLAGIRTQGNLFQFEQKPIVVVYYNVDYVKDPKGSNYWRNRVLKVAQNYKRKVQFAVSNKEEFSSEIETNGLGERKDSDKPIVAMLTNEGKFPMDQEFSVENLQQFVDEVLAGNSEPYMKSEPIPEEQGDVKVAVGKNFKQLIMDSDKDVLIEFYAPWCGHCKSLAPKYDELAEKLNKEDVIIAKMDATANDVPPLFEVRGFPTLFWLPKNSKSNPIPYNGGREVKDFVNFISKHSTDGLKGFNRDGKKKKHTEL >CRE14281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:78633:106473:1 gene:WBGene00070761 transcript:CRE14281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chd-1 description:CRE-CUTL-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NBU6] MWNPSESSSASDGESEEKPDDEPVNLNPTTTTVNSGSEDKEDEDDSEFEEETKGEKSSSSSDDSEESSEDNTTSSEEPTAPKKKTISSSRGMDEKTRKLLEEENYFRRSQRSKRQESATPSDKSESDSESTDDEWEKKNKKRVVKKTTVTKKVTVQQSVIKRKCQGKGNVNYAEKNSDDDIDDDDVLEWDEGPAEPVEGPPVITETVEKVIKWRMGIPGATGSPTTCYNVTEKGDPNETTGGAHKTEQQFFIKWTGWSHLHNTWESEGSLGVMNAKGIKKVQNYLKKQKEVEQWKRSADKEYIEFYEXXXXDKEYIEFYECEQQMAEELCEEYKKVERVVAHQTSRDKTPDGSFATEYLIKWSGLPYSDCTWEDEKMIEEEMIRGYYWRVDNLKSPNKNANVLRKRPKFEKLDAQPDYLQTAGDHKLRDYQLEGLNWMIYAWCKGNSSILADEMGLGKTIQSISLLSSLFHRYDLAGPYLVVVPLSTMAAWQKEFAQWAPNINLVVYMGDAVSRDMVRIRQYEWYVGGTKKMKINAILTTYEILLKDKAFLSSVDWAALLVDEAHRLKNDESLLYKCLIQFRFNHKLLITGTPLQNSLKELWALLHFIMPEKFDCWEEFETAHNESNHKGISALHKKLEPFLLRRVKKDVEKSLPPKTEQILRVDMTAHQKQFYKWILTKNYRELSKGVKGSINGFVNLVMELKKCCNHASLTRQYDHIYDDAQARLQQLLKSSGKLILLDKLLCRLKDKGHRVLIFSQMVMMLDILQEYLQLRRFPSQRLDGSMRADLRKQALDHYNAPGSTDFAFLLSTRAGGLGINLATADTLISYIPKRVRADWCDDVGLRPYIEKKLEEIAQDAELEEHSTEELMKLVESLTEACRKAGDEFDKETTTTTTTVEGGSGGGTVEKKDAERKFKFHTCDVNLKQIERSHAELKPLHDALKSDANKTSFKPPKHAKPQKGWDVDWQWVDDGALLWGVWKYGYGSWEAIKMDPTLGLADKIFIKDKTKKPQGKNLQQRVDYLLKLMGKGNKTVTSSKERKRKAEEPSPAVGAPEKKKKHTNHVASTPETSEKKKKEKREERNHSSLKDQLALLTIDKSLYGGALEDSSAKPFLECVKLCMPVHKYMKKLKEAQESKNQADEAKYLTRLGDSFLDNLETLIKKKPKTNIRKWYNYLWIFLCKFTLREPGEMADRYRSITSDKHKNHHHHHHHHHHSKNHGEKDQKDQKNRGEKRKDHGEGTSSGSRDHQKVDRLPIFILKRIILRPAHGPDSARSRPGLGPVAKMLLRLGLLICCTTLLETVIGQTKVINYIDNSIIGTPKVICAENDLALDIVTSKPFRGNIFVKGRAKDKSCRQSYANNGTNSYSLPLGKCGMQRLRSANPRGVNFMVTVIVSFHPAGFITKNDRAFHVKCFYMEPDEIVTQNIDVSMIPTTELSDSMQMPKCEYSVRRDGPNGPTLTYANVGDTVFHVWECTPADMGMLVKKCFVTDGDGEDHAVVDFDGCATDPFLLSELSYDASLMRAHASSQVFKYADSNQLYFTCQIRLCQKQMGMCQEVTPPSCGVKKFLESVDDAGNRTKRESPSDKSDYEIDVATSELLVLDPADRGLLAPSPFCVPRLLLPVLPLLLITIVSLTVVSTALVIRRQNHKKELDVLQSSRYY >CRE14292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:107055:111216:-1 gene:WBGene00070763 transcript:CRE14292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tofu-5 MSEDLPDDIAGSSLEFELAGEEEVEEPSPLDRILNDGRSFNGGNWTSEELYSLLDGVIKYGTKPVAINYIHRNMVKKRTCEEIKWVELSGIDDFSRKNRKNPNFRVKIDEIREIIKEHKEITLPEEYKKKWIKMGYRNIVPPEDSDVDPNENWSHIMGQVITHHQSSIMKHFNPMRDVMEKVFEQFALESKMVEDIRVTDMHTARATPSDTQNLRWPLIYQFMKACSVLEEQMPALNELEAAVVLRVLDSIEDEAATIPDAEKAILTGLFTECQMGDYRLVEQDYPPNLLSTTQLFVDPLRTRFHGIPEVGAEVELDEHNQNARAAADDEPSTSDSIP >CRE14282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:111628:113607:1 gene:WBGene00070764 transcript:CRE14282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nuo-6 MKLALRLLRPAANQNIKTPKLWQDPVLGYFETHGKTEFLPGHEYNLSDEEKKAVLWRYRVKEILKKEYLRREYDPHSFKYKEGVTMDPAMFRWYSADMTQAEFFRFTPRTVFLYVGTVFALFYIYTRLMFVPMDKSNEACLDGKILWWDRQQGRTLQTGGSGLFAPTIGIDF >CRE29135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:107787:108967:-1 gene:WBGene00070766 transcript:CRE29135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29135 MAEHRHLACDYTANWLTIKASNATIFEKRSTENSSPTLSRRGVRRRMWILSDVRHSVPQELISSKSLKVRKNAVATNTTVPQQFFKKFECAEPVYVKYCHDEVKAFEDCLRIRAQSKREVTMEEAEAVDKNERIKADR >CRE29108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:116456:120610:1 gene:WBGene00070767 transcript:CRE29108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29108 MPDPKDTTNGDQAMAMADLTRQISSLLEAFSKMAQATGASTGSSSVSNTSIQLVESLSTRIPMFAYEPDEDRTFDSWYSRYEDIITKDGSSLTEDAKARLVLSKLNAKEYSHFANRILPTLPNALGFTDLIQKLKETFKSTSSIFRKRQDFLRTEYNGSAIEEYTGLVLRRFTSSEFKKMSDDQICCMVWINGLRDNSYSDIRTKALQVMEAKPNCTLLELEQDIKRLLDVRADSKSVSKVEAVSNEINAIHSAIKRDKSQKAPPSPCYKCGGSHWAKECNKKVTCSTCNKNGHIAKFCRSKQRDSSKVHKKVRSVVISKASTSGSSRIYRIISINGKKIQMQLDTGADVTLLSTKDWNRLGRPQLQAPSIKVKSANHQPIAVKGSFQCNFIINGNSATGQAHVAETGTLLGTDWIAKDTKLWQLLNNNQVNAVRSDVGSACDYLDGSREQLKIDLKKEFEPVFQPGLGLCTKTKASLLPKPDAQPVFRKARPVPYAALTTVSDELDRLQQAGVISPVDHSEWAAPIVLVKKKNGSLRMCADFSTGLNDAIEQHQHPLPTADDIFSTLNGGKYFSQIDLAEAYLQIEIDEQAKQMLCINTHRGLYRYNRLPFGVKSAPGSFQQIMDSMTSGLDGVAAYLDDIIITGSSVAEHNQRLKTVMSRIQDFGLRVRIEKCTFLSPKITFLGFIIDKDGRRPDPEKVSAIRHMPVPQNESQVRSFLGLIQFYGSFVKELFKLRPPLDALTKKDVEFKWTSECQNAFDRIKQILHSDLLLTHYDPKLPIIVAADASQYGIGAVISHQFPDGSEKAIYHISKALTAPQRNYSQIEKEAFGLITAVTKFHRFIHGRHFTLRTDHKPLLSIFGEKKGIPVYSANRLQRWAIILLNYDFNIEYINTHDFGQADALSRLISEQIQQKECEDRVIAQIESEVVTNLVSTCEQLPVTADMVRSYSRKDKLLADVFQYTISISWPKIIEKNSQISLFHNRRDQLSIVSDCLMFNDRVVIPTSLRTRVLKMLHRAHPGIVRMKQLARTLVYWPSIDKDIEKIVRSCDQCAAVAKDPVKNTLCSWPISTAPWQRVHIDYAGPIDGTYFLVIVDAYSKWPEVIPTTSITSTATINILRKLFAQFGDPETLVSDNGTQFTSTQFDEFCKQRGIRHTRSPPFHPQSNGQAERFVDTLKRALGKLKGEGTTDTALYLFLQSYRSTPCTASLNGSTPAENFIGRKIRTYLDQLLPNDQLTVSHDTEMEEQFKKQHGARPRNFQKEEKVYVKDYRNINTASWIPGTIQSRIGKTLYKVFVNDTTWIRHSNQLRRRDDPIKDVPLDTLDLMDFMPSSTTHPDSSNSATPKVKTPVKPRTRSPPPLRKSTRNVKSPSKFIVKPSLKSYR >CRE29139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:131563:138832:-1 gene:WBGene00070768 transcript:CRE29139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29139 MTNYEPVLKIGHNCSVENSKMTTKIYLSQIKIKTELPLKVFGNFPAKSKTNSRNDGLYQSQRILMFPENSNMAVLLMTELQNHQDCKRTQEIRKHQEQMAFQKGQDTQDFKGDAGIPGLLGLNGPKGEPGVPLIENHLNTQDNQDKKIFENFLERKENLDSQDFQDNEDNKFQKQPDGQDHHVFKKRTDSQNFQDKRDNLDLTDFQEQQDLRGELERPRQPGKKFFQDFAERGESGALVLREMDSKLLQYEQRLFQDLMSQDSQQRDPRSNEIPEKNVEWTIFQAYQDSRENWEKPDLWDKRVTFRNQERKWFSTNKMRTRDCEVARSSTIRWKERRLRFSRSSRKRHAPKRRLRKERTTRNSRTRTTVMRFATTARIFRIFRNHDRKRPFRPEAKGESEVPGYTREKRGGGLPGKDVLRLQPPRPPGLQNVVKPGEKQTPGLPGASALRGEKECRDSINHYEMTDHQDFHDSGQSGQTEIRRAPDLPKALETDSFLSSSLQPPRCQDVRGGGVTHIPCCKLKETSNPITETSNTMNPASKDIPPCHFCDMTSITSATTPRKITNRTGSQ >CRE29141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:143534:144330:-1 gene:WBGene00070769 transcript:CRE29141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29141 MKLQLLLLLALALLIEPVHPYRARAIESNNRLLKKPDGAQKVEFLGSLSCPLNVVWCVQLMYIEEDIHIHNVVKHLPFVCSNGSRTLTNYAFLDYHGTDSLTFDDAFEPAVILWHDCSRNNNTYRYHHKHWRQGHHINCSSYTYNLNLFGLGEAIDLDDVYNKPWNERSRRRTIYGKTVWAPPGFYDMPAPWTVSPAKFPTEKCLNMDSNQ >CRE29144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:164597:165642:-1 gene:WBGene00070770 transcript:CRE29144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29144 MSLTSSAFRNMIKQVRFHCLNKSRIIIQDNSFDNRRFLIIPEEGPYRRFGSITIRASKAPEPKEAPKRQKGKRSIAVINGVKMTLISDFQDDSCGYLYTEGGTENYMSAMKICVDTFDCIFERLFIDFSKQDFEQYKPEIAIADEWQHVTFYYERVSNEEIYRFCENLKVTKSFDFHLSFQPNFELPPLFRSYIENIQLRGDWLNGEVLCSLNCQNVTLYDTELNARELLKLVTNWYNSDKKVLRNVEIYRNEKKFARLDLKAFNPTKFKVDDRKSEEEEDWAEIHSKYGYDIKRKDGMIASICQDGHNFQFFVWHCTFTDMKS >CRE29113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:171472:173540:1 gene:WBGene00070771 transcript:CRE29113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29113 MNIDAPNMLAGYLMEYNATHAIVFNTKELILKRGLLTHEPIPLQLATWYDFRHLKQPRQNGEQSRLENFEFFVGRQNTEVYARSWAVSPGEELPMEVREKYKGKVWAPYFGLLNDTNGMFERKFGKGGIGSIVVRYVNRSNEVFELEQVDDRQYNFQAPNRPAPWNQPALSNYYDAFPSRLDKVCARSCARFALCVCDGAVNYAQNKNHHGSTEACARLVSSSLGVIRSCYEAEIGNWYQHSVNDQKESKHNLYMRSNAYNLQQIEPPLPTEVVDCGNDVEVTATFIFDHNHFEEEWSHEITDWEERKTGIQPKVIFYNVYLGKVRIPKHLAIQVIKLVESLQRDCYERLKTDPITVIVKVRLFDNYLKRNNKNPGNELYVVTSVVDVEYLE >CRE29146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:184059:186984:-1 gene:WBGene00070772 transcript:CRE29146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29146 MSIEGARLMEKGDVTRGRVTEAKSSKLPNVQVGEWIEGEFTQKELADALKKTKDTAPGVDGLKYHHLSWFDPDSRLLALLYNECRVHRRIPAHWKEAETILLYKGGDELKPDNWRPISLMPTIYKLYSSLWNRRIRSVNGVLSKCQRGFQEREGCNESIGILRTAIDVAKGKKRDLSVAWLDLTNAFGSVPHELIEHTLIRNGFPEVVVHVVKDMYKGASIRVKSKTEKSEQIQIKSGVKQGDPISPTLFNMCLENVIRRHLDTAAGHKCLNTKIKVLAFADDMAILSESQDQLQRELTKLDRDCTPLNLIFKPAKCASLVLEKGKVNAAAVINLKGVPIRNMACSDTYKYLGIQTGVETRTSEMDLIESVVKEFELLVRDEELTLPQKLDCIKSFMLPKMTYMYGNSIPKLTELKYFAGETMKAVKSIHEIPHSGSPVEYCQLPISKGGLGVACPKITALITYLVSTMKKLWSDDEYIKKLYSDYLKEVVVAETGRQDVTLNDMAAYLSNETPSKKKNFGYTTFTRIREVCRGLSSIKDAPLHKIKIVEHEGKLAILVQAIEDGPEAVYTESHVKKLQLLLKKEANTGILHRFITQKPVKSQVVQVVLQHPQSNSFVRNGGQMSFSAHKIVHKARLNMLVCNANTWDATSTKQCRRCVKEKETQMHILQVCTYNKSGLITERHNAVHNKVSELIKKGSKRNWKLVDDSVIAGPSVKRPDIMLRSPDGKEIILADVTCPYEYLEGIQRAWDHKVEKYTKAYKYLEARGIKVTVLPIVVGSLGTWWKPTTNSLLQLGIDRKTINEWIPKLCAATAEYSKNIYWRHIKGDRYQSIPMKFGLDKPPGNSWKRGKRRKPPKPAKN >CRE29148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:195579:201779:-1 gene:WBGene00070773 transcript:CRE29148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29148 MSLAGKKAALTKAVKGLEEKLTATTTQLDFIEDKTTEETLPYKEDLQLLLTTIETKSDNLDKALNNFEVEVDKIPPANEEATKDAETRIAEALDVREDAIDSLIRLRHQLNRISSLTTQQASREDSRTLPIQPNNIPAPNPPQQFGFREYLIENTRISKFKGNVWEFEAFWTQFEELIHKSEQPDLFKFNKLLNLLEGEPRELIARFKITGDNYNKAIALLKKRYNDQEQIVSQLTAQLKKETATSGHTTDQRKLFEKILITTNQLKDYQENVDTRMMKDEIVSKFAHRIQEDVYKKKLDSPGEWTLDKILEDLENVIIREESLNMLLKKEEKTKNMDNSTQKQQKSKDNKRDNKTPFRKNDDPCIFCKEKGHFFGHCPTKPNPMDRLQILKTEARCTRCTKTGHTPKDCKSKMCPVCNKDHHSSCCFEKHKEALPPKTFKKQDQKKSSSSSTTTAAMALQGDNTVCEMDNSDNKPDEIHTIASAKTRGTNRGFIPTIVTKAYNHSTGQWEGITVMLDSGSDQTFITRNLLNRWNLPNLGEVKVDANAFDSACQQKQFGRSRIQLRLKDTRIQMDVYVADSLVGHISKAPLTHRDMQFLLKEKLELNEDSLRTTSEPDMILGTDYWMEIVTGQLIQMPSGIGLIKTKDGFATMGSITSTDKSCHTNFEKDKVIVMALKSNPHDPERETEDEQMRDTLMKKPSEFSGSLKEEQSERDKKTIQFFEDTVEKRDEGYFVRIPYKEEHPPLPDNFSIALARLTQMRRQHSTENLQMIKDVFEDYKAKKFIEEVNVYEETPNKLHYNALQAVITPSKTTTKCRIVVDASAHYKDKPCLNDCIEQGPTILPDIQDMIIRFRSGQTVLISDVEKAFLQVFLHEDDRDVTRVLWFKDINKPVNEDNIIVYRFTRVLFGLNVSPFLLGQTIIHHLRSLKDDPIIREMPHNLYVDNSIITADENAENVIQIYKKVKKNFKDANMNLREFRSNCKTVNDGIAEEDKSKEEDMKVLGIWWTSSEDTITMDTTFDLALTNSRRTVSSDIASKFDPMGYLTPLLLLPKLFQRELWDTTQYGWATKLSEQHEDEYRKLIQDINGFTIKMPREIVLKTGKNSIITFCDASKEATACCVYVKNDKGTHIILGKSHVRPLKEKWTIPKLEMHALLLGTEKTIKVVKALQIGQTTIDQVVIMSDSTIALAWIKSLPTQKEVGTLIHNRLRDIVSLVDEMETMVTTVKFGHVRTHENPADLGTRGCTKEEFENSIWWKGPTFIQTDIHTWSPEHQLFQVERPGQIHTAALVSKESKPLLNSQATNSFQKMIRIALRVLKAAKIFSKPLGSERFSSVKDITLKDIANRVELKTAETLVIKDHQKGISYKTLQQYGNLGISPNKDNILVAKGRMELAGLEENARNPIFILPNSQLAKQIIADCHGSFHKTMEHTMDSVRRRFWIPKLRQQTKSFIARCIPCQRNSKQPCRYPDMGRIPRDRVNKQRPFGSTGLDNFGPIQYRKDDGTLANAYGTIFTCTTTRLIHVETVKNASALEFIQAFRKFVAIRGRPTKIVSDNGTNFVLGQKIIEEAFERSDCPPDMHKIDWKFITPYAPWKGGVYERMVKSVKEAFYKAVGRSKLTFEELTTVLYEATASINQRPLTKLEDDINAETPIRPCDFINQEMEIRLPLEGALDIKEDFRPATELQSKESMLNTVEALKSSIKASERVWKVWNSKYLAEMREGHKLRMDKKRGSPKPPKVGQLVLMCEELQPRNVWKMAKILRLNESSDGVVRDVDILTPNGRTLNRAINLIVPLELDEEDKEDETEHPSPQLDKPKEDPEKSSDNKKRYKLRSRKVVNYNEEEPVNNFVFSSGTKLDLILDMLVPERGGASSPIGNDSHSPRPYPDSPLIVSNVPSPDNYVEIVEEPIVHGSDNDSHQDTDNTLHHEPDNDAHHEPDNNVPNEPDNTPRAGKLQDQDDVHKRRRSSKRQNRKSDKEEKSTKRFKEDNRLRCVFCGHGHYSSDCQRYKTYEERVRRGGPNMCRKCLGIMGTNGHECRRRTKPCHHCRSPAHHTAFCKIQEKIRGPE >CRE29115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:212165:214870:1 gene:WBGene00070774 transcript:CRE29115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29115 MSNNNHQGIRPPAQQGDLSDRSETSDVSRQNDAAKKTNNVPDAPTFKVPVAAPNARQRSQGGSTSSALRGSAASFQGGSSASAQKGAVDAKKSRKRVSEADLLKSMDFGPKEGGTLANVELGLAGKRPRAASVVSNQGDHQRYGHQVDEEETDDEDDEEYTESASQPMKKKSSVSASTSAQRASMPPTVNGVLPRNLAGTSGCVPSTSQMSLSHPPSPFNQVHQAPYVNHPRTGQAPHATPQQTVHHQAPRLQNPSTSRGPLSHPPSPFNAPLPRAPRGTQPGIGQVAHAIPQQAAHQQAPHPIPPVAQQGPAQPQPDLIPPQIREMIQRDREQEIIRLQVQNMSRRRARQPVQYVAPPRVLIPEPVRPTEPEYLPEPMNKYVCPSFMPPDSNPDFYQWTEEQMQSWFQLVLKERATPEMLQKIKDENINGLCVDEFLKNKSIVFTNLNMKWGNIIALKNAATMLQNNLKRIYFNQDMAEYERKMRLYRNQ >CRE29116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:217172:221807:1 gene:WBGene00070775 transcript:CRE29116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29116 MPTKKTTMDVSINRHKSDNIGGNGTVTKSSDVLLIEKRFDVADYWMRMDFGDKEGHNSANNSEKLDTFWNEERLQTVPLAVEALTCREAEKKKPENKCEDDEDDMDEPESSERLTSIKSTRKRNSDHTDKPPAKTPRRYDFGNGYACSKLPEPIETKVTHSENGLRVTVDSKRKESNLSKYNLSDWLRDNTETETDSIVSVGPASSTDIPNVEDTVAIELWTTPHKTSVQYKYLTANQTTYGMEPVSKRFEDVAEPMPTKTFTDVTINRHKSDHIGGNGTVTKTSNVKLIEKRSAVADHWMRMDFGGKERDNSGKLDVFWSKERLQTVQPAVEALTGRGAEKKDTKDKCEDGQDDMKLPGTSDRLTSTSSTRKRELGDHADKRPAKTHKKYDFGNADVGKESPKNLQFLPNQQAEEPVRYGSFELPAVHTEYQRRQLAIPHAPSPIDVIVLSDYSDDVQEIPPPQPSVSIKKKLRRNQPVIVPPRRLPTISNHQGRTLVPIAPRLGPFQPHQSQMIMHQYQRPTPRKAQQRRSNAIPRRENVLPLLPEPIRPAEPVYLKEYRIKPKLCLPPYKPPNYYEWTNQDVVNWAQLALDLPATHPLLKTIEMKQLRGYSINRLTTDDSPVLQELGLKVGPTLRLKAAAIRVVNNFKEIEYTHNMAVYNEKLRIYEMQQKHASRKRALPKSKKIELLKKKDSF >CRE29117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:225547:228028:1 gene:WBGene00070776 transcript:CRE29117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29117 MNIFKDLTWEELQELDEFREEDAPEIRGEDPDLENLYSSGDEEDDDDIESIMTRPESRDSKNWSDVHDDDDQDALEDNGLDSLSDHDEEEEEEIMDTKSEVNYGGEKVALETEKPLETEQKKQERGRKRQQKMTDYMKILTKKAFVDSKEDSDNDSERAISVDSDVDDLDGSDLSELSDMSYNADVKDVSGKMEREINSSKPSPEKLNDFGDRCVLRYQSAQKLAKEYKKEDSNEAKLAEKVEEKKGPQRKKNKESKVKQQRMNEPNLRNELEKNFERTQKQEEEMIKDVKMVLDSSDEDPKIAERQCEQCFKVFVSKNKMQRHMKTHTDQIDANCDICRTPVKYSYNLVHHLKKCAQKLRTPCGEDELKKYYPRFMDEFEKFRADNLSDLPELPADFKFDDLGFIVEKNDPTRFSYTNYEFGKGLKNYEKKKNEEEKEDSDIEKEIASDDEET >CRE29149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:237826:238434:-1 gene:WBGene00070777 transcript:CRE29149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29149 MVLLRNASRRSRAPRPISNVFTTFTQEQIQEFQEAFKMIDQNRDGLIDQDDLKNIFPSLGKDVSEEIINSMINEGHNNQPINFTMFLTLFGEKMMGTDPEDVILDAFKCFDEHGLGKLDVHQFKEVLMKIGDKLSEEEVEELLRDAPIKVGENILFKYSVVLQEEKIDYVQFTQMLKHGEKKEEKP >CRE24834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:11909:18226:-1 gene:WBGene00070778 transcript:CRE24834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-52 description:CRE-UGT-52 protein [Source:UniProtKB/TrEMBL;Acc:E3NJ21] MTENDGRSLLFSILEKNSNFFSNFFQFFCYRMLPARCLFLFLTLPRVISTLHILFYILLLGKSHIDFTDSLIDALVENGHTVDLIIARMNSHVTTNGSARTSRIYSYGFKEESPWSKTPHLLDPFKEKVRSWKEHVHYVEIASELCEIGLSDPGLHKFLANQKYDIGIATEYDYCGFALMKFYSIPSIVSVSSMAILDQQSIGAGMPNSAAVTQALFEPEDLSTWLGKLKNLINWTHINFIVYPYCRRIQSKSINKYLGDQIDPENLMESIDIQFLNSNELIELPRVVTPKIKFIGGINLRKSKGILADDVENLISGGGGVKEGIVVFCFGTQVASNLFPIEVRHAFAAAFRQFPEFTFVWKYELQEGDQQIFANTTNLKFLKWLPQTDLLNDPRTRAFISHTGLNSYLESSYAGVPILAIPLFADQPHNAKSGESIGTTYVLDKTQLTTHNIVKGLKAVLYDTSYLHNAKRISKMLKDRPNPPKSIFVEWVEFAARNPLLHRNLNLPGQKMTVIEYYCIDLILFCVGFVVFVVFVVWRGIGLMGRGLRGLVVKKKKIE >CRE24835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:20456:25327:-1 gene:WBGene00070779 transcript:CRE24835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24835 MKFGWFCLLISIHLVVCELDFDEGLKKACQTMGGVFKQRENPQAIRGDTCDLTYRMAYRDEVDAKEFCELYAPWRLNKVILKEENERKTVTCSVEATMTCKSGWQMMFGYCYQMPDKHSTYSKTDAEKLCQSRGGSIAFIRHRYIIGVWKRHFSSIGQIWVVASPTFDQHIKTTGTVDGTALALAFTGKHFDFSVFPNSLIRIDPKIKLQVLCEYQPPMTPAEVNYLGRRYSEIYYPSIPVDHGVLIRSASSYTSSYNQLDVCEKMLKSYLSSDVGVYIPDKKTLNAMAQRTLPLILHSRSSAIDVTENSKLDEVMCSSRDMKLKVALKSSVIQYYFLEEFKMSSTCQNMGSTGITHSSKSTPQLVPISDSRSLPIWCKLGTATRPKFTVPDGYSVFRRKNGQYVAHALIQEYVYQPEALSGCKARGAILSGMESMEEGAFLEKIALDAKLTKGKPEFGVWMGGRRRAECLGVVKGFEETGPCTRDRVYEWIDGSSHTFEAEFWKDEKDEKNPNRQNTRTLMLAFIYLKNASWADPNSNGYLDDCLPDWAQRPFFCQVNIHVEYENI >CRE24836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:25928:26475:-1 gene:WBGene00070780 transcript:CRE24836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24836 MCYMDQYKKQCPKTCGVCGGTTVADCVDHLVECPSYSTPCPANLIEKCPVTCGVCGNVTTTVSPVRTTVTPKTTVTLQTTKTTTTVTTTPCKDASPNCGAWAKNGFCTNTFYPPEKRKEYCAKTCKFC >CRE24838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:28046:33443:-1 gene:WBGene00070781 transcript:CRE24838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24838 MNSRNSCLLFSIFIAVNLIIHRKLFLENQKFHLELQKTDDINFTSSFLHVDFTGNSQNTCVLPEYDIWHPDIKKVLTAKFPANNCDKSFEPWTQLVNSTWRVVNEEAELCLARCIEGVRASREVTFGKWITPGYVDCEFLEAVCWESGGEEVYGYIHTQIIPKPPTPPLPLHKSPPPNVFFLMIDSLSTGMAKRSLPKFLKYFQSEFNGIQFPYVNRVGENSHPNGVSLWFGKSVESGQKVSGERIDADWNAVDKCHRYIDNETHLFKQFKDHGYTTLLTEDSINQLMDSHPFCKGFLNKPVDHMFRPFTSVYEEYGMDITRQHLKGHLCREIHEAAMEYWEQAMNAYRDRPLFAFTWLIDLAHEYPDGPVRFDDYLTQFFERNREILDDSFIFISGDHGIRVGDHITSEIGSFERNNPFLGISVPKKFRDGPMVEMLRMNSNQLQTHFDTRATLLDILTYQPVTAFTDRNPLSIPNEKGHSLLRKQPEFPRTCGTLPIPNQYCICQVKKTKVKDENLKMRLGQKVLDRVHMELDKLNFTSICRKYELKEVPSLIEYDYSTQWNTYEIEVKTAEPSAVHFQTMITYNPKTHTATVAKVVRMDRYGGTAECTAKKHFITFCNCKDLGVVTNLLNYFYSFVI >CRE24831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:45872:46473:1 gene:WBGene00070782 transcript:CRE24831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24831 MKYLILLFAIFLSVSCCFITKNSVVCECSDIRDLITRDESENASEPIYSEGAGCERNVTCGFDESTYVRIYLHQSEIEFYDRPDWGMGYLDSMGSDSEIFGKPVNVFSYFGMVCEDGDWYATKYPFGVYYATKENWPNRVGLNGKVDGLKSLIAYIACKPPIKPA >CRE27770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:219404:220144:-1 gene:WBGene00070783 transcript:CRE27770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27770 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3MXN5] MKSFLILIVLLGLYGEVSCRYKSRHFHSRQSKKFSNVERVFHGTDIVNPNAPDTKYNDAIEDPSVGIVVSIELYIHERLDIFFHAKKTQASKEVIPLHISIRPNEDAIVFNSLIRDKWDHEERRRLPFEIQSLVLIDVKFDYTGFLVTINDEWLKKSFCLHNDFSPAVPALLYLITICYESKI >CRE25951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig446:16653:18404:1 gene:WBGene00070784 transcript:CRE25951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-33 description:CRE-LGC-33 protein [Source:UniProtKB/TrEMBL;Acc:E3NL09] MSSFSSISQNELNELSRKFKYYHSAVRPAAPDNFVSDRNGTFFNIPVEIHLLATRIVNRNLYLEVIIVMSWIDERLRLRELKDRFSMPSEYPPWHPSLVFSPPITSKYTTLAPTTGTMNSYSTIKTTVECQTNAWKYPFESFTCTVSVAPEGDETLTVTQFHDLRTHTQKLLTNVFLGDYPQCSLEFIFRSEWSRALLSSFLPSILIVSSVFFAQWKRRKIQILVSLAAMVG >CRE25952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig446:414:3155:-1 gene:WBGene00070786 transcript:CRE25952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25952 MSTSTSSNQIPKDLAEISERFEKLRARSSNSNMATFNTTSSYNRTYEKKVIEEGSPNIRVTTQTHTALPGGIPDIGNIHSSMLSNFPNLAISSPSVVGTQTGNITSIRVTNTSFHAVLDVSKYDADSLKVQF >CRE30256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig529:8029:11734:1 gene:WBGene00070787 transcript:CRE30256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madf-1 description:CRE-MADF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NLU5] MQSTTPKSEENVNKLDEEYQVPTKKSKSGRPKKNAAFSSYRLYEERDIEFFIHQVSDNQLIWDRRNSLNYDGNLIHATFDKIEICCQFLSRKNGENASRLWTELSSEYKREKSRIEQLPSGIYSEEFEIRFPFMQQMWFLEILEGSEMKEADSNSLEETICNLARQKSIIPAVEAPEPSLTALSTPINQLNTSINRLISVMSRKTEETAALEVPSKYSDIVEHLIGFLDKTPREKQMEVKCKIFNFLDTLH >CRE11522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig378:22318:30042:-1 gene:WBGene00070789 transcript:CRE11522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11522 MCAVVGIGVMRYLTKNTYNQIITLFVGLGVGSLSGSSFYHLLPQAHPSLMEEVDENGEKTWEYLHMAHISILGVYAFFFCDKLIKIILEIRKKNQHIHHRRLSIENPGMSSERSDSTFVTEAGDKEEELLRSVLKKGVMSRADVDETEMMTLEDKSGKSMGNQSIFLSFQAHGICVHDHSIEFRAGDSAIAAVAWMIVFGDGLHNFIDGISIGAAFADSTRAGLSISLAVLCEEFPHELGDVAILVASGMSLKQAMIYNLLSAITCYIGFVLGVFIGEEPWGAKYAFGLAGGMFLYISLACMMPEMKKAMEEALNVSLRHGIYVLFLQSIGLFSGLTLMYMMARYGDSISIGSPLPPTTPLL >CRE11520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig378:11439:15483:-1 gene:WBGene00070790 transcript:CRE11520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnp-3 description:CRE-RNP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NK70] MADINPNHTVYVNNLNEKIKRDELKRSLHMIFTQFGEIIQLMSFRKEKMRGQAHVVFKEISSASNALRALQGFPFYGKPMRIQYAREDSDVIARAKGTFVEKRATKSVTRSAAKKPYDKPTGKEHKKGGDAEKVNTETTETEGPGQPNNILFCSNIPDETDPEQIQTIFGQFPGLREVRWMPNTKDFAFIEYESEDHSESARQALDNFRITPNHQIKVKFASK >CRE11518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig378:6220:8261:-1 gene:WBGene00070791 transcript:CRE11518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsen-34 MSHEILDVPLTSGKRKVEICHVDGRFFASKNDSSYLESEVRVCINRSAPSSDDSAPSTSSCKNWQFNSNFCPILDELVAVLVEFGYADVFRIQMSTTSSGEIQKTSLEIQEIPFEDTKEFRTKRLVVRDFWKRGYYIADGTRFGGDYLVYTRSPNECHAEFVLLCTPITDSQRISAMRCCNQVKKCLILATTSPDSTQPHYTKCEWFRPEMF >CRE30250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig409:19214:20393:1 gene:WBGene00070792 transcript:CRE30250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30250 MFLSETMNVFIIWIIFSVFQTGNAGLHTISDRMGILGQVAKRSMKRKWLIEEVDWHNFGVFNDLSRTPNSRTISYKNWPIDLQEESTMQIVPVTIRREDDYNYSLKMKDRKMDIYCIPLPSVPLFLRISKVVQLLPDSKDAIPTISLQETIFFVQKTPYQLHEVFGSTLFPK >CRE30251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig409:652:2238:-1 gene:WBGene00070793 transcript:CRE30251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30251 MGRKSAILAVILAIIVFQHYSSKMSHTPEKEADGPVYVAYSMEDMLKSPRDLYKTVKDVAKFVNSPEGKSISARFKKFGTPREALDFLAFGDVPTTPSAGIQTPAEPNSPFSGVNRIQMNEFKKYVEKGDMDNFLRLVETNPRYLVNTGGDVASIVMEGFRYNALHIAAKAGQSEIIEKILENLQVIKGKRTNF >CRE12298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:119563:120476:1 gene:WBGene00070794 transcript:CRE12298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12298 MNNTLGKTGGEILRPSVPRATRVAINEKSSPEDVTRWLQEKGFSPRVIDLLDGQDGANLFSLSKLHLQQACGRDEGGYLYSQLLVQKKRSGFRTHTGDELKAILNHRRTHVELSNEAPADEPVFTINPIH >CRE12308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:115511:116365:-1 gene:WBGene00070795 transcript:CRE12308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12308 MKNHFGIGILLSLLICVHGAYVNAETGRKFDPQQLLSEINEKRREWAEKFQIPNMNELNYNMELEEKAKEMRTCDNLTSGIDYMYTVISIGQGLTIPQVESVEEQLNMTIDTFPGLIIPEQSKIGCAEIECRGRDNTRDSILCLTGPKLEFRYEDLKTGPPGSECPNGKGKNGLCFSEEKSISNGFGPKEDLENREPKGGEEKNSSSYGISGLVFCFIFMISGLIGE >CRE12295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:98228:110213:1 gene:WBGene00070796 transcript:CRE12295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eps-8 description:CRE-EPS-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NEP7] MMRRGGSMGPPGGGDPYQSRPSPGGYYYSRPSTGGQPAPSPSHSQQSASSHHPRGAPMSQPIVRRSDYRTGSEQMTPRSDHRGPAGYGNGGGQPNDARLEEGTPSYYVEHLATFAVGRQFGLTFPADGIRKLKQMEKNSAIWAQPLILRFRHHAVTVEDDNGELVEQFPLELIEQPTAHVSNDSRETYNNVLLFVVREDRKRMSTPTEMHIFQCIRVSATDVAEDLKNYVHGQFRRVRNGRRTAAPPHLQAQQQQMPFYPPDDASISSETSEMFERDVNTLNRCFDDIERFVARIQSAALAQREIEQQNARYRTANRREKKNQQPPDPNGILFMRAQLPIESEFVDILKKFKLSFNLLAKLKNHIHEPNAPELLHFLFTPLSVILEACHWGLGRNIAPTVASPLLSLEARELMQNCLTSRESDVWMSLGEAWRTPPEDWTKPLPPPYRPIFLDGFAPYGVADRVITTPNPLHRGQSAPPEHYRQAPRERNIVDTAPNTPQSMQRTPKSRPHRNMSVDNLEFDRLTLERERLEFEKAKIMERENRLRHEEKVIEDEKRRMHAEKDLIKKETTQPVVEAPHQPITKRYDPPISISPPPQRNYSHVKVTVDSDTSPRQQAFIDDIVGRGGKLAVVTYDRGGQNPKELTVHKGEYLEVLFDERNWWECKNMHQRIGYVPHTILSMVPFEQQQYASPNHNNTQNVYNNGHHQGPGMLPEDAPSYVKERQGKRGEFRYF >CRE12294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:77612:81939:1 gene:WBGene00070797 transcript:CRE12294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12294 MTFLLCILLIFGVINGGADAAVICYECHANSTEQGKYCSIDKLCEGTSCYFQLNKDNSWSAGCSTIQSVNTNVTCSSSSSSSSTVSSSCSCNSDFCNSLARSKEALVTRSGGRGNGWWGGGRGIEEKSSNITLTLPDRNFVHCEECGSVTVGSQTIQIPCDHNHTCQGNYCVAVRGQSPFSYCGGVWDVEKAPGCYFDENALESCLCSMNMCNALLEPAPIWTTTALSDPTLATLVPIALGEENPPTPAIVIPEPTTVKPTTKRKCKNAKLSPNDQAVFMGEKLKNVIMGGFGSDDGAVQNFEDDINAHICNYSEDE >CRE12293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:72136:75270:1 gene:WBGene00070798 transcript:CRE12293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12293 MYISWTHFYIPKVFAGLAFLVNPMFVYLIFTEKSNNFGNYRFLLLYFAVFNLIYSIFTILVPIVNHSTKSLSSFSLIIGFQDTHSYRYCFFLFLSDGWFLEPSGIGLHLLSARCSLVSGSYAVLLSHFIYRYLAIRNSFITTRFKLYMTGTVILFVIYFGTWNVIVQTSNSPKRELADSLNAILITYYTMYISWTHFYIPKVFAGLAFLVNPMFVYLIFTEKSNNFGNYRFLLLYFAVFDLIYSMFTILVPIVKHSTESLSSFSSIVGFQDTHSYRYCFFLFLSDGWFLESSGIGLHLLSARCSLVSGSYAVLLSHFIYRYLAIRNSFITTRFKLYMAGTMILFVVYFGTWNVVSCSCQQMRSNGMSLEHSCFAYIQIVQTLAWTSGEVKDYIQNEFFEIYGIEPPGVNIFSLLYNEGSSEVILRSWVALISLSIISVSTILSYFILGYLTIKKLNEHAIQVSQKTAKLQKDLLKALSVQTIIPICISFFPCVICWYSPIFNIHFGRFLNCLEVIALSTFPFCDPVAIVLCLPALRKRVFSGKSSSISMPGLN >CRE12307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:60307:69366:-1 gene:WBGene00070799 transcript:CRE12307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12307 MGCIKGNQFLPLIYSNPSQFKGSWRLDIGLMVLYQMTLFFSVQMLFVIFLEFMPKTYCTDDDYCYKMKNKCLTDFDRTPDSAICPYNSSNFNECVKEAKRVDFRSAQFDYQQDCTGLKHFSSSTATFIGTLLGNLVLGYLSDTIGRRPVYIFSICLGVPAVILSAAINGVMNFYIFRFIVGFAVAGTLTVGWTYASEMITPSRRFRLRTFPNWANARMMQVGVSWLAGEWRLASYLCATLSATVLPMIWYLPESPVFLEQKKKFERAERSREKIAAICQLEYEPKPREEMADLKKITPMMLLRSPVLRTNFLVLCWMWFYVGMSVYITDLNSGDMAKNFYVGQFLCGFVLTISKITIGIIEPKIPWLGRRFIFIASQLIALCAYVTILTALWSKNKESWWYTVSYIFAYAAQSLCLETCYLSLAELMPTDVRSIAGALMNILMKIGTILASTTKPIKFWYEPMLFMINTVLCTAGLLVVWKYLPESKNMNMQLVGQDEISESNDEESSTNKTSSEVPSDDSNSQMTSVQESSEKSEVVTEKSEK >CRE15263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6146:990:2747:1 gene:WBGene00070800 transcript:CRE15263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15263 MMQVGVSWLAGEWRLASYLCATLSATVLPMIWYLPESPVFLEQKKKFERAERSREKIAEICQLEYEPKPREEMADLKKITPMMLLRSPVLRTNFLVLCWMWFYVGMSVYITDLNSGDMAKNFYVGQFLCGFVLTISKIVSSFSTIGIIEPKIPWLGRRFIFIASQLIALCAYVTILTALWSKNKESWWYTVSYIFAYAAQSLCLETCYLSLAELMPTDVRSIAGALMNILMKIGTILASTTKPIKFWYEPMLFMINTVLCTAGLLVVWKYLP >CRE12292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:47489:51803:1 gene:WBGene00070801 transcript:CRE12292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12292 MEIEEDRLGMRIQSETIELTKDEKGVVGISIGGGGPYCPCVYVVQVFDKSPAFKDGRIRCGDEIVAINGITVKGERKSAVAQLIQVSLNPVKITINKLDDANTKGKTLDILIKKVKHKVVEFMDQDSADALGLSRAILTNDPLAEKEKILEENSEFYRHLVAYFGDMFQYQQKISDCQKEFGSIFCDLAAHEKQQTANEAFSEFGDKHRMIAKKQSESAVPLQKMVSDLQVYIDHVVPDTRLTIKKYLDVKYEYLSYCLKLKELDDEEVEFIAIQEPLYRVETGNYEYRMMLRCRQECRTRFMKMRDDVMVKIELLDQKHVRDIAQHLATFAKTMAKCHLECAEILKDRIDVPIEIDLEQLNISMTSGSNGGKRDIEEGEEAVVLNDNQLEGDLIDVDGSVEPSIRESRITLQRNSIGDISQPLLRSDSPLEELSLIDIS >CRE12305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:35739:37964:-1 gene:WBGene00070803 transcript:CRE12305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hhat-2 description:CRE-HHAT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NEP1] MTVPTTSTKPTKPLNSPSIPGPLPKPERVLAWAVWVFHSLFAFVIAYWVSNGKAKKWISHWMQDSYVPGWKMDLSDAEWAYYRQTVWHLLLDYSIHSLGFNLPIKTISSITDLKICIHCNRVFSSNSYEQVFFQKSIKLRPFFSSFQCILVLYSFAVLVIFSTWITGGLKWTPWIMCIGFIAKATQIVPFSSGTHIFYREFNIYLYGSIKILNFALFFAENWKKIENSRILLTESLLYFSYLPYSMTLIVRFEDFREQFQKWEQNQEICVNSLKKAIWFGIRLAFWFGFMDFLLHFVHVQALFNSPDSLVNSLNVYEVCAIAYVAGQMFHVKYVVIFGVPAFFAALDGFRPPPPPICISRVSLYSRMWRHFDNGLYEFLKHQVYIPVMRKPLPLVLSILRGLGALCAVFGVVLAWHGTRRHYIFWVTLSATELIVERIGWQIWKTRKVQEIRKVIGENGCRRIMATLMLLTVTPGIFGVFFFLGQEGVGETISMNVVVQGFLDVLSFNITAFPLSAGFAFLHILTLGYFFNNVCLDIEYFDKKKKQ >CRE12304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:32858:34370:-1 gene:WBGene00070804 transcript:CRE12304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12304 MFLDYVKPFCAYVPEVAKPERKIPFREKMLWTTVLLMVYLVCCQVPLFGIMATESSDPLYWLRAIMASNRGSLMELGISPIVTSGMIMQLLAGLKFIEVGDSPKERALFNTSQKLFGIIITVGQALVYVMSGLYGPPSELGAGICLLLIVQLVMAGLVVLLFDDLLQNGYGLGSGISLFIATGICESVIWKAFSPATYNNGRGMQFEGSLIALVHLLTTRSDKIRALREAFYRQDLPNVTSFLATVAVFCLVVYLQGFRVELPIQSSKVRGHRASYPIKLFYTSNMPIILQNALVSNLFVISQLIYSKTGDNIFARLLGSWSHGGSARSYPIGGLCYYLSAPESLRHILEDPLHCIVYIVFMLGSCAFFSKTWIDVSGSSARDVAKQLKSQNMIMRGHRETSTIHKLNKYIPTAAAFGGLCIGALSVTADFMGVNGSGTGILLAVTIIYQYFEIFVKEQQEMGGGVASMFF >CRE12303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:30485:31994:-1 gene:WBGene00070805 transcript:CRE12303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12303 MFLDYVKPFCAYVPEMAKPDRKIQFREKMLWTIIVLIVYLVCCQVPLFGIMSSESADPLYWLRAIMASNRGSLMELGISPIVTSGMIMQLLAGLKFIDVGDSPKERALFNTSQKLFGILITVGQALVYVMSGLYGPPSELGAGICLLLIVQLVMAGLVVLLFDDLLQIGYGLGSGISLFIATNICENVVWKAFSPATYNTGRGPEFEGSLIALVHLLATRSDKMRALREAFYRPDLPNIFGLLCTVLIFLCVVYLQGFRVELPMRSLRARGIQQSYPIKLFYTSNMPIILQNALVSNLFVMSQLIYSKTGDNFFARLLGSWSHGGSARSYPIGGLCYYLSAPESLRHILEDPLHCIVYIVFMLGSCAFFSKTWIDVSGISAKDVAKQLKQQQLTMRGHREGGMIHELNRYIPTAATFGGLLIGAISVASDFLGVGGGTGMLLAVTLIYQYFEIFAKEQADNGGVMNMLF >CRE12302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:27263:28468:-1 gene:WBGene00070806 transcript:CRE12302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12302 MSRGSLIARVHLLPTRSDKIRALRAFYRQDLPNVTSFLATVTVFCLVVGFRVEIPIQSSKVRGHRASYPIKLFYTSNMPIILQNALVSNLFVISQLIYSKTGDNIFARLLGSWSHGGSARSYPIGGLCYYLSAPESLRHILEDPLHCIVYIVFMLGSCAFFSKTWIDVSGSSAKDVAKQLKSQNMIMRGHRETSTIHKLNKYIPTAAAFGGLCIGALSVTADFMGVNGSGTGILLAVTIIYQYFEIFVKEQQEMGGGVASMFF >CRE12301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:25148:26658:-1 gene:WBGene00070807 transcript:CRE12301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12301 MFLDYVKPFCAYVPEMAKPDRKIQFREKMLWTIIVLIVYLVCCQVPLFGIMSSESADPLYWLRAIMASNRGSLMELGISPIVTSGMIMQLLAGLKFIEVGDSPKERALFNTSQKLFGILITVGQALVYVMSGLYGPPSELGAGICLLLIVQLVMAGLVVLLFDDLLQIGYGLGSGISLFIATNICENVVWKAFSPATYDTGRGPEFEGSLIALVHLLATRSDKMRALREAFYRPDLPNIFGLLCTVLIFLCVVYLQGFRVELPMRSLRARGIQQSYPIKLFYTSNMPIILQNALVSNLFVMSQVRSVLIRNFKKFLFQLIYSKTGDNIFARLLGSWSHGGSARSYPIGGLCYYLSAPESLRHILEDPLHCIVYIVFMLGSCAFFSKTWIDVSGISAKDVAKQLKQQQLTMRGHREGGMIHELNRYIPTAATFGGLLIGAISVASDFLGVGGGTGMLLAVTLIYQYFEIFAKEQADNGGVMNMLF >CRE01453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig800:37324:39430:1 gene:WBGene00070808 transcript:CRE01453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01453 MPDSNPSGRPLHKELPEERSYALVCDGAQLRHTQRDTDANQETIAANNQAEIFPWLQSMDRFFLGVSTRFRALFSRTERDPAGTEIARIEERSFERPVQLRQLPTTSPEEYEERRKESEARHRKLVERTERLREANRKVEKDMRCHAWLDGTCVEYVEAGPSNRLVDSDEETLKAAESVSPLDTDESGSQESVIERPMADPESSAGPSNRLVAQESVSPQESVEFDSGSENQRLMGDPEHSAGPSNPPESVSPQDSDESDRGSQEGFSTMVLPKNAPWWLKWCSENQLIVLGTLFGVSILVGITLFYFCERSGDALIARENRNFQQVTGMSYAEFEERHKRAGERMDAVNAAREAARAEEEARKKARRDEERQAFQFMREMEREREWAKEQQAKKEREEELEKQKRDAEQEEDDDEPGPSNRFAPERERLVGGTSSDDETPSESDQESQEPLRTFETNGIRETEL >CRE12288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:19524:20593:1 gene:WBGene00070809 transcript:CRE12288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12288 MEGWLQSFIGNIWWIIGSVVVILIVSVLVALFTRRCVRDCEYVRRLDREVAEEQAFITAQRGYPEDPRNAFPWFLSMERFFRGLPDRVRALFTRPESEDPQAVALREARGEIRRLNEVIEEERARLQTAYEAIQRYAKDRDSLEEKLKEMNGKYAEIGVTSMIKINGLNREIKTKNEEIEMLMRVRNQEVVNEEEPGPSNRLEPFVEAPVQERPAGSPQDTDESDKGSENHALHNQPVNEEDPASSAGPSNRLDDEEAPVQERPEESESPQESVEFDSGSENQMLVGDAESSAGPSNRLETPESASPQDTDESEQASQEGFLSKNRDDKQ >CRE12287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:18193:19051:1 gene:WBGene00070810 transcript:CRE12287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12287 MSLIIPEKFQHIHRVMNTNIDGNRKVPYALTAIKGVGRRFAFVCCRKADVDVNKRAGELSEEDFDKIVTIMQNPSQYKIPNWFLNRQKDIKDGKTGQLLSTAVDNKLREDLERMKKIRLHRGLRHYWGLRVRGQHTKTTGRKGRTVGVSKKKGG >CRE12300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:13404:15738:-1 gene:WBGene00070811 transcript:CRE12300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12300 MGIKFLEFVKPFCGFVPEVSKPERKIQFREKMLWTAITLFVFLVCCQIPLFGIMSTDSADPFYWLRVIMASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFGMVITVGQAIVYVMSGLYGEPSEIGAGICLLIVVQLVIAGLIVLLLDELLQKGYGLGSGISLFIATNICETIVWKAFSPATMNTGRGTEFEGAVIALFHLLATRSDKVRALREAFYRQNLPNLMNLMATFLVFAVVIYFQGFRVDLPIKSARYRGQYSSYPIKLFYTSNIPIILQSALVSNLYVISQMLAGKFGGNFFINLLGTWSDNSGYRSVPVGGLCYYLSPPESLGHIFEDPLHCIVYIVFMLGSCAFFSKTWIDVSGSSAKDVAKQLKEQQMVMRGHREKSMIHELNRYIPTAAAFGGLCIGALSVTADFMGAIGSGTGILLAVTIIYQYFEIFVKEQQEMGGVAAMFF >CRE12299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:384:2450:-1 gene:WBGene00070812 transcript:CRE12299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12299 MPTYSTTTSHPSTSSPNATSLPTIVSGINCDTIEYVQRYSPIVLMQVLQLFLALTSVALVIGGRVVQHHSYFHPNVKSLLKTYHGAILMYAFAMGTAQMYHVLTSMSYESCELLISCRLCFGFRVILTATVIIFSLLLFVIPLERTIATQHLGRGYENRQGTIGKFFSWSIISISTLFSLFYHYPDFSKNRVCTHCIYDQNRVLVPMELMVWLAGLSTVSLGYTVVLWIYNRCKLKK >CRE14596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:857928:863399:1 gene:WBGene00070813 transcript:CRE14596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14596 MSGDQFKCQICRQSFDNAALREEHQRSRHKKSYRCDECGKRFPKPSKATAHINTPHKSKANWIEEFNCNVCGESRTSLTALQEHFKKRHFNNKNSPEFLNAWTACSGEKTPKKATYGGKKRTRGRPLKYRGDGKPRGRGRPPKYATVNRQSVEEETNGDNIGDDDDGEHEMEANETLEDVRRDDDLHEADPTDLPLHEESENHVRIPDGHLLDHDAGEEDVVNLNRSPVNAPLHVVLHEPVPHSLEAVLPKKPIVQQPTCAGKPRGRGRPPKNRCSGKPRGRGRPPKNPAVDQRGVDDQVKDAENQNGIQDNIGDDDDGEHEMEGNERAAVVRRDDDLHQAHPTNLPLHEESEYRVRVPDGLLLDHDAGEEDVVNLNRSPVNSPVHVVLHEPALQSLEAVLPKNPAVQQPNCAGKPRGRGRPPKNRCSGKPRGRGRPPKNPAVDQRRVDDQVEDEENQNGVQGDIGDDDDDEHEMEGNERAAVVRRDDDLHQAHPTNLPLHEESENRVRVPDGLLLDHDAGEEDVVNLNRSPVNSPARQPENHEASLEIGNGAHNEVALEPEFDEIIGGDDYGDKEDNINLDNLQAIPSPEGTDSDVHPNPAPQLGFSEATSSHFEPPVIAERGRSRPWTRGSDDRQRVHRVREAVRFRYLSPEHTPISDENRGSKNRGSESHGWNDRVYNNEQSSSEAGSVRHTNRNRETEEARDRTRFTYPRLRQDELSSPDASPDRSTYRDERESRASESTGTAYHRVYDNESRSSSGSYRDSRIFQSRDRSLSTYRCGYENAQRSSGRLLTRDSNRDGQTHQYFARSRSPYRRENENEPGSSGRYDKRRDSRTHQAFDRSRPSYRRGYESGQRSRDPYQNGPPHQSRHRSRSTDRPGLYDTLPDSRTHRASDSTRDQRSHGIRPDRANNTYRLGYENEPSSSRSYDVSISDRSTNRRRDHRDDPSSFEPSLPKRSRIAGPPVITLEDDSDDDIKCLDGSAPYFSRAGRIDVKRAMFNSIATEKSDSRMPRNYKNAQRQEARQKKRSAERAELIAAHKIFVEQRMGVNDRIVWSSTETIYCLESTICNMLYLDTRHNKIFQSVRDPTGLKELLEKSTHERWPSVQETFEKLMAKLEPLVSLEPIRFFEKRKIECRRGHELSKENGQLKEPGQVQCYSLVKPHCSATFEQIFGLRFMPTLYSPFCSQCLEEVFKKTVITPYDRYHVMLIDGSKNFKIQELTSESIVEMYGSRWRVVSFVECLPHQMEKDKDGYFVAWTRNETGEGWTSKKERFVEKMEKQKIVFRDHNVKAMVFEKIE >CRE14723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:865473:868597:-1 gene:WBGene00070814 transcript:CRE14723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmsr-4 MCQDEGGTLFDPQDPAVSGLIDALEQFQSFYTYFHRYACLFICIIGVLSNAIHIAVSNIRYFSKTSNFQFQVLSRPRMRRCAVNSVLTAVAFCDVITMTSYSVYLMRFRFYETDHGYSYIWLVFLKFHVWSSMTLHAITLYMGGVLAFIRWQALGNIHSKWLQPRNSWQVFAIVSVVMSIVCLPTLVLHKIYEIDTSEIETATVSEVLRLSGQSIPKEVRYSLNFSTYSCAFFKFNLWMLAVVLKAIPCALLLWFTIALVLKLRQTDEKRNYLYSKSFRKHVKKTTVPDRTTYMLIIMLVVFLVTELPQGFLALLNGLYTGDVNIYIYKNLSELLDFLSLINCSVDFLLYCVMSSRYRQTFGHMLIRVESWLRNHGERRRLAREIKKKLPAPVGV >CRE14597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:895150:897029:1 gene:WBGene00070815 transcript:CRE14597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14597 MDNSPANFQCDTCQKTFARLFDLNDHAVVHADERPFECEICDQKFKTKSSLRDHETVHEELTPYECSICRTPIRWKSFIRKHIHRQHNLTGEQLEYAVKWTVDTFSTERLNNPDTQRASSSTKHDFQPNQDRISAKKRRRVDEDTEMDDINSNAPAAVTRINAALQNMKLEKISNLSEGTPHSRNNTPEPDQSNFTDDIKQICSQICRIGNVRPECQDSIRLVLFETIDAFASGRCNNDAAEFFRDMAEKYSDE >CRE14725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:902185:906840:-1 gene:WBGene00070816 transcript:CRE14725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14725 MTTALNENCMKAIMKDLDPGLRLHLSRACPSLRQLDQEIPLKIQNLHISTSRLHIDTTTFKLGIVRHYPDVDTPEFVKKENSEGGTPYDVDVYGLRRSFKAETPDFDYYEQQPEAAWIIEMERLQEELLELELYRNPDKKKIEKLQGQLAPLYHKYNDTTPPFDNYLQLMVISKWSQKIEKMSYGKLLHQAMEYLVSRFFGRRRIFLDSLKLQGVPLPCDVYLVKVNGTCVTPDGLELMWYLLPNLESLDSLKVCDEDDEEFADTDAEMLVLHSFPGIQHLRKLKNKEVFVKQDCFSALDVLLLVEDWLMTGRDLGTCFKFEVDSDEMDQVLRCLEDIEKMDNARRAVTGFDFSPKCVVIPMPNNTMELMADYQRDAKDNNLFIFTLKVQNIGEFFEFKNASKVRQTTHFFDFWIKGGFLRFGHTDRQADTKVVSLVHP >CRE14726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:907128:911328:-1 gene:WBGene00070817 transcript:CRE14726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14726 MTEAMDKTCMEYVLKYMNPNLRSNLSRRCPAIRPIEESLVLPIQTLTVTPTSLQVNDITYNLGIIRHYPIEKTPESIQEINEQGGLNYDVDIYGIRYEPDVPETTRDPWNAGEGPRFPTTRISEKNMKLVNEEQKFMDRIEELQEELLVLQLDDPDLNRKRINQLQEELTPLYHRYKRTSPPFDHYMLLTILKNGAPLKIEVVGYTKLLPDAMKYLQSKVIGNRTLIVDTLRTEGAILDGLKIVSVKSLDIKTNATKVLNYLYYSLNHQNLFDSLEIHGDFAFKHPLVQTAQKLIFNDFGDEGRYQTMTTLKNWDVLVTHEIFFKEHVMDLIEFWMVEAEHGKCYQFRVHEDVIGEVQMLMEALKEVEGAKVEKASSLIFPNSILLPMANSLELLVDCLPDPQLSVDDKNVYNFRLKVQTETELSESGAPMTRFLFSSSV >CRE14727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:913061:915100:-1 gene:WBGene00070818 transcript:CRE14727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14727 MRNKQLTYPSLQVILQYMEANKRIHLSHSAPALRTAERCVHLSIDSLSITPCCIQINSLAYAVGLIRHGSLPVGAQEMNKFGGSAYDITEFGEIDHSVDSLLSPGDVVLKDVEADEEDEEMTTVEVDELLRGEEKRLKILKNKKWNEKRQEEIDEIEHLIFAWKNRRDNKKPDYTCYLKMSIVSVSDVKRVEYMEYNKKLYEGIKYLATKILSHRYPIQVNILNIYTEGGVLRLPPTMRLKPRKITMGCNFNENWESLEKILPDPMEGGLNELKLIVTSKFDKPENNCHSVVTTAKSLILEFDEDSDSLTYFDGTIMRSKNQSVYVTNGYPTRGQLTMLIDKILEDKREIGTRFTFGVHNKKMLLKLMSAMRERKNAIRGGYFDWR >CRE14729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:917599:919105:-1 gene:WBGene00070819 transcript:CRE14729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14729 MSIDLCENFAEAYIDPEKLIFESLEGNYDPEYEYANIVKGASYDTYPATLGLRVTGNQFQISPGQPSKFSLKLVRSYCHDVIVEVHSLIFEIVNPRARRGITTQVFHRLGPNQTMDFEIGLKKQDLKNPDLKNFNNVHLTNIYGYLTIFEQATHKWNDCDSSWGLTKEPGKLTRVLALDQKEHGWYGFWKERLVMNSAENETEELKMLKRQFAELLWKKMEREEKNQYAKDRENLKMYMQPEECAKIQKKKQERKEKAKKEAEKCHEEQMKKEKEEEKKKKKKCIIL >CRE14599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:919251:920923:1 gene:WBGene00070820 transcript:CRE14599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14599 MSCYLTCYDPPPRRTHDSGPEIAALHVDLQPATFSIPLNKSVKYSIQNNHDCLEQEVYVTCNSLLCEIRDPKVRNGVATQIYGILKKGETMVFELGLRDQNDTSSIPLSPLIFSPAGYLEICHYDAQKKSDSDWKNKPNEVAKYDMMFGSTYGRWKENLVLEAESEWVKKKNEEFIEKFQKALDDWELETEKIEKGQKKMMTDLMAANVSVVKVVEEKKIEKKKKRILGCC >CRE14600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:926481:932806:1 gene:WBGene00070821 transcript:CRE14600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14600 MRFSALRERVFRLKNLPAGELATPLRRCLSTFDITLLGVGHMIGAGIYVLTGSVVRNTAGPSIVLSFLLAGFASLLSALCYAEFGARFPKAGSAYTYTYVGVGELWAFIIGWNIVLEHMLGAAAVARSWSGYLDSLLGNVISNSTIARTGHLHEASSFFGDYPDLLAFLLIVLVAFFVALGSKVSTNFNSFLTILNIGVVVIVVFYGITFADFSLWSGVDEKGDSRFFPYGVSGMFAGAASCFFAYIGFDGLATAGEEAKNPARSIPIATFSSMSIVTLSYVLMSASLTLMIPYNMVHPTAAFSDAFTMRGAEFASYAVSLGALFGMTTSLVGGMFALPRCVFAMADDGLLFSSLASVNPKTQVPIQALLVFGFLTAIIALLFDITTLVEFLSIGTLLAYSIVSACVIILRYQPAYSIDEGQFDNGGKLRFSIPFCDFLNHLQPGHSIYYGMSVMIAAMFLSGMGFSSGYLYGPLLGQVFLLVNVIVVILSFLFICAHYQNNTPLDFKVPCVPLIPSLSLLINTLMMVHLAWITWIRLAVWMGIGFAIYFGYGIHHSKEEMQDAEKFSKSSTYESVVSGVTAGGAASP >CRE14730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:933937:934575:-1 gene:WBGene00070822 transcript:CRE14730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14730 MQLWSLSSLSSLSSLSSLSSLSSLSSLSSLSSLSSLSSLSLLSLLSLQTLKCGLDSFCKRCSDPFEYWYYQNLPRRVLHESFWTDFILNIDQQLIREELRGKYERDEKIQKASKNKKMELSWGFRSDVSIENEVQQGKKKKKNPILENFKDSEDVGEPEVVSLEYFEDSEDSEDSEAMEYQKQTSDFMAPRFIVTVVLFPILVSFIFYLIWF >CRE14601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:940706:947708:1 gene:WBGene00070823 transcript:CRE14601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-3 description:CRE-SRD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M9T2] MKHEPTTQECGLILCTCLECEGKRSHGKIHEIFSFFVAFFGIIAIITIIAIIAILAIIAIIAIIAIIDVIPIIAIIDIIVIIAIIAIIYIFSIISILTIIAIIAIIDITAIINIIAIFAITAIIAIIAIIAIFSIISIFSIISIIAILTIPIFHSFTDFLRYPYMNLNSTDVDLIRNVQKWDHIFVVIGYIINPLGVIFNSLLIYLISAKTPKLLQSYSMLILNFALVDFFSSLAGMLALQKTVFSGWSLTYIFHGACGHVSSYFCYFLHVFVCHCFAHSQWILMISFLYRYYILYQISPEPVKIVRLCVIAYIPSLLFVIVYLSDVANEDELRSVVFSYHRHYFKELWGEVVIAGNMSIWSFSTFFSIIYMTIPCFPIYAVIVYFRYRTLKILDGRGRMTMSETTRTSHKQLIKALTIQAIVPIFWLTASTLYLLLLFQVIGGVIIENLPFRIMECMPMITPLISLYFVRPYRSVITSWLLPTPLLKPVSAMLSNGFCPPIPQNV >CRE14602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:948992:954244:1 gene:WBGene00070824 transcript:CRE14602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmur-2 description:CRE-NMUR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M9T3] MNGMTRNFLVFFCFIGHFLGGILAFLSNDSDDSDDSDDSDDIDDSDDSDDSDDSDDSDASDDSDDSDASDDSDDSDDTGDSYHRYHRFHCYHRYHQMSCTVEYNVSVVTEYVLATLGEKCQSAAVVIPTVIIYATVFLLGLFGNVCTCIVIVANKSMHNPTNYYLFSLAISDIIALILGLPMELYQSVDYAYPYRFSESICKARAFLIEFTSYASIMIICCFSFERWLAICYPLRTKIFSTLWRANVLIVLAWSISLVCALPMAFIVQINKLPLPEIARDKAWTGQISTDGLTITGTEFCAMNQGRPDQQKTLIVFAFTVFFIIPAIAIVIMYAHIAIKLDSSEIDKSLKEDNLVRKRRNRSNRTVLKMLLSVVITFFICWLPFHIQRLLSVYTVWSETETVSPPVQFLSMIVFYISGFCYYSNSAANPILYNILSQKYRSAFCRTILGDRIGNWVFKGNQKPGKAKRCSSSTDAEQKTLMTRVVSVRVDHKNHPRPPHRTLEVTTGY >CRE14603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:961984:965689:1 gene:WBGene00070825 transcript:CRE14603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14603 MHYLLSRLLHQRQLNVSAQLFNVSHYDVITDMSNTFSSLKEIINAPSYPSNKVDQSVVEIVIARLTAAIRETGSIESYAAELVDVLDEVLRHPMTSLNEKSQDVDSPHCKIASDLLSSLFMHYSNKSVMTLTIPVALKCLNSENAELVKNTTSYISLAAIHNRKSLSSHALQIISNVVRGNYSLIQVLPQIYQDNKEPFHAQLSQLLDLLQNQHVDCSEKLSLLQLASMVANTKPEVLIPYLPRFDVYLLSPQMSTALLNIYMSLISQNRTKSLAQFMPTLKLAAQSNEFINNRTTICKVSRFLKILKNWLEITKTASNLKKCV >CRE14604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:971205:977793:1 gene:WBGene00070826 transcript:CRE14604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14604 MFFDDPDLLNIIANIGRVSSPLAAEAIDELVLMARHNLGEPQLLQSILNEIEAIGSMYPTALKKHLAFFQTLTRSRVIERILAHLSMNNENLPMEKQSSESLLSKNSKVFGNLITSGGRTVFEVCESPTVELCELDRNTHSLAMQYQNNRSSGSLSRRSHASIAHSLGAGTHGAYSDIMESRDLSMISMPSSSRTNVLLSSQPTTSSSRVHQTLPQSFAPQTQMTQIQMGKDGRVRPVGGGRRPVQWPAGSTETTFPAHLGPITTSKMCALNEEDEKWINNDRNDVVYKFVEHRKNKIRRYIGEVNTRFPVPVQCTVEGSKSSKHRMVIHFSCQTRSSPCCVFTKEYLFAFKTKYPSFWLHFMFLQMECSAITQFGEVVGKDSQQYQTLEHCWKCLPSSITKNVPFDTMITAAFPNSKDQEKLIKELDEAGFFACFTMDSTNNMWNCISCTNPEKVKYFVEDGGAEKVLEGQLKEKKGRWRFLKRWNTKYFTLSSAALNYSTQHMPTDSRALLPSIDLRSIRSVRSLGRGKKARKSLRKAFEIFTADNTSLILKAKDEKNAEEWLQCLQIAMAHARRELS >CRE14733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:977874:982867:-1 gene:WBGene00070827 transcript:CRE14733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14733 MSILGLGNIEMGDVKEQGTGLLDFSKSLFPFLELPALKNETEKEEHTQVEQTYTDYYYPKPRSYLGSSYDVTRPQIPGKNREDIEFPKLVAEEPPKKYSNPFDSVKIRPRAHAAVTKTVGDKDSDKDSDKEGFIAQNGKQVDPDEDTTPLLTAEHKVSQVTTRKSIHEVGLSPREIYALCAKFAPMANQVFSKKIKKIQKHCYKSKVEEQFVERCRGYQKDCVEFIAKARPLGAIANSFSSGVGLTYYDWNVNGIPYYPVNEEGSIGNGHHGKVDFGTWGGGYSDNLGVRDFWSQTQEYGANWYEGQYGYKTGWSIPLVQGLGVEGGQGAQVSVPIKEGELGKPITVTNGYHVGPFMGLADRVGVDWLNGGVSWNKGFAVPFVGVGVNTGTAVGFPSVGMIMNRMGLNSVETLNTMARAAAAETAQVAEQRSTKIDVGNLYL >CRE07100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig614:4985:14025:-1 gene:WBGene00070828 transcript:CRE07100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cwn-1 description:CRE-CWN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NMD3] MTTLIFVSYNILSSLFLLVSHKIFEKIQKKPYYAEMLKSSLLLLLIVISIVESLSWLALGLAGSRFDRDKPGTSCKSLKGLTRRQMRFCKKNIDLMDSVRTGALAAHAECQFQFHKRRWNCTLIDPMTHEVIPDVFLHENTRESAFVHAISSAAVAYKVTRDCARGISERCGCDYSKNDNAGKGQFMYQGCSDNVKFGIGVSKEFVDSAQRRVITTRDDNGTSSLAPSQLSADGMHMINLHNNQAGRQVLEKSLRRECKCHGMSGSCEMKTCWDSLPNFRHIGMAIKDKFDGAAEVKVVKDEGIEKPRIVMKNSQFKRHTNADLVYMTPSPDFCEADPQRRNSWNQRATVPPSHRMHIDDCSLLCCGRGYEKKVQIVEEKCNCKFFYCCEVRCESCQKRIEKYFCL >CRE03445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:153029:161951:1 gene:WBGene00070829 transcript:CRE03445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03445 MKTILLLALAIAAISSSPPIEDRQNNNYGDSHRNVLSASYPSRVYRGAGETSNLKTLLSQIQMVARVVNGVSLRAEISSGLIPPDQLIAELLSFGTATPTQIISIDNSKIQLDIDAMKKIPENLKAAPDVVEVEKTLKALESVLVDTVDTVALKNTTGVKEFKELVKALKDKKLMDPIESDFATSKKAWSTAIDTLKNDDLSKTPGDAKFYFNKIKNTLESINTVKAKLAKATSESPSKQFPSAISSLTPTLSVATEASKFKSKTAAFSRTPQQWTAYSNFIGDFRKTMDTLKPSLSSIQAVKSVVDGQNLRKTHRNQTLEYTSGFPHGASDMAMVFVDLTDAWMKGILKTDKLQLALEELRNVEVLAKKVEDVLRGQVGGAIDSLDKAVADFYPGTDSSEITSGIAEIQKCALNTNESAAVVGVVPGIQKLLEDIDKQFKTLGDGIQAYKTAASEQEFVKLSGTVKKICTESEKAADKDLEGLVVKMKAENLTALGTTVDSIYSLVAQIDSAVTTIQTNSEKISKRFADLDAFYTNAGALSTYLECVKSKQNLKSLIDAMAKIENLRKFDVKSLDALDGGLEVVRTVSKTADDLKKLNHSINEIKNAPMKYKSIQRIENANSHAKVIGSAVQAVSNMQNALEKKSEVEAILKNIDVTVIQKYNVNTTELEGLVQLNGSIVKMFGELETFKSSISIRKVSNLADQSEIFEKARAITGITGDIQKMRAGVEKLKEWVVKMTAQEQQALETLQSQLDAMDSMGMEFVKYHGSFDGVKKSLSVFDAFFVDFASDLAGLAEEEDSKWKEESNEDGFPILIIILVFIGLLLLAASIGNFIWNRKANDSFHLFYYRFYPHLKWESPSTSCMKGYVKIMADVVDTTYKTEIDKTPTLKKKDVFGTAFTAEYEKYATIGQDVIPNKKIDPKYCTTPSPIMANTAPVLKGYGNLIDNPNGEIHANVVNLADRKTLCLAQTPQHDNSKTCTVESYWWMVRQMKAKTVIHFNAPGEWRYYPTDPKHPFSQYHSDCLKVHCKKVGVRDEVNQIAAHTISVEFKGQAPHTVTLHDLEIGASGYPKAKQMAALLRTIVKQKRPVVIDCADGARYSGLLAFAALTTGFVLEEKKEASPYSTLFDDAMRQLRKTRKGCIRDAKDFAFGAMIVLEYLNLNVFFVDFLTKTADSSAAGTPDDSSAAGTPADSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSTAAGTPADSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGTPADSTAAGTPDDSSVAGTPNDSSVREEMGATAEDSKTLREGWDLWEATPTPVSVLAILPNTNKPADDTKNKKIDVPAPVTTKDNEADKTKDDNVKPLDVSTNNGENPPVGQKPNDKIVEEDAGALGQTDSGGGTVPAEVIPDPPSVEDKVIHVDCTKEGTRLYEEQKQLEKELENNVLTMKDLGGGGPSGAAASGAPDDQNPLFETTNPVMPKIPKKKIKSKGTKSKNKKKKKVKKPTTEYEEDAPPTPEEKFLNETVME >CRE03444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:141226:150158:1 gene:WBGene00070830 transcript:CRE03444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03444 MKTILLLALAIAAISSSPPIEDRHNNKYGDAHRNVLSASYPSRVYRGAGETSNLKTLLSQIQMVARVVNGVSLRAEIPSGLIPPDQLIAELLSFGTATPSQIISIDNSKIQLDIDAMKKIPESLKGKPDVVEVEKTLKALESVLVNTVDTVTLKNTTGVKELKELVGELKKKKLMDPVASDLTSKKYWSNAIDTLGSEKFDMSKESKRVKDFFNDVKDTLDSINTVNTKLVAPAFPSAFPSAISSIYPISSVATEASKFKSKTAAFSRTPQQWTAYSNFIGDFRKTMDTLKPSLSSIQAVKSVVDGQNLRKARRNQTLEYTSGFPHGASDMAMVFVDLTDAWMKEILKTDQLQLALEELRNVEVLAKKVEDVLRGQVGGAIDSLNKAVADFYPGADGSEITSGIAEIQKCVLNTNESSAVVAVVPDIQKLLEDMDKQFKTLGEGIQAYKKAASNPEFVALSNTVKGICDKSVNAKDQELQNLVGKMKAQNLTMLGTTVNSIYKLVAQIDSAVTTIQNNSEKISNRSADLDAFYTNAGALSTYLECVKSKQNLKSVIDAMAKIENLRKFDVKSLDALDGGLEVVRTVSKTGDDLKKLNHSINEIKNAPMKYKSIQRIENANNHAKVIGSAVQAVSNMQNALEKKSEVEAILKNIDVTVIQKYNVNTTELEGLLQLNGSIVKMFGELETFKSSISIRKVSNLADQSEIFEKARAITGITGDIQKMRAGVEKLKESDVKMTAQEQQALETLQSQLDAMDSMGMEFVKYHGSFDGVKKSLSVFDAFFVDFASDLAGLAEEEDSKWKEESKEDGFPILIIVLVFIGLLLLAASIGNFIWNRKANDSFHLFYYRFYPHLKWESPSTSCIKGYVKIMADVVDTTYKTETEKTPTLKKKDVFGTAFKAEYEKYATIGQDVIPNKKIDLKYCTTPSPIMANTAPVLKGYGNLIDNPNGEIHANVVNLADRKTLCLAQTPQHDNSKTCTVESYWWMVRQMKAKTVIHFNAPGEWRYYPTDPKQPFSQYHSDCLKVHCKKVGVRDEVNQIATHKISVEFKGQAPHTVTLHDLEIGASGYPKAKQMAALLRTIVKQKRPVVIDCADGARYSGLLAFAAITTGFVLEEKNEASPDTMLFDKAMRQLRSQRKGCIRDAKDFAFGAMIVLEYLNLVRGEMGATSEDSKMLREGWDLWEATPTPVSVLAILPTTDKKTDVPAATT >CRE03458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:125869:139290:-1 gene:WBGene00070831 transcript:CRE03458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03458 MDQSCMEVNFLNRKSEVKKTPGSFSPIPSGVPQGTVSGPLLILQLIYINNLLLKLPPNIHFAAFAYDIKLYSHDPVLLQHEFIIFLALASATLNSTPPIEDRHGPDPLQSSRPNYYGVETYYHSPDVSAANRRDYRAVGDDNLKVLLERLQMVARVANGISLQQELSTPGSITTDKLISELLRFGATTPSQIIAINKTTLQTTMQTLKELPGGLVATPNVTAVEKALKTIDKMLEDTDGIGNLTMERRGKEDFEELVKSLDGNTVSIPDVEDAKLGWAYHDLIVSKTKPITDNNAKTYFGLIKSALTATKSAGSQLKDVSSFWTATKFKTSLESLDPTFKALAEAKKYQAPITQLTQTPPDQWTVYSTFLTDTLSKIVTLNRTHFDIEVIKTLLTAHEDVNSRNRILEHTAGFPGGTTDVSMMFVDLNDAWMKKVVRTDRLTVAMERLRDLDVLAKKVMDVLRGNRFVEDMAPLYTLIDSFNKLMEGSNETLKGITSAQSCILPAPVNTAVVADIESLLKSIDTQFQQIDEDLKELKTAVNAGELQKMCDEVLVFCEESANGEHQKAVANFKSYQKLEDLKAAVSDVFNATDKIDEAQKAIKQGAEEVQKKMSDLEIFYNGTNNIATYVKCLKENKNLKAVQDTILKVEELRKLDPSVLAKVDGGLEVVMKVVGTSDDLKKLMTGIDGMREVSNPENDLLRNVTVVSNHSMVIGWAVQGISNMRNGLEKKSEVESIVKDLDVISSYKTNLTEADDVESVKALETIGTAIPAMFSSLDSFKSTLSIPTGSKLSDQFEIFEKAKTIPGVHTETKMLATLEKMKTLASKPEDQQKVEQLEVDVRKIESMGIDFASYHKSFAAAKDSLEALDEFFVEFVQGLEAVMTGTEEMKKTDYTKYYLLAAFIAVVLLLSIVIFHYIWYKLWFYSFYMFYYKYFKHPDWEHPDVEYLNKYCENLNKEIEEVHAAKLKDAETFTKNQAFRLHFEQMFEYQNKVTKPDVVTLEPEDCVCLTKDHKPEVCKVTMPKLKYEKDRFKDSLINANLVELIPPYRNRLYLSQVPTQAGLAKFWWTVRQMKCKTLFVFNKLDGQEYYPFYPTCSTSKETKDKDLLVKYVKSVENPDGIQVSVQFGKQKPFNVTIVEEYKRYGFLKGRREPSEIASFMRTLLKQKYPVMITCYTGYVQSGNLAYAARMITQIMKLGEVKDQLFFDVFRDLRSSRNHCITSPFEYACAAMTVLEHFKSTKGSPTNKTKEICSFLKSAFDEWARALDSPDTPAPPDPPPPGPPDQPPNDDPQQPKPEVKATDQVNGGTTPGTPDDSTGTTTGTAGTSTPSQSHESADPPPPPAGKTTTEKATKKETKGPPKEPPKDDEPHGNNDDQPPPPPPPSEDTDTTPKPTEQPKRTTPAVSTGSSTDGTAADGTTTSAPSDTPENNETGLDIAPPPAPAPPDDTPKLFLHDLSSLPSNHFCAEAALADSSAAGTPADSSAAGTPDDFSAEDTPDDLSATGTPVDSSAAGTPVDSSAAGTPDDLSAAGTPDDSSAAVTPADSSATGIPVDSSAEDTPDDLSATGTPVDSSAEDTPDDLSAAGTPDDSTAAGIPGNFGAEGTPSRFYITILAPQGPESQTLTIFSFQTDNPEEGEETKEPVTKDDTMVKPPVVADEEKSKKNLKKLKKQASVMIVDREGMIVDREGVLGQARLQVERGELTPSDFEKLKEYIDGLIEEHKKAKRVPTLLEGNATTRFPKSTSKSGSKLNSKDKSKSKSKGKSKGKSKDKCKSTSKGKRRRRRSSGSSTSGSKGGKKKKKPTQQSTTQQAPAPIAV >CRE03443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:119810:124368:1 gene:WBGene00070832 transcript:CRE03443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03443 MSPHLAAFVGLGLFVKNLIVATDNNPNDPVLAELGNSKRDLKTLAEKIGQQFDDLKAFQVSLKFFENFTSTTSVLYRAMTDVTESNNREASERVFKRLYDRNHPFDLTRTMMQMIGNDETNPLKIGMKADPLETKRTFSKWKDLFGSIITQLWLIECFAIGMNEPIDTYELEKIEEENSVLEHWIENWKQDYLNNAHFWPDKIRQFVGQIQDENVEKSNQENVELIKAGLEKILTDDLFYVMVFNEKLVHSVVANPNEQHINSSNRGGCNVLVHRSKRGREASHEEMHQFRADIEGYAREMESWSKNSHFVSWEQVRTWAMRMRNCAFMVVMQHDYYVAVESTGRDIHEMGAGWWLMGNYNMGNMFQSYDVPFLMLAGFE >CRE03457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:115501:116813:-1 gene:WBGene00070833 transcript:CRE03457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03457 MADTVYAVIDIIDECLANGIFDYQKVSEGVDNIVALNQQMEGHFNQLSEIMGEDNDMYNEITQNVTNLLSAVATNLGDPGQESFGNLMSIIEETAPLECAYQLEYLLEQESLNPILVNQSEVDPQPILEGIYTQLLFVEAYLNGLIYDENMYGPEKIMDMVEEFQEDVEKWNN >CRE03456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:101282:112657:-1 gene:WBGene00070834 transcript:CRE03456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03456 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NE53] MKYHPLIGDSPSPIPSRSEFRRRFFRNTGDPPLKLLLARLQMIARLTNGIYLHRGLTTGSIPPGDLIPELLHLGPVKTSQLTGVNSGGVQDAVTKILKNLPTQLEAGTEVTEAEETLADIEKIVKALDGVEGPIQNRNVSEFSGMIEKLSTTDIEIGGVKDLGNTKKYWDDTEKLLDTKTAPDHTDAGTYFKNMKIALDELKTTKGKLGATGRLWTWTSFKSASDALAPSLKISTAATLYGSSTKSNHWSHYSSFMDKFLNNIAPLKSTLLDLHLVHNSLKAHHSLLSYNFTHSHGLPNGAPDLARMLDDLNGDTWLKSVVKTDLLVVAFSSLKSFEVESRKVYEQLGEQVLTELKPLVSVVEKLSGLEKDAIVSGIKALQTLTTPVSTFPWWPSDLESDVTDVDTSFGELQEKVDELLAAVSAPELLEMCDAVIAICDEAKDAPNRTVQVKKFNGYEKKDELKTQVDAIYELVREIDLARGVVKTNASVVKDRMDELEKFHTELGEVGGYFDDLLAISGLKSVLRALPVVVDMRKLDQLAQDSYSTGSEVVKKVVALKETFEKMEKSVGEMKGASTPETDALELLKDPGRHSKTIGLAVRGIANMESAVEKKSEVDSIVAGFDVVKKHKNLLESSINLDSVVTDVSKMYSSLETFQKSVTIPDSTHLADLFETFQKAKKVTGITGDLQKLSALVEKLKEVVKDGGDQKNLEDVRTSLATLDSMGLKFSRYHTYFLGSRASLTTLDSFFTDYLNKMKEDPSVVEKKNNIIIALAISTLLVAAIVGHLILYFCYRNTFYKIYKCCRQKSPDTSVVVTVDPLEQIICKFMGIIRKEGIEREADWFSVGSINLFTKINFSVENDKSFAQPQSTEYKYNLSLVEETRVVLTGYGNRFTSDLYHANIFKLPNNRELVLAQGPQKESEGKNSTIEKFWWMAKQKGAKAICMLCQLTEGGEVQCDEYYPDKAGEFKDFGDLRVECLENTSLLEGRLEVRKIRLKFGKEQAFTLTHYQFKGYPVNGYPESSHHFAQFIKIVLNVSGPIVVHCSDGVKRTGVFALTAHLIQMTSTNNELDMKSSLVPLRDTRSGCAIDWRDCTYSCVLTIEYIAIQTPITKKEVQDEFTTLRNKWEEVVRAN >CRE03455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:90390:96604:-1 gene:WBGene00070835 transcript:CRE03455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03455 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NE52] MKFLLFTLLFAVSAQILPRIEEDYDRFRGALYRTVSDEDEGGDAVDSSRFVRDPLIGDSPSPIPSRSEFRRRFFRNTGDPLLKPLLARLQMIARLTNGIYLHRGLTTGSIPPSDLIPELLHLGPVKTSQLTGVNSGGVQDAVTKILKNLPTQLEAGTEVTEAEEALAGIEKIVKALDGVEGPIENRNVSEFSGMIEKLSTTEIEIGAVADLNRLDSRTFFTKLEDTVTPPDHVDAGTYFKNMKIALDELKTTKSKLDAAGRLWTWTSFKSASDALAPSLKISTAATLYGSSTKTNHWSVYSSFMDKFLENIAPLKFTLSDLHLVQNSLLAHHSLLSYNFTHSHGLPNGAPDLARMLEDLNGDAWLKSVVKTDLLVAAFSSLKSFELESRKVYEQLGEQVLTELKPLVSVVEKLSGLEKDAILSGIKALETLKQPDSSAPSWPSNLETEVTAVDTSFGELQKKVDELLAAVSVPELVEMCDAVIAICDEAKDAADRTVQVTNFNNYEKKDELKTQVDTIYELVRQINVARGDVKAKAGVVNNMMDALTTYHSDLGDVGKYLDGLQAISGLKSVLRALPVVADMRKLNQGNQDSYSAGSEVVKKVVALKETFEKMEKSAGEMKGASTPETDALELLKDPGRHSKTIGSAVRGIVKMESAVEKKLEVDALVAGFDVVNKSLDSVVADVSKMYTSLDVFQKSVTVPNSTTLADLSGTFQKAKQVTGITGDLQKLGADVEKLKEVEKDNGKVQKLEKVQESLATLDSMDLEFSRYHKDFDGSKDSLVALDTFFADYLNKLNGLEKLGGDKESSSLLTFIAIALFTVLVAILVVHVVLFFCKRETFFKIYKCCRRKVPGAAVNVTADLFEKILNQLMATIKDEGNCAEGRGMTEEQRRNDEWYSDGWNSLYPQDDVYVVNEAAHAEQRLTEYRFDIPLLESTRVVLTGYGNRFINDLYHANIFKLPNNRELVLAQGPQKESEGKNSTIEKFWWMVKQKGAKAIGMLCQLTEGGWFRSMIEIQWQNYSDQSCEVQCDEYYPDKAGESKQFGDLKVKCLEKNITLEGRLEIRKLRGQFGNEKDFTTTHYSFNGYGRRTYPDFPDLFAKFMKKVLNEPGTPIIHCSNGTKRTGTFALSAYLIYDVAKTGLIDMRAALVALRDSRIGCIQDSNDYAFSSVVMFEYMADGIKIKNNKVQEDYTDMKNRWQVIHVELARKESNQLQAPN >CRE03442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:84383:86223:1 gene:WBGene00070836 transcript:CRE03442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03442 MTLLFVGGGLLGTMLIIGIIHLILFKCASDKFFTIYPFLLRQPNWWEPKDSESYLSIVVNTLFNQIKDQKAKKVIPLDTFYEFFKSSYPSYCLAFEEPTGDKLLEIDIQDSRNMQPIIKATMVKLTGYGTRFTNASLDANIFKLPYRKEWVITETPSALSANKNSTIEKFWWLVMQKKSKMVVMFDEKESKGDAPTEDKKHFPLKKGEKLQFDGLTLECLECQKDKNGLLYRKISGVFGFVIFCFSGNNKFFNKKNHIFQ >CRE03441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:79459:83433:1 gene:WBGene00070837 transcript:CRE03441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03441 MKLLLLVLLVAVTQPYPIRSKDSEGAHYPFRHVYRSANDSNLRAYRSPGDKLKSSLEHLKMIARVTNGIYLQHALASGSIPADKLISELLHFGNVTPTQIAGIEMSKVQKSVELLKGLPSSLQNPKSDVAEIELTLGTIQDILGAIDGLGDVGMRPRAKEFDAFMATLGKTDFVIQVAADFEGRRTVWISAHQTILTSATGGEAEGHFRYIATVAKITKTCLPILQNILPLWKYKEFKSAAEGLEPTMRLVDAINITDENINNLARTTKQWTAYSEYLSGVLSQLNTMRSSYSRFQNVHNLLMARKSVRNQLVEYVAGFLHGASDMVSISLDLEDPWMKSVVKSDELTTALNGLKSLEVSVVAKKIDESLKNPSLLSDIAPLVMNLDLLNKLDTNNIKAGVAQAQSAPAVASSQLDAKHVTEANDILEGIDEKFAKLEDLVPKLIQAVSVHGLAEMCDAIIKVYEGIKDSKDVKAFTDAYSGFKDMSKLKNLVDNIYEVVAEITAVQKLKTIRDDAARYGLKKMELEKYHTAAKQKADIFQYYQNSPGLKSLIKAQAEIERIRKLDQTVLSSFDKGLEVMKTVAGTSDDLKKLRTTIEAVNGVKSKEIDGLEELKDAGRHSKTIGLAVRGISGMKNVLEMKSDVGDLAINIDVIGQYKKDVKEAEDVKNLDSLVKMTDATDKMLKSLDTLESTVTIPTSTVLADQAAIFEKAKLVTGVTGDYKKTLASVQKMESESPGMTPQDKIKVDFVKKSLVKMDELDLDYASYQSSFTGAKASLVVLDEFFDRYFQRMTGTDSGNKKDEKKE >CRE03440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:76522:77397:1 gene:WBGene00070838 transcript:CRE03440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03440 MSATTQSVKTPLASNTHPVKANFGQFLGRSSVNRTLTIDDLEKAEFMRAILGVRETMSIKYRTEPGAQLITLAFTCPEKTTHHQFWEIPEKNWKKSRGGVQRAQRYSKPNRSKYASPQDITGYCALPRSAEYVSKPVVYQYSAPPPLTIFTAPPSQYSVPPPPLSTCNFVKSQKPLTRTRCPLAIVPPPVTDTSSTFLTPPTSGPSSPTPVPPSASPTKEQPLFVFNMGVVNAPMPGSRVIVKKISDSDEGFIVCEDKDFQPKKTKIFTSEELQKQSILVEEWKKKIQETL >CRE03452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:69056:69904:-1 gene:WBGene00070839 transcript:CRE03452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03452 MSAALHSAKAPLATNAHPVQANFVEFPGVNCVKRVGTIDNTENAEHMRAVLARLVKITNKLRMEPGAQLVDVTLTCPEKTTHHQYWEIPEKSRTGAKPCSKANRSRYASNPAVTQYSAPPPSARYAPKPVATVQYSAPPPPTIFTAPPSQYSVPPPPLSTCNFVQSQKPPTRTRCPLAIVPPPVTDTSSTFLTPPTSGPSSPTPVPPSASPTKEPAQFVFNIGVVNAPRAGCRVKISDSDTGFIVCEDKDFQPKKTKIFTSEELQKQSSLVEEWKKRIQEAL >CRE03438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:64465:67209:1 gene:WBGene00070840 transcript:CRE03438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03438 MSVPCCSADYSALSYTDRQCGNDSANLWLDVVVVVDNSQGMTSNGLTDIASNIGSVFAQSRIGTTNYLDPRTTRVALVTYNSDSYTNADLNQFQSTGDLFNNVFSALATLSSTDQSYLETGLSTAEQLLKAGKNQFNRAHFKRVVIVYASAYEGEGERAPMPVADRLKGDGVKIITVAYDQRGDGALLDQLAKIASPRMNFTNNRDLVPQVQGALYEANCFCPDDWVQYRQTFGDVTSQPYGTCIQPVGLTAVWQAAKLGCANRRQNTFLVNEYTPHKHNFVLKSASVTSGFSQPWKYHIGLNWRNGNWTWDQPTGWPQPVLQQWYNWIQGYPVSSSSMSGCMNVQSGFGTGWKNIALYTESANYVCETASCDTDNYCATNV >CRE03437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:61847:64051:1 gene:WBGene00070841 transcript:CRE03437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03437 MTTKDLPVSKISKHPPLLNSDHFSVEFDLLPYPITLPPNYNIIPQSSKPRLNYKQCNVKDLNAYLASYDWDLAFSTHSSPSSKYSFFIELLSQLILRHTPLSQVHKPYRPSNLINKLRRTRHRYAVLLNSASSHASQISKLKSLLTTIKQKLKKCNFRSESLILSAPHSRAARSLIKKRVRVRSSVPPLSINNRLVSSNSEKATIFAQTFAKNFSPNNYLPHLSSLPLLHPVSPPISEIFPPWSIEKTSKNLPPRCGYTSHLANYFILKNCATSLALPLSIIFSDSLLTSEVPDSWKHATVIPIPKKGSLSSPENFRPISLTDPFARLFERVICEYIKLHFAHKFSQNQHGFLAYRSCTSSLVHSISCYKSSLSSNNSLDVIFFDFKKAFDKVNHKLLLQKLALFGIPHLFIEWFSNFLSGRTFSIKIEDFTDTSITQIPSGVPQGSVSGPLLFLIFINDLLLDLALIPSLQVSAFADDIKIYSSNPVAVQKGIDLIETWASSNSLPLAHTKTSLLRLGSKNISFPYFIAGQPIETSKSVRDLGLITDSTLKFKSHINKTIASALLRTKQLLKSFKSTSPQFYIFLFNCYVLPIIEYCSVVYSPPPASKLSLSLETPLRFFTRKIFQRCNITYSSYSDRLAQLNLFSLRHRRLKAQLLLLYKFLSRTSYFPHLDSYIRFSSSTRRPMNLICIKPKCSDFFSHTIPIWNAITSQSSYFLSPSEFNTLISSSITRY >CRE03436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:54913:57941:1 gene:WBGene00070842 transcript:CRE03436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03436 MNPLLILFLLIGVSTANYTALSYEERPCGTDISNLWLDVVAVVDNSKVMGNGDLAQIAVLITEIFAESRIGTSIPNQPKTTRLGLVTYNWNATIQAGLDKFQSQQDVFENIFNALNSVSSTSESYLANGLVAAENVLARGPNRGNNYQKVIVLFAASYSSHSNPIAIADRLKQAGITIITMGYNNVGDPNFYQNLAKIASPNKSFTEKSLSQIGDIQGALLDSNCFCPPNWTQYRTPSANLGECISPVALTAVWNAARLSCRNQRPNAYMVNEYCPEKHDFVLQLVKNTPGFQQPYTYFIGLAYSSSGKWQWDQPNGWPQPVLQNWTNWDHGYPVSSSSMGAVQNQQKGEGAVWRNVGVWNSAAPYVCEVAACDTDNYCVDEDS >CRE11921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:587630:587978:-1 gene:WBGene00070843 transcript:CRE11921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11921 MPSSPFPIQLQQPKREGSTTSGSSGGGIRKQRVVLPRQLATSNGNVQYDDGSTIYEGSNNSFLAATGQRYEYN >CRE04234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:221751:224024:-1 gene:WBGene00070845 transcript:CRE04234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04234 MEGSHVMKQWDFGKDGIGHRFFEEAYVLNFSTPTDSRVAGTPDDSSAVGTPADSSAVGTPADSSAAGTPDDSSPILAPQALQMIPAPAGTPADSSAVGIPDDSSAAGTPADSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGIPDDSSAAGTPDDSSAAGIPDDSSAAGIPDDSSAAGTPDDSSAAGIPDDSSAAGTPDDSSAAGIPADSSAAGTPADSSAAGTPDDSSAAGTPDDSSAAGIPDDSSAAGIPDDSSAAGTPDDSSAAGIPDDSSAAGIPDDSSAAGTPDDSSAPQASQMILAPHASQPILAPHALQMILAPHASQMILAPHALQMILAPAGTPDDSSAPQPILAPQASQMIPAPAGTPADSSAVGIPDDSSAAGIPDDSSAAGIPDDSSAAGTPDDSSARRHPSRPDVHAVICNAARGFS >CRE03451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:36820:48991:-1 gene:WBGene00070846 transcript:CRE03451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03451 MLLLTYLFFFLSPCWAQNLSEMIAQNPLLAKMSMFQEYQENSTIPLPSSPEDTEEEEAVRRMPPKAPQPVLAPQAPQPILRRRHPRPISSAARHPRRFLAPQALPDDSSVARHPRRFLASQAPQTISTPQAPQTILAPQCTPDDSSAAGTPDDSSVAGTPADSSAAGTPDDSSATGTPDDSSATGTPADSSVAGTPDDSSATGTSDDSSVAGTPDDSSAAGTPADSSAAGTPADSSAAGTPGVYWFLPVLSSTKRSSARETASDNFDERYRQMNQSLFDSADPEIGDLDYREISMAPDDVDLQNAIEKEDLTSSTAATSPFAASDSLFDTPIGMSSSSSTTSSEFSRSATVTPSDFARTSPYFKSFPRASTSEFVSMSSTAKLLGATPTPEDVTSSEFFESPESFESTPITLSRTTSEDEERFFMEPEEMTEDADVEDISTSSTSSTSSDSDDVIRMPTSSFQGSSLVKLKELPPDEFIESHPLGKRKDENEVTQFNGRYSVSATKYYVDNRIDGEHFENNDVPELIEDTIQENGVEEVDPFAVEREMRKMAKMHAAKIQVLDDQDPLKGLERMMVKDQERRRLGDEVPEEEVISMETDKNGKRYEARQTIYKGRKTTVNLYNKNLHGHDLMRFPGAIFDDKIDEPRRKSDKRRAVASRIVLSDDEFSHVNPSSKRLRKVIAGVTTNVKSGKNMFVDQKMQKTTEDVESVCFNTKKNTAITRISPFETDDNLTKLECLTKCARTALCSATTYSTPLATCAMYQDLLNLTGNVIRSQGHSLHRKLSKTTLRCVRMFVSKEDFGIVADDYMEQDDSAPPFLRLVEKDIKSPPVSTLTASPLFSQQPKCPNGQDVIFVRSDDVEASRSQENYVEISEDDCVFACLTNSRPGGGASDCTAIEYDKTRGFCYLMTSPPGDVGMTPTPRNPVTTGIAMIGWRACGARIVWGACGARIVWGACGARIVWGACGARIVWCACGARIGWGACGARIVWESSANKCSGGQPIRHRQKVLIGHLVDAHSVSSAAECVDLCIEKHTIGCVSVMFYAKETTLNCILNDSTNLEDPASFFDETATIVDFFAIQDCLENPKIASKNWQG >CRE03450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:26324:32025:-1 gene:WBGene00070847 transcript:CRE03450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03450 MRLHANSLVFLFVYVFLAVFLFVQFQKHTVFRDEEDIHPFFRRQPELEPTLNITEAPEASIPESCACKSTVTGKIYDFCYKLPENPKTIGKRFDCKYVDDWERVGNQFIFDLPYPDHAHFPESPSKFIDLHTEAAPEPVFVTAFNNPFFRNGERLISSIRKLGFHNKIVLYDLGISKEHLEILRNKACNLEIRYFNFTNYPDYVKNLKSYRWKAIAIAETLRDFGAIWYLDSSVIFEKLNVSHVYDLVNCQNHVRKRPPMLSSSARDLREGREAHEDGWNREIWERNLKECRKGQYLMHGYSGHGILSVTNPAVYKYFPTNPSELKKQKAKMYDAGFVYAVNTRQTMMDVVKWYFLCALQEDCMAPKGADLGCMFDGDDRFINYAGCHRAKDTPADLSAVGTPADSNAKGTPAHSSASGTPDESSAAGTPADLSAKGTPADSNANDVVENSTNPLSTWCLPINSGMIGGIMSVKSLISFILIVADRLETSRTIWVVCIDIIF >CRE03448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:16259:19823:-1 gene:WBGene00070848 transcript:CRE03448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03448 MNSKLLNVARRFGSSNSTSQMMLANLTFGNMRSSGTPLILVPGLFGTKENWIRVGKDLSQRLGCMVFAVENRNHGGSSMAPSMTYPEMADDLVGFIDWVRKITGEDQVNLHGHSMGGKTVTQLATTPEYAPRIKNLIVEDMSPLGYPLKRAEYLDCIKHMIATDMNKTRSEVMAELGEKVSKVLLYQFVRGNLGEDVNGKAQWTCNLNVIDETYIYLLSHDIRFGVFEGPTLFQRASGSGFLPAAHKNRVEKMFPMVKFAETAWSNHWIHADDPKFFVDSICEFLEEPNELGDLGRKAMI >CRE03447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:14475:15921:-1 gene:WBGene00070849 transcript:CRE03447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03447 MSAPFKPPEIARKSPTNLQNIPVDAAYRFSPLSEHFPVQHMPENDGKDVSSLSVSSLKAELEKRGLSSEGVKVVLIVRLTKAKIVLKTPIFPFKKTKSTQKALGQNTKSFRSSH >CRE03435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:9187:11633:1 gene:WBGene00070850 transcript:CRE03435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03435 MLGEPQQEIAQIICAHGATISTTRATLQRAPRSLLTTSPDSTSDSDDKIVRILVEALRRHDMSIIVSESFDQWARLAAEAKRLGLISFVEAACPSTISISCHAALSTGRINPEVTFRKVLRIVVSGKVIMCRAVFGDSLNECRDGGGTDFEMDRYTSR >CRE03446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:1391:7740:-1 gene:WBGene00070851 transcript:CRE03446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03446 MTFYNTDLAIRQEKYSSEVLKEPNLTAENRFDDKMSATDTPAVGAIPENEENVKNVEMKEEEEADITIEESNELHIVEDSEGVTELKMEVKVLAEQENEQNVEKKEAEPIAEQQQEVIKEEEEVEGEDEFEEDDNDDDVMILEPPKKEEKSEKEESPEPPFTTQQMQNSQEDEIFLDYEEDLLEDPLDENPVKEEKKASEPTTSATSSEASGAPKVVTNTTSSTTSTKLFADDSKKTSIWIRGMTPSTKASSVKQLASQYGKVVQSKIFNSRPIDGEVKNCFALVTFADVPAMELAIASLHRKNYQGRVLRVEKVSESHLTGSAERIAQEKREAEEKKKIDEQQPTKPSEPPKKRAVIMAPEDTPPKRTTSSSAPTKQRPTIQAPPSSNNNNNNKSKRPQIQPPERSRSRERSRDAATAAATSSKRKPITYDDRNLEAEDEGWGRGDANRSISIERDSRRSIAPPPPVGTRRGNVSPLRSSSRSSGRSGGGHQESLIISARVDTSFSGCRGGGVTIQRSVERSIPNNISTSQLRRHQAVATAPPPTRHAPIPPAPRASYQTEQYTRETYRQERSPPPPSRRRYAVSPDRRDSDPPARRGGRGGGVQKNPEPEPLRRRQYDEDERAQRERAMVELMARKEEEMRVKEERRELEREKEKVRFEKEKLEREKLKIELEKQKLALEQYAAAGIRLPASGGSSGASDDRRGRHDSGGGRRRPASDAMPPAAPAPKMSTHDRRGGSSSSSSRRDQGGTQTSGITDTQIDRDIWTFCLQTDIGNNRFTDRK >CRE02590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:6508:8841:1 gene:WBGene00070852 transcript:CRE02590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-4 description:CRE-GPA-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N9T7] MGCIQSTGSEAKKRSKWIDEQIRQDNDRCSHEVKLLLLGAGESGKSTIVRQMRILHETGFNRQEQMQYKPVIYNNVVQSLLAMIRMMGPLEIEFADPSNKTDAHRFETHYLHVNNLDLPEAFTLELADLMKNLWNDEGIKRCFKRSREFQLNDSAEYYLNALDRISAPAYLPTQDDILRARVKSTGIVETDFMYKDLNFKMFDVGGQRSERKKWIHCFEGVTAVIFCVAISEYDLKLAEDKTMNRMHESLQLFASIVNSRWFPHTSIILFLNKMDVFEERIRHSPLTICFPEYEGGNSVTETSNYIRSRFEKLNKRESEAHKEVYSHFTCATDTNNIRFVFDAVTDIIIRDNLKDCGLY >CRE02591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:10266:11878:1 gene:WBGene00070853 transcript:CRE02591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02591 MPTHLNITSTYDSHLRIYLFGGLQGLDTIFSVLYCIAVLVLQCYNPFFIMVAVLCFGNILRSTFTFCTIISWCSYCDQTILNLLNLYVDHTFWNFQSGMMLLIAIHRFMDFSYARISDHIFSQFLAFLLTSWFFCSIALAMFQIKLGKLQRDMFLEHGWVDVRMDDCVGHFVAMKIPYLFPIFTIIVFIIFFYHYKKVPKHQVKFKDIPGERNTALLIILIMTIQTTLRALYDHKLHQPLSSRFNDHPYDITISMASFLPEILVPLFLFTSISQFQERVSDYKTPTLSTLSVFQ >CRE02605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:11918:14317:-1 gene:WBGene00070854 transcript:CRE02605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02605 MTISAIFLTNFVVFLVFLNGSDGNIGNCDLSTPPPSWLSTNATGILDWATAGQPTNGSNFCVQGSHDDHTFHVAYHIDLGGIDTGEEVKKYVDHDYRLGSSQNGCVNNNTRIVYCFALCLNDTLQNMVIESALKSNQVSFVAQDIQKTTQLDWAITVMQVDYNDPNTHLNASAFLVADAYCSVYIGIKPKLGFDYLYEIQLGKILKD >CRE02606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:17576:21246:-1 gene:WBGene00070855 transcript:CRE02606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02606 MVYYVYLYPTMANVRFDTKQEEEIEYHTDRRFHKEDVRCAGRQGKEGTKKQTPPHAQAQNSNKDPANKNATKGDGEILAIKVLIPSSAVAAIIGECGVVMNTLRKDHKCQIQISKNETYPGTLEQICIMKGSLRNILAVIESIQEKIRMKCADQNLFQKFLNNGNVSLCGAQVLIAVKRYPDESDVSDIISQLRDNHVMVRIAVDSIPSGGSNSASLYEMAYQTNGYCAFATGSDLSITFDWMTSMLQNPYQFVAQNFLVSGTGRIELAVFKTPKPPGSTDWCLFAVTVQNHTLDNSFISMNYTIESTDRSSVFKFPSDNSAPLYGTEQTDDFIFYSSLSYKWTIDYQYNNDEPQIIECRMYSESYHDFVPLPDF >CRE02592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:29883:31565:1 gene:WBGene00070856 transcript:CRE02592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02592 MGGSQSTTSTRAAKFSGNGGNRRSVRAVRSAPATEMNSRRATSCRNTNRNVKEFSKFWSDAGSVSRSKSSTRASTKQRNGTGNVNGSIRRNRTQSVDVRRTSNGLQVPGTPSNSSSPRINNTRRATSFRSNGSATSSTLTVNGDGRKSRKGSTTDLLTPNSARSEVYSVEFNQAAIAAARLKRLSLTPYDTLSPRHQRNNSTKKSTPSSSTSTLTTSSKNLTPHSRPASSTHAHRNSYHNSPETAIY >CRE02593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:32966:35564:1 gene:WBGene00070857 transcript:CRE02593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02593 MKIEKNVSYEADGKTYRIYDVTAEDPIQTRVRNHYFTQHQSQTVGFVQEMHKKWLKFDHAKMPILGCLDMLATFLDESDPDVDEANLIHAYQTAEKIRENHPDKPWMHLAGLIHDLGKIMSVWGEHQWAVTGDTYPVGCAPAESIVYGKASFQGNPDIDHMVYGTPMGMYEEKCGLEKLMMTWSHDEYMYQVLVNHGTTLPDEALYAIRFHSFYPYHSHNDYLQFQNKRDIEMKSAIMMLNECDLYSKNDETPDIDALKPYYQSLIDKYVPGNVNW >CRE02607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:35661:44667:-1 gene:WBGene00070858 transcript:CRE02607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-test-1 MKILLAVLLCHVAWATVIVVPGDDDVEWCAQAKCTERQMCIMIQETQEVQCMPPQQAMEFVKIHASRQQGGSQTAQIKTRADATPVKAHQTHCTRSELMRMGGRLVKWFKDIHSAEAGTDRTMKLHTVPCRAEIGWMFNQWDGDMNGRLSKSELRPLERGGNEPCVEEFIDMCDDMVVDGSISVDEWCDCFTFADDLRHEPPCHKARHDVDPHLLGVFLPRCDLEGFYKPEQCHDGNCWCVDRYGREFDKSRVQNTLPDCGQYASDLTEEDVAYLKARI >CRE02594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:46119:58288:1 gene:WBGene00070859 transcript:CRE02594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-37 description:CRE-GCY-37 protein [Source:UniProtKB/TrEMBL;Acc:E3N9U4] MIGWTHVCVSQLILRKYGPEVLEEILRKAGYTEDVQFDIQCYYDDTETMRIFRVAASVLGLSVDDMWEMYGEFLITHACETGWQKMLFCMANNLQEFLDNLNSMHYFIDQIAFKSEMKGPTFQCEPFGESGLKLHYFSFRQGLFPIVKGLVRKTARTLFEMEVKVSMLERNQERRKSGMVEHVIFSVEPDDNHRKGKRLFYKFRNNKAQESAPIFSISSQMLVGLRDFKNIFPYHVCFNKQMVIEHIGIYLLREYGLENKKTLKVSDLMQLVQPSDIQLTYKNVLSYLNTLFIFQLKHHSKRNEVQEGSSEAFQQPLVLKGEMMPLNDGNSIIFICSPHVTTVRDILNLKLYISDMPMHDATRDLVMLNQSRICQMELNKKLEETMKKMKRMTEELEVKKTQTDRLLFEFVPPVIAEALRASKPVPAQEFSDCSVIFTDIPDFFTISVNCSPQEIISLVTDLFHRFDRIIEKHKGYKVLSLMDSYLIVGGVPNANQYHCEDSLNLALGLLFEARQVEVPKLGQYVRLRIGVHCGPVVAGIVSQQKPRFCVLGNTVNITKTICSHSLPGKALVSNSVRTMVTKHLKSIFVFNANGYLELPNAKIMTHFLEKNEKCSVWDIVEREKATNDSIDGYRELHSDNGAVQWQEATAAAFKIISVVDALENKQSRTKKALTRLRSVKRKFQTIQSNDSGVSVSEPNVESTVCSIM >CRE02595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:71736:74280:1 gene:WBGene00070860 transcript:CRE02595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02595 MPLDYQSEDATTSSRNGIPNGALTVLIIAAIAIVSVVITLFCMLFNNRRAARMRQRTQQRQAQTVLRLSPTSALSSPTDNTVRPAGFPPLYIVPPSYDPKFDAPPSYEDAVRVMVAENQNQQRTVNLNQTVITIPEPSTSGSTSSSSLDSDGAAQIDVEMTHHHVPTTSTSGVTV >CRE02609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:87697:91281:-1 gene:WBGene00070861 transcript:CRE02609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02609 MSWVASVASPPLVNMDRKQKQNTLFGMNSKEGAPTVGYNALMAQYLEISGACGNMRSAKLCILGDDVEILASVCSVLSFFIRCSAVQHIDSDKMWEVPTEQPFSPIDVSGSPASMLGCAHHHQNLNNLIKSQKTNGFIANGSATTPSGSTVILNPHHPEETSSVAMMRRLRNNNMMDENAPSTSTAAALLDADVAMKTEITVISTTHSHSVCVIDRVDFPCCPTIADAEDMWVPRPNSEGLGRSMFAGPLDNYCPHFVLSALMKSNANMPDVYSRMFDEVRCNDSPCHRSSTTSTTIHHQSVASSSSQSTSSICDPSNPENVLIVADIEQMTVKVLSSEGSDEVTSPSESVVAMLEQFVGIHDAVPATAEFLVGIIEDSLAHIVGKSLTLVELVRSDQSKHPNSPQLTPDRVRTIIGCDHSDLRLIVNVAAVYWPPVLQSVLG >CRE02610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:111085:115848:-1 gene:WBGene00070862 transcript:CRE02610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02610 MGHISGKQCPMVLFIRLLLQLSSSVSAELSRSMSVSYSTPLVRDLEESGSAQVNVTLQFPRDDFETDNIEKYLFNVDSFHPEIATATDYTSKLKREQFQLSANNQFYETETIITVNGNLLGKTAMKMRLVSIDEKTWNGKRWNETSDIQMDPRLKSDEMDSVLDVWVVRSKSSRRWTFIFVVSVVILISICNVMMGCELDIDSVIATLKKPVAPAIGLFAQFIIMPLLSYLIAYAIFMPRGLYSMALGLFVTGCSPGGGASNFWTLLLDGNLNLSVTMTFISTICSLVMMPAWLYFLGHPFLQGFNSNAVIKVPYGKIASQLVTLIIPLLIGIAIKKWKPEWAARARLLMRPFVIFVMIFVVAFGSITNLYMFRMLTGPALIGGLALPWCGFMFGCFTALLTKRKPEDVTAIAVETGIQNTGIAILLLKASFDQPDADIGALIPVIVAAFTPGPLLLGAAVHLTFKALRNRRQKSSSDSDSIEKQAVELIDASKLSESQKLNIASNSTTILPNDQYESLLDRTPELPAAAVIVQQ >CRE02611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:122353:131408:-1 gene:WBGene00070864 transcript:CRE02611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02611 MMLNIIVSTTILSLSSIKDTDGTGFIFEGFFTMAFWTNVYQNLSISRIVELLDQQWIVMLASNNPPGPSASADQQLDYSPLPPADELPHLQFPPREESIVNENADQDQIEQPRCSLNITMTEESENTPKIEPEVKKTEETEKVETESVKEEVKDWVPEMYRSHGGDPRSVNLNFAVLLSHISTDLITDSYEQFDETIRTMLKMGHNLNSDNIVKVIRIPMLEDGAPNKNSALRALICFSDKIQQCRCLARKAKFEEDQQKVEAIESLPESFLFISEEDRQILENGVHEQHEEEEENDDVGEEVDEMMEEEEGHDEHEEDDEHEDVKKEEEKKETKESENKKSSGDVKSQKPEEPKKKVYEDDDEKAPFEMQWEGAPEFQWRLCDGARDEKKLIIENVMWADLQDVFIYRTIQKATLCETSFPTRYSNEGQRPVYGKLTLIFPGFVAIMDEALKHLIYFRSGDNRRIKVFLPQTTVSLKRKEEFEGKLGRLIKPTQRMMELVIKILPDGYVPTLDDACQWFPDQSVIGCELVQDEMGKPCAIVRFETAQEAVAAHASKSFVFIGLKGEEVKKEETIDDKDDSVVEEKRHRCNVFMRGVEAHFGSYLTFYDQRKKAQEQSRQNRQKRGGPGPSSTAAQKRPAGSTIRGPVQKKRAISPKRAGGAPRDSTGGTPRGGNASRSAPRGGSGGGGGARRPSSPVRSSRQTPRGNVSRGGQSTRGGSGSTPRGGGASRGGSGRGGRTGDRGMSRSAPRSSNSNYRSDSFSSSSQRQQPRTDAFSGYGYAHFDSRYGASGSSNDRPAVDPFGRPMYSDGKS >CRE14914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:103814:121497:1 gene:WBGene00070865 transcript:CRE14914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14914 MRRGTQKKGGNKKKNGGGPRKSGSPSKGGNRGDGKPTGRAPKSPEKLDFSFKNSKNPIFHFFFFRISDEKSCENVPPKVVKGPMTPEKTPKPVESGAKEPEKPENKGKDPKSADILEKKEGKERKNEENGKKKEISVDQVDGRGKKEEKTVDEEPKNRRSEPGKPKEKEPEKYFEIYDWEKNDDEYNVQQAIFVKRYHRKFAAVLRLIDPEFRYAAGIGPCETFDSDGIKKLIEGMKKLGVPNPWPFDEQFKTTSDEIRLFFAEVLSKIKFCLRLACAERGYEYKSGKQNAHSVSAIWEEKMHPIAELKTIKSIMDEAKSAIMRDSAVEGTTRFWSEYDDIFNEIVPALEAFNSKKLSSYSISDCMATIHGRRDLGFKITEEEVDKMLEHSFKEDGFGEFPPTALYAPEVFTTVNHLKLIFGQLEKMSKVIDIRVKLSGIQTITRIFEMIDDEESEKIMKEFEGHPKIPIEKMFSFNPINFEVIGGNQPKRGQYLKVFCTTLAENNIGDDFIFNAKRLVAALKTLYLVFSASEINMIIFKIISTKLKSEILEKDQQILFDVLLTLPEYLKKLLEIGPDYYTGELADKNEDSMYFQIKNIIKNGVKDGISRIFNFLKYNSERETPITSAEKVMRMAMKCIDSGSNQMMAVETLKLLTPLSFTKFRFSDEFFEDLIVLALRKYSYPDPKTSVKKYEFVDTVFEILDKYNEKGLMKIDEKKIMEEWANCSHPWFCVFYLSYRFNVLKFSSQRLPTDFPLPSAGVWAEKGGIDLKKFVVLVKVPTSWPNCTDLKVMEDLIAEYVPAEVSRAELYAAMRDYVSEMDLDEGWKRKLVTVFKNKIQFLTSHANKAEKKAKEEKEKESKTEETTVEVAKSDVENVGQVEEKKEAEKKAEPVEKGAEPSSDKEAELVEAKTETLTPEIASEGAPEEAKVEEKISEELVDSEDVTMKSEDVKEAEKEKSEELKSLNTLPPTSFISFYTQEQWNILEEKIQVEIMRQRAEKGYSNKMVGVTENDSTKINESPKPHYKLHNSMTLNDYIQEYKKTGQPRTSYPEGIWHQLPLEMKRQIRSHRQNNNQQYQNKRQSAGREEPAKQWKTPSPQHQKNGERFRKNSEKTTDRLFEPYGHDPNEKLSDDAFTENYSEEYWKSLPKKVRDEIYRQRAIKKYRAKLAAQSAAATTSTTNNFPQPTGQSSQQ >CRE14916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:129931:143127:1 gene:WBGene00070866 transcript:CRE14916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drh-3 description:CRE-DRH-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N7Q9] MSLLIHVSTTIRRILAYFVLFNVGLGLLGLPWFSIYIGLSGLCLCFFTCFHVYKKNDRMMFPFYLYVLFTIFYLLFLGGYFFFVNIFHKEMVKGRDFSHLQVTTLILFLTIPGFDMKHRKPEDRDEWYYGLLDAMRHDAANRSALHFLNSKYSEELEERDKERDRLRLQVLKGQQVNEEAVGEQIFQTIRPQPKDNGFIPSLEAGELVLRTYQEELVQTALEGKNCVIIAPTGSGKTEVAIYAAMKHISRREAFGEHSRVVLVVPKIPLVTQQKERFLKYCNGKYVVNGFHGSEKSDSGEGRRDDVLASHIVVMTPQILINMLQSVRRNERLYVSDFSMMIFDEVHYTTGNHAYVNLNRIVQEWEYDKPQIIGLTASLNVNASQQTDINSMLNGIYSMLALLNAPHLSTITHQSSIDELNKYVSKPDDTIEVVQPGENVLRSHIDNYLNTKHYKLVAELEKLSKSRHNCFPAGSFRSFKNAKPKEFMLYESLVQSLIQDLNKLNTPDKMVAQKWTKYIRVYIEARGIVDVMPAMVAFNFMEESIRQLNSEHTLDQFSDFLTDKVYDPLKQRSEGVEPEIVKKLKTTLVNQFKEQPDSRVIIFVTQRNTAQRVSEFLNESGILEQFLNTTTRQKTVGYVLGTNNTGSVQQSPQEQQRVLEQFNSGKLKVIVATSVVEEGLDVTSCNLIIKYNCSSASAIQLVQRRGRARAKNSRSVLLAVHSRVQNDESNAMLSEKFMRQCVKIIEQNGNKMLEKEVHKATVDIQRQRAAEAAELKQQMERNGRNIFTVNCAQCQWTFCKSTDIKKVSSNYMAFHPMVWDNVSVESQKKAPSYRNEDTQPLSILKCHKCQHLVGKAYKMRGVYLPQFAVKNVNFVAQNNDNGTATKAHWSGVQDELFFIGEATQHDFEIMLNALGNTEANMDKKRILDLDSKQLMKLVESKRFLAMKEKKEQEARMKRAEDEENGVVPTNGSTEFSEDED >CRE14917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:146665:148263:1 gene:WBGene00070867 transcript:CRE14917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14917 MLDPRETFEKYERRSPHPPVVSERREYISCEYRREPVEQSEFSGRPNSILRNSNVHSYQQDRDREHARLACEYKREPQPEQGQLACELRARPSTTITTFLPENTKRKLPLGWIVGIAVCIPVLIIIIVFAAVWLQEVGFI >CRE14918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:155539:160314:1 gene:WBGene00070868 transcript:CRE14918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14918 MPRVKNSRVRRRKNPASPTLPDPPPQVANLPPPPPRVEITSVVPESSENDVSKWPATSSYEYIAQNCVGESLTATAKKSIEEGAKNKICCSCGPGVDCSTNERCECRRVAAELMKVYTIKKRRPKKVEKCQALTDRGLKVKPDMYYKQMFFNCGSGCTCGPSCGMKVLLKSDERQSERFFIQRRNLNIGFSVFTKEPIEEGTVVACLNGEICGERLVNTDETVAEYSMTLLDENDMLLKFISKTSRLGNRQKKYFKKIMLKKTDKKISTECISVNTAQKGNFTRFLSHCCRPNSMVLRSFQGGLSITDIRLFFIATQRIEAGEEVTIDYGAAYKKERLVNCLCGHCLSKKPRKAPRQSNQHAPQVAVEEQERGVDYQEPQNARNQAESRSTRTRKRSKPMRSSPPIQNNEDQLPRKKKRSTDTLDQN >CRE14930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:170245:178052:-1 gene:WBGene00070871 transcript:CRE14930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14930 MSKNEPRRSSRVIHPPDRLSSDYSTTQNTEKPKTGRKKKADKRRLSKSEVEKPEITKKTKRTRGGPTPEWYDRKVKTTREFAPNFGNSVSDEQEIKEEMEKIKEVMDEILKEKEEILREESQDIADAIGEPKVHIPLNQSTEPKTEIKGKDGRAAFPLIGLPKRKKMIYQKVTQRKMDFFEPITKVVAGHDEKQLSHVPVIHEHTDDMAVCSQIYKIYQNGIHGYVPDASEMSPQLLFQLFKKLLPTITNPDLFYYAMHRSYPNFGSQDDMSERFPKLVRKFVRDKQEIKNLLELEPWKPKKEESEGDDDEEDEDDGTMDSDFTGNHVIKLIEIYNGTDRCSEDCYKMLEGARLNEKLGKFLTEDNYVEVYIMKSCKAEEAQFINEMMRKNKKRRKISNFCETSRKFPEISCAEWFGRVMRVCRQPVDGIFQDEIMHDFKTRDGRFRKQMIAEETARKRRKAEEDDSEGPLDDVDSCTIAPITPCDHFGPCGPEFDYCSCKGICSIDCKCNINCKRKFPGCRCTKMCLKGSCPCRKSGWECNVKTCKSCVDLDTDDLIPCCKNTDITRNQGKLVLVKTSEIPNAGNGAFMGEDVAKDEYIGEYVGERISEEETERRGKFYELSTSYLFSLPGLNGSIDATRAGNQLRFVNHSKTPNCRIEYRMVDNEVRIGFFTNVAIRTGKELTFDYRYDNGHAQRFFNLKPVDRVPKFACYERELNNKVKYKKFNVEKLVKQIEEESKKEQTVTRSGRLSKNRRYDSDVSTSSSHLSFDPTQPSTSAAAAAAAAAQHPIILSDDEEEDDDM >CRE14931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:179805:188020:-1 gene:WBGene00070872 transcript:CRE14931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14931 MNWQKQRHHVLDRLAFVPGGTDREGNSLLVVSTSQVQECSYENLVSLLALLSDTLPPSTLFTVLLDTRHIQLKQLKFYLRACQQALYKKVRQVLIIQPEKFLDQQKINFDLIVSGYTLKVCRSNFEKSIIHFQTVLISMHKLSKFVDVNQLPEQFGGTLGYDPDAWLEKRIEVKKWQNYLAELDVNTSRHNLDEDQKLEEFVTRLQTTKRIEDEYAALSLKKSIREVKAREEENSKEGLIKDHTAGVSDFLDWIEGSGEKWLNSLCQVADNVDEAESLVKQHEELTNKTKEIEEQSHQLAEMATRLMAACPQVAIVLQKTREQIRDVAEHFAYRVEAQTQFALSNRNFREKVGEFIKKSDQMLEKVCAEDGNTVKPIEELTTTKKELDDSVNAMNVAFEAAVDAGEGTVAKVRQYAEVLPAEDMIAQIGSSLTYISQRQNRHNELASVKRLEQQQHIQLMTTYGDCDQAIKWLGELKETLHKEYSLSDIDEDAVRNLRNDRQNLDRTAVSTYQYGKQLLSMAKNSERSTMKERTTEERKEKLEAAWKELDLAIQNNEQRLKVVESFMATHRQMMERVIEIEKSLRERLRVSGKLNSITLSTERKRLRNDIEELSNIGKMLSAQINADHTTTPQDRQTAMKQISDKIDEVMSAQRRMESLIGEDEEAGSSSSGKEDKHTPRKSRPLSKVPEEEGGDVSPVFPRAVRLLETNEGIAPAIRLSKNESYL >CRE14932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:193828:196698:-1 gene:WBGene00070873 transcript:CRE14932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14932 MHYNLSPEERSCKICGDSKSGFNYGVQSCNSCKMFFRRAIDTNKPIICKKEGKCDFRQVKCRFCRFHQCIRIGMKCLLVSDDPTKTILSLLEKNATRQKNFLEAMVPANLSVEDIFTRGAFDFEAKPADSKYGFYDWALINQLTCIDFATKFDFMKYLSAVDIKAMLKYSHLMYVILVTAMRSYRSKKAVMCHPDGTDIFPEEVHKITCYNDHFLNEIRCSLIGRIIELNVTEEEFVLLSAILLCNPAVPHLSQSGQILLSTHQEMFSTALLHYCVLENSTGGPTRFNEILSLTHVISKTQKDIDYFSSLFPIVQPQDVKSNLFTDILSFLLR >CRE14933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:205064:205550:-1 gene:WBGene00070874 transcript:CRE14933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14933 MQIKLISRPEGTPEWMAIEMHGMISPHEGGFDGKTLGTICWGDRGNVYMIVGNQTLEGKISKTDRPLLVIQKSEKIEGEDEKNATVRALIRKKLVFKVRPRPLVLSTAA >CRE14920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:205769:206556:1 gene:WBGene00070875 transcript:CRE14920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14920 MENIFSDDDDEYEAFREHLYEGTAEEENEKLVPMFGMYALIKTTNTQKPRQDLFLRGLEAPSNDLDPYKDGTFDHKKPVFEVFFSQKIDFLNRNCFQNKKYCGNFSFFGMKQDRFQMEPATSSHEDHVAERQKLLEKLHKYEENQENSVNSEGESDENEKFEEFQCKKPETPRRRPMATRNSNVDTPRPEMRKRKRIVLSSDEESEDSDEKTSPRRKRVSPIID >CRE14921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:208297:215305:1 gene:WBGene00070876 transcript:CRE14921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14921 MGKNFDESTLLKSLEGVSDAEKVQKLIKKLAESEKLNGELKAKLVDHDKVAKVKDLLEKKLERNNQILLRTEEAKSKLEELCRGLQKANQQTRDESIAKMKKLELERAQAVEQLKITLKDIERTMNEGRQKSDSLAEDNKKLSEKFTEIGQQYEERMNVIDEQMRKKEKYYEEFGKAKDLEIKLLQAKLDASSIQVRKAGMEKDELAKIVLEETARVGGALQTEKALRDQVMEYSSKYSELTSCLAKSNEAFDKFKKEIDRVNTKCSQIEKEGSLFKKKCEQANQKVLVLTMTNQEYAEKIASSEKKIQMLENLCRALRKGESSPAPESVTSSETN >CRE14922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:228030:239137:1 gene:WBGene00070877 transcript:CRE14922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jph-1 description:CRE-JPH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N7R9] MNGGRFDFDDGGTYVGGWEEGKAHGHGVCTGPQAKGEYAGAWHYGFEVSGVYTWPSGNTYQGQWQNGKRHGLGVEQRGRWLYKGEWTQGYKGRYGVRQSANSQARYQGTWSAGFHDGYGTEIYVDSGSYQGQWLRGMRHGYGIRKSTTYANAAKFRSKSQTHASLTSLRSGRVEEENAEEHRHKEGLSGRGGFVLRANSAAPQRRRRSLSERSLAVKRTLLSGLRIKKQHSTGDIHQRVASMTGSLRSSGSTMSCTSEDSLHHHGGLHQPEEEAVEESSIETYCGEWKNDMRSGFGVCERSDGLKYHGEWANNAKCGFGVTTFKDGTKEEGRYKNNILIASSRRKGVIFMRASKFREKLEQAMGAAVRAASIAQQKADIAASRTSTARERSEQAAFVARQATEDSEGARLIAKQFDPSFKQPGTRRLLKDNNGGESFGTDLSDAISYSRHLSQTHSKQHSFEQTLSVDMADDMTPPSRNYTNHVSSSSNANTNHVNFMPQYGYQQDPQLQTPIISQDLLPPQHIPNIDPSIPSVSVQQEEEKPLILQPQSQLNQPGPSNLNTQAPPNVMSSRFSLSDDHYDQYVMAGGSQQQQQQQSKLRRNRPSLMRQADVNESCRNKKIDYFLQAGASSGLNRRSTLASARDRNGDVNHPGAIGKDQAARLTSGGTDGDNMGSLPNLAELETQGVRMRREEAARLAGQRRQEVLREHEEQALLRANPLRHLLQPAFRAWLVRWRIPILLAIANISLLMLFYHLLTYEKKKKSS >CRE20055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:96469:100091:1 gene:WBGene00070880 transcript:CRE20055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20055 MLTLHLNTFYSQSTTSTNVLWNPITRILNVQNRTWRKKDGKSLEFTLSLEQLDEFLEKFDCDKSLLTTIHCECRQFRSLTQHHNAKTFDEHFPRGDRDPNSLIGKRKWKKADEAGRKKLIVENMSTATRNIILIRHGQYHLDGEQKNLTQLGRKQHPEALAQKLATHVVVADQAVTTIPTCAGSQELETI >CRE20053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:91658:94807:1 gene:WBGene00070881 transcript:CRE20053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20053 MTRVNEKDLLAVIMLETAKATEPYPNKDNFKKFARETGTKASVSSYIKTFRRIVDTLDTVRNYSLEEKARMLFMTSTPVDENMLEEMREIAVIEVNEDNQIMSYKSKQGPEAFQGQPWKLRKRKNGHKGKKSRPQAADESLKESDKEEVLGAVVGQNEDLNDLQEEPEPDAVVEESGDSEDVEDPLNEHVEDSEVLEGADYTINVNYSDDRQLPEEDYQVDTGMKDSEGKKEIFSKIYEGLDGIVTELIPEDLNEIVEKFDMIPGETDLAVDEIDMDFFRKQYYEDLEDMESLDQHMLDVDEEGVNENTLEIQKKYFGDLEEPIAQHMEIDEILEEAINENNQNPEREDSSTPSVFSDFPSDDATGDSEGSIQEDMDSLCESRIEYVDSLDEEFLNESVDMDIDFSLDDDIDLLSSLSDETNEDSGKNEQLNHQVVSNPPKKNLKRKLESQGDGPIAKKIPGGEHESWTTFRSLVKNYGGNENPEVKAILSNEAFLKSISPEFPYSERSISDMLSKKVHVEVQTDRAPTSVPSFMRLSGFHNAWLKAQEHRKKALEAVDAPPAPEPAPSNLLKASEAQSKTVDSESAHPAGTTKPERRDLGYKEPGVRSTHPQPSFKPKLFDQAIPHEPLVSSVAPPAIVNPELQEETIATSPAEFFQSEIAPPAGNTETTPDVKAPVPRVSTKQKLPDPRPTVRLEILDQGIPHELHVNVSAPNVIIKQERQDAEYIEEEEPVERVPEKLLTNRFFISLESFLNEMESNELRGLLQRIKEVRENGETRGLKFNAETLSVVVDVLLASLVKKSMNDEICKRSTLLPLMNEVLDKFLFQMKAIGCSKMTLDVTMLVESEKKRIEKEVTRVSYKLIADQFSKMIDNLMPL >CRE20048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:69440:74602:1 gene:WBGene00070882 transcript:CRE20048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20048 MTNVYFKPVNDNQTNKTGDNSRNTMSNSQREMKWKPVARTYAQAASTNPADDKTVTVCGYKYNLLKLGISPQTTKRSPPKPSRGGARISSVYTLTDELEIKHREEGKITLVVDLPNKNNILCPLCRECTQTRGRGSSFTKHMKLHMKDKHQLKATFIYKCSMCNEYEPKDKCGTKWIQTHLQKVHNYKYDESAIVVPAPPNTRQQIANDLNDAAPFVDIRKPKAVVVEEKKTENGALLKFLTKSNKDEQEHSQSNDSPNVESPEKETQALTIDPKGNNSPSKSSTRSSQSSASSICQETQEIITLSEDEDPKGARPKPGINVWSLINETGKDAYTDIMMAFLKMRVENYDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKKRVVFPICADEHWTLLTISNGIAEFYDPTGSRMSNYIEELANELGLIIPKEQDEQPRQRDSYNCGVFVMKMAEAFIQDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKSFAQSRPTSRSSQCAVCPTCSRSATPIMDVGNMEVDPIPQHQDTPKSREPEQDEGWKLVGKNRKRRVVTERSPNISPEAKRPFIGPEITVSPGKFLPLAGETEEMEVTNDSPPVKEPITEPKVTPSLPAMKIASPEVTKKQTSKKKGKYGKKNQQTKKAQLPKGESTKKAQPKGEPTKLIEQVRSWFDKQMKSYQEQGSNIQTLTWIADSLTAAIFKANSGNKYLVDKITARCPPPLLNEGEMATQTSRRTEAVKPKDRFVKESNEPLRIQYAKNRAKTFNVIIGKHSARCEIDINAVENHFRQTLKAQPVTEEALNTVCSGIKKVKVDPSIEDPISPGEVKAILAKIKDTSPGTDGVKYSNLKWFDPEGERLALLFDECRQHGKIPSHWKEAETVLLPKDCTEQERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISYCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCSWLDLTNAFGSVPHELIRRSLAAFGYPESVINIISDMYNGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQTRKIGYSCVGNDVRCLAFADDLAILTNNKDEMQDVLNQLDKDCRSVALIFKPKKCASLTIKKGSVDQNARIKIHGTPIRTMSDGDTYKYLGVQTGNGGRASESESLTQIAAELQMVHDTDLAPNQKLDVLKAFILPRLQHMYRNATPKLTELKEFENTVMKSVKMYHNIPIKGSPLEYVQIPVKNGGLGVMSPRFTCLITFLASTLFKLWSDDEFISSIHKKALSRITAKVMGLKTQTATLQEQCDYLNTKKAITKGGYNLFSRMNEASRTLSVNLGAPLKSMQFIPENGEIALEVQASENSQIKVFSKADSMKLVTKLKDLVKSAMLKNFLENKKVKSQVVQVLQHHPQSNKFVNDGKNISISSQKFVHPARLRLLVCNGNSYGEDHPKDCRRCGYECESQAHILQHCTYNFSTGITQRHDRVLNRILHEVIKGRKNNDYYDIMVDTEPGPTRERPDIIMIQKDGPEVLLADVMVPYENGVVAIEAAWEWKIDKYSHFIEYFARQGKRAVILPLVVGSLGTYWPDTSNSLKMLGLSDGQIRNLIPDISMIALESSKQIYWRHIFGDSYRIVTELYCTKNKQEARFGDKPMENVQVSDRFQPFKTREREKKSEEEKKRRSKSKKGKTWRGSKKQTDSRQSGKSYQNQGFQRSVGQGGSR >CRE20047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:61748:64322:1 gene:WBGene00070883 transcript:CRE20047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20047 MSSLANDFEKVLRAFIPKELIPATWKFDEKKRRVENIQSLMKCGQLGIVKSAEQLNRDIGSCMCFPGSTQHFEIDSVVAPSPDDYTSLKNTMYIYKRDLFLLFDFKDISSLRECQREEVEWVLNDCLQQMDFGSHHEMIVKPDIKTIIKDGEEVLKNVMPFLELSKDCEKIYEDSKTRFMETLHVDIRETVSDCLEGTIVDHSTEKYHFELLLIINWIGFIMKGIENFVKKESINLPPLNSASLTKPIIRLFSIDKNHFVMADELLKTMKNCNIDVSGFEEEVFGMKELSTFTFREVSQKVDKDVMKNLEFVKMDDFRLIFAQTPIPTCDGGYCNLAVDVLRDVLMDIIVAKKVFQTTKEKNWIHIKKFFKSVEKYFDRTRGVYFIDLKNVKTIKELWENEYNSHLKHSLSSSKLMTTSKKNLAVDLAVVQCQINSLVRKVPMLLEFIHKQGSCDRLSIVGCELCDGKTLTEDSAQPQGSEKSEDALQKKMKPQSSKDTQSEPKKLNSEEAKSDVTTVIPTVEDKPSQKKKMSKKTKQPNPSEEEKTRTERIECLSKMRTSWKVYARSQSERLLEEKDDVIREQEEKMREQATIIQGFQRVKKEEDTQTVFDEKIEKIKSVQSNLLAIKKTLEVENPVFKCAETMHRLIMNTKNEETKKMAGMEMRRFEKEATEYTEAVEDRLAMIQCNQFDAAEEIPELPEFPVFSQKFRSIYKNIMRSKPPVICQQLLSSSENASDELEDTECVICLNNMNLEDETTKCGYCKRRYHNGCIEDWLKVKMICPTCDSGLLDEEEFPVLV >CRE20046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:58044:59099:1 gene:WBGene00070884 transcript:CRE20046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20046 MSDMTVYVGNLPSDVREKEIEDIFHKYGEIRNIDIKSRSRDSPAFAFIQFDDRRDAKEAVRARDGYEFDGKRLRVEFPRGQGPRGPGGRPTRDNGSRFGRNGGPPKRSNYRLIVEGLPRSGSWQDIKDHLKQAGEICYANVHNGEGVVEFERYEDLEYAFRKYDDTKFRSHKGETAYIRLKEDKSEYAKENKRRTRSISRSKSPIRGRSSSRSKSSIRGRRTGSASKSRSRSPVSRHHRDRSESGSPARRVSRSRSPISRQRPARSESGTPARRATRSRSPVKHQSRDRSVSSVCRASRSRSPPSRDASVAPSRDVSPDQSRRSSPDGSPRDD >CRE20062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:44013:45522:-1 gene:WBGene00070885 transcript:CRE20062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20062 MKARGILWTTFLQDDQLVAALRDDSKIDVFDAEKDLEHKKIFHLDDFVVKIHGCDSKIIPTKESAVDFVDVDSSLKTVSTYEPSKSSKVFDSSQFKSQSTLLVVTRTQNTPIGDQVVFTEKPKQFAVTTPGGAVNLYDIRTAKNEQPIYQVQNTGKLRRITFVNNRMCCITPGDAFKIYTKNECFEFQEEEYRGLTACGTEQYKAPEMWAHEEQTPGVDMWSLGCIIFEALTKRLTFPQAKTSDMIAAIESAKVSYPHHLSNILKDLIQKLIVRKAGSRLTASQVLDHTWIKKYQIEKNRGYASELLNEI >CRE20045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:37797:40811:1 gene:WBGene00070886 transcript:CRE20045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20045 MKIFMILLIALLTISTVSAWTGNSRLINCTAVRRPCGFSNKCIHGRCYPIPGPSTGLDGSTVDGCYAHGSDIHTKARGILWTTFLQDDQLVAALRDDSKIDVFDAEKDLEHKKIFHLDDFVVKIHGCDSKIIATKESAVDFVDVNSSLKTVSTYEPSKSFKVFDSSQFESQSTLLVVTRTQNTPIGDQVVFTEKPEQFAVTTPGGAVNLYDIRTAKNEQPIYQVQNTGKLRRITFVNNRMCCITPGDAFKIYTKNECFEFQEEEYSQEYMNSKPAKAKKGEVAAADQRHIVKELNEPKVGVIGNQFNLNNFQIGKLLERGTFGYVQLVESVRTGKKCAMIVLPNTLADSADRHVVEREILIQAGMQHTNIVSFITSFATTAQIHIVMELMSHRLRQKMISEGALCEKDASWILHDATNGIAFCHLHGVLHRDLKPENILLNDNAPEMWAHEEQTPGVNMWSLGCIIFEALTKRLPFPQAKTSDMNAAIESAKVSYPHHLSNILKDLIQKLIVRKAGSRLTASQVCDT >CRE14702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:746310:746797:-1 gene:WBGene00070887 transcript:CRE14702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14702 MRSSQKSFDESRRRFHSWRRVDLLRDVVKYGTQDEPADRELLAVLAHTRWLILSVESGNPHPESLVDLHEQFGRANICRAALASYDGMYFLFKWNQKEQKG >CRE20061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:19237:20307:-1 gene:WBGene00070888 transcript:CRE20061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20061 MSDMTVYVGNLPSDVREKEIEDIFHKYGEIRNIDIKSRSRDSPAFAFIQFDDRRDAKEAVRACDGYEFDGKRLRVEFPRGKGPRGPGGRPTRDNGSRFGRNGGPPKRSNYRLIVEGLPRSGSWQDIKDHLKQAGEICYANVHNGEGVVEFERYENLEYAIRKYDDTKFRSHKGETAYIRLKEDKSEYAKENKRRTRSISRSKSPNRGRCSRSSSRSKSSIRGRRTGSASKSRSRSPVSRQHRDRSESGSPARRVSRSRSPISRQRRARSESGTPARRATRSRSPVKRQSRDRSVSSVGRASRSRSPPSRDASVAPSRDVSPDHSRRSSPNGSPRND >CRE20060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:13980:16556:-1 gene:WBGene00070889 transcript:CRE20060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20060 MSSLANDFEKVLRVFIPKELIPARWKFDEKKRRVENIQSLMKCGHLGIVKSAEQLNRDIGSYMCFPGSTQHFEIDPVVAPSPDDYTSLKNTMYIYKRDLFLLFDFKDISTLKECQREEVEWVLNDCLQQMDFGSHHEMIVKPDIKTIIEDGEEVLKNVMPFLELSKDCEKIYEDSKTRFMETLHVDIRETVSDCLEGIIVDHSTEKYHFELLLIINWIGFIMKGIENFVKKESINLPPLNSASLTKPIIRLFSIDKNHFVMADELLKTLKNCNIDVSGFEEEVFGMKELSTFTFREVSQKVDKVVMKNLEFVKMDDFRLIFAQTPIPTCDGGYCNLAVDVLRDVLMDIVVAKKVFQTIKEKNWIHIKKFFKSVEKYFDRTRGVYFIDLKNVKTIKELWENEYNSHLKHSLSSSKLMTTSKKNLAVDLAVVQCQINSLVRKVPMLLEFIHKQGACARLSIVGCELCDGKTLTEDSAQPQGSEKKEDALQKKMKPQSSKETQSEPEKLNSEVAKSDVTIEKPSTEEKPSQKKKMSKKTKQPNPPEEAKPEPKESNACPKCERAGKFTREANEKLRLSKIEVKQLKKDLIRNQLENEEIKQKVMDKDERIRMLERLLKEKDDVIKEQEEKLKEQATIVQVFQRVEKEEDTQTVFDEKIKKIKSAQSNLLSIKETLEVENPVFKCAEVMHRFIMNTENEETKQMAKMEMRRFEKEATEYTEAVEDRLAMIQCNQFDAAEEIPELPEFPVFSQEFRSTFENIMKSKPPVICQQLLSSSENASDELEDTECVICLNNMDIENDTTKCVYCKRRYHNGCIQDWLKVKMTCPTCDSGLLDEEEFPVLV >CRE20058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:4098:5040:-1 gene:WBGene00070890 transcript:CRE20058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20058 MNRNSNKSRIAKIKSTRSRDSAAVPSPRTERDRHTRLRARNGPAVNQNTHYAPIVSPAGRKNRRQVQQERAANARRGQREKYKDRQRAAANVPANVAPAAPAAPSSHAGQSVADPSITRRRRASALRSPVAPVLTRRRATSRPDPRSRSTPLSAPRPAPATATSRPSAPRRSAPGPSVPPTAPAPPIARQTSMGDQEAMIALIGQSMHPTRAAVKQLRIKTTNGGQGSTSDAPAPKKPRMTAVQQQSKKDRGGKK >CRE17619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:127689:130685:-1 gene:WBGene00070891 transcript:CRE17619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17619 MTRVNEKDLLAVIMLETAKATEPYPNKDNFKKFARETGTKASVSSYIKTFRRIVDTLDTVRNYSLEEKARMLFMTSTPVDENMLEEMREIAVIEVNEDNQIMSYKSKQGPEAFQGQPWKLRKRRNGHKGKKSWPQAADESLEESDEEEVLGAVVGQNEYLNDLQEEPEPDAVVEESGDSEDVEDSEVLEGARYTINVNYSKDCRLPKEDHQVDTGMKDSEGKKEIFSKIYEGLDGIVTELFPEDLNEIVEKSDKIPEETDLAVDEIDLDFLRKEYYKDLEVMESLDLHSLDVDEECVNENTLKIQKEYFGDLKGPVAQHMEIDEILEEAINENNQNPEREDSSTPSVFSDFPSDDATGDSEESIQEDMDSLSESRIEYVDSLDEEFLNESVDMDIDFSLDDDIDLLSSLSDETNDDSEKNEQLSYQVVCDPPKKNLKRKLKSQGDGPIAKRIPGGEHESWTTFRSLVKKYDGNENPEVKAILSNEAFLKSISPEFPYSKRSMRDMLSKKVQVAVQTDRAPNSVPSFMRLNGFHNAWLKAQEHRKKALEAVDAPPAPEPAPSNLLDASEAQSKTVDSKSAHPAGTTKPERRDLGYKEPGVRSTHPQPSFKPKLFDQAIPHEPLVSSVAPPAIVNPELQEETIATSPAEFSQSEIAPPAANTETTPDVKAPVPRVSTKQKLPDPRPTVKLQILDQGIPHELLVSASAPNVIIKQERQDSEYMEEEEPIERVPEKLLTNRFFISLESFLNEMESNELRGLLQRIKEVRENGETSGLKFNAETLSVVVDVLLASLVKKSMNDEICKRSTLLPLMDEVLDKFLFQMKAIGCS >CRE23786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig677:7182:9014:1 gene:WBGene00070892 transcript:CRE23786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oat-1 description:CRE-OAT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NMN4] MSQFSFQPFFFQFFQKMSTSSEAEDDNFIEDVEDQKTLEVMTSSSKFKSLDDLVELRWYCLLVLFMAEITAFTALASVTMMVFAGANPTVVGCDNAIVNGCHEYYSLQNRSGCTPVLEYQFESVQVEFNYICDDAKKVKNTITVQTFGVLIGAAIFGQVSDNYGRRKALIISCIGNAVFNLISSYSPDLFYFALWRTIAGIFAGGITVVQMVYMVENIPRNHRMWIQNSITWSPNLILFPYVAWLAYDWRTLSVVISAASVLSFFALM >CRE27923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig524:619:2878:1 gene:WBGene00070893 transcript:CRE27923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27923 MLLRRSLFILDVCVLLAVVLFLVFLPAERCVKMEVAANKESADCSLHPVHYPDFSLLRSSQNENGTHLKEAKHHVTVCHSLKWDHYSFWDNMYLILLPAITCFLLILYTLCEICEFDMFVGTVQSTGLVILSLFSVIYTIAVITHEKNRIQTDWPLLAHAHLFGATAKNTSVDVPKTWEYSIAMCLLSALFKIGRILIQHFIGDQKMFLDEDYELEASHDKHRPAQNFRNFESAEVAGTTRMLNESRYSKIENID >CRE03410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:189570:191688:1 gene:WBGene00070894 transcript:CRE03410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03410 MVLPSRDVKRVCVFCNKSGSRTEMTPVTKNPVNREVWYRKLGKTFKLNCEKSRYPYVCLSHFPAKKASSPRAQIYPYKNGRPHTRKVEESDTEDDSSTDEEGDLFTDPNYDIEDEYECEENKKSFKNIICNWNNLSPILSKCWNCLRNGKESSARASMRLQGAALHVSYDCVECGSNWKWSSSTFLDREGKQGQKQCEVNLDISVSVLSTGNAFTKIASLFDVLELPFISNRRYNKLIENVLEASVAKCFFSQRSEVLAIIKENSARENGVDLAGDGQFDSRGYSAVLCRFSIMDIKTKFLLDFEVCRKERGGNSIQLEKMGHKGCIVRLLQELKNLTNLDNPIKSLTTDRCVNLAQVMKDYPTIVHHFDSWHFIRSIRKDVAKVFKNIDSKNNLGNVVFDKVQSCCHSTNVESSSTRNHLDLTKKDHKKAFDVLLGIMTKPNRQRDIQNISPYFATSALESFHSVATSYLPKEHFFDKKGYDLRSKLACLHWNALQLDELSGRRKVEIEHQYYCKTKKKLVTKFRKTRPMNNWRKDIGQFAKEMVLVGCLSSDEEEIDEEVEARAAVEAEMYTSSNSP >CRE11533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig658:8699:10599:1 gene:WBGene00070895 transcript:CRE11533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-10 description:CRE-SPP-10 protein [Source:UniProtKB/TrEMBL;Acc:E3NMK6] MKYLILALALFATTAFAHSKQSMLESNRVMVDDVQGTSCDECVLVVKKFSDAAQDPKKIEELKIILGMMCRETAYAEECRLFVSQLDKFIDKLQPFLKDARAFCARLHICGNKKIDAFRRILLEFATRAEKVYSVPTIVCDECEFVVKELKTVVEDKKSQAEARDFLRENVCKSLGQYRGFCDLVVDEYLPQFIQELDAILADPHQVCVDIKACSAGQGFKARKYVGLLGYFQRNFL >CRE14397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1022:5005:8920:-1 gene:WBGene00070896 transcript:CRE14397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14397 MFIWLKDPSRPADDDNIQLYRFKVIPFGVSSSPFLLAAYIVFNLDNNPHDLNNEIKENLYVDNCLFCTNDKSEIASKIKGTKLIFQKMGMNLREYIVNDPDTMQSLPPAERAQSSVIKLLGYRWDSVNDTITIKIAKLDIDHPTKREVASKLAETFDPLGLVTPLMVPFKRLMQKVWLKSDTNWKDKIPKELLSDWRALCNIFIDREIVVPRQLTTNYENSELHLLLFSDASQDIYGACCYAYFAENGKPPTVALFTSKNKIRPSKNENWTIPKLELLGIQCASNLALPQSNCFQLGTAIYWILSEKNTRLWVANRIKTLQDNRNRMKECGIETTIHHCPTKENPADLATRGMSTTELQNSKLWFEGPNFLKEDPSEWPCMIEGKVTCPAEFQELVYAEIIDPVTKKKKKPLMEKKTAPAEKVPEEIDPAETVMAANATISRPGSFIPYTATNSLPKLCKIVVQILKTFSKTLKSKSWDSYVMKQFHSSDCPLHQLKVARLLIISEHYKDCEFQGYTFPPDIEYHTDNDGLRRVHRRIESPVLPQEASEPILIHPRHPLAKLVALETHEINGHMPETYTASAVKTRYWIPKLGSILNNIIRECVQCQKVNNFPFAYPYTKTLPRCRTTPSKPFSKVGLDYLGPIVYKKDDNRKTGKAYILVYTCLTTRGVVLRVVPDGTSQMYILTLKMIFHEYGVPKTIFSDNASTFKLSGSMINRDIREATYSHSLVEFLAAEVIDFKFITPLAPWQGGIYERVVKLVKIQLTKECGTRTYDYFSLQYIVSSAQSMVNNRPLIPHSRSPKDMIALRPIDFIAPGVMLEVPAGEANSGALPQSTEATVRAHLNKLEQAVDRLWEIWSTGYLLHLRENVHKKKRSSLLRPAVGQMVIIVTKLIKRHKWPLGVIVHVEKSQRDGQIRSAIVKCRGKLYSRAVCQLIPLELNPLNRPNTAAEDETDNAQDDSPHELPAPAVLKNPGMTYAPELFPSKDLPNIAEAENPIQNSDPNNSNQNIPLNLNIDELENLDDTDFELNQSRLVDGGIYTDPQTVIPPDVTDEDIAELPTGRVREFLSRNAKSKPINYVHVAEVQSPAVTSPPGSVAKDPPLGTPLLGYQSQSDGPLGFSPQN >CRE03408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:158017:160279:1 gene:WBGene00070897 transcript:CRE03408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03408 MPKPDPERRSRANMRERQRVSEMNGMFEVLIRLLPPSLFQNKLSRAQVLREAASYINRLTKHLQSSLENNGLVKFPHIFREDRKQSNDGHRRPLKLKEGGGVTAFISRHDLPSDPVPVYRPTPVLPQSNVYFTYF >CRE10710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig579:3825:7474:1 gene:WBGene00070898 transcript:CRE10710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-8 description:CRE-SPP-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NM60] MKPVLIFAVLAALAIGSQAKDAPTACNSCKSMVQNFIDASKDQMKMAQLKISLSMLCAGTSHQSDCSKTLDKLDYIAFKLAPYLVDTSAVCSKLQMCGETQFSPLARLAMLYLKKSESIVANDNIMRQQVCDECQASSSQLGQLFADDFTSYAVKSTIQRLVCRSAGKAHKSCNIFVSSVIPDLMTEMRDIFQEKELMCSNMGLCSATAKPLTRETPKQPLNELWKSMGTIKSSNGEELMSCFECTLSMDTLLEEFIDKRQGTADDIQAAVCAKVVGAWTAGCNDFVHMYMSTVLFLTYNQFDGKGICTAMHTCEKKESALLALAKPEKAMIGCEHCQAVEQFIAQNHEALHAHAVEGIYSNVCQKLPTALGTMCESSAIRLSRKFFARTAELAVSGAVCSQVCVNI >CRE09848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig362:6827:9082:1 gene:WBGene00070899 transcript:CRE09848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09848 MNPITASTSSRTIQKPRSIVWKFYKIPIDPAEKLVTCPSCDLVLKFSGSTSGIFNHLKTRHKEEYKLLKDKLEEKELATDSTSADREFAKSFATALLPFRFAKNPEFRNFLRHKPTEYSIPGPAKIKKLIDSMANEHVSKMKADLEGIQNYTILTDGYSDLKRSYHFYSLHISFIDSTFERKLRFLTLKSIDKGDHLAISEVLNNALNEFGLRFNECSLLTSDAASPLVLLAEKQLIDRVHCGCHQLNLVITDFVKEKSVQKVQAKVQSFARYLSKNKATKEKLLSLSTKDNVKIPLPLPLSPTRWGALSILIDRYLAHYKSALDLSDLKEYLLRTNELDSVKEIGILLKPIHQGILRLERDESFVSEIIPTLIFVKKQVEKYQSAHAAKLLKCIDVRIDACLENRRLISCMLIDHRYAYVDGWNSLIDWKSVENDMNKFDLTPIPYTSVASDTEETDDTDLDSFLDCSMRPDGSVVNDLKSELIRYRAFLTTTRPTYKNPLVFWKGQCANFPKLSEIARILLASPASAACSERTFRFVPTVRNVIYNFHFSRCSDFIRQKKRNRATIGTINSVLIVNELSRSQRPVSDTEEEETDSELSETDQDTMEDDSDEDIDQ >CRE03427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:127865:129143:-1 gene:WBGene00070900 transcript:CRE03427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03427 MTYSLIIFSVVLATTVLAGPRGGQGGFGGGRGGRHGPPMPPFLQNVTDEGRRAFFDIMRNQNLTIADMESQTSTWAQTYGVSDIYNEFEANMTAHKNEVQQNVTQVVSQLSAAQTALESVMNNKNQTRQQMKEAIDQLKTQYPQEIPALFFISGQFRLGPGGRHGGPGGRRGGPGGRGGDSGEMMMGGGRGMGGGMGGMGGGFGGNMGGNGMQMGGMMGRRGGPDSSSDNNDF >CRE03426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:120279:121952:-1 gene:WBGene00070901 transcript:CRE03426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03426 MAQNLLFITVTVAAVAFVLAGPGGHGGPRGGGHGGPRGGHGGPPFLQNVTREARKEFEAVWRNETLTIAEIEAQTAALADKYGVSESYKKFEADLTAHLAEVKQNQTAVINNLSATSDKLRTIYQNKDQTRKAQEEAVAAVRKESPVEVDTIKFIRAQVGGEPLGHEHGGHGGPRSGPGGRGGPRGGGHGRGSH >CRE03425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:115627:116980:-1 gene:WBGene00070902 transcript:CRE03425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03425 MIYIIPTIYVVLRIFLVFLKCLVFKNRKKVRRDMDMDVFTVVVRSNFMRAFFQLKIIFAELHFFISDYLMYRFPATGILTPWCSRIPYYNLLTNNLVWMIIGGIVNVSKKGKWCPMERDCTDLLFSRNRGSTRNRNAEFFISMTTVSMIHRKSNQTQIKEFNLRQGSQKINWIVREYTCFLKMEGGRMILVFFSASGLFF >CRE08528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:8019:19557:1 gene:WBGene00070903 transcript:CRE08528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08528 MKLFLIATTVLLVGGTQAATTEQLRQWILNNRESLNGQNDLAGSILRLFGWGSEKPTEPSTTTEPPTTPTTPEPRGHSRDVCPFLAEPLILANDFAYCRPASLGDCPVGYLCDQSVKLGRSICCKDTRRGIVPNGRPARPTQRLPLTWSTVTPTAAPSQPIATATSRKAPWYIKDRTAWPTYNRISAEKALQKSSTTEATTTTTTTTEPTTTTTTTTTEPTTTTTEPTTTTTTVPITTTTTEPTTTTAKVSAWAKLWTSTAEPKSFVNVTVLQAGSVRPLRDGQAEAVGAITLVNDNGYIVLIDTGASSDTERLLHTLKKMKLEISELEMEPMSPWCSPVKTRTVGLCIGIFDFLFLTATFLKSVQFLQLYGFNFFATAAVSSIGVLYAVHILCILACWYGLARQRSSWLVPKIVLKGTTVLICVALTLILAFFVSSNSPVIGNAIAHGFNAEYYDHRAVIDMACLVIVGVSTALSMAQGWLLVLLINIYRQVREEELERLCEKALKKLEKKKKEKTKKVPPPKKSAMSNGQRFLAPETPREQSLDPSSVTLDQIDSVVITHASPGHMGNMNFFAQKPILYHSMEYIGRHVTPTELKERPYRKLSGNVEVWKTPGHTQHDLSVLVHNVAGYGTMAVVGDLIPSEHLLSEKRDVMVEEGVWDNAIKRQNANLIVCMADWIVPGHGQPFRVLPNYRQKAGCTRLLAQRHLLNQAV >CRE08529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:23609:26549:1 gene:WBGene00070904 transcript:CRE08529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08529 MVSTRSGNRTTRPSPGEPEKSEAPKPTETPKRRGRSAKNVKKVFDDEESVTTSEATTPMVTPQVSTQISEESVVSGASGADNEPEIVQEEPRVLSPKKSESKVLSPKKNIPSPKKELSEPSIPSIDVFTSDDASDDVKISPKKSESSISLKKEDSEAPEEDSDDDDDEPMEISSKAPQKDSEDVVEKDSEGSDDDDDDEPMEVTSSKVEEKGAEPKSIVEKLLEKKAEKSAKIAAKKLKKRNKKKEMKKISDGVFEVKMKKSKAKFNVVTLSNGVQKMLEPEINFREELLKARTAGTRCVDTEKYYQRAQWVSRR >CRE08530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:29904:34753:1 gene:WBGene00070905 transcript:CRE08530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nstp-4 MNRANDSTSSNLKLISLVVLIVQTTALVLTLRYSQTQVSDGPRYLSSTAVVCAEIIKLITCIFVIYRNAGYRFSGMLAEMNREIFATPQTRSDSLKVAVPAIMYVIQNNLLFFALKKLDAATYQVTYQLKILTTAIFSVTMLGKSLHRYNWLALILLTAGVALVQYPSGDSPAKTSSVHDASDNILGLGAVLAACFSSGFAGVYFEKILKTSKVSLWIRNIQLAFFSVFGSLFVCWLYDWQAISDDGFLRGYNKIIWIVVLLQAYGGLVIALVVKYADNILKGFAVSLSIILSSFTSWLVLGDLTITTTFAIGATIVIFATFLYGHEPKKSPIAHNA >CRE08531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:38368:43816:1 gene:WBGene00070906 transcript:CRE08531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08531 MSKEAMENEEKRINNTLSEWKIEKFLYMRKEVLDKQKDLEKTNLEMVEKLKLSDEKFEKLSNELQSKMDKIVEALKPEASSDIDEKIRLSDERIEKVSNDLQSKIDRIIETLKPEKSSEVVEKIRSCDEKIEQMSKEHHEKMDSIQSKLNEIDNTLKRKVSSEIDKLSNDLQSKMDKIVEKLKPETISGIEQKIRLCDERIEKLLRNNEQHEKFVRLDMRKEFLDKQKDLEKSNLEMVEMIRLSDKKFEKLSKELQSKMDRIDETLKTEVSSEIVEKIRLSDEKIDKLSNDLQSKMDKIIETHKPEASSEVVEKIRLCDEKIENLSKEHREKLDSIQSKLIEIVETAKPEVPKIVDNNDPATSGKYFVLKHTFNNVSSFENNKQYNSDLEEHFGVPWKISVKRLDGFLQLYLCTDLLQDTEKKWKIEVEDEMKIVSPVSKGKEEKIKGKSVVFKSDDNDAGWGYRKFMEWDKLEKEFVVDDCFCAEVAVKVRKMTGIYKENLRSFDKTMEEYSDVVLIVNNEKFYVSKWILATHSPYFKNLFMEKSNETEKSEIQLSGIDEDDFQNYLEVLYGKEAIDEFTVEGILMVAGMYHTRGVNEKCENFLKNESKKTLKKKFQLSKRYNLPALMMSREAMENEEKRIKNTLSEWTVEKFLDMRKELLERQKDLEKSNLEMVEKLRLSDEKFEKLQEKLDKTVESLKSEASSEIVEKIRLSDDKVEKLSKKFDSIQWKLNQTNKTLKPIVSSEIKKLSMEHQEKFDSIQSKLNEIDKTLKPEVPKIVEKIRLCDENIEKLTKEHEEKMNSIQLKLNKIEKIRKREVSSEIDKLSHDLQSKMDKIVETLKPETISGIEQNIRLCDDKIEKLSKEQHEKFVRLDMRKEFLDRQKDLEKTNLEMVEKLRLSDERIEKLSKELQSKMDKIHETLKTEVSSEIVEKIRLSNEKIEKLSKNHQEKFDSIQSKLNKIVENLKPETISGIEQKIRLCDEKTEKLSNDLQSKMDKIIETHKPEASSEVVEKIRFCDEKIEKMSKEHQEKFDSIQWILDKNFETLKPEVSSEIEKNNDPATSGKYFVLKHTFNNISNFQNNRDYFSEEEEHFGVPWRIGVKRNNGFLSFYLKNLLLRNTDKKMEIEVEYELKIVSPSSGEKKEKRRDKSRHVFKNDGIHYAFGRREFMEWDELEKDFVVDDCFCVEIAVKVKKMTGIYKENLRKFDKTMEQYSDVVLIVNDQKFYVLKTYLATKSPYFKTLFMEKSNETEKTEITLSGIDSDDFQKYVEVLHGNQAIDEFTVEGILMVAVRYHTRLVIEKCENFLKNESKKPLKKKLQLSSRYNLAAFMKQCVEKIN >CRE08542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:50878:61246:-1 gene:WBGene00070907 transcript:CRE08542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08542 MNVDNDNYGWESSLSMIANEQSRTARPRHGDTIPVLLRPVFMNAVEVGYSYAHCDREKKLNVRGVTIALWHFICRGHQAIALLPYCFKNYAEKSTHYAELMMLYRLNLIEFTPGYGSEKYAEVNRIMVNRAAETGGCLVARSQMQGVTDNKSNLVDVVEQRLLMPTFNGDDIMFPIDGPLGRNGPTLQETLECEHGAPDWRMCSEHQLLLSDQRHWMEKLALLVPEKVIWTRMVQMINAGGSMDRQEYENDPPMPPSPPQLMEFRPPPLMAQAPPLFQPVLHQYQTPDYNHYQYDTHYSLPNPHQYHQYKRNFMAPPPTRRHLNSHLMTSSHLNPHLNPHHLNLNPYPPPHHQHHGHGRNSSGTRLIIRYGDAEPRVVGATGKGGGASQIQIPEIQNSYDDQMTNGVDSEIGTELVEEELEEDPTELDESVARELHEQMIEETREEREKLEKETSEQKKKDEILAQLSQIFGYAKSIRVMSKHRNISKLAVLVEKCLVEPDEDGDGGEFEATSSESSSDDVSGDLIDFSDEQLQMEPTKELYLVISHYHNMSKEAMENEEKRIKNTLTEWTVKKLLDMRKELLDRQKDLEKSNMEMVEKVENLSNELQSKLDKIIDTLKPEASSEIEMNIRLCDEKIEQMSKELQSKLNQIDENLKTEKLSEIVEKIRLSDEKIEKLSKELQSKLDKIIETLKTEVSSEIKKLSMEHQGKFNWIQWKLDKIFETLKPEVSSEIVENNDPETSGKYFVLKHTFNNVSSFKNKEFRYSEKEEHFGVPWQIYVRRNNGFLELYLWNRLLQNNEKKWEIEIEYEMKIVSPSSREKKEKSNGKRCKVFESDATFNASGFPQFMEWDVLEKDFVVDDCFCVEIAVKVRKMAGIYKENLRSFDKTKEEYSDVVLIVNNEKFYVLKMILATDSLYFKTLFMEKSNETEKSEIKLSGIDADDFQNYLEVLYGEQAIDEYTVEGILMVAEMYKTPVVIEKCENFLQKESEKTLKTKLQLSKRYNLAALMKKINNTLTEWTVEKFLDMRKELLDRQKNLEKSNLEMVENIRLSDEKLEKLSDELQEKMNKIIDTLKPEASSKILEKVRLSNEKIEKLSNDLQLKMDKIVEALHPEASSEVVEKIKLSDEKIEKLSKKFDSIQSKLNQIDKTLKPVVSSEIVENNDPATSGKYFVLKHTFNNVSSFKNNQRYFSEVEEHFGVPWRISVGRRDGFLEFYLWNLLLKNTEKKWEIEFEYKQKIVSPNSREKKEKGQGKRCSVFKSGHNYPAWGYRDFIKWDELEKDFVVDDCFCAEIAVKVKKMTGIYKENLRRFDKTMEQYSDVILIVNDEKFYVLKLVSSTECQGWGTKYLRNSILATDSPYFKNLFMEKSNETEKTEITLSGIDADDFQNYLEVLYGKQAIDERTVEGILMMAVRYDTRMVIEKCENFLQRESKKKLKTKLQLCNRYNLFGLMKRIKNTLTEWTVENLLDMRKEFLDRQKDLEKSNMEMVEKVEKLSNELQEKMDKKKRSNEWLTFEVNLLWDHIR >CRE08534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:73107:75427:1 gene:WBGene00070908 transcript:CRE08534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08534 MSKEAMENEEKRIKNTLTEWTVEKFLDMRKELLDRQKDQEKSNLEMVEKLRLSDEKFEKLRAKFDKTVESLESEASSEIVEKIRLSDDKIEKLSKKFDSIQWKLNQTNKTLRPVVSSEIKKLSMEHQGKFDWIQSKLNEIDKTLKPEVPKIVEKIRLSDERIEKLSKEHEEKMNSIQLKLNKIEKTRKREVSSEIDKLSHDLQSKMDKIVEALKPETISGIEQKIRLCDERIEKLSNEQNEKFVRLDMRKEFLNKQKDLEKSNSEIVEKVRTCDERIEKVSKELQSKMDKIHETLKTEVSSEILEKIRLSNEKIEKLSKNHQEKIDSIQSKLNKIVEKLKPETISRIEQKIRLCDERIEKLSNDLQSKMDKIIETHKPEASSVVEKIRFCDEKTEKMSKEHQEKFDSIQWKLDKNFETLKPKVSSEIEKNNDPATSGKCFVLKHTFNNVSSFEDRIYCSSEDEEHFGVPWRICVGRNNGFLGFYLDNLILRNSEKKWEVEVEYEMKIVSPSSGEKKEKSRGKSCRVFKSDSYKVAYQEFIKWDELKKDFVVDDCFCAEIAVKVRKMTGIYKENLRRFDKTVEEYSDVVLIVNDEKFYVLKMYLARHSSYFESLFSGKLNDMVKSEIKLSGIDADDFQKYLEVLYGQQAIDEFTVEGILMVAVRYHTRMVIEKCENFLKKESKKTLKNKFPLSKRYNLPAFMKQCVEKIN >CRE08543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:76245:77498:-1 gene:WBGene00070909 transcript:CRE08543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08543 MRGKFLIFWSNFEQNQSSRKGCRIGDTHTNIQNLVFVSSKDRQINVKRSYGFLDLYLWNSLLQSTEKKWEIEVEYELNIVSPVFREKKEKRGGKRCNVFKSDGIHYAWGRNNFIEWDELEKDFIVDDCFCVEIAVKVKKMTGIYKENLRSFDKTMEEYSDVVLIVNDQKFYVLKMNLATYSAYFKNLFIGNSNETEKTEIQLLGIDADDFQNYLEVLYGEQAIDEFTVEGILMVAYMYDTPEVIEKCENFIQKESKKTLKKKFELSNRYNLAALMKQCVEEIE >CRE08544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:78045:83681:-1 gene:WBGene00070910 transcript:CRE08544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08544 MSREAAENEEKRIKNTLSEWTVEKFLDMRKELLDRQKDLEKSNMEMAENLKLSDEKFENLSNELQEKMDKIIEALHPEASSKILENVRLSDEKMERLSKKFDSIQCKLNQSDKTLKPVVSSEIQKLSNKHHEKFDFIQRKLAEIENTLKPEVWSEIIEKIKLSDENIEKQSKEHQEKFDWIQWKLNEIEKLLISSNIVENNDSGKYFVLKHTFNNVSSFENNKNYFSEEEEHFGVPWQINVKRNNGFLELYLWNSLLHNTEKKWEIEVEFELKIVSPSSREKKEKRRGERVVFKSDDNASTWGYREFIKWDELEKEFVIDNCFCAEITVKVKKMTGIYKENMRSFDKTIEEYSDVVLIVNDEKFYVLKMILATDSPYFKNLFIGKSNETETTVIQLLGIDADDFQKYLEVLYGKQAIDEFTVEGILMVADKYATRVVIEKCENFLQYESKKKLKTKLQLSKRYNLAALMSLSNTSINSQVQSGVIPFVFGDFTTIMSRKSMEEEEVSWYMFLQFILCIQFQKRIKNTLTEWTVEKFLDMRKELMDRQKDLEKSNMEMVEKVEKLSNELQGKMDKIVEALHPEASSDVVEKIRLCDDKIENLSKELQSKLNQIDETLKTEVSSEIVEKIRLSDEKIEQLSKEHQEKFDSIQSKLNEIFDTLKPEASSEIEMKIRLCDEKIEKQSKKFDSIQSKLNQIDKTLKPVVSSEIGKLSSKHQEKFDSIQSKLDEITETLKPEVWSEIVEKIKLSDEKIEKLRKEQQENFVRFDMRKELLNKQKDIEKSNYEIVEKIKLSDEKIENLSKDHREKLDSIQSKLNEIDKTLKPKVSSEIEKLSKEHLENFDSIQSKLDKVVETLKPEVSSKIEENNDPATSGKYFVLKHTFNNVSSFENGKYYFSEEEEHFGVPW >CRE08535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:84779:89279:1 gene:WBGene00070911 transcript:CRE08535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08535 MNVDNDNYGWESSLSMIANEQSRTARPRHGGSIPVLLRPVFMNAVEVGYSYAHCDREKKLNVRGVTIALWHFICRGHQAIALLPYCFKNYAEKSTHYAELMMLYRLNLIEFTPGYGSEKYAEVNRIMLNRAAETGGCLVARSQMQGVTDNKSNLVDVVEQRLLMPTFNGDDIMFPIDGPLGRNGPSLQETLECEHGAPDWRMCSEHQLLLSDQRHWMEKLALLVPEKVIWTRMVQMINAGGSMDRQEYENDPPMPPSSPPPQLMDNPFRPPPLMAQAPPLFQPVLHQYQTPDYNHYQYDTHYSIPNPHQYHQYKRNFMAPPPTRRHLNSHLMTSSHLNPHLNPYPPHHHGHGRNSSRTRLIIRYGDAEPRVVGATGRGGGSQIQIPEIQNFHDDQKTNGVDSEIETGSVEEELEENPSTELDESVARELHEQMIEETREEREKLEKETSEQKKKDEILAQLSQIFGYAKSIRVMSKHRNISKLPVLVEKCLVEPDEDGDGGEFEATSSESSSDDVSGDLIDFSDEQLQMEPTKEVVETSYSNSEILELVDLIF >CRE08536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:96544:98380:1 gene:WBGene00070912 transcript:CRE08536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-68 description:CRE-COL-68 protein [Source:UniProtKB/TrEMBL;Acc:E3NB96] MTSESSRGDDDPKFEAQEADAMRKLAFFGIAISTVATLTAIMAVPMLYNYMQHVQSALQSEVDFCRHRTNGLWDEYNKFETVAGVRGRIKRAAYQKSNGVTGRLAHRRRAVARGASSYDSGYGDEVPTGGGGGGTPACCSCGVGPPGPPGQPGIDGTPGNDGSAGNPGTPGQDAIGDESPTAADFCFDCPTGPPGPPGRPGPKGPNGEPGAPGTPGGNALPGPPGPPGPQGPPGADGLPGNPGAPGAPGQVIEVPGTPGPAGPPGPPGPIGAPGQPGAPGSSQPGPQGPPGDPGIDGAAGNPGSPGEPGPPGQPGAGGGCDHCPPPRTAPGY >CRE08545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:106699:112388:-1 gene:WBGene00070914 transcript:CRE08545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-26 description:CRE-EGL-26 protein [Source:UniProtKB/TrEMBL;Acc:E3NB98] MPPTTSPVCTIQPSSSSIGGFGKADDNVRILLMASVRNEFGDTSIFSRLGVTALGQHYVLVVRKKMFGGYTTHMITANMRNRPFISPPFKVSTGSQSIEESRDLIDRLTTALGRPGMFSFDDPPIGAQFQVGKDLIQLDEVPVEVHNNQDKYLEKGDEIFCEVNVTGVKFYHTGIYAGDGMVYHFVCDGQESESLAEALAVFSGVSAHVVYDTWFEYVYALVEVSDVPPKILRASHPLICRSGDQIVKYAEHLQRELENYDIRRSNCQHFSSECSTGVAFSYDMTSAFKYFACSVLKPTSTVVNAMTRSNRDRSSFASNSTSS >CRE19956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:206852:208600:1 gene:WBGene00070915 transcript:CRE19956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19956 MANVTSVHNNTKWNIIPTSEVSLCVDPKHPNSLTHWVLSHDPSSTKLYPCSYAAPEKLRKDLNIAYFLIDHEDLMTVYELEKEFSYNTYQYWGDSFSSILQFTHMIDMVGMVAEESRRKKMYLRTIPAVPMGDNTLGESRVFVEEISAMIPILREHQGNSFEKPEAEQQKISNRFNPANNSGLIQTITLSQLKNLLEEYDIDKSLLTLVDDPTYLIGWKSLEKTGAPFKMLSHSGAAIISKEQGTLHLFQSLICGVYWDGVPTKEKVQIANIIHACATLGQGIYLWYEAIVSSVIQIRKAFRHIYADRKATFDVMYHKVAPTEIVPYSEYEEITKHFHLPLYTFSNFQVPNLEIWSLRPLLIIGWIHSFVKDDARMKMFILSFAKTSISGLLLAIPENRRTLIADITVSGYDNNFINYNLFQMDAVTIMNNLFDSKDEKVKGKTVDSTQKKHQFKKTTKKNHQLTADIDHAQG >CRE19978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:160754:161758:-1 gene:WBGene00070916 transcript:CRE19978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19978 MRQDKGQVEKLMNTVSALIAITFKQLDGKIVILCDQLDGERRRRREYVNRFMVNVEREKRNYDETVNNLYTQSKKIKYEVKDFRNDAENKIPKKERAVHSEAKASETNNTALKAHFQADNDRIDHLESFRDMISQDCRWEDELSNSKRNLVQKEMCRKFLLPHWR >CRE19976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:147860:150448:-1 gene:WBGene00070917 transcript:CRE19976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19976 MIEEKKNFWLSNHSKVLPPKKSNIPVSDSRFEIENILGMLTSAERVINNLDGTITVHLNERRSLESRHQAARTMLRSQDEGLKHREEEHRQVKSKLVERKPDSAT >CRE19974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:132552:133582:-1 gene:WBGene00070918 transcript:CRE19974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19974 MSDCSTRWIGTSFYFYCIGIVLSGAINGALIGFLWTKKRFANYKYLMLAFAVAGLVFSGCNVVVNPNIHMGSNSVIVFSPQKYSKLPKRFGSIGLSAFVACFGMMISSIFIQVLYRYISVTNPSRLARIFCKKSFILWTLLVLFYSSLFGFSTYYFLQANVSKDQTTKEEFMANYCMKPDEYSYIGTEYYQKNIEDGTIRFHLPSLIGSLVLSLLMIIPIIPIVFIICRTVASISEYP >CRE19972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:125303:126105:-1 gene:WBGene00070919 transcript:CRE19972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19972 MLLFIVFSIFLQFASFSFSMRDVIGEGIRGYFGIANSTMEVLYLGSFHCKLNTSFCVTGYYKEKDLLTEDDVLDRLPFYCSKRNPFHVIHHINFTGGDGFGEWDNHYEPFLELVHNCTCDNTARRIIHYFPLVKFRFLPPEELKLKYQEFDIHMTNNGTTHEFINPSKDEYSQELRDWIVSSKPIHTDEKMTEKNAASHLRADF >CRE19947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:119871:123280:1 gene:WBGene00070920 transcript:CRE19947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19947 MSFNKMQHNTYLSKSEKVRVRTIMNTEERSAQYTVIKNAINTYTPLVRGFLHDLIVAERSVEDREKILTLRSEKKTRFFDEENKKLGVTAGKYIGTGEWVLEMTGKVFLDSEVKDRAVMTCGTSHHLYQGIPCGRDNESICMAVWMKDTIGMYIRRSCQPTCQLVHHFGTELHLVVEALKPLNGGDEVTLAHESDCLGSQHQLKCAEHEKNPDDCPMEQKRLLRKAQNENPAAYSLTVTLTESGEEETIVALPPNQPLVVEEVVVSNLSSDSSARSSPEAPESPLSEVLLPPPQKKRRENEQNPIKVPSPASFSVSQQVHLHYSPPPSPQPQQPRGNLSPKAVLASECFTNPWTTDRVQRMAKKDTPLKRNLQALPILPNDQLDNGEGSDRAPQVAESDEVMHDSTSSNQGELDEPPRAVSPLNNVDLSSTISNMVHNKDQRTRYTVALPPIQPLVVEEVVVSNSSSDSSARSSSEAPESSPSEALLPPSHKQRRENEQNPIEVPPPAPSSVSRRVHLQYSPPPSPQPQQPRGNLSPKAFRASQCFTNFLHSKNKVMKPTETTLERYIRANCQPDDGEDSERDSTLDTPLERNLQALPILPNDQLDNGEGSDRASQVAVSDGVMDASTSGIQGELDEPPRAVSPLNNVASSSTSSNSGVRQPRTEQLAADERAATRRRGVAQGEAQRKAAANASTNRASTNRNSSKNNAVENECAVERIVGKRTTQRGIEYQVKWEGWPEDSNTWEPLKNLNCKELVDRYEKEKKAERPRTVARRALSSTSTQSPRRGDQSLKKIKTVENENGDLQFNCEMRDGSEKQIPNSTVYGKYGTKAVQFFDQIVLAQMEEGESIS >CRE19970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:105099:109070:-1 gene:WBGene00070921 transcript:CRE19970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19970 MSGGAEPSKWLVTTLVHDRNVSDADIGHFLNANQQCFAVGVGRNVVLLDESKLSEIRRFDTSEMLQSVRFVRSNSQTENSNPLNATTSTAPQSLDSLFTVAYSGSVDLWKYNQADGSISKTELLDAEHSGEPPYHVSSCQGSFLVVDRRGNFFMWNLSDLSHPVLGNAGITKIRYVGMMNPSEYLILTRTVNMGTRVKQYNLGGRAVERSFETAFNIVLPNVFKNTHMLIGSNTIMFASMENENKDDRFTLEKLENNKFVVAAILSRDATVCTVLIGDNAGRMFLGQFRRSDSTGPVNYEIYCIGAERTRPTLLTALKHNLVFVATRNGAAGFVRVGIEYHKKYMHVRNIDECEKINDLVSVETDIESYLVAACGQENSGVLRVFKRSVRFEQHQSYHFPNIQRIFSVPQVLGSNENEFSDMLALSRKDDTRYIVHNGTKFETWDQGRPFSNLKTIHLAYLVHHKCIVQVIPPGVIVFKYNDATNQWTQLCSYRSPIGTIKLADVDVMSGNIITCSGNEVSRVRFGQEQGKPAFREKRRKLDQSEIDYGKDSQEDSQEAGTKVVTCLALLSKDTVNYTASSEHVLLALERSPTILVWDVRTLLPAMRFHTNICSPISITSWIDNFVVADRTGGLYRCSEYSVANQHQGTWKMISTRAAETFHNMNGFAITASTYPRIIFSKVGKLETQQLGLDHIFGAAAVRVKNHGVLYVLATDNNVNMGKLLNRAQTVNVDEKPEKLAFQKKTKTIAMVTSRRPENGTAIIRPHRLFILDAATCQQLTVLNLDHEEKFISITSGQFGRNQIEYFAMASILKCYTDEAQNRVSLFKFDVRSNTCKMTHYLIIPDAPKFLKFDRYNLFVLTADKKHTVSLTNGKLIIAATIDVTGEVAQEVASRPVQPNLVRAAISDDMITVVDANYEQDQCYLDSRFINDTVKIGRDSLPRGSYWLGAVPTLLKSDKIIFDEQEMFFEEHIFASGEQLYFATGSGAIGSVLRLNARWSRMLHDLVNAARTQPLRGDLAILQQLNYERRGRSPSDYIDGDVLTSDIFSTPTMIRQIIDTIDDSVKMQWRNGSGKQPTNDYVIEWILRLREMFVFSEY >CRE19968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:99021:100055:-1 gene:WBGene00070922 transcript:CRE19968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19968 MTPRKSLTDFEKGQITVLMNQGISNRQIAKTIGRTHTIVNSFVKDPTGYGTKKKSGRPSLLSQRDKRLIIRSASNNVDSCRQIKSDLNLDVSSETVRRTIAKSKFIKYRKMKKSPYLSNVHRVNRMKFARNHRRTDFTKIIFSDEKKFNCDGPDGYRSYWHDLRKEKLRFSRRNFKGGGVMVWAAIASTGRIKLCFVSKKMNGADYRIVLRRGLMPFWRRNRNGNFIFQQDGAPIHRARKTTEWLTRRNIPILEWPSCSPDINPIENVWAFMVRKIYEGNKTYRSVDDLKPAIIAAWREVDQQLIDNLYLSMDSRIFQLIQRSGGPTDY >CRE19963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:91549:92731:-1 gene:WBGene00070923 transcript:CRE19963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19963 MRDKYVRLRKQDRMRAPIKETDKWYNYYQKMSFLDPYIEHRNRKRQMDRASASHIQDDDELLLDEINSMKKDLAQDRNNYHSLQTSSSSSSSGNTPNSNLNSPDQEMNIDVKRLAHPYNNRFDGAAGNNKVSLFIRIVSQALSNMDRRDFEKARLEISKVLHKIDLDN >CRE19945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:86441:87633:1 gene:WBGene00070924 transcript:CRE19945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19945 MGEPLFPYIRNYHTFERGNVRFAEYNDWKTGKKVERALYSDRYGTSYSARWEVNADGFYWVQNVRDEDSFPEEHAVACSYGEYERFEFYKEEQRIDIGEMKSFEDGKVRVKCGRFDGHVRKVSEAVTGCYFNGTVHKLGEEWTEPNSNGLEETSAVNKTMFCSKSAEGYFQSKLIGCSRTHIRNYTYGPIVRLFETTDRIQLNSTNEVSPYLMCTEDTPGRVQLVNVNEKKEAGCLIDNVWHKGSEPWIDEQRGAVFECHSYSQYRKRECLIKNRRISIDKEVKLSNGCTLLCHPQANIYKCDTSLINFEVAGKVRKSNH >CRE19962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:84233:85899:-1 gene:WBGene00070925 transcript:CRE19962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19962 MWITIVLLFEFYSSCTSYYTGESTLLSDPLTSLIPDYNKTEQNWKPQIFVHYDYWKNWKTGEKKKFPRTCGGLDDKLPIIWANDDEIYHNNFIWQCQENQVNGKLNLQPVACAEDFSYGLSWPLKKIKVGERKIYNNGSVMISCYNFNGALQRVSHARKFMNKYIYIYIYIYIYILSGCFYNGTVYPIGGRWLEPNPGNFSTIMRSMTCYKSENGYYEKKVAGCDWMNLNLTSEYMENKYQKCIEVEPGKVDIVPVENLENECTVDGKTFSGTWFNRKRGAILSCCDIGGKIVWINNEVKLSNGCTFLCHPQTNVYSCDSPLQEFEITKDTSTALPTFHSI >CRE19944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:77314:83809:1 gene:WBGene00070926 transcript:CRE19944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19944 MNNTSEPGRCRLERAAKNTKNTTAIGSDNKLPILRNTSKPGSVKKQNHRKGRKAAVSNYQGVARKNKKTSFQANKSSVGQAKVQTVRSMKPKNMINKPSTFTASLAATSTDLSSSSAPRTSTLVSSTIPAASTTRSPELSVSGICEPKPSDDNTSSNSPALTTTYVSTEPRNFFAVPPLFPALTTGPLLFKSGVQKFKANNFSTSSVTSSTPYFFNGSFNIENLLTEARNATDATITHGSFESASRNDSTVSHIGNKSQHIDRSYSEDTAKNASEKQDEEEMIDVISYQSYREYQGLIAFDTDEPSYSWKDVLYEKETENNEFLPIEALPLSLLVQLEKRIEEGLLLKIAVPDMIWDKNHQVAKIIKVCGFRLLIEYQYTAEQEWIHLFDEKIHYVRINRKQNPPISTEHNIIEPNLTVSNDEVFEHPQLVKTYEEAKKNWWKSDYSVGQRFELLNFSNPSQIRVARIKAICGRRLSVIVEEKDYPGDFPPPGEEERISDPQLAYKGTRWWVDQDSDFIYPVGFSNLIGYELIANHDYKEHSQRIAEAIRNNKNPEYDESDIKFNRTILREQRPEFYRQVGVGNHMEILNPVAKNFNSLSAAKVSQIYPSLGYMIIKILGGEQKGAKFPIRILSELVYPVGYAKRNQLELDAPKGFPNRRKTSIPIRVGLPPNEKVKLFKIGMKLEAASKNENAHICPATIKSLHGQIIKVAFDGWGSDVDELYDICSHDIFPVGWAEMHGYPVDFP >CRE19961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:71447:72045:-1 gene:WBGene00070927 transcript:CRE19961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19961 MTGVYKENLRSFDRTMEECSDIVLSVHNAKFFVSKLYVATHSPYFKVLFLGKFNEANKTEIKLSGIDSDDFQNYLEVLYGEQAINEFTVEGILMVADMYDTGFVIQKCEIFLLKESTKKLKKSCNCLLDIIWLRSR >CRE19942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:64586:65067:1 gene:WBGene00070928 transcript:CRE19942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19942 MFRVPKRDSATLLPIIHKYVLPGTTIVSDGWRAYGGIERMQSGYHHLFVNHKTNFVDPTERSVHTQTIEATWGVLKRKLKSRFGDPDHRLEGHMFNYMFRRFHDNSMLLNHLIYEMKYNRRSESHEDNYDLDLAESEEMSLLPS >CRE19941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:58470:60002:1 gene:WBGene00070929 transcript:CRE19941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19941 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3N8E4] MDSKSRSFYQKLPDYLLETDNQNQPGPSAAWRPGYPHPFLLSGNFYHYIPAPPSWHQPSYNNFLSVEREETRHYPQYVNKLPLQRQLEPQIIKTEPYFCESKQHSLAAAENASLNQMKDYDDIYTKDTVTTEVKNEEEEETENCYLTKKFCNRPIKLEEVDTIETPMNESNVSETNSVFPSSLVQFTEPKRFTKEPCNRQIKLEEIDTIETPMNENSAFETSSVYSSSITKFTKPNILTVKIDDSVSLDTNDLSEKILNELKEHQISQTVFAKKVLGRSQGTVSELLKHPKPWELLHTAGRETYHKMNNWLVLPLQERLDKLGDYKTISSTKLKPYSKSRERFFFTLVQKKTLEAFFKSHPNPSEELKVAIAEQLKIDLSQVSNFFFNSRRRIRYSKLGQQMNQSGSDDISEATA >CRE20546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:133363:135189:1 gene:WBGene00070930 transcript:CRE20546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20546 MSDVSRGPQMEDPLVAAQKRLDLKQQRIDTLKQRLKDQKRELTLKFEEQLKKKEKELTLKHDNELLSQKVKYLEEINQKEQQINELLKNQVHQNQQQPTMPVPQYMVPPSQFSTPPGPANPSELVVAQAPCPQSSAPAPRAPNLADPSRKSSVTPQQHEQQNRKIEHQVKEGSTQSLAGLNHKRDLLESDAPEGNNLQDYEIRNQQNDIEGVPDEVEPNEELDLSNERQVGDQLSSQYFETVINNNFGYIRNCNITGTEKYNQYSDSFTNMIASKLKNSEKSGM >CRE20545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:132711:133109:1 gene:WBGene00070931 transcript:CRE20545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20545 MGIQQRYELLFQFSFIQSHHSASLRRSKIAYDTHVSGVIAGNWEKFSTSNKRTSAKPHWSRYRPSAKLTTSTMMTSSSSESYDADNPILPPEPILGDYVEMFTLVLNFIVGAPLNLAAYTQVGISSGENEWD >CRE20543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:103289:109124:1 gene:WBGene00070932 transcript:CRE20543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20543 MTASCRSTACDDARLFDQVRTLFLYYKPEKSKMLESEVPWSSGGTMNSSDQNGTAHAEPNRGVKQERSETTEQPGTSHPTGSRIKVEPVEEAPESPRGNVAPRERTAAPFQFKPPRLDSRPLSDVSRGPQMEDPLVAAQKRLDLKQQRIDTLKQRLKDQERELTLKFAEQLKKKEKELTLKHDNELLFQKVKYLEEINQKEQQINELLKNQVHQNQQQPTMAVSQYMVPASQFSAQSANPSELVVAQAPCPQSSAPAPRAPNLADPNRKTFVTPQQHEQQNRKIEHQIKEGSSQSLAGLNHKRDLLESNAPEENNLRDYEIRNQQMDIEGVSDEVEPNEELDISNKRQVGDQVASDLPQLREQPEQLEAAQEEPMEEDDDIAPMQSEDQEENVENNEEMDAVEQEVQPAGGLRRSALKKKPVSLMKLRHEKKNIRFHSLRKSTKSQRRTFASN >CRE20542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:85892:97830:1 gene:WBGene00070933 transcript:CRE20542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20542 MAFYYKFHGEIRLAEQFYYVTRRTQRTPIEKILAPFRVSSFDTGRSAFSPVEVPRLLVQSPLSPLQVEPPPVATDNRLLTVPSPSDFRKSPVDNRSFACESPKSGGQPATSVDILSQLNLNPNLLTQLTAAISRPIGVASPMLEEMKINFGSVPKIEVEPPPVATDNRLLTVPSPSDFRKSPVDNRSFACESPKSGGQPATSVDILSQLNLNPNLLTQLTAAISRPIGVASPMLEEMKINFGSVPKIEQMDCSGGSFETVGSGSFDMMSAHSSFDHGNTNYNQATAEILATMPPKSVIQDDIRTGQGRFSLVRKRGRSEVWNLFGQVLDGATHLRLPYVACYACKVLYTDTGGGTGNMTRHRCPIGASYRSSTHASSTETVEAGGTNSFDSTVSARNFGSSTDIVKSQLSISMAESGPESAPSGSGSGNNSLPLLSQHSGEEFQNSIGDVDREVLIDAVVKCCAIDLIDPIVFSGKGFRGLLKQICNVSKRLGSTISPPIEAFPDIQTVRSAMQTHLRFCADDLKNELSRTAQGCRLALETLTYSGRDYRVIHGSRISPEWKWRSNILGVFKARENESLSEMINIVVHNYEINKTVLRVTVPNSNNDLDSTYRSFFCVKAKLKEILFTILSSCSQPVMEMLNAVDQLTKALVEMDVRLPFAIEPREDIFDVHQLLAEWNDQWGQLEQIISTKCADTLLDSFKKLDPVHMRDLEIFILPFRETVESLTSEQPNFHKILPEWLALQHECQLQNDEPTALLRELKQIATRVLEAEKEVIMTDEHMIAVLLNPRLIRKLNMILTDQERQIACEKIRSQCGFRNPKEPLSRGSSCDGEPHRKRRMFLSSLEDDQVTDELECYLRSQYPPHQTKDVATFWSVTGQSQFPMLSTMARRVLCTPAVAPTTRFDARCASVSPDQLHTFLMLRSMFDCEKEEEPRD >CRE20540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:60284:73184:1 gene:WBGene00070934 transcript:CRE20540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20540 MLHGSEIPYPEVGIPVQLCKLSSTDADAARVGARQRNRSLKKSPAVQDDDPTTHHLQQQFAQNLLSQHNQVTSTHHHSMQHLHNTSSLQHHLVLPSSSSMAPEPRRAASFDVSASQKLSPAPFRVSSFDTGRSAFSPVEVPRLLVQSPLSPLQVEPPPVATDNRLLTVPSPSDFRKSPVDNRSFACESPKSGGQPATSVDILSQLNLNPNLLTQLTAAISRPIGVASPMLEEMKINFGSVPKIEQMDCSGGSFETVGSGSFDMMSAHSSFDHGNTNYNQATAEILATMPPKSVIQDDIRTGQGRFSLVRKRGRSEVWNLFGQVLDGATHLRLPYVACYACKVLYTDTGGGTGNMTRHRCPIGASYRSSTHASSTETVEAGGTNSFDSTVSARNFGSSTDIVKSQLSISMAESGPESAPSGSGSGNNSLPLLSQHSGEEFQNSIGDVDREVLIDAVVKCCAIDLIDPIVFSGKGFRGLLKQICNVSKRLGSTISPPIEAFPDIQTVRSAMQTHLRFCADDLKNELSRTAQGCRLALETLTYSGRDYRVIHGSRISPEWKWRSNILGVFKARENESLSEMINIVVHNYEINKTVLRVTVPNSNNDLDSTYRSFFCIKAKLKEILFTILSSCSQPVMEMLNAVDQLTKALVEMDVRLPFAIEPREDIFDVHQLLAEWNDQWGQLEQIISTKCADTLLDSFKKLDPVHMRDLEIFILPFRETVESLTSEQPNFHKILPEWLALQHECQLQNDEPTALLRELKQIATRVLEAEKEVIMTDEHMIAVLLNPRLIRKLNMILTDQERQIACEKIRSQCGFRNPKEPLSRGSSCDGEPHRKRRMFLSSLEDDQVTDELECYLRSQYPPHQTK >CRE16382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:14377:18518:1 gene:WBGene00070935 transcript:CRE16382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16382 MHGRSCVLEIVRNKKKSPAVQDDDPTTQHLQQQFAQNLLSQHNQVTSTHHHSMQHLHNTSSLQHHLVLPSSSSMAPEPRRAASFDVSASQKLSPAPFRVSSFDTGRSAFSPVEVPRLLVQSPLSPLQVEPPPVATDNRLLTVPSPSDFRKSPVDNRSFACESPKSGGQPATSVDILSQLNLNPNLLTQLTAAISRPIGVASPMLEEMKINFGSVPKIEVGG >CRE20549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:13932:14674:-1 gene:WBGene00070936 transcript:CRE20549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20549 MAPKKGAGKKSSEKEYSVEKVVNKRTANRGVEYLIKWRGYPSSENTWEPATHLNCKNLVEEYEKGNAPQPPKASTRPRLAKRGRPASQKPSTSAASAPAPRGDKNALKKIESVKKQHGSLIFICEMGDGSKKRVSLAEAFSRWPSRVFKCFEEVILRLLEEGHSLTP >CRE20548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:4044:8075:-1 gene:WBGene00070937 transcript:CRE20548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20548 MKVMKVEDPVEEYVKFLFWCCDNAHEIGVPTDLHTLANRYVKKAKRVKVPGKWFPTNRKRCLGEALGDLEWTRKIDTVKLCKIYFILGIEVHEDLRKKLPPNVKLNGSMQIIKMEDKRKILKAKEAESGSESEPEPEMEQDQDHDQEASSSLVPEPKRKTKQKRELERELEPSSSSVPEPKRKLEKKQEYQYNRDADAEILRQNLDEIGEEFDQFEEEEEEKYLGHNWPHVLEKRLAAPKQEVNWDAQHQDSIGSQVVVKPDEPMEQQVFDDPQDQDNYLDDGGEEEMDQFEDEEEDNYLVYEDSDAQQQDSIEGEAVFEPDEPTEHQAESGSEPEPEEEQESEQEQEDNHDEIADSQITRQNMDEIEETMDRFEDEEEENDLPHNSPQYDPQYHDNDSDDGGEEGMDHFDGEEEQDLAQNSPHPSGKGFVQQQVFDEQEDQDNELDDGDDEQIDDDERPVPQPQDHVDTLVEKFLNELEKCFLRRNKEQYKNMLDRIRFAHENATKQGHNIDAETICLQLETACRAVKEKGVSQYEWAAKGEPGWHWRDLQFVHDLFLGMLDTFSAWACILREPVEEILKDYKKTTGSGGKLISNKSIANIFEELANKMNEKINASRESITAARENEE >CRE04385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig371:4363:7848:1 gene:WBGene00070942 transcript:CRE04385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04385 MILNNLDKRVAQFPQELVTYGGNGQVFSNWIQFRLVLRYLFTMTDHQTLVLYSGHPLGLFPSTPDSPRMTVTNGMMIPIYSTKELYDKYFALGVTQYGQMTAGSFCYIGPQGIVHGTTITVLNAGRRMGLSSLAGRVFVTAGLGGMSGAQPKAAKIAGCIGVIAEISETALLKRHQQGWLDVYSKDLEEIVNWIKEYREKKAAISIGYLGNVVDLWERLAEEPECLVELGSDQTSLHNPFLGGFYPAGLTFEESNELMTSDPAKFKKLVQDSLIRQIAAIDKIAAKGMYFWDYGNAFLLECHRAGANLLREDAQDDKSFRYPSYMQDIMGDIFSMGFGPFRWVCTSGKPEDLRLTDQTACKIIDELKETNVPEYVKQQYLDNKKWIEEAEKNELVVGSQARILYSDRAGRVALAAAFNELVRTGKVSAPIVISRDHHDVSGTDSPFRETSNVYDGSAFTADMAVQNCIGDSFRGATWVALHNGGGVGWGDAINGGFGLVLDGSPDASRRAEGMLNWDVPNGVARRSWSGNAKAQEAIRRAEQQVDGMKVTIPVEADEELLKTLKF >CRE23624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:299863:301635:-1 gene:WBGene00070944 transcript:CRE23624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23624 MMRKLSLFFLVLLFAVNALDFGSDSSSCEDDDGHGGGHGHGGNNNGCPRGWRRFRRSSGGWCMKVFSGSNIEYDAASTACSNNNAVLSGIQNSEEKNYISRSASSHLSSSTGSLWIGAKRTATCARSKLTATCSKTTSFSWTDGSTTGTDGFSWLDGSQPDNALGGNQNCIVFFFARSNTVIARVNWFPGALDDVNCDAAQFNSIPQRKIQGYVCGKDASS >CRE23586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:302350:305432:1 gene:WBGene00070945 transcript:CRE23586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23586 MSKFLIVPDKALTPLYIHRTSTTYFLEQYRDHCRFFVNYPNGNSKGKEELLAQNLIKYAGHRLRMYGSAAELAESLEFYGKFTGSHVFFNATNDPYQLDPIIYRSLNNNQEYICNTDIFAILQNILLHINMRCPDEATHMVAYYMKSLETDIKMQFMRFDPKLFDEIEKELRVEMAKSKPNDAEIIAGVEEFSDMDFDECLRKLKSLLPRNVWNLMRNVRMHTLFERTGGRLTSLLPNLAFLAFNEAERAIKSLKTLMKKRPELFLPRETEKVVRLFEDEDGERFVMKAELSNVLGTRLDSEDDPNTHFTMNMDDVLRRFGDEKIEFLHYPIRRAKHRAVPVKGLGSTTDQYDFFILAVDAFFDLMKDLIIGVQVFQDKNFGKFSLGFHELEKVFKPDCTEPYFIKTQAIEQLREFVVIATDHDEDDQATMIRNAKSDGFTLQNLKNELNHLGLKEAFPEIEEHAEMVYEHVDRCKKEKYLRTCDLFDAVEKCQLLCILNRVPNFKRFIHNQKGCARVPGLKCDKCEKETSGIQNSMENLQIKGNEKEKELKKREDEMNRLKEELNREKERLNLEKEKNKMLQAELFKLKAEDLGNDVIQQLLNGFADTSTSNQKEDSPTTSYIPIKCLICTTRIRSPTVNNIGCPSCKKRFHANVTISGINRFS >CRE23587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:306401:307221:1 gene:WBGene00070946 transcript:CRE23587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23587 MFANAAKYFGDMADFKKYPCTECPKRFLRPAELSRHVKTKHRPKPSLVCEWPGCFEQVKDQKSMARHGLRKHDWTKKESEVAACQANEQAKEVFALVNELVERVEAEELVVREDLEMEEQNEMMDVEEPVVKDVEQPMEVDVEQELMEQEMEAMEPEMEAVVDEDEVVEERKAPWWTNEEEDLFQEEEVEERLEMRWLDRRRRRRQEVAILRTIEQQEEPPNEPYSIRTLREMFSRINLY >CRE23588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:352929:354764:1 gene:WBGene00070948 transcript:CRE23588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23588 MNRKPLNHDCFEVVLQYFESNNRILLSTHCSAIRNAYKRCPLKIEKLKLNPYSIQINDTEYRLGVIYHFPNGNTPKCYERDNNEGGVQLTIDRYGVTDKLSENTLTPGDINLDLVPNRPLIEYENLEIIRFVLSEFEKHDPTGNRSKDQEYFMTKDVLEQELRRYDRFESAEDLNDLKLNEYVRFEENEDIVDFVNLKYKPRIHFFIFFGMYRNISSQRGKIYTCVGKPALSIAHSMISKQRREYLTDKLYALILPLEPLVTPFDCYIQMTISSPRGITKERLIIDRKLPEAMKYLMKRILGTRRDFLHVKNLEIYDKRGILRLEENLRFKVENLILGSGISRIITQVKHIFDESSFPLKSIEIYEPWRSQIAHDSVMTNAELIYLSGYPSTSVQSLLEFQNRSVRVRFSCFQPEYFMNFIEEIVKNPREIGTCFSFGFRSRLSLKKIWRLIKKRLYAKIINRDKEECCHFPHRINYPLRYESELNVSGEESTDPEAPWFLNIEVTPIGSAFSSFENLAETWYCSMN >CRE23589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:358540:360631:1 gene:WBGene00070949 transcript:CRE23589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23589 MKTFILEDNIYSLTRDDVKESVKAGCEYLMDLFKPFFLGTRLDSEDDPNTHFTMSMDDVLRRFGDEKIEFLHYPIRRAKHRAVPVKGLGSTTDQYDFFILAVDAFFDLMKDLIIGVQVFQDKNFGKFSLGFHELEKVFKPDCTEPYFIKTQAIEQLREFVVIATDHDEDDQATMIRNAKSDGFTLQNLKNELNHLGLKEAFPEIEEHAEMVYEHVDRCKKEKYLRTCDLFDAVEKCQLLCILNRVPNFKRFIHNQKGCARVPGLKCDKCEKKEETSEIQNSMENLQIKGNEKEKELKKREDEMNRLKEELNREKERLNLEKEKNKMLQAELFKLKAEDLGNAVIQQLLDGFADSSTSNQKKDSPTTSNSSSIPDKCLICSTKIRSPTVNNTSCPSCKRRFHANVTISGISRFS >CRE23628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:364169:364891:-1 gene:WBGene00070950 transcript:CRE23628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23628 MIRNAKPDGFTLQNLKNELNHLGLKDAFPEIEDHAEVVYEHVDRCKKEKYLRTCDLFDAVEKCQLLCILNRVPNFKRFIHNQKGCARVPGLKCEKCKKKKKTSEIQNTMENLQIKGNEKEKELKKREDEMNRLKEELNREKERLNLEKEKNKMLQAELFKLKAEDLGNAVIQQLLDGFGDSSTKNQIKDSPTTSNNSSFPDKCLTCSTRIRSPTVNNIGCPSCKKRFHANVTISGINRFS >CRE23590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:365217:367508:1 gene:WBGene00070951 transcript:CRE23590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23590 MSRHRNIRRMIIEHEREDYYDDYEDYEDEEETENQYTYHNRKPTAVPLGRRAPFVQQNQKHAKKQNNGQCAPLNEPKKTEQVKDVLRESATPAMSKKSSEMDLTEYRRNQLLNIARAPFVPRRTAKPRLVRKDLINLIVVGHVDAGKSTLMGHLLHDLDVVDTRTIDKYKRDAARSGKASFAYAWVLDETEEERERGVTMDIGRTSFETENRRIVLLDAPGHKDFISNMITGTSQADAAILVVNATTGEFETGFENGGQTKEHALLLRSLGVTQLVVAISKLDTVEWSYDRYEEIRNSLSVFLTRHAGFSKPIFVPVSGFTGENLVKRMNLSWYDGPCLLELMNSFVAPKLSFGGLLRIGISDVHKVSENQVVVSGKVESGEVEKDDKVYIMPSVTPATIKECAGNIGAAQYVTGDFIMFTLQGTFEPESVQVGSVVVKSGPDTLIPGRKFQVRLVVFEIATPIIKVKSILLFFSIFFSFFQGAKYELYAHSLCIPCTFTKLIHTIDKSNGEVLKEKPRFISRGMSAVVEIETDHDVAIESFTSCRALGRVTFRSGGNTIAAGIVEKTITPQ >CRE23630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:377117:379032:-1 gene:WBGene00070953 transcript:CRE23630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23630 MTASKLFYNILKLIIGVIHHFPNGNTPKCYERDNNEGGVQLTIDRYGVTDKLSENTLTPGDINLHLVPNRPLIEYESHEILQFVLSEFELNDPTRDRSQDNPRSRCYEHEQRELRIHNSKERRPDALKDLKLSEYVWFEQENIDYVNLKYKCSSSFNIYNVHTNQEKIGLTYTCVGKPSLSIAHSDMPKEKREYLVDKLHALLLPLETRNLFNCYIQMSISSPRGITKERLIIDRKLPEAMKYLMKRILGTRRDFIRISRVMKQGEHIFHESSFPLKSIEIFGRQIIQTARIPLVTTAEVIHLTDWYQRLYFIELLEFKNRIVRMKFGCFYLKQYLELVEKLVENPREIGTCFSFGIRTKWTSKEILETFKTRDDARIGNIEWKGCPYFLHRINYPLTNGSQLNVYGQESTDPKVLWFLNFEVMPVGSAFSYNLLEI >CRE23634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:388207:392823:-1 gene:WBGene00070955 transcript:CRE23634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23634 MADIEEEEANRVAEEGRIRQEEANRLAEEERIRRENELLAEEPMDEGDEDKRVQEVRLAEIEKVINETCIDIKNQTKFSTKQCRVLLSPLIGKILEVEEQFRGKKKESEFWENTNVKLNKTVLSLQDELERKSPPQPSTPLEEPPTTSVLSGQSIQGNEERWKLVSLLEVNEIHNEEALNELFGKIEQLGNELSTHKELLQKAREQSDRSKEEYFQAKQQIVMLQLKLKAEEEKSEKLKKENDTVAANNQSNNLTRYGEQRQSITEKNNNIVQTTGRHSMFATSTPQHGMHRQGEANGKVGESRENARFYNADTSEIIDTITRQESRDSGGNWNQKIVEQDAQRSMIVHDGHEMPNMNMQWRMTQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDIEAQKSFLETRFLAGNALTVYKGLPESDKYSVSRILDAIKRRLSQSEPEESRRAKSKFQGLKLQKEQSIQSFCLQMDEIVRVGYKGVPEHQISSMKTTKLLDEMKEHSIFDVSLQILGSQLRKCPEMEQYELCREEATRFDEEWRSGKAKLNEKKVNRQQSNQNFSNQQSNYSNQNTSYSRNKQTVGNDETSQNWRERSQGKFVPTNNAGGNNVVNKSVGFSECSECRLTGCHDPKCSRAPGSSTPRKSNPVVCFRCNEQGHIAPNCPQKNAQQLNSQGDMAKVQTLDKKECLEMPEAEKSKQNKNSRTPVRIEQGRIGSAEVNFVIDSGACISVISENTWEEVVEKNGGKEWEKEAILKNPEKIDVYAANNTPMNLLYQVKVETSLHSRTRDLKFYVTDIDRDTVILGIDQFELLGIQMSFQKKPRDIRMVRQVKIPPGSEKIVEVSVEGTIRKDKSLCLITPMVSCLAPAIYQIRKSGKARVQMSNLGKKSIFLKKGELVASGEVEGFDVIEENEENMKLLEEFVERSKLLEQDMETINLIETNVNSGERWDILCEQLKKTCAKCEEEEDVWKVIKDYQHIFATDDTELGRTNVVECEIELTEGAQPVRQKARPIPLAIRGEIRKMIQKMLSQRVIRESKSPWASPVVLVKKKDGSVRMCIDYRKVNLLIKYNAHPLPNIETTLLSLAGKKVFTTFDLLAGYWQLPLKEESKEITAFAIGSELFEWNVLPFGLATSPAIFQAAMECVVGDLLGTCVFVYVDDLLIASENMKEHAIHVQTILERIEKSGMKLKASKCWIAREEVDYLGHMITPEGVKTEEAKVDKMKKFARPEDVKQLQSFLGLVGYYRNFIMSYSKIAYPLNFLTSKKNAWVWGTEQENAFVQLKSSVCSAPVLRQPDPETAISGARPYLIYTDASRQGVGAVLAQEANDGEQHPIAFASKSLTSAETRYHITDLEALAMMFALRRFRTIIYGSQVIVFTDHKPLISLMRGSRLADRLMRWSIELIEFNPKIVYVKGKANVVADALSRGGCPLIDPDDMETGDMPNIIGEVKMIKEGNKFDTSEWLGKLRKEEGWSEVIEKLENGEKTGSVKFPGIRKGIWLDNYMIIGRSLRNTEDENYFFFFFYLFIYLKDFHHLYK >CRE06940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:218926:219856:1 gene:WBGene00070956 transcript:CRE06940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06940 MIGLEMKWTVKANGNEIRQSISLISGFAQQQEIFVPTLTVDDYLMIQICVLASVLVEQSATSCGLFLACLFETTSVAIAFAVPASGLFALLSGLYGNTSNFPVYIRWMQWTSWFRYGFEGLVVNQWSRVDNSSYTNFYRDVILDQVSFKHDNYQLDVIGLSSIVVFFYLAGFIALLVRIRLSR >CRE06969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:215432:218156:-1 gene:WBGene00070957 transcript:CRE06969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06969 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3N6P6] MASGSGRGRGRGSGSNNSGGKTQDYYGTIQPDLFVRQPGEPKVGSSGRPQRCFANFIPIEMEKADYSIYQFHVEFHPQVDSKHMRETMLLHENVTDEIGRFHVFDGMILYLTEEWQQNVSFKLNFDRNYRFLFQQEIEVPHPLTGDLIRVIFKQTNRFLLDNAQTINIFNTIIRRCFDELKLTQLGRHYFNSKDARNVREYNMSILPGFETAIRMYEDQLMLCVENRFKMVRRDSMYDLLKKEMQACQGNRQRVQEKMNEMFGGSTIITLYNNKLHRFTRLDWSISPLSEFEKDGQPITLKRYFKMQYDKDIQFDEQPIIISEGKPKQPGEPPQVNYIVPEICFPTGLTDEMRKDFRMMKEIAQHTRMSPQQRLTETRKLITEFHNNENVQACLNYWGIRLSEDLANVNARVLKPEPLHADGIKKYEGKNAEWARGVKDAGIYRGSEMNNWIVVGPNTGNSGMLIQKFIGEAGRLAQTLRVQLGDPMCVPIRGVSPNEYLEGVKNAVKQVAGADVHMLVVMLVDDNKTRYDSLKKYLCVECPIPNQCINLRTLAGKASDGGENRNFGSIVLKIFLQMICKTGGALWKVNIPLTETMIVGYDLYHDSTLKGKTVGACVSTTAGDYTKFYSQTRPHENPTQLGNNLTHFVRKALKKYYDENNNTLPSRLILYRDGAGDGQIPYIKNTEVKLVRDACDMVTERAAKLSGKVHKSIKLAFIIVTKRVNMRILKQGATGTSAINPDPGTVVDTVVTRPERMDFYLVPQFVNQGTVTPVSYNIIFDDTELGPDKHQQLAFKLCHLYYNWQGTVRVPAPCQYAHKLAFLTAQSLHGDSDEKLRDKLFFL >CRE06938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:208855:212524:1 gene:WBGene00070958 transcript:CRE06938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wht-3 description:CRE-WHT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N6P4] MNTKLSVGGRKRCTAGYESVDTNSSKINDAVTPITVTWENIEVKTRKKGKILLNNVSGIAKPGEMVALMGASGAGKTTLLNVLMDRNMKGLEMEGAVKVNGNEIGQSISLISGFAQQQEIFVPTLTVDEYLMIQAKLRMQENQAIRRERVDEIIEMLGLNKCRDSKIGNPGLVKGISGGEARRLTFACELLSNPSLMFADEPTSGLDSFMAASVVQIMRNLANSGRTLIAIIHQPTADLYFQFDKIIFLSLGRPAFMGTPQESINFFSDCGHPIPKSFNPPEWIQTKLSIQPTEEEKSQERIEHIISYYENCQISTKSSTEVRTNPTTDLPPFIENPGFFTETGALLKRAFIDVVRSPAQMRMKLIQKIVMGLFIGSLYWQQPLDRRGVQNTNSALYFLIAELTFSTMFGIMTFMEHELPLIAREYHDGLFYVISYYVSRCLAYLPLFTLDGAIMVLISYWMIGLNNTWQQVLKSVLISVLVEQSATSCGLFLACLFETTSVAIAFAVPASGLFALLSGLYGNTSNFPVYIRWMQWTSWFRYGFEGLVVNQWSRVDNSSYTNFYRDVILDQFSFKHDNYQLDVIGLSSIVVFFYLAGFIALLVRIRLSR >CRE06968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:207655:208416:-1 gene:WBGene00070959 transcript:CRE06968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-har-1 description:CRE-HAR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6P3] MVRRRTASPAASSPVRSAPRPAPAQSSFSAPPRPAAAAAPAAHPAPGAHPTPMGAPMGAPSQGPGLMAQMAATAGGVAIGSAVGHAVGGMFTGGGSSHAAEQAPVQQAAPVGAPQATTYAQPCEFEWRQFVECAQNQSDVSLCNGFNDIFKQCKARFA >CRE06967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:204993:207359:-1 gene:WBGene00070960 transcript:CRE06967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glod-4 description:CRE-GLOD-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N6P2] MAARALHYVFKVANRAKTIDFYTKVLDMKVLRHEEFDKGCEASCNGPYDERWSKTMIGYGSEDEHFVLELTYNYPIHKYELGNDYRAIVIDSDQLFEKISTIDHRKSGCGRLAVKDPDGHEFKIGKSDQSPRVLRVQLNVGDLEKSKKYWNEVLEMPIVEEKKTRIRLCYGEGQCELEIVQSGEKIDRKTGFGRIAFSYPGDKLQSLQDKVKAANGTIINELLTLNTPGKADVQVVILADPDAHEICFVGDEGFRDLSKIDESAEKELREQIKKDDSEKWY >CRE06937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:203032:204800:1 gene:WBGene00070961 transcript:CRE06937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06937 MPITIKPEPVDEEVIIVAHVRNNEDQLAPIRQEQNDRFIIEEVNRLVAAHMNQQQASDSENEAPVLEAQVSPDQVPIHQVHGSHNQVPTQRVSPNQGQALMHQVHGSPNQDPVHRVSPNQGQFPMHQVNNSPNQVPTQRVSPDHGQILMNQVRGSPNQAQVPVQRVSPNQGSFPMHQVHGSPIQAPVLQAQVSPDGIPMHQINGSPNQGQVPLQYNQVPLPPHQGHIPPTALILPAQRPPNQPRIPANQRQAPARQAPPSQRRISCVSQVPPRLRYPPEYYIGLAAQLRPMLIPYAEDVNIIRGMLVMKEEAVTYVHFQHEAGGDFSPPEFYKAIVFHLFKLARKRNQQPVYLSILHVIIKRRLQDENPGVPIPDYPRLEQIVQEYQSHETNPRRQSRAQKQARLQDLFERIFGETYIFLLRGHQKYGRAPQSVFFFTRFCVLLFSLGLDVTPFLDFHISRLQRNLPPDIHPNFYLSMKTAFHEAMSNANNSP >CRE06964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:178790:185684:-1 gene:WBGene00070962 transcript:CRE06964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06964 MVNPEEGVGFRGYLPECQNLLHKEGEDDEFCPFCKVDTRSVAATDGSDISKRATSKWENRGPRDKRTERELFSKKLSGINFDEYDEIPVDSSGGVLPKLFANFSELKLHEWIKVNIKSAGYDRPTPAQKYCIPALRSERDIFVCAQREESRFGMMSAYLIPVVDSILQDGPDDVYRSDTSSRGRKKKQYPSALVLAPTRERSLQVFIYNESRKFVYRTPIKSALLYGGRENYKDQIHKLRLGCHILVATPGRLKSVMDQGLIGLEGCRYLVLDTTDRMLKMGFEPLIRQIVERMPPKEERVTAIFSSSCTDDMKVFTEEILKINTLDLTIGLGMNECYDSKTFMSKAWFYFNLSSPDVTQACEKAWLSAVYAIRLLFLETDSIHLQSHKSLRFAVEFVARFMPTEKFLLVIKSFETADKLHLFSHNSIDAHFKTEDLPRAFRKVEDFIETISKIDKKKLKTIFNDDFGIGEERGLTQTSLSEMSDAKEGVGFRGYLPGCKNILHKEGEEDKLCRFCNVDPPYNDPAIVDKELIKRETWKSESRGPRDERTEWELFSKKLSGINFDEFDDIPVDSSGGEFPQLFGHFSELKLHEWIEDNIKSAGYDRLTPVQKYCIPALQSGQDIFVCAQREESRFGMISAYLIHLVNSILQDGPDAVHRSDTCSKKDRKKKQYPSALVLSPTRERSFQVFIYNESRKFVYRTPIKSALLYGGRENYKDQIHKLRLGCHILIATPGRLKSVMDQGLIGLEGCRYLVLDAADRMLKMGFEPLIRQIVERMPPKEERVTAIFSSSSTDDMKVFAEEILKINKLDLTIGLGMNECYDSKMFMSKAWFYFNLSSPDVTQACEKAWLSAVYAIRLLFLETDSIHLESHKSLRFAVEFVARLMPTEKCLLVYDGFKTADKLHLFSHGKTYFMTELLPRTFREVEDFIETISKIDKKKLKTIFNDDFGNGENEDWRERGVSVKKKSHDVIFAGKTYRAIYSVIVSGDNYSAPEHDYFS >CRE06936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:175882:177330:1 gene:WBGene00070963 transcript:CRE06936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06936 MSNTASLEDAFGQLSIDRHANGRIDRNPLTFSNFSDSLIQKIIERCEVRDHLVLRKVSKRLRALVDSSQLKYGIKFSCRRDHILLEIIYRGKLSNQVTVVYAGDDWNSGVVFSNIAYNSEYLPDETIAISVENQCKLIKSEDYERLAFDDLAIALKTPKQEVGCFTFGYYVDSVHMPITIQDAESSKRCYEKIKALLSSLNHKLFVRRLKLVVEDPEDVMAILPRLVPTFLHEIAIYSEVSSNRWQNQENIQRIVNAQQWITARSLLRTQNVFAFFPMESLMNFKRLRINECSVDAEFLLKLRELFSKSPILCKCYIESGEKFDAEVLAEHVGEPASSTQWSSNVRHYQLPNTDEFLEFQFHGDTISIRRYSRDVPMEKLWARKKFKVCKNAKRESV >CRE06935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:173828:174934:1 gene:WBGene00070964 transcript:CRE06935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06935 description:Putative tRNA (cytidine(32)/guanosine(34)-2'-O)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3N6N6] MGKTSRDKRDIYYRLAKENKWRARSAFKLMQIDDEFQILKGVRRAVDLCAAPGSWSQVLSKRLFEEDKEAKIVAIDLQPMAPIPGVIQLQGDITSVDTANQVIEHFSGDKSDIVICDGAPDVTGIHSLDEFMQAELILAAFNITSHVLRPGGNFLAKIFRSRNSSLLYAQMKRYFKKVYLAKPRSSRQSSCEAFVLCLDYSPPEGFVPTMGRSSLEITDASGVTAEIIDGFVTCGDLSGWDSEKSYPLDIHVSFPRGESTDEDRNRYEFKDVVQPPTDPAYKEALEMKKTGVFSNMNAELQREVRKRAASQDYINSFSSKANPFVARNRKR >CRE06963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:171142:173328:-1 gene:WBGene00070965 transcript:CRE06963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06963 description:Protein pelota homolog [Source:UniProtKB/TrEMBL;Acc:E3N6N5] MKQFKRFIERDGSGYVVLMAEESEDMWHIYNLIRVGDIIKASTIRKVVSETSTGTTSSQRVHTMLTVSVESIDFDPGAEELHLKGRNIEENDIVKLGAYHTIDLEPQRKFTLQKTEWDSIDIERLNTALDPAQAADVAAVVLHEGLANVCLITPAMTLTRAKIDMPIPRKRKGFTSQHEKGLEKFYEAVSVAFMRHVNLNIVKCVIVASRGFVKDSFMEHLIAHADANGKKFTADQRAKFMLTHSSSGFKHALKEVLETPQVAARLADTKAQGEVKALNQFLELMSTEPDRAFYGYNHVSRANQELAIETLLVADSLFRADNVETRRKYVKLVESVREQNGKVHIFSSMHVSGEQLAQLTGCAAILRFPMPDLDDEPMDDDE >CRE06934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:165669:170434:1 gene:WBGene00070966 transcript:CRE06934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asd-1 description:CRE-ASD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6N4] MLVLNVQYTFVKGTVHSEKLDDFDYKVALQQKMVAAQSSGVLRPLQIGTTSPTSQNQNQNPEGGVVKPSVMTSASSTGSAASTTTTTNEMKPLTIGPIAPNDRSTSSESEGPRRLHVSNIPFKYREPDLKGMFERFGPVVDVEIIFNERGSKGFGFVTMQSPEDADRARNEINGSTIEGRRVEVNMATQRVHTKKAKPLMSVGVVDPIAAQNLLVVQQQQQQQQFRNALIQQQLLAQQLLMPRQQQLMMPPTSAHAAINLQALQYQQLIMAQQQQQQQQLQQNPQFLLQLQQQQQAAVQAAAAAAAQQQQQQVPTSMAHHQMIQHHQQQVAAMAMDPMAHAQAAQMATMIAYEQQRMQLQAVQAQAAAAQGRAVQQHQASAAAAAAAAAAGRGIPPPPSNQQQAPAVAGSIGEQYLQQSLSGAASLHQHHNLHQASAAAAALQRRFAPY >CRE06962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:161282:163184:-1 gene:WBGene00070967 transcript:CRE06962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06962 MESSTPLSYLSTQTVLKNLSANKRSVLNFQMYSSPKPTSSRFQISQRCPTLRNIEQTIPLKIDELYLGPLDIKVDDTKYRVEEFKYPSDSEVHKRLASHVGSGVMSEHRNEVQRCDNQLFINHSDYDYVRDSALEARQQEAQRLENLFEHPILNFVSRGMPTPGFEKCIRLISSVHGSKENLAVTYQMDIEAAMKSLIWKLFAGRPTLIVKNFKFNFQGIHKYPLDFKIFSKNIEFANSRLSVTPALQIIDPACLPLDTVTVNFPIDCHDSIVQTAKLRIITMNLLGRDLRRFNHPNIYFTNVKLLGISLIRLLEDWVQREKPIGTFCKFRVFKLYHALDFISMFEQRFPDARGNENSLRGRIEFPTQRFLRINNDTEIKVFLKIKTQAWNVKSLNFKVQRIRE >CRE06933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:153815:155496:1 gene:WBGene00070968 transcript:CRE06933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xbp-1 description:CRE-XBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6N2] MSNYPKRIYVLPARQPRVIPQSYVAAPVQRPMKRPVPAEQIVAELLGDDLGPSGPRKRERLNHLTAEEKMDRRKMKNRVAAQNARDKKKERSGKIEEVVVDLVEENRLLRVENEKLRRQNEELLRQQSLMNNTVQIVNEPPMYINTVENNENLVTDSNIYSNVVYEEEVVEEVVGGGDEQCAFESAVFINAPLPWDKANRSSTNTANQPRRTDSNKKTTLDTYLTILSILCNHMDRDKTNTSTESSNTSSPSGEFDRLVAGYIEEGGDGFAPSTSSGSIRTSSETREAFSPGSLALSPSMSCNSSTDWNTDDDFLMNCGASTQTTDDALIDPGNWNFETFDEDSIDLNFFQN >CRE06930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:137077:142756:1 gene:WBGene00070969 transcript:CRE06930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06930 MNNPPRIFNRPAVWSVQKSGDRVTDGTKPTIQHFLGLKTSILKDFDRICEGAEELAAEQRTNLQLVQGQVNRALFEGERTNRLVEALSAKIDELDRKVSEGFWNREVTGVGNGDDHRVIPPTAAASPRTRSLSLNRGANPQQPYTPVVARHQVTPYHASSNNSELLEDLDNPEASVNGTPQHFLAAEEDNESILHADLNDTRRYEVYVSTPNNMEENQASSGNTVALTASNGTTPSGGTPHDDVNNVSGASAGAKAPSDEEEQGDDVVDDSNTGNREDEEDFVPQVMVLSFKSAAPLEMYGGTTREDFNGFVRAFNDRILAMEAEATDEDKKRAFLTVLKDQARDRAESILTERPNATFDYIIQGMKEMFVGTSHIQRSKALLRSSKQAPGESADVFFHRISKLARQSYSDNATFQKEVTLEQFLNGLNPSVKTLVMLRAPTTPEEALGYALSVEGCVTPADQSTSMAQIPELLASLTSMVIDLQKQNNQRDDARNNRERNYSRDGSSSSQGKCFYCQKSGHYVRECRKKRSDQANGISGQRPNRSRNPVDNHRVDNRPRTNTVSLENEVQALRSAIKARDDQLEEYQKRHDRTIPDGYYSGSNASVSMIYWPTPVTEQNPQVQQAVFNQHRIGSERITAQVPIKANGFKCNALFDTGSNITIAGDNTRKILRIEQLTKTPFEHACGLGGNQVKMAGYADITFQIGSLSITQRTYFTTGRCTPGNPRGYDFIFGNDLLSRLPMFIFDYANASLHIGKDILPLGTNPPATPSPSQFDIKVAEETVISPRSERIVKCTIPEEISRTSELTILAVPPRLPSECLFVAPTVMSSNNIAVMITNASEEEVTLQSKTKVARGSQLEEDPEFRIDLTKAEGISVEEKAALQALLDTYKDVFSKNAYDLGSSKTDPVHIYTNTEVPVRGRPYRVPVKYQAELEKHINGLLLSNRITESNTPWTSPIVLVKKKNGSLRVCLDFRKLNEVTIPDNYPLPRIDTIIEKVGNARYFSSLDMANGYLQLRLDAESSYKCGFITENKVYAYTHLPFGLKSAASYFQRALKTVLAGLEEDVMVYIDDVLIYSKTFEEHLVTLRHVLSRFRQFSLKASPKKCEFVKQSIVFLGHEISGTSYSPNQANVDSIERLPTPNNVPELKRFIGMAGFFRKFIENFAGIAEPLTRLTRKEQKFVWSEEQQEAWMKLKTALTSKPILSFPNYEKPFHIFTDASSVAQGAVLMQATDTDPRNFHVIAYVSRTLSDEETRWTAIQIELGAIIFALRQFKPYVCLSKIVLHSDHRPLTFLLAKNKVNDNLARWLVELQQYDIEIVHIEGKKNTVADCLSRAKDEIAPLNNQEMEDIIDFPICMSNRPRRPTESRVLTVTGSSKPINLITEQDMDKDISIIKRFLKNPATPIDRLPDEWSDVLDLMQISAKGFLVVVFEGTPRTVIPRQLRSLIFDSFHTNVMAGGHLNFRKSHQKARRKFFWPNMKSDFFRWYSECVPCQQKRHPHPSTRQPQSVVITTRIFEKVGVDLAGPLKTTTRLHKYYINIICWFSKFVISVPLPDATAETVARVILEECVLKYGTPTEIVSDNGPCFSAAAFKQFCTMLSIGHHLAIPHHSRGNGATERTFRTFHQMTSKYVNPTHTNWDTILPCVTFAYNTAVHSTTGETPFYLMFGRDPTFVIDKILDPSPSGFTDEDVRDWATHITTTLRQAWKDAAEHSLKVQEQIQARANDGAKGSDIQPGDRVLMKNYESKAGLSRKLVLPWVGDYRVLEVSENEALIQNLKKPDKAPKRVHLDQIKKLILPAATDVRAEPESTVEVKKMFGKTSTKPKSVKITEDVVKPSGTGGQAEPTPTQPPVGRRNPPRMRNPPKRLGG >CRE06960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:118994:123331:-1 gene:WBGene00070970 transcript:CRE06960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06960 MATRRNVDEVMVRAAAHARKEDNLEFRNFEVIAIAGHILESSCKHPEGLQQPDCLVGRERERERDLDECKYELELNWRSFPRWCSHAPSVRDVVACAEIYASAVNAQIESPIAIKDALCAVFLDSLAGLTTYRSDRSFFFSKMSPIHDFSSRLYLINDILSNCVQKAIRDASLYRSHFEAIFEKIFVALGKTYQSIPSRIKMDQFKQRVMNVFRHFDDVALYPTEKLIINQNIFLGLVEYGKEKSEEKEPEDDDEEEEDLDGMPLDERDQKKVSLSDDEDDIDGVPLEEVAGLSTSAKEMPRKFEPEENGEEVKQMKQEKDRSNQSKELARNIWKDGHKMITVMMMVNPPGQEVSSSASRELENAFRRMVIGMDRTP >CRE14312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:2026:6818:-1 gene:WBGene00070971 transcript:CRE14312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14312 MVENFEEVSVEVFEVVINVNFYQEQIGGAKIRVLDVHEKTPKGHFEGRIISRTLGLELPDSMTTHPRGPEMFAETLIELAHRYDPFKNPRVKVGIVFESSEIPESVGLCYQPIDRIKAEHIVQTLALQSQSNKSVLELETPKINARFTYINPPVGSGKRRFDTGKILELTVFEKRRKTSETELEMKKELPKVKKLRSNIMPNEVIEDCLVHALYQTLMYHNWKLCRTQESKNKYRNALRKTFKRPHICQEIYEAVKAMKEKAGVSKSSNFCRMDVERFQNTVFAGTHQLIVFVKNSTIPYYSGPFVGEKKQLVLYLDDGHYRGVRSICALLRTDYYCALCNRRYKSTVQHYKCPLVHRLCGQRHCPVTESDQPTRCKTCTVLFKSQICYENHIKKGSKNGKSRCEYTAVCRKCEDIYYTNKGNPHKCGQKWCYRCNCERMMPHNCIMSISKKNEKKLTRRRVYFDIESRADESTGQQYPVLFVALRCCPGCAIFIPNDIEQARNMGCSKCAPDGRLKVIECITIENRNVDVGSEMTKWLFADHHRGAVVVAHNASGYDGQFILENLIASNKASPKLVMDGTKLIFMEHNGVKLLDSIRYLSMSLSSLGKTFNVDSVKGDFPVLFIKPENYTYVGPLPDDCHYAMDNKSSVVKEQLSTFLSAQRAEGKIFNFVEEIFKYCYNDVYILATSMGLFETEFEKITNVCLLEESTTAASAAALVFRRNHLDSEKPIVLDVKPSVSMNASEVSQKYLAWFASKEGVQLNMSTTYGEEKIGRYRVDGFVHPCPKYPQGLIVEFFGCYWHAHECTYSEESMIGCESAKEIRLKDEERLNALREFHPVKVVWECEVKKQLLRNPEMAAFFRDYEAVGLLHCDRALTGGRTEVFRLYANNEGKTLRYADVVSLYPTVMKHDPFPIGVPENVPKSSMEVPMRKPTDLTFRGFLSCKVLPPRHLKLPVLPIKDNGKLLFGLCKKCCRDSNQHDCEHSDNDRSFSGTFTTVELQKALSLGYQITEVFHVKTGIWGIHVILFLQGVKYENWVQNDESGRGGLFTSYINQMMEEKIYSTGWPANVITDAQKDAYCKAYFDKEQIHLTDYSRFLKNPGKRAVAKLMLNSLWGKFAQRVDRETTCIVTDPTVFWNIFYDTTIVISDVLCVNDALIIKYRKQAETLESFKTSAMQLAALTTSYARLRLYRFMEMVGAENIMYTGIFQSVVNFSHSKFTDTDSIIYAVPEGSNDPLRGEIGPYLGQLTDELDGAMTEFVTLGPKTYCYKEVSADESLKVVRKAKGITVNSVVKNLMSFDLMKNMVDEVLQDVYQRTRVQFPQHVMYRDAYHHVYSKKIFKKFQFTFNKRRIVSDGSTLPYGFCA >CRE10496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:82412:91258:-1 gene:WBGene00070972 transcript:CRE10496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10496 MSITHDDIKNWEEIISTHQEDYEKFLKASFQALKAVPNPTTEPQTPVQDFLAGYAVFMASEVETIKPKDQLYKTQLATVLENIHMTPVGTLLTETAKQASIKINKKDREITKLVGDIEARMEKRHEHLNTLAATHKVKGLAETSYSIRPRRSQTNVELKTDFIRPPGNNIQVSSFTTETRPTMLQYKHVKLPTFNGNISEWSAFYMIFKPTVLENDEYTDVEKHNILRNHLVNEPADLIRAYDPDGTQLATAVKRLEAMYGSKEKQYDYLWNRLCEVPMARDSPRSLRILHNELHAIINSLSKHGSIETQNFQSVIKSKIPRNILIEVLRTKPKDTSAILEALDIIITIEESAQRSEMKVNEKEDRNVFSVRKPQQNKRCRFCQRTNHTSAECKTVASLEDRREFIKMNNLCFNCLNSGHRLSECKSSECRKCQVKHNQAICHRNPNVVRKNNFQKNPPTYKSQNENYRRNNFSNQNNGHQSSNAAAYQQRNQGTQGQGYQQRNQSQPVNQRIQNNGNQTNGNQSNNGYNKRNQGQSAPQKSLKTRNYQVNANKTSLMVVNAPIVVGEEIEKIPVLLDTGADQSFILSSFAEKAKMEVLERNVEIDLCVFGKDPTSIISNVVKFEIITNDDSVIKVEALTVPDITDLFEPINLTHEDKKYLENVNEKTVNITRPEKAVALLGLDVFWDLITDEGKKKLPSGKFIIPTHIGPLVCGKTERSTSSMHALIARIKDSQEDHFTENDFQEYFEISNIGITDKIFDPTNEEIILEFEKKVEINQETKRIIAPLTWKEGQREKLANNYDVAICRARQLVRTSKGTEAWQKLEENFDTMEKTGIIEEIDNDPNLGYYIPYGLVFNKSSNTTKVRTVFDASSKKRGEISLNNALHQGPSLIPDLQGILLRLRQGKYLLAGDIEKAFHAIEVNEKDRDALRFIRVRDPERPLHPDNIRLMRFRNLPFGVNCSPFLLSMSILYAVRQANVPENIIKAIESMCYVDNVFMLTDDFNELPKFYNLLKEFFGSIGMNIREFCVNHPVNFIKEEDKAQNLENIKMLGYIYDLENDTFEVRKPKLVITGKGIPRMNKKKAVGEITMIFDPTQYFAPLYLQGKNILRQISDHTIKWLDYVSDNIVEQIISYRQKIENSTLKFRRNIPNLNSRKPVQLVVFTDASEHTYGACIYLKIEKPDLKGQFDIHLLIAKQRIAPKTKTLTIPRLELLGILIGVRLLDYTIREMNLNIEKIELFSDSTIALAQIKNHPTTKGEKHAQFVDNRCLEIWKTLQNIKSKNDQTEISLSHVPTDQNPADHITRGCDSEEELRKTNWFFGPDWLQNDNHPDHPCKKEDNRLIIDRPTPVELNVMTIQVKNLSEIENRIIPLEKINNLEKTKRIMSYVLRFLKNKIYKKLSKPSKTKLERNFPELKHLPNEFCGVVKLEELNLAMKLLIRNNQLVYKIEENPKENQFLDKDNINSPSDQIVYQHNRIIGKNKLPIIETKSRLANLIIQKIHRENLHAGPMTTLGIVLESYAGTRWRAAVKKVLDNCSTCRKANNHPFREAPPGNLPERRTTESRPFQHIGVDFMGPFKTYIRNSNDIEKCHIALFTCTTTRLVHLERVPNLSTDEFLLALSRFMSRRGYPDSITSDNAATFKLTAEILDRHSEKEDNFLAELAFEKIDQLKTNVLEKEMTKKGIKWYFNTALAPWQGGFYERLVGVVKKALKHSLGESQHRVKDLETIMAECESLVNRRPLTYIDEDSEDCKVLRPIDIITPGLYFSIFDDNGLRDEYYEYTQNFREVQKHIKRFWNIFIRDYLKQTKNFQSVAQPNRAHSNLIKPILGEVVLLVDENVPRGKWKMGIITELLKGRDGEIRSVRVRTTQKRKKRDGTLPYKPFKIQEITRPLRLVIPLELRPQPKEEDEKIETKTVTVNLARIKEPKFKQTEKRMFRPVIETQNEDFRKNLQRRPKFSLWNIWTILLMMCILATTASANMLQNLSPNQHSNEYTTTLPTPTTEMVPPTSTTSPIVTTTIEVTTTRKKITTKTTVKTTPSTTVTTPSTTVTTPSTTVTTTTTTLPTTVTTRKLTTRTTPSTTQSTTTETTSLSTTTPSPATTVFQTTTTPAPILEKIVDGIRPKPEGQRRSVTTTEPPTQLTTVPATRPTTVPTTKKITTTVSTTSPPENSLMKTLQEIHDSKSRLDCTKYGVNLIDEENMTNHSNSVCTENWCDHTVLTKKKITEVLIPPEFTLHKHRVVWKKSIGTQYIIIEKTCPPTDYCWKALKHFDCILCTRFLFNPQCHPKTTTSIVILLIAIIMKIISLFWHRKKLWKLFILMCCWCNFCEKISRFFSTKKRDENLEIEEIEMVPLRKPTVTQRLNNVRNWRHKFRRNGNYSRSEPSTKTMKRSYTNSAQPRKQLFEISTVVENGIEVLKIQKTASRTPSPSMLAIATICLLIASAAADVCDETFPITHEETTCNEHGICRIEKTEDIFFTPQTKTICLQVVSQKNVILKFKLTVDHHFRKCHKGPILFTKNVTVHADSAKRCHGMGECVDRKCLDVGPNSKLSEFPEGNKYPGHTYCSSSCGGLWCKCLLPTEGCLFYRTYAVPTTDDKFQIYSCEAWSNAINFQAELTLDNQKIEQVFLIQEGDDYQINFKYGQNKDQEIDIKLRLLTITEETGLSILGKKFIQNKEKIALASISNEIFPLECFETGACNYRETCSCNLGEAEALCVCKVPDLYKILDDIDHNLPVITERYHLGTTPDNIPTLRTKHSNFHIQVIMEQSYNVSVTESKIDCSIEKTTPYTGCYNCLKGASQNVTCKSKEPTHAKISCDNGEFVDILTCDKTGIVNEIHRKFSKSILTGVCAVTCGTKNNSYKIEGTLTYVSHTSLFEYLNQVLHSEKSISEIHPWHIPDVWTLWNNLTKGLIPIVLAIAGMIISSILIYLCCIPACTACLSRRGRHIRR >CRE14300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:28726:30360:1 gene:WBGene00070973 transcript:CRE14300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14300 MEETLRNAGAREKRALRRVAGSEETNAPQKETACKKKKVAPPLRVVGLPLSRRVVSGSDYQVKSRLPSTSRAAETREKSAQMEKAANMNKKKPAPPPHSVITLESSESSSESDLEEEEEEEEDSSETEMEDQDGNRAESTRPEHQGTSEPPLSASTIKFNFNAAPSFFSDSFEKRRHEESVSREESKIAAANRMEKRRESSSRPIVKTMEAREKRRLQNLEKHLRMYGEISSDYHSACRQLEEDLRRRKTQGLDEEPEVIFDSRSSRRQGLLEGSRQQEKAVRTEENQGRKEESPEPEVIFDSRSSNRPDSEIPGTSSRRHDARRQKHPEPEELLRRRLEYLGRRERIETITRYHNSIIRCRREGRRHDARRSEIEKRQETDTKHKEMKIPANSILKIIGEEGANIKHIRSTFGVDITILRPHEPGGKTASVLMTGDPCKILMARKHIKSILGGRRINTES >CRE14302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:35255:36312:1 gene:WBGene00070974 transcript:CRE14302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14302 MHSNGKTGAKSLEENLHRNSDTRKRKSSVSNQFPSKKAAKSYPANQDKSAESDNDSAMSSKSDVAAPEAGTHEAPEALEAERSPSSESNDSDDNDVVESPASRRLLGRPPSSDKVGSDNEPPKRHEEEEKEVVPLPDSELLREFFENIPEGDDDDPGTPSSYHSSEISSTPTTPERPQAPPAIEVDGAVYRQPERDDVGADSGGTKRRDEESDNNSEKSPGSSQSPPPRHEEDEKGAEEEEEVVPVPDMEFLREFFKNIPEGDNDDPGTPSSYHSSEVSSTPTTPERRQSPPAIKVDGALHRQPERDDNGPDSE >CRE14303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:37390:38305:1 gene:WBGene00070975 transcript:CRE14303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14303 MRMMFTPTANRTCSLGMCRLGMCYMEIEDYQRCLNMFNLALNDLGETKFMPQLIIKYNNALSHGNYNEFETAKEEYNVFLKDIENDQTNHENNLEAAISDLMLKLTTACHRQHGWISFCQTHEDEENRDEYLKSAGLIESC >CRE14304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:50080:53140:1 gene:WBGene00070976 transcript:CRE14304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14304 MLLLHIHPKMKSAADQLSDSLQACVNFWYILDSSGMKQTPEQDERLVNVIKNAIADNTIKSTSKFPIVRFVYSNSTAADVAKWKSLLDEAEEESRIADLVDEPADYFDTTEPNFFNYLSELQVETLEEAFVKNPHPTLDEATAIANNIDLCADEVLGWFNAHRYEKSYPSLISEDVTKDAKRSGDVKLLDNRTTDLRKRKISVSPTFQRPLQKVPKSSPSNQRIQPSSTIQSTPFPSDECKLDKNIDKSQMHVASFKRTPVENEVMCKLILDLTKENQSLKMQLKEGVEKEKAKDEEIGKLRADGVFLEMLEMGKTLKTLIESESVKSAQLESMEKNYAQLENISSNRTQRLEKKIANLEVKNRELELKPKPEDYAKLEMKAKKAERSLEDIKYQMNLKDERIKELEQILHLDSIDNGKDNTLEFQLAKKTETIIKLTREINSTLKPEIKFLKEEVAEYNKTLKEVNVKRFEATQESRDLKIQNKMMLNTIFGLRAKCEDPKGIKAICEKLNRERLEILGVEEKLHGEIDELKESIEYLQEKKVDLQEVISDLHKDNVDKVINGKESIPVEFPCAHCKSLATEYAKAGTQPSDEGSEIKFDATVHEKVSSKFEVRGDPTIKLLRNVTPQENNGGRDHDSAPVAKPLSDADAVKELLASADFVA >CRE14305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:53492:55185:1 gene:WBGene00070977 transcript:CRE14305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14305 MSAQYAVGENFVMLYDSAPYLAIVSRIVKVDGVDHYKVHYVGWNKKFDDIVAVGQEEGRMFKGTLDDYLKGEIVQEKPEPATPKTEENKTIKRRSPVTNIPSPVKKSDPNVKREEAKVITPQVKEVASFPLPTDVTPSPAPYQGIMFPPQLGKIVTNDVKWLRAGYYCPAPAKVPMTRVLKQYFEYLQLKVKKDKEEINRSSTAADVELVESRHSLTKYRMQRLMQYFGHAIPFHLLYGKEEIEDYKIHHKFAMDMDLPYVDYAQLDRVGFKYSDHYGVIHFLRLLTVFSDIQNVLPYRYNTKELENTASDFLIFLELNLNKFYVGGGDYLDTEPPFRNNKPGYFEKCRQYKLPDIEEFQKTRIMENLGGVDHHAL >CRE14315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:55658:57063:-1 gene:WBGene00070978 transcript:CRE14315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14315 MDSLFNIGEKCICIHNSIRYNATISSINTKGITHFYKVHFAGFNKRTDIAVEVGKENGILEKGTIDNTNDCGASSQDPVARQDSKTRKRNADTLKNENATITNNEQVYAGTMATTGSKKSALSVENNKQMTMIDTNCEESIAKKIRVEEGRENQGETYDRSLVSAVSSDLPDSLNLFLPLSLIEIYTKDYDMLNEKRGVCVQSKSSIYDKKYDLIERIDHVLNMTVCDLKNKKSECDMKAIKSHYNNLKDFIKEFFEYFEVIAWRVLLNKEELEFCHQKLLEFCTENRVKKPEFIDTIDYEYYGNQGFRVASHFNMIILVRMLVIFPYVQKDFPFSGRSKKIYKSGMLRLIQSLDLAAHSVQMWASYAPAKTSENPSWIPEKYVQGAEELKDLEIFEKNRLWKDENGQPIDIQTLSVFTS >CRE14306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:58543:60441:1 gene:WBGene00070979 transcript:CRE14306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14306 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3NEY3] MRLSFFLTLFFVDSHSFKTVTTSYGKLRGSADYTNKNNTKYSFKSVPFVKPPLGDLRFALPEKPDPWGGILDATKYSAACLSNSSLSSTPQKFIDEDCLYMNIFTSEHCLTKKCPVIVYIHGGSFNLDSATMFPEKFIFERYVENGIVFVIPAYRLGVFGQFYLGERGNLPTNLLVYDVIQSLHYVHGDISNFGGNPEDVTLMGHSSGGQLVNALGFSNYVDPEQKLFQKCIVLSGFEMYGFQEYKESNSIEIAKRVNCFSENPQEIVDCMLKVKGLDILRAQQMIESDEHHLFKSLLRAPPLMKLNENLTNVKKNAPRKRKMLCGVTEHELEHFPHPDFRVQGTFLDFENPMEVMMTYHNQFNNRTKYLVNTDSSAVFVAAATYSKALVNAGGEVYLFETRQKPYSMHVSDMQYFIGIQREKTHTTDMDILDSFYSELLVNFTKYGEPSPMWEKLDPAKMNFLALEIDTELGIQPKMENRFHEELINFWLMDMNELDKNITEQVA >CRE14318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:74625:79126:-1 gene:WBGene00070980 transcript:CRE14318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-duxl-1 MTTMEKKENDGVTQEDSVIVTVALDSSETGAFLAIDETREQVGSSAQESQESNAAVDNEAIVAVSTKHQKKRVWCSDEQLKYLCKCFNETPSPSMEHRQRIAEKINMDLGKVSRWFRYRRGGKNRADSETISSAPEGQKEDSFTSDELWMLNAHYEKDKYPYRSTIEIIVKLLKCSYNKVSFASIFCFKVCLFQVYNWFRNQRRKDKKNGIVLSPKPNEKTKRAICDKLSTSSAAEEKKRRENGSSPDNSFESLHSRIIFRMKKLLVKLHAKLLEQLDEKLLEQQRKEHKELSDVMDNAKTQTSSLELMKVVFEGLKGKKGESFAETVMDCEAFFKKGGLCCGKYFDCDPIQTCATCGDQFHPVCRDLGRRSNGGENQCPCRIRKAMLFKYRSMDIPLDSCATFLEKCVKNWVHTTRKIAIRVTTAENMEADFGEAFEAFYAKMKKNLPVQKIRNKMIFVFTDDDEDEILFFAMLANEYKDAAKSDLMTIRYLDSVSFVNEGDLRTNVYNSVVLGYMGYTASIGYKKTHFWACPPDPEDSFLFRGRPAWQPVPTEKRLIKWYTTLLDLGKTRGVVAEYGRDYEGPQEKKLFKQIEHMICDGGYWNEIIGKKLFIETYGGSQPIQQHVLSDLRAEIKLKDKPIFYIDLISRIMIAIEELPSITSELTKTRDTWKKFLEDNELDFTYRETAKYATAFIIQTLIRELIATGQIADPHANSPAPECSSDSSPPTPGIRLLHFPRPLKYFVPVFLQLLSPTICHRLPFPAFKSVPYFLNPVSLPSLPFPFLL >CRE14309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:86606:88793:1 gene:WBGene00070981 transcript:CRE14309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14309 MISVKSIPKVSLDTTRPTKETENSKVADQLGFKHLEFLGCGTFGEVSLVKSKARGGQSIVMKRMWLKQGCYQNECDIHRALSVGKHANIIRMLASYETKDYGVIMMEYADQNDLSSHITKIMDMKKVHKFFKNMVTGIQFIHKHGYCHQDIKPQNLLISHGKLKICDFGLACRYQDENGPFKVPGGYGTRMTGAPENFKGGLVDGPPLDVWSMGIVLVDMICNQIPWEQAIRKNVLFNGYCTQPDWKSEEFDTIKEEDERILTLVKNMLQVDVSKRWTVEQIQNDDWYCNFVDKWPNHKALQTPKRKTYEEQFEEEAVATAKKPRNAQNAACKCCR >CRE14319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:90139:92640:-1 gene:WBGene00070982 transcript:CRE14319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14319 MQRSMEKREQEDNATGNGEQGTSRARNGPQNSQQEQPNRKRTYHTSEPSTSSALQAMNVRTTRNVSSTPPNFENLHLKIVSRIGELMEELSRTAENHAQAIEARSRFHQRRSDKDGAHVLEKLEKALKKAEVDLEKMKEVLKEVKAQTDAHELMRVLFDGIKGRRGEIFSKATKDCEAIFKAGGLCCGKFFDCVQIENCLTCGDQFHSVCREIGRRSRDRADRCECRIPQTVPTKFRSTDIPQDRCAKVIENRFKKWVKTDKKVSIRVLTADDMKAELGEKLEEFFAAMGKQLPLLKMRNRMILVFLEEDGEEILFFAMLANEYKERKAELITFRYLETVSYINDGELRRNVYDSIIFGYMAFAASIGYKKIHFWACPPDPDDSYLFRGRPAYQTVLDKKKLIEWYTRLLELGKTRGVISNYSQKYNGPKPDEVFELIEHLISDGGFWNKEIGKLFEEKYGCNETSHQQVQKDLRRLIGKKDHPIFYITLAPSEEVEAEEENDLPPISSKIAKTGDVWREFLDDHGLDFTYRETAINATLVILWALIRELCETGQMETPQTAPSVPRLESDSAPSTSSSR >CRE14310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:99180:100404:1 gene:WBGene00070983 transcript:CRE14310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14310 MSASETNLKNADQQTKINADLAEQLGYRQLQYLGAGGFGAVSVVQKNDDKKAKKIVMKRVSTTNNEYKDEIEVHEDLNGGMHPNIIALLSCEYVNDYAAIFMEYANQNTLLSHVDSNWTLKTIHGFFTQLISGLHYIHGRGYCHRDIKSENLLISRGWFFFFRTLKICDFGVACRYWDQRGTFDVESGYGTAHTWAPENFGEGNVNGPALDVWSAGIVLVEMIGGDIPWSQANAFEDGEFAGYEKNPKWTNKEFRIINRKDSRIMNLVRGILHIDATQRLTIGEIQENDWFKNFECPRNQMDTAAGTFAGRKRTYEETFQAQALAMPGKRVKTLKQKCKCCQ >CRE14311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:101739:106275:1 gene:WBGene00070984 transcript:CRE14311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14311 MQRFHVRKTGTITPAQEGLKEQEKIASRFHHQNQKPDDSTQERNRILRRNQFLRCMRSLPIPKRREWKPSAKVVNQNKAGDKRREAKQDRTPAVQQQRMDRAMTFAISRAAQVEYSCREMSSKAGERFLNGPIRDPRTSPLNRVLNVRYENLARQRSAEEWSLSSAEETGNRIKENKASGSVIVREFDNTPSLNTPISKRRYFVKKPLNLKKKPVSYEDMVSVDQIPGEPSEKKERWDGVGSTAGDNSAQTINHNTDETTLRIVSNFHEETFVGHEEGRTREVSSIEKPVQVRESVEHKESTEEHLKLISEIAISETCHEINNNATEGMGTAADDKKSENKLSNDVISFLQARKDGASFKNNNAAKNFFKRLELSVRMNANDDMKIFNEIGKKFEPKNDEPVYSEIGNSLTAIFKNHNICCGLFYAKEPIISCTVCNMYYHYKCRELKFNEGKSTAECECQKSEILTGKFAAIDLPPTFLSKYLQKIVNTRKKSSREVIIREVYSEKIQEEFGENVEKLYNTSGKAVPVIEYKDRIFTVYIKVRGCPINTFSLQVQEYKMGKKVYIGYLDSVMFIKDSTERGQLYRSVLLAYFDFIRNIGYEDVQIYSQAPESNKKKYMFNGCPKTQRLISQTHLRGWYDRMLREGIEEKIVASYTHTYNIKPDADVFSLIEYLYCIGGSWWKKLERLITSNKEIDFNASKSDVFLKKIVDMVKVEDEKLYHVKLCCPTGPLMKFEDNYEIGSICEEPDDDWLQYQKRNGLNFETDIGAMFASAVMVQAVLRKQEKTAAKNDQVVVKVSSEKYLNL >CRE14321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:109236:110632:-1 gene:WBGene00070985 transcript:CRE14321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14321 MPVDPQAAIVNAKAAKELGYTHLRYLQDGGFSRVSLVKKNGDNTEVVMKRLTAKGQDVCEAECLIHGAMSQSGNRNIINYITDVDYEGDKIIFVEYANESDLKEHIPFGLSMKTINMYFKDLLTGLKHIHMLGHCHRDIKSENLFISDGVLKIGDFGLAVRFRFGGTESKVRSGYGTKITFAPENFEKERVDGPALDVWSAGIVLFNMLTNKLPWKRAQPTDPDFSEYLANPGWSGPEFKNIEESSCDGPRIMKLIRKILQVDVSKRISVDEILQDEWVTNFKRTYEQKILEEAAELPSKRTRNVRNSHQ >CRE14322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:114216:117345:-1 gene:WBGene00070986 transcript:CRE14322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14322 MSSDKGHCKIQKIWSDSEKPARELHRQYVLLEKFVQDAYKEEYREYENKVKPEIKAFLDEWTTGPTPINHYRTNLIERVGPIPELEINEFESPKDVKEGKISWIKPDCDWDGVVHKNELVPTGRIDIGRKYPRMLHWVHITQSIVAKDQLRLTHMPFFENGMDDSQEYEKFAKLFTDGIHGFTKNWKYINDYLLYKVMRRVLEYGYTANVDVFYYTFYRLWPNKFSQRQLSEVFPKLCARYAEEGFDWQSLEHWKPKPEGMYGLNPYMEKPAENVQNPTCFACLEYLCPVHGLRLQIAPEIPGGDIAEVFLPLPGTNQSTVACGGDCWKTIDPEEIMAALTPDEEEIEEHRVKIYLDKGKLMEMSIPEGSMVVTLYIYDKSGQSFCQFVHENLHGKTDDNDKIRTCRDAYRLIMGLAEYVTDRRIQMGQTQPMRPYKERYNAFRNIQMRNHQQATKAREAALQERANSEGKTLQQVRKEEEREFMRKHGRAMNEKIMISSTRPFVPCRHEGTCKDDPDCSCQENGVCSHLCKCSMDCPQRFPGCICAPGTCRNQHCACFRANWECNPNTCKNCNCETIDGTADEVICGNFPLTRMVQKRLYVAPSRISGFGLFLMENVEKDDLVVEYVGEKISDGEAERRGAIYDIFKCSYILGLESGGAIDAFKVGNLSRFANNNSVNPTLYARAKIVNGEHRIGFYAIDALKAFTEVTFNYGYHKEHAATVSSTLGKKGRRSAATSSKPSTSSKPSTSSEMDYSDFDL >CRE22681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:6173:10887:-1 gene:WBGene00070987 transcript:CRE22681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22681 MESLQTICIQALANRIDESKFKGYAKLIDGLPSGEKYKYQITPEPSNRIFEILTKGPLRFSSETANEISKVLNVTKVTLTSPIVNDASIDLLRSFNLEELRLWNLEEEHAWKLLFGAKKPFFDIIAALDSILNSKSCKSLRVLKIDGDQSEFNSDWIDQIANVLGPVLQQLDVSGCHLFRKNFIRFPNLTELDVSYTSISMADGISQLKHLEKLSFAGRWLDNDACEELFKLRKLQFLNLSDFNPEPTIYFIEYSSLKERNKPLPELKFLDISGFVLHGLEMSQIARLARIYPKLETIGLISIEYRITPVFGFIDPSGSKDIQVTRTLGAPREDKLVSYFANALADATNAFASVTPAGILTIPISATA >CRE22667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:12843:20151:1 gene:WBGene00070988 transcript:CRE22667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22667 MRFLFLCLLLILEIKDATSSWTPGMTDDDCIGTNNLLARWGSRDILEELENMYRGCRRVLGNLEITWIEAPEIQKWRQVINQTVYAEFDYLETVNFFDHIEEIRGSLIIYRANIQTISFPKLRVIYGDEVFHDNSLYIHQNEKVNELVMNELRVIRNGSVTIQNNSRMCFLGTKVDWNEILYDSSRQKVETTNSHKACWNNGDPIASCHKSCTKDKCWGNGDKDCQKLYRSVCPKCCSQCFYSNITQSYECCDSTCLGGCTDHGPDNCIACSKYKMDDKICVDTCPPRKIYNDRRGRLVPNPDGRYQNGNRCVKECPPNLLVENDVCVRHCSEGYDQPEDSRECEKCRGSGCPKICIVEGPLTSRKLKTLEGCERIDGHLRIGTTFKYEELKVLESVKIVTEYIEIVKQDFWDLKFLKNLQIIEGRRLLNMKWVLATFECNNLVELNLNSLKLIKTGSVIIKNNHRLCYVETVDWESIIQSKGDQGKPNLRSDGNRGRKLCIQEEEICDPNCNSRGCWGKQPEDCLECRTWNNMGTCVSSQCDIGYFGNQTSMTCEKCSPECETCNGLGEFDCLSCRHYTFYNPNFGNRMECVSDCPTHTRCSTIGNVCEKCYENGLHTRSSDALHTSNNST >CRE22668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:21798:25969:1 gene:WBGene00070989 transcript:CRE22668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22668 MEKRCLVLDFDSGSGVVRCFNRENHKLIRLKIGNGESASPGQFIIYNAGESNEQNGILRVLNPRIVDAENGDCVKVGENGKLKISSWIAFSSNENHLTFDRQFGYADWYGFVDCSEVPTDPSCSAFKTEITVNDSECKDNKIFKVVHLKVKIDPRYYREEYDLLMKKMEEEEDKLLEKTRQLSPERPSRSVDAQRAQNKIPSMHNKKSRSRSRSSKIRANMHDSGPPINISEGLSLMNISGPVPPTQSLFETSSSATNSSHSPIPPPLSSFPPAPQFVVHGLVIQKEADNCFIVWLFDTKQIAYLPISTQGYVIVGTCYEFSVISKNDGSACEITKVGKRLEMKPSFEIHQKMDKIVVGIHVDLCCPNTRCQLWSFYMGIPFFNSPDVGIVTMADYLDTPIGLHVQWQETLRLYLGDPRAKNMQCICQLVKQKLPQKLHNSFISDDFVSSSRYIWQIVEVCSSEQHHQIREAQLKRENLGSNGGELEEEDEIARQKRNNRPSVRFE >CRE22682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:28118:31325:-1 gene:WBGene00070990 transcript:CRE22682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22682 MESLQKTCIPVLVNLIEESKFKSYAKLIDGLPSNEKYKYQITPEPSNRIFEILTKGPLRFSSETANEISKVFNVTKVTLTSPIVNNASIDLLRSFNLVELRLLNLKEETTADSNYGYKKSFFDIIAALDSILNSKSLKSLRVLKIDGHHTEFNYDWIDQNEFRYPHVFQIANVLGPVLQQLDISSCQFFWNQSTTIFTRFPNLTELDVSYTQIRLADGISQLKNLEKLSLAGIRLDDDDFTELFKLRKLQFLNLSGCYRGRFTDFMNFSFHEKSPLPELKVLDISENGYDLGLIVRLVEIYPKLETIGLIDYRFPANTNIAGVKLLMNTTVEQCLESLDYYLNTWGFQHETIAMIIERINLQSERAGRFMIKCLGKIIEAIDHGAKFTKDFEPLATLTTLIDKYRLQRITSSQRRSLARYLLTLQPNDDQMYHYFHVFQQCFTSDAFLASPYINYSMVCNKAIDLVLREVTEEDYDRREALRMRDYNRQHALEMGVSILSKCLHKMSRSDETFRKKRIDGLLIFLMTGSDQRSRQAALAILVHVLSFTTNRSMTKHQKARLTTEIMSCINRYRQDSSIHIGNFQHFLQTKRTSSGVKDWFDWVKMCGDR >CRE22683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:32385:35145:-1 gene:WBGene00070991 transcript:CRE22683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22683 MESLQTTCIPVLVNLIEESKFKGYAKLIDGLPSGEKYKYQITPEPSNRIFEILTKGPLRFSSEIANEISKVFNVTKVTLTSPIVNDSSIDLLRSFNLVELKLLNLKEENTRERSCRYSQRAKKSFFDIIAALDSILNSKSCKSLRVLKIDGDQTEFNRDWIDQMKNEFRYFHVFQIANVLGPVLQQLNISSCTLPPNPFKTVFNRFPNLTELDVSYSNFSSIRGLSQLKNLEKLSLAGIPLGNQDMRELFKLRKGQFLNLSDINRGPSIRSVEYSFQEESPLPELKFLDISGIGHDLEMAQIGRLVEVYPKLETIGLLDYHFYDNIVIPGVKLLQNGTLEQCCDSIDYYLNNWGFQNKTIARIIDRMEEEIRWADDDPFPEAVMVQCLGKIIELIDHGATFKDIEEPLDTLPQFFSECYLQGITPSQRLSLARYILAQQPLDKWTWDYSSLFQRCFTSEAFLATPHINYSMVCNKTIDYVLREVSKGDYGRREAHRMRDSYRQYALQRGSSILSKCLCKMSGNDETFRKKRFDCLINYLMTSSDNHCCLDVVTILVHLFSFSTNQSMTEDEKSNLTTEIMDCIHGYRRDSSSHIRNFQRFMQTNCTSSEVKNWIDRVMMM >CRE22669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:39262:41895:1 gene:WBGene00070992 transcript:CRE22669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22669 MESLQTTCIPVLANLIEDSKFKGYAKLIDGLPSNEKYKYQITPEPSNRIFEILTKGALRFSSETANEISKVLNVTKVTLTSPIVNDASIDLLRSFNLEELRLLNLKDENSEKLFSGHYFRGYKKKFFDIIAALDSILNSKSCKSLRVLKINGDQTEFNRDWIDQIANVLGPVLQQLDINSCHLPPNPFKTVFNRFPNLTELDVSYSNFSSIQGISQLKNLEKLSLAGIPLGNQDMGELFKLRKLQFLNLSDFVRDPTVCFVKYSLQEERNKPHPELEFLDISGMGLHGLEMGQIARLVEVYPKLKTIGLLDYHFFANDNIAIPGVKLLHNYSLKQCSNSIDYYLNNWGFQRETITRIIDCIDLETRWQKDYPTPTELMILCLGKVIEVIDHGATFKDIEEPLNLLLTLIYGRESHFRGITPSQRLSLARYLLAQQPLDKWTWDYSSLFQRCFTSEAFLATPHINYSMVCNKTIDYVLREVSKGDYGRRESLQVRDYNRQHALQMGASILSKCMCKMSRSDETFRKKRFDGLLNYLMTGSDQRSRQDVVTFLVHVFSFSTNRNMTEDEKEQLTTEIMSCIHGYRQDSSMQIRNFHRFIQTNCTSSEVKNWIDRVMMRMW >CRE22670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:42598:45464:1 gene:WBGene00070993 transcript:CRE22670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22670 MESLQNTCIQELANCIEDSKFKSYAKLIDGLPSGEKYKYQITPEPSNRIFEILTKGPLRFSSETANEISKVFNVTKVTLTSPIVNDASIDLLQSFNLVELRLLNMKEGRTEDHRYGYKKKFFDIIAALDSILNSKSLKSLRVLKIDGDHTKFNSDWIDQIANVLGPVLQQLDINFCRLPPNPFKTVFNRFPKLTELHVSYSNFSSIQGLSQLKNLEKLSLAGIPLNNADFKELFKLRKLQFLNLSDFDNDRVPTISFVEYSFQEKRNTPHPELKFVDISGCGGHLEMGHIERLVEIYPKLKTIGLIDHFFFADDNIAIPGVKLLQDNTLEQCIDSLDYYLNNWGFQRETIGTIINGIDVQTRWQHDDPIPEEFMIQYLGKVIELIDHGATFTSLQNYEPLGILTQLDSDRLERITPSQRRSLSRYLLALQPHDNQISDYFRLFERCFTSDAFLATPHINYSMVCNKTIDLVLREVSKGGSDRKRALGMGKSILSKCLCKMSRNDETFRKKRFDGLFNYLMTGSDQRSRQAALDILVHVFSFSTNRRMAKNQKVQLKTEIMDCIDEYRQDGSIHIRNLQRFMQTNNTSSGVKNWIDRVMTKM >CRE22671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:46917:49771:1 gene:WBGene00070994 transcript:CRE22671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22671 MSKYLKSPDNMLTSTSLESIAQYYILNDIRSSIDKNIFSEKCFLEDNGEELIQKMLDNSNYKLRMYGSTDELSQNLKIYQNFPNSYRFFKTEFEPSTTTTTLYRSLKNEEYICKSDLFVILQNMALDVFPGTTSVSVRLSILTAVRVEQNKLPHRIEFVKFDQKVFDEIEMEMREARKLCFMSNSELAVLAAQLAAGNFDSMVAKFEKVRMKERWTKKDSDETRKSLKDYYSKMMTSNKDPALALAFFLVKTAAMICLNKIINVKRPEIFLQSSTKKSPMIVRLFEDGDQQFVMESELAIAMKPEKVSEIIVGRGKVGFHDYSTITLDEAIRKGAGRVEFIRYPIKRTKNRAVPIEGPDPEDPDDWFILAVDGFFEYMKSIITGFKIFQKCVDTFAVFELLFNALEKVFKPEVKSPYFLQIETVNYMLTTLQNTFKSVRPTKDVRNAKPDGFTVQHLKNELNHLGLTAFFPEIQDYAEDVYEEIDKTKKERYLRTCDLIDAVENCQLICVLNRIPKLKKFLHNQKGCKRVLGYKCEHCDKEKKASDALEISQQPAEVQKTSDIQNSLKNVKIESSNVSILNQYSQPAISTPKDCEKCSESSKTLEKVKNELKISQDQLKEMEKKVLDKEKELSDSKKEHEKVVESEAKKTEEFSKMKEELSKEKAKNQEKEEENLKASKKIEELQKTILKLTAENEANESTIQKLHDRITYLSTNNQKNHQIDEKTIEESTQTVSVTSKIAPLVIDCLICSSQIKSGQEVIRCPLCKRRFHSNVIFSLFSINFPHFPYFQCAFKWRKDHSQCPACNGDLPGI >CRE22672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:50062:52861:1 gene:WBGene00070995 transcript:CRE22672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22672 MAQFLKCPHHVFDSNKVYHHVYLYILPELRPFLPVFESIEPKKLVYDDGEEVIQRMLDKSNNQLRMYGSAKEIAENLKIFRNFSESFRLFQSSTYPFNASALIYESLSGNKYICKPDIYTIIHNIALHTIDHADTVVFHVVLTMVLKPKIMLIDGHVEFVKFDEKMFDEIEKEMREVAKKSHQQASAQRNNLEKLLLNKNYAAIVSKLRELNPTTWNDVVTNSYLEQLQSLSQIGKQKEFIFAMVINSYIMKCIEQIVTEQAPLLISTPESSPITVRLFEDGEERYVMEEELYHALNRLSTGSKRFEIQNNGFVHKGMSFKEVKAEFGDQIQKIEVSNGRKYKIISKYFQFIRTPILRSKHRAVPIRSHFPEQFVIPAVDFFLEFFKQIIFGLKVFQKYQSSDWKNFAPIFKKMEEIFYSDQKHQYFLRADDTLDISQQTSQLEKYEVSPVKKVRNAKSDGFTAQNLKNELKYLGLTYTFPEIQEYAEAVYEGIDMVKKEGYLRTCDLFDAVENCQLICILNRVPNLKMFLHNQKGCKRVFGYKCEHCEKEKKTSDALEINQQPAEVLKTSDVQKSLENQKIESLNKPIPNRYSQPALSASQICEKCSESSAILVETQNELKMSKDQLKEMEKKVLDKEKELSDLKKEHDILVESEAKKTEELAEMKEELNNEKKNNQEKEEEILKAKGNEELQKIILQLKTENEANERVIQNLLDRISNLSSNNKKTHQINEKTIEESTPIASVSSKNAPLVIDCLICSSQIKSGQEVIRCPLCKRRFHSNCAFKWRKDHTQCPACNGDLPGI >CRE22675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:59412:62581:1 gene:WBGene00070996 transcript:CRE22675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22675 MAQFLKCPHHVFDSNKVYYHVYVYILPELRPFLPVFESIEAKKLVEDDGEEMIQKILDKSNNKLRMYGSAKELAENLKIFRNFSESFRLFQSSTYPFNASSVIYDSLKGNKYLCKPDIYTIIHNIALHTINHEDTVMLHLLLAKVLKSKIGRTDGPVEFVEFDEKMFDEIEKEMREVENKTHEQATAQLEYLEKFVLNKNYAAIISKVRELNPTIWDDGMANAFLEQLQPLSNTEIGKQKEYIFDMLIDSYIMNCIEQIMAKRATLFMSTRKSCPITVRLFEDGEERYVMTEELYHALNRLSTGSVRLETQSDGSVPNAMNFEDVKAEFGNIIQKIEFIRTPILRSKHRAVPIRAHFPGQFVIPAVDHFFEFWRNLILGLKLFQIYQCSDWEKFAPFFHNIENVLYVEKLFRRHLQKEQYFLGSGFCFEIVVSDSLQLYEVSTINEVRNAKKNGFTAQDLKNELKYLGLTVTFPEIQDYAEAVYEGIYKAKKKRYLRTCDLFDAVENCQLICVLNRIPNYQKFLHSQKGCKRVFGYKCEHCEKEKDVQKTPDIQDSMKNPKIESSNESASNQYSQPALSAPKDCDKCSESSKSLEEAENELKMSKDQLKEMQQKITNTEKELSYLKKENEKIVQSEAKKTEELAELKEELNNEKETNQEKEEEILKASKENEELQKTILKLTAENEANERVIQKLLDRITDLSANNRKTSKIDEETIEESTPNASVISKNAPLIIDCLICSSQIKAGQEVIRCPLCKRRFHSNVIFSLFSICAFKWRKDHTQCPACNGDLPGI >CRE22677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:65842:70005:1 gene:WBGene00070997 transcript:CRE22677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22677 MAQFLKCPDHVLVSNIVYSHVYAYILPELRPFLINLETNHLPKFVENNGEEMIQKMLDKSNNKLRMYGSAKEIAGNIKIFRNFTESFRFFDDQKYPFNASPVIYTSLNGEKYIYKPDIFTIIQNIALHTINHQKFIFFHSMLAKILKSKIVLANGPVEFVKFDEKMFDEIEKEMREVDAKSHQQAIAQRDYLAMSLSTNNYPAIASKFRELNPTLWEDAVANSYLKHLQLLSNRRIETQKEPIFIALTNTCKMNCIEEIMAKRAPLFTSTPESSPITFIRTPILRSKHRAVPIRAHFPGQFVIPAVDCFFEFWRNAILGVKLFQKYQCSDWEKYAQFFQEIEEYFDIEKKQQYFLQYDILESVVGNSLQQYEVSQIKDIRNAKKDGFTVQNLKNELKYLGLTGTFPDIQNYAEGVYEEIDKVKKERYLRTCDLFDAVESCQLICLLNRIPNYQKFLHNQKGCKRVFGYKCAHCEKEEEVKNTSDIQDSMKNLKIESSNESGSNQYSQPALSAQKDCEKCSESSEILVKTQNELKISRDQLKEMQQKMTDTEKELSDLKKEHEKIVESEAKKTEELAEVMEELNNEKEKNQEKEEEILKASKENEELQKTILKLTAENEANESTIQKLLDRISNLSSNNQKTNRIDEKTIEESTQTTSVTSKNAPLVIDCLICSSQIKAGQEVIRCPLCKRRFHSNCAFKWRKDHTQCPACNGDLPGI >CRE22679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:75669:81058:1 gene:WBGene00070998 transcript:CRE22679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22679 MTKSTENDPPITPDAFRQQSELKDDQLIGMPRFLDEKGEIDLIRVLFNNSNNLLRMYGSPEEQREDLRVFRNFTMSYKYFGTKWTNPLYTNLLMYKSLKNDIYMAKPDFFVILEYIALDKHPFLPYLAESLLVYNAFLALFIKTKIEKVSKTLEFARFNGSTVEEIKREFLADTYFCDLESPNFSYNFPKKITSGSIPELYKKIKEFLPGVTEVGCGSDTLFHRLKFVYSGIPDALRANFLNALFFAFETLVKALNDIIKKHSEWFLPADNTKSPIVVRLFEDGEPKFVMKSELLKAIDPNSNYMERVDYGYETIQMKEVFEKYKDHVDRIEFIRTPILRTKHKAVPIRLVESEEFCVLAVDALFELLREIIFGIKLFQYVEEWPLSLFQQIHSVFDSNLNNQYFINLRVFNDLKKSINAAYSFPPSPPPKDVRNAKKDGFTVQNLKNELKYLGLEKHFPEISNHAEIAHTEVMELKKERFLRTCDLFDAVEICQLRCIFNRVPENTYFLNKVVILNINFPINRYIFQLRIFLHHQKSCWRVLGLRCDYCTGTEPFYENGRCEISIFAEAPKDNSIDTWLTGGRPVYKPIPERFKTVSKPDDPVLKPETEEIETKTVKDCEKVDIDQDQRINIGDDIDRIIGDVDKESEAKIEILRQKLMEKEEEIVNFKRDALIHEKTVKGFKELKERIADLEAREKELVEARQRDGVMLIKTGQSWKMDIARHEAREKELIEERTRNELTHSKTVIENERLMRENASFRSNLKDSNDRNKSEIDTRDKEIDKLTRKIANYEKKQMNEHSIRAEIEKENEELKKEIEKEKQKYEITIEQLEKENQELKGSNELYGSNFNSINQTIHRERQTFGAVRQQLVERISELERELIRNQHGNTDWMAEKRVIQEEIAEKTRQIAQVIESNVVLRTENEMNSRMVQNLLDRLSGLSISSAPTPPPSAPSTSSWNHQNPTRDSELEDVECVICLIDIKQRQKTIKCHQCRRRFHSKCASDWLKVKSECPACRGRLLDPHEFPAL >CRE10340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:23121:30302:1 gene:WBGene00070999 transcript:CRE10340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10340 MSKFFENVAPPYSSFWTVASFKKYILNQNREHLLVSMLNGCEFEPGGSLDDDAEEQNIKTFLEKSDHKLRMYGSPREFPEQLQIYRNFTGSHIYLGLPDFESYFTTPMMYRSLSGDEYICKSDLFAYLQNILMRVYNYLNMDLVMLFFSIYLKAKEREMCGKRLEFVAFERKDFEEIEREFEERMQILIQSYLDESARPPIVVSTYPPTEFFKKLKELVPVEWRQDDIVYVQDKMASTRHMPVDQLKVAVDWLYFDIQRVIETYDQIMEKRPHMFCSNVTSEKTIRVFEDGSHSFVIQYEIFTSVNVNVYELHGQCSTIMDLESARDYLKLYPNNIVFIRVPIQRAKHKAVPIPGPKPNEFSILAVDALFDLLKQMIFGVKLFQIGEYGENIVVALFQILEAVFMKETNCPYLMNLKHCELVLETVTNEMSDLSKKCNPAPRKDVRNAKKDGFTVENLRNELRHLNLTEMFPEILDYAGIVYEWVDKMKQEDVLRTCDLFDAVEMCQLICIFNKNPKLKAFLHTQKGCGRVLTLECDSCDGALRSEASGIQNPKSAMTGSPTPPNSPTVASPEVSFPEVVELPEESKNSTSSEILGIQSSPLVDSGCSGLLNPSSSASEVALKQKREKNSEKVPGSAMNQKTTSSSLDSESPVAEVDLKPKKNQKKALESVKNQKSSSEAPPPPAPKKESANCVKCYRTCEMLNETKKELKSTQNKLAMYEKKNLEMDKEKKKKNERILEEQEEKIAKLQKGLEAKNQEIEEAKKRKEREMEQTIGEFERILEAERMKVVRKEAEHANERQKYMQSLEGKQKEMEEMKRKLASATKEFHQNQKTASQSFLNEKSIFQAQIAKLERDVISEKQQMQRVEQKLQETMRKLSDKKSGGFHVEIVRLKAENETKDRMIQQLMDRLANSVPIGTTTTTSGIQIQIQNPMPENVSTSSPYLQYPDNSTFEEPYLQYPEQQRWESYDYAPEEEYPLQMAYVEFQNARAASEAFQIPYMEQQPEIQNLPTSSEFYAPEAYSEMAYYEVPASSDAEIPASEVSTPSEVSPESIPVVEVMKATKNPAPEYPDSECPICLVEMKRKNKKINCNQCKKQFHSHCASKWLKVKSECPACRGRLLDPNEFPSLS >CRE01381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:4159:5137:1 gene:WBGene00071000 transcript:CRE01381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01381 MKNSTQNNKTDLKRRQSRRVAEKVENTQLPTRVVNPFAIPVTEEMLELVWFKTPASFDTPLENLNPWVTKEFKKWESRRLAPEEESVKMEADGSMDQPGVSAEKVAEKVIEKEDMDMKEEKEARVDPPVRLEEITQENVKELKTVIESTMTNLFEDESYEGAIALKEHGRLAFLDGVPVGYVMTELYENRKLLVTSIGVPFAHRKCGVGSVLMKHVQSLCEQLCQVKKLSLYIQPTNARGIRFFESHGLRRKERLRNYYSGEPREAWRMTKRIRK >CRE01389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:29353:37376:-1 gene:WBGene00071001 transcript:CRE01389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01389 MIPTFTGIGRVRGDFPPRVAQLSVTEESQSHPSHSLTGFFIVTDNRSPNRMSSLTSRSQSPVPRSRKALSLPEREVPRTRAKSRSRSRPTLTQSIVGPTKTVIKKSIGKAKELIAASKATLTFFDDPQNKTLENIDAATLESTDKLVLKMKTAIQKITELSDFIERQFNKPEMRTSPERDAFLKEVTDALHDSGANEVLLDLNNGVNNLEYVLASCGRRPTEFDPYGTGAQEDDRLVEANEVGSGISDNVRQNNMQSTTVEQPSAIRTESQSSSGPITSSAARNISQMDYDYEAFDQLANGQQFMNLRLQEENRILRINADKHRRAHDEDVRRRTAQDTENIDRVYSLQNELAQQPRDIMTLTQPRVEHSPMPNQALALHADRPQRITAQPSPIAASTPNNNVATAPATIATRAQATMQMVSTSAGTRASVITNVEKHIETPILSAPVPFRSPLVVPQHSETPIAHNTPNMQDIMQAIHSVAENQKQIVHHSTSMIHELEQRMDARFQERAESIISKRSKRQQQQNSEDSGDETDRPQEAHAPRPQTTRNRKKEAPKPKTTELSAARPQKPGVPAEFMLKYLPKFDGTTDLDFFEQIYSKFVLLDHNFNAEAKYAILLNHITGPAKNCISRAKDSHTAIITTLCSLQKVYGKANNKHSLISKLQKMPFHQTDPESMRMDVVKIAGILQQLKDKGVPATDHMVSGAIGAKLPADFKRSLARYTVQIGEDNITHDQILDYISSEIEVMTIEHTFTNQMNLPPMNELPESYAAVHYTNSNQSRTASASQQSYKSANAERRNPVYVASQHPREYTDPATNTKLEGYYAPGTKGVNLKLIHRTFPYTNEEDSRCAVCGGKHHIIRCPLPSAEFRNKIKQKGLCPICARKHEITTCVPRRSKHFFVPVTPTNLTKGIPADTGSKVPNPVSHADLPTAYYNNHCSEISPVCSTNLVSNNNVSLSAVPPRYFVADPTNITYFKNLVSDDCSEDKDPNELAMLLFTRFLARSPPHQVTTAIADCDNNRLTFLCLETSDGQHLLALSDSGASLSLIHEAKAKALSLPILKQTQLTVQGFSSTTFARTKIFALNLSLYGTENPLSIMIVGTPTLPNTKFAAPKCSSEDSQYIRSHRIDTQRVRTSAQYNGRKIDMILGNDLLSWISAQQQYRKHILPSGRALEQTQLGILIHPVPRLDLWSHGHHPLQSDEYHSTINMANTILNSCEPEDATTKLTYLVAQMYRVENLGIENITVSDDLKKTTLDLLVEFNKTVKFNKDGQLEVALPYNGNQVRLADNYAVAFKRLVSLLVTLKRGKDLLEKYAKIIIDQEIAGYIEKVTPEMLKVKGPKYNIPHRCVIKEDSMTTKLRIVLDASSHAAGELSLNECLYAGTNMITPIFGILVRVRFPPIIVVADIEKAFHQVRLQPEFRNVTMFLWLKDVTAPATADNIQVYRFTRIPFGVASSPFQLAAYITYNLDNNPHDLNKQIKENIYVDNCLFCVNDASEISAIIKDSKEIFQKMGMNLREYIVNHPETMQSLSPADRAQQSTIKLLGYTWNSIEDTLSVKIAQLNIDHPTKRDVASKMAETFDPLGLVSPILVPFKRLMQRIWNEDTNWKDPIPKELLHEWRALCNNYIDRAISLPRQLTSESGHSEIHLLMFSDASHDIYASVCYAYYIVDGRPPVVSLLASKNKIRPSKNENWTIPKLELLGIQCASNLACAIIAELRVNIASIKLFTDSACALYWILSEKNTRPWVGNRIKTIQENRNKMKECGIDTTIHHCPTKENPADFATRGMSTTELQNSKMWFEGPDFLRQDPGDWPCMIQGKVTCPAEFRELVYSEIIDPETKKAKKPLMERKKKVTTPAANKEAQTPSDTVMTTDIRVTRKGSFIPFYATKSLTKLTRIVVQILCSFSISLKNKSWESQVMKEFTRSDCPLHRAKVARLLIITEHYKDCKALDYKYPTDIEFKIDTQGIRRVHRRIESPVLPQEASEPIFIHNRHPLAQLIARETHEINGHLPETYTVSAIRTKYWIPKLGGILKNIIRECVECQKVNNFPFDYAYTKNLPKCRTTPSKPFSNVALDYLGPIMYRGDDGRSAKKAYVLIYTCLNTRGAVLKVVPDGTAFRYIQTLKMIFGEVGVPKSIYSDNASTFKLSGEIINKDIKNADYSQTLVEYLARELINFKFITPLAPWQGGIYERVVKLVKTQITKECGARMYDYYSLQYVVSRAQSMVNNRPLIPHARSPGDMVALRPFDFINPGVLTEIPAESEDPNVPPRSTEATVRAHLDKMEAATERMWKLWSTGYLLHLRENMHKKKRCSLIKPEVGQVVIVVTKLVKRHKWPLGIITEVERSERDGQIRSAIVKVKGKLYSRAVCQLIPLELNPLNHLSTQAVKQADQAEDNNSFELPTPAILEDPDMRYAPELFPTNDLPNIAEAEYNLPESNLPLNPITDKLESIGEPGEPDYEDFELLGNGVEDESIYQDPQRIIPAEAAEDDFAELPTGRVREYLSRKAKSMPINYVHITDASANAKNPSPPPPRECCQLYQRMFSVANLKVI >CRE09559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:196925:199942:-1 gene:WBGene00071002 transcript:CRE09559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09559 MANQRPNLLPLEHVPPEGHERPPNIRGPYVQHPPRHPQPREEDVVTMQMTFEQFFDRRTPFTLTDIRLFARFEETWTINLLPNSIFDGESLRLFVWRQERPTPAVHWEQSVIRLPVIQQAPRAQQFFLRYARSVHEPMVNGVSRRCPICASQLLGFPMWDHAATECPFADLRDTTRIEFMSINLVSYCNWCNSRSATHINCTPLLCQLCRRPGHTTATDLCHHRFGHPENVNELRAFVNNIRRQHYTRMRQMLQSGQHMLLYRSHVDNPYHLLLRRMPANRQQVHRGLHFFEDWNNEFPFPEIRAYIRARENRKADYRSMVPPEFFNQAQAPIPLFDEGSIEYLERIGQMVTEARMYPDRVQSVRVLIYYNDKNSSRNYNRHLYLQMQMPPPPTANRRLVYPRHHPHQVQRQYSPPVQRPLERPAVQRPVDRNLVETPILRADPPGLRQPPRFVERPQYGTPQFPTYDHSDSQPSTSARPTSAVHQNSTTPSASESTSNQPNLCVRPSESISDWALHMERKLEMEIRAQSAMQVVETLDNHSQLSSEANTREETPEPQASQQASQSQSPQQNGITSDTTAERPTSAAATHTSSPSQGSQASNGSEQRDIREANLDREMSSKVLPWCHEPLRILDQPARHAFQHRAFNKLMRIPPPDGKPAYICRSQALTHILTAQEDQRMDVWNQYDLANIRKYYRWLVKLGHALSHERILIVMLEKTNAINVCAKATIGADFVLVPTIDLWQQETVQNAFQSMLGDDQFGPVRDFDRFENQDNNRWFRDEIPRFRDGNEQEEMAEEQLAAQLFAEDMWFGVLRSQDLEQALNSAVPRSMPTLAVHTQWMLSFLTQKIQPDIESDNFAMIHYYPLVRAAMQVMVEVRQNPVNTVEVTLYDCPDELAAAGAFGHRLVMPTIELFSKFPARRWRVWLQYTWEQLMTQLEEDKEDCGCQSTNFEEIPQEL >CRE01391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:42509:43814:-1 gene:WBGene00071003 transcript:CRE01391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01391 MSDIEEIQSEDDTSRVVRAINEELKTTPAPSKRTSRRSASPRRQEEDQDNGPSSSAKKRGAKTSGIHASEPQVIPKKGRISEPTSAETRKGDLEVRSIASKPMEPTSAFVASKEYKELREAIKFVKNKLETFKSADDVAPAWIRSTDQIATMMSCIDKNMKELVKSNNKTVDTLQNACDIIRGLQDLVASLINTHHQEDWLKGMADVVGRTDETRKLVGSLAAATGRIEGLLTATTPAISSVTRNPYKLENTKSEKPGTTSAKVNRGCILCDSDAHNTEHCRSYPKSIDRIQQAHKLNVCIQCLEPFRANDKGLHEECTRVGVKCRKCFRYVVYEEASYHHPVFCCFRAQSNGSGASHEPPNKPNTPVIKQHPLRRGTSRRGH >CRE01384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:55559:58881:1 gene:WBGene00071004 transcript:CRE01384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01384 MYSRCIGRQKRTFSKFLRISTQVKEALANGEGVVALESTVITHGLPYPHNLSTARSLEQKVRASGSQPATIALFDGKIHVGLDDEKLEKLASSQSAVKVSTRDIAKTLIRKEVGGTTVASTMKIAHAAGISVFATGGIGGVHRGADQTFDISADLQELSKTPVCVVCSGVKSILDIPKTVEYLETHSVNCVVYGQENVFPSFFTRKSDRKAQFNTERLEEVVELIKTSKSLGLPYGTVLACPIPEKYAADGDVIQNAIDQAVREAIEQNIASQSVTPFILARVNELTQGASMATNIALLENNASIAGRLAAKLCDRRPIAISQMKKNSSIPVKPKVVSIGAAIVDFEAITSEDVKDDGGSYNGHIVQRMGGVARNHAEALGRLGCDSIFISAIGDDSNGQFFRQNSEKMDITRVKVMTNKPTCTYLAVNVRGNVKYGIVTSEPLLSTLTPALIEKNEDALETSDLILLDSNLPVPLMTKVLEIAKKHEKQVWFEPTDIDKVKKVFATGLADAVTAASPNANEFLEWAKQCQVAVDPSVVNSADSVLELIEKEKTRLLLNTSLFVVTLSNKGSAVVYRNNLGQLEFQSLPPPVQMDKIVSVSGAGDSFNSGVIAGLTHNKTVVESLRIGQECARLTLQTTLAISEAINPQMLK >CRE01386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:67543:73861:1 gene:WBGene00071005 transcript:CRE01386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01386 MSNLTSSGDDTDFNDIPKTVEYLETHSVNCVVYGQENVLPSFFTRKSDRKAQFNTERLEEVVELIKTSKSLGLPYGTVLTCPIPEKYAADGDEIQKAIDQAVREAIEQNIASQSVTPFILARVNELTQGASMATNIALLENNASIAGRLAAKLCDRRPIAISQIKKNSSIPIKPKVVSIGAAIVDFEAITSEDVKDDGGSYNGHIVQRMGGVARNHAEALGRLGCDSIFISAIGDDSNGQFFRQNSEKMIAKKHEKQVWLEPTDIDKVRKVFATGLVDAVTATSPNANEFLEWAKLCQVAVDPSVVNSADSVLELIEKEKTRLLLNTSLFVVTLSNKGSAVVYRNNLGQLEFQSLPPPIQMDKIVSVSGAGDSFNSGVIAGLTHNKTVVESLRIGQECARLTLQTPLATSEAINPQMFK >CRE23280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2397:7090:8082:-1 gene:WBGene00071006 transcript:CRE23280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23280 DIHATEPIRFSIKKCKNIVLAKSSNFYNATLLSLEVHYGCKGTSGYFYFTESTFTLQRHSSKQATFIQFTNLFSGMISMENLLRKSISDRRTNTLIAIVSETAEVRFGKCGVDQRIFDMKKETPLLFRGELLDHVYRLNFVYCDAEPWKWMFNLTIASPNSTGFIAFDVVKNKNPRENFTLVSAVVILLLLLLILVWCFVGLLHFQEIRDSMRMRAAAKIFHQEKYSAFEKELQAINELVDLPRTSTTLSMNGTGKE >CRE01387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:89582:91413:1 gene:WBGene00071007 transcript:CRE01387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01387 MTELETLVNPPQEVFEEIVNLTSETEDWAFQLGDYQFWSKNFDQFWLFTIVEKETKKLVSSVSLARWDGEDGPLFSVGMFYCVQKYRGTGLGKPLFKKVMDIVGDNNATLTGVVKMSAKYASDFGFDKVPEHWHLFSSLKCADVVIPDKVSEKYTTKLWSDVDYEALTAYDRTICIRDRKKMMTNWFNLPDTFTRVVFDVSGNIVGYSTIRLVSKNKMSPAPFYADNLEAAEVLLKDLLNMIPNWQQYASFGFLHPECNKDPLKLLEKFAKNKESVSSATFIRSQFTKKLIPTPDQKVYALADCAHQFV >CRE01394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:93622:102234:-1 gene:WBGene00071008 transcript:CRE01394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01394 MKVTSCSFDNGGCDHKCEDDSHGEFYRCRCRSGFKLSENKRSCQAIDPCLDNNGGCQHHCTNNHGRAQCQCYPGFHLSYDRRSCVDIDEFSKNNGCEHFCEYIKGTYRCKCREGYQLGRDGRTCEEMLGGCQVGNGGCQHDCYDQPDGGHIYNVPKPIIPRVARLWDVYETVTCVTPTDLTCHKLCMHLDSGHVQCFCDDGYELIDSKFCQEGGYMCSCEPGFELSEDGHSCHDINECLINNGGCAQLCKNRKGSRKCQCFAGYVLAQDEKSCVAASENVDILSNDLEDYSKQFDMVDSLDEVIASIENYPADERYETKPLVFGRRRHVTECPNGFFGSSCQLSCTDCQNGGKCSMRGSGLLSKCDCPSGYTGEKCEQICPNGLWGVDCSQKCSCKLCDPTTGSCRCEDPEKCSDGPCPDGYYGSQCNLKCRMSCPNGRCDTVFGYCTCPDGLYGQTCEKSCPSFTFGKNCRFPCKCAREHSEGCDEITGKCRCKPGYYGHHCKRMCSPGLFGPGCARKCECPSGVRCDPVTGDCTKKCPAGYQGNLCDQACPAGYFGYDCEQKCNCDGVESPHHSKVCHHVTGTCTCLPGKTGPLCDQSCAMNTYGPNCAHTCSCVNGAKCDERDGSCHCTPGFYGATCSEVCPTGRFGVDCMQLCKCQNGAICDPKDGSCECSPGWSGKKCDKACAPGTFGKDCSRKCDCADGMHCDPSDGECICPPGKKGHKCEETCENGLFGAGCKGICSCQNGGFCDSITGSCECKPGWRGKKCDRPCPDGRFGEGCNAICDCTTTNDTSIYNPFVARCDHVTGECRCPAGWTGPDCQTSCPLGRHGEGCRHSCQCTNGASCDRVTGFCDCPSGFMGKNCESECPHGLWGSNCMKHCLCMHGGECNKENGECECVDGWTGPSCEFLCPFGQFGRNCAQGCNCKNGASCDRKTGRCECLPGWSGEHCEKPCSSGHYGSKCEETCECENGAICDPISGHCSCQPGWRGKKCNRACLKGYYGKHCSQSCRCANSKSCDHISGRCQCPKGYAGHSCTELCPDGTYGESCSHKCDCGDNSLCDAISGKCFCKPGHSGPDCKSGCVQGRFGPDCNQLCSCENGGVCDSSSGSCVCPPGYIGTKCEIACQSDRFGPTCEKICNCENGGTCDRLTGQCRCLPGFTGMTCNQVCPDGKYGAGCKEKCRCVNGHCNPTNGECKCNLGFTGPSCEQSCPSGKYGLNCTLDCECQGQARCDPVQGCCDCPPGRYGSRCQFSCPNGFYGWYCSQSCSCQNGAHCDGADGRCLCPAGFQGDKCEQKCTEGTFGPACSQSCNCGKFKCDPTDGKCICPVGRHGPLCEEECRAGRYGEACQNKCQCFNGASCDPKTGQCSCSPGWLGPTCQVEMLDPNNIANRGDLPEDWEWRVKR >CRE01395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:103318:114045:-1 gene:WBGene00071009 transcript:CRE01395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01395 MCFFLLLISCFQGYIRVNDSKEQLHENAGYNNKTNCSSDLCHNGGTCVPSEHNDNEQVCECPTGFTGAKCQYDVNECMANNGGCEHECVNTIGTFYCRCWPGFELAGDGNTCSDIDECATANGGCSDRCVNTPGGFRCDCPSDLYLHSDGRTCGKVTSCSVDNGGCDHECEDDSHGEFYRCRCRSGFKLSENKRSCQAIDPCLDNNGGCQHHCTNNHGRAQCQCYPGFHLSYDRRSCVDIDECSKNNGCEHFCENIKGTYRCKCREGYQLGRDGRTCEEMLGGCQVGNGGCQHDCYDQPDGGHICKCRQGYILAADQKLCHDNVPKPIIPRVPRLWDAYETVTCVTPTDLTCHKLCMHLDSGHVQCFCDDGYELIDSKFCQDHNECNHNNGDCDQICVNMAGSYECQCKPGFKLLMDGRSCEDISECSSNNGGCEQICKNQEGGYMCSCEPGFELSEDGHSCHDINECLINNGGCAQLCKNRKGSRKCQCFAGYVLAHDEKSCVAASENVDILSNDLEDYSKQFDMVDSLDEVIASIENYPADERYETKPLVFGRRRHVTECPNGFFGSSCQLSCADCQNGGKCSMRGSGLLSKCDCPSGYTGEKCEQICPNGLWGVDCSHKCSCKLCDPTTGSCRCEDPERCSDGPCPDGYYGSQCNLKCRMSCPNGRCDPVFGYCTCPDGLYGQTCEKSCPSFTFGKNCRFPCKCAREHSEGCDEITGKCRCKPGYYGHHCKRMCSPGLFGPGCARKCECPSGVRCDPVTGDCTKKCPAGYQGNLCDQACPAGYFGYDCEQKCNCDGVESPHHSKVCHHVTGTCTCLPGKTGPLCDQSCAMNTYGPNCAHTCSCVNGAKCDERDGSCHCTPGFYGATCSEVCPTGRFGVDCMQLCKCQNGAICDPKDGSCECSPGWSGKKCDKACAPGTFGKDCSRKCDCADGMHCDPSDGECICPPGKKGHKCEETCENGLFGAGCKGICSCQNGGFCDSITGSCECKPGWRGKKCDRPCPDGRFGEGCNAICDCTTTNDTSIYNPFVARCDHVTGECRCPAGWTGPDCQTSCPLGRHGEGCRHSCQCTNGASCDRVTGFCDCPSGFMGKNCESECPNGLWGSNCMKHCLCMHGGECNKENGECECVDGWTGPSCEFLCPFGQFGRNCAQRCNCKNGASCDRKTGRCECLPGWSGEHCEKPCSSGHYGSKCEETCECENGAICDPISGHCSCQPGWRGKKCNRACLKGYYGKHCSQSCRCANSKSCDHISGRCQCPKGYAGHSCTELCPDGTYGESCSHKCDCGDNSLCDAISGKCFCKPGHSGPDCKSGCVQGRFGPDCNQLCSCENGGVCDSSSGSCVCPPGYIGTKCEIACQSDRFGPTCEKICNCENGGTCDRLTGQCRCLPGFTGMTCNQVCPDGKYGAGCKEKCRCVNGHCNPTNGECKCNLGFTGPSCEQSCPSGKYGLNCTLDCECQGQARCDPVQGCCDCPPGRYGSRCQFSCPNGFYGWYCSQSCSCQNGAHCDGADGRCLCPAGFQGDKCEQKCTEGTFGPACSQSCNCGKFKCDPTDGKCICPVGRHGPLCEEECRAGRYGEACQNKCQCFNGASCDPKTYVYKKFSVLRLCNIIIFQKLALLIFKCTFFSGQCSCSPGWLGPTCQVEMLDPNNIANRGDLPEDWEWRVKR >CRE19466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:93963:96019:-1 gene:WBGene00071012 transcript:CRE19466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19466 MPPLKFMSFPSLVQENVLKHMEFVEVFMMSLCSKRTKNCAVRARIEIPQVYFTVKETEQKIGIRLGEGKEVTKTIIRVLEYLEERIKSADKVRYGDFESVCAAIKPYKGGCVIKVKQTEEPFMAGLYHYFKALFRLTEPSYLIMNVNRLSERMLFVEDVNKAYITGQTLEVKDLELFLTKNPNLENLKIQSSINGEIDDISRILSVKNLRLSSAGHFGMRVLSKFTGRNICLFEPVLVETELNAIIKKWINGEEFQNLEAVLAQNIRPIVRGMNLDQICDGFAVERFNPANRPQYFQFDKKLFGHRCKPYFFIGPPCYDVIRKSDGKRASVLATHMHFMFVVWN >CRE19467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:97100:98760:-1 gene:WBGene00071013 transcript:CRE19467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19467 MFEDQHQLTWMLKETPLPKLLEIVYDNFKNHGNALHWVNKVKTTEEEYVMPATYQEFVGDLRGKLGADLEDVCRQINEKINEEIRKTGKPHNEMTVSKLSMILDCVNHMDSKDLENKQNLPSSSSSDKDQTKVRVSPFAFIQILLKSPNSCFQIPNEDMTNDEPISELVQHWINNIKSTDESHDNQTKVGTEKKKIDWAEYIREPLNRFQDFIDKKNNEVPVASVPKNHHSARLLPSQRLSEPTTSSKSADSIQASVSKLLKLSQNKEEVDRKVSKLPKCLKSNGNKEKVLKEDSKPDDPIQHPSHKNAIAKVQTKKKVHSKLTKPFHSESLELKKLLKDIGYDDSYQRYQKRKEKEVEELKALKLEIQKKDKKIEELEKMMKDSSHLAAENSELREEIRKKDSYILDLEWERDQHIFIREQQKLKDDRLEMHSEDQKTSDESLAKDAKIDDMEKRLKEKERENEELKASIRNMIVSDREAFKMVDNHYKLKLEEEKKMNSDRIDELTAQMEKLTKCVENLMK >CRE19468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:99847:112670:-1 gene:WBGene00071014 transcript:CRE19468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19468 MVATRLNDDEQEVWKQSILRAKDNLPEKASGPLVALLEGTSGHHHWTETNHPRPTFCNYCREKLNGVPWHGYTCDICKVKAHRKCRDNITEPCKWTNQSSIPQHLQFISPENSILPHQWMEGNLPMPAKCSVCEKPCGSVRKLVDYRCIWCGCCVHDACIGNLARSCSLGHSALSVISPLALKGVNSNGTAILREEAKTSNEIKNSTKQCFSCNTLLGKLDRLMKSLKEDANYLEESGFEPGDDDECSTGDEMLKRRDSVVNRANSLKKALREVISMAEKGIDQHYRDTNTAACTRRERFRKKRSKTTPSVLRICYSNLSSSSACSPSCSPAEEEETENDGTEEFRSASCKATFEISRSQSSSSAVLTALFNSQSARNFDELEKPSIGTVHPPTPGATREPSTAYDDDVENWPIIQGYIEKGYIVIIGWYYMIETGFYYSLLIASSFDVRRSDFWQLMVHHVITIFLLSSSWTINFVRVGTLILLSHDISDVFLEGGKLVRYDAHNKNMTNFMFVLFFTSWVLTRLIYYPFIVIRSAVTEAAALIQPDYVIWDLGLMIVHMTVQETTRSRTFTVSDDELLNVTRINQEFAENWDDVQYSVFTDELQSRYVPPGTRFRDLTDEQEIRLSLAIYQFNSDAGSEPDSPDPSSSDDDDDEDNDVENNENMPPVLNQNRAPVFNQNQNQVHFRQFGYGRHIERSQLFENRTFSEFELFKNALRLWYIPSRSTNKDYKVADTYNECVSTENLHFSLNYFFRFLEDVHKHLHIGFRDIRHQLYNQMCMNFETERKGDILAENSENSPIKLPKEVHDWIHTDTDNYYPKIDARPNPTSSSSNDTSLQKTHRTYSEFELFKNALRLWYIPLRSTNKDYKVADTYNEFLEDVHKHLHIGFRDIRHQLYNQMCMNFETERKGEILAENSENSPIKLPKEVHDWIHTDIDNYYPKIDAKKLHFPAAKFPESDLSGDETGEELLKSDNSRRPYKTTAQNLRLNNPK >CRE19469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:119636:121682:-1 gene:WBGene00071016 transcript:CRE19469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19469 MSPLKFMSFPSLVQENVLKHMEFAEVFMMSLCSKRTKNCAVRARIEIPQVYFTVKETEQKIGIRREEGKEKTKTIIRVLEYPEERIKSADKFLRFFSFFSVCAAIKPYKGACVIKIKQTEETFMAGLYHYFKALFRLTEPSYLVMNVNRLSERMLFVEDVNKAHITGQTLEVKDLDLFLTKNPNLEILKIESSINGELDNISRILSVENVHLSNAGHFGMRLLSKFTGRNISLFEPVLVETELNAIIKKWMNGEEFQNLETVFAQIKTSLVRGMNLDQIFDGFAVERFNPANRPQDFQFDKKLFGHSSQPYSFCGLGCYDVIRKNDGKRASVLAVHTHFMFVVWN >CRE19471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:124913:126079:-1 gene:WBGene00071017 transcript:CRE19471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19471 MSHLPNPSSSSSNETSPQKTARTFSEFELFKNALRLWYIPLRTTNKDYKVADTYNEFLEDVHKHLHIGFRDIRHQLYNQMCMNFETERKGEILAENSENSPIKLPKEVHDWIHTDTDNYYPKIDVKKLHFPAAKFPESYLSGDETGEELLKSNDSRRPYKTTAQNLRLNNPYFLESPKDPKGQTYAEILEGLERFGEENDRILREMSRKKTGGRIRKEVTEKKKMLKGIGYDEAYRCLARENEGMDGLEELRKLRKELEESEKCQKTLDDSLAKDEKIDDLEKRLKEKERENEELKASIRNMIVSDREAFKMVDNHYKLKLEEEKKMNSDRIDELTAQMEKLTKCVENLMK >CRE19472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:127208:127543:-1 gene:WBGene00071018 transcript:CRE19472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19472 description:Cyclin-dependent kinases regulatory subunit [Source:UniProtKB/TrEMBL;Acc:E3NDJ0] MTTGSNDFYYSNKYEDDEYEYRHVHVTKDIAKLIPKNRLMSETEWRSLGIQQSPGWIHYMIHGPERHVLLFRRALEKATTSKTGVRGGGNPVGVR >CRE19473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:128246:130141:-1 gene:WBGene00071019 transcript:CRE19473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mes-6 description:CRE-MES-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NDJ1] MEHMRRFKELNLQNTEGSQGDFSSRAFTNTASIQQYQKGELLGAVFNPYAAPEAEQHFAVVGGEYVQCYRVVKDVNNLEHVWGIHFPNSPDEKKSHRKPDDPTSEELPVDDRKESLYCVAWAFDTFDHKNGGDPYKIICGGVLGFIYVVDFATRQLDNRLQSFGGDINEIRTCPTNSDLIACASSDQSIRVLHIRNSQCLICIGGLASHPSMVLSVDWHYTGEYLVTGGMDHQVMKWDLSTFIVKSHLKYTCDELAKGKRNIFSPQVSKPPQIKPVPPRKMCPDGTGKVKQVMASLDYAVDKVYHIYTPMAVCSDLHTNYVDCVRFLPGSDVIVSKDCGEQPTVNIFRFGAGVPRNEDAIPMKEPETCTTKIMSVTNDNGEVWFTKFAIDPRRRWLVCGCTRGIVNFIDLKYRDRPKINFSLTICQNTIRQVDFSPCGRFMVASGDDMRIVRLDRVPDTVDVSLLAKFNK >CRE19459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:131081:151598:1 gene:WBGene00071021 transcript:CRE19459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-77 description:CRE-TAG-77 protein [Source:UniProtKB/TrEMBL;Acc:E3NDJ2] MKYDMSHHRKESDSSPSQAKSVKEMLAEFQNKLDGDDNRFRKPPPPSPRRAPPPPPHRKPSTQSSPLPRTEAEEEEETLKRPEEYPEDPPPTEINSNSLRRSLGPKPKVAPKPLFLNGLLPTSSSTPDVPSHRPEAPPHSSCTTPTILVSPATSDYSNGGFFDSNGNGNVKDRARQLVNMGFVPRVNNGGAQIERPISQVSTLSQVSDEFDDGDTSASDEESTVDSTSHQNLRRHRHEDDFDELPLPRNDRKTTAVATTHSEIMHEMEHLFVRGGNKKVNGNNNGNKPSTQQRRQSNIDEIPADVGKLRDNRKGRHNSLFVSPTSGISSTSTDDFSRITSMTSDRSSIVTSHSGGGDSADGTVSPIPDYETGNEEEDQRLKKLHYAAVEFLKVQSNYVQYLKEMAVLYPEYMERFGKRAGHDLLAHHNGQESVVLQIKKILVQILPIHEMLLKEVDTVVSNWDSRTPNMSKTIGTFADFLKCCQPFLDKKAEFMAKLLQMRNEDREFDEATYMFETEVFKRGKKGAVIQQLDQVHQNFMRYKLLMLRYSEYLTDGCDEKKKAEEAIAKLESVTQAVNQKMGLPTTDDLTKLYYRFQCQFNVLEPGRVLIRQGDVMKQTRKEEQPRYLVLFTDCLWICRVSSSRTLSSGGQFEMNRSYRIPLEYMRFERMEEDEYVKCLMVRSKVKSAVIIFPTEKERNQWADDLTKAQFDRKSYKRRQSTAVQKHDENKLKMKKLLMLAEKNNITESPHDDNEEDVMRPNGICCNSRSTSGSQDELSSVPVTPLDIGDYDGGIFDVGGPQRNGSKKQAVADVIKPVWLPDNISNECLMEGCSTEFSIINRRHHCRDCGWLICKYCKGQAPLAKYEFLKQNVCSECYDRQFEIYKAGTLFPTKNIVIQSDGTHMVKIGKRNEQETVDPRKLFKPPVNFGFRHRNVEEKRAGSIVFGRVYLRNRKSEVIRHALLRRDDLKLVFYKAELDSKSVFEVLIYGYFYREIQLEDSNGWLFELIHRNQIRTNDTKDDIISFRVDNNTSAKKWSAAFADKLELDPTFCPSS >CRE19460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:155190:157438:1 gene:WBGene00071023 transcript:CRE19460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19460 MGTNGVDSEKFRKQMTVSKLCGFQKATRILPLTMNQSTEDVEVKDVKMTTSFDEKGIATNYYSVTWIDVAENKKADDALGLKKTVSATKIQEGLKTGKIQCDGPCGQKVNLADVVQFGCDHMICDKCRRSQTSNALFDGSPGCCHSECLEKATHDGLKLRSGRRLDSLASSVSLRSNDGPWEVLAVHVCIVKKFGNHVYRTKLDYEFPSQTRIAELTKVLAPYHETIADGRAYYSMRRPKTCDELYPISLTDTNLRFYHLSEYKPLRSGRMYVMVIGDGVQLY >CRE24882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig915:13207:13941:-1 gene:WBGene00071024 transcript:CRE24882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24882 MLSFLLFLLFPSVIQTCLVIRYSEPPKCECEWTALTSSNIEEFIGQSSFYIQNITGKEVKAPLSTKEDCSLSIYCDKWSLVIMDKKGFTNNGFTRMLGEYSADALCDPYTQKWRVDNGAELVTYDELYGVCVDYDFETTTTRRTTRKVPVGNNPPRPTINFKRK >CRE19475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:164548:168369:-1 gene:WBGene00071025 transcript:CRE19475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19475 MEIARLSELSLQSVVDYICNGTYDNVDYKLSPDLSNQIYHSVINKSKEAPNKNINKQIKNKLQLTKINHMYHKLNRNTMQMFRSHILEHLMIGFLDFDDFGKKYKDENDLVDVVSVLEDSTTYYCRKNLKLLTIRVQLNYQSGWAEVVGEMLPNLETLNITGIKLTGKEFPNLCKSFPKLKTLDISFCAVTNLKGISNLKNLEVLIIRGLNLATPEDMDDLFGCKKLRMLDFAKSNRNEGASVMWNYMKCGKVLEELEFLDCNGTDIDKSMVEVLMSTHKKLKTIVTLDSILDFSTIPGIELLNSSTPQLMIKSLHYYIAVNRNPFISWMLSLFRKTCLVQWTEDGDQQVLRDFVRVICSAMKNFCWDPCVFYEGVKCLLEITKEENIKGLGPMEISMLIDQLIESGTKSKTLTYDIEKLNAAYSCSWNLFHQLQILNSPLLKIKKLCWYTVDFLASKFAPIIPTKQLNILWNMLARFDTEDAKKLCQRTDLLDSLLDFLYLCNTQSQVFMEEHRESINIVLEVIYSMTKVNDETAHHFINKKVQKIHAIPLMIQLSDIKWNRWPEQIKVFEILVNLTRVEKFMKRWGRYLRMNSKFLRNQLKGYYNYLNTSIGTYLATVKAYCSMTILSSLMCHPNQNKKKYYKWKIENTEMVKSCQKIQTSHISMDLEFYLSCSILHDTLKKSKYDGPVMWALLTMKAMLERDIDLVEIFKDSGLLSAVQRVRSEEKGVMKLKLEVLRLLY >CRE19478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:179570:180565:-1 gene:WBGene00071026 transcript:CRE19478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19478 MHIRWAHRYLPAVSGCLSFIVNPVLAYLILTEKKSATIGRYRFLILFFAIFDMLYSTVELLVPLGMHGTGSAFVTYLAHGPFFGKDRIRLAQFAISIRSGFISLSYGILIIHFIYRYIALFYPKFLEQVFRPFGIFCIFTFFLIHGIVWAGICELFLYADDEMRDYIRETFRKDYGVDSYDIAFVAALYMDGSAEVNKRGWTGILSLSGISVYAVSLYVILGRKVDEVRRHSNNFRFLRSFGSFELKMLYPKLLETCTSNCSLCWLSRL >CRE19479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:181474:184286:-1 gene:WBGene00071027 transcript:CRE19479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-catp-7 description:CRE-CATP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NDK0] FIAVLAGVAAFGFLYTSFILFYRGSSFFKIFIRALDLVTIVVPPALPAVMGIGIFYAQRRLRQKSIYCISPTTINTCGAIDVVCVCFDKTGTLTEDGLDFYALRVVENAKIGENIVQISTNETCQNVVRAIATCHTLSKINHELHGDPLDVIMFEQTRYSLEEDDSESHESIESIQPILIRPPKDSTLSDCQIVKQFTFSSGLQRQSVIVTDEDSMKAYCKGSPEMIMSLCRPETVPENFHDIVEEYSQHGYRLIAVAEKDLVIGSEVQKTSRQSIECDLTLIGLVALENRLKPVTTEVIQKLNEANIRSVMVTGDNLLTALSVARECGIIISKKTAYLIEHENGVVDKRGRTILTIREKGEHHTQERQSKSVDLSKMSNVDCQFAISGSTFSVVTHEYPELLDQLVCVCNVFARMAPEQKQLLVEHLQEVGQTVAMCGDGANDCAALKAAHAGISLSEAEASIAAPFTSKVADIRCVITLISEGRAALVTSYSAFLCMAGYSLTQFISILLLYWIATSYSQMQFLFIDIAIVTNLAFLSSQTRAHKGLASTPPPTSILSTASMVSLFGQLAIGGMAQIGVFCLITMQEWFKPFQPTHHDNDEDRKSLQGTAIFYVSLFHYIVLYFVFAAGPPYRASITSNKAFLMSMICVTIGCIAIVVFYFTPIQYFLGCLFIPQQFRFIILCIALITAIVSILYDRCVDWISLKISEKIRQMRKGA >CRE20086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig429:43:3604:1 gene:WBGene00071028 transcript:CRE20086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20086 MITLIVMCLIPFYTATLLGNNWIIMKTRWSEYSEHCRNPYPEMAQKALGDGMGHFTSFCTYLTVFGGTAVFSLLAAKTLSEVLNGFGVPATMCSTLIAVGIILWPCVMLKSPMHFWQVSIVATVSTVTAVALILFGYALDVNGCHEHSAFPEFTPVAASNSLATIIFAYGGHPCIPTIVHDMKTPQHYFRCFLLSYIGLFLLYTPVSLLGFWIYGDSVSDSIISSIQNDTLRRGISILIAVHVFFSVLIIVNPLLQASEHVFRVKQEFGIGRFIIRTIVFWIIIFSAASVPNFGVVVNLVGGSTLPLLVLIFPPLFAMCLEVKQRLEEDGAKEEFGFKAILKYHSKLRLLLDAFIYVLGFYVMFHSTYHSISSIFFSENPPTRSCFTTWFSSSENLQNLTTSTSHSTHFQCCGAFRNISLNGQSCF >CRE20037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:89657:96271:-1 gene:WBGene00071031 transcript:CRE20037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20037 MAVERQVKQSSWWRSVFVAAATRERWRRETPDTTTARRSVRKLIGLEEVCEGCVYCMDMATRCVEYGPLRFQVLKEIKKPIHYKKLHISDKLLEIVANHCTLRSTTKEKCFEKLNNIIRNSISCDTLLLWICETKEYYVNGVWKNAHFSMPREVLDVMIRTWNVKTIRMNMIVYTKEDICHEKWINKGYFTKIKLDDTYWKTGRSGDLKLKHVSVKVTDSYDCAAGLIYSIPKTGYEKSFENYIANLRRLFQMDKLSIDFSHWAHNYSGSLEEFMRNILRVIQLEKQRKLEVNIQFFTEIRSFKVGNSEKLAEIPSEYSLLNDRVECNRMFVPVDVVESGPERLNMIKWVGRRFQVKDMDNHFTLNLNIYVKEIELTKMDKELMETHPNSLIGVFFPQKPLEISRKQMAKRLEPKCPGAESIKIGLHVNDIIESEFFGMNLGLKLSDFHYFYTENPEFLTISQLNSVNFSILPGSSNSSMPPNATQSFLSPLAAPQQKPKPLQQLMTSSGADQIQNHSFSTKATPSPHPSHHLPMLQDIQQILDSSAILLDGQHEAAANVEKMQAKMXXXXAMGSSSPLADCIKQKNVASSSLLNASYSPIFKFSSNMLEVEKLQNEVSELRNELEKERLRGDLKSREAAQNFEDLEVCQATLNKVEAEKAELTIELSRLEDSHRRLVAELDEAKRQMEKTLVRCAAEQDARLNAEEILEETKRSVEVLKREHANAMMIEVDYRVTEAKEQVEREKKAIIESLSNELQTALAEENAMRSHLERMEQEVELLRRRAREAEDRVERTANEKHEMVKQIVSFEEKIVKAAVFEKEAKEIENMVNEGLERVRKEVVELTKKTLKTQIISGNASSIRLVCDELCRRLTREREQQHESAQTMKYVNDNIEQLQKENREMQSKIRESQGASKKSSTSNNKENAPPRVASSEAPITAPPSSSQKTSTTASNFVNPTRQLLHESTMAVDSIVQKLKKTYTMSGMGAELKETIGNLIIESRGLRDSLRQKLFLFKGIDMTMWKNDSVDQLVEKLAQYLQDNLILEEQIKKYKKELKLTKTVIPNLGANLEERIKREISGIASDLGAVKTLLNKK >CRE16385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:93206:99496:1 gene:WBGene00071032 transcript:CRE16385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16385 MEDNSVLNEDSNLEDVAGKFIDFSLFFNKIIDFSAASAPRKSMSQPSLAGLGEPKKGLSNAFSASNVRSIPIIQTWHENEEMKGQIYTLRCEVQMHERKYLEAKGLCHRNVQDVMDEYVEMKIAQDDWEQKMRQFKQMEMDIDECSRQKTEMEQRIRELEISLTEQQEQRATVTSDVNNTTCGSLRGTLDDIMKRNDPDFTLTSGYDEKIAELEGKLMSEMDKVAELEDQVKNLLEEVKDQSARLAQSENARAQLEEAASHGVSVMVPNSTFVIGNARQSQTEQQIKYIDELETKLTDAKSESEKARLALVEYMNKCSKLENEMHRIKKNQTFDTSSLLIGQTSEELKAQITKVNGELNSLRAENRELRIRCHQLNGETDDGNLSSSLLGHSRLMAGISSTDLADSNETGGTSMRIVPSSGGASHLEAELEESKLPLMDTSAAVRSKQAFDAAYDDFESLKDGLLNNDNNTLESSFNSSMPPPDRDATQSFLSQKSFKNSPLAAPQRPKPLQQLMTSSGADQIQNHSFSTKATPSPHPSHLPILQDVQQILDSSAILLEGQHEAAANVEKMQAKMSQIRDALSRLFERLKSSAALFEDILEKSIVAESFIAGVSRRFTIAPDAEDVASSSLLNASYSPIFKFSNNVMEVEKLQNEVAELRNELEKARVRGDMKSPLQNSSGRLSDVQLKAAQNFEDLEVCQATLNKVEAEKAELMNELSRLEDSHRRLVAELDEAKRQMENTLVRCAAEQDARLNAEEILEDTKRSVEVLKREQTNAMMIEVDYRVTEAKELVEREKKAIIESLSSELQTALAEENAVRDHLERMEHEMELLRRRAREAEDRVERTANEKHEMVEHIVSLEEKMEKAAAFEKESADYAGKLAARKKEIEVSKKREDMVNAAIEGLERVRKEVVELTKKTLKTQIILGNASSIRLVCDELCRRLTRERELQHESAETMKYVNDNIEQLQKENLEMQSKIRESLGVSKKSSPSISNNKENAPPPVASSEAPVTAPPSSSQKTSTTASNFVSPTRQLLHESTMAVDSIVQKLKKTYTMSGMGAELKETIGNLIIESRGLRDFLHQKLILFKGIDMTMWKNDSVDQLVEKLAQYLQDNLILEEQIKKYKKELKLTKDVIPNLGADVQERIKREIGGIASDMGAVKALRNKK >CRE20036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:62063:79804:-1 gene:WBGene00071033 transcript:CRE20036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-6 description:CRE-NPP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NFF3] MDLVYGSEITFGDGFAIKPSRTFVVNTNAPLHSNVFEAKPSGGVVTFPHSSHYADRIFVWRAIGQKLFIEERSLLFSITEGSLCIDFTRTSIIPGTSITIFEEGVFSIVVPTASSIHRFYTKLSFKGRDAFSVLSRIVEDDDFRRYHVNHALATSGRPIRASVTHHPTKNTVSLVTAEGQLVVVALGLQKSSGAEKHDEFTIGEVGLLGKLLGGVDKRVSDACVMKNLSGYGRERKSSASKSDIVYAVTRDGWVQAWNVDTRKQLSSTIDLNQYFASDTFRISTPEGSDDDDDLEFINAVEPMEQFYSINAYTFDIDTLLVVGCDIVIGGRSVGMRTHLIKVAEDQMYHMQMYETSMTAEERLVHLELIQTYFPPRDCEELEETQDFEDYNPRTCAQFSLSALFKSSSANKSYSLKRLSFAIQWRTGEVFTEFDWHPVRQFSGKLTTKSDNKSDDTERPYNLSADSSIETLIDVVFDTDLYSFDIIVSDNYRGALANIRHNNWAELSKLVDTYLTSIEFNRKFQQKTDRSIRLRLNAPQESQSSAVKDFWWALLRACEELDFAARGAISLAPMQLSGDLKIMAVIHRDRMTILGDNNTEFLNLITTENIPKPGLLEEKYRKSPNKQLIDLIEEASKYADRRVLLMNRERARLASAKHGVPLTDEDLSTDNAYDYDSDGRFMTIKPALETAIVKLTAAFVEASTFDNSKPFDDPCPQPFGGAFTQSIVSANIRKTVESRVHFALTLQSLLNAITEQKNRAGVPSFGDVEALSCEVREIIRVYRELNEQLDLKIFKNGAKMSIGTWLTSDAEGLAMMKREGGYGKNGYEELRDSDFNWFVGVTTEAAVRALLSTSEILVLPRRLVLHKQYKLLLTILNSYISETRALKPVITFYRGIAYSGTDHPVKALNAFQSCLDAFTEGNNALRKAVYYLLPKRFACPKGTDPLESLTPSEYFLTVVRFLQEHGHAEEVCSVAMKAIEHLPIENESVQVISNTLFNHLTVRREWFQALKLILKTTLQPETRRASIYELLKMMLSVGEWEAIATMKFGIHEQVVEDFLREAALGQAPTEKQHYFELLFAFYVARKDFRNAACAMYEFARHIETANGMTPDLLRRRRDCLSVVLNLQEVLGMEPEENAAIYDDYTNTDLVFPAPSDDDLTSSETQIDGGKASTVKPTSSESEGISGNDEMETEDDKKEVPLSNRRKLLVLTEKDIRDEWVLCSARVGLLSSDQFKGVPPTDFEDLFSLLVDCLHFDDAFDVARRFNLDAQKLFFSMTREAIMIDALRDDVNIEMASTQQAGWVRSNRRHCVAVATAEEHWAVVRGLIDAARDEMPGDSRPLRGATEAFLSYGLNVPFWLHNTFEINDSNDYLRCLIDYEAYAAGLQVIGEIVEHETLKVSQPNARTWLPYTVIDEMMLRSGDYVRKMAGKTPEDQVLALEVANLRKNADQKLMIYFRKVQDFEQAQKMSNRFFSK >CRE20035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:56202:61292:-1 gene:WBGene00071035 transcript:CRE20035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slx-1 description:Structure-specific endonuclease subunit slx-1 [Source:UniProtKB/TrEMBL;Acc:E3NFF2] METTLTLSSDSEDDDVTPPPAKRRSIEGVPKSFDGDKKARFSFGVSSRISEDVADSDDVEFRSTSLLKTPVSLRRRSISMSCMTPRDPSKRINEPPANQICSGFVRNEDDDDVAMREEEERDAEKETDLNLRALLSPEKKKRKEKITEVQNEFYGVYCLISRSDRPCYKNRCYIGYTVDPNRRIMQHNGGRFKGGAKKTDSRGPWDMVCVVHGFPNHVAALRFEWAWQNPTVSKTLKEKNLKKERKETPFAYQLRIACQLMNSDTFCRFALTFRWLITKEELPFPTSCPPPEHIKLKYGKVKKEMSLVPAKREDYVEMGECRLCGNDIEKVWSLVRCISDTCHSHFHSKCLAAHGLKRKNEYTEHIFPLKATCPTCGHFFLWGDVIREQRRIIKISTKCAEEFRNLVVRKDLQLIN >CRE20023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:43466:46522:1 gene:WBGene00071036 transcript:CRE20023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20023 MERRFLKGPEFESLIKENKFKDVESIDALVLMGLKHNGHSLVRVPKKSNENNPFDGFCWSKTKFKKDKTVEYRHQPTYSNIEMFGQKKKSINCTEFDNCELHKQVVHHEDYIYIYYFSRNDAKIVLPPDERSRKRRLDKNTKETLRTSVLPYRNMASAVKVAREMGLSVTKKQIRDDSNLLSEFTFCQTFEESCNIFMSGCPKKKTFDKWIRFVERLKDLDEMERKKEVKKILVEKPSGLFMPSRIYVDTTFNLSDMYVTIVLGETENFRTKVSGKPRVLPLGYMIHSSKSVENHKKFARILKEELMRHNDPLHPKSIPCVLLDGEIALNVYPEKLETNSVRCDVHVLSLLRFDYGGRRAAETAKPFLFGKKVNGKWMTGLFGCFTSDVFRKRLGKIQGKVDTRIYDWLVKNQEMLMDTVSAYAKLRGGHILQYSTNNQNENFNGAVKVVLSKRHSANQLIEKLDNFCRGIYLLPYQTKTEFSEKIQECWLSAVDGSEYVVMKKCMDGLSTEEKFAHFKNIGLYSSLILSFDAPLKLLEGLDLTLMKKEEQNLANLEILNVRSDAYQIMNHDADSRDKMSRYVTVGYLNGVLECWSCGDTLPESICSHVMICLKTLNKKEKEHHFGIIRNPSTASKNALSKRSGSKKSDRIGTKASAKNYIRTTDDISTLVKLYLETKRRDVFRLSLFSSQNDSGSTTIISISDNSTGTDAGPSTLFSPMQTSTPVASTDRRSSSRQRKARRRYSPNNQQNTSTTSRDSSGFDSSTVY >CRE20032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:34855:38952:-1 gene:WBGene00071037 transcript:CRE20032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bro-1 description:CRE-BRO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFE7] MKRTTNDQQTSFQSDYFLTQLAHFTEAKFSLFEHAPLVERRERFRNHIERDEMPLTFCKMGINIPVKLETSQTIGNEINFRSRPFVFNGIWVKVVGTMNTETLDGRVRFERFQRETRVPRVISQLTDIKRIPEVEEETIGLTEAEMAALRREGLNI >CRE20021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:3712:10865:1 gene:WBGene00071038 transcript:CRE20021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glna-3 description:CRE-GLNA-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NFE4] MEDENNEGAEPEKGAEPNSREEEEDGPSTSTMTQEKPRTPTVVQFTKPSFYRVNTVISEVKVCRSMSYDMCGNHQQELLFDLYKDETTGKVYLPRFFKALLESGIRKDDPRIDKMIQNIKDADLLDDFVWGTQHIYLEKDTFKKYIGSSIGVVTKALKKQMIIPDWERFVGDMGEIFEDVRQYDEGELATYIPQLSRVPPDSWAMSVCTIDGQRKSWGDSLKPFCLQSVSKPFTYALVHDDIGAEALHAHVGQEPSGRLFNDICLDHNKKPHNPLINAGAIVVASLLKHNTPLADRFDFMIHACRKFVGSGYIGFNNSVFLSERETADRNYALSYYMREHNVFPKDLNLQDTLDLYFQICSIETNCDSLAVMAATLANGGVNPMNGERVINNRACRDTLSLMYSCGMYDWSGQFAFHVGLPAKSGVSGDMIIVIPNVMGIALYSPRLDKLGNTVRGVKFAEQLVQKYNFHNYDSLIYSDNKKIDPRRQLKDDHDGQNRFMYATKLGDIAAIKRFLLMGHDIHCKDYDNRTVLHVAAAEGDVVTLEYVLSKWMEDPDPHDRYDRTPLDDAKHFNHTACIKMLEEAITVFNLKQQDD >CRE30527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig368:24596:30188:1 gene:WBGene00071039 transcript:CRE30527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30527 MMHPTTHLLLQAPPPSDYSTPFEAKGEINDSFSYVPSVSGDWNDEENEASFTIEYLEEKPRLLRPKNESSSENAEKYMELDPNESILASSGDPNDFGIPPLLTNYSPMFQELTRKLMEEERVKSRVLSFDERIKRLKEELTVVKEEKEKEKREEQSTSSWSSRPSILKKGSIRRPIRRKSALSIHTVEYDTELIDMNEMTCEMMENMSEDELKHVCNSLFESSPRVRFRGFYPFLVEGMIQKDYQTKDLKLFSSRLRNVRIPDTREPNQMSTDYVYFVVVRQGVFGGFWYETHPNCLISAKFRSQHETVLHGYAILAYPIGSKEDSMNEERWICWNDSLGMMSINKRSAMIAMKKQPGWDKQLDIVTFSANSYNGRYVVDKIVALTNSKDKRIRIPVEIFLIVDATFLESRRDDIVFYSDVLKSEILIKKDRIGNVNEALPYEVRRPGFEECAQLFD >CRE30526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig368:13603:21080:1 gene:WBGene00071040 transcript:CRE30526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30526 MVDSDDEYRQEMDKFMRKELAAIRVELMGNNEEKPTQPVPIPQITKIEPIIRSEPPDSVRQRIMQNIPPEIARQPSSPPQLPFNIQPRLFPPRFVSPPRFSPFVSPEISPEVSPSKAIRPTVSPPTVYQAVPTVAPPSTVSAPIVTASTVTRAASTVTRPAPTVTPAAFTVPTVAPPPTVTAPTLFPPTVFAPGPTVTPAAFTDPRMSHPTVAGPTLSPHKVFGPAPTVTPPAPPTVTPAAITVPTVAPPPTVAVPTLFPPTVFGPGHTVTPAAPSVTPPAPAPQQMPLMRPNKPEETPLKLREEVHFEFVHVPLIPTAANPPPPRQRSIPPPPVTVPQAQPRPTRSNADRIPLQHRPPPPRRTESPQFQTRQPPPVDFPLIESDTSIYHGNRGRNRPITPLFEPEPPVHQLEQSTWVDETLVESDHQMNPNEAIFLSDSSTDVIPDRMFPELCRDFRTQQEIQDEQRGRMNLRFQTDFERRETENWERPSTAMSSRRPPILPPPSKGRATNGMLNQTIVSNAATAHMGFERYRQNPHHDDFSFDDANQPTSSNQISRRSQLVTTTTESYNSQIDPNNFNPDSWTTEALEKMNEEQLKEIVNFLSRK >CRE30529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig368:21905:22858:-1 gene:WBGene00071041 transcript:CRE30529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30529 MDVISGVPQGSVLGPLLFLLYINDISDNVESNILMFADDLKLFSPHANLLQNDLAIISDWCSQWQMTVAPNKCEVIAFRHSTRNLKSKSSPDFHISGLKLPFVRHIRDLGIFFSDDLSFTHHVNIILRRSQFRVNMLFNILKNSTMEVFIRCYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTFIPSVKSLRRHPFYLRCNIKNSNKSSSQFLSNRTLNCWNSLPVSSFPVKSSSRSFKTNLKHVDLSKYLTLSPLNY >CRE30528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig368:8973:13156:-1 gene:WBGene00071042 transcript:CRE30528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gras-1 MDRADSQSAVSTNSTATTTTSSSKYESPDDEQLLCDTKEEYPLNNYLLEGVHQESAQRSLLLCRQNFDTSFGFALQSYVFKRTSSNSYERITYVDYVCSDSPADRCGIIRGDMVVAVNEKSVVTASHAEIVDSIAQCLQVSLVLVFKDVARIVELSMRSIQLKFILDTKMRELEMLEEKEKELEALYTETTSEADDSEIESTLYELDEELKNVQNSQENETNGTDYRHLIRINSSTSVGTPQVTRL >CRE30525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig368:5347:7473:1 gene:WBGene00071043 transcript:CRE30525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30525 MARKIHQKHLQQLVENSDPRILLGDPFRRETVKVVLYRAEGEDEFEKTGRVACTLCNAILSETAGSHIKRHVEDNCKKRKNSKNEDDIEEVPAKQRKMTEFNQKKLLHMQIGKITSACAKFCLRSGKSFNFCSSSAVTQYASDIIDAIVPGFDAEETMKQLPTRNTIQKHAETYSKDIVKRAFETVSEYAGKRLCLLVDHGKLIHNYLSIYGSFVDEHFRLQLIPLGFTPALEGKSIVETARLIASRFEENGISEDVAYQSYLTADGALSGLSNHFRKFIRCVSHSLNLLASRSLKPLDRHIYKFDNNELKILSNLDEIMMNAGQVSNAIRTNVKLCEKLSKLPALSVQTRWLIGLKCLVDIVALSEEIQSNFSLLSNIGKQAFSKLSADGFKTARTLIAFFDEFLTYNDVFQSQKEVSLHLVLPLYKRIEERWRKYIKLNFSGLVPELLNTEILPLLAKSGLTALDYYYQEFSDIHYAAVLLSPGTKRMQMFEKDEIKKAKNLIREMLPRGPSPPKEAKKSEEVGGIESLYALVSDSPIEEDFEQDELKTYLSEHVEFGRKESVESYWRRKKNDFPQLYDVAAQVFSIVPSESVCETAFSTASYLLDKRRTRLGAERAELVVLGCQLASKFPEWL >CRE16512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig745:13:4733:1 gene:WBGene00071044 transcript:CRE16512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16512 MAIVTALLPVPLSVYVFAFAVIFFPRLVLTRHFWSDEQRREFFQLEVTKALISGEQLLSTLGSNCYIRKIDIGKKNESEMRDSLRQYVKFTSRMPNNVYLYASPLFKQK >CRE21561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:106803:108298:1 gene:WBGene00071045 transcript:CRE21561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21561 MSSPTPPRDTSLEKPTSEDITPKTDCPEDLTKASTNESEETPTQQSEQITENVVAGQNDNEEALDMSIGKKNQVEPMEISEEGNDNQKEESRVNETTKDVDTKEIKSDEKTSGEKETKTEKDIQKADGEISKNIQDAGKNTEPEKTDEFENKVETEKRTEGEAASEKSKEEVVKTAEETGKEENQEKDTVSAEDAEETKCEGDMISDKAKTDKQTKDEDEKFSEELKEGKTEEQSKTEKVTKTEEEKTSNEAKEDKNSEKEKDSEEQKSTEKDNAEGDKGAEDTKMEVDTATEDQTDKSSNTKTETGESAEEPMEIDEKEPAAKKAKVEGEDVKTEEPLALDHAATLVKKEVSAAIKVYQKYFDDMKLAQKVTQPNISNYIRGVILKRLNSNTVHIDGYLARIEKARTREEFQTLYDEITLLIKKARQSQEINDLLGPLY >CRE21560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:102130:103373:1 gene:WBGene00071046 transcript:CRE21560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21560 MTWALFDYQKYHKITVLQHRSVCYTIPRLPFVCDLHHQLAYTNVHGIEKAYNNWLYLPANFSNLISAKQLEQPASANEVMKKTEYACLFDNECDQIDGDMITGIVGNVRKFLKIYSWKVYERWFGVSESCSKTNLLALVVIDGVVNDARKIPYVRLHTGWPLAKVIEDLVDDVGYALKEYYELNGEQRDHSVPPYVTNKYES >CRE21559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:95444:97609:1 gene:WBGene00071047 transcript:CRE21559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21559 MAFIASAYMVMLFTVPIAGVILNTYVLRKLIRLARKSVVRFETSSGLPLAAMSIGDSITLFALLMQAIFHVTPKGEVPVVVLSTICKVTKLKMIGHIIFIFQFGIYLIHSTSAFSVWCWFFLSVLRYIAVFHPFKYRTIWRQPRNALKILAGAVGTTQIYTLAIVTYRTNEKMCGEYEIFDQSAWKHIHLVDIFLFYAVPSLLRITLDFLVLIHCYSPFSMEEFDRVTIDRRFAISGPTTTTKRLSHSAELEALDNKAHVALAISITANTPSVKRVHYGNPKKKTAMVMRSIVISVVNLLLNLPTHIFRALASYDERTMDHEIFHVLEPIAQMMYFSQFACNAFYLASSIYETNGSPRNTVISSSNRHVSRCISEDDA >CRE21558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:86787:89081:1 gene:WBGene00071048 transcript:CRE21558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sav-1 description:CRE-SAV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFP1] MLFTRRKPVTSVEGTQGKYVKRESPPTVPSLAATAVSRTRRNPIAASTLILSSVPPPPSSTPPSEFEMFHSENPSVEENDLDDLEQQDQEDSFDINDLKEIEFERLHSRSTASENDVYTEPSEEPSTSFGVRNNNVLSSRSFNRGALRYVPRKSLTASHSIGNVEQGMRELSVGEKGLTSPQPPRFQSLQTLPSEHLMTIQQYRSSCDCTSDLPLPENWAVEFTTENQPYYVDHANRRTHWVHPLVHESLKPGWKKIFDPQKGVFYYNEEMKRTQYEHPGISNPIFRTESVNVASRSTVDLNANLHIIEEKELPPWLLMYAQSDSSLDHLLEWDLFNFEQLTEYEHLMMKLYKQEVFDIVKKYEKKRNVLNREIHRRDVSRPPPPRINEN >CRE21570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:79697:81867:-1 gene:WBGene00071049 transcript:CRE21570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21570 description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:E3NFP0] MFLLLLLTTPVWADIATYGYHPKGANPTLYSPEDSVLQLDEVTFNDTIFGSQSGDAGYLVEFYSDWCGHCRAFAPTYKNLAKDVDGWQNIVKIAAINCADPVNEHVCRSNGVRFFPLIKYFPRDSINSTEGSQIKPYSTVSEMRGQLTKAVMDDYALNRYKEWPTFDFLKDVVTYGELWNESSSSANHIAIIFETNQASLTGAQLLLDLSANRDRLVARRCLKSHPLAEALKITDFPSLAIFKRGERKPVLIAELRRLLLREIDQFLGKPSDNHLQTIHFSSRKNKTVDCNSNPELCKPNYYVSEVDMLKAMRYALFRESARTGAPLQAANLSALYEFTSLLADNFPTTTIEGSDDNSTVLHLDRSSRAVRVFSRLRDYIAEKGLEAPISVEDWQKEFLAAEEEAGHPFPLNTNWDHCAGSSTQYRGYTCGLWTTFHALTVSAFKNWQAKTNDVTLPLPPLQSIRDWVGSFFGCNHCRDHFLKMTTDTFKMEANVRRPEDVYLYLWKAHNKVNARLHGRETEDPKFPKYQFPAKFLCPDCNTKGFLNEDDTQPFLIDYYSRIRPFSNSTLIKS >CRE21569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:68341:76230:-1 gene:WBGene00071050 transcript:CRE21569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hum-6 description:CRE-HUM-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NFN9] MVLVSKGDFIWIEPGKAEGSIPIGARVIDQDHGRLKVIDDLGNEQWLSADRRVRLMHPTSVQGVEDMCQLGDFHESAILRNLFVRYREKLIYAYTGSILIAVNPYMDIAIYTADEIRMYKRKRIGELPPHIFAIADNAYTNMRRERKNQSVIISGESGAGKTESTKLVLQFLATISGQHSWIEQQVLEANPVLEAFGNAKTIRNDNSSRFGKYIDVHFNESGSIEGAKIEQYLLEKSRIVTQSENERNYHIFYCLLAGLSKDEKAELELGTAADYYYLIQGKTLTAEGRDDAADLAEIRSAMRVLMINEQEIGSIFKLLAALLHIGNIRFRQNTTDNMESVDVADPSTLVRIAKLLHLHEQNLLDAITTKSLVTREERVISRLNGQQAIDARDALAKAIYGKLFIHIVRRVNDAIYKPSQSKRTSIGILDIFGFENFESNSFEQLCINFANETLQQFFVQHVFKMEQKEYDEENINWRHIKFVDNQATVDLIAQRPLNILSLIDEESIFPKGTDKTMLLKLHSTHGRNELYLQPKSELQRAFGVTHFAGNVFYNTRGFLEKNRDSFSGDLSALISSSKMPFLARLFDDIEYDTGTRKKVTVGNQFRRSLEQLMVQLTQTHPFFIRCIKPNELKRALVMDRDLVLRQLRYSGMMETIKIRRSGYPIRHDYYPFVFRYRVLVPSIRGPANRIDLHDAAKKICHKVLGTNADYQLGKTKVFLKDKHDLVLEQEYYRILKDKAVIIQKNVRRWLVRKDFEKQRQAAVTIQTAWRGYDQRKRYRQIISGFSRLQAVLRSRQLVSHYQSLRKTIIQFQAVCRGTLLRRQVGEMRRRGEKAPLTEISSTASVISDTHEEELVGHLFDFLPSDGKDSGNENDSTDSSRRGSYSKLHPSPVMPSTNIPRIDSFIDEDLSKFQFGKYAATFFQAQATATHIKKPIKTALLSHTEPSAQLAALASWTTILRFMGDLPDVKSGSTNGSEIYDKTPVMSRLYATLGKKFSSRDVEEALLSSEYGGTKTLKKGMGKKLISMTLKRKGKIGGSDTSSISSDSAYSGFNAMLENKPMTSLDKLHYIIGLGILREDMRDEIYCQLCKQLSNNPSKLSAARGWILLSLCVGCFAPSERFIKYLFCFIRERGPAGTGYSTYIEDRLRRTQVNGTRHQPPSYVELQANKSQKPVVLAVTFMDGSVKTLCADSATTASELCKQLAEKVGLTNSFGFSLYIALFDKVSSLGSGTDHVMDAISQCEQYAKEQGRQERNAPWRLFFRKEIFSPWHDPKDDPVSTNLIYQQVIRGIKYGEYRCDKDEELAAICAQQYYIDEGVMDVNKLENNLASYLPDLEIAGKDMALEKWTQTIMHQYRKKFTGRLPSQIEVKEDVVSIAKTKWPLLFSRFYEALKFAGPPLPKNEVIIAVNWTGVYVVDDREHVMLEFSFPEISTAYYGKGKRSTTDTCTIRSVVGDEYTFQSPNADDITNLIVLFLEGLKKRSRYLVAVKSQKGDEKNNFLEFEKGDLLILVNEFTGNTLLTESVVKGENSRTCLFGLIRAENVYVLPTLVKPSKNTLQIFPKDMDMSLDLFNNNKQFNVIDYNAEPFTLENFAEENFNSQVKRVGSQISLMTLRKKESQIESWRFSRDHIEHPLLKKLNGRDDACRGAVEIFAAIMKYMGDEPSKRSRLGTHLTDHIFKLPISMEALRDELYCQLVKQLTLNPSIMSEERGWELLWMATGLFAPSAALAKEISHFLKSRPHPIALDCQNRMQKLAKGGSRKYPPHLVEVEAIQHKTTQIFHKVFFPDSTDEAIEVDSATRARDFCHKIGYRLGLKSSDGFSLFVKIKDKVLAVPETEFFFDYVRSLSDWVHTNHAMQKDATMIPINYQVYFMRKLWYNFVPGADPQADIIFHYHQESQKYLLGYHKTTKNDVIELAALILRAMTKDSKNAPIAQIPQLLDDIVPKDSLKMYSASEWRKTIGNAYARVEHLKSDQAKIEFLNYICRWPTFGSAFFPVSQYSDLSLPDRLLLAINQTGVNIYHLDTKNLLVQYPFNVICNWTSGNTYFNMTVGNMLKGNEGKKLLLDTTVGYKMDDLLTSYISLLISNQNNHPSKHREVAL >CRE21557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:61036:67536:1 gene:WBGene00071051 transcript:CRE21557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aakg-4 MLKFFQKNRRRNSEPAAHVPPRFNIDSNTRQRGMLETTPVNVYGSSMDHGYESGPSIDHSRRSSFGIREISLPSRRRYTMQSRLQVQRANGDGGNRKTSYQYGMNAPGGQTMETDDDNLKPMPMTRRRMSVPENVFRRADFAIMRPCRIFSNEGAYEVLSAFDRHSDPYHTFMKSITCYDLQPTHSSLVVFDGKTKVKAAVHALSQHGHIAAVVTNTDKYQAECVFNMGDCLTAILLVASGNREVATMTLVEFLKEIGSGNIICSGVQNSVWEAANIISHNKISFIPIFDTIIPKPGTPLFFLTTRMILQETVLKLSDFGDAILLHVRQATLDQKKIGTWNGDVLKIGLNTTIEDTIKMMAEKKMSSIPVVNDFNQIVNMLARKDIILEIMSHQGGNFHDMLKEPVKILRSLQNPLVYGRTNFTVFETVAKLITSDKSCLPIIDEGKRILAVVSSRDILSYIQTAERTPTEDRSSSSNSSTSSMPKTAK >CRE21567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:40182:42822:-1 gene:WBGene00071052 transcript:CRE21567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21567 MSRKIYKARLEYFQGEESDMLKRGQDQASKLLEWYKERLLSVQKKARLLQQGSVSLDPAVHEQKLNFLRAHITELNRRILAVMETSDKGFPAHHNRTASNGPSNGANDDQLVWLHRQNQRLNQELAEKTQMIDQLKRENEMVKKTQIQRVERSVPILRPSAFVRPAYQVPTIINNNNHSKNPSPHKIYDTLM >CRE21556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:48722:51050:1 gene:WBGene00071053 transcript:CRE21556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21556 MRFMILLPTLIVLYFGVASTLPIADVRANVSISFPLVLPTKTIISDKSRAKIEKHVAKIIRDAQKAPFRYGKRSGISSEGVLVWSSDILNGRSGDYTYSSFRAPWYPKANSNYRYDTSRKEFFPTFSSFTGNSIVRFVENLLLRCKFGRGDRHLQMNQMKHLMNLSPPVLAGDKKAKVGKLLRMVELLENSQNSAPLKNINKFYRTSTFTRARPGKRSALLQKMQEVPKGFKEPAVFLSPSGHLKCLRKAPPPEHFAPPILSFSIFILPLQNLDSEGRPSTMNSCLILLFLGSVILADANLHPTEPSADWREQMMASTKPSLPDWIMNKLPGQKSRLPTGYFNTDDQMRRNELAYLEKMDRERGNSRAQKNEADEINMEKRRNLLVGRYGFRIGKRSDDENLNEDDYRELLSETEQQPEHRNFVQ >CRE21555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:47620:48054:1 gene:WBGene00071054 transcript:CRE21555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21555 MPRLMLFFCIALIANQGIALHQPVYDQDDDINVVQSAGGFHLVNEDRVISVEPVEARLSFERLRALPIMKKSIAIGRAGFRPGKRTVDIYDF >CRE21554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:46387:46939:1 gene:WBGene00071055 transcript:CRE21554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-23 description:CRE-NLP-23 protein [Source:UniProtKB/TrEMBL;Acc:E3NFN4] MKLAFLLVFMALLAISACAIDGEFKPFMEKRHWRPVNLHYAQPWESELELEETSDAKLDELCRNYIESIPRRSGRSGQDDSINTNDEEDESRELEGASGNFKLAKRLYINRDGFRPAKRSMAIGRAGMRPGKRGFVLAGLRGKKSLVPFEEIYSTDDDRVYSGNQ >CRE21552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:43233:43870:1 gene:WBGene00071056 transcript:CRE21552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-2 description:CRE-NLP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NFN2] MRATFVLLALLCAIYARAVPLQVYNSDETPSVDVVLLEGSPVQDVLNSDDDDEWKQEEEYTEGAMGKRSIALGRSGFRPGKRSTDMDNFHTIDVSGLIMKRSMAMGRLGLRPGKRSMAYGRQGFRPGKRSMAYGREGFRPGKRSMAYGRQGFRPGKRSADMMEVIPEHTPEIYIV >CRE21566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:28654:31521:-1 gene:WBGene00071057 transcript:CRE21566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-40 description:CRE-LGC-40 protein [Source:UniProtKB/TrEMBL;Acc:E3NFN0] MIRFLLTALCVANSFDSADLAFIPLTKKFLDKLKNETLYNKFATPTQYDDVPTNVTLSMFIEGMSSFSAQTMDYHLDVYFQEEWYDHRLAHNASAPILVRDLEVFKMMWHPDVYFANARSAAFQDITDDNFLVWVYPNGRVWYDARISIVSSCNMDLWKYPLDSQECALRILSYAYPMTVLRLLWSEKEDVPAIDRNPDITMPDMSLKHIRTGYCNGTYATGEWSCMTAIFYVEREMMHHVMQTYVPTALIVVISWFNFWLEIDSAPARVSLSITTLLTISTQANAVKLALPEVSYMKAIDVWMGSCMAFVFGVMIEFTICHYAKNLEMLRGDGQPSLIVDTALSTLFGAARDIDDLVRKVATLNEEEGVRGDGEQHIALNMLNPEEPRLRSLNQNNDVTANGTRYFVGAERMKRKRSKASLSRLRQQVASMRHATVDVSRRAFNWTRALRNLRGRRVAQRIDERCRIVFPMIFFLFNVAYWSFYLVFN >CRE21565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:24714:26494:-1 gene:WBGene00071058 transcript:CRE21565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21565 MQTAIRNTVIKSTGPFPENDTNTSGTTTSMDEDGASNDLRFLMCFQMSVLSDMEATNALSGSPFAVTTSFLSFIRKNQKKTHESRIKNFFFKKPKICMWVKCKKPLRSLYEMICHMHDIHYGKPATKCGWSRCHHKWFNDFFTFALHCCHHTEERPFMCQVEGCNKSYNTEKKLIVHTRNHTGERPFQCPIGECEKRFLRFEDIEKHKKNCHLIKSYVCYQCSKEYTNPTWLRKHIASVHGVKDCENRDGELLNKDRTVVMTSKEELIPPIVPIVNVVPRVVPVNILTLPIVPRVVPVKVLTPPIAPITQMVPRDVSLTALTPPIVPVANLIPRVVPVKVLTPPHVPVVEKPIFVPARYPVSYVPFSSSAFQSHIPRTLQSHPWHSTFMNIGQRQNTVFQLIQT >CRE21564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:3025:5797:-1 gene:WBGene00071059 transcript:CRE21564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21564 MDLTSQIFWSIVLPLFNEVAGGILGDWADFLVFLVSHQQNEGSIAVMETLLSDAMQMMETKIFEFRKECGNYCDLMNLTAEQEDKFEVLKRDLKQFKSIMNSMKHPGITYACSDSAKEWNHAYVDLLIDVCGAYESGLETNFEKLSQKHYSDFEKRDCDEETVALYRIAIFLLSRNKKEVLQKFPKLHDENFLRTEQLNEVRRARISFAQCADSILDHELLKKFIEAIASPPRDEASWAQYLFNIFSCMDLESNEVDSRILNDLRYGLEVFAKEQNTQDKEKQNKHIEKCLESTKRSLDAKYQNSPTFSPNNKVLIALIQMNFHHHRDLQTNKDALISSDWLRSVIDSMKKLGLKEYETFWYFLETIKKTSLGGNIAKDILEILNNWRKLSVRNKKLFDLIENEMKVKMSQSNKRSRAEEIEKKKNEWKRRRVENKMRKLEKDGLQKKRRTKKGMQFLEREDLQRRPSSSRRVNQEYQEQVHEDQNQVHDDQNQIHDDQEQVHPEMEMDLHNATNSSLVTITQERGELIMGLVILSRPRIQAMMKGFSDFPHPIRVMENEKAIQDFNDFLDKTTCRAVVQKLVEEIVDGNHVERYEYHGDTTSVPRDDQEEDVNQGITSESGDDLNEIKDDDNATCRDVIQKLIEKIADGNEVERDEIHEEETTLRSYEILEDELEQNAQDGSSNEGSAPQEALDKRSVPSDDQETDEDQEKIPESGEDQDESEDYDFFDDGIDLG >CRE20933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:213442:214637:1 gene:WBGene00071060 transcript:CRE20933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20933 MEEVKKVKAIDKRFKAIHEMETPRSKIDKGITTEDKLKRLEGLEQLNLPSTEDLESALRTLLTSEPFKLKTDQSPAKKQLKYASTRSSSDLEQDMKNPELTDDAPPLIRYYCTMDWSEFSTSDLISAAVDAVDDRITITERAVAPEGVFLFEALNENFIIDVIMHTKPGAYKASNLKRFSHQEERNDKRTMITPWFGRDPTQRAEKVEEIIKALTVRFKLPNPGFNDNYNAFMQNLHDLGCNNDKLRSAIKKNPTFLWSHLLNNTRCLPNTLPHLRKAVRYMLAISPSTAEVERGFSILFHIKSSRRSRMLLPTLNANLQLRIRGGGKGFFPHLYNHPDNYDKELKTLPAKEYYSPQFMTPSTKKEFDDWCEGGSLQNPF >CRE20932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:205745:206756:1 gene:WBGene00071061 transcript:CRE20932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20932 MRLSLCSKKAQLIVKHTFIKWKQRRSLGLILHGAQPSTVNYSEFPLLSIHDWPAIVNSTHIETVQIGVFTVPMDTSSKATYWVHKLEAIRSVLNYVMEVFNSEIQCFDIEDDVTSSELQWIFNWMTSRTTIFKAIYVNITQATTEDLNLFLQNVKVSDGLELRGSSNNCYPPYRFFQSVRRFINITNGFWLEKRHLDSLNCTTIYLSKTRFSSEDMNIYLRKWKEGKTFSRLKLCFIETTDVNVEKLLEGIDVTEVPLETVRKYKTQRGKYITIRGGFDITRHDGVVATVNIRRWPTANEFTWVVWPDWIHEIHGESIIG >CRE20931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:193172:202757:1 gene:WBGene00071062 transcript:CRE20931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20931 MSEILKINPSALHACIYYEFRRTKNVEKAFKNFCNTLGDDVIEYVDFEYWFYRFYHGNLDFDHDRSTDPKPLILTDLPTEMLCEIVGNLDIRNRKSPQKMSETLKINPSALHACVYYEFCRTKNVEKAFKNFCNTLGDDVIEYVDFEYWFYRFHNGYLDFDHDRSANPKPLILTDLPTEMLCEIVGNLDIRNRFNVRNVSRKFEPVVDKFKQGFANVSIQYIYIRREVEEVQFDLDNYSVYYEKGKQRPKRLHRHIPEGKNDYCFQSEFDEDDPRDLNIGLSALSAVLTNSKSPINHFRISTHHAETIDIIPNLIEALSEDVCPLFYAKRVDLSTRYSDHIIKLVSLFKLGVLEEIYLREFVPGDDTFAKLVETDQFKQLKSIEYSAGTPLSLQQIKRLSLLSTFHVHMNRVSAQDIVTLRDILSKFVNLESANISIRGFVNRSGIERALGIDHVDYGLISYRHEIPNSDKSLILNIDYSNVYLKRPTSSPPAMASSTSSNTDSSHDTFDLIRILQQMLREEFLDNPAIFDQNATHTNPTTNINYLLGETLMNEFANPYIGENRFGIIGQRNGGHGPQPNRPPQAIVKRGRENAKEIRMFLDGREPQPMLSPPPSEYPRLQTTPRRPHLPLNPRLQPFVFPPLNGVPIRVETSPLTPPPPPPVVILNHRDRKTSSTTPPSPRNNCCCFCYGTAKKRAEARNLPIPSKDDIGPWSTHRSITNDDVTCPTLQQVTCGLCGATGRRAHTTSHHRKSLAFRVN >CRE20943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:178908:179866:-1 gene:WBGene00071064 transcript:CRE20943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20943 MSSPFPLLRLPRLVLFDVFKSLDIGEKIKLSLCSKKISTEINNARLYSQKVIVDLDILRRKIRVRSEYNEDIFDIVNCYYIGISRDTQHYQIGGRTVPVISFTKGINKYWRSQREGFLSAIRHLLKMFQCKILTDSSIYNTDSQLEFNMLTIRPNGSKNQNLVWNQIFRELELVEDFSISSILPLDFKPVFTSWPQNITIMNSDWFTLEHLLACTCTRIRLLQSHLENNCLDEVLRKWKAGGFPNLEYLNIHSENIAFTGTTILGMHLMELDGMVIQTDDGSKKATVKAEFGNIGMSVTPSQ >CRE20929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:168372:171147:1 gene:WBGene00071065 transcript:CRE20929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20929 MSPSTIPTKEQILSTNKEAEPLLSFFDDLSDEEKMKLWKQLSTLNLSDARQWFIDSEEQRAPASAEDLKPIPHSHHFNQSEMHQAVLDELWNKGMDAIARGEVCAIVLAGGQATRLGSSQPKGTIPLGINAAYGDSLLGIQAAKIALLQALAGERDHQDPGKIHWAVMTSPGTEEATREHVQKLAHHHGFDFNEQITIFSQDEIAAYDENGDFLLGSKSSVVAAPNGNGGLYSAISAHLPRLRAKGIKYFHVYCVDNILCKVADPHFIGFAIANEADVATKCVPKQKGELVGSVCLDKGKPRVVEYSELGEKLAQQKTSDGKFLFGAGSIANHFFTMDFMDRVCSPSSRLPYHRAHKKISYVDKNGEIVKPEKPNGIKLEQFIFDVFELSERFFIWEVARNEEFSPLKNHQSVGTDCLSTCQRDLSNVNKMWLERAGANVTASKQVYLLSLVSFNGENLQELRHREISDEAIESDHTINKFFVH >CRE20928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:160701:166347:1 gene:WBGene00071066 transcript:CRE20928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20928 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N929] MARFGRAKLLIFLACSILTTASNGLKQSADIGNANYPPSSDEIHNDPQKMDRLYIPSGTYKVTNKDPHHRTYSEPHPSDSKSDRSRFRRDSNENFTSIVDRMEKISRVVNGISIQQGIINGTIKPDVLIAELLNFGSVSLSDIDGIKLDELSKVVQEIQSLSTTLAKDDGVTKMENRLKKISLFVRESNGVSELKEPSKEYFDALTAWKNLTVNWAAFDDLTSSCLSVLNVIKTFKSQSVSPSDMNSGISTLAYLVRTLATGNLDTYSATLKSDVLRKADSIFAPFISFQKSVASFRENEELLTYSQMKDNLLLKSLQDQLNALNDVVDGSNRNLPNFSMLQQLFIGRHHRNSGNILIHTNGFPNGFKDLEMVSDDLKDPWIEQAVDGQSESLAKALVQLRTLERDIESVDQTMGLKTNAETVSMTDIHKKVTMLSKLVHPFRDVAGKLLDIQNACPSVNVQPLSQDAFVILQNQIVEFTKKLKAIDHVIAVTHTLNTGKKTQLTAVSNTCKSLVVPNYAKTWDELKNSKDLKEVVDLILPLQASLGLIGKSASFEPLSNILQNYTTIATYVNQSKLFTSMLKNLQGIKELSQVDEVIDALGSHRDIKISSFDPVASKLEKVRPMLKDLQSSIGKMKGADSLEKKSLVEIRDVFKDSQNIGGATRVFRSMQVVKKKNQTVMTPAMEKLIQNQLKHVSQPQQDQLNQLLGLDKELTTLIAAIDKIETSVTPSPSTDLVSLWPIFSLANQAKGIPMDFLEISDTIDELSKDPNLKNHQKDLLKIKNDLDSLDSLGLDYSKHQTAIKETEESLKQLDLFFAAYEAKVTPIVPTVPTPKTLPPPTAQSSISGSAGNIDNEKHLENTIPDWVMYVIFVISLISVGVSGGFCWNRFDHYKSNRVFPIMINENLFEVYLADIVSKVVRFAAQYRANEPLSDVSPLWLIKKKSWFGNVQYMHANLIKYKNNFKLGLLQAPQLANAATGAKETVGMFYWAMKEQKATLIVCMVKIGIECCQYFPVKVGDKLTFADGNLVVTCKSLEEEHDGKIRMRTLEVKFKGCRSFTITHSHYDEWTNKLPVDLSPIMSIFEKMDKEKVVFMHCDFGIYRSGIMAQVLMNKTHLEMDRGRLAYGSAVNDVRKIRTGVIKNGVEFLDMVILTFKYFRTFVPDIKHFSKETKEHIFFSESAIAQYVRDLVHVDLDLMALNEMNQEKVEFMEKSKKEKIEKSKKEGKSEKKDGKKSEKKDGKKSEKKDGKKSEKKAKSEKKGEKSKKKVSVKDVKESKPAAKDPKKNQGRRKSKLPSCAPNPMDDLLCELSYYTNNPKISNDFKHDIITTDKFQKELEKKLDKTVDDDEDVPDLLPEEVENTQEITVEAPEEPKEGETKPKEGETKPKEEVKEKKKKKRKNKTITESVEYEPGKEYPVGTRFKRLDEEIYLDDFLTNILK >CRE20926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:144243:151116:1 gene:WBGene00071067 transcript:CRE20926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20926 MASSRPKRQPKPVKRDEFIAIRLDSQSDDDDFEEDEPTSSCSSSSPPSRSKRAYMKRVIQKKDEVIARKSLEYFTPEESQSIFHFILSLCRSKNPLREGRVAKRRVELNSRDLWEKCRELTGSSRDSHAHKLHFMHFCRKLHEYPNLTLEEKVDLYYALDIRVVDASLREKLIEKFEVEFNETGIITGSLLLHHWDIVHPDSENEEEEEEAESNKSMKFTEFDDGMMWQFIVDQIKTGEILTNSKKFWEEFRKKHEKKMGGHRVPETYKASSGHPDKRTHRQTFFLCRYFRILLPNLHRMPFDIETKAALYFHLEYAVPEEFRKELSDRVNVKLDEEGMISEYLSCPNPITLQSRPYKSIIGISSINKLSTLKPPNTSNDTRPYSTEEDGQMWQYILYRSNGKRINQKMNGWVFWKQFMSYVHTDRSWQSLSEHFVEDLMENIQYLPYDKKTKMELYFALNHPVEDEVLAEFESVASVVLTRTRSIKFAAGNGFSIGRRGRNGGDESGDEGIHLSEKTRRQNTNLFNQMHEMAKKRERIEATASNSDRFPYLSVFFEREMLEISEKL >CRE20925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:140509:141723:1 gene:WBGene00071068 transcript:CRE20925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20925 MPRPSKQNLVRFAAHTTESQKYLAENIGNFPKANQEIDFIYITPLAPWQGGVYERIVKLVKHQLMKEIGDLKLDFQGLRRVLAGVEAMINSRPLTPHPKRPNDMVALRPIDFQLPAALIDLPFNTKPFDPTQSKTEQRTRAHLDQYENVLERLWKGWSLGYLLHLRESKHKSKRCSSIQPKVGQVVIINTNLVRRQKWPLGLIVKICESKSGEIRSVIVKCKGKLYKRAVCQLIPLEIETLDNENCPKDQKDTDADPRYKSKPIPPSPAIFDIPNARYSPSYFTNSNDASHPDAVPQTAELPIIGEKVLDEEEIDYDLQDLEQEDDDSVISYQDPNASLAHRLDTIQQPILHPHRTRDYLPRKAKAPYVNYVHHVATHHLSFSGPPECCQFDTSRIQPANLTAP >CRE20941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:131866:133326:-1 gene:WBGene00071069 transcript:CRE20941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20941 MAYVESHYLELNSEQDSIDNVDAQQVENIQPLVDDSQKAMPKSDYCGFEGDGIPPVYRAQLPVSEKTKLSTAEPKSSYIYGKDEKEKSMSEENVLMENEDPIEVTRKTEMESEILHTSSHVTSSIATTSCLPHNQKRKLQMLRSNTLDPPPICLLVLKDNRKFCSICYQEITTRSVQDDALNQKINRHGKEEHGRKETQVNCSVIRETDDGKLIISYDRGNIFYFLSEKELEDEKKGKKVVRENKKEEKKEEKTSKHKKEKKKDEKSAKKVVEVKNINWGASGA >CRE20924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:121889:123089:1 gene:WBGene00071070 transcript:CRE20924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20924 MEKPNYQLYRNTTLGQALQKTLDDFVSEQIIPDSLSKKVMDSFDKSINRILPMKAKNKVNFRAEKLRAYRYCDNVWTFIVEQVDLKEAIDPNGPVNRLKIVACDGQTKGQIGGAGHA >CRE20923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:112709:121421:1 gene:WBGene00071072 transcript:CRE20923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20923 MDEDNHRIDESEIGEIIEIDEDAEMKYASDDEDQQSTSNADVPAEIIDDSLMSLQAHEQDCFTVALASNRWLATGGEDDVAFLFDQNVSESEPVLKVEHKDSVTQVLFNHSETLLATGDLSGKIMISDVATKKVRAEIDECNDLEWMRWHQTADILFAGDKDGILWMWLIGNKGIAQSKVYAGNGASCTVGCLLPDGKRIMCGYSDGIVRLWLLREETSTHLYFHSPITAIDHHVTQTVAIVGTQSGTVNVVNTASPDNVLKKISLLPPPGVKSTTITTAGTAAKEEGEEEDEDVANCVESLAMAPSHPWFAVGRNDGSMCIYEMDSNAPRSIYQSNQMMAIVKVIWSMEGNAPYVTTGSIDGTVRVFDARDSSLVKELHNGGDEVLDVLVIQSNPHRIMTAGSGGVVRVFDLN >CRE20940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:105452:111610:-1 gene:WBGene00071073 transcript:CRE20940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-epc-1 description:CRE-EPC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N922] MATASKAFRARAFDSNRSMTVYWGHELPDLAECSVGNRAVTQMPTGMEKEEEMESHLQDAILAQQASTSGVQVNHVIPTPKVDHVDHDRYHSTYQNRNLKRRDKYIKVHAWQQLERDEPEYDYDTEDEEWLADHQHIEPRILEKVFDTVESHSSETQIASEDSVINFHKALDSSIVYEVYEYWLAKRTSAATTSGCIGVGGLIPKVRTECRKDGQGAVNPYVAFRRRAEKMQTRKNRKNDEDSYEKILKLVHDMSKAQQLFDMTTRREKQKLALIDAESEILLKRMEMADFGLANAYNEFTEKIRAAEEEAAAAAAAAAATASKPLSEINGTTEDGGVPKKKKRIRRKVGDKDLVSKAWLKKNAESWNRVPTLFAQNGVAPTVTTKPVREPPADGKFAFKRRRGCVYRAALPSVNISIIASVSSATVAPAPISSAPSTTTVPSTPVVAIPPPPPPAPTQQPTAKLAEPNQKFYDTFLPTTSGKMRSIGFARRRMGRGGRVVFDRLPKSRGESFADPWAEYCVADSSRTFRARQSSLGTEEETEELSPKSSYFARSNRVTFNDDHTEREWFSRYQSSLWMDTVDEPSEKFDDLSKTPKTPVTAKNEVSSAMLVEKEESKMDSDDSEVERMDVDEEQEDEAQITVTSVTKELVINGKETTDDDGEGGDRLDSDDRKNEDDEEDIDVDEEKEDKVRHMNGGKLKPLQEHSPPHSGNGRADRAEPTPVPAKMCGTVSDSDDWREPSGSPSESNSSTDWGNFTRREQEQQQHVATAVVVAFNEKLMMKEEQRRNVDNDEDIDEEEDEEQRSPPAREHSIKEFLDVNGNTAGTEQKVHDAFDNRNIV >CRE15709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:178583:183941:1 gene:WBGene00071074 transcript:CRE15709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-20 description:CRE-NPP-20 protein [Source:UniProtKB/TrEMBL;Acc:E3NCB9] MTTIRQRIDTQHRDAIHDAQLNIYGNRLATCGSDRLVKIFEVRPNGQSYPLIELSGHNGPVWKVSWAHPKYGGLLASAAYDKKVIIWQEINGRWQKSYEWACHEASTTSVAFAPHQYGLWLASSSADGDIGILRYDSVTGQWQSSKIQKCHEQGVNSVSWAPGSADPTAKKRLVSAGNDKCAKIWVFQQTNGFWRKLLNGHTDFVREAAWCPVTNKGQHSIVSCGLEGNLVLYRNSNIETDEWKSKLLEKSPCPLYHASFSPCGSFLSVSGDDNMITIWRENLQGQWIKVPRENKEREGMTAAGQSNGSQR >CRE15720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:167869:174557:-1 gene:WBGene00071075 transcript:CRE15720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hxk-3 description:Hexokinase [Source:UniProtKB/TrEMBL;Acc:E3NCB8] MKVIPPEVEEYLQMFRLDRAKLQEISEKVEQQMKLGLAQTKGSSIAMLPSYVPALPDGTERGKYVAIDLSGKNLRIMLLTLDGTDPPKHNTVNYIVANHVMKGTGDQLFTFIVNCLQRFLQEFGLVDANLPIGFVFSYPCELLSIRSARLLWWTKGFDIKDCLQKDVVALLEEALEMNMSTKVSIKAVMNDTVGQLAAASHKYGPECTIGVVIGYGCNSSYLEKTSRITKFDAKARGYDHENMIVVTEWEEFGKHGELDDILTQFDREVDAASVHKGKQLIDKLCGALYIGEVVRKVLSQLCLDRVLFEGTPCEKLDEEESFPTKYISEILGEEEGVHKACRRICEELEVEMHGTVDYLIIREVCDVISRRGASIVAAAISALLRHLELSSVKIGVGGALIQFHPTYHQMLKEKLEELTPITMKFELVPADEGSCQGAALIAAVAERLQL >CRE15705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:144426:145087:1 gene:WBGene00071076 transcript:CRE15705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15705 MQQRKNEADDDPSDDETDNTQEVQSPNRNNFFVDSNNAQAPDVETSTVTIRTTWRQALLNQQEELTTSSAVTRKKKTTKKSTSRTTKSKKTTRAPSFTTTMSTTQKEKTRRTRSTTTTTVPENNSKTRGRPVRTSSTAKPITTPTFSTKKQKSTTTPKTTTVAPKTTSATRRRTTTKQKDTQVTEPPTKKRQTRPPQKPTKKTN >CRE15703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:130943:134746:1 gene:WBGene00071077 transcript:CRE15703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15703 MFYLNEVVKQKWTPYDYAASTFWWITGSFAIPLNCILIYLIMFKSPKSLQLYSIFLINTTLGDLVFSISCTLAQIRIIPNKWAFAYISLGPAGWIGGAQGGYWAYCVMLHSLFYMFLCFPISFGFRYWILIRPAPEQRSCIIMCFCLWLVAFAQHICFIFSESPPAEIVAYLKQNKPFYKVDHFFISGNHMINSPLTSVTLATIVLPMFPIYCLVIFFYKKVHNYLLHNKTTMSEGTEKGHRKLIQVLTIQASVPIFFVFPPITLYGLYHLEFIDVTVAEYLVYTLFSVIPLIQPIITMYYIKPYNHGFRRIFCRWMIRPIPTKSLTTVYGERETHYSSTRYD >CRE15716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:85274:103643:-1 gene:WBGene00071079 transcript:CRE15716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15716 MSTNNLYLSVPQMLSLGQRRSSDGYLNANDLLSQGGESLLDSAGLGTSQPNLKTQRRGTNPSIFDGHFMMDALRRNYEIATAVADFASPDHHQDRSHHHHHQPRHQKSSPSMVRGGPQLRTSSPRPLILQNHSKWKNDESSSISSADSALDELTSHTDHRRNHKWEWLRKEAKSFVDDVINAFETGTPGEQTTQQETEKLSAVQLRKDIKRFYSAVHPYLEVFSALYDIIMWKNPLSTLLLILVIIYSLYRGWLATLLLGLLIFQLSINYLSSVKNIDLGWMFLPRKQVIMPKFDISGAQLIFDIAKLAQKLLRFGTNILEKIESLFLWKNEKVTRVFFILSFYWLLWSLFFTAGTCIGWTVLAIGIRIFFTTYLFQRFPKLKTRFDTYGYFYRNLPIRSAITPRSQPVSGRSDRITVANVAAHHHELEERCPTPSSRSLQAYCGEKFESRLGSNFNLDQSAAVNLLLGPNHRNPVPHIPTHYDSHPHLDTLLDSPPLTAHSELSATVSLGGLTVAPSTPHEFGGPSTSRHPSKSSSVEEHDEEENEHTSECADPIIDNVIAFRSCVMNEKERLFPMGITPGILYLTDAALVFRPRNQSDDKEQLMMLFHDLKLVKKTQSLRSMSLITGTRKSLDMHMEGRRKPVQFIGLAKRDDFVTRIQLMCRNAGANVDFAE >CRE15702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:83583:84515:1 gene:WBGene00071080 transcript:CRE15702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-106 description:CRE-COL-106 protein [Source:UniProtKB/TrEMBL;Acc:E3NCA7] MGAFGTLACASATILTIGSLATMCLIVSDINGLYHDVMEESRTTRTVADDAWAELLRKNGAPEASRAAVQAMLFGRNKRNAQCNCGAKSQGCPAGPPGPRGPNGAPGQDGPDGLDGPDGAPGYASAIKENFGGACIACPAGPAGPPGPDGCAGEPGLDGEPGPDGKNGSPGAPGEQGPQGPAGHDGKPGRGGPRGPPGAKGQTYTPGAAGHPGNSGRRGPPGPAGPNGKNGKQGADGIPGAGGAPGQHGIPGLDGEPGAPGEDAVPGTDAAYCPCPTRSVAVEATPAQTEQIYKTH >CRE11487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:105309:107955:1 gene:WBGene00071082 transcript:CRE11487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11487 MNVDNYGWESSLSMVANEQTRTARPRHGGTIPILLRPVFMNAVEVGYSYAHCDRDKKLNVRGVTIALWHFICRGHQAIALLPYCFKNYAEKSSRYSELMMLYRLNLIEFTPGFGSEKYAEVNRIMVNRAYETGGCLVARSQMQGITDNKTNLVDVVEQRLLMPTFNGDDIMFPIDGPLGRNGPSLEQTLQCEQGSPDWRLCSEHQLLLSDQRHWLEKLALLVPEKVGWTRMVQIMQAGGGATEDRNEMENEPPMIPSPPLENPFQRPTLKHAQPLMLMNHENTPMAHHNAGPPTQDQYHHNHHFPTHHLPNPHQFYQHRRRFSAGGGPQHYHMTHVNPHHPHNIGGGGMGAGRPSLNRARMTEQEMKHERRRVLKHSAATDSVVSTDSTQSGEQESPTPSIDASQGEFPARQDIENLENEEVEQKRKDEILAQLSQIFGYSKSIRVMSKFPSIHEFPLLVEKCIHEPDQDGDHQEFHDTLAHPTSSGDNQEDVLVTEAASEVIAEVDDLIDFSDEPLPVLNSSTDQDNGEINSNDAMQQIIDLMF >CRE11485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:101439:102308:1 gene:WBGene00071083 transcript:CRE11485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11485 MTTITVTANTFGEEEEDDEQVEQQEPEQLVLRLRAPQPPPSPPHVTWAADVVDNEHMGRLKSNCCCIYVPPRRWDDPSTWEPDEHETEHCRGHTLPEKKKKNSSGGGDEDKEDEGEEKKKKEGGCGCDHC >CRE11484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:100321:101219:1 gene:WBGene00071084 transcript:CRE11484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11484 MTGYACNQQSMYQGCNCHSLCQPYTDPHEMYYHHGYGCQGHCSGGCGEEGEVDECGMKKKKRKHKKKKKNKKKFRFSEEEEASVVDNESYKKKKPVAEDDDDELPLPPTSEEEAAAARNSKKEDKDWEDDEKWERKFVSRTTTPAPRPAAPSGGLGGLGLGNGGLFGISSGIGVGVPGVGPIGVSSGLGIG >CRE11483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:95649:97648:1 gene:WBGene00071085 transcript:CRE11483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11483 MTICKLLLLCTVFGAVAATWSMSGYACNQPQLYSQCNCPSFCSSYMQQPQYSPCGYSNGCSGGGGGYYPRRRHHHRKHKKPRRKFRFDSDEDEDMALPPRKQVWRVLSEEQGFIEEKSSRVTSGGGASGKTDSSWENEDLWEKKFVTERTRTTPEEKLDSEEFPEITSEEIAESERRMRMRTSTMPPQVVQPQPQPMGGGGLGGLGLGNGGLFGISSGVGVGVPGVGPIGVSSGLGIGK >CRE11482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:91299:94535:1 gene:WBGene00071087 transcript:CRE11482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11482 MESDSKDVVKTGSDGQLKDDLKGPSKSKRSNRRKKSELPPILLPKFPSQKQVKKNNSDDKMERREDDESTPMCPSDKAIEDGDDMEKPPDEQEEEQIDDTQENAPPEQPIVEENPKKPAPEKPPIASDKKVRTDRSQMKPRVKEGLSGRSEDRKTRKLAPPTDKADSKRRSGLNKRPSAEDLFSEEGKKSYRRSKKINTKKRTIQQKHPPDPQNVNQAASPDGKRERSLIMAVPERSMDEKGRKAAATGAQPISQTPPGHTPTLPPPIQTPPPPGGFPPLKKEQKDQGSGGQRSNMGMLSSKGFDPAAKKRKKRGFLKNVYKKTKFWGRKSDCVADPTTEQLGKSYVPPEYDMKNKADFLPDIRKWFSVYKDDQLAMRLKMNPCTTEKEIFLNNRPFWLTPQPGDEAPPKVRIQLHRPLKLLYAKNSLDLLPKLTRTEEGFFDPKTAELRVLKQIDKMIGVEDADSARLKERDREAKEKAKEKELKRITTNTFYSTIMFEEEKLRYDEKKKELDPNQGIKETDPPKPPPNEPRVWWKPHVEPSNEEKKKLKPGEYIQKLNIYDRKGRPTPVLKPKENPNHIPNHIPNHIPNPNPNPNLKEKPTNIIKENQKEDPKDKDPKRKFKEVSYTMGKARILRKPTVTPTQSPSGTSVSTKSATVSSSMEDNKK >CRE11480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:87158:90062:1 gene:WBGene00071088 transcript:CRE11480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11480 MTEKQEITFDCKICNDDFDDETPDLIPRILTNCGHTICDKCANQMLIKSRIICPFDRKVTVLVGGEFLLSVSKSYFTIFTDHASGLPKNFFLVGLIQERKKLTEYTEYVPKPGDFERKKETCIENPCFQNYKHKAVFFCEQCDEDFCESCFISLHRPKTFATHKKTLITEKPLKLPQCPEHPYNIADLWCKDSNCKVVEKRMCHTCLLAGEHKSHEYELLRDRIRSNVEKLKTEIKKSKEHIETYKAKKRRLENCMETYNEKNPLYLELVQMISNQFEVKKVNALEQLRLFSLRRQKWFLKDIDFTKDKIEGFENTISEAVKKLRKNLHEVANLNLPEKLPTFDLLELKDFHYSLTKEMELTISIKGNKILTFDCKICNDDFDDETPDLIPRILTNCGHTICDKCANRLLSQSEIVCPFDRKITVLVGCDASGLAKNFFLVGQIQERKKCAESEYVRKSDDSETDEEKCIENPCFENSNHEAVFFCEQCDEDFCERFRLST >CRE11479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:79340:86397:1 gene:WBGene00071089 transcript:CRE11479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plx-2 description:CRE-PLX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NEQ6] MLLVRSALLLLISPILWARAADAAAASLEVSEGVKQKIFHSAGHIDDFIVSRDQQTIYVASVNRITSLHLSNFSIQNEVSLGPVQDSPWCSADGKSCLKDNRPFSTDVHTKILEILPSDQLLQCGSVKLGSCSTYNSKLSLLTESSIPVAANSPDASTVSQIIDNRLIVAASPTKESPYRDPFPAVAIRNLPGLNVENAGDLEGEAAVFLRAAYRSSFRFLHTFSHQHFIFVVATVTPRETRLPVTTRLIRFCRNDTKFVSYSEIELQCRGEDNTNYPIINAVVQTGDKLIASFATSPTSRKSSICVFSMQKVKLTFWYNVDRCRSGTDSIKLPHIGRDTKCVNVSIERWDQFQVSLIFQKAHIPLDEDSCELGVGGSIELVEMATKEIHARVTSLMAVDQKSIFAGTSSSQIVMLKWDEQNTNKLEEYGRNEVGDGRTGSEISKMVRFGDFVLVQMPYGIIMEELSTCSHHDSCSECLVSVDPLCQWCHPTQSCTTSTRCTGPVDLQQLLTDAFQTTQCPIVDGDPIPSMVSVNSSTSIAFNIHHLPPPVGFTYKCQFGMKSHSKSTKANWTSSGISCPSEVFGSPKTFEISLMTSISKNPISRHNFTVYDCSGYGTCSTCMSSDFGCEWCSGTHKCSNSCGSTPAKACVKIQPMKVPIAIGSQQEIVLEALNLDTLDKKTEHFCKVNGQVAPAKIASDSIRCGKLKLASENQTSANMVVPLSLMAKDVVVDIANVSLYSCSNLAADCSSCLALSPSLSCGWCNRKCSHECHEAKATAVCDPPRIDRFEPSSGPIEGGTIIKIYGNDLGMSVEDVRGKVYVAGSRCNIVEYHVSNMIACQVDKGVSSGPIRISVGRATMAVAESTDLFSFVRISIFSAYPLYESVKWISDKCDSRREPLSDRQGESSAVLTCLTPPGSNVGKSAKVVVHVDHSQIQLDQPFEYRSDPSVNGIFPLSSFKAGGRIISVHGSSLNTVQSARMFLISSPTPPFDVISDLAPCHIINSTLMTCMTPKILETITRRVEYTRQPVGFLMDNVTAVANLGRRIQMGVYPNPSLSPFKGIRYHQGEQSLILEGHNLNLAAEPNDFKIFIGSERCYVTLVDVRQLVCSGPIKQPKATDERGVPINGDNPLVTVIVGSLRMELGLIEYSDHALPSRLSLLILGLCLFIVITLIIMCLIFKRRRQEREKEYRKIQLQMENLENNVRKECKQAFAELQTNLVLSPKSTGTITSPELINFPHFVENLLWADNNLNSAPSLARTLPVTLAQFHALLSFKGFIFTVVDAAESDVSISTSEKSTLASLLISVLLRNFSYCTEIVVDLLRAHIAKSVQAKRAELLFRNSDSVVEKMFSKWMSICLYGHLTPQLNSYFYLYKALQYQTDKGPVDAVTGDARYTINEAKLLRESVETKTLKINIIPFEKCDESIQLEVHACDAICQLKQKVASAVYKETPYSQRPRITQFELSRYFNWTLLLTILIPEFKCPRRGDVKLTDVSAVETLSQKKLPVKLLTLADYGIQDGSTLEMSPATYTAESYRNSLADSGQSSWSSLDRCSPIYSSSKYYHLTNPTSGTMTFKKKTSPSEIPKSIPEVYLTRLLTSKGTVETYVEDFLESVLYMHDSTYPPILKFFFDILDREASINGVSENICQQWKANGYVLRVWANFVRNPQLVFDVPHSVSMDANLSTVAQTMMDCFSFSEPVLGAHSPSSRLLFAKDVARLRPLSVDLFKRVKNSPPLGMDELRTELVNMANDVSTCKGSSLALSELLSWVRGNGIRISQLLSSNEETSQQRLPQKLSQVLHVCLETDNHIYSTISDYE >CRE11478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:58112:62239:1 gene:WBGene00071090 transcript:CRE11478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11478 MCIPRPSRRLRLCNVCVSLDCLYASSCPPGASFWTPSRTLSFSRFLSSAIATSSRCLQFNYPKIDYFTIVPGQDMQLDCRSVASRRNEKYQHQIQKNREAADRSRNSYRFERMMSNRLKSIDETLRSIESRISAEVEQRVVTAVQEIINSDLIRDVIDQRVREIIGTNTPATPAPSPSSSSSPSSSTSSSNTSGTSSDSEESSSTISCASSTCAEPPTSTVAEDDTASCSGIAEPIPSVNAPHYPSKWEFVTCLNQGEVTDFRLDQFTDPVRNSLKMTSDQDIFPRMSLEEMIERDHPTTSDSSLFDEKKISCKNRPQGKTLTDEEFFCLAPCCSDMRLFQAASCPFLPAFVRNRLNKTEDCKVIVQRTANGVELITPMKWQRPKPIVPDLCDLMAGVSMEEEEAVDETEEEEDPLSNRDVVEQLMHEYFIPTPSVPLDDFVFSPYLERVRDTSETVPKNLLHDLVRRVNSNLDNSYKSHHELELFAELDKVPIDEKYGRLPFDSMGN >CRE11489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:48955:54656:-1 gene:WBGene00071091 transcript:CRE11489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smg-8 description:CRE-SMG-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NEQ4] MNVSEWLEQSKTVYKAQLDTKIKVIGIIGKDYPDHGKGDNINCYLRENVFPVTTGENETCSISAHFSDDEQILFLVMNGADDVANIRKCLNSENRKTTNYFDAMAESESQEMRMLHFLFISCHFIIIFEQTSRIDLEFMRFLKKVNSLRLQLRKKVNKLLVSSGLRDVSFNNRTMTNSESEGRMVIPRLLVAFQRNNIRPDVNVNKKRSARNFAKLFKQELYEKLEKNLDNQLTDILKLYDLIDCGANSLCQLNETIPIVHLLNPNIVKRDIVSEMFEIFMAEAENTKLTGNNHKLASNNSFVKFLEDNFRSERNEITLENVIKVMTTLQCVLDGSLEENPDSTVTQMQSFIKRIQTDHLEEARRLYTNEKRPISGERRGFRMTDMEVTEPVRIRSKEEHQMRFNEATLYIESVVGVNSPQILSEMQAQCNEMWQSDLRACESISMMGHPCIKKVHPTYGDQTAPESRWTSHDASNTSVGTCICGKKQMVRQEPFSVKEANCDFYENPEFKCCKRLWRYQFQLYQEDTEEKDDIMWADRESNSLRAAKKMAQREDELAEETIDDLDIPESLRESESSSEFTDSDEDEDIRVQSASDSLSDTDSFIRPNSRREELTSSAKTERDLAVEHAKRMQKLEKSGKMDEFLMGVPNSLTAGKLPIFPSFFLTCLGDSSIYKHAGGLKDQPNFKMGGEYLSPAVVFLDVNPDVWARDVNKMRSEDSSRKCGKEQKDDVPRVKLFVGFEYECSRGHRFFVDHRGEPIIYSKGSSIIRESTHRASLGDVLDSDLPLRRPCTCRKLPLKSAQLQKIHVVTPKAPVHISINPKMLVPGHEGVYGTGQEPLELHHSKYYILHLPVVYSGPSGTWMPEEYSSDKQGTLRKGAIKVVYKPVLSFRW >CRE11488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:17048:20109:-1 gene:WBGene00071092 transcript:CRE11488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dmsr-6 MISLFLFSSFFSCPCHIISKGKSVGSTHSEHVFLTVLAPFSPCFFLIFQLLTVREWILDSEYFSKIILKKSHTFLTFPLPPNCPVLYQLFCTFSAPSHLFFSLFLQKKLIFHYFFADMECNDSRLFDTTNNVTYFVIEQFYRFRYLYSNVHPFLSFILCVLGLLANGVHILVLTRPRMRHSSVHTVLVCIAISDMGTMTSYLMYITRFEFMTDKEGYSYFWALFLKCHAMLSIALHAITLYLVVLMAFIRLSAMKLTTSRWLDHTRALTSAIFIALFVFVMCVPTLLAHQIDETNRELTVNGMYYKYSVGFSTLMMQNGCLLMKANLWLTGICLKAVPCLLLLTFTIALIHRLRENNEKRKILIKEERAKKRGDFTTYMLLLMVTVFLFTELPQGIMAILNALFTTQFHQMVYLNLADVLDLLSLINCYVAFLVYSFTSSRYRQTLFSLLPLTRVSYSGISTRQGTLKSHPNPGAKTLVQRANSVEVASVRSPLFDKSAATTRPNSAQPTDF >CRE24251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:108146:109605:-1 gene:WBGene00071095 transcript:CRE24251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24251 MNRKLKTLAEWQVLQQKMVLLENRQVEQDKAIQWMKQEETHKVLAKLKRRNEKLEEENKYIKEVRRQQEEWYHQLCETTQTIKKLKENKKMEGLERERRKSRALCRALRKLMREIKTLAEWQVLQHKMVLLENRQAEQDKAIQWMKQEETHKVLAKLKRRNEKLRRRLEKEKDCPEEFSRRQKELHRQALEMIEKLENMKKNKNMKEYYREKSNARALCSEIRKLLSETEAVIARSGE >CRE24245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:100437:107503:1 gene:WBGene00071096 transcript:CRE24245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24245 MSKFLEINKRALVPGYLHRKSMFYILEEFQGNLQTFGGYPYGCSYGKEEECAQLLMNAAKNRLRMYGSAREFAENLKIYGSFSGNDLFFDLDEFPYQTNAIVYRSLKNDEEYICNLDLFVILQNILLGISENPPMLLAAALDYYLKNVEEEEEVNENSLKFVRFDEKIFEGIEKDLREMIAERRPNEPEHKLFTSEFPEIKSLVDVIEKRQELFHPTVKVVRLFEDGDQKFVMKAEIDYILNKTKDDTEIRFLHTMGMEEAERTLGKNTFEFIRCPIQRAKHRAVPIKGCGGGKQESNFYILAVDALFEYLRSVIFGAKILQKMSNFDEFSRVLSENLKNVFTVECKSPYFIRMSVLNGLSAKLPSEVEKLPAKEVRNAKCDGFTLQNLKNELKHLGLTEALPEIQDYAEVVYDHVDRVKAEEYLRTCDLFDAIEQCQLICIFNRIPNLKKFLHNQNGCGRVVGLKCDECEKEKKTPEVQISSQTQDPSKASIYSQICEEMMEIKKELEDLKNNYEKVVESEAKLKEELTLEKEKNRETILTLKAENAANERVIQQLLDKLTPISSNEDRQNAVEEASIWSGFPIWKPSNPSV >CRE24243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:93543:95706:1 gene:WBGene00071098 transcript:CRE24243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24243 MTKYIEVPDFEDVFKTAMTLFNEEKRPELIAQHPDLTEDKILKELRKLWKKATKEEKAPFDREATRQDSYRKRVYGHIKLPLTAYKIWFKENKEEYMRRDPGVKNRTLQKRMEVDWGYMSHEEKEPYFEQESIQRAEHTEACRKHKEHLIKSRAVIVESLDINEMADELNSLWEGIPEEERLRYMEESAEFEKENPYPTRQRKRKRPADVPPQSSKSSETRETPAPALVPAPFSLLTSLLSAEVPQASKFSETPVPAPVPFSLLANLLSNNNQPVDLEDIQPTSMAQQSSYPSAPTPHNYGYHPQNADMAWQQQSLSSESLSAPAPSDDAYQPQNPGFYWNSEPQITPVPYTLRVPLHNIEPSFIPTNGYAISQVSYVPLGNTNQPVPSEPATIHYDPSEPVPLENVEPTFMANQCTRNPPTHHTPLTSTSQPVFNNIQPSFEPRRHFSPPATPALHFTPLVSTNQPTPISDYCTSQTSSQPGPIPFVPLVSTYQSVPLHNDFSWKQQQPPSSSEHAAPVPNALRIQDDNLENLENIQPSFAPTDDFPWENALQTIL >CRE24249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:74709:78403:-1 gene:WBGene00071099 transcript:CRE24249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24249 MGEEGKQGNLVETRRFGEEITDPSRETQSHNEYTNLGEFQEQTHVPVAHSIDSIINDHPSITNHMPHYNIYDDYTTIIQSGTSNYSKPTIQSFGFSTYPSTDSTSVTSTSSDCSSGRNSSSPPSIISSTTIDPSVTPNINLSFGRGSVDLTLQEYETVQERIQRNGTYGHTRPPYSYVSLISMAIKKSPTGQLTLAEIYQWIMDVFPFYHNYPQKWQNSVRHSLSFNDCFVKVPRTPKNPGKGCYWTLHKSCGEMFGNGCYQRRQKIFKVKEPKEPKKKTSQQRQVVSKVMLEDKKDTAAAGTVSNDSAETWNSTNNNQVTSSVDTYGDTQMNFDGHYPPSPYEYNDLNTVFYDSPSTDVNDYTGYQETIYPNSPSTL >CRE24241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:67337:69445:1 gene:WBGene00071100 transcript:CRE24241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24241 MSNQVQVSLKEEQDRLWKLFHSHRNEMIVTKSGRKMFPKLDYVIRGLNQNKLYAMMLHIEQSDDCRYKFSSGKWMKSGKAEQHKEPKKLWHPDGVRSGKDWMANPICFDSVKITNSVDSSNASMIFLHSMHIYTPVLSVYESQSETPMGIPQPSTRLVTSVRLDYTEFIAVTAYQNDALIKLKIQFNPFAKGFREGNQGDRKRSSPSADDSTTDESSS >CRE24238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:39336:52551:1 gene:WBGene00071101 transcript:CRE24238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-amx-1 description:CRE-AMX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFT4] MEAKKKVEIKDEEDVKMEEDGSESEEDEEKPVKNTRIKRACAIATEVLKEAKKIRKSNSEIQENCVLQLGNCKISASYGHRLSKTEACCSSCFSTTFRGRDYEPDFLIWKQKAIDGQTYVRNEQFAKRYINSCFLPYYKKCQSCGKYSEFQSSETFKPHDFVNFQCENDCDTTLESEDVRRVRRDSGWCFNEFGQPPLLQNNISYDLLVDHYVTRTTGMDATCQEGAELIDDGGVAFRDTKKIMNMFYVPFTDVIANIVHPEFMETDEKFAFPKFADDPISIYYLQVRNTIIAMWLKHPFVEVTQKMVESQIIVRGHARIFFIEHLIQPILEFLTIKGIVNYGAFDFRIDPLNGNVPKVAIIGAGISGISTARHLQHLGVNAVLFEAKERHGGRMNDDRTLGVPVGKGAQIIVGNINNPITLLCEQIGIRYRNSTFFCPLIDETGRCFTLEHKELDDQVDLHYNNVLDAIRNKYQSDRNFPDVTLEEMFSKMSSGLLSAADLDHLYTPEFEKLLDFHLGNLEFSCGTAVENLSAKEYDHNEKFGNFAGEHAVILDGAQTIIEYLARGLDIRLNSPIKQIDWKSEEKRVKLVFETGETETFDKVVVTTSLAVLKSLDT >CRE22149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:59829:60861:1 gene:WBGene00071102 transcript:CRE22149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22149 MAPPSRHRSSILNLIKEGVLPVDIIKRLVVLSKTVYDLISRFKKLGTFLDRRGRERKATVVTPDRIKAVNQGIGRIAHRSIRKMAKGMKISRRLLGSIVKDKLKLICYRERKAAILSEATTKKRLERSKKLLQRTLNGEHLVTVFFDEKLFTVQAEFNPQNHRILAETSEEDFANGKAIHQGSHPASVMVFGAVCADGKSPLLFVDQGVKINKKSTFRRPQSFGLFHLGVLQNKVNAKPHSSIEALKKTLVKEWDALSPDYLHATIDAYPRRLRAVIEKRGGRMEQD >CRE24237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:28271:37833:1 gene:WBGene00071103 transcript:CRE24237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-27 description:CRE-DPY-27 protein [Source:UniProtKB/TrEMBL;Acc:E3NFT3] MVSQSSSKRRAVDDPEHQGKPLAVPIEDPADDEMIPTSGPVIKLDAEHRKRLMKRLNIHDDVVPLQNAEEAYRLQTEAKDFDENEDLLNVYVPPKYEDLMGGADDRRMIIKDIYVDNFKSYRGRHQIGPFHKNLTMILGPNGSGKSNVIDAILFVFGFRAQKIRTKKLSALIHSSDECKSALVEIHFQQVQDINEEQYFVAPNKSFIIARSVQRDEKSQYFLNGDVVPQKRIQELLRSCGIDTSHNRFLILQGEVEAIAQMKPTSKNQNEEGMLEYIEDIVGTNRYVVPIKKLIHRVAMLEYKSSQYTAACRRHDDLRSRFVTALDNAIEYINTKNHLNSERGFINKIEQHQHKELLADAEEALKAREAELDVQKEKLMETKKEADVKSLEVNAARQIVQDQTTERIDLQQTFVHLEESYVERQALIKACNVELNRLFEQQDKVRREHEELKGIPEKARKLLGNMRTELDELIGQKDLVMSEMTRNLERAEEKSKPEKMKCEKAEKEYSDCNTALMNTTAEVSILEDELKSMRLHDGEGKKKLDELVEKRNNMVTQLEKEQAEVTKIRPEYDAAKKKLDAAQQQLVVCEQNRDLQLTRYAQMEVELEEFNHADKKSSVYQHASTAALQKLKDEGKFPGFVGRLGDLARIPKKYDATISTNFGACLDYHVVKTAEDAKLGVNLSNEHKLLRSTFFQLDYMTPECYKHINEPKHKYPAERLADQIQCDNLDIRRAFYFQLGNILVVKNLEEAQRLSNKYVGKYRYCTLEGSLLNRDGSLTGGGKPSTNKMNTTEGSASSNAEKRNYIQKLRTQSDSLKRDLETGLTKIQQLESTIHKSAPIVKRLEPKIRQLDDSIEELNEKLPALQSEVDTLTKRIALASVHEVTKEDMEAKRRELAALQQKMVQQKKAMEQAKVIVNRSTAKIERMFIELVDKEKDKRDQLASRIRGLEEAMAREQSMADNNPVQLEAKTMELEEVDELVSLRVDESKRLMTAERDRPPEDLIAMMKRIEELWDAARCSQITLVAIEEEYNVYLENYKRVTGDHRIAEYTQQASQKVYDDLEDHVAKLVDEQERIEDSWMTPETLDPVANYVHENDPDMHDRLDEQYIILPTEVTNRLLDFKTRYEKFSGDPTSIEKRVCEIQHNMEHLERHAERIRQSCDEKGITQYCMLYSLQLNEVNASNASNASLRAHRKKLNSLKHARLSEFNEALVFLGTTTQMLYQMITNGGDASLKFVEEGKSTDPFEGGVKFSVRPAKKSWKLIENLSGGEKTLASLCFVFAMHHFRATPLYVMDEIDAALDLNNVRLIASYIKNSERTRNAQFIIISLRNQMFEVGNRLIGIYKVNGCTHNVVINPDSVENGFKKNIKKLEVGISGVRKAKQDEELEIERRMRESFERVVRIEPTPTASPASSMPPPKPRRKRPLGNLALADFGVDSEEEENAENECPRLMRMSASVERQVTVYTYLELVEQEQRERQQMREQGGTESSSEDQREQSEMSTSTRHSYQEFDEEGDEPITIRKKKKIADDDDDDEFYDDEEEDDEEAEPIDEGVEELSVGRALPSKRRR >CRE24247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:681:10193:-1 gene:WBGene00071104 transcript:CRE24247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24247 MERQSLKLLFLPMNRAKEESTVLQSNTVRISSTRKLSCSSLSSTTTPTKQQNQNQRFVGGGSAASGIRTLFTNHGVSSPHPLSSPLSPRKNIFRSSKMSVTEPADSGSGRQAQQTLQFQLIDNDEHGDDDVDGANSSSLSPERRHQIPDSAPFRVQVTNISSQHVEEEIIYYFGGDKLIKTIHFRKEGEKAEIEYFSKEGLLHAQTRDEKEFKGRILKVFVRQNRESVGRVTSRVSGDYTRQYESADSHFSGSGRNYSRQNNRNHYNSQSSLNSDRHSQSGRFEKGNRNNHYGTMPAGGYHDRRGGGNRNGGYNNDSSRYNNNDNNRSFRAPRNPNYERQHSGPNYNRYNDNENNHGGGPLQRSGSYQHNSTSNDCRYSTAQYQSQPPASISARSRTESHNTYNDNGFSRTSSRMSVATTTNQEDHPTTVKKPTTNPFGDAKPVDTQARLLELEKRHAEKSKSTTTTTSNSDENSGGDHENTAPPPAAAAPISDSQMTRSSTSSHKSYHGAYQGHHHKPYSGGTKTAGAAPAPQQTTHHQTTYEQGAPPGSVVIKKRESIDVEKMKDADEVPPVDPIQYPTADNKKMSTASESAQSEMNKSTSSELPPPHPSSSGTSSRGGGGRGKHRATMSTYTARGHHHHHHQLQKSVTMGQIEKTSTTSSGAAEASGDTGDVVTKTSHHQKRRYQDRKEANRRSSISGESESAKTEKSTMSTSAPSHRGALRGGRGGAARGVARGGKARLDYNGSHSYNRKEEDVTTTTTEQKKPEEIQNQQKTSAAAVVKVTSSSATKTTPVEGGQTVRVRDCWGNWKVLARGAKPSVVKDTPTIYTITNSRGVKALTCSLECYQQNQQQVSFLIYGRIIAVVLW >CRE13681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:93387:97934:-1 gene:WBGene00071105 transcript:CRE13681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13681 MREIIKTGIPIPTVPLLIRVIKTTKYTPSSILVSFHILSLLQIRKLRKSQCVEIRADGRSPLKNLYKKNQEKVHHLSLLIIMIIQSPFPFFLSFLSLITTTNAYNILVYSPSFGGSHTNFMARLADTLTEAGHNVTFLIPVADEARKGQLGVKLTKDVVLVEQDAEMRRHVKPIDDDMEQFWTTDMDSSNADTIFNVFTDSMTLSCENFMRNREIFDQMKSRHFDVGIFEPLSVCGLGFMHALGIDKVIMASSCTHYDGTVAAIGEPLDFSYVPGMMSKSGEKMSLFERLENYKMSMASYRMQYNMWDKEISIVQKAYGASIPDWRDLMPASSVFFTNSIPYVDFPRTVTQKTVPIGGISVDMAAIRDHKLSIEWSTVLDERPYNMLISFGSMVRSMDMPIEWRNGLLEAIKSEPNVTFIWKYEADELEWAQGVSNIHFSRWVPQTALLNDDRLSAFMTHGGLGSTNELAHLGKAALMIPVFADQNRNARMLERHGGVKVIEKYELADKHKIRSAIQSILHDKQYKEKAERLAHLLINQPMKPKEQVVKYTEFVARFGPFPQMDSHGRKLSFIQRNLVDVYSIIGFSYLIAFSFVFYVSKFVFDRIPVKFVKKD >CRE13680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:89526:91671:-1 gene:WBGene00071106 transcript:CRE13680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13680 MSSAFPIFSLPFIPLKQVLDSFGSHAIINISLCSLRSKAVAISYRGPSKDVQLELGNYYLQRYEKMTICFLLNVKETSKMRKDRILDTVRIGDFRNVPVEMEMGGKVLTTYWDDRMIGLLEIANYAREIFNRDIYEINLGDEEDLGQAVEWIRSVQGSIKSLHCGFEPTNDSVLDYVLTNCKYTESLSLHVKPSDGYCPAKMPNFDIDELYLLPSFWIKQNHLLTMNCKYIVLQDSKLSNQDINVLVQHWMNGGCVKLKELLITVEVLIDYEEILEAVEFTAVTGDNLRDYVNHEDVLLSIGSSFDIKRSTDGTTATIDEDGVDSQWFHMVVWPDFVGNSCH >CRE13658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:65457:80358:1 gene:WBGene00071109 transcript:CRE13658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-502 description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:E3N7I5] MEQNELLQQLVDPRSPINVECLMDTMLAVVADCKIPVLMRMKPIDNFVNRYDEVAQKLIKMRMKVSDFKLLKVIGRGAFGEVHLVRQKENKKVYAMKTLSKDDMLKIQEKHSKSSIFSDFQLSRLYFQCFYLIILQNSPFFFQIKRADSAFYWEERYIMAHANSEWIVKLAYAFQDQRHLYMVMDYMPGGDLVNLMTSYEVSEKWTRFYTAELVEALAVLHQMGYIHRDVKPDNMLISHSGHIKLADFGTCVKMNANGVVRCSTAVGTPDYISPEVLRNQGQDSEFGKEVDWWSVGVFIYEMLVGETPFYAEALVSTYTNIMNHKTSLKFPDEPLISTQAKDIIKKFLSAAPERLGKNSVDEIRSHKFFQNDEWTFDTLRDATPPIIPSLKSDDDTTHFEEIETRDRDNAGDFQLPKTFNGNQLPFIGFTYSNEYSPVKCLLQNMGGAASKLETIQEEAIVEKQSLLTNGTHIVKTGIPDEQYEEVVMELDMKKREMEMLKESIARNEIRAKFIETEKNSLTTKIAELERELRENKDKLRHGADSDVKVNELSVELRMSKEYNVEMENELAKFRDKCEQLKEDLRKKAGELAQEKNETQSAIQLKMNVYEVVAELKRDYELLQAREAEKASLLKKASDERKENGAYQQSVAKATDAEWERKMQYYEKQLEQASDDRKREEQKRTAAEFDQSRVARKLAGIETNYELLQNDYKALKEAKKDLERDLVEVHAEKRRLEIRVEQLMDSRNTDERVLSLCQDELVESQEEAKYKEDGLRGKLDGIRNELENERMKAQTLEENLLVADKERGMLKMEVQELMHRHKWEMSNKDQTMKHIENQLEELKEQSRLEATEEESNDKKTIADLNKKLELERAHKKAVINKLEEEMAKRQPLKKGDKGVTKSALIKKEREIMSLQQEKDVMTKRITTLYNEIERQAEHFNIQIQDMQQMCEALQDELREYKEDYPNRHSVNRYEDKRSLDSREGIPTSLSHQSIQLDGWLSLRDMTKKSRKPKWTSFFVILNEYAFTIHYDEKHSSSIVLTIEAGAMAHVRHVTAADLRNVDDNQLPKIFHIMYDDTSSNSSRHASNSDLSMIESQREESWKRHDFQELSYHTRTHCDDCGKKLSDFIRPTPAFECKNCHFKTHKEHVAQGTIPMCRFTGLSRELVLMAPQVDVCVKWVSQLRRFIDASRQPAVSVSRVSSRRHAGGPGSSTSSSIHQ >CRE13657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:50568:52219:1 gene:WBGene00071110 transcript:CRE13657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13657 MRLLSELSERVDRGERRREARGGPLWPKTEFFGVDPSPEINKDLYEVKLGGNYFQVAVSGKGGMQKSYIFRKEYRDETTMHIGADLLLRRHASTTKSRLLGMDPEGMNSHTWI >CRE13678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:35426:42093:-1 gene:WBGene00071111 transcript:CRE13678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-viln-1 description:CRE-VILN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N7I2] MIAQLQQSLIQRNTNYERKKNLDDVASVLTERSLKDRLASLSNSAEQWKSRPTRETPPPLQQQRRTSANILQDLPIFQRNQSINQHRQSLPVSTVIEKPCDITSGLKKFFNSDNSTNSSKIDDSEGIEIDLDAISAKATPVLKTVTRPRAPNRKRGVKSENLHDDRLHLSTVKLTAPPIDDDQEPEKLETQEQAIAALKDAKCLLKTPTKVSPYPQVMLIQIRGSKYVDVRLVAPAMTSLHESACFLVVSQENLLKYEGSASNILEKTKAAQLAIQIMGKADLNCHASSIITVTQSSGRSQLSKLLYSSENQSASSNHAPLNSDPFETQISKLNLVLRIADNDRTAHVCSRRERVSYSILKPSEVLIFDFGSEIYVWSGRYSSKVSTAYAVEYAKQLIGKAVLKSGRSLLGETEESERDGRPEWTLFRKIHQGVLDTLFQSKFSDWPETRELVNTCKPKPLFSNCKKLTNPDVKTYEDVKISNEDDDVMRLAERMLSEPEFDPILILEDQEIDRNLRDVISESRDLWILHGETLQNIDFSEHFDSAHCYVFRWKYRIQKSGVRRLKTGETTERETGRTRIAFFYWLGEHTTPKQHGLCALRIKEIDRDNSPRVRVADGNEPALFLALFDGKFRVSRGDRAARRYVAIGANLRETSLREIDDLDAPLRSHSAYIDFLATTATQTATPHIICGANCTPAQVHFVLAHASHLGGSAPQVEVEGDRKSRRWIQSNGRKRAARMWRIFENEAEPVHHLSAHSECAFNFSQQILSETILIDVGEALWLWSEDIVTTFSLKLVEKYWKSRQGPTRVVYKGAEPEQFKALFMKWTDSDDVENPIECRDAKELLKERCRTFSLNELKERINLPAGMDMRRLESYLTDDDFQKAFGIGRDEFYAQKAWKQNEARKRAGLF >CRE13656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:32722:35200:1 gene:WBGene00071112 transcript:CRE13656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpg-1 description:CRE-CPG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N7I1] MLPKRVVLAALLVASVCAQYGVTGMYENLPLESTTPEASGDGSGSDTPVFVDTDCSAKEDGLYPIGGCSPQFLTCSGGIARIMDCPANLIYDHRIVACEYSYNVPECGGVPQDYTSAYGASSEATTAPAADVTAPVDPYVPVETTANPYVRVVTEATTVPAEDVPVTVETTASPYEPVTEPATTPAEDVETSTVPYYAPGDVETTTTPAEDVTEPTTAPYYAPVTTEATTTPAEDVTVPVETTASPYAPVDITTTPAADVETTTAPYYALVDVETTTAPADDVPVPIETTASPYAPADDVPTDRTCGDKEDGFYSFGQCSDHYIACSNGRTIPMQCPAKLAFDEARVICDYTENVPECQNASGNDEGSGSGDESSGYGYVAPVTETAAYNAPTTQAYETTTIPAEATTEAVYTTTAADVPSTTTTETYETTTEAYTTTTQAETTTGAYETTTIPEETTTTAADVPSTTTVAYTTTTAPAYETTTQVEITTTAADVPSTTQAYETTTVPEETTTEAYTTTTQAETTTTAADVPSTTTQAYTTTQAYETTTVPEETTTTAADVPSTTTEAYTTITVPAETTTEAVYTTTTAADVPSTTVPVETTTEQVYQTTTGKELYQNKTLDLEKKTIFSVKILEKSSFSPPSQRASKDHTSLSHAPNTTVTVSTDTSRSSSASRDSSSHRNTVVAPPLIKSPSAISQLSTNREASTSTNY >CRE13677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:30499:31885:-1 gene:WBGene00071113 transcript:CRE13677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpa-2 description:CRE-RPA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N7I0] MWSDTTVDQGNGNGWAAGESSFMTDRKPDSRATTLGDRLPVPVTISNLNQHFSSQDDKYVIGNFRFATVLTVGTVKDIHQDGTTFTYELCDPSNESMEYRALKYENEGSASDHSAIVEGSRVRAIGKLKGFDGVNTIMLFNITPVTDDKDFTIFELEAEAARLFFQKNMSDKLKSEVTSRGFQGMLAPPKSRGGQQQQGQSSSHNDNKERIYQAPSHQPQAAQEVTLRERVLDILRAVDGDAREEGSNIQWIAEQVNERDMEKMRQCLGDLVELGLAYTTTDEESFSAI >CRE13655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:25775:29274:1 gene:WBGene00071114 transcript:CRE13655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13655 MAFQQPNLQQIFSSVDKDRSGQISSDELQLALSNGTWNPFNPETCRLMIGMFDSNGDGAINFSEFQALWRYINDWTNCFRGFDTDGSGNIDKTELTNALTQFGYRLSPQFYNILMHKFDRSHSNRINFDDFIQLCVVLQTLTAAFRDVDTDRDGVITVGYEQFLTMVFSLKM >CRE13654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:13140:19061:1 gene:WBGene00071115 transcript:CRE13654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13654 MDPDAEFNLLTTLYQSVVHDMKQTYPGWESLSQKAHKLGTHLRATAQCLSSFTEAMQQVSDNANNLKGASRDVGACVTRVCIKQRAIESRMRSWADALCEELAISLQQRGNYWKSRCLEMDKHAGKHVKKVRSRKQRPDVTAMSEQREICSKVLTEQRTQFSFFIGTLMPVLNAQMSMLDEATHLRQVVENLESTVKHVDTESLVASIVGDIAQGPDSAWKQCLAQAGSKWRGSCEEGEWGSIRDVSTRATTPASNFSSEDGRRLLMKPPLQRRNSEKSIGHFSMSSPNTDGSTNYLNLLGGNGSSNTITEMAAVQYPQPTRPVSNGVHSNPPPAYQQYISQRNGGAMQRPQNLSFESSFNTSASIGTTPTVYHPATPATSSGPAEMSSGSSGTTSTSGAFLISEACRDIDQLGHLSDLENYGTAHDTTRYFIHENPKKNQKTSPQSHVASMIQRRASQSSDYQHGGTVGSMVDQTTKRASISTLGSTHSNYAPIQTQNMMNQPVRMRTTSASRPPPPARRSSQITAATPTAPSVAEQRMNGSMGSRQSLDSAGLYESNGIPLPHHPQQFYQMSSFNRPNGATVTHNSAAAAKFRI >CRE05677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:462513:464325:-1 gene:WBGene00071116 transcript:CRE05677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05677 MTEESRKGHGDSQGSNDVKEDENEATSTRHIDRFAKNSRRRGGQRDFIEEWSHRNLLDGRKDHSKIELTEGPKSRMSQDSILENEVVTDEDIESIVHDETTLLETEPEITSKSLIPIGESDVRASRREDDQSLKNSKDRNEMMAEAQKQEDVKEAVNAFMRSIDDRIESSSSKSSKKLKEAKKKLEEAVDQYKAGKSSEAEAKFLRNIIRYQSAAQQVLTETIEEELEDQSKLIDEVMTASEELAKKNEELVQKLKEMKTEAQEKDRPACFFCESTKHSAIVCYQFKDHEERTNMLIAEDKCLLCFQKGHKKNECADLARYENCKKCKQEKHHVSICPKYATKERTEMKQGRGLNQKEEDKQGEMSGRHMEDGKDLDGPKQSASEAKKQRQPILPP >CRE16444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:81716:83883:-1 gene:WBGene00071117 transcript:CRE16444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16444 MISISMIVMLVSYLVETLVCLSIGTIYEDAQCAELPLKTVLLCIHRYAEAFSLAAQMFFTIERVMSIQFEHIHRSIFFKIFFIAGFLFSNFTGLAYVYTSAIKGEYGTFWLIAFQLFVIANFPFVLYAKKISTAKYKADVRTYSLKRKHNLYNSYEIARSFLYAAAIYMISQLACFGLLWAFQFGLLFDGNRAYFPRLFFVISLIWHANLTTFPWIVMLIHRSQRERIYKVWMQMFPETKSPAKILGMNGKELVATPTQNDYFDQLQQSWK >CRE16433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:76382:79238:1 gene:WBGene00071118 transcript:CRE16433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16433 MVLWEVIIVSNYLLALVGFIANTLYLKLVVFNTSFDGYIRIASMVIASATTTLIISNVTSTAICLAYGSYFNSGPCLENGMYIFMFLLHSYGEISIVCERYASVFFPTFRLSVNYKLWFSLIIVIWLVLVFVYMYMMNASQSPFAVVFSTVFASALYLLSFLLVMYLFCAARRRYYETLGMVSLTRRYMLSESYELCRSSLPATISSLAINIVLFISFWCLLFDVIPQERWNEFFVLANMTLNLTATLFPILFLIGSRRIRRKFVKINPFNQQFEMKEDQNFDGVRQLNGHRISFTQTQNEYFEQLQLSWS >CRE16431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:66847:69884:1 gene:WBGene00071119 transcript:CRE16431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16431 MDGQLAVAIITLMISLLGVVTNILVLYAARKLVSMNSSFGIITKNQATSNTILCFVFLLCAFPWQLGLFHWLIPYSHYIGVIATTMYHASIMFHFFLAFNRFCAFFFPLYYRKIFTNLLTHIATTTIWFLAFIKCLTFYEIIGCYFQYYDSFWTFSSLSSDFCDDVNWYTDFIPNNSLGVMIVTVNLVSAYRAGRNSRSLSSPGNQIQMTKQQRQREIYFIKQTFFQGTSVFAGLAAYYVMAPLFVNDVVLCVLSTFWAFMQALEGIIIFASNREMRLVIWKSGNKERPIVVPSISINL >CRE16430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:58891:62498:1 gene:WBGene00071120 transcript:CRE16430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16430 MTTEGIQELFLVGAIFTFPICLYFHFKFIKIAVIREYFTTFSRALLGLISGGYVITVAGNLTMIVTQLKQSELMRLKLEATNVHFEFIMNFLKLFFFIDAYFGDYKRIFKNHPKFGFLIVFLSIFLGYMSLLIPEFTYRGFDENHPSAYVLFGITSLNLVLNVIVFCSKKRAIITTHGKVDLQTRFMIFEAKETLSVVLFVTTFRFLISLSWIAFHQLPIQFPPQTILNAHLIFGFLRGLESISFPTAFLFYHERIQRHVERKRRGAAKNEEPRSTKGEILAQEWLAEEYYKYLANLFKGPGPVKESKGKRQKAVTISEQRLLESHEILE >CRE16429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:50489:56330:1 gene:WBGene00071121 transcript:CRE16429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-20 description:CRE-GLY-20 protein [Source:UniProtKB/TrEMBL;Acc:E3NF79] MMVYRRMHRLANAVIVCCLFGFIVIFLKAPSEEQRIRDGVPIVSQNAGWSAKDWDGVNKEVADLLKNESKSERSDLSGWDFKTDGKPLNGAEIVESVSFLNENFDILNTAKFGDLSSVKTILVIQVHDRPVYLQYLIESMRNTRGISETLLVFSHDINVGINNDLIRNITFARVYQIFYPYNLQLFPSVFPGQSPTDCPEKMKRDRAQETNCSNWSYPDKYGNYRVAQLTQIKHHWWWKMNFVFDGIVDKFEMNDPWVLLLEEDHMLAPDALHVLDIIVSNRPTYCAACEIISLGFYLKSTNKYGQDIAHLGVHPWYSSKHNMGMALQKATWKKIQGCSGMFCSWDDYNWDWSLMQVSAKCLPQRFRVIFTKSPRVIHIGDCGVHTHRCEAHKALKSTQDLFQQYKDRLFPSSMTVTDTSRRSLKPSKENGGLGDRQLCELNKTPLVRVSAQQPSVLHSMLNTKIQFNTSTTTPTTSITSSNSQNL >CRE16427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:35370:42750:1 gene:WBGene00071122 transcript:CRE16427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16427 MVRRIILFALFISCTIAARYGNQNQYNRYNGYNRPAPPVYQPPADMIYEHEPGSEEYEGPPGPPGPPGPDGPPGPPGPDGPPGPPGPDVPGVSTTPEAPTTPTTEAPTTLTTDAPTTSTTEAPTTSTTQEPSTSTTEAPTTSTTSTTEAPTTSTTEAPSTSTTQEPSTSTKEAPTTSTTEAPTTSTTEAPSTSTTEAPTTSTTEAPTTSTTEAPTTSTTQEPSTSTTEAPTTSTTEAPTTSTTEAPSTSTTEAPSTSTTEAPTTSTSVSEAPTLLRPXXXXQRLVSIPTHVCTPIELNLLSNMQRAWWIRGVDTIHEVNGILVMSDELEEHSKTPHFSISQCWSESVLTDFHRTVRGGSGEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTQEPSSSTTEAPTTSTTEAPTTSTTEAPTTSTTQEPSSSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTQEPSSSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTQEPSSSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTQEPSTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEAPTTSTTEDPTTSTTEAPTTSTTEAPSTSTTEAPTTSTTEAPSTSTTVAPTTSTTEAPSTSTTEAPTTSTTEAPSTSTTQEPSTSTTEAPTTSTTETPTTSTTEALSTSTTGYPSTSTTIASTSTTIKSTTTQGCLTSVCDPGWFKTKRGGMDLCIRLFYHDTSSYYDAELFCYNVQQAFLTGPDTDPEYTEIMNQARNNPDVVSNMTSYDYSMIMIGLKRTQECTSNNGTNDDGSTKPECSLPRGFIWQDGLGDTSNTLVNNNFNSNPGVETSTFAVRACAGIKFFKTNPTNSLNKVYSLDCNNAKRSDGLESRMVVCGKRGQCPASPPSTVSTTIGTTTTAPPPPTTTASTSTTEAPTTSTTEVPSTSTTGAPSTSTTIASTTTVSTSTTGAPSTSTTTYLPTTTTDCPVKVCQYGFTPTLRDGKVWCLRVFFQFITHYQDAINFCENIKSVVSGPQDNSEYNMLLDQTRTDPVIVGHLTNYQQVIMVLGAQRTMNCVNTTDPPCALPEGFYWLDGVTTGNYIFDNKFNSIGVQTDAEQTAACVGIKVFPNVDRRSEELLWSLSCESAVSYSGVVLKLVVCGHEGVCPEGSTTTPPTTLSTTTVTTTTPTTPTTTTKKVCLKSQLKCADGWSMFNRTTYRPYCFKVLNQQNMTYNQSSALCQQNGGYLSGIENITEYNWIVQLAQNSVNFSSTTDNQVLVTIALQRKSYCISSNSTDSCYLFKAYEFEDNGQTYYIDILQHNNLWANGQPVIFTTQPHGCVGILINTPPTANNGKLYSTRCDSGEQTYGMSNSALCARLPDVCPP >CRE16426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:33676:34631:1 gene:WBGene00071123 transcript:CRE16426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-222 description:CRE-CLEC-222 protein [Source:UniProtKB/TrEMBL;Acc:E3NF76] MLQKVLLLTATVFLSTAAAYGPHPPSDNLMYYPENFEPEIRYIRGPPGKPGPPGRAGVRGVAGGAGPPGPAGQSTCQYKCPTGYYTSSRNYDGAEHIWCIKMKGTTTKYTMNLFGNLDIECKKDGAVLTGFQNHTEYMAVYNNFKSNFPWISTVKPMVIIGARRKDSCPKITTACSNTQGNQWTDGVTSGTVLFETPGFFANREPNPEFGRKMCFGVWTKDNKLYSYKCSDWDYRPAKLFMCGKPAPCVF >CRE16441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:30637:32414:-1 gene:WBGene00071124 transcript:CRE16441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16441 MSTLLNIFHKLSGVVLTLTFVINYTLIYLTIFHAPNLFGTYRNFIVTFAVFGVVFSSLEFLIKPVSRQKNVLHISQSEFQILYLNSYSVVIFSTGFSILESYSLSTLMLSLFTGFHCSMLSLISIQFLYRYWSISSSVLIFESRRFAYWILGVIFGGFLWAVFTYNTISCDSSDKILLKYELEKSHDIDIGQCIKLILSPLLILYLPTFLLSYLPFTNFSTNGTIMPVLTVYPFIDSILVLNVISEYKIAMRNVLNRMFWCLGKEKQYETTPVTMNTLFSAI >CRE16425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:28008:30268:1 gene:WBGene00071125 transcript:CRE16425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16425 MSDAVWIQVTNTTGTFGLALSISSNCTLLALMMCKMSPVKGAYKNILIFFCAFTMFYSFVELFLRPIIHIYDDTLFVMQRKWFEMSPMVSHLTTTMYCGCYAMSFVMFALQFLYRYVATCKPLYTDLFQGVRFLFWIFGAFCVALSWEAAAFFLFPQSPRTQKMFLHIVKNSYGLDPNFVDYVPYRYFENDEGVRRIIMINIVGIIQHVIIMAISFGTLFYCAFKNYSTISQHKGMSTKTKELQMQLFRALVVQVWILSRYAVKITTIPTILMYIPLLFLYACPLFNFQLGPLANYQTIMGQLYPGVDPLAVLFLITAYRKTLCSK >CRE16424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:21503:26929:1 gene:WBGene00071126 transcript:CRE16424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16424 MSDRQWITITNIAGPIGFSLSILSNSILLFLIFSRSSPIKGAYKNMLIVFCAFTVFYSFVEMMLKPLIHLYDDTLFLIQRKRFDMAKWMTRLIPTTYCWCYAMSFCLFALQFLYRYVAVCKPQYVTYFTGGYFYYWLALILSLATSWGLTAAFMFPQTNRTTESFLYVIKNSYDLDPYWADYVAYKYFDTDENHVRWVNILSLFGVLQHGLVISLSFGTLFYCGFQTYVNIQKNTGMSSRTRSLQLQLFRALVAQTCLPMFMMYIPIGFMFTCPYFDLQLGAITNYQTVMAQLYPGIDPFVVLFLIDSYRRTICSFICPANTIKSGMPSQLEFSTTTVKVKPSVSSNGLISNGPEILGDPTFRITWSQPQRMVPTAKNGPKILATIEHFSQSYISMSDRHWILISDIAGPIGFSLTILSSSVLLFLIFSRSSPIKGAYKRMLVVFCVFTMFYSMVEMLLRPLIHIYDDTLYLIQRKRFDMAKGLTRLIPSTYCWCYAMSFCLFALQFLYRYVAVCKPHYVTYFTGGYFYYWLALILSLATSWGLTAAFMFPQTNRTTESFLYVIKTSYELDPYWTDYVAYKYFDTDENHVRWVNVLSLFGVLQHGLVISLSFGTLFYCGIKTYFYIKEHAGMSAKTRNFQLQLFRALVVQTCLSMFMMYIPIGFMFSCPYFDLQLGAITNYQTVMAQLYPGIDPLVVLLIIDSYRKTILCKTKILEKKSNICFKFQRKSARGLSTLGVNRRCMIYQQVSIW >CRE16439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:12320:16175:-1 gene:WBGene00071127 transcript:CRE16439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cln-3.1 description:Battenin [Source:UniProtKB/TrEMBL;Acc:E3NF70] MVNWANARDIVAFWLLGLCNNYGYVIMLSAAEDILDNQKGTTSTNTTSTCETSLDSRHCNSISAGAVLLADNLPALVVQTTFPFFMHRFPFGFRAILVFILQAASYFVVAYSGNIAMSLAGVCMASLGQGIGEITFLAMAAHYVPETIASWSSGTGGAGLIGSFSYAFITQAGLSPSNTLLAQLFIPVLFAAAYFILLTIPETVYSPTFHPKTWIIPENYDKDVFEAAEARGDVMNTKKVPQRELSPLDRLKLIGPLLYLMIPLATVYCAEYMINQGLTELIIFNCSQGLNLPLSSQYRWYQVLYQLGVFLSRSSVKFFEMPLWMIWCLPVLQCANMIFFFFEAVYWFTPTIIIIFVLIVFEGLLGGSAYVNTYNKIHKKVNPDVREYSLSAASMGNSLGTNIAAFLSIPLYNWVCSMKAPHR >CRE16437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:8142:10639:-1 gene:WBGene00071128 transcript:CRE16437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16437 MSTLFYPQFVVPVLGLQVGGVLGEVYPRVITYLIAALVIHHGINTTTLFIAHCRLMLLSRSLILRKNSTNVLLKRAHQLANINYFLMIVSVASILLLFNVDSLEYQNTWKQEYYQKYKLDFIWCPKYYVLDPTVWEFVVMMGVACSVTVLFAFVFVLCSITTIAIVQSAKDTMSVQTMRYHMQVVISFLISCGIQAFFVVLPVFHMLLCVYFEFVKNYTGGLLFYSVFTQAHQGTAFTLFYVLSHRKTKKTWRKFARVARKIKTDRLFKRSI >CRE01425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig440:27169:28521:1 gene:WBGene00071132 transcript:CRE01425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01425 MAEEEEEWPFINHYFIKCSRLVGFIATLVFLAVVLSTHHFSPKKFVFDAKSLECEPAEYKEDVMMDVGGSLFRKSYIFPIFQIIDFKKIFHRGDRTYSKFQLVEYVLDGVMPVDNNNPDKKSRMRQPNRTEIPVFINPGYGDSMDSHLALARIATDLTKSKNYRFRFYSLYPNQEAAHWSSTHYMYIRTFSEYSHFHLEKLYPKSRIIQICHLFGGDLGVRSAMEQYYARTRGIMTNTETGNLPDLFIRESAPHTLPGYYGTDIQSSIRANLRDWVEFKTRFSLGMISFDAGYDDTAVDTSWLKGKDIDRIPVWTIDGVPDTGLSAQKMLTCKPFLNQIADLLISYAEKDPDETGDSVAKAFYKKWEKKMQETVPIEWKKDNYDFVIRSGEKYEFVVNGARWIDVSLQRSENIWLNIKGSCCKCERFCHKYATKNRIFAIFGAGKCRFSS >CRE01424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig440:15398:15736:1 gene:WBGene00071133 transcript:CRE01424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mxl-1 description:CRE-MXL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NKZ2] MSDMSDTEDQSTTSEGKFDPKRHAREQHNALERRRRDNIKDMYTSLREVVPDTNGERVQASRAVILKKAIETIEKGHTESAALSAEINQQEAKNAKLREEVFWLKSIQLIFK >CRE01423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig440:3022:15061:1 gene:WBGene00071134 transcript:CRE01423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01423 MKTGTAVAAEDRRNRETCAVFEVITLTIILTIATFGVLAGGYLHTIAHSYNSCSMTYMYRKLQFLNISFSYPPSSKYSLVVYNEGYRWWNRSTIDSNQIPVLFIPGSKGSSKQVRSLASVMQNKTEMRHSQFGFRFFAVDFDEEMTFMSGNIVNRQLDYVMHSIRKIQMKSHKKSKKKPIFSLKNPRKSPKFAAVMNDWLTMKLNRFLTTQWNSLQSSSSLNHVGVVAYTGGLRDYLIPDEWSILKNVTHRPLWCVDDVSDLGSDHLAILWCNEFVRHVSRVLYSYGDQLNEKTGREVVQNYYKLEIDGHIKRAKLVSHVSEIPTKEIKLGEKYEGVVLKSKQVVLLVKPQRFEVIGVRINGTCVNSMITVRHHESQFHFENSTDGIVETWIYRAAKNEKVRLLLDVTLPCSIDIYMTHPYIVQFWRFYESSTGCVDPDNIYALPMAIGYFLALGWRAICFGSRCDADFKDGIHSERSSSSQSRSCSINGLYLIGVAITLVASNEGTMFLITVLAYRHHRRAVLLALPSLLPLGLKVVGARLFSIDPLPVYELQYQPQFYAALLYLWGLFSSVNVGQITMRSIIYGLTPAFLYLLNSPLELFSGFALLIFSAARFVPYGTKRGPVAPLAPTPGESSQRQEKNNYNLRRRR >CRE06953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:91418:91759:-1 gene:WBGene00071135 transcript:CRE06953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06953 MRASGKDLIGNHSTYLLFNPIWPTDTSKWPKGIRANGHLLLNNEKMSKSTGNFMTLEEAIEKFSADGMRLSLADAGDGLEDDAAILRLVNMIKWVKVRIQKSENSKKNNVFRK >CRE06926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:74496:76745:1 gene:WBGene00071136 transcript:CRE06926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-16 description:CRE-DNJ-16 protein [Source:UniProtKB/TrEMBL;Acc:E3N6L2] MSKPTTPGDQPKVEELDFYQLLGVEKSASEAEIKTAYRKLALKYHPDRNPNDTHAQEEFKKVSIAYSVLSDPNKRRQYDVSGPSENQLDFEGFDVSEMGGVGRVFGALFSKLGVPIPTQIVPKVLAQARQICLGQECDVHAKVLAPGETVTSSVGKQHAHFYEIDMLEDFRKNGVAIICKSSSSKFKLVLFDKEGGVRMIQESGKRGKAGTQADMFFVPYTVANIQEFNPMKYHLEDKETPIAFHYLDSFEMQTATLLETRKHYIAVYGDNWISDVKYSITFLPVSSGATEQLVEIQNTEKSISIIKKEMLDFQREFTEAKRKYDEAVAKLKVQDETILKALGTREDLYNDVVRQSLEPYNKKVSPSKTSGGFLGGWFK >CRE06948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:71419:72669:-1 gene:WBGene00071137 transcript:CRE06948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06948 MVCPSSVVLSLVFTILLASSSLHCEATSVVTCMLCTVLSEPLEKEMTPTATVNAMFKKCDKMGLMEPVCVQFVSENVKEMFQRVRQGIPSNSVCQTMQFCDLQ >CRE06925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:70265:71244:1 gene:WBGene00071138 transcript:CRE06925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06925 MRRHLIILAVCTVALAQKKARQASNTENLGDDYSDFAAQPVKNAGANHELKQVTYSTIAPKFDIPTRAPLLNPNPIGHSPVQATTRKPAVVDYRRGYFFQHYPWDRLPRRYLLRPGMTYVYPPQYHHATYRYPYLPYTDHYSIYRTHPGIGSGCGGGGCGGGYPQSGYGGYQGYGQYSSGCSGGSGSGCGGYDDDDDDESYEDSEEGDGKSGEAKINKKDPLEDLELEDLEKLSKSDDAKSSSTSDAATSEDADLEAEPIEIKKTGSSSRSGSKSKHLDDEDLIDDRKKAKRNFLIKWA >CRE06924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:67642:69415:1 gene:WBGene00071139 transcript:CRE06924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06924 MQSVETLVRDYADTLAELTDNNKTRIKLLTIMADDYKDIAPQIAETIENYLFNCSDKIKLPVIYVCDSILKNIQKPHDFDKLFSMRILRMFEHVFRKCDNSTKSVLFKLRCTWNTVFTPSTLYALDMKIKQIDSAWPIVTPRTPSPLSSTGTSPTGSSSGSSSPDLSPTGTKRKCDSGENHNGPNPKNAPQAYLPLETFKQVAQPPPSSQYPHQLHVCVVQPKLEVKEEPSSNSPKTKEDWLSYLRGLQQSGILPPVKPSPPPMNQSNSQKSRKRNRGQSRWEAMPVVPTPQVSLDDFNIHKLSVRHNSIVDALLTPRMDSCKHCGLRLDNSNGKSKEWKDHMDWHVRENLGINKPSGSKHQEWYPSSKTWLTPRATEEPKEQVASEVEESQPGVPSSGTKTKKCSVCRERFSEYYDDDEEIWRFKDTVKVNEKIVHTGCASDATR >CRE06947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:60964:66245:-1 gene:WBGene00071140 transcript:CRE06947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lars-1 description:CRE-LARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N6K8] MSKISKERKKVAQLQEIEKSIQELWESKKAFESDARDDNKPKYLVTFPFPYMNGRMHLGHTFSASKCEFAAGFQRLQGKQVLFPFGFHCTGMPIKACADKLKREMEDFGYPPNFPEDVEEVVKEEVSAVDEIIKDKSKGKKSKLVAKTGNAKYQWQIMKSLGLEDEEIKKFSDPTYWLYYFPPHCINDLKKMGLKADWRRAFITTDVNPYFDSFVRWQFNLLRAAKKIDFGKRYTIYSPKDGQPCMDHDRASGEGVGPQEYTLIKLKVLDPKPQALAHIKEDIYLVAATLRPETMYGQTNCYLHPDIQYSVFYATENESQVFVATARSARIMSYQGLTKENGKVRYVAGLEKIAGAKLLGAPLSAPLAKYERVYALPMLTIKDDKGTGVVTSVPSDSPDDFAALSDLKKKKPLREKYGLTDEMVLPFDPTPILKIEGLGDLAAVEMCSRLKIESQNEKDKLEEAKKEVYLKGFYDGVMLVGKYAGKKTADVKKVIQDDLIAEGLATKYVEPEKKVISRSGDECVVALCDQWYLNYGEAEWKAAAKKVLEPMRTFNDETRRSLETTVDWLHEYACSRSYGLGTKLPWDTQYLIESLSDSTIYNAYYTVAHLLQQGAFDGSVVGPAGIKADQMTDGSWSYVFLGEVYDSKTMPVEEEKLKSLRKEFMYWYPIDMRASGKDLIGNHLTYLLFNHAAIWPTDTSKWPKGIRANGHLLLNNEKMSKSTGNFMILEEAIEKFSADGMRLSLADAGDGLEDANFVYAMADAAILRLFNMIEWIKEMIEQRDAGLLRKDSARFADRVFANEMNSLIKVTEQNYEATNFKEALKTGFFEYQAIRDTYRELCAGIDEPMSESLVFRFIESQMVILSPICPHIAEYIWQLLKKDGLIIDAPWPATDAVDEKLALGSRFISDSMAEFRARLKTYMAPKKKGAKENTTPPTEAVIFVAKQYPPWQKTILDILETQAKANNGALPDNKVISQMIGKEDSLKKFAKKAMPFVQMIKERYEQKGVSALASSSPIDQTAILNENIDFIMNALDLDRVSIRHTDEEGVDPNFVETTVPLVPMMSFLPQRPNVNLVFRNVQICNAMFDVVVPICDGDTVSMIIRKLRRISKAIKPKYEVTLWRYKDSVWGDRKVISCNSPFEENIQLADGDLFHLEADNKITVTSGSEKIDIGQTIVYKANVPE >CRE06946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:58966:60866:-1 gene:WBGene00071141 transcript:CRE06946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06946 MPSPCENSGVANCSRAGPCAKKVECCQKQLTVGVMQQVTASSSGAIVTSLFMTPLDVVKIRLQQQSRPFPKGECFYYHNGLMDVVCEACEVRKPCEWYQRPGNFRGMADAMVKIARHEGIRSLWSGLAPTMVMALPATVFYFTTYDNLSVWLKKKMCCRRAFSPDKWTPPDWTAAAAAGIAARTIAVTVVSPIEMVRTKMQSQRLTYHEIGHLIKHSWATKGISSFYLGWTPTMLRDIPFSGIYWAGYDWFKTRLTRHQGPDHSPFVVSFVSGAAAGSLASVFTHPFDVIKTNCQIRIGGTADDMNKSIATVIREMYHQRGISAFSAGEAKMKKIKMKFLIIILISGLLPRIVKVSPACAIMISFYEYFKYLFQKH >CRE06945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:57107:58244:-1 gene:WBGene00071142 transcript:CRE06945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06945 description:U3 small nucleolar RNA-associated protein 11 [Source:UniProtKB/TrEMBL;Acc:E3N6K6] MSSLVSISKKLSGQRQHRERSQPEARRKYGELEKKKDYKLRAEDYQKKRDTIKKLKKSAMDKNHDEYHHHMVNSETWDDGRHFDKKNAAEEAETQLQKKLGSLKDLEYVKYKLNEEKKKIDEMKGELHFADPTLSGKKNTHTVFVDTDAEAKNFDPRVYFDTTTSMLSRQFNRVKNDDLQNKTIVGAGSKEQVRKADRIRRTRYNELVKRVDRAKELQVVVDKLELKKQLAASVKSELKPKKIKKAKEMRAAVYQWTYERKK >CRE06923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:55968:57014:1 gene:WBGene00071143 transcript:CRE06923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06923 MQSTVEVKFQDEHLWRQPEQIMEMIANKEGTPLTPGIAFLVKGLDEDGKYEVKLSMERVDPERYTYADGAWQISTKRKAAPMYLNREVSHKDGVKTGKEWMSEMIKFDELRITNNKSNESKDNFIFTETMHLYRPVITFKNIDPTKSPIYHSDPLFNFIPVTIYQHTSIGKWKAKNNKFATKRHGGLGSDKKEKKVRAPTATTAVDVKAPVNIVSTNPVDDSIFAGCSSKPVYYFPNLKPDWNQNGMKNQRNALAPKENIQPADVTINPVVPPSDFVQMDPSSNQHYNGYSNQPTTPDYSLPQQYSNNAYYSPMYNNYWNYPQPSTAHFPLIGGNENIPTPPQYPFYY >CRE06922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:52345:53394:1 gene:WBGene00071144 transcript:CRE06922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06922 MQSTFQVKFQDEHLWRQPEQIMEMIANKEGTPLTPGIAFLVNGLDVDGKYEVKLTMERVGYDRYTYTDGMWQISTKRKAAPMYLNREVSHKDGVKTGKEWMSEIIKFDEVRITNNKSNESKDNFIFTETMHLYRPVITFKNIDPTQSPIYHSDPLFNFIPVTIYQHTSIGKWKAKNNKFATKRHGGLGSGKKEKKVRAPTTTTAVDVKAPVNIVSTPPNPVDDSVFAGCSSKPVSYNRNLKPVWNQDEMKNQRNALAPKENIQPVDVAVNPVVPPSDFVQMDPAYNQHYNGYYNQPTMPDYSIPQQYSNNAYYAPIYNNYWNYTQTAYYPPIGGNKNIPTPPPQYPFYY >CRE06921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:48018:49049:1 gene:WBGene00071145 transcript:CRE06921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06921 MQSTFQVKFQDEHLWRQPEQIMEMIANKEGTPLTPGIAFSVNGLDVDGKYEVKLSMERVGYDRYTYTDGMWQISTKRKAAPMYLNREVTHKDGVKTGKEWMSEIIKFDEVRITNNKSNESKDNFIFTETMHLYRPVITFKNIDPTKSPIYHSDPLFNFIPVTIYQHTSIGKWKAKNNKFATKRHGGLGSDKKEKKVRAPTTVKLNVEDTVNTVSTNTIDDSVFAGCSSNPVSYYPNLKPEWNQDRMKNQRNPLAPKENIQPVAVTANPVVPPSDFVQMDPSFNQHYNGYYNQMPDYSLPQQYSNNAYYPPMYNNYWNYTPTAYYPPIGGNENIPTPPQYPFYY >CRE06944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:40989:42032:-1 gene:WBGene00071146 transcript:CRE06944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06944 MQSTFQVKFQDEHLWRQPEQIMEMIANKEGTPLTPGIAFLVNGLDVDGKYEVKLTMERVDPERYTYTDGAWQISTKRKAAPMYLNREVSHKDGVKTGKEWMSEIIKFDEVRITNNKSNESKDNFIFTETMHLYRPVITFKNIDSTQSPIYHSDPLFNFIPVTIYQHTSIGKWKAKNNKFATKRHGGLGSGKKEKKVRAPTTVKLNVEAPVNIVPTPTNPVDNSVFAGCSSNPVSYYPNLKPEWNQDRMKNQRNPLAPKENIQPVAVTANPVVPSSDFVQMDPSFNQQYNGYYNQTTMPDYSIPQQYSNNAYYPPMYNNYWNYTPTAYYPPIGGSENIPTPPQYPFYY >CRE06942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:2218:2433:-1 gene:WBGene00071147 transcript:CRE06942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06942 MHYFFSPERPLLRGKVNGSSRETSNQYLSVIAATPPPPLNVFPRHACFSIIKFVVYWNRTFFVVRKRYLSS >CRE06943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:2646:9303:-1 gene:WBGene00071148 transcript:CRE06943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06943 MSLNQNKKDISKGNKNIEFSPNRFNRILRQLSNYQQPPIMTKKNKQAKRAPIEMKTIKGNITREFTKARTLVALIERALTFDGNEANVTVLDGYTDKLSDQLMVLQNLPDYAEELLLNNKKLCAQNVIEANRAEIKTHIEFRGLDSLINQVLALEGEVKLAINLFRNNATPPVTSANSSPAPRVVSTSSTSSTESNGHSAQIANTGHSVQKTGKKAEPTSGKAAKVPSNSTESESSELSPVAASSNVLGHGSSMSSQQLMEFAEIVTSRFNGLENSQRILLESNNSMQRKMNTMEAKMDMDQRAKGANNNNPPNMPKSHNPTPILTSFDYHTGRPVFGTEPIHGSHQERVELPRSKQNHIPENGNNSCYQEKPSPPRSADTMQTVTNTVPTFSGNPEEYAVFKQLFDLFVHEDDAIPVTMKHALLLNLLTGEAKTMMRSTKISEADYYLLRDNLERQFNRESENKQYYIDKIDKFSFSEEDFKEIEKEMNEYRILVNALRNKGCAVDDQIFINNFIKKLPECIMATVFKKNHEYNRTFDELVGIAYRTLAEKRALQEAREKKKQMVRTSEVYTLNTDDRGKNTRKGKFGNKNFRHKFCRYCFSNEHSAIHCNLSLSQKLKIVENKKLCTNCLLANHKVEQCKSQNSCFKCQERHHTAHCTKVGGEQKNVDKKEKPNLEIMDIGPENNKEPLLIYVSRNIAEDNKLPYMTLKTPQGNTLLALVDCGASTSILSTQTAVKLKLRMTNQRNIAFAGFNSESRPDKSNYFDLEVVDLNESYWIANMPSYDRMNIRFTSPKLTSQEVEDLKNLEIDMAPIRKLSKYNGMPIDLLLGNNVLGNINQELTTLSSGRMVTRTILGPIIFPPKDKNALVPSQNIYSILVIDDSEHIDVFMVDTQNHDMPQQKRVNISNQQLAKQVEQHWNLELLGMEPPEIVSSKARINEELIAKYKNTSIRDESKLLQVLLPFNGREHLLSNNLPVATRRLVILTKGQRRERRLNYHAIIQLQLESGIIELVTPDMVPDGPVYYFPHRDVIKEDSNNTKTRIVLDASSHEKGKLSLNDCLHPGPSILQKIMGILIRSRLSKYLMISDIEKAFHQVRLQKQCRDVTRFLWLKDPELDATPDNIVTYRFTRLPFGVTSSPFLLAMTILQYLEFDPDPINARIIENLYVDNVLLTTNDPVELASLYTKLKEVFQKMHMNLREFLCNNSEVMKLIKPEDQAPKMTNKLLGHLWDSEKDNITIKIATPPAGTPTKREVVAFLAMNYDPTGLIAPIVVPVKKFITLLWNPDLKWGDRIPDILMPMWTAITKVFTVNTYTIPRQLVTTYDYTHVDLVVFSGASQDHYAAAAYLRYDYQGKHYQSQLIFSKSRIKPGRNGITIPQLELLALQTGTNVALNLIEELHMPIRKVTFFSDSTCVLHWVLQKIGNHIGLKWAANRVTETRNNLKKLSELNLHPELRYVPTNINPADIATRGCSVTELQNNELWHKGPAFLQRQVEDWPQTLETTPNDPQQFHVFVMRDREKVLSREHHVQPEPSIPESDILVNVLESDKNEFHSIVPYSRTNNMRKLIKISNYVLSFIHSCIKKRNKRFPGRSYKYQSSTMQQYEQADKDEDEIEKRKITRTFIISDHYRDAKERFRLDPPAKFNPVLSEDGLYRHTRPFVNSRHHRHSDEMKEPIIIIHKHPLASLLIMESHISLLHQGIKDVISDIQRKYWIEKIGTLVRKMRRECVTCQKMHARPFAYPYATALPQIRSQLVAPFAFVGLDYFGPLRYKTKEDNGKIWVLLVTCLVTRAVHLEIVQDNTTHSFILALKRYFGRRGVPQSILSDNAPAFKLGYNIMNTDIKSLINTSMTLTSFLADKEIDIRLITPFSPWKGGIYERLVALVKNMLHKILGKITIPFLELESLIIESEGILNSRPITANKIHVADAKPIRPVDYLIPNVALALPEKSGTIFGLIKSGETEMLTRRLLESTAAVRENLWNVFSDEYYALLRESAARPTAHSRDSPKPGTTVFVVTDKVARYRWPIGVIQKLIPSKDGKIRSVEVKIGKRILEKSVNHLIPLELPPEDQKSPAAEAAPQDTIPNVDSKRDEPTANPTPPQASEVQSGRTRPYLHRKAKGNNTTDGRNNALKSSSADSTTSD >CRE10593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:75725:80921:1 gene:WBGene00071149 transcript:CRE10593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10593 MGIALFGTILRSIIILKDIRGKIMSNFCVNFHGMSLCFRFAIFENGGKIGRFDLQHHKKLIIASIVFHIAITLATVRTAIIEADAIRIYEIVWSQLGLGIISGISTTELLVVLTGGFRSLENDSSDIRELNTERTDSDPIIECNICLVEFSASRIPRILKKCGHTICERCADILLRQRCNLGIACPMCQTVKDHYGKLQKKDPFRSLPRIMVFQSIRTAPELEPAGLESEQIKKLLMEPCNKSSYVGVCGKGQDEMITVIIIKSITLSGTILRVVLILKDIRGTIMFSSCWVFYLFSVCSRIVYFEIGVKIGRFDLQHHKKLIIASTVFHTAITVATVRSTIGESEDLTRILEMLWSQLGLGIVSGISTTEFLMVLTGGFRSLESDLRELNTERTDSDPIIECNICFMEFSASRIPRILKKCGHTICECCADILLRQRYNHRIACPMCQTVTEHYVMLKLSCQSTISMLLVAIATVAHYSIVLITVDSSELCKLCWVTIGCAVFYKGLEILKNRMTCRNNMMIVGASGIIITLLIAVFCNVHYNLISFNICFSASSTSFYTIFIFQSAKKDRKEFDLQKMDVHSWNDVTMYCFAVLLGFLSIFGLLGLIFSENFDLSTAYHIAGSSAVVTVTLVAMKYTLEFFNRYFEVDEVKRWRNNIVVGLAGIVICGVAPRIVVYSFGIEEKILPFLLSIPIYSSILFYYLFVYGYRQHCFINHQNQNFFKIWIPMVNITFSVILARMGIHTDLESMIYIQALFFVLSVFSGVDLVIILNGGLRSIHEEMKVESSENVEKSTEELKPKKRIYPRILCTSCDSEYSDSKPPRILPECGHSICGNCARRKLSKKNKLTCPACQTVHFVKKGVKGLFKNFTLLDMIEEARKIMDEA >CRE10619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:66808:69493:-1 gene:WBGene00071150 transcript:CRE10619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10619 MDNIHRFITVVYFVVFVTGNNTSLIYYFWFPTFHSSCELCLALSTSLVGVIYIKYRQRNPVGDSQEFKFNSLCYFMAIAVSGTILRVNFILNDIAGMRMLTSCLGFYGISVSSRIVFLEIGVKIGRFNLQHHKKLIIASTVFHIVITVAFVTAYIRYTDATFEATMIEPEDASKKRTAWVWSQLGLAILSGVSTTEFLVVLTGGFRSLHSDSSDMRELNTERTDSDPIIECNICLTEFSASRVPRILKKCGHTICEYCADRIIRNGKIACPACQTVTEVDVCYVLSALLVKAMIGYFYAKYRQRNRVDEFQEFTFDLWSHFLGIASFGTILRVIFILIDVRDTIMLTSCLAFYCTTLCFRAAVIEIGEKIGRFDLQHHKKLIIASTVFHVGITGATVKATMIWLNVKEINLLVWSQLGLATVSGVSIIEFLVVLTGGFRSLKSDPSDIRELNTERTDSDPIIECKICLIEFSASRIPRILENCGHTICEYCADRIIRNGRISCPACQTVTVVDGPVSKLTKNHDVLEFVEGIKME >CRE10616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:58920:60810:-1 gene:WBGene00071151 transcript:CRE10616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10616 MKQYKRNVFTILIAYFLIMVITLVIIITLKQVDYVIAIFHSINGMVFPIAAYDYFLIINENVPSDPIECEICNLEYTETHYPLIFKECGHTFCESCVKNLQHCPTCRITVVPNILDMKINSPLLKLALGKENPEVSECARCHHPYNSEFRIPRILTGCGHTVCQECIQMEIGHRFLHFDMECPFCRNVTRVPDGSISKLPKNFAVCSVIEELRARNYNNYYSDFYTFLVKNE >CRE10615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:45611:47543:-1 gene:WBGene00071152 transcript:CRE10615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10615 MPDFRLLRLPLVALKECLKIMNPLELLNLSMCSRRVSKLIKLGGTSSLEVSYMFLGSDTKQIEIGTSRSIHRFEFPASHTIPVLIRQRTADFLVAVSQKPEKASFSWNGSSLELVDYILDTFNCPIHSISSGSIYPYSSLVELTNWVLTRQSTIREMSVTTRNLDGEGFKEILDKLTVTEHLDVLSKLNPDFQYTFKKFPRSISFDSPYWINLEQLLASDCESITLSNSFFTNTDLDAYFEKWKNGDYPNLKSLRIVSDRLDDLMSIAGVAPPVREENNPEEVIRDIYVFSANFIRYRIHGGVRIKNTKGITGIIKMGMERDGMQVTFLVVDLFDFVV >CRE10614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:35829:43601:-1 gene:WBGene00071153 transcript:CRE10614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10614 MRDTVIGMTVVTICGVVFLVTPYFYREDDGNTLMFSSIVTSSISLLFFLTFLQSPKHFLEFRNELNWPIKYKKLLEIWMALQLLVFFIIWRNSTPPILIVHFLYIICYGATVLDYGIVLCGMVQLNGRGQELQDAEIRERRARSGNALSSSSSSNMPDGDCVATWNVPLHAQVHKVEFEHGTTTGKRVIRVDGKEILRRDWMFKLVGKENFKVGDMKCVINVEALGTFAYEYSLEVNGKTFNKFKEEQNKKLQSWETTIAGQEWRVVLDKDSMEVWANGKNIDTAGEFVDNGNVTHFELGTTPCKIVAVSSGKRKTGVIHLFYVNGDAVPSTADLASNT >CRE10613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:12803:18981:-1 gene:WBGene00071154 transcript:CRE10613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10613 MPLPLCRCRVLYIGSAVPTITKDGLQGIQQPLKERYPIHESPDTRGIDSWLSVWSNGVLLEYIEGEKKTETVFFPIITLHYCAAVRYVNVEGFKVGGGGEQFMPLDSPFANIPNSPHPPIFAAIFRRTTGVKVLECHGFICTNVKAANALVRCMVHAYTETMQLKMDERIPGLKAIKEGEGSTGERSPNSPSSEIEENSFEEDVLPAEEKNMKNWQERRQQDGEYDSVSISSSLVNHNKNNTNKKAASETGGIRGALVPFEEPMRRAGSDNDLSYRGPPPHPFHPMHHPYPMMAPPPFAFFPPPPHHRGGPPPHPHFGGPPPPHWARGAPPPHHFMAPPPHSHYGMPRGGFMAPPPPHPMMFRGGFPPFFPPPPPHFMRPSSPPAGDGPIITGPESVYGTMRRGAYEEPPYVGATGGFPEASYQPANYGGDGYETYYDTFKKRGTLKKGGESASMASTRADSQWDQYEAGIYRKPHINEKAFSGTLRSMAAKETSNATLNRSEHAEVGSQTVETEITRPDSPPVDYEAFEKLKLKESQSRRAAQTTN >CRE11604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1497:301:2516:-1 gene:WBGene00071156 transcript:CRE11604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11604 MESKLAQNVTAMITSTGASGIAVADRDGVPLYTTGEISDMANVGSLLMADAKNMFPKGTKNKKNSYPIVTLHASDGSKTTIANKHGETVSIHFKK >CRE29235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig439:3492:6481:-1 gene:WBGene00071157 transcript:CRE29235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29235 MENERFKGKVLTSLGGILVCMLLEMIYYTLPVDYQTLQTYTLKVSILIFYIELLPIAWRFFTTFGEMYRFSDAILIWVCLILRNYLSTYFHESTSECLLFVICDFYFIWDDRVLMDRKVHVQNIVYVTVEESRSKFAIECSICRMDYCSDDVGRIPLILPQCGHTVCHACAQNLLTHTNHIDCPFCRKYGFSIDVDTFQTNHAILEIIENEKKTAPNSLSSVPSVDWITVVMMSTVSLEFFHNADIQYAMRVL >CRE29233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig439:43:2423:1 gene:WBGene00071158 transcript:CRE29233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29233 MAAAHHQDYESHYNQMRVEQKHPIVQLVRSELTKTQQSSFGRHQEFVEKQQETERLQTRIQELGGETRQMTSRIQHLEQIQTTLEVEVKDLKSENAGLLGTIQQLEAEILEASKNNDEAGESGGSGWSDFGDTDEVEVKEEEKLTEKTPENTEELRAKYKKYGEDMEELSKAKVRLSELGKKLDETEKELSKYRNLYDRQKDQESRGVTETDIKLKTAETECSELKKQIERLNKLKEEADERFSSLSNSYGAVLTKSGETNIQFQSLRDEKNALETKLKDMEAVIAKKEEKIRDAESEAKRVRKLALSILMADAKNMFPKGTKNKKNSYPIVTLHASDGSKTTIANKHGETVSIHFKK >CRE19980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:171238:174620:-1 gene:WBGene00071159 transcript:CRE19980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19980 MESKPPIPGRDIESTPLANVAIKPENVHLDSGLRSARVAERSNRPCVAQFGEELRKQFDCLSDESKKHVLRPYTNSPPEELERTMQRVSAAQLLKDNIHYSLRPQLKTSRVVESASIRRKDDLIMSSIPKHRDLVPDPDCEIIELPEKLHNVGRLAPSTHARVRSVTLSIRTKIEPPSPNLDITQHDSMTKPRHVSALEHKNEDRDCIFLGFRRPSEREVRETRKLLDEVKLNRQKEDMMTAKQKVEKLHNVGLFAPSTQARVTSETPSKSRKRSSTSRNLSTIRHDSMSKSRQISIEEHNFDDDCVFLDNDQVDSHARENKDVPSTSDIPSSRRRSSTTRCVPWAVKSENVQIDIDAGSPPQTPIEKVSQVLPTADDNMRCINSQRIPEARDGSCPYIFNIVSDFDRDSGIDNRLSSNEMSTHKFDGRVFTVIGIAFEDIRYSMGCIKFVNQLVVKLPTGCHAAKVAQEKGVEVPVEYQNIPGIPFKKLHGEGALEKYGVTDEHPEVPELWRIQAIFKGGAKKGRLPVLYVGWKSFHIFDLPVGHLRNHEKTLYEIAEARNKYVEVLKRQVSDKKMKALIELEQFMTPALRTDCSNRYWFLQDLTYFHSKIQQDSGQGNVHYMCFTGPTTPLPNYTFVTQHVMLHDVLVHCIEKTRILDDLFNNHLQTALRTNNTFKLGKTPCETPQSCKCNLTYEAMLIHNHFGKNTKLCIPDRMGRLQKLDEHTFGDEYVTVECSSDCGCTRNCPRRRLQNGGKKMLVIMCDDEAKGFELIAGEKIEAGELIGELAGELFLHPNQVKDPSDSPLAKRSKPDNSSSLDCTSQLKLNDGPFHKTFSIFSPDMAIISRRIGNAMRFIQHSATPNAVFIETLSRVLKNHPIIPRIAVHASKNIAIGERVTAYFHDDSVASDSPVDNPEEWRQCECRVGCPDVLPPLP >CRE19981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:181241:182989:-1 gene:WBGene00071160 transcript:CRE19981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19981 MSEPTHKNDDTKWKVYSMSGKTFGKDLKYPNSLTNWVISDDKSLQLLPSHYALPENIRKTQKMDYFLIDYDELRAVEQVESRFPGFTWKTCADFFGTFDTFAKMLKMFGGGVQKKFYLRVISANVMGDEQPGDSRVFADEVAIMIPFLREQQGMPFENAADEQVNIFKRLNPIEQFFSTITLNDLENLLEEYDVDKSLLTLVDDPVHVIGWRSFEMTGTPFKILNAFSTQIISKNQAILYMFQHLLCGVNWEGVSLSMRSHIIGVIGACTLCKSGDYMAFHTVVNLIVLMNRDSSNFHNVKKTKVDILYQSQSPNDLVGYDEYKEIAAAFNLPLYVFVNMKLPALPVWMLRALLTLGWLHSIVQNDAKLDHFVSGIAEATITALMATIPKVDRKFIRDVQKEALGYIFKQLGLKEEHGKVLDVHAQQQEGKIGKDCPEKNGKRRGQNDKR >CRE19984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:215744:217490:-1 gene:WBGene00071161 transcript:CRE19984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19984 MWEPTHKNDDTKWKIFSMSDKTLGKDPKYPNSLTNWVISDGKSLKLLPNHYALPEDIRKTQKMNYFLIDYRELRAIKSVESSLPGFTWKTCADFFGTLETFAKMLKMFGGGVQKKCYLRVISANVMGDEQSGESRVFADEVAIMIPLLREQQGMPFENPADEQDKIFKRFNPLLQFFSTITLDDLENLLKEYDIDKSLLTLVDDPVHVIGWRSLEMTGAPFKVLNAISTPIINKKQAILYMFQHLVCGVNWEDVSLDVRSHIIGVVGMCSVGPNGDYLAFDAVVNLIVLVNQMYPNFHNGKKTKVDILYHSRSPNDLVGYDEYKEIAAAFNLPLYVFVNMNLPALPVWMLRALLTLGWLHSIVQNDAKLDHFVSGIAEATITALMATIPKVDRKFIRDVQKEALGYIFKQLGLKEEQGKVLDVHAQKQEEKSVEKPGKNGKTAGQDDKS >CRE19954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:196102:197850:1 gene:WBGene00071162 transcript:CRE19954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19954 MANVTSVHNNTKWNIIPTSEVSLCVDPKHPNSLTHWVLSHEPSSTKLYPCSYAAPEKLRKDLNIAYFLIDHEDLMTVYELEKEFSYNTYQYWGDSFSSILQFTHMIDMVGMVAEESRRKKMYLRTIPAVPMGDNKLGESRVFVEEISAMIPILREHQGNSFEKPEAEQQKISNRFNPANNSGLIQTITLSQLKNLLEEYDIDKSLLTLVDDPTYLIGWKSLEKTGAPFKMLSHSGAAIISKEQGTLHLFQSLICGVYWDGVPTKEKVQIANIIHACATLGQGIYLWYEAIVSSVIQIRKAFRHIYADRKATFDVMYHKVAPTEIVPYSEYEEITKHFHLPLYTFSNFQVPNLEIWSLRPLLIIGWIHSFVKDDARMKMFILSFAKTSISGLLLAIPENRRTLIADITVSGYDNNFINYNLFQMDAVTIMNNLFDSKDGEVKGKTVDSTQKKHQFKKTTKKNHQLTADIDHAQG >CRE27927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig564:1177:2926:-1 gene:WBGene00071163 transcript:CRE27927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27927 MSEPTHKNDDAKWKIFSMSDKTLGKDPKYPNSLTNWVISDGKSLKLLPNHYALPEDIRKTQKMNYFLIDYRELRAIKSVESSLPGFTWKTCADFFGTLETFAKMLKMFGGGVQKKCYLRVISANVMGDEQSGESRVFADEVAIMIPLLREQQGMPFENPADEQDKIFKRFNPLLQFFSTITLDDLENLLKEYDIDKSLLTLVDDPVHVIGWRSLEMTGAPFKVINAISTQIISKKQAILYMFQHLVCGVNWEDVSLSVRSHIIGVVGMCSVGPNGDYLGFDAVVNLIVLVNQMYPNFHNGEKTKVDILYHSRSPNDLVGYDEYKEIAAAFNLPLYVFVNMNLPALPVWMLRALLTLGWLHSIVQNDAKLDHFVSGIAEATITALMATIPKVDRKFIRDVQKEALGYIFKQLGLKEEQGKVLDVHAQKQEEKSVEKPGKNGKTAGQGDKS >CRE27924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig564:3701:5479:1 gene:WBGene00071164 transcript:CRE27924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27924 MSGPVRRHDHTKWNVFKAPYMLMDKGLSTPNSLTSWIATCNKTTLLFPSAFVVPADLLKKHPIHHYLIDDDDWLAIKYLTEDTPGFKWTEEFVQNFATLGSFIKFVATIPGNDQKKKIYVRTIPALPMGDSGGTDVRVFIDEVAEVIPLLREQQGDTFNKPHEHCDKIQKKLKLADQGFYATISLEQFEKLLEVYDIDKSLITLVDDPNWLMGCISFVNTGVHFKMLSVNGDVICSKDQAALYLFQTLVCGVDWHGVDFAVRLDILQTVHSLADYQKVRIHQNSLFTYFVFQDLYVLYEPIVRLIVNSKIKHAEIYRNYGSTDNVMFYNESPVTLVDHSDYVLLCDRYGLPLYEAFEDKLAPYPVWYLRALLTLGWIHGCVQNDEYLEMYILDIAKTIMTALMLAIPQGKRQLMKEVTNEAIRFHSEIIIFDEEQVVKAPFQRKRLLNADTQVTQHEEGPKPTGSENVKTRETNKRK >CRE00489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:91457:93007:-1 gene:WBGene00071165 transcript:CRE00489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00489 MSKRAAEKLRNKPKKYKESTEELPQQSTSDEQQRNLLTTQPETPVALSNPISDEDAFRMTVRESSVVLGQITQDNIVIFKTLIDTIFPEYYTTENYEDHDSMGEFVRIAYCDGKPAGVIVCDTDKSDMLYISMIGTLMQYRKCGIGSILLEHAVQLAEKLKKPMSLHVRVDNVNAKCFYEKNGFIVKDFVEEYYKQAPKGAFFLVNSNHAEGETSNIVPDGEQPGTSARSNSVILCEVTKETVSNLKMLMETVFPNMYPDVKFDNAHKLEKFIRIAYINEHPIGLIICRMEKKCCT >CRE08498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:277643:278883:1 gene:WBGene00071166 transcript:CRE08498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08498 METKKPLNILCSEAIIKYMDFSVRTAVTRKSPGFCELQKFTPARIQRLSLDQKRIEIDDGIYRLSVVQTCNDGEPSQYVKEMNKKGGWENDLDEYGLEKEMPGSSVITVQSLENALARARGRLGANIKKYPNSAEAFRRQFQKENRTICYKLRTLKLKSRNLPSAYNQYIRLAVITKTFKTVEYVKYSHRLQEAMEYLMTKIFGSSTLNDKMIIIRNMTVEENAGAYLETLSPKINYENHPLNTITTVGGNYSDFAITGLADLHIIQGEDVKYCSNALRVHFKIEWLIINQFYGLLEYLKRASCPMGKHISIYNGGRRFVALSSIPNAVKGTFPGDENQIQCYTVRHGSPDREINCFFVRKANATELHIKIHPRGFAAEH >CRE08497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:275018:276257:1 gene:WBGene00071167 transcript:CRE08497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08497 MNSTHKTPLSYLCAQTVLNYVGFSLRTTLSKKCPGFVTLHKFSPARIQRLSLDKNRIEVDHTIYGVSVIRIRKEGEPSEHVKGMNSKGGWECDLDEYGFAEGERIYSVDDLEKTLARAEMQLEKDLSTANPKAAEAIKKNFNREHRDKSDKIEALKLKAGNLPSAYDQYIRMVVISSKFKIVEYVKYTRKLHKAMEYLMSKIFGHSIMSNKKIIVGDLTIEDGASVYLETISPRINYEDHPLNTITTVGGNYVEFPIVGSADLHVIKGGNFINRSNALRVHFKIDRFHVNDFYNLLEYLKRGNCPIGKHISIIYVYMIFFALRSIPNSVRGTFPGDKNPIRCYTVRHGNPRKEINCFFVRKPLMTELHIKIHPRGFATKY >CRE08526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:270773:272589:-1 gene:WBGene00071168 transcript:CRE08526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08526 MPISAFRRQVKNATRNYSAHQIKTREATSNDSWGPSKSIMCELAELTHSPMAFTRIMPIIWKRMNESGKKWRHVYKSLVLLEYLVKAGHDMVVEECTENLYLIDTLKDFQYIEKYQDVGMNVRETAKKICSLLSDDELLKKERKSFKEMRNKFMNSGVEESRSGTPDSAEDISLEVDYDGDHSFSTTNKEMQLQIALGLSLEECKRSDEVRKREETLLQMGIEESQKQAYYRLGASDFVSGEVTHREIDDLVTLGGAELAHRLKNPITSSSTWYTNAVIDQSGAIYTQNYQNPSYDYFCVNTSSCALENSSEALADLNTLEPSAFANTFASGQDFDINQRDIFFPMSDPIIANSHCSPATVNSHLSSTKMDAENLSVDTDNFVDLNNLMKQTDFYTNGGVSAFNGAIRNPFKRTVRNPFKSYHCKSSTLEEMQAAGLQQDAPM >CRE08496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:269534:270142:1 gene:WBGene00071169 transcript:CRE08496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08496 MDHNQNQIDVGNTTADSDFSFQRAKKCDEVLEMHFQWNTPYCKLHGQRGVPTVCNCPENTLTLSDPEHIPHFLQFLEANGGYAVHNISVKELKVVKAELVTKIEKLNENIVNWKPESGVSMNEYLEELENVEREHDRAELEMRRKEDSLRRGDEDNNDILRHIVLEDSDDSDEEESEDEEYENEDEGFEDGDGISDAENLLV >CRE08495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:264682:266335:1 gene:WBGene00071170 transcript:CRE08495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08495 MDQTEMQYQVLAIMMKAGMAEAKITDAINTLDKFPTTVEVFDRCNLPYIISRYAATNESARKLAFTYKEIKDAQLIEEQSLLFEDFLAGVKMECEEQMPSHHVVNLVKNLLQNVNMNCVRLAYRLLDSLNFNLDLYFELYDQAIDDSNQIVEAKRLVDNVDRFILYNEAVDEDMEFSDDEEEEEIDENDEIEENEELQIPDDNDDDINVEDVIEEAEEENDSGVESEGEELIIERNQEEEEEVIRLRAAIGDIFMRNFAQCLLWGNTEKITKAASFFQEFEVHPSMYRKYEISRLIIECPSIQKYADKLLEQIKEIESETYAVNDIEVFNQIIKYTELTNQPLDDVYEVLSYYLMNASEIYRDRIIKRFLEKPVTYVQFVNLGIEEFLLQSSDKTDDMMLLIQKIQGLRMETIS >CRE08494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:258926:260148:1 gene:WBGene00071171 transcript:CRE08494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08494 MKLLKLPFLVQTVIFNQLDFDDVFLLSLTSTKMLIVLQMVSWKHIKAISYKARRTHTSVFAVKSQEDSPKMLELRPSMAMSKETQQSVRCSEYNMTYYWSSDTNILVITFNEKTMVPTVQFVHQHISSLFGSHLQHGCTLLSYDLMFLPAILPITSNVLISHLVMVPTLLDAFISKMENQEYLTMKNQMRDLPLFNVKFISCKHLSFINVYTLAPEILSRFEGQTVVMYNAIMEDATIVKFVANWQKGNFAPNLKFLKLYLNFSHLFHPAAITNSLQIKTFSHSKRPPCFQYPVKNYFGAEETLLKHDLVVTTYVVRETDKVVASISFSEDRFDFCVWEWNETQMKEMGYIE >CRE08525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:252691:255572:-1 gene:WBGene00071172 transcript:CRE08525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08525 MASGRYPNLETGTPTSPTYSDLDEDRPEAPIRNTVPSSSAHPTLVNVARYHSPTSPRYTPTSPGYSDDEDRSASPRSSADVQSIQKMKAFRIDKDEYVINHFLGKGEYGVVFSVSNKNQEMFAAKFLKALQPASKIAPGEIELKVYDLLRQLPHPQLLQLVSTGDLLSTPAGFSTRVILTRVLGLSIWDTLNKAKEQDIQARARNGSTSSMTVPRVAFPLQSIKMMCQKIINGMDHLENLKVYHLDLKTANIYFTENEDFQLDFSDNSHSLIQLSDVTIQIGDFGVCRFHEVDGAATVPRIVQTQFYRAPEILLGLPYNTKADVWSFGAVAAELYTGDFLFPGAVGPDSEVSQFQKILTVTSARMPREMMKKREKYGSSRIGGNIHSYLKRSASSSSSTQGLLQLKRSDEAKAFFSMLTSVLILNPDSRPSFKKIKKNKFLV >CRE08524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:246333:248698:-1 gene:WBGene00071173 transcript:CRE08524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08524 MGQVQQRNLRADKRKRRAYESEREKEVSQQTDDDSEQEEVEVRVTRSMYKKMKLELENRRRVIKMLAQQQKMESEQPSERKQDVPSSSAVIGIEGANHSNQEREQNEDLHNTSFTIDELEAVDAYLYHVMETVLRQTHVDLPTEKVEYFHDPLEDHKNYFRFGPITKEEHDFNEMARKEEEMDLDIIILPTPPRNTSPQKIQREVLKVKNVNVSNVERRSDTLPADLSLRSEKKKVQQHKGKPISVPSVIEIDGDSENKNHAIEMDMDIIILPTPPPKIVQQEVKAEVQQMEVLNPLNDEHEMHTVPVETSQTVEEKKVPERIRELIPDPPVGIINFENKFGKEVLTTADFLGVSHPNWFSGSTIKFCAAEMMDNLQEVDRVLMFDFILDNIGRTIFVNCHLPYTQQILDELQQRCEITLGARKKLCRTDNFKKDMWCCPYFIEFHFMLIVVRNPFGAILDGSEENKSPCIVLFLDPMGDIIEYRGQRVLRMVKVFMKCYFQALQRSRKYPETAVFDENRVVLKRVKNLPLQSNLIDCGPSVVSYLETILDNQRGLLKRNVEEDLDWNTLELNGNNSIDVSRSKVRARMLRRVSSETRDRLSRMEAWKNEEYVSTYDKDPLYMKEPSTRRSRSSELKQKSRKPYKRNISADFVANKNPRELQRQITLRAVRNLDEQNTFSNLPIVSTYH >CRE08522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:238386:240351:-1 gene:WBGene00071175 transcript:CRE08522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08522 MKDSVLSHSQQGDFGRKRLENQANVQSTKFRDLAKNGYWFESVEHDIEDVNCGYRRHADRSIALKKNKKSKVMERSQRSDDSHYIHHERIVYEPRRNLELLPPLKPIDNAKELEYPNKEVYAMNNAKLFSQRNSQEKYPYSLTDVAKNMDVIKVPTTEAFKKYFNGKLTNPTVIKNKKKKLGVQRRRKQIVQKVRSVPLKQRPVSALPPGQTNPPEGEFGRDADGRLIPTFSELFFFYEKTENYNNLDKRIGFITKNFKIVFDNKLGDILEKHLQLMGIFCSDWKKEHFQVVREKFLQLKNEWKEQNNQ >CRE25737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:426254:427554:-1 gene:WBGene00071176 transcript:CRE25737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arx-4 description:CRE-ARX-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MLC9] MIILEQNNRIIIELLEQKFANAKEGAKPESVNVTFADFDGVLYKVSNPDGVKTRIILSISLKFYAELQQHGADDLLRKIYGGHMRATPESGFNVTLEYDLSALPDNTSELVQKASALKRNCFASVFEKYFEFQEAGQEGHKRAVINYREDETMYIEAKADRVTVIFSTVFKDADDVIIGKVFLQEFREGRKASQTAPAVLYSLGEPPLELKDLPGARVGDNVGYITFVLFPRHTNKKTRDNTIDLIHSFRDYLHYHIKCSKVYLHTRMRAKTTDFLKVLNRARPEVKGEKKTFHGRTFQTQ >CRE25739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:428816:430812:-1 gene:WBGene00071177 transcript:CRE25739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25739 MSDVLLSKLSGELADLDGQIGQIDQQISQLRRKKAELTQKKQAIERKIELKTNEDSDVVLDRWDRDGFSWSQEANDILRNKFHLETFRPLQRAAINAVMSKEDAIVILSTGGGKSLCYQLPALLAKGLTLVVSPLVSLVEDQILQLRKLGIDASSLNANTSKEEAKRVEEAITRNDSEFRLLYVTPEKLAKSKRMMNKLEKSLAVGFLKLIAIDEVHCCSQWGHDFRTDYGFLNVLKRQFKGVPILGLTATATSNVLDDVKDMLGIQAALVFRAGFNRSNLKYQVLPKKGNEDECVEEIAAIIKKRFSGETGIIYCLSRNDCEKVAKSLKAQVIRAKHYHAYMEPNDRSACHQSWISGKIQVIVATVAFGMGIDKPDVRFVIHHSLPKSIENYYQESGRAGRDGRPATCILYYRLADIFKQSSMVQQERTGIANLYNIVRYAYESRICRRVKLAEHFEEAWEPSWCQKQCDVCEKATDWETKSIDVSEEAKAAVKIIEGRLNSETYETTKHHFPENLNSAKDGSGRITGNKLVELLAKRLKGKRSRECCERLIVFLLLESYLQEDFHYTVYSVISYVVVGSKWRVYNGRDEILMTVDDEKGSVLASSTKSRKRKAVISSDEDDDITVLDD >CRE25740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:431359:433150:-1 gene:WBGene00071178 transcript:CRE25740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25740 MSELNSTRCGIAECRCQIRITFKGLKHRRMSSEFQEEEEEPPEIKYIDSEEFQNLSIPNDDAEIVFRWIDSFETEEEKWNRLLTKFITPLAGRNGYCFRIVEKYAKALHFQGAEAVQTKGGLRFLSNAAPRSVGTSGSLPIILNNFSETTREVNLAQYLASALSIIGQDTKEGHVIQKTLSRERLGSVYLFLHGYRQGLENLLANYRLLRNFRYGAKKRKDYLTDHVRNIYLERDSFTQVPEASSLALQMVLEDWNCPLQNEKVVQLAHANTFQMRSLQVKGDGFYFLNEALVCTLALNYPDSQEEMEGFDTIFTPHSTNPRGKQLFQFWRMISKYERSRAANPQGFLVTAQRLEEECKSDDIGAGCAVMIETACKIRKQCDQQHEEIAKVINDTVTRHPALGPRMMHLAEKCDVGYENDVLLQQMCDLESRKCLHPSEPTWLLHVERLIQHVGRFGSVRKTMEKSLKIMFEFLDFDSNRFNEKAWLLMEKVLELSDPSFVLPEWRIRCDWWLRYHRTRGARDKRKMGEKAEKTKIEVLKALEDIVL >CRE25670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:433429:434442:1 gene:WBGene00071179 transcript:CRE25670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25670 MVEKSEDNKKRGRSSSSSSSSSSGSSSSGSSSSGSRSSSSSSSSRSRSRSPRRRENGRVRGGRSRSPIRRGGSPPRGARADRGGGRPSPSPPRRRRVTPSPPRRDRRDRSRSGPRRRSSPRRASPAPARSAPIKRVVIKNLSRNVLRAHLEEIFSIYGAITKVDLPVDRNHQHLHRGIGYIDYESVEDAEKSIKYMGGGQVDGQVIQVEMTIGGRAFVSGQRRVSPFRRRASPPPRDRKSPIRRGGGGRSPPTFRRRSPMAGGRRSPMGGRGSGANNAPLGPSRFRRGGSRSRSPVGRRSRS >CRE25671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:434966:435670:1 gene:WBGene00071180 transcript:CRE25671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25671 MRATPEPGFNVTLEYDLSALPDNTSELVQKASALKRNCFASVFEKYFEFQEAGQEGHKRAVINYREDETMYIEAKADRVTVIFSTVFKDADDVIIGKVFLQEFREGRKASQTAPAVLYSLGEPPLELKDLPGARVGDNVGYITFVLFPRHTNKKTRDNTIDLIHSFRDYLHYHIKCSKVYLHTRMRAKTTDFLKVLNRARPEVKGEKKTFHGRTFQTQ >CRE25672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:437455:440192:1 gene:WBGene00071181 transcript:CRE25672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25672 MDQFKEMVSSGCCSCASRCSSVAIVFILALVILGILAPITLTSMLTSSAQRMPPDSTDLSPYSIRHPKFWPKTDKNHFNNLDGIPMPFLFPPNVSTCSGFGFTCTGAVKMIIPSSKRCDGIKDYQDGFDERIARNVNQYFHAVLTSKKIRRRSMARMSLSACLHVPKRIIIYLRPQGYDQFKCTGNNAYLPISAKWDGVNDCTDGSDEKNCNKCGKQCIKASHVCDGIAQCADRSDEKECDCKSCSGSDKALCEDGTCIKRTQVCDGRKYCTDGMDEENCPEYCSIEAFSTETKQVTCSDEKRFTESEACSGVVESCEQSCSKCHPRLTFTCPAVGGSQKRCIRRSKVCDGINDCDDGADEKNCTPMIECGIENASRRCDGVWDCGDKIDEQNCQQCSSGSIRCAADNKCLPAYTRCNGVAECSGGSDERKGFFEEHNGRNEYDKTSIPYLVRLHTSTTRKLDLSGATVRFSKFWKKNTILLQIDDQIFVDLLHAHAHSIKELDLTNVTGVTDLANAYLYARSTNFPNLTSIRMTSMDLVTGHQPRRKNGIASTQFRSLFMLGEEALAEARGHVDRDGLRSPLSPSSQPSSIQSDQMDDLLPCDQPDNLPLITARAPNVTRLFLPRIPNKRSTVDEEEQNTLVILSKILSPLQKLEVLDLSYWSKTDDMRCLQSLSNTLTCLILYDVPDLYHAISNICHMTEIRILDISQSNRDTGLYPHPVTTLNKMVVSLPHMTHLDISSTNLATQPSS >CRE25742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:440822:442583:-1 gene:WBGene00071184 transcript:CRE25742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25742 MSTNRVWTSEESSILLGLCAQKCSDVNARMSLASISLEYKESSGSNRTTKSVEKKLRELVSSMFEMTTLDLHTKAKIAIVLLRPITEEFLQRVRQHADVEINEKRQIVKFKERKDGGISYDSTAERDVKMLEKLDKEKTMIDLLSKMSDASEVLTNACIIRKYREITNCTESESSIERLYNKVKSQIFKNTKFDMRTKIRMLYASKASISDEHLKELRKDGVVVLDSTKKSIKKYSSHDGTFILGEPIDDRDKRRQVDSEDSFESRIATMLVNDESGANSDFTLRNGQNTGNDDASPPSTNPPCFLEELEEKIGVKSVLQFLSEFSRSIKLPEGPNLASMEELLVEVENTYRTKKDKKILTSTILMLLYVELLKNVNSASVMPSATDEFVNLEKYLNIFLNSLRYIQSEEVEKYRAGLRNTFSTFERTKKMVPTADVISTVRTVLKFVVDYLKNDTAEKSEKSKKRGKNT >CRE25743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:446262:449516:-1 gene:WBGene00071185 transcript:CRE25743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25743 MLIIFLLFVVTELVWAQLVCPGNTTPFDYQECDPEIRSECPAGFTCRKTTVNSTGTNLNLCCESGRMTVGDCESPKMQNINLAPASSLLNFPPIHVGDEVVVLSFPSYITGLVQSFTLPAQVNPGYIHLVTIIDPLYKPFAVLMNYNIIVGQPTQTVNVTSSRFVAYLDNSASMPQLDSYRSEYLVLVYYTASQIFLDGATPKDMLLGSSCSDAKCLLTSSALSTKLSQPLAGMVFYTATCQKISGDVGDEIVIQPDILVTVNPNPFNIPTVNWDDILKNIPTIPPLVLPTLDPNFLQNLPTIPPLVLPTLDPDFWKNLPTIPPLQLPTLDPKFWQNLNIPTLPPFQIPTLDPNFWQNFNFPTLPPLPTLDPNFWQNLPTIPPFAFQTPTPKTAQCIYKLRQELQSNMTFAGSLNYTYVQSVVDALIVQSVSICNGFQTQRLTDLSKKYGVLVADVQEIVQYFSLSDLEKMLQSILEGDSGQIIQLFFAKTMENLVNPSVTAKLSKASTQISTLQFDLLINPI >CRE25744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:449894:452860:-1 gene:WBGene00071186 transcript:CRE25744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pef-1 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MLE0] MGCGPSSGRQNPSTELKKSTSRGATSSTNSTSHHHKNNSNNNNSTTTSGTKKSSTSSNKHHSTKKSRRSNSKKNRSPSPQPQLTIKSAILIQKWYRRCEARLEARRRATWQIFTALEYAGEQDQLKLYDFFADVIRAMAEENGKTGGDNGRNSPLMSALSHYAKPSLMDSEGETVKKMLEDTSPVNVDIDRNYKGPTLTLPLDKPQVAKMIEAFKVNKVLHPKYVLMILHEARKIFKAMPSVSRISTSISNQITICGDLHGKFDDLCIILYKNGYPSVDNPYIFNGDFVDRGGQSIEVLCVLFALVIVDPMSIYLNRGNHEDHIMNLRYGFIKELSTKYKDLSTPITRLLEDVFSWLPIATIIDRDIFVVHGGISDQTDVAKLEKIPRHRFQSVLRPPVNKGMDSEKENQAVNVDEWKQMLDIMWSDPKQNKGCWPNVFRGGGSYFGADITASFLEKHGFRLLVRSHECKFEGYEFSHNNTCLTVFSASNYYETGSNRGAYVKFIGKSKQPHFVQYMASKTHRKSTLRERLGVVEESAVKELKEKLSSFHTELHKEFDNVDLEKSGKLPILKWSECVERITGLNLPWIALAPKVSILSEDGKYVMYKEDRKIAQVGGTHAQEKDIVESLYRHKSTLETLFRFMDKDNSGQVSMKEFIDACEVLGKYTKRPLQTDYISQIAESIDFNKDGFIDLNELLEAFRLVDRPLLR >CRE25746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:457489:458772:-1 gene:WBGene00071187 transcript:CRE25746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceeh-1 description:CRE-CEEH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MLE2] MVLQKLVFSLLKYYYCIKFTALLFIGFLIKGRGLFEKKHREKPSVLEGWDSRYIKLNKVRLHYVQTGSDDKPLMLFIHGYPEFWYSWRFQLKEFANRYRCVAIDQRGYNLSDKPKPVESYAADELVGDVRDVIEGLGYKKAVVVAHDWGGLVAWKFAEAYPEMVDKLICCNIPRPGAFRRRLQSSWSQFRKSWYMFFFQNKRIPELLSTADDMKMLEGAFRGEMGIRNKKNFTDDDLEAWKYSFSMNGASFKYPINYYRNIFNNSSGSSKDIVLEMPTLIIWGTADGALDIEAAEDSLKTLRNGTMKKVLGASHWVQQDEPEQVNQHISEFLSKN >CRE25674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:461321:462836:1 gene:WBGene00071188 transcript:CRE25674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zip-2 description:CRE-ZIP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MLE3] MNNNVATWMRPSSEPFSAPLAVGFSAWPPSPTLPPLAHSPLQDAVHADHNHRRILNSCRNLPDCLTTTVPQLHQITSAEQSPLQFTPPPSCDLIVVLDNQTTTTVSTMTRRSKMNANSHLNVPSFEMNMSTSHESNATFEFDVLPEYTNYAHDFPPVLPTASATSSSSSSQAQVASSPDGDFLDLDSLMSCDITSLDAYIHDDSIATVSNVSPLTVTTPDIDPIDEFFPQLAHTNKSEFCIPSQIPRHRLALCNFPSHKTIVSIPNFPVSSPSSESTITSSSRVRKPSSVSSDSSSDYRQKRDKNNLASQKSRQKRQAKIREAKEEREQLERRQVQLQAMVSTLETQVEDYKRLVMMFVKR >CRE25747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:463140:466373:-1 gene:WBGene00071189 transcript:CRE25747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dos-3 description:CRE-DOS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MLE4] MWIPILVYFCILTGNLYFSHFLINCFVVLGLVQPRELMLRRACQTDPTFSFCRNHMKTAEIRTESQKKRDVFLQWAFVAAKDEQDIEDVDELETTRKTAYSIPDYCTKYAANFKTYCTNGHLEKLEGLLTHFCGSYMKNCNVAGKVEKLTETGKTEEVFPTPTPFYSTTVGPAPKTTYCDGNAQKFTKECEKEGFTPDRFCRKYRELCGKLEKVDADTTKSVDDDLDSLETPDEFEEHEKQDIAVSPKPEEVEGQGAGTDEVTAYCINYNENFNYFCVGDMAPEHEKFCNSYRKNCPDRVSLKQSGSFLGNEGGSERSQEGASSSSDDKTYLYKGSKKEYCEKFSVNYEYYCKGPIENAEIFTKFCPSYKKACVKNQAPANPFSASKGQIKVSSGGDSDFPDVDHPKEGRSKSSRRRSRKLKKRPCSADCDERIFPHCTKECKCDYDYPAVQKFCNPPPLPMFLNTCRLWYYGCPKYEQYHYASQFIYSKAEKGKVLEGPKTQTTFQLLAPSGETLPYKPARFKRETDMVLWPKEPEIESMDNNNNNSIMGNATVDFSMDEKAMEDGDKMVQLKNGTTIHLVAAPPLPKQTKAKDQVLKDSKPAVPNLPRIKKTTGGEAVPVYSDSVFSNALAQYNSLTDSRGILHRPRSRSPFTKPGLWEPNPDDPHNRDHANKYYYRPESVNVDWLQGQIAYGAHWAVPAAGVGGTDGFSAIHFPSLGTFLNIPDDYD >CRE25675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:469031:470034:1 gene:WBGene00071190 transcript:CRE25675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25675 MILFSQFLVLTVLVCVCRADNCIDKFCPPGTFCDERPGPCVKPPCRTILACLPIEANGCARMECKAPQVCVERVRPCIGRSCKEIATCETPNTCAAVVCPPSQKCQVEDGKPTCKKHIPPTRGIIGKAVLDEKQFQEN >CRE25748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:470090:470651:-1 gene:WBGene00071191 transcript:CRE25748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25748 MNSPSRILFYFLILLLVQEIASENGLDVNALSGKSKKFFFDMTKQVMGVPSLKPENLAAQAFFRQFLDKYTKLDDKIKNDMTQHVPKATKLFDAAAKKFNIDPSSAFKMLSTFFETFKKGASSEMASKGLQMAGKLLGGKSGGGGGGLLGFLGNRK >CRE25676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:472098:472880:1 gene:WBGene00071192 transcript:CRE25676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-far-8 description:CRE-FAR-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MLE7] MCSLKVCALMLLATVVSGRPSGEQMTEADFTNLVFTVEKLDQILKAYSAYKQFMPSYVWEPIEKITEEQKTQAVKMVNDYHAGQFEPKNYDELIAIIKKSYPALAAPYETMYGKYKEQVAKLGPKGQEYANNVSVPIFNHSKPDILQLEAQMYADASPDRVVWACHIFNNAKKAVSDAKALLLDDSEADKIDQAFPEAVTFLHSKEFDAYAIVVNSLNTLDCTKDREQIFNTIKMFDKHNVLTNN >CRE25677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:474920:478456:1 gene:WBGene00071193 transcript:CRE25677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25677 MSQHQNDQKTMSFDHLLTSTRRRELLQNLGVGNGSDSFSLSPFRTAKCNVETATSQRCRFLVKNQFYTNNFFFKIKIIPAIQRANPDILRPIFDRFASKEVKGKKLMTPEDFIRGYLGLYTEENYNKETIRLLASAADTTKDGDISFEEFCAFEALLCSPDALYLTAFELFDRNASDTISCDEFEAVIRHTQPLVDQDFDFNSDFIKRYFGADKQRNVNYHSFCQLLHDFYEEQGIQAFKKYDKNGNGTISSVDFQQIMTTVKGHLLTDFVRNNLIAVSGGGASGHKVTFPYYAAFNSLLAKMELIKRVYVSNARGNLDIEMTKEEFLHAIQSYTQVTPYEVEILFHLSELNHPGRKTLCLKDIQAIDPERLKRVSQMDRLINIKAVHHKDERGFGTALLESGYRFLLGSIAGACGATAVYPIDLVKTRMQNQRTSGSFVGEVMYKNSLDCFKKVVKFEGLLGLYRGLLPQIVGVAPEKAIKLTMNDFMRDKFTQDGKIPLYGEIIAGGTGGMCQVVFTNPLEIVKIRLQTAGEVQQAGKKIGVMSVLKELGFLGLYKGSRACFLRDIPFSAIYFPAYAHAKLATADEDGMNSPGSLFCSAFIAGVPAAGLVTPADVIKTRLQVAARAGQTTYNGVIDCARKLLKEEGPMSLWKGTAARVCRSSPQFAVTLLTYEVLQRLFYVDFAGSRPTGSELATTKTIQDESSTNPDHVGGYKLAAATFSGIEHKFGLFLPRFETTK >CRE25749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:478958:479898:-1 gene:WBGene00071195 transcript:CRE25749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-moma-1 MTQDKPMVETISNAGNQVTNALGQFWQLLTSNQSKRAGIESKPVRIDQLPLYAEDNAPIAQKFVPEEPLPLQREFATVRIACQEEYSRVAERFKVVDCAMSQTKKAATRCNEYLTEEWTALPKAAAITVGGMAGFVLGLKRGPVGRLLTTTVGLATMAAFCYPIEAVDVAKTGRAHAEQTWYSFQECEFSRAFSPTIHSIPAPTPTTIAKTNLSPPK >CRE25750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:480001:481167:-1 gene:WBGene00071196 transcript:CRE25750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25750 MVEKSEDSKKRGRSSSSSSSSSSGSSSSGSSSSGSRSSSSSSSSRSRSRSPRRRENGRVRGGRSRSPIRRGGSPPRGARADRGGGRPSSSPPRRRRVTPSPPRRDRRDRSRSGPRRRSSPRRASPAPARSASPIKRVVIKNLSRNVLRAHLEEIFSIYGAITKVDLPVDRNHQHLHRGIGYIDYESVEDAEKSIKYMDGGQVDGQVIQVEMTIGGRAFVSGQRRVSPFRRRASPPPRDRKSPIRRGGGGRSPPTFRRRSPMAGGRRSPMGGRGSGANNAPLGPSRFRRGGSRSRSPVGRRSRS >CRE25678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:481525:484380:1 gene:WBGene00071197 transcript:CRE25678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25678 MSRHSEDMSSEFQEEEEDPPEIKYIDSVEFQNLSIPNDDAEIVFRWIDSFETEVVISISRCGQCKSLVPEYKKAAKLLKGIASVGSIDATTQQTIPSKYAIKGYPTIKIFGATDKNKAIDYNGPRTVKVIADAVWKSIEKEDKLKPEWKKAAKEMAGRVKFGALDARAHETIARKFQIQGFPTIKFFPPRSKSSDFEDYQGGRTSSDLIRYSESTYEDVIDETCRNRQLCIFTFLPSIFDCQSECRRAKIQILNDLAAIFKKRAFGWIWIEAGAQMEPEKVRKSINRYIFESGMCPGGVERLAFSAEI >CRE25679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:491844:493567:1 gene:WBGene00071198 transcript:CRE25679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25679 MKSSSHCWILIKHLPAVRQHADVEINEKRQIVKFKEKKDGGISYDNTAERDVKMLEKLDKEKTMIDLLSKMSDASEVLTNACIIRKYREITNCTDSESSIERLYNKVKSQIFKNAKFDMRTKIGMLYASKASISDEHLKELRKDGVVVLDSSKKSIRKYSSYDGSFILGKSIEDRDKRSPPCFLEELEERIGVKSVLQFLSELSRSIKLPEGPNLASMEELLIEVEKTYRTKKDKKILTSTILMLLYVELLKNVNSASAMPSATDEFVNLEKYLNVFLDSLRYIQSEEVEKYRAALKNKFSTFERTKKMVPVVDVIGTLRSVLKFVVDCIKNDKAELSEKLKK >CRE12461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:37:2611:1 gene:WBGene00071199 transcript:CRE12461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12461 MLKSIAFCGSSNIAALFENNVKLASTTTGTHFATFEMDQYSTDVHGCDDFLYVANRKEWVFWTCEPSKCYRSKDPQKIKMIQKMVEIGGDSVLLENRNGQCLLYEIRRMDKPRWTIVSKEPSTSINVTSQYVCLERHKNTVRVVKICSGNEIPWWRIAKKKHEDEGDVDSVASQKVNEGPKIEDFVHIRDVGQGTYGLVGEYRSKRTGHRVAIKTLNRSLRYNEAYLIQRETINMAKISHRNVVHLYQLMEIMTTNLSNIIDSSGRLDEYDASQVLKSIGEALSYCHFKQLIHRDVKPDIILISGDSVKLEDFGVSTFEQGRTICDTEGYMAPKIITDQMYSYQVDSYALGVIVHQILTTTMPFNDEKDGGKSKKWKFDTNESFSEDIMEVLSELLEAKPKKDGQ >CRE12463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:9639:11885:1 gene:WBGene00071200 transcript:CRE12463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12463 MEMMTTNLSNIIDSSGRLDEYDASQVLKSIGEALSYCHFKQLIHRDVKPDIILISGDSVKLEDFGVSTFEQGRTICGTEGYMTPEIITDQMYSYQVDSYALGVIMHQMLTTTMPFNDEKDGGKSKKWKFETNESFSEDIMEVLSELLEAKPRRRWTMKQIKRSPKDQMIQKMVEIGGDSVLLEDRNGQCLLYDIRRMDKPRWTIVSKEPSTSINVTSLYVCLETHKITIRVVENRDRRLKGALEENQRYESNFFKVKKKHEDEGDVDSVASQKVNEGPKIEDFVHIRDVGQGTYGIVGEYRSKRTGHRVAIKTLNRSLRYNEAYLIQRETINMAKISHRNVVHLYQCFSTQLHVYLVMEMMTTNLSNIIDSSGRLDEYDA >CRE12464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:20717:22254:1 gene:WBGene00071201 transcript:CRE12464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12464 MTVSFPLLRLPAVALREVLLNFGTMDLLEFSFTSTRVRDCVFRSARLRVIEHNVEFLKEYPHIVTVFKNDDGLHEHKLEWEFVGGQLAGKSHQEERRIGCHRFEKCHKNGNIIQCHFHNPEFGASVVFNHISKIFQGPVNLFLDLSHIRNLYSILLNQNISECQKLEVYEGYNNEQNDEDLYGILDMVNIGKELKAYVTNQDEIDFDQICHLESLTLENANWMTLPDLISLNCRYGNFINHKFGPFDVNSFAENWYNSTNRTLAKMQLGWDVDTELDLKKSKLEWKKWDPKIRSRCFYDPSEPYHSNRIDCSEGYDITRSDGLTATILVRDGFECYALFLVWHDPYPEKTRLNQLRERMAEEFKKFENVFESHRGNEKYVTVGKFVKSWIESKEIAHLSFEEWKYALDYITEDTCHLYNRIVKNVNDIQKEIKLWESVDNTNNCIL >CRE12466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:23495:24427:1 gene:WBGene00071202 transcript:CRE12466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12466 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M719] MFLISKIWLGYGLISVVLSAFLVLIISTSQLFNQSFYRLVTIHLVLVILSWINSWPSRIVYTEDSPYFARVLFEHSPRLFKSFTFLGVAFCHIQSWSSIVICINKLRTANPEKYEERNKFWNRWCLLIYGLIIGFGFMAANYLIVIPTIRYLPETGNFVFIVMNLGDGIMNIFLVGVFLILYILISLIIGLITICKIRKHEEKGYHHSSLVILAHTFFRVFCLLSLMGSFFLQIEDFTVEMMITIFDFMTFSMTYMLLFCDENVKMAFRTSCSSGDST >CRE12467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:24862:25235:1 gene:WBGene00071203 transcript:CRE12467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12467 MYAFLILNICFVVFVGVGCKKKKNNASKLKPRDMSKEKGVTKSPASKPGTPTTPTQEAEKVKTPEPEAEPPMAPREADDNETINEAPSQWSAIK >CRE12641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:27794:31108:-1 gene:WBGene00071204 transcript:CRE12641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-50 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M722] MGTVSFIKHAPASKGNSVDGAFGGPVRPEQVMWVVGSTSSVVGKRLKEESTTVEVITEPTKQEPRTETPTTVQVTTEATTERSTTEAPTPEPTTEKRTTTEPTTEPATENPTPSTLTTRAQYTMTSEKPTTEIRITVKPTTEDSVTETTDKVFPSSSIAPTQAEQTTSEVHTTEQPTPTRGFSTIGAESTTGFTPKIGDVVTTTEDVSFSKLGAHGRLVSILFLFLFIESPGFLQGADTLPNGTPIKTLPDDFRVYKGKDDFSPVVANNKGIYILHPVQRQDKQIIFDPFTIGQVLGYLYEKIEFGNEKPPGGKYTIELMGGRIPTKRFASNGKTRRASLIFTKKNIFRFDHTQFSQTYYLNLDLPMLGTLPKVWLTYGIISAIFMILLIVLLSKNKHFTFSFYRLITMDLLLNLCCWLNTWPNRMIFRQDGVEYILPIYENVPSVIYITYFIMNIFLHVQSISSISICIHRLSTSLFKSSNSFWSKYYLLVYALTLVYSFGATQLVHLNEVEFDYQSNTFKLSNVTVEQIEANRVYLRFFISGYLLIIIIIGSLTIFKVKQRLDNQSLQHKLLLRKMSQITITHTCVYAILLIWQIVSPFLIYNNSINILMTVSDMIAFSMAYILILFDGNVRAAIINKIPFTAMIKGRVTDIQSTQNNSSRVIVM >CRE12468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:35070:35943:1 gene:WBGene00071206 transcript:CRE12468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12468 MKFGTSFVLFATISMMFAVAYAEEEAPEAAVVVTTEAAAEAPVTGGAVGGAEPAKEAPAVAAGEVTGKAGGADIEVTTAAIVPLVTSDAPVTPSIETTTKASNSLISHVFAFGCFFLVALHQ >CRE12643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:35946:37326:-1 gene:WBGene00071207 transcript:CRE12643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12643 MSQSHLWKALSGVLCVYKRSGVSSSALIKLLTRQISETVAEIESTSQVRLPLISLPIVEPHHESGALVVVGRNEIADYRYHPLVSGRSIRSEDIQILDVLPLAANSSGVCLFGLNDGCEKVPEIMAKSWTNAYRIDGLLKKPVDTSAEIGKITRHRIEKVLSRMESEFRGASFRHANVDLESSEAFELARRGVPRAQLPGAQIVYSIDLNWFRSPRFSITAQCSGEDDEMLRQLVENIGINLGLESATIRLQRQNFGPFKSDDSLLEKQINLQNIIRNIQLNRRIIASSESIDKKVVTESTENEFSNKIREVFDGFGLKESTKLDDYDAMRPAWPRNY >CRE12644.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:37419:38411:-1 gene:WBGene00071208 transcript:CRE12644.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-4 description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:E3M726] MRGPKKHLKRLAAPKHWMLDKLGGVFAVRPNPGPHKLRESLPLSLFLRNRLKYALNYTEAKKILTQRIVRVDGKVRTCHKFPTGFMDVVAIDRTNEYFRMLYDTKGRYIVHRIQAAEADFKLCKVRSVRTLNKGVPVLTTTDGRTIRYPDPHVKVNDTIVFNINTQKISDFVKFEPGNLAYVTGGRNVGRIGIIGHRERLPGASDIIHIKDSAGHSFATRISNVFVIGKGNKALVSLPSGNGVRLSIAEERDKRIAQKH >CRE12644.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:37354:38411:-1 gene:WBGene00071208 transcript:CRE12644.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-4 description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:E3M726] MRGPKKHLKRLAAPKHWMLDKLGGVFAVRPNPGPHKLRESLPLSLFLRNRLKYALNYTEAKKILTQRIVRVDGKVRTCHKFPTGFMDVVAIDRTNEYFRMLYDTKGRYIVHRIQAAEADFKLCKVRSVRTLNKGVPVLTTTDGRTIRYPDPHVKVNDTIVFNINTQKISDFVKFEPGNLAYVTGGRNVGRIGIIGHRERLPGASDIIHIKDSAGHSFATRISNVFVIGKGNKALVSLPSGNGVRLSIAEERDKRIAQKH >CRE12645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:39192:46809:-1 gene:WBGene00071209 transcript:CRE12645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-deb-1 description:CRE-DEB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M727] MPVFHTKTIENILEPVAQQVSRLVILHEEANDGNAMPDLTGPVGMVSRAVGNLIQVGYDTCDHSDDRILQQDMPPALQRVEGSSKLLEESSYSLKHDPYSVPARKKLIDGARGILQGTSALLLCFDESEVRKIIRICRKVNDYVAVSEVIDSMVDLQQFVKDISPVLHDVTNDVNLRQQELTHQVHREILIRCLDCIKTIAPVLICSMKTSIELGNPHPRQGHSEAVANRNFMSQRMTEEMNEIIRVLQLTTYDEDEWDADNVTVMRKALSAAKSLLTAALDWLADPQARPGAVGEKAIRRICEYADRIAARALPEDAQSIKRSIFEITSFTDELCNLRNNGQHDRENLAAQTARRLKDLVGSQTSSGLMSDALQNAQRHGGANPAHTAAGRLEQAMRWLDNPGLDDGGLGLQAIRLLTADARKLADRLNPQDRNRLLGLCSDIDRLAAQLADLERRGLGNSPEAQQIRNQLRNSLRDLGDFMRRVLTDRVVDDFADITTPLKQFVEAVHADPYDPNREQNFVDKSQRLSDHSQSMTTTARLVASCGPSKSKKTVEAILDTAEKVEQLTPQIVNAGRVRLHNPGSEQHFENIHKQYADALHRLRSHVDDAIDTGEFVRASETAMRRYTNNCEGAISGGDAHGMVNNSSQIARLGNRVLMTAQNEADNSEEPSFVSRVRNAADQLHNAIPPMVNNAKQIAQNPHDQYAAQNWRGTNDHLLNSVRAVGDAITGVPMNNGRHSSYQESISRASPYNPPPPSSQVIRSVNASPPTAPIVHNKMIIREDIPAPPRPPPPVELSPPPRPPPPPEYDEEEETRAFWERYPLPQASHQPMLAAAHNLHNELKQWSSQENDIVAAAKRMAILMARLSQLVRGEGGTKKDLINCSKAIADSSEEVTRLAVQLARLCTDIKMRTALLQVSERIPTIATQLKVLSTVKATMLGSANVIGPYGQPVEGSEEDDEAMQQLVHNAQNLMQSVKDVVRAAEAASIKIRTNSGLRLRWLRKPMWSNF >CRE12646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:52365:53728:-1 gene:WBGene00071211 transcript:CRE12646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rde-8 MSISETDKLEYDALECKNITLTEFIERRKRKELENGRLKDRYINSLKKGPLYINRICSLSNSRLPPGTVPRTIVIDGANVMHCGSIYQDTREGSAQSIPDVASLLALMRYFIVRDFEVFAVLSRKYSKPDATNFKEAIDRLVENNLCVIVPSMNLDDTIALEFAAQVNGIVISSDKYRDHACLNPRIQRIVEKQRLNIFWDSIPTHHQNSRTQKGEMDYLPSKKFMFTDDNNSELSENDVIKTLYAFPNELQYIISKERHEMIAPEKRKTEVEGLLNDLISMGINHCQVNAKRILETPVHVPTDVHSKSRTFSEGDYLTEVPPDDQANHISLPFGESDDEW >CRE12469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:53988:55425:1 gene:WBGene00071212 transcript:CRE12469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12469 MLTRRVVSNFRFQKCRNCSTNAPPPGKVREKINQYVSEYEQKIGITSMRQAQNEVLLWEKRLSEAQLKRREQQSEIRNLQSQLKEIHLDLDRTSRGEDKYLQLITEEHKLIKKERELLRGSEELENIERDTFHQLSMSIRSSREWEKEYTEKAKWWGVSTWLVGGLIGTIGATINFAMRPRKVIEKLETGANKMNEMATVFEENNARIVEFMTDMRTALQLETSQIKNTSSQNDVKKVSDFDELIQTIKNENARLSQEMRELSRLAKLEAALDADPTAVVYVGSDMERLLEQTEKNIESKMKLRTLITVVVIYTAVAVTAPWLYAVFRGD >CRE12470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:56322:57571:1 gene:WBGene00071213 transcript:CRE12470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12470 MFFSILYSARYILLRGHQETFVTHDLYDQIACKFPGKIGDDICQKFKIVFSLMPVAAVVGNKILCVHSGISHEVKRSVDEWKKIPRTEVITRLSSITRDLLFSCPVDDDGVGADSDDPIFFISSANEYIKTFNEAAVTVFCEKTNIELIVRSHDAPPKGFRFFADKQLITIFSATTYNKKDNIAAFLRVDEEGVVSIVQMRPTEVTAMKPDDKKRKKSGETGKKSAKPGKKAKETPKKGSKEKTSDSKDNSKESKEENDGKKKKPTSKPSKELDSIYDNETDD >CRE12471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:59857:62220:1 gene:WBGene00071214 transcript:CRE12471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-8 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3M731] MRRNELLNNYLSIFLILTLSILTVHAESEFIFLRNPYSLSVSEDLLPPSTSSETFLTDEDFLRPLNDDETFLTEKDFKNGEKLGEDHVAAGSILWKQVYKKGDIRGKAAWKLDPKNSESLRRNGVITGTRKWPNGRIPYVISNQYNDRERAVLARSFQAYHEKTCVRFVPRTAVDNDYLYIGKIDGCYSDVGRAGGRQELSLDNGCLQYDTAIHELMHSVGFYHEHERWDRDEHITILWHNIDREAYDQFGKVDLAESSYYGQLYDYYSIMHYDSLAFSKNGFETMVAKQPEMTAVIGAAIDFSPIDILKMNLMYQCSDVKLPSVIVASTGAPSVVSAPSPSITVVEDDCRDRTNLCWRWIDRCKSFFFEQIMKEFCALSCGYCTPKTLQTAKASPPNYSNTMLTKSSTSYLQHG >CRE12472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:62543:63924:1 gene:WBGene00071215 transcript:CRE12472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12472 MARFYEITDNFTKLGFATVSITNIFLIYLTLFHIKRIIGTYKHMIIIVAIWGMIFSASELIARPFVHSYNKGWMFFSLNTWIGTSQLFLQISLAVYASFYLLIMSFISVQFLFRYFTLTNQRIAKKFEGKGMIFWIIYPIISGSFYGGPLFLFGLPDNYSDEYFGKEILDSYGLAIKEVPRFPIIAYEADGSLRLGAYFIMSGAVVMILQYAIIIYCGVRMHLVMNREFKNSSVPNKKLQRQFFRALVTQTIAPTILFVCPAAYVLLSPLLNIEMNFQTGWIYAALSLFPPIDSIALMCMVSEYRKVVKGVYVISYGFFSFLITALCKDLFCNRANQSTEIELRSST >CRE14417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1342:3481:4218:-1 gene:WBGene00071216 transcript:CRE14417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14417 MRFFEVSDSITKFGVASVTIVNTFFIFLTVFHVKRIVGTYKKMVLIFASMGIVFSSWEIIARPFVHNYNKGWVYFTLNTWLGASQKFLLVAISIYASFYLLIVSLLAVQFLFRYATLVKPKYARTFSGNGIFIWLLYSFTCGLIYGSLLYFFGLPDDYSDEYMKEEILQNYGLAVKGLPRLVMIPYVSFLFLPEVHSLFFQSADGSIRWRNILFLVVGGLDMILQYHSYI >CRE12474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:65704:66799:1 gene:WBGene00071217 transcript:CRE12474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12474 MVRFFEISDILTKFGVASVLIVNTFFIFLTVFHVKRIVGTYRKMVLIFASMGIVFSASELIARPFVHNYNKGWVFFSLNTWLESYQSFLQFSLAVYASFYLSMVSLISVQFLFRYFTLINPKIAKKFSGRGIFIWSSYSIVSGGIYGGLVYLFGRPDDYSDEHMKYISCFKPHNNNLKFREEILQNYGLAVKGLPRLVMIPYVSFLFLPEVHSLLQSADGSIRLGNISFLVVGGVDMILQYIIIVYCGIRMHLVMRKEFSTSSTPNKKLQKQFFKALIIQTIVPTFLFVFPAAFVLLSPLFNIKMSLQTGWIYAALSLYPPIDTIAFMLLVSEYRKVTEGEWSLLTLQINFPG >CRE12649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:69934:70410:-1 gene:WBGene00071218 transcript:CRE12649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12649 MIQGGDPSGTGRGGASIYGDKFADEIDERLKHTGAGILSMANAGPNTNGSQFFITLAPTQHLDGKHTIFGRVAAGMKVIANMGRVDTDNHDRPKTEIRILRAYPSDSSVLS >CRE12650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:73762:74261:-1 gene:WBGene00071219 transcript:CRE12650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12650 MASIPSVVREISLVGEQIFDHTQVIRAEIDRFVDRFEKNERHREFDGILRASHALVESSETPVEGLFDMGKMQTMTESVDDITKKIQSLVEPKYQKEHEVYLEKVKDDQKKYVDACREQAINKMRSMAANR >CRE12651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:75490:85092:-1 gene:WBGene00071220 transcript:CRE12651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hecd-1 description:CRE-HECD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M739] MDGIDPETLLEWLQTGIGDERDLQLMALEQLCMLLLMADNIDRCFESCPPRTFIPALCKIFIDETAPDNVLEVTARAITYYLDVSNECTRRITQVEGAVKAICARLAAAEMSDRSSKDLAEQCVKLLEHVCQRETMAVYDAGGINAMLNLVRVHGAQVHKDTMHSAMSVVTRLCGKMEPTDLELAKCAESLGALLEHDDPKVSESALRCFAALTDRFVRKMMDPAELALHSNLVEHLISIMVSSNDENSPATASANILSIVLSLIGNLCRGSSLITEKVLTSPNMIKGLRATLTNKEERVVTDGLRLCDLLLVLLCEGRSALPLTCAVSGDYAAGSGAERVHRQLIDAIRQKDLTALVEAIESGQVDVNFADDVGQSLTNWASAFGSIEMVQYLCDKGADVNKGHKSSSLHYAACFGRPDVSYDTDICCAWWFKLPHKINAVKNVVTYSFSQHLLSQVVKLLLQRGANPDLRDEDGKTALDKARERSDDDHNQVANILESPSAFMRNKEEQKTKASTSQQPGTSAKPELPNPQLVRKVLHQLLPIFCEIFQRSLNGTVRRTSLSLMRKIVENIGDLRQSAASEDGVPAVSTNSARKMSADVSAGAESLVAVVVSVMDQEEDHEGHEQVLLILESLLEKDAELWVTELVRLGVFERVEAMAKEPPKGLEEVLNAIRLEGRSRVTPMEIDFQSQQPSSSATTSNDIMDTTTATVPSTDNTEGEATQAPPAVEVRYAFFQIADPEPPTPSTSQQAAPKARSTASSSASSAILQVVSKLSGVASLDKSAADKKPSKMILNQGTPYRWKEWRIVRGPTSLFIWSDVLLIELPFQSNGWFRYLADNDSHVQFVTGTANVDQQMTEEEKDNFQKTERREMVSRWNAVKGVFDDDWNAVQVSVLQVPCSLKKLEVPAWELWSTKVSELQIKSVSSSTPCGQTNTMITTIKVQDDAGGFLFETGTGRKTNVMPEHALPPDFHTGWSLHGVTTRKMKFRQDIQKRKVQELAWKLWNDHLKEAHAKPREALVRLENAAHAIEGAVRLMKTQNNKHRSAKHARIERVQEYTKAIKTVHESIIDDRRLSTFEFSVSGIVPALYALLSSMDKYPDCFTTKIFMEVFAAGEALSQLALKMVAVLEANEKFPQYLYDSPGGSSFGLQLLSRRVRTKLEMIPRADGKENNDENLVNKTGKTIKCEPLASVGSIRTYLMKLVARQWHDRDRANYKYVKEIQDLKAKGQSVELRHTGDFDENGVIYWIGTNGKTAPSWTNPATIKAVKITCSDPRQPFGKPEDLLSRDQNPINCHTSDDKNSHFTIDLGLFVIPTSYTLRHARGYGRSALRNWALQGSNDTKSWDILITHTDDKSLGDPGSTATWHLEKGTASYRYIRIAQNGKNSSGQTHYLSCSGFEIYGDIVDVVKEAICEDLPKKESVAGSSGASSSMSSLTKEQVLEMLPAHDNNNRLKSGLSLDTVTAMMQRSRHRLRGTFKISDSKSKVVRGKDWRWEEQDGGEGKFVSSLEYHTVTKRKFQGRITSPPDNGWVDVTWENGYSNSYRFGANGNFDIERVNSSGHRYTMPSMHSSVPSSVMDAVRRNRAFYTPKTTGPPPSNFGASSSAGSSRGGENSSSSSSPFPNLPVPPWRSSKSSTSPAIASRLINSVTSSGASPPPPPSSSLSTFSSLASGLGFGLNRHKQHNKPGPSTLSRFSSVKNPAPTGTPTSGVSSGGAIGKKSMSTTNLVDDRQKSSGPSVASTGQAASAESLQHQTPSLENLLARAMPHTFGRIAENQEQEDEPMGGEESDSAASMRSAASSNSQISMDSSQQPQQQQPDSETTPRESAGTPSTPRDEKNQTLSVSAPDLAAARQRQASAEVEGGDDLDETNSEDKTVGGEDAMEEDDEEEETMEDEEDDDDDDDDESSNENQEKLVELLAGERGLFDKLKEVITGESLSDASSSAKDGNTNEAQKKGGSKKPKKWFKKMSSYTDVLKGLMQSRYPVSLLDPAAAGIEMDEMMDDDEYYDFSEEGADDGDSVEDEVAAHLGMPPESFASMVAARTPITWRQFSELMSGSNRERAAMARAVASSRGSPWDDESIVKCSFEALIPAFDPRPGRSNVNQTLEVELPQVVNEFGSSKSSSSAKKDKGDQVRFFLRGPNMTGVDNITVEMDDDSSSLFRYMQIINNNANWATKSDRGRRIWEPTYFISYCSADQTNSEVSKIPDEESSTPAQVNQCLETIGLLSRIQESLPLAEISPSVFISDKLTLKVTQVLSDALVVAARALPEWCSRLVYKYPCLFTVETRNMYMQATAFGVSRTIVWLQQRRDAAVERARGSAQAGNSSAARQHDRYHEYRVGRLRHERVKVTRAEDTLLDQAIRLMKFHADRKAVLEIEYTNEEGTGLGPTLEFYALVAAELQRKSLALWVCDDDDTHASKSGEEREVDLGEGKKPAGYYVRRMGGLFPAPLPPGSEEAKKAADMFRVLGVFLAKVLLDGRLVDLPLSRPFLKLLVSPQVGDDAHGPNLHRVLTIDDFEEVNPAKGGFLKELLALVQRKRLIENDNNIDQSAKRRKIAELKLHIKGSTCKVEDLALNFTVNPPSKVFQYAEMELVSGGSEIDVTLDNVEQYIEKCEQFYLNTGIAYQMRAFREGFDRVFPLSTLRAYSPEEVQRLLSGEQCPEWSRDDILNFTEPKLGYTRESPGFLRFVDVMEALTAQERKNFLQFATGCSSLPPGGLANLHPRLTIVRKVESGDGSYPSVNTCVHYLKLPEYSSAEILRERLLTAINEKGFHLN >CRE21657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1152:2647:13352:-1 gene:WBGene00071221 transcript:CRE21657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21657 MDGIDPETLLEWLQTGIGDERDLQLMALEQLCMLLLMADNIDRCFESCPPRTFIPALCKIFIDETAPDNVLEVTARAITYYLDVSNECTRRITQVEGAVKAICARLAAAEMSDRSSKDLAEQCVKLLEHVCQRETMAVYDAGGINAMLNLVRVHGAQVHKDTMHSAMSVVTRLCGKMEPTDLELAKCAESLGALLEHDDPKVSESALRCFAALTDRFVRKMMDPAELALHSNLVEHLISIMVSSNDENSPATASANILSIVLSLIGNLCRGSSLITEKVLTSPNMIKGLRATLTNKEERVVTDGLRLCDLLLVLLCEGRSALPLTCAVSGDYAAGSGAERVHRQLIDAIRQKDLTALVEAIESGQVDVNFADDVGQSLTNWASAFGSIEMVQYLCDKGADVNKGHKSSSLHYAACFGRPDVSYDTDICCVWFVRFSLNLFENLKNVVTYSFSQHLLSQVVKLLLQRGANPDLRDEDGKTALDKARERSDDDHNQVANILESPSAFMRNKEEQKTKASTSQQPGTSAKPELPNPQLVRKVLHQLLPIFCEIFQRSLNGTVRRTSLSLMRKIVENIGDLRQSAASEDGVPAVSTNSARKMSADVSAGAESLVAVVVSVMDQEEDHEGHEQVLLILESLLEKDAELWVTELVRLGSFRTCRRLEEVLNAIRLEGRSRVTPMEIDFQSQQPSSSPTTSNDIMDTTTATVPSTDNTEGEATQAPPAVEVRIADPEPPTPSTSQQAAPKARSTASSSASSAILQVVSKLSGVASLDKSAADKKPSKMILNQGTPYRWKEWRIVRGPTSLFIWSDVLLIELPFQSNGWFRYLADNDSHVQFVTGTANVDQQMTEEEKDNFQKTERREMVSRWNAVKGVFDDDWNAVQVSVLQVPCSLKKLEVPAWELWSTKVSELQIKSVSSSTPCGQTNTMITTIKVQDDAGGFLFETGTGRKTNVMPEHALPPDFHTGWSLHGVTTRKMKFRQDIQKRKVQELAWKLWNDHLKEAHAKPREALVRLENAAHAIEGAVRLMKTQNNKHRSAKHARIERVQEYTKAIKTVHESIIDDRRLSTFEFSVSGIVPALYALLSSMDKYPDCFTTKIFMEVFAAGEALSQLALKMVAVLEANEKFPQYLYDSPGGSSFGLQLLSRRVRTKLEMIPRADGKENNDENLVNKTGKTIKCEPLASVGSIRTYLMKLVARQWHDRDRANYKYVKEIQDLKAKGQSVELRHTGDFDENGVIYWIGTNGKTAPSWTNPATIKAVKITCSDPRQPFGKPEDLLSRDQNPINCHTSDDKNSHFTIDLGLFVIPTSYTLRHARGYGRSALRNWALQGSNDTKSWDILITHTDDKSLGDPGSTATWHLEKGTASYRYIRIAQNGKNSSGQTHYLSCSGFEIYGDIVDVVKEAICEDLPKKESVAGSSGASSSMSSLTKEQVLEMLPAHDNNNRLKSGLSLDTVTAMMQRSRHRLRGTFKISDSNQKWSLEKIGDGRPRRRRGKIRERNRAFYTPKTTGPPPSNFGASSSAGSSRGGENSSSSSSPFPNLPVPPWRSSKSSASPAIASRLINSVTSSGASPPPPPSSSLSTFSSLASGLGFGLNRHKQHNKPGPSTLSRFSSVKNPAPTGTPTSGVSSGGAIGKKSMSTTNLVDDRQKSSGPSVASTGQAASAESLQHQTPSLENLLARAMPHTFGRIAENQEQEDEPMGGEESDSAASMRSAASSNSQISMDSSQQPQQQQPDSETTPRESAGTPSTPRDEKNQTLSVSAPDLAAARQRQASAEVEGGDDLDETNSEDKTVGGEDAMEEDDEEEETMEDEEDDDDDDDDESSNENQEKLVELLAGERGLFDKLKEVITGESLSDASSSAKDGNTNEAQKKGGSKKPKKWFKKMSSYTDVLKGLMQSRYPVSLLDPAAAGIEMDEMMDDDEYYDFSEEGADDGDSVEDEVAAHLGMPPESFASMVAARTPITWRQFSELMSGSNRERAAMARAVASSRGSPWDDESIVKCSFEALIPAFDPRPGRSNVNQTLEVELPQVVNEFGSSKSSSSAKKDKGDQVRFFLRGPNMTGVDNITVEMDDDSSSLFRYMQIINNNANWATKSDRGRRIWEPTYFISYCSADQTNSEVSKIPDEESSTPGPSKPMSRDHRTSFTNSRIFTTGRDSPSVSSATAFGVSRTIVWLQQRRDAAVERARGSAQAGNSSAARQHDRYHEYRVGRLRHERVKVTRAEDTLLDQAIRLMKFHADRKAVLEIEYTNEEGTGLGPTLEFYALVAAELQRKSLALWVCDDDDTHASKSGEEREVDLGEGKKPAGYYVRRMGGLFPAPLPPGSEEAKKAADMFRVLGVFLAKVLLDGRLVDLPLSRPFLKLLVSPQVGDDAHGPNLHRVLTIDDFEEVNPAKGGFLKELLALVQRKRLIENDNNIDQSAKRRKIAELKLHIKGSTCKVEDLALNFTVNPPSKVFQYAEMELVSGGSEIDVTLDNVEQYIEKCEQFYLNTGIAYQMRAFREGFDRVFPLSTLRAYSPEEVQRLLSGEQCPEWSRDDILNFTEPKLGYTRESPGFLRFVDVMEALTAQERKNFLQFATGCSSLPPGGLANLHPRLTIVRKVESGDGSYPSVNTCVHYLKLPEYSSAEILRERLLTAINEKGFHLN >CRE12478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:93048:93865:1 gene:WBGene00071222 transcript:CRE12478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12478 description:Golgi apparatus membrane protein TVP23 homolog [Source:UniProtKB/TrEMBL;Acc:E3M744] MRTGNTTEREAPWKLEFKWDRLLPPKWKRPYLIWTHVLLKLVALITIMFGAPGVNYFEGEGYVIDNIKAEFNATVFTFLAVLDFFVTKNIIGPKLTGLHHGFKVDEENHITYHFYAEKDFLSRYPSTDRDSFFTFMVFFSLVWIIKLIPVIITLSIFWIPFTILGFTSVYLNLYLFVQTRYYRQWTMSKFFAAWLYNFFMRIEFAEGDDSGNTFRNSYENRMRY >CRE12479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:94223:95477:1 gene:WBGene00071223 transcript:CRE12479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12479 description:Golgi apparatus membrane protein TVP23 homolog [Source:UniProtKB/TrEMBL;Acc:E3M745] MSGFENDISIGAGITQSQSSQGGFSLQMFGKPTIVLAHLSFKAASLFFYFFANFFTSSFIVQFLVILTLLSMDFWAVKNITGRLLVGLRWWNFVDADGNNHWKFESAKDMTRFPAIDRRVFWLGLVVGPAVWIFFVVTAFLTLKFEWMIVALLGALMNLANLWGYLRCRWNNTEQMTSYFQKWAFLNVLRRAQQPPQEYQNPVFSA >CRE12653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:95679:96972:-1 gene:WBGene00071224 transcript:CRE12653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12653 MKSLSTQRAGFTACVFSGGAILVCLVYVPSLVTKIQNINDQLKVDSEEFRAMADYTWRELITMRRGKRQAYGDSPKKTYPLHTAYVKEDAFVEGASTCSCNQNNGCPAGQPGTPGKPGLDGEPGKSGEPGAPGLAGIAPPVTIDPTKGCRVCPNGPRGPTGPPGETGPSGPEGPPGNPGRIGEHGREGYPGQQGIPGEPGKPGKLGEVGPNGRDGVRGQKGPLGPKGDSGPPGQKGPAGYPGRDGQRGNDGESGPVGPAGQLGMPGEPGQIGMVGAPGAPGQDASYCKCPERNAGVNKFEPPPAEQPGYEPQPSTSSQPATYAPATPGPDAAVEAPRSPYRKWKWLQ >CRE12480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:97770:99222:1 gene:WBGene00071225 transcript:CRE12480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12480 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M747] MQGRQDSNRANRTLDQSLRSDGVVRKKHQDMDWYHGLLPRADINTLLENDGDFLVRTSHIAGNDAAKTVLSVKWKGKCHHWQLQEKEDVTSGSIVIEDRKFDNVLDMVTTLRMKRLPVSIKVPALLLNPVNKQDWELRHDQIKLGKMLGEGAFGGVYKAVFYCKGEKRMVAVKVNKGNEKISTRNMIEDVCKEARIMRQYQHPNVVCFFGVCVERVSHFKRFLTIYLSIQEPIMLVMELANQGALDSFLRNEKNTVSLRDKLKYSFDASKGLEYLHKNGCIHRDVAARNFLMHKNVVKITDFGLSKQLSDLAHKYKLKDIQAKLPIRWLAPEVIVTATYTFKSDVYSFGILLWEIFMDGAIPYPDLNLAEVKQKVKTGYRMEAPDRMPTFVRNIMIGMCWPQVPEDRGNMTEIRTAMESVLDGKVGASNNRSVYYRA >CRE12481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:99794:101757:1 gene:WBGene00071226 transcript:CRE12481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12481 MGDYQLHQSRNLSVNQTLDEILGITRKTESTSSSSNSNSIEKNALNSPIRPPTRHGRESAGSNEENKDPVQTENTGNLQPFSFLTSTSTSTTPTGSSRRHSDDDFIKKLNDIRRRSLISTIDMPSMSSTNSFVSSIPIHPDSPKRETRPRLEIHVEESTEEIPPSATQTPVKHINFSETTLGTSSEQEDPSLTFRIDLNEEEELKKEPKEEIQRPKSGKKKEQKSEVDDQKEKPRTPGNEKKESDMMQMSMFHSKVNRTSDAHHKEWLQKKEKQIKERKAKEKAMAEQKEAAEKERRENSKKLYQRWVQDHDEKVKAMKKAKLQKEKEKLEKEKSSKDQKLKEADKNYEMWKRERSKSVTDIKKKLEQESEKKRKAEEDARNEKIKEAKAAFLAWKAKKEEFLSEEARKLRKEEKEKLEEEKKEIELKRLELANEAYETWIQLKESEREMFADCVLIEAPPIPWIPPSNLVPRQFLRSANGSRQRTRSQSAKSVAKRSRSRPATTTSLRPFR >CRE15232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2187:1762:5250:-1 gene:WBGene00071227 transcript:CRE15232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpc-1 description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3NUH3] MRQLVINGSDIHPGANYLVDKKTGNKKLLKYGKRDELAKLLRLGDTVERHLDDNDVVLFNRQPSLHKISIMSHRAKVMPGRTFRFNECACTPYNADFDGDEMNLHLPQTYEAKAEASELMNVKNNLITPRSGEPLVAAIQDFITGGYLLTHKDTFLPRAEVYRFAAALIDASAKKQTKIRIPPPAIRKPVELWTGKQLIELIIRPDKGSQISLNLTAKNKSYSGNLELCSKDSYVIIRNSVLLAGVLDKSLLGSSSKVNIFYMLMRDYGEDAAVDAMWRLARMAPVFLSNRGFSIGIGDVRPSERLLQEKGQLVDTGYEQCAQYIRELEEGKLKAQPGCTEEETLEAIILRELSTIRDHAGQVCLRNLSKYNAPLTMAVCGSKGSFINISQMIACVGQQAISGHRPPDGFEERSLPHFERKKKTPEAKGFVANSFYSGLTPTEFFFHTMGGREGLVDTAVKTAETGYMQRRLVKCLEDLCASYDGTVRSSVGDVIEFVFGEDGLDPAMMEAKDGSVVDFTHVLEHAKNIQTKKETPIPADKLEDVLKNEVQKQFKGKYPHFAEQLKDYLLQTEIRKSKKWQNGKTHCSQHETAEENHHCRRVQSARSXXXXVKNGRMEKLTVHNIETAEENIREKSLLLTNSCLTKAQLHSFIQLCYYKVARAITEPGTAVGAIAATSIGEPSTQMTLKTFHFAGVASMNITQGVPRIKEIINAVKTISTPIITAALLDPYDESLARRVKARIEKTTLGEICDYIEEVYLPDDYFLLVKLNSKRIRLLQLEVSLESIAFAISTSKVCPMMRGCKIVAHGKTMMAIRPPSTSKLSKTMTMQMLKYSLGNVVIKGISSVNRCVIHADEKKGDFYSLLVEGTDFRSVLSSVGVDPRKTNFNNALVVAEVLGIEAARTCIINEIIATMDAHGIGLDRRHVMLLADVMTYRGEVLGITRNGLVKMKDSVLLLASFEKTMDHLFEAAFFSQRDVIHGVSECIIMGTPMTVGTGTFKLMQKHEKKAILKQNSPIFQRPETTITL >CRE02642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:428:1406:1 gene:WBGene00071228 transcript:CRE02642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02642 MDAIKVFNNVAHGVKNVKTILTVFDGIDKSIKEQKKKILKPRTIMDICILQTILEKVAKSQNEELIKDFEQHEITVRLEKVALLIIQYEARDGKNLNKKEKQRLGYVVEEIECIYDHSKALMLANVLDVTGSFKL >CRE02652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:17629:19071:-1 gene:WBGene00071229 transcript:CRE02652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02652 MDSKDNPSSSAVRRPPIRTIIKETIILAKFLPNRIEYIDSTRRPNNGVSFRSKIILNHVYNTMIYYSHIPRLTGDNFDFLVRYLGEESSKVKSYLENKRNRTPVSDRNLRDPNLLKSRNSRADISNIVHRAINMFSGSDYRRLFNYIHHHIQEYGGFINTEDALKLSEHTGKSRKKISSYFSRVRNLLQTKSPSTTSSGSIGQVESNEDSEDDLAPVVEETIGRIEETINDVVNNTSITTYLCLQ >CRE02654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:24035:30201:-1 gene:WBGene00071230 transcript:CRE02654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02654 MIFLILGVLTLLYLFHLFYWKRRNLPPGPLPLPLLGNLHLFTEDVKPGYKLWEKLRSQYGPVYTFWMSSLPMVLVTDWKLIKQHFIKDGANFVGRPEFPINMEIRKGPYGIIDSFGNRWVQQRRYAIHILRDFGLGKNLMEEKVLSEVVAMIDRLKGMKDDVDMQSIFDASVGSIINNLMFGYRFDESNMHEFLELKKRMNKHFKMAAEPMAGLVGMYPWLGNFPFFKPYKTVIVDNWTSMLKMFREQAEEKLATIDYDSDEYSDYVEAFLKERKKHEHEEGYGGFEMEQLDSVCFDLWVAGMETTSNTLYWSLLYVLLNPKVLERVYEELDTKIGSDRIITTTDRPNLNYINATINETQRLANLLPMNLPHATSADVVIAGYSIPKDTVIIPQISSVMYDPEIFPEPYEFRPERFLESDGSLKKVEEFVPFSIGKRQCLGEGLARMELFLFFSNLFNKFHIQFHESNPCPSVKKEMGITMKAAGYRVTMKERY >CRE02655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:32571:36170:-1 gene:WBGene00071231 transcript:CRE02655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02655 MILLIIGSLLFLYLFDLFYWKRRNLPPGPLPLPLIGNLYLMTDAVKPGYKMYEKLKEEYGPVFTFWLASLPMVTVTDWKLIKQHFIKDGGSFVGRPEFPISIEIRKGAFGIIESHGDRWIQQRRFALHILRDFGLGKNLMEEKVLNEVTAMIDSIRKSMDNVDMQNVFDASVGSVINNLLFGYRYDESNMAEFLELKDRMNKHFKMAAEPIGGLVGMYPWLGYFPILKGFKRVVTDNWGGLMEMFRKQAEEKLATIDYDSDEYSDYVEAFLKERKKHEHEEGYGGFEMEQLDSVCFDLWVAGMETTSNTLYWSLLYVLLNPKVLEKVYEELDTKIGSDRIITTTDRPNLNYINVTINESQRLANLLPMNISRTTACDMEIGGYGIPKGTVITPQICTVLYDPEIFPEPYEFRPERFLESDGSLKKVEELVPFSIGKRQCLGEGLARMELFLFFSNLFNKFHIQFHESNPSPTIEKDCGVTMKAKNFRVVMKERY >CRE02645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:44647:47091:1 gene:WBGene00071232 transcript:CRE02645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02645 MSDKTSSKQSTLKVDDDRMIVVETQMGVKERRKKVKKFTKLIASSVKVEDETRLQLGELTAKCSEQEADAILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELRDACAKAAEKEEAEWSVGKVNLDLQKVQYEKNLLTECWNEEKEMLGEQIRKIQREKEVAETQVSKLEKALMQLRKKLEQEERKPNGLWDEAQGSKSWYERVENWDFERSRKRGGEDAFSRKTSSQSGSSEVNDMVQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTESDDELVAILEEKFLKGAAKSLFKTLPKRFERSIQSLFEEFEQKLRKRQGDSKIEALNEFEGLERKSEQKMWEYLVEVEKWSRKAFPEVKQETLSQMRTTKLMKAVRGDETLHKMLIMKRFELSLEEQYEHLKDIVLQQENEQRRGYGQKSGYSEGQCPPKPVQSVEVRGKGEGVGRLAVETVKMLGQERRMVIDSGAAVSVMSTGAWDGLKNGCRNWMEEVKRFGKPSFEVIDTSKNKMRIVQQIGIPIQVRDRKAVVVFQLVENEAEIMLLGTNAFESIGVSLEWKKERTEVQQKREKRDVTRQWERKRTLSRRETSSEEKKVFTVGHLEIRVENRNPYRETAEKSKEIAVDTVTEEKKEGIKPKKTVIRESKILITPRIGVKGKSIFEYRKSALNTWKSRFDFANVEAIVFLVNLTEDEETNQKLGALVLKLAEEVKEITIIPYQMDCANSGLVESWKRSWITAGNVEWIDLPASGKTIEKFKTWEQLREFLEARTTENVVVAQLRKESVTSEPRKKENKWSHQ >CRE06280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4093:1:720:-1 gene:WBGene00071233 transcript:CRE06280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06280 MNLTTEQVEQFHTSIYLSPEFEHFSYNFDYVTVIVIISFVCLVPTVYATVKMVLFRQPHRSSIDIHPYVFKSFLCMQVSKVILSILDLIIIRIPQTTILTSYYRTLKNDSPLRFFTAACFSINNLSQLSTVLFCLIRLMVFKNNRERPDVSFLNIILEFHIVKQIISDLSFRFLDLVNYLNYLLCCYLYYSFLLQCSLYDVTLSFSIRAILVTSNLYESVCLFFVFLFFQKFQRFIPLSK >CRE02646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:54671:55633:1 gene:WBGene00071234 transcript:CRE02646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02646 MDYATAAKEIVKAIQKADAEMKKRIRKMMKQRTLEDVAVLQTMLERVSESSNQQLKIDFERHRIPERLKKVASLVTNTHYHCFAGTQGDGKAFTLFTCLRNEGCWIEKQRAACGAGAVAGLLLLGGPTVYMGFIIADMQKHLGETETDLKLVVEEIESIYDEFTHVG >CRE02649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:65699:70271:1 gene:WBGene00071235 transcript:CRE02649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02649 MDVRQEQDVEYELDWDEEEYNPENLNDQQILDQGLLEDEQEFPVDDEIGQQNNITMKQRKGEMYPVIDRNQRTIDIPLVSGNPFGPDSDSEEEPPIDRYRDGTDNYDDYVFQEMDNVDMDREKEVELEEDRLKEREESVRGSREEEDEAEEDEHDVLENDAVCYGDEHDAEPLALARKNDGVLVKNIGQRQNVSRAEHANFLFQDREEIPNRYQGESRTLGQLVVIDYGARMIEGRMNALLVHRSEFHRFAGRSQIFKFQERMVNEKFDGVRKLGQLATLPSSVPGTVKYQRELVMTGVTLANMLGKPHLFITYTGNPRWPEIQRETKLRGVNWTDLPTFVNTVFWTRFEIFIEEDLLGPKKKISSQGGKVVREGGNYGVVRWFIYSVEFQQRGMPHVHLIVCLEKPITTAAEVDDIITAEVPEMPKRNDPEYEDKLRYFNLVKDMMIHFPCENDPTAYCREGAKLHWKQCVKSFPKKMSDFTVLTDNQYPDYKRTNTNKFVLYRKGKAHVAGSEYVVSHNKPSLMKHECHINVEVITTLSTLKYIFKYLFKGPDRMLLEVCENIEKGNPDKTAMTLRGNVFAPANLPEGKLRARQRQADKMMDAAGVTISKDKRLSINECTAVLDMAAMTANEAAWKLASRPMHGCSHTVFKGYVHEENNELMYFKRGLSAASAKKLLEEKSGGQMSAWFNENKHPKLLKNGKMTSDLTFPEMFRFYMFNMKTQKFILRKRDLSGKIFGRVQAPQPRNLELTAVRLLAHNVCGPTSWQDLRTYKNVVYPTCLQAARARRLMNGEQEWNDLLTEIAEYESPIESRRMFASVLLNCAPANPKVLWENHWETLVSNKTSWSESQKKAHALRHINFLLERSGMHLGQFELGGDYKESELPPIDPAEDIDNPNFVNLSRNEHEDKGRALYRDLNTEQKNFVDRVLVMDGETEVPRMVFVGGAGGTGKTYCYNTIYHLLMSQDKKVGTVSHAGIAASLLPNGCTAHRKFSIPLEVSDGMNCGIVLGSEEASALRALSAVIWDEVCMSDRRIVSAVDNLFRDLHDSLLPFGGILIIMGGDWRQILPIVQGVRDQGVIPYILKNCEDIWNRVETFHLTINQRAIDDPDYSRLILEIGDGSNYVHEKRQMVSIPDKLILTGTDTNLVDWVFPDVNTYKLVESSAVLTVDNRTALRINEYILDKLNGELREFASIDTADKDNALNVDPAIFATETPAGMPPHRLRLKVGAQIVLLRNLSVEAGLCNGTRLTIVSFGEDVRFFIIYCHRNTDPKKQMVFLHRILMSPSGKGGKSCGFRRRQFPIRLSYASTINKAQGQTLSRCGLLLHSPVFSHGQLYVAMSRVRRSQDFRIWHYKRGTSDDNYIHGGILVRNVVYREVFQLGN >CRE02663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:70719:72391:-1 gene:WBGene00071236 transcript:CRE02663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02663 MDSEDNPSTASRRPTIRTIIKETIILAKLLPNRIEYIDFTRRPNNGVSFRSKIILNHVYNTMTYYSHIPRLNGENFDFLVQYLGEESSKVKSYLENKRNRTPVSDRNLRDPNLLKSRNSRADISNIVHKAINMFPESDYKRLFNYIHYHIQEYGGFINTDDSLKLSEHTGKSRKKISSYFSRLRNLFPTKSQLTVNVSSESISTSSESISTSSGSIGQGESNEDSEDDMASVVKETVSRIDETINEVVKSLTFQ >CRE02665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:79371:83445:-1 gene:WBGene00071237 transcript:CRE02665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02665 MIFLILAALALLYLFHLFYWKRRNLPPGPLPLPLLGNLYLFTEDVKPGYKLWEKLRSQYGPVYTFWISSLPMVLVADWKLIKQHFIKDGGNFAGRPEIPINMEIKKGPYGIVESHGDRWVQQRRFALHVLREFGLGKNLMEEKVLSEVVAMIDRLKGMKDDVDMQSIFDASVGSIINNLMFGYRFDESNMHEFLELKKGMNKHLKMAAEPIAGLVGMYPWLGNFPFFKTYKTVVVDNWTSLLKMFREQAEEKLATIDYDSDEYSNYVEAFLKERKKHEHEEGYGGYEMEQLDSVCFDLWVAGMETTSNTLYWSLLYVLLNPKVLERVYEELDTKIGNDRIITTTDRPNLNYINATINETQRLANLLPMNLPHATSADVVIAGYSIPKDTVIIPQISSVMYDPEIFPEPYEFRPERFLESDGSLKKVEELVPFSIGKRQCLGEGLARMELFLFFSNLFNKFYIQFHESNPSPSVKKDMGITMKAAGFRVMMKERY >CRE23845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2236:3632:3958:1 gene:WBGene00071238 transcript:CRE23845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23845 MEQLDSVCFDLWVAGMETTSNTLYWSLLYVLLNPKVLERVYEELDTKIGSDRIITTTDRPNLNYINATINVSLDKKLRFQLISKVSSKLFFIIISCEHHSKNTVLVKT >CRE02666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:86174:95640:-1 gene:WBGene00071240 transcript:CRE02666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02666 MIFLILAVLTLLYLFHLFYWKRRNLPPGPLPLPLLGTLYMFTEDCKPGYKLWEKLRSQYGPVYTFWMSSLPMVLVTDWKLIKQHFIKDGGNFVGRPEFPINMEIRKGPYGIIDSFGNRWVQQRRYAIHILRDFGLGKNLMEEKVLSEVVAMIDRLKGMMNDVDMQSIFDASVGSIINNLMFGYRFDESNMHEFLELKKRMNKHFKMAAEPMAGLVGMYPWLGHFPFFKTYKTVIVDNWTSLLKMFREQAEEKLATIDYDSDEYSDYVEAFLKERKKHEHEEGYGGFEMEQLDSVCFDLWVAGMETTSNTLYWSLLYVLLNPKVLERVYEELDTKIGSNRIITTTDRPNLNYINATINETQRLANLLPMNLPHATSADVVIAGYSIPKDTVIIPQISSVMYDPEIFPEPYEFRPERFLESDGSLKKVEELVPFSIGKRQCLGEGLARMELFLFFSNLFNKFYIQFHESNPSPKGPYGIVESHGDRWVQQRRFALHILKDFGLGKNLMEEKVLSEVTAMIESIQKNKEDIDLQNLFDASVGSVINILLFGYRYDETNTEEFLELKNLMNKPFKQTAEPIGAMLIMYPWIGKLPILSGYKKSEMEQLDSVCFDLWVAGMETTSNTLYWSLLYVLLNPKVLERVCEELDTKIGSDRIITTTDRPNLNYINATINIFPEPYEFRPERFLESDGSLKKVEELVPFSIGKRQCLGEGLARMELFLFFSNLFNKFYIQFHESNPSPSVKKDMGITMKAKNFRVMMKERY >CRE20559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:68241:73795:1 gene:WBGene00071241 transcript:CRE20559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20559 MSISSTPSSPSICGSSISSSATNISFCYTWTTKLKTRKTADGETTILSISPKFATVHQLISFQWNIRIHATSEMNDDDEEVDYVAVDLYFVDGPVNEVNVMAEVGALEKTSTATLGSIPAGVKETKLTKMQKGVGCEITDSDRECVSRYLKENVENVIKISIIINMETRLFEPSTYLDAVSPTPRASFLTANYNARVNSKVWKRRSKKRMGRVERRVLSDKEKTSYERKVQMILDEEREKLMERRELLKKKDIGTCLLLDLLFNSIVFNLPVMKSMTLTELANRTHEFVEPDSLLDQEEYKNLDKRMRNLADRNLVEIIEQCVTFRDQKARVRVLPTADSFDNNNPFDMAIANSNSNQ >CRE20565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:56943:60549:-1 gene:WBGene00071243 transcript:CRE20565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20565 MEDVTLFHVKWRKPIDDETRQISYKTTETQTTETSKKDVECTADFQVNKEIQTDSMEDMGQGEGRKDVRISKEVLNHIYDFIRDDSKVNYDRLLEFHKFDKVSLETVQKYHVETRNENIILMISNSARKTLILFGGLSHETFCSHQARALLCSSAVSTSLPLPVCAISAVFYSSTQFLLGDISGNISMCTMDKVLFQGKVTDGAVTSLELSRHGLLSGSDDGNIILWEIENAGLEKITGVRLTVSDLSRKIRKSSTSNKPVAVVSMQISGDEVCVATETGGLYILTLPTLDSKPLSQTATSINKILFETPFIAVVYHTSNAAVFNTEGLVDEIPFVAALAVRCGPYFIFSNHTRLVIWSINTKSTVIDETLPCHSICSLSNDTLQVLDADFNLVSNFLNDKV >CRE20558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:52243:56437:1 gene:WBGene00071244 transcript:CRE20558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20558 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NFD8] MDTPPPAPTVTPPHTSTKPRNKRRKNNTTSVMGTIEEFSSEQAPQTQMLRVTATATAPQSSPHNYYNQKKVSAELPGEDNDAPATGAPPTQVAAQRTQMLPVQLSPKPSREFFLYNPLAGPPPTIEHLSTTAHNSTAATWPIPSTRQKFESEYEYIGWRRFSGCTTPRRSLLQLMSAAINNLQSAPRRKEVINGWIRQVLTAGIEGLRKEYKEIPNGGTIEQAQVFHNNPTRNRYVNIPCCDATRVKLDGDPNFYIHANVVSSAQNRRFICAQAPLNGTVEEFWKMIIFSGLEYIVMLCEFVETGKPKSAVYFPAKVGASMKVGKLCTVTKVASESLDKTLTLSTLRITKKDKQDATLTVKHIHWHNWPDHGVPDNFISPLRLLNICKNCTKPIVVHCSAGVGRTGTLVLIFIILESLRLPEFSGVPRLLEKLRDERFKSIQTEMQYLYVHRCILEYLVYKKYQHSKDDYAKFVKEYRAAEKSAT >CRE20557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:49850:50629:1 gene:WBGene00071245 transcript:CRE20557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20557 MTASIGIAFLLLFASAESLSDAEQHNIDGLTKQEHVNVVNKARLEVAKEHKIANMHELTWDTALESKIEMKTCDYSPGPDYAVLSYPYHSLMSMLHVQTIGENPMREPHFHPLQTKIACRTVTCRKNGSQMSGICLIGPENSLAKESDIKHGEPGSECRGGNVKNWLCVSAAGMESKDDEKVVSSASMQIALLSFAFGLIMA >CRE20556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:45967:46716:1 gene:WBGene00071246 transcript:CRE20556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20556 MNTSIGIALLLLFASAHSDSGVKQSNYNVLDIREQINSLNKARLKVAKKENVANMHEVTFDPTLESKIKKMTCDDMTANGPDYVVFGFSKILIAAIALESKTEGGDLMKLPPFHPLQTKIACGTRATKCEDELSEICLMGPKSSPVKPSEIKKGSPGTGCSGGDGSSGLCMGGGVSSASTPMTLLSLVIALFMA >CRE20554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:41136:41939:1 gene:WBGene00071247 transcript:CRE20554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20554 MSNSIGIALLLLFASAQSVAGVKRNSPDVLSNRDLVNSLNKQRLIVARQDQIANMHDVTYDDTLENKLKKMTCDDLASPGSDYVVFNYLDLIFQDSDPMKTPQYHPLQTKIGCGPPAAVCGDQHIMCLMGPKNSPVKESDIKHGSPGTGCSGGDGSSGLCMGGGGGAVKNQSDKKSGDSDVESKGSKDGEEAASSAAMPMALLSLALGIIMA >CRE20553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:22684:36570:1 gene:WBGene00071248 transcript:CRE20553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lim-7 description:CRE-LIM-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NFD1] MATCMRSAYELFSITSPGPSDHLDLSAANGSFWKDEPVDAKYLCLDSSPEQQRQQQQQQQPMAVCAGCRLEIADRYFMRVNPNLEFHTHCLKCVQCSRPLDENQTTFVRNGLTYCRDDYRSLFTTRCSGCQGEFDKTDLVMKAGPHNVFHLRCFSCTACEKRLQTGEEFQIKNNSLYCRGDCRGLPEDSRPDSALLDFSKLSNNNNDNNNSSSNFDEDEWDEERSTLTSLDNNTSSPLGSPKSDGVQTPLFGNHHHHSGSGGSSSSCGKKKKDKQATRVRTVLNEQQLKILKDCYSCNSRPDASLKEKLVEMTGLNARVIRVWFQNKRCKDKKRQIQISDARLHSEREEVLNRVRVNGIGPLMVQPATPHIDTSMGGPIDIQHFAQWTSTPPSSSQYGNPMMFNSPVEMPPYGVTPILPPVPMTSSDGPVGASVFPHYSPQQQHSTLTASSHPISSPTSCSE >CRE09825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:85218:86679:1 gene:WBGene00071252 transcript:CRE09825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09825 MVQIWQMEPYPCGDPRLPHHLFPPKKITPDELSKRTGTLYWKLDTLDQVALAKRLTTLKLEHSFKKEDIFTLDAETTANFDDKIEELFEETTVPFEQARMIIEGSAYYDVEDKNGQWVRIFCEYGDLILIPSNTCFRFTTTPKNFVKMRRFYKEEATD >CRE09830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:60694:64694:-1 gene:WBGene00071253 transcript:CRE09830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09830 MNATRYKPPELMMVCANEVQLAQRNSIWFQLNVGANTILAIGTFVTSAKYWSLIRALLYHDQPCKIMFNEYECFPYYSLNILSRLMMITLYCAQTFNMLVIGIYPSLPESKIRGFALVAIALLSSVGLCEIITNDGPNDNIQGNCLQRQGRNLDELKEQLFTYLRISFGVFVASNVALGLTYWRMKNKSNSTVSKKYQSIANYNASIVLSVVTALVMIALGVYSYATAYIVDHVKEIDPVNVGLWSMWLYTYTIAEFVLPAALLLIPAIIKKQRKSKVAALTAPMTPAEEQAKYFKSLANDWDAELARKYPELAPVA >CRE09829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:53740:55684:-1 gene:WBGene00071254 transcript:CRE09829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09829 MDSNNSTISTTAIPSQCANDIQMFQRNTPYFYINVVINHFLVVLTGFFTFRAVRLMWKRVFFSKSSRVLIYVGFVIVNVHELIYAYIQTWSALRSILHSNDPCSIMFNEYECFSWYTANIFSRLLILTLNCALTIDRVIALCIPRVPSTYLRGAILSLNALIVSVIVCAHMTSDGPNNNIQSNCFQRLGRNINELKAQIYMYLWVILVCMAFNAFSFWQARKMKRSRFDINERYIRKEAENSSRAISLIVLYQTMGLACYTFGIHFLIEFPGFLGPFYTGIGVLYLYTYPYACVSLPYGVLYTANKITKQRNKAVNELKKTNEDESQTGYFNKLKSGWESDFDSKYATVSVTPID >CRE09828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:44994:53159:-1 gene:WBGene00071255 transcript:CRE09828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-36 description:CRE-SRA-36 protein [Source:UniProtKB/TrEMBL;Acc:E3NG48] MSSMDPNISTISTTAIPSQCSNDIHMFQRNTPYFYINVAINQFLVVLTAFFTIRAVRLMWKRVFFSKSSRVLIYVGFVIVNVHELIYAYILTWSAPRSILHSNDACSIMFNEYECFSWYTANIFSRLLMLTFNCALTIDRVIALCIPRVPSTYLRGAMLSMTALIVSVIVCAHMTSDGPSNNIQSNCLHRNGRDINDLKYQIYMYLWVILVCMAFNAFAFWQARKMKRSHFDINERYTRKEAENSSRAISLIVSYQAMGLALYTFGLHFLLEFPSFLGPFYTGIGVLYLYTYPYACVSLPYGVLYTANKITKNRNKAVNELKKTNEDESQTGYFNKLKSGWESDFDSKFATVSVTPKAVTIKKLLTKKLFSSTTRNLLFYCMIYYVVHDIYFICTMNWSFYRSITRSDDSCRIMFHGSECYFLYIMGVFFRVLLPISQIAITVERIIVNFLPNSNLINPATLNTMTIILSVITTSKIKPSTHSEFNSPNCFQQMYPDMPTTRSLITLMVVFDVFCFPVNFLIMIRNRKKFNDLRDSHEYNLKSRYANKTNMYAAMSVSFVSFIQTLIYTVYIASLLITLKMFMTENGLFYGNNVALWFYTFPLAGFALPCSILLSFCSLSMKKKNKIGVIKTTNSYATQDAYFRILAHQWGSATSSRMSIA >CRE09827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:38089:41223:-1 gene:WBGene00071256 transcript:CRE09827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-33 description:CRE-SRA-33 protein [Source:UniProtKB/TrEMBL;Acc:E3NG47] MNTYSDDVILSIRMSEVFRNSVYFINILFIISLVVTVWAILKLYKKQIFNASTTSLLISDIVFINIHNISYVFLQNWSLYRSIAFSHNISQIMFKSEECWPHHVINEYTKTVTLFIQFSLIINRISVTISTKIKFSKSIYGLILSITTLVASGVFTIQQHYRGPLRGLQTTSCFRESDVVLDLRTKTFNVQSEYMRKESIVSTIAVTIIGIIQLISFCFYDGMLTLFSKKSTPDYNDTNVIGWFYTGPFNAIISPSAVLVYIFWIRKSRKIDIKKLTKFKGDHFQNLSSFWI >CRE09823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:32965:37553:1 gene:WBGene00071257 transcript:CRE09823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09823 MSSGKVVTPLDCDEVRWFHGETNDQETKWLPFSGRDSLKIEIVYRKIHSIELDKSIQSINDDALTHHLQFVATSSFLGITAEDDEKVYVLNGQYSVNFDRMELESLYWKDDTKQIRRGTWFLKAVEPVKGSLSEEIERHHLERFGGGKAGKASTKLTVGNYEITWKSVTDVYLVKYLDSNILNILLPNPSQPLTRGYKERADWNDYFEISHLVFVTHGIGHRKKKNLIIESTNELRNQFELTMTENYAKEKSRPLFVPIEWRSSFLSDHALDEIRVDDDGKVREIFHQYAADVMFYQSSFYRTEIVHALVSQLNHKYKLFKTSNPRFTGPVSILAHSLGSIICFDILTHYSPLSFHDNYILHSIDEFLKRENISNEERKELTSMKSDREKLMDRTVLNKLIKKDQQLDFEVKNFFAVGSPIALFINLRGEFSTNFHSKTANFERIFNIFHKKDPVAYRIEPFFDRKFKYVGSYPLNQTVGVPYELGNIYLMSKFKEIYEKKIEHKEEELESKKYTKEWNDLLLEKIDFKDIKEWEGVNLDDERVKLNLIEKLGDWTLAGRALGSKLGLAHRIDFEYDTESILERFTSHIAYWDNPIFSLFLANVIYGKPNK >CRE09826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:26219:29774:-1 gene:WBGene00071258 transcript:CRE09826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-puf-2.2 MYRDTFSNSRRARHFGRSTQNNQQTNNQSDYGRSDNHINRRHSRDTYPNEGDFNGPPSLFNLKSLMNFSRNNPDAMIHPFFDESSSDLSFHLSSLALDENNNRRVRTSTSSGQTESKHSKNKDSLSLPSWVYDENHEIRSDLTLRKVVDKGLIPTFAMDKSGCHFLQSNYYGKNTQNVDPYIRERIARDVLSKKEVFLMICKNIFGNFFLQRVIEYSNNEEQDTIKRYIVSDIAALCLDKYACRVVQTALERLEPEYADAIVAAIPRKNRLMAICTDQNANHVIQKIIKRMGLSRWEFLITYLCKTEHDNLLDICEDKYGCRVVQTIVEVLSDDKDEHDQEEKAHCLRRLMNKIMSKCQKLASNEFANYIIQHIIDTPGILSPYRDLVIETCLLRNLLSMSQEKYASHVIERAFELAPLPYIAEMMEEIFDGYVPHPYGFRIDFQETGKDALDILMFHQFGNYVVQRILRICVDAALGDRPTLVDNVDYREKFDSWLSKLYVKVRRDKSRLTRFSSGKKIIDLLERVENAFRYDRRASPYSPTHDVLSALCPSSFFSPPGTSSVDWPSRASSVTSEHPNEY >CRE09820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:8624:15276:1 gene:WBGene00071259 transcript:CRE09820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09820 MDLFFWLSKLYEFIRFRFHRYPKFPLLHLPYLAIKQVIESMSVSERIKLSQTSKRMATFVRMKLPFYYVFIRDALSGLFMKDQNALLYCGREIHKFAEKYECLTSLDYEEWLPKNASNLKKTELLFHQIQDIFPSKSFGVCLFLSEIFETTIEKIFEILAFQKCDRIVLYQGGIASIHLDKIMKMANDKRDIVIRYTVVAQDYRHEMAFEFRNIEYDDARWVRLEYLFSMNNCENVKISQCRLNLFDINTLIKYWILCQRDMFKNLTIRFEKQDIEFDWSVVLRDVAAEKLDNSSFLMPASIMTSSSYDWIAYGQGFASGLLAVVSTGYIGYLATKYIQKKKRDVILVDLHTANVVLQGASVVNNPSNMNVPLMLTVAYEQRGVLREEVKGDRTMTGTMYSTISGDLCFLGVTGSGFGNKIGTILVEGYYENQRFATLTWPILRGFAPNPILEFQSPYTKYLDIESMSTKYERIMWNGTLDNTA >CRE09818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:946:4231:1 gene:WBGene00071260 transcript:CRE09818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09818 MDLFFWLSKLYEFIRIRFYRYPKFPLLNLPYVAMKQVIRSMSVSERIKLSQTSKRMRNYVILSRVVSEYCEVHIRDKYSFLYFSTLNAMFYCGRKLESSTNSEVLTNRDYGICLVLYPIKILGADIQTILSIPCFQKCDHISVYCGFTVTSEFLDAVMDFASFKRDITIRCTNVSLDYCHEKAFKFRDIIYGDSRWIHIDYLLSLENSRCVTLERCSLSSEDINILLKHWITSEFNMFKMLKIEFEEDRKGGVVRFCREVLFEGITVLRAVLRQNPCYLIATNSQNQLKRDILVCQFHDQILKMITESNDKIRLVTGINGTPCREVHKVLKLLDKKKELEMNVLDENSLQERHILHMQLETYGVCFKDNFAFLQ >CRE23723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:123189:124946:1 gene:WBGene00071261 transcript:CRE23723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23723 MVFRCDAKIVVASKSLSTSLHFLLASFLIILSIFLFSTCYFFQKMELDLGTISVSRLFAMYTSNLVWSLFLTSTALHAVALITSPVQAVYKWIRRQSSDSDTPIPYICAVIGSSLWLRYSIFLRDTKLILLQTYAVSMQLFFVVALIFYRTKRRKLIRLMTGIAAAMSLLFLYIDNLNDEDGKEFTGRIASGAQIAGSLVCPYLIYKAVTSKCIDFVPLAPVVFTWVMELHAIVYSIGIDDFYMLLANVIFFCMDGSLLSMFFVYPTEKKKKNLKSPIPTVM >CRE23722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:113937:121335:1 gene:WBGene00071262 transcript:CRE23722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23722 MRRGDVVYSGGRRGFISLLVDSGGQQGGEMKPGTIDPALAEIGKKNGLLVWRINKFELEPVPEREHGIFFIGDAYIALNQKYEGCWDVHFWLGKNASTDEIGVAAIKTVEIDDSLGGIPTQHREVQNYESPLFLSYFTDGIRYVAGGYESGYNHVEDQFKNWKPHLFHCKGKRNVRCTEVECEVASLNLGDVFILDLGKDIYIWMPPDSGRLERIKGMARAKNIADVERMGASKVHILDDVEWDNDPKFWSYFGGVNAVKKVSKGADDDDNYWKRLTEQITLWKVSDVTGAAKVSMVAQGENLRKEQLDSKDAFILDAINGGIFVWIGKECTLEERSKALIWGQNYLKQHHLPRWTQVTRVLDTAENTQFTQWFRDWVDEKKKNTFEPLLFQVSDESGLLHVEEIANFTQEDLDGDDVMILDARNSIYVWVGANANPNEKKEALNTAKAYLEKDKMPRHKKTSIDTIYQGQEPPTFKKFFPKWDDNLFKNQVRSVENMRRLLFH >CRE23731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:108825:109845:-1 gene:WBGene00071263 transcript:CRE23731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23731 MKFFSLVLAVFFVMMASVAHSFNVPPTGLCYVPPGGDCYRCDCKKPATCYKGTCR >CRE18325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1083:8830:13766:1 gene:WBGene00071264 transcript:CRE18325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18325 MAEIPPEEQYETDTIFSQEGDLPDSYLQTKLRDVESDTEVFSDGESNLKSERFLTVDLPSPTTKVDSDSFKKLVENSKFVWGSGDNATPSSEFDRIVFQDDEYDITQDSFRYGRVTAGPSYNLVTGKMPQEILEKVKEKDDEMIETVTEDETTHLITTEVKISLNSGDGDCSGERFSVFDGLYDSQMPKFFKYRDAFPEIHGIWTLLFDQEHYGDMSTFELQASICAALNSKHHLIVCIGVDNYNTVTGVEMSATERVVFRMALTRAVAGEFQPPLIKVPPKQLTGVSPMKRDVSEMTSNIDVMFIPVLGGGSKGSGDSAPSRFLVVVRVKELTEKLYQLSSGRIYVEENGRVVELANVNEAFHNLIINKEKPSIGSLFMIEPEPFIEDTQLEIDEYSSSEEEEVEDVVVKDSEGVPSKIEAVVQEIVIPEE >CRE18324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1083:3657:8357:1 gene:WBGene00071265 transcript:CRE18324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18324 MTCRTLITSMDLMALIRAVSSIIKLVVLLRFRNLSISYFYQIILLTISKDFIHFFLVLNRTRIIFDIVTIFEGLSLIPPFIISELSSSKQQVVLSQASAGGSGSDSRKPSKVNVHVKDGGDASRRNTIDLQIGEGRSRKNTLVKVNGEVVSVTHSASSDDMSNSPRIPRNLSVRAKDQQGVQNNQEANEEGQNSGRRIDNTQSWPFFNPSTRFRLLKIIFVLMCLVDIMHWAYLLRDDTNENPNSWRFYKKFKSFDLYYLVARMFADIFTCVLGLGAAMWTRKPLLTLPCTTIQLLFLLIRAAVWSVRSYNRVLEKTHATNEDKLFVICEFALPAIWALLSFLIVHTTRRLRCYEQLHGYARPPIIVLTVKNDDNDESVQIEIA >CRE23719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:87249:88357:1 gene:WBGene00071266 transcript:CRE23719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-3 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3NCZ9] MYRSILLLTLFAALVLANVAEPEKDDQVAVKIPTKRSVSEPPKDDDVAVKIPMRKKRGIAIHPWQWESHLWPNAEVPYDIASHYTASERSVILSAMEAFKDVTCIRFRPRRSTDRNYLQINKYYKLERCFSYIGRQTSRWLFGTPAGNVETRMKLDPSCLLYNGRGTVMHELMHILGFYHEHQRDDRDRRIGGSAFHYNFKIYQRAKSYYMGGYDANSIMHYNFQNVPWQKRDNFSASDIRNINTLYKCNNRVVSRLAPTSTISTTTTTVSDKVVKLEKKNLFRSKAPRFELYEKKAIESNSLFRRRRS >CRE23718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:78399:80712:1 gene:WBGene00071267 transcript:CRE23718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-6 description:CRE-FLP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NCZ8] MNSRGLILILGIVIAVVLAQQDAGEMEKRKSAYMRFGRSDGGNPMEKRKSAYMRFGKRSGVDLEEVDETTGNDMQMMEKRKSAYMRFGKRSALPEEDVMSAEKRKSAYMRFGKRSAVEMDEGEVQDAHDLFKRKSAYMRFGKRSADMDEEEQQDHDMEKRKSAYMRFGR >CRE23729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:50919:56747:-1 gene:WBGene00071268 transcript:CRE23729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23729 MFSDSKRPPTVEPTLMIGDVDRSFDFTLVNNFICLTYPYPIKLRKSPTKVETKVSYFYQYPTFADARLALRRLNGLRIPGMSSDFQLDMNFTKQCEEVCAHLTVNDVHLSRCEVMRILFRFPSVLGLAQYNFDLFNGTTPRTTCFVRFGDKEECMEAVRELNQMPIENSHIHMTLSTRYLDKLRGYVMEREAKKQEVGVPQFHNIYSKRRVNICQYNEMVMSASEEFFEDMESSRWSPVAYQTNVHKGDYVRQMTLHSFDYDF >CRE23716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:8424:10740:1 gene:WBGene00071271 transcript:CRE23716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23716 MNRKSFGNHRSRGGAHRDVKQLSRNKSRFDFDDEPAVPGNISVLFISLIVDILVSVRTNTNSANNRGREGAQRNPFYRSSASIANTGVRNAALVFKCRAIGAAKKVEAKWLIKQLHQKIENFKPLLWDENNRGDFEWFVRDEDIASTIKMNSRRIFHEETGTRVVLVYTRVPAPWMQLKRSEIEIINVSSIEKSNRYDTFYRELSTSRNRIRHLDYASGLVSIAKFVKELDFSHNHISVEKDLDKFAGLPVETFFFEGNPIVETFTQNASYIRLPRLNILQNQQLYSIISLLFHIIVPLLSSLSICSLNSNLPIQLYSQDVPEMQPDGVEVKPLVTEPEPTEPLVTEPEVNINDAMPFRAGYYPTEQIRVLVEHFVVSFFDLYDGTDGQRNRRNLHNAYDADASVFTLTIKHLSGSQFQRHHNDDCYRQYVQLSHNVLEQQYFAHKRESRQARGAMDIAVALSKLPTTHHMKNTFIVDVFLHTNDLLGFTVQGLFLDGDLTKDPSPSYFSRSFLVSPRENNTVAVLSDQLFISTASSERLEKFKKLIDQAAKNAMTEAMCQFSGMIAAYSEKCLADCGWNYALACAKFTEIRASIPAEAFSQ >CRE13702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:69893:76939:1 gene:WBGene00071272 transcript:CRE13702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13702 MATSNNGSGAEKKTTQALQLVTTTIIHMLVSRRIPILAYLFLASLIALLAFKFHLSTLSDGGVFEDGIEMRWRCLKTKMISEDDPTPFDFALNFILKPTFPDNLNAGNTPNVLVLVTTTASEGKMREQVRQSWANYTSRAVRVEFLIGIPTDEQLPLIQKENEEYDDMIIADVVEGYYSLAAKTMAMLIYKTRYYPDSKCLVKADVDNVLILRNYERLCEEAIAPLILGKCNVPRTVLRNTTKWAVPEFVYSEPVYPTYCSTGTYVLAGKTVPQRLIKEAMRSPFANSLNFRKLSEDVIFTGILAEKAGIRRRHINGLSFFEIPEFFCRNGYKTTYSTHLLSDKNPVKNYYKLMKIEGVLCRWWDPYGVRRW >CRE13725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:92088:93638:-1 gene:WBGene00071273 transcript:CRE13725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbs-1 description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:E3NBS6] MRDEKRKAIPAIGFYPQEEISTGTTLIAMEYNGGVVVGTDSRTSAGSFITSRATNKITPITENMVVCRSGSAADTQAIADIAKYHIDVYTMTENKPVTIYRSSQIFRQFLYNYREQLSASVLVAGWDSELGGQVYAIPIGGFVSRQRSTASGSGSTFVQGFLDSQWRPDLTLDECKAIVKQAVGLATFRDGSSGGVVRLAVIDKTGSKFELFRPDKPGFPFVQTPTPHLSFPPHIEQVL >CRE13706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:95073:95987:1 gene:WBGene00071274 transcript:CRE13706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13706 MSDQSCYKCQQPGHISRNCPQREQDGGRRGGGGSTCYNCQETGHFSRDCPKGGSGGGQRDRNSGGGGGGGSCYNCGGRGHYSRDCPSARSEEGSGSRGYGGGGRGGGSRSFGGQKCYNCGRNGHISRECTESGSAEEKRCYNCQETGHISRDCPTTNN >CRE13707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:96148:98729:1 gene:WBGene00071275 transcript:CRE13707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpi-2 MSCCFSKKLFNRGANRREDCYRVKETGEAKPEDGEAPKYSWDRREKVDPADFTVQDIHSKTLRKEGKPGGPLQIENCTATRHTAYIRALPKPKKIDATILFLHQTSQVIIDDCRRCTIVLGPTQGSVFVRDSANCTILTSCQQLRTRDCTSVRIGILCPTEPIIENSNDICFFHLAMKYPHLKDQMHAVGLRPFTNRVVSVHDFSPAVGKPNFVVSAEPLKMSEGQDEILKVNTVILKATPADFIPRFTAMKDDDPTYLYILGKSEPVDELGDRAIEILHAVYKAGMKVMATYDVETKNLDATLIPFARMAERLILFQLSGSLANLECDVDFDLISENDMEPLQKLLIHLNGRKSG >CRE13708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:115365:118436:1 gene:WBGene00071276 transcript:CRE13708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-frpr-19 MPPTNSNSTSPPDGVNSTLSNITMGVLSDLMAPTTTTIDPSQFIPQVNFCEGLNASCACHTEYASYEALERIILGLVAVPVIMFGILANVTSMRIFTHRIMSSSSINWYLAVLSASDTLILVSAFFVLSLPRFGEYLTWWRANYISYSVTPYMYGLMMTAQTCSVFMTVGVSVHRYIGVCHPYKSVEWLPKKRVTTFIIGLLTFSVIFNTTRFFEVHISNVCYRANIDYYMPSLQPTALRTNELYMQLFFGWVYTVVMYVVPFTLLIALNSMVLSAVRRSRRMHMVSQVGCGAESEEFSKKAERKERQTSIMLVAIVLLFISCNTLAFVCNIIENMEIGGPLYETLVTLNNLLVIINASCNICVYMLFSDKYRMLLRYYLFCDWSRQGELLVSSVLN >CRE13727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:119183:121307:-1 gene:WBGene00071277 transcript:CRE13727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13727 MNIFFATLIFLSSFATETQCSIKVENGLLSLKFKRQLYLCGVQPYQYYSTSQCPTSQSCPNGGIFMNVPCTQSYQCTPYYSGVSSCISGFCCTSPNSQNNPIPITTTTTTRAPGGFGVCPSGQLSEVRCTTRGQCASGQTCIGGLCCTITGNEWNVACGGLPALNSCDFTSRCTSLSQVCTSSNYCCECPYGQAYGTCGNGICPTGFTCQANGNCCPVCPNNQQPFGSCVSGQCGGGRTCRSGNICC >CRE13728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:122385:123418:-1 gene:WBGene00071278 transcript:CRE13728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13728 MWQTLEFSANMDLEQRIKAYRFVAYSAVAFSVVAVISVCVTLPMVYNYVHHVKRTMHSEINFCKGSAKDIWSEVNHLKAIPAGNRTARQAGYDAGVSGGSASAGGCDACCLPGAAGPAGTPGKPGRPGKPGAPGLPGNPGRPPQQPCEPVTPPPCKPCPAGPAGPPGPPGPAGDAGANGNPGAPGNDGQPGAPGNKGPSGPNGNPGAPGAPGQPGQDAPSEPITPGAPGPAGPAGPQGPPGAPGQPGHDGQPGAPGPKGPNGNPGAPGADGNPGAPGQAGTPGGAGEKGICPKYCAIDGGVFFEDGTRR >CRE13709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:134989:140463:1 gene:WBGene00071280 transcript:CRE13709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13709 MAPTNSIDLTKQKFGRNEMMFKWFRQMNPKPGFLRRQWRKVKGELTTSLISQYRRCVIRMKRCLHENNDVEQQRRKSQAKLHPLPDRPLRRAASKLSRKPTNEIERLRKKGDDWNANDLFKFQYSDPEEGTPEERKQLCYDWLDRLHDISKKYCYLAWYESAIYACYYRLAPILLDPVEKQRIWHDVKHEYAEIFMMGRRIWRRAIHPSRLRVFYDLAMLCVRFGDMEKDDTVPKFKELMSDSTNFDFKILNETEFIKSLDKVIKLENFVIDQFYKRRRSSGSIRSSFRSRRNTDCSPSRRSELLDSKTEDDADDICSLAESLQQVSVSLPATTVSDKPTVTLSIPTIVIDGPDSGSPRSRPPSPRLVRFDE >CRE13729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:140887:143320:-1 gene:WBGene00071281 transcript:CRE13729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13729 MRVLISLLLLSALLVEADEHDHLYEVDEEVVLWMNTVRIVGPYSNRQETYTYFSLPFCKGEKKEIGHYHETMGESLLGVELEFSGLDIKFRTNTKKTVVCQKKLTETDYKTLLYAIQNSYYYQMYLDDMPIWGMVGEIDNSVSPPAYKLYTHKRLDIGYNDKQVVDVNLTTDGRVDIRPGAELTFTYEVQWSKSEIDFTKRFDKYLDPSFFQHRIHWFSIFNSFMMVVFLVGLVWMILVRTLRKDYARYQKEDSLDDLDADLGDEYGWKQVHGDVFRPPTLPLLFSSCIGAGYHVFTVAVITTILAIVGEFYTERGSLLSAAIFVYAACSPVNGYAGGSMYARFGGRHWIKQMLLGAFLLPSMVCGVAFLINFIAIYYHASRAIPFTIMLAVTAICLFVILPLTLVGTVIGRNMAGTADYPCRVNAVPRPIPDKKWFVQPWLITLAGGVLPFGSIFIEMYFIFTSFWAYKIYYVYGFMLLVTIILSIVTVCVTIVCSYFLLNAEDYRWRWTSFACGASTSFYVYMYSMYYFFFKTKMYGLFQTVFYFGYMGIFAAALGLMTGTIGYVGTAKFVRKIYQTVKID >CRE13710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:144186:146368:1 gene:WBGene00071282 transcript:CRE13710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13710 MQRIESAIEKAVKFRRHGIFISETFDLARTQAREAIQKGITPFPVAVKDCFLTNTANTTCASQMLENYCAPVNATIVERIVANGGCIIGKTNLDEFCMGTSSALGHFGPVKSAVKEEDSPDDWIIPGGSSGGSAVAVQAGIVDLALGSDTGGSTRNPAAFNGIYGLKPTYGVLSRYGLIPLVNSLDSPSILATSAEKCWKYLEIMTSKGIDAADSTSVTLPEESKESSRISSLKGLKVGIPIEYHNECLSEDAWRHWNRIVGILKREGAIVRVISLPTTKYSLACYSVIAAADVASNMARYDSVAYGHRSEKNESTYEMYATSRSESLNTVVRRRIFAGNYFLMKQYRKLYLDKALKVRRLITDEMLAAFNEVDILVTPTATGPAPKYSQLRDTLFSKEDDDDYFTQAANLVGIPAISIPVGRSDEDGLPIGVQLMANRLNDRALCDVAQILSGII >CRE13711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:147879:150887:1 gene:WBGene00071283 transcript:CRE13711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13711 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NBT6] MSESTVRNEDGPPLEDVDSSPKNSRQPPPLPATNPVVKAEEVPVGDLIQFESEEERAATIPDGSMPSGTASAVPATAPTEETPSMESALRAFLKEEETLIHGDFQKRFYQVRLEQDKWRMEPDYKTDCALAPQHYARNRYRDILPYDHNRVKLSEDDDNYDGYMNASIIQLPGSTTTFIAAQAPLPATLDEWWKMIDENNVQLIVILCKLVELNKVKCERYWPEKVGEPEMFGEYDLTIEEEKHFDDDEYLLRVLKMENTTTGTTRTVHQLHYREWPDHGCPSGEKQLLNMIDRMETLHSENPGSPILVHCSAGVGRTGTIIAINHIREQMKAETLTNIDIFGLVIALRKQRSSMVQTQDQYQFVHRCIAAYCRRYLGIPEPRLEDLPATQQLPVGSSGNVRLIAPPTPTTSNDQQTIQSIANYQPDPMTASRIEEDENPEQQATSVPDFPEEPPAPMGPEDLGNSAAF >CRE26810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:93232:94466:-1 gene:WBGene00071284 transcript:CRE26810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26810 MGNGEDKISLKASLLIGGRHQIDYLLSSSASTSAMHIAAMTTSHARLHLYRLMEKVGPDNLVYTDTDSLIYTVADGEVLKGRFGQIFGRPDQRIERQNEGISLKAKGFTMTSVANKIVTFDNMKTMVEEVLKEVTPRTIQKVPQFTMRRDREHNVYARDIEKQMKKKHIDPKHCGSTEYNFDVDSKICIWYYDTFESAPDSMKNQKDIILREGLPNLDELMKYKKYQDMVVIDDLMTKIDQKSGMEWLITMI >CRE26794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:83059:87312:1 gene:WBGene00071285 transcript:CRE26794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26794 MRSFPLSHCLLLLLLLYSFDAFTQDSAHPAASENTVVRVKRDQKATGKNDDDDTKKGGVADDKNVDPTPPTDTKTGKGGGKGNGKGEPKMPDDGKQATGGKGGGFRIPYPEAPKPTKNPLPGNAGSQPSGNGKGKPKVPDDGKQATGGKGGGGQIPDPKAPKPTKKPPPGNAGSQPGGTGQGVPTQPPVNGNGKGGPPPGGKGSGAPTPPPNVQVPPGGTGGQTDPKDPPLDTTGNGTQGNGSGQSAGNGKGLPTPPSSNGNGNGGPPPGGKGSGVPTPPPKVPSGGTGGQTAPKGTTSAESQPGGSQPRVPPMGGVGGKSESTTTVEPPTVSSTLKDPKDPKDPKDPLGGTAPTTIAAAAETPTTLPTKGIPDDDKTSSTVPPRGGPPPKAPPTTVSSSTVTQKPGVGLPKDDGTNTTVAPEATVTLPTVPPKGAPPKAPPTTVSSSTVTQKPKDETTTVETVIQSVNTTTEATVTLPTVPQPGGPPPKDTPTTVSSSTVPQPGPEDNETTKVDQGIPKVTLSTEKPGKQEPTTVPDDKETTEAELEETTEAVLEETTEAEPEETTEAEPEEPTTTEDPGVPPGGVPGAPQATPAVPQPKPTPPPPKDKPTEPPKSPDASASPEHSGNASEPSGMPEAAALTGTTEKTAEELLKEEEFKKKLFAFELGTCSVLIVASVAAYVVSFLAYDYAKGITKLIKHPKKLKKK >CRE26809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:78064:81539:-1 gene:WBGene00071286 transcript:CRE26809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26809 MRSFPLSPCLLLLFSLHQFNAQFGVPNATETTTVTEMPETTVAADSTVSTSTEFSTTVLPIGETTVPNATTVFNMTMSTVTPTQEPTTVTDGTSEEPTTTVIPETSEASTDQPTTVTTTVDPNGDVTTEATTVPSDEPTTVTTDGETTTLEPNGKVVPATVPNDNETTVTDVAGAGESTTTVDLNRRVMMTEATTVTDDEEPTTVPGDEPTTVEPIATEATTVPPAGIPGGEPTTVDPNGVVVVTTVTDDTSEESTTVPGAGESTTVDSIGVVVTEATTVPAGVPGEDSTTVDPNSVMVATVPTGEATTDHVAGAGEPTTVDSSGIIVTEPSTEPSGEPTTVTDDVPGPGVPDDEPTTVDPNQVVVSTDVATVPNDEATTVTDDTGEELTTVPGTGKPTTVDPIGVVVTDASTVTDDTGEEPTTVPGGVPGAGEPTTVDPNQVLTEAATVPSGEPTTVTDDTGEEPTTVPGGVPGAGEPTTVDPNQVLTEAATVPSGEPTTVTDDTGEEPTTVPGGVPGAGEPTTVDPNLVTDEPTTIVAEVTDENGEVVTVPPISEPTTEPDYPTDTDEPTIVPPEDDKPTTEDPDNLVTPGLPPIPNPTAPVAQPTAASEAPPTPLETTPAAPEAAALTGTEQTPEDKKKEKEFRKKIFAFEMGTCVVLLATSVAACVASFMAFNHVKNMIKTEKNGAKVGKKGGKTNGKKAGTKTPNKNLKKK >CRE26793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:74649:77952:1 gene:WBGene00071287 transcript:CRE26793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26793 MSEEHDHEEEKHSIFGQKEYIKFIYHFNYFSAYAIISAIYCIPTIIITLKMIYLYVRKHKVLSQNNLHPELFRQFLIMQTLCLFHVFIAFFVIRIPNTGWMTAWCASRKPERILKVLMFLNSGIIYSKYFSIILFCVMRVFLLTTSSYLKEFQSSTYFQKAGLYLTYLTPFLISVAFLLALPRYMENASCSQVGDPFPHGAIMVMSLIRHNAKMPLSFIIEVVINPFVVITISVLNFIMFLKLRESRQLSLITSRKHDSKAEKVFTVTMALLLIPVIINVSIALFEFFDDFLFHVYLIRPYSIDAQAHVITCYFYFTHPIFKKKVINVVRVKSVTTN >CRE26806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:41280:47962:-1 gene:WBGene00071288 transcript:CRE26806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pes-4 description:CRE-PES-4 protein [Source:UniProtKB/TrEMBL;Acc:E3NGC2] MDPAMYPGASAANYLTYPQQATTDYLGAYAAQTATTTRNANGSSASSDQFGDPSAASSSSPRETSPSTTSLVLTIRLLMQGKEVGSIIGKKGDQIKKIREESGAKINISDGSCPERIVTITGTLGVIGKAFNMVCNKFEEDMLLLPNSVPKPPITMRVIVPATQCGSLIGKGGSKIKDIREATGASIQVASEMLPHSTERAVTLSGTADAINLCMTQVCQILLEAPPKGSTITYRPKPTFNPLAIATSAATIAAQQQQAQAQQQLAAAAMLGGSQGVQVQQQLVTNALLQQHQLANYQQELARAALLNQQFLMPGQVSTAQQQASNAQEVYLGQHGLIYTTGGAQLGAQTAESKAMEAGAAAAAAAQWQGYDMAAVQQQQQQQQLLNQYALNQSMLIGAPFMKGGPTPPGTSSGKATSSGSSGASGATSSARFHPY >CRE26792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:36768:40912:1 gene:WBGene00071289 transcript:CRE26792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-orc-3 MTPGIKFHSGVIVKKLTLDRHIINDCIDFPDDFRFEKEKDEQRFFKTFIDDVTRFDEKVAETTRRIYEAATSEIATWIVKNPGEGRRRRVLSVFSPDESIGNLRTALVQCNFTDISRLIQDFRKKMLEFDDDGKVIREMVVTENDAIHEIIDKVSELNSDPSTIRIIMIRQFESLRPHFLDSLISLLYSTSTCRSVISRVRLMICVSTSPAFFTQNIQMETMNMLELKHFKFTQLEEIFSEVICTGIHAFFKPPRPKPRNIQEDDPKLLMKYDCAPALFSGPFMKYLKDRFLACDYSVSALIRAVQFALLQKYLEDPLWREETHSEEMKKYDAVLHLFKDEFGDGTLVELIQFHMKIQTDSDFLRKIREEQVFREKKQFLFEGQSKTNLLAFCDRIHKRIRDFDTEFCEKLIELKEKLEAAKVEKPVEIEESQTEKTPSKSQKMSFLDLQKQRKQAMSAKQKNNPISSAKSEIFAHIMSLFESTLRQYPATWRNVIGTWKQRDGDVKVSMDSSDEYDIENCLLNRNTEEPVSVAWRALLCQRNFKMVPIGEWARTYLDNIKMSKKQAKGAFFAAAGQLEHIGLIRGAADKKSTNIHVLYHPISFIPSL >CRE26791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:33040:34860:1 gene:WBGene00071290 transcript:CRE26791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26791 MRVKCGVCGLAYVRKFRFFRAEKFRFLTKYSGFGAQLKVILYLFCRKMTDYMAQMLNELMGSQRDAMPGERRELRFDDPNVCTDFLVGFCTHDIFRNTKNDLGFCKYTTHDENLKNSYQNSDKKGRMGFENRFLERIRRIHEDVRRKIQKHEDRLAVTQGESKSAEETFGMKIQELELRKEQLTKKVEDLMDEAAVEGEKGNVAAAQTAVEKADKTKFEIEELGFEAEKMRNEKERAISMEENVTAGNRQMQVCQICGCFMLQNDAPQRVDDHLTGKLHIAYQLIADTIKGLEHEMEEKKKQRLDERVSSRRYDRSRSRSKERKEHKKDHKERSEHRRGEGRDRGGEHRDRHRDRDHKDREHHRGDRGDKHRSDRDRRVRIV >CRE26805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:30783:32871:-1 gene:WBGene00071291 transcript:CRE26805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-45 MLGRALIRTFLSPAPVAACTTQISGVHHHKEATDMKRFTGVERSNKAKANRNTHVNEKMFRRMRGRKTLIVELPEDSAKEKEADMAPGEMRTELLKRGLNPYKEAAPRVWNEAQVTFQSIYGIADPYVPPENPGSFTDVNNKFDEVKQRIQHKFYNWRMGTNRIRKKQGFEKFDVKTFCAKAEDIYVRAHKALEARDKKEMYRCITEYAFAKMWPDVENGSVRFELVEVVEPSRVVAVRCFDNPPKSGNDIAQITVRMHTKQKLAVYDRFGGLILGSENEEKDVVEYVVFENHIAVVDGEWRLHGKIYPRWIEPKQGMHITKELTDEDMMRQQEKAKALPLRTTEKLEEEKIKKEKGITDD >CRE26804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:28942:29934:-1 gene:WBGene00071292 transcript:CRE26804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dss-1 description:CRE-DSS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NGB8] MSSTSNTAAPTGAAAKKDPKAAVVVDKKETEEEEFEEFPIQEWAERADGEDDDVNVWEDNWDDETHESEFSKQLKEELRKGGHPIA >CRE26803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:22379:28679:-1 gene:WBGene00071293 transcript:CRE26803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26803 MIRLISISRRFYSTPPPQSKFRNIGVIAHVDAGKTTVTERLLYLSGAIQNPGHVDKGTTVTDYLDIERERGITVQSAAVNLDWNGHRINLIDTPGHVDFRVEVERCVRVLDGIVVVIDGSAGVQPQTLTVWDQSSKFKLPAHFFINKLDKKEANFDKSIDSIQQKLGIPTVKTVIPLADGTGILDILNGRLLKYSDSESTDWLPSEDPQLMEARSDLCYTLSDHSPEFFTHFLDENDGNPMKISPEFIQTTLRNLTVSSKIATVSCGSAIRNLNCVRPILDSVANFFPSPDMRNREFQRVFGDDLAGLVFKITHDKRRGQLSYIRIYTGRLQNNSSIFNTSQMLSEGPLKVFTPYADELKSVEYVDWGNIAVVAGLQNTVTGDTVVQSAGSADKAFETLKSLDHQVDLLQTKTSHEGRAVVFSGIETPDAVFFCCIEPPSNRQQQQFNRALEELQREDPSMKIRFDRDTGQTIVETQGELHLEAIKDRLKRNYKLDVFIGKLQVAYREMLTEELTHSAKVEDGLSEKKRPEFVQLTMRLEPTKEHVPFRKIDLDLPQTAKPVRLDWQKAIAEGCSNALQNGPLASYPVHAVRVILTECIVSGGKINPALLSACAQKCVSESLSRGEMVLTEPVMSIQIDVRSDEPTQPILNELIKRRAQFEHSDTLDSTEYRRIQAVLPLSETENLSKTVRTLTSGFGDISVQFSGYQKVPDHEMNSILQRRSEGF >CRE26790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:19919:21853:1 gene:WBGene00071294 transcript:CRE26790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26790 MKNLSLLLLIGFVGSITANLCITKTLNFCQYGFNQNLNVSSTADWTNPGTLNFIVRSYYLQGIDGLLAVCNARQQFAQCLGDQYDACMSPLNFIASGESPADAQSYVQLFKTMEFDCNGGFIQSSRNWPCIATILQVKDDKLNECRQQYNTDLQKSPSALCQASADYETCVRRQFGTTCGSEVSWWACERTRRGLSIDSDCPSDTCSLVASGAAMTSSGDERPSKLVFEMQSDVVDVIHEISKRQKMEH >CRE26802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:16061:17867:-1 gene:WBGene00071295 transcript:CRE26802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26802 MIRFLLFFIFPSAIHCCLVVKSVEPRKCIQWYKHTQTRSIPAKCECPYLALDKYNIQSHKAGQNWYESNPTDVLLLPPKIQVDDCSITVQCSEEDYSLRIFGGKRNYDLGYYAANALCDSSNQTWLLDDNSGFLRSIETIDAVCWKDICSCPIQQVDEFLVPEYINFYPVYLDYLFQFELYKPSFEMDYCPTEIRCPENMQKALISQDEFLEGGSKFRCEKDDKGRPIWEVTRDGEDKVRFKSYYLYATCINRDLGGVKPPSGTGVCYCDHAFLDPDSQNGDKLMNFDTRIEEHSERCEWYLYCRYPTNIARTVINGKIVKSKSFHATCNADTKLWSITNNEEVFENQPVFFHDCVEL >CRE26801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:13834:15825:-1 gene:WBGene00071296 transcript:CRE26801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26801 MIRFLLFFIFPSAIHCCLVVKTVAPPKCECPYVALDKYNIQSYANGDELYEEALRLRPIYPPTTTIDDCSISIRCENESHKLMVWSTKNYNFGTYSADGFCDPYSQKWSMAYGGEMKSLDYIHAFCYNYRIRNNCSCLMEPVNTQYAPEFLNIYPIYVESLYKYELYRPVFELDKCPTTIECHKDNLKIGVISPYHSYEMIEPELHCETDEYDKPIWAVKPGGGREEFKSYTLFSTCVDYNVPPKPPLPTGTCNCPHRFAEQIQGSPFEIKSINVRITKSADNCEWSFECVDAGDSRPRSFINSMTPFYGGKFAATCNAETNMWTIRKDGNTYSDQKVFYHDCVK >CRE26800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:11803:13149:-1 gene:WBGene00071297 transcript:CRE26800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26800 MILILLLLAFPTVIENCLVVKTVKPPKCECQSLALSTSNIESIIGNHEFYKSNISKYSIRAPKISIDDCNTQMYCEGVYSLVVFDDIEKYHVFGAYSADGLCDPFTQKWLVDDGSATLTTYGKMQGVCVDYTTKAYTCPCRLYSVDTQNAREMIGPYKIYHNDLYKYQLIKPTLSDEECPSTFSCPQNVTSVLMDYIIVGQNKNYQLTCGTDEFNTPVWTVTSEAAFFTTEYIYISCIDYKTLLIWPPFTPKCGCLSSFLTRIETVGYYIQNDLVSMIIDDDLCAWSFGCKRGVALSTLDGEIRRSDGFDAVCNENTSKWRVRQQNSTFGEEQIFENQNSFTFTCATSY >CRE26799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:8392:10517:-1 gene:WBGene00071298 transcript:CRE26799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26799 MILILLFLSFPTVVENCLVVKTVEPPKCECQSLDLSTSNILSIIGNHEFYKSNISKYSIHAPKISIDDCNAQMYCEGVYSLVVFDSIEKYHVFGAYSADGLCDPFTQKWLVDDGSATLTTYGKMQGVCVDYTTKAYTCPCRLYTVDTENAMDLIGGHPSYWGVWFQYQFIRPILSDEECPTSFSCPKNNTADILIGSSDFGQNKNYQLTCGTDEFNTPVWSARSQVNGESFTTEYIFITCLDYKNKAIPPPFRPSCKCDFTFLTEEETVGYYIQNDLVSMIIGEDRCTVSYGCKRGVALTNIDGEIHRSDRFDAICHQDSEKWTVKQYKSAFGEEKMFENQDRFTYACSTSY >CRE26798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:6574:7750:-1 gene:WBGene00071299 transcript:CRE26798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26798 MILILLLLAFPTVVENCLVVKTVEPPKCECQSLALSTLNIESIIGNHEFYKSNISKYSIHAPKISIDDCNTQMYCEGVYSLVVFDDIEKYHVFGAYSADGLCDPFTQKWLVDDGSATLTTYGKMQGVCVDYTSKAYTCPCRLYMVDTQNAREMIGPLKAYQDKFYKYQLIRPTLSGEECPSTFSCPQNTIYFFHSSMGGGELRNDLLYRFQNTKFRLNCGVDEFNLPLWTFIYPETPLVPPPPTPKCNCDFRFLVRVETEGYYMQNDQVSMFISDDRCTVSFGCKRGVALAKVDDGIRRV >CRE04201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:312237:324379:1 gene:WBGene00071301 transcript:CRE04201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04201 MFMERDEGIDLNSSVHRDFPSFVPDESTYRIQNASQKSMIFPQRLDLSSLTPKKAKNGQNKKKISPIKANSDSRVQKSFNWTEIGQNSVEKRNHTIDLDAMRESFDQMAKTFTEPRKNSNSSVTSDRASSVTSSSSGQSLGQKSIFEEVGRAKKLIDERRREGERQKSGETQTNDVLVLSDSDWHISDDGRYYQEETEEDETRPPSSQPSLAFEPVEHARRGCNSKNFISSVENNGRQKTRLKETAAKKRNTGTASDTVCLSDSQLYEIYEKRCSQDEVTLTEHSPIDDDHDKTIVDEVFEDFHHPLNRLSDKETPILRSVGRDTSNLSLGSQRIERARDATAAHRSASLNNIMSATTATANRKMATNQEERFDGIAPGALRHSMSTVQVSREKLRELQKEHNYPIQSLARFVIRPSTRDIFPLPLDIDAISGKGLRRDEKSTQRNFGGKSASVQIHGERPSPRTTTIPQKSSSGASRPTTSSAAKKVGFQSNLAPNSKISKNFPKNSNSRQLPPPYCSATSSDGTAYSIATVTQQLLGTVKSLHPDWLPTIRRILNDDDVTDDVIGEFRGVLHVEKTALEEKFQKNRNETPFDEHHFLAKISSVSRILERLETLANGGGHVSRIQQIKMIHSALLST >CRE20592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig348:25771:29072:-1 gene:WBGene00071302 transcript:CRE20592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scrm-1 description:CRE-SCRM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJS4] MQNQPVINQQPGYAPQQANPVQQFQMQPTITTQPGAFVQPAPGAVWMPLPPAIQGVPTGLEYLTYLDMIMVHQIKELIEIVTDWETKNKYVLKNANGEQCYYAFEESGCCERQCCGPNRGFVMHVVDNFKREVLTIKREFKCCGGGCYGCFACVGCCQQECVVETPSMGVLGIIRQRCGFMSSNYDVCDGDGNVIFQIDGPCCCMLCGCQDKEFPIKTANNGTVVGAITKKWGGCFREAFTDADTFAVNFPGDLDVKLKGVLLGATFLIDFMEFEQQSQNRNNGGVTVDC >CRE20590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig348:14897:22807:1 gene:WBGene00071303 transcript:CRE20590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kpc-1 description:CRE-KPC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJS1] MSQLINFFIPENYLAKPRPPIPIIPTEIHHAIGLFRGDTNQSINQSIMAKNNSFSYRHCSSIFTQLLTISLFLLLIGSAHSTTESPGEFDDDVTTTISSIASPMRRTYTNEWAVRIAGGKVEDAERLANKYGYTNLGPIIPGDEFYLFRDDRKKSRSARKTRSLSANQLQHEEDVMWMEQQVAKRRVKRGYRRIKRHTDDNEIIEEDEGRQVSKSRNRKHPDPNDPLWTDMWYLNRGQNDHDFTARMDHNVKEAWDLGYTGKGVVVTILDDGLERTHPDISPNYDERASYDVNDRDSDPMPRYEFSDENRHGTRCAGEVAAIFNNSLCIVGIAYNARIGGIRMLDGDVTDAVEAASVGHNADYIDIYSASWGPDDDGRTVDGPAKLTRSAFEKGITMGRKGKGSIFVWASGNGGKDADSCNCDGYTNSIYTLSISSATENGNIPWYSEACSSTLATTYSSGATGEKMIVTTDLHHACTNMHTGTSASAPLAAGIVALALEANPQLTWRDLQHIVIRTAKPINLRAGDWTTNGVGRNVSHSFGYGLMDAGAMVKLAKIWKRVDEQHRCRQFYPSRYKNIPNGNRLQLQLYSDGCYAAAEENKVSYVEHVQAIVTLKAPKRGDLQIYLTSPSGTKSTLLTKRARDTSRSGFTDWAFMTTHNWGEQAAGLWILEIDNDGWDDAELVKWELVLYGTDRETGDFGGLHTSPLAVRSVQMEATSSGFRIHNVFFSVMMMIIFRFL >CRE09759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:202635:209313:1 gene:WBGene00071305 transcript:CRE09759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09759 MRIWSSEHTFDHEWETVAMAAFKKYPNPLNRAVTGIDVVKQTLEAGKILTERIIQSHFSIPSWATKLTGFSGTQYSHEYTVIDPHRKEFSLTTRNLNGSSFLRVDEKLTYKPDQEDPNKTVLKQDVIVTITLPAFADYCEKTFLSIYSQNASKGRQGVEWVIDHLKKEYEAISTKVSSEVLEMSEKVRSWSIPTTTSSSTPSTSSSKAPPTKPSTSST >CRE09773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:198129:200292:-1 gene:WBGene00071306 transcript:CRE09773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-timm-23 MGWFGIGGHDTPSSSVEVSAQPVDLSAGMNFAVPGFDSPTPAPSSVPDHSPFPAAPVLALDQLKSAGVNVSRQMTPYVQMDPSMFASQQPQYIMPEGGVAGKGKFEFALGHIGWAVGGAFGVGCARGAVGELLNPETRKMVGKPWMTRMVNATMKHGSGFAQPAGAIVFMYSALEIGLRSVRAEDELNGFGAGALTGAIYRSPHGLKASGIGALVGLGIATAWTLSSTDSRQRLSEMFNNH >CRE09758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:189812:197168:1 gene:WBGene00071307 transcript:CRE09758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-9 description:CRE-SPE-9 protein [Source:UniProtKB/TrEMBL;Acc:E3N9X8] MNLIILVVFLFSSVSGAKIRKLIDFLEKDAPNDIEKAPNYNEESVAFRRNKNFNPCLENRFVCSNNGKCLSEDGNFYCVCPVTHYGKTCEHVADQSKCERNLCQNNSTCVSIQTKKTIVNTVLLKSLRLTKGANSPLTDKELSDIDMEVEYECICQKGTFGGLCDESDVDRKCQEVYCLGRGKGTVDQNGTCHCECEKQFFGERCEQLSACFDTQCQNGGVCEDVVDWQTKTVTATCKCPQTLEIIGGTVTGENCENLKIPDTIPAELVPCAAGRNSSYFFENLIDQINIDFGKDIGELDAIQNDYHDGETKNGKMDSGWCKNDGKCVAEVVRVQTSRAYYIHRCECPNRLTDGYYCEYKRHDACSLTREEVARGARWDEKCTDSQHGACVDINGEATCVCKPDYTGETCEVFDPCARHPCKHGDCIPIPSSADVAFGTNRFQCLCPLSAKLDTENNDCVEINEKKCAKGVCGNGRCVPCDSDIPSADDLMPLCNPNEKAQGFRCLCESGYLPPFCKIHTNPCYHNLCQNSGTCRVDPKTRSYDCQCLNGTRGSLCETIDDSCDAFGSKICVHGVCVNDAYFHRGFSCECVEGFEGFDCDVAVGWSSVWYSRFERNYQYTGPLLACLLSLIVMFPLILMSRRRRDEASLAPTSSSEGTKVEEGKSMNI >CRE09772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:184567:186347:-1 gene:WBGene00071308 transcript:CRE09772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09772 MAIALFRYAPPHTEYIITVNIPKTYPFTPPILVCKKDPKIKLKFLEKSQWKPSIGIREVLIEACHVISRRDLSSRLPVLPRLRPPLLKPRGSRSPPKKQ >CRE09756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:179634:183298:1 gene:WBGene00071309 transcript:CRE09756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09756 MTWRAKKEKTLKSLRYSHSYRLFDIEKKRKSRADQKKNFEMLNQILWPLLLIICIQSLNGAEMTMRITMSGRNDDCAKYTTKGSDYLQITHARIFQSGEETNRTVRDGEIQILGNILCEEKDKKQRRKPNEYEFTCHGTPAAKFAGIRVMFSVTTPQQMLSGPIDYRYQDGLMHLVENGYLDLKNLKGQAFVHDRHIQIKRVNITGLYDKPTYYTTGDCHDGFPSKLIADHGLYIMQGSRNNNKTGSLTRMYFEPDGVGEYIPAK >CRE14929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:101811:103182:-1 gene:WBGene00071313 transcript:CRE14929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14929 MEAQGASAMPQASQEAQDKARQQAENQETAKNGMISQILDQAAMQRLSNLAVAKPEKAQMVEAALINMARRGQLSGKMSDDGLKALMERVSAQTQKSTSVKFDRRRNELDSDEELDL >CRE14927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:83724:85052:-1 gene:WBGene00071314 transcript:CRE14927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-8 description:CRE-NLP-8 protein [Source:UniProtKB/TrEMBL;Acc:E3N7Q4] MSQKLLPISPLRLLLLQCILIGFTVAYPYLIFPASSASSGDSRRLVKRAFDRFDNSGVFSFGAKRFDRYDEENPYGYNYNTHIYKRSADPMRFVSMPAKKAFDRMDASDFFGAKRKRSFDRMGGTEFGLMKRSVPENREQLIDSLTDSIMALRKARESEGSQPVLVNFED >CRE14926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:53951:61696:-1 gene:WBGene00071315 transcript:CRE14926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14926 MLREMLVFAYTISRVEPLGHPINGAYYMGGVYNPAYLHEPYGQNGYIDPTYGDTSQQQHPQQHQYPYYGYPNYSHYNYQQPSAYPGAYGPYPGASGAYRPYPQPAPSPPRRSRTAPSRPRSTAPTMGTLGAESRRGGRGVSAEAFDRRAYKAYSGPAKKPLPMYRKKREPPMPRYQETDFGGGGMGGDGGGGGGFTPYSRNYYGEVGHPRQQAQPQTMTLRRLRPFYAPSYTTYPPSTMHPKNALNNRNYPLYARLAAKAVQVILGVAVIGLVLGPMKGNSFHDFVIRTNTEWQGLVLGISVSFSFFSLVLGITSCFASNLHIWKKVDGLLTAAGCFFWLVAGFVEAYFAACYPPNGPRINLVCNRAEWIIACILAFINFCVFVADFVLSWMNGASML >CRE14925.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:44166:51869:-1 gene:WBGene00071316 transcript:CRE14925.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pck-2 MSVDPNFLTPYKNTGAANASLRQISEDAFYVVNEVVMKRLGHVPILKGDFHLLPAKVQRFIAEKAELMRPRGIFICDGSQHEADELIDKLIERGMLSKLEAYENNYICRTDPKDVARVESKTWMVTKNKYDTVTHTKEGVEPIMGHWLAPEDLATELDTRFPGCMAGRIMYVIPFSMGPVGGPLSKIGIQLTDSNYVVLSMRIMTRVNNSVWDALGNQDFVRCIHSVGLPRPVKQRVINHWPCNPERVLIAHRPPEREIWSFGSGYGGNSLLGKKCFALRIASNIAKDEGWMAEHMLIMGVTRPCGREHFIAAAFPSACGKTNLAMLEPTLPGWKVRCVGDDIAWMKFGEDGRLYAINPEAGFFGVAPGTSNKTNPMAVATFQKNSIFTNVAETANGEYFWEGLEDEIKDKNVDITTWLGEKWHIGEPGVAAHPNSRFAAPANQCPIIHPDWESPQGVPIEAIIFGGRRPQGVPLIYETNSWEHGVFTGSCLKSEATAAAEFTGKTVMHDPMAMRPFMGYNFGKYLQHWLDLKTDSRKMPKIYHVNWFRKDGNNKFLWPGFGDNIRVIDWIIRRLDGETDIGVETPIGTVPAKGSINLEGLGNVNWDELMSVPADYWKQDASEIRKFLEEQVGEDLPAPVRAEMDAQEKRVQSL >CRE14925.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:44162:51869:-1 gene:WBGene00071316 transcript:CRE14925.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pck-2 MSVDPNFLTPYKNTGAANASLRQISEDAFYVVNEVVMKRLGHVPILKGDFHLLPAKVQRFIAEKAELMRPRGIFICDGSQHEADELIDKLIERGMLSKLEAYENNYICRTDPKDVARVESKTWMVTKNKYDTVTHTKEGVEPIMGHWLAPEDLATELDTRFPGCMAGRIMYVIPFSMGPVGGPLSKIGIQLTDSNYVVLSMRIMTRVNNSVWDALGNQDFVRCIHSVGLPRPVKQRVINHWPCNPERVLIAHRPPEREIWSFGSGYGGNSLLGKKCFALRIASNIAKDEGWMAEHMLIMGVTRPCGREHFIAAAFPSACGKTNLAMLEPTLPGWKVRCVGDDIAWMKFGEDGRLYAINPEAGFFGVAPGTSNKTNPMAVATFQKNSIFTNVAETANGEYFWEGLEDEIKDKNVDITTWLGEKWHIGEPGVAAHPNSRFAAPANQCPIIHPDWESPQGVPIEAIIFGGRRPQGVPLIYETNSWEHGVFTGSCLKSEATAAAEFTGKTVMHDPMAMRPFMGYNFGKYLQHWLDLKTDSRKMPKIYHVNWFRKDGNNKFLWPGFGDNIRVIDWIIRRLDGETDIGVETPIGTVPAKGSINLEGLGNVNWDELMSVPADYWKQDASEIRKFLEEQVGEDLPAPVRAEMDAQEKRVQSL >CRE14913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:37515:43572:1 gene:WBGene00071318 transcript:CRE14913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-suro-1 MRYLCKSILLAVHTIVLVCSAEEKFALLHVSAHDAQSLHLIKQLQLNDYKYDLDFWKSPSSISDKADIMVKRGKAERMLRQILSFANVTVSMSVPDVEKLIMRNEGSASKSPQSYFSKWLHDDPILDSEPDLDLSRVGTLKKAKYPFGDYASYADMVKYMRTIEFYYPRIAKIVRIGATHEGKPIEGLRIGARSSHKKRAVWVDGNIHAREWASSHTALYFINQLVSEYGKDPLITNYIDTLDFYIVPCLNPDGYEYTRTSPIPTVRLWRKNRSPEVCRPSLWGGEKCCQGVDLNRNFRFHWAERGSSYEPCSNIYHGEEVFSEPETKAIRNFLDRPDMKDRLDAFVTLHSYAQLWIYPYSHEEQNYPEDIGELRKTARKAINRLSRVYGTNYRMGTGADTLSPAAGGSDDWAKSALAVKYVYLIELRPQMELSNGFILHKKELIPTAVETFEGFREVVDAVLALNNSTSSTSGASSGASTTRKTISDLQMRKQQYRMRLLASQAATTKSTQTSRVTTTTTRRTTTTTSEVTSEGMQNAPQVPQTFPILFPATKPTRHFDRFTADPNSSTRARPTPPMAPPIMSTSTQNSEFSTTSEDSEVVPTSSIASQATTRFGFFTATKPSAFLDPECRDMRYSCGFWLKNNKQVCEEQQSFMRAQCAYTCKFCTSFIKRH >CRE14924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:8527:12525:-1 gene:WBGene00071319 transcript:CRE14924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nud-2 description:CRE-NUD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N7P9] MDLSEDRIRSLPHQELVLHFLQMREEYNEFQSSSSEIEKMMDAELDELKTHLKKAETRIGQMTTEQMRNKDRQDESRTQFAQVEEQLRRENSELRHQCERQKERILKLEQRNDVLERSERNKEYLASDLGTKLDEAIEKIAILESELYERQVAAEEMHRLREEQIRTAQERPRLIVEPLRNDPEILPDEPSPGPSKDGFRMSIDDVHMEDVQMEETITKIDEVCIDDNKNIQEKMNPRVATSTGAGACINRIVKDLMTKVERLDSILSTIRVSNSSNNNSTHLTTTRA >CRE14911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:1821:8350:1 gene:WBGene00071320 transcript:CRE14911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apb-3 description:CRE-APB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N7P8] MFDPFLKSFFVRSCDSSLVKQLKLHVLTSLVSESNVHIILRELQTYVHMSDLASPAVEAIGRCAVRVGAVSDQCMTGLVQLISSSDEKVVCSAVVVIKRLLHASAPLNLLSRLMRLMPKMVAAQARACVIWLVATHVDQVIHMAPDFLRLIAKKFSTESELVKLEALKLAVKLWLVKRDDSEKIVQYVFQLARFDLSYDVRDRCRFLRNLMFNTEILSQHMEEIFMSKKPAPELISSFKERDQFQLGSLSHVLNQRCTKYIDLPEFPAASSDPTLRKEAHAPNELKLEDSEEEEEDDEDEDEEESGEDEESGEEDSDEDEDEEEDDEEEEESEEDDDEEESEEDEEEEDEEDSEPEEKKPAPKPQTKRAPVEKSVNGKSKAVPESNALDLLIDVDFSAAGSRQIMNPTHIESKEIELLNVIEGHGLSLSISYPRINDGQYTAIRFGIINKTDEDLEGIELKSAEGLDVKGNSRIDQIPAGSRVSVDLLIDFGDSATSREWILSRDDGNQKHFRFEVPYGEQVQPIRLSAEDISKEKTRLGGLNRHVIQLERPIDVSRIPEVVNVFRSAGDSGVFTCQTRSRKDVCILTLAEATIECCCDNSVIGRMLAFAVSKNC >CRE07873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig415:13943:19894:-1 gene:WBGene00071321 transcript:CRE07873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07873 MIFGLFSSPFLLPNTSPISSVRMSPPAAANTSFSTQPQKTDYLKVVRCGKAKEKRLGSSYSWETHLRAFEDGSKREKYIPVEAFNSNTNIDFNDILKEGLIFECINHDYDKVTDGVQCRWFARVEKVCGYRVLAQFIASEKKFWINMLTEEIHNMANAALKDPKMAAVTYVPPFHVAKEYENDMQNFIRNALECEVYGQNTLSDDHDETLNRLHDSRFHVGQRLELLNYANSLEIRVARIQEITGRRISVLVSDEDSPVPLDGESDRQSQSNESQYWIDEASFFIFPVGFAAVNGYKLSAKKDYIEHTKRIASDLKAGKPPKFLKEDVTFDDLPHEKVDEEAWSRLKVGQKFELIDPLAQQFKLLHVASIISFCETDGYMIVGMDGPDQLDESFPIHINNTFMFPVGYAEKNGLELADPDSFEGTFKWDEYLEKENAERMPLELFRTEPSEERLNMFQVGMRLEAADMCENQFICPATIKGVHGRIINVNFDGWDEEFDELYDIDSHDILPIGWCELHGYSLQPPKRQNY >CRE07872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig415:4683:10800:-1 gene:WBGene00071322 transcript:CRE07872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adsl-1 MTTSEDKFESVLSTRYCKNSPLVSILSETNKATLWRQLWIWLAEAEKELGLKQVTQEAIDQMKTEINHFDWPFIRSEERKLKHDVMAHNHAFGKICPLAAGIIHLGATSCFVQDNADLIAFRDSIDHILKRFATVIDRLATFSLTNKEVVTVGRTHYQTASLVTVGKRGVLWAQELLMAFQSLAQFRDQMRFRGIKGATGTQDSFLTLFGGDESKVEALDELVTKKADFKHRFLITGQTYSRQQDAQLVFSLSLLGAAAKKVCTDIRVLQAFGELLEPFEKDQIGSSAMPYKKNPMKSERCCSLARKLINAPQEALTILADQGLERTLDDSAGRRMLIPDVLLTAEALLTTLQNIFEGLSVQTENVKKIVEDEIAFLGLEKAMMMLTEEGVDRQQAHAVIRKTALEAKQLQATQKVDIRQTMADPFFDSVRDRVVGLVSNPINFTGRCVSQTVNFIDNELKPTIAKYLDQSAGNVQLDV >CRE07871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig415:1504:4493:-1 gene:WBGene00071323 transcript:CRE07871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07871 MIPVDEALYKSCYCEENVYKLCENWPLNRENFWAVLISNEIKCVPLWRQKSSKKEGGYCLWDYHVIGIQKNPETSKVFDLDSTLEWGVDFSTYWRETMNLEESSLYPERYKRKFRVIPAPLYLTLFSSDRSHMLDADGKYLHEPPEWPPIFNLIPTNLMDLLDMSKKIDNCQVMDEQAFFAFFA >CRE26818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:59634:62488:1 gene:WBGene00071324 transcript:CRE26818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26818 MHLIPFLILLAPAVSLAEPMLYMFPTNPQLHPPAMYPNQQFMRGKVRDLPDSGVIYLNRIKPIAAEFKQNVAEVEVEVKPLPRHQNKLPSSFEKYNSVEERAPPLRPTTTTTTTTTVAPQPLSTHQPKFFAETKIDDQKLAFQKPEKLSVPEEAELDRLLDDLLKNDNIPKQTLQLAKMKRSGSKRHVGAIAPKLIAFDGTARVEKPRGKAKAYGSPTSVRLQPKYAVGTSSTKNQGPDFDPWERMGQ >CRE26817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:55959:59322:1 gene:WBGene00071325 transcript:CRE26817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpg-3 description:CRE-CPG-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NI29] MRASLIVALLLIGAYFTVGHPSNPLRAKRDTDLVGDEFSGDDASGDASGDASGEGSGSGDGSGDGSGEGSGEGSGEETSPIVPIDQVTLLQLETLNNYAQQVQADAQKLIHQANFVITEMTALSANAQNLGALSNIVLANSQLVLDSARLSLNETESNGTNSTTTSSPSTCTTSAVCYSDDGCGSGKCIGALAGTCNCNSCVYGWPCQEDSACGGFVGACNSLTATCDCFAAYSKHNMTLNDALTQFCNVEKCNGAVDNVENCFGLPCNYGFCVC >CRE26822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:54193:55029:-1 gene:WBGene00071326 transcript:CRE26822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26822 MKLDPLPILQISIFLSLFLLTICGKKKVKSKERSRESTSSQTKTKPAKPESPDPKSPAPPPDTPRAEKEEEPDEEEKKVESKEKSKENDKEKEKSAKKSIKKSKKSMKSKNGDKQAEGDDGYENCADMSASELRKIADAV >CRE26821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:47793:52872:-1 gene:WBGene00071327 transcript:CRE26821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhp-1 description:CRE-DHP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NI27] MSPPLVIKNGTVVNEDGMFKADVLVKNGVIVEVSANIIPLPDMELIDASDRLVIPGGIDPHTHMQMPYMGEVTKDDFLKGTEAAVAGGTTMIIDFCCPDHRNGESLMSGYNRWRGWADPKVCCDYGLSVAITQWRPETAEQMALITSPEYGVNSFKFYMAYEGTLMVRDDEMYRAMQECAKLRALARVHCENGAVIKEKEIDLLAKGVTGPEGHTQSRPEEIEAEATNRACVLAAQANCPLYVVHVMTKGAAAAISHHRAQGSIVFGEPIAAGLALDGSHYYDDDWLHAARYVMSPPLSRDPTTPELLMKLLAAGELHLTGTDNCTYDCRQKSLGKGNFTKIPNGINGVEDRMSVVWEKGVHSGIIDPMRYVSITSATAAKIFNIYPKKGRIAVGSDADIVIFNPNAIRTISADTHHHNLDFNIFEGIQCHGVAEITISS >CRE26816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:46122:47237:1 gene:WBGene00071328 transcript:CRE26816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26816 MVYKESGEESESPHILSFYSLRNMSSSSSSRPAPTLDTLPFLPASLIINYLDIDSLYCLADTARVFKEFIEDLKIRTDGYNISIRKGGYEMSLPAVNYTHYYSERDTDYLIDSMLQDYKDFMSLLPRNIDFLRVDPNLNGHFHRFDQECTVLSIGGHPIEYYGQKMEDCSSKDLDVLLNSVNFRRGLALNGPKPLITENQKIFDIDWLQIRNGTWITSEFLKKLKNKIVFLMDTDALTEEQFNQYLKDLKSGSIDNQNLQVIGFSRGTLWNKAAILNGLDATRGAVDQIYKVADFDKRIRAELFLPKVSSDGEIKIEESFDFTRDDGVKVSVEFSGKTVRIYIWNQNQEKKVTNKRGHPEDTNGPAAKKSC >CRE26815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:40779:44951:1 gene:WBGene00071329 transcript:CRE26815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glr-3 description:CRE-GLR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NI25] MRYIFVKIANILKFTYTIQKVRDNAYGSKESNGKWSGMVGELQRGDADLAVASLTISYGRSEVIDFTVPYMHLGISILFKKPRITDSDWFKFMDPLSTQVWIMTFASYFVVSIAIWIIAKISPYEQFERDEDNGQYKPVDNQFSLRNSFWFTVCSLMQQGSELCPRAASTRLLTGIWWFFALILISSYTANLAAVLTTRRMETPIENADDLAAQTKIKYGTLGRGSTMSFFNVSALYRTFWNFFFQESKIETYERMWQLMSSSPGLFVQSSKEGIARVKSSDYAYLMESSMLEYAVERDCELMQIGGLIDQKGYGIGLPKGSPYRELISTAILRLQEKTELTELKEKWWKDKSVVCEQPKRKDQDDGESIGGIFIILVVGLVLTAVLVIFELITTRKPSPAQSQVIRHVNVIPTFKFEFFR >CRE26820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:39210:40322:-1 gene:WBGene00071330 transcript:CRE26820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26820 MVYKESGEESELPHILSFYSLRNMSSSSSSRPAPTLDTLPFLPASLIINYLDIDSLYCLADTARVFKEFIEDLKIRTDGYNISIRKGGYEMSLPAVNYTHYYSERDTDYLIDSMLQDYKDFMSLLPRNIDFLRVDPNLNGHFHRFDQECTVLSIGGHPIEYYGQKMENCSSKDLDVLLNSVNFRRGLALNGPKPLITDNQKIFDIDWLQIRNATWITSEFLKKLKNKIVFLMDTDALTEEQINQYLKDLKSGSIDKQNLQVIGFSRGTLWNKEQVLSGLNSVKAVDQIYKVADFDKRIQTELFLPKVSSDGDIKIEESFDFTRDDGVKVSVEFGRRTVRIYIWNQNQEKKVTKKRGHPEDINGPAAKKSC >CRE26813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:27459:34320:1 gene:WBGene00071331 transcript:CRE26813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-14 description:CRE-UNC-14 protein [Source:UniProtKB/TrEMBL;Acc:E3NI34] MVELCELHMMPDDDQWPDDIDARIAALNFCGEDSMLFESVDPSVSTDSLDSTQFRERCQMKKEDFQLAFADSGHWQSGINENLTTWGRIRSSEPLDERTASAPDVWNNKKLESNPRPNSLIANFVSDTSRFVDVNDNEIREANEEIIRKDRWRRDSARRCSSGGQKRTFADILEKNAAESLPAELAEEKKGKPAPKLEFLAMHHEMPSLCESFTASFRDAIIKTQKGEPLPSITSTNDFPLFFQEDSPDSGLGCSGPSHIEDWQSLSVLLPKHVAEACSFFKSNTQLLTSSISKPHSQSSNVLSNCIDRRAPGISASANEACRTCYRVRRRIHPPIWAQTAQTKTVLCDCAANPTDTNFSFAPTTSTTRHQLRAKELSIVGLPIYAAKRTLVENVVEGVATISRGDGSDLLVIAMRCLIEDGLLGGVSAWTMIRTVTSKGPATKDVHSIVKQLEECSKTDNVKVEIFFEELIRENSLDCWLCYIVLKEKVLKTLYSDNSFLLSASAEYRTLLWRMVDSLSLLPGTLKILKLKNKMKNNVTVIEARSDSMTNTYTKSMQQWGGASRIASDSRVPKSSSVPARLATAPSRRSRIPLSTSRTSAISSTTSTPRTPSSSRIRVASVMGAYQLANFSLSDGEKVRVLSTRGGLARCVRMTSSTSKINNGVVPIEHLLLQ >CRE26812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:16696:20823:1 gene:WBGene00071332 transcript:CRE26812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26812 MTPRKRKSEAANEILAKEPLAPDVQKKVDEFYEQQNDLLQKFREDQETIGRPQKKIEEEDERSEDRNLAQATFILNIGSLIGNLAASIISGSLSIMSTFVDSSMDIACSFVMNICLSAISKTDAQKYPRGRDRLELIGVILCSVIMAFANVSMIMQSINSILNDTVDPKMTNSTIAIVVIQTVLKAIIMWLCYKRGSTSSLVIAMDLRNDLMTRSLALVCGYLGDYVWRFADPIGAICVCTWIAYSWCRHAVDNIPQLVGISAERDQMARILNITLKHDERIKYIDHSMIYYTGLNAQVELHIVLDEKLPLKITHDISHDLEKNIQKLDFVERCFVHVDYNCDGD >CRE26819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:10170:14533:-1 gene:WBGene00071334 transcript:CRE26819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26819 MNRIRAALPWRKKTYYGDSDSTGYCSSSDVSKALSDSQFILRPNTALLSSSYSNGTNYYALDYTESSRRQKDKGSTITSRSSTISSTTFKSHSMNTTAADGDDEGGDVEDDEASTIRANSVRQVPMMPKLTSLKHLMTESSCSSEELPQHEEIEDDVATLEEVSMEYDDDEEDDDITLDIPVARREYRNSSFRSFPFSYQLMESEMDRLFDMMQVDEQIPSTSSSNSNDSRLKKRLLIREQPTSKIYYHDISTSEATPPPRPTLTRTESVPRSILKNSTSFAIYEEIYGDHLTPPPTDIRPQLPDRPILMAFQQFPPCTTFQPRQRLPSVRRRKKMPSLHHSTSFASYFRRRK >CRE26811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:447:9254:1 gene:WBGene00071335 transcript:CRE26811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mks-6 MRPLRPEADQILASRKKPEKLFLRSASVGLAPGDGEQSPSPGPFSKDEKEKKQNRRESIKDHLMKFKAKAGKILEEKQQTVKPLNDEQTPQMSFVSQTSEGDLKEELSSGGVIDEQASESSIQQDVSSKKESKEQLRNRIRKSAQKAVERTRGNVQLNRRLARRPTVVMEEHLDENDLYAIDEQVERTIKMGEKYLVANANTSAAEKDIDDFFSAKPKHVDEFKKEEPRKVIYGRVEEVKYQHDLLVKYVLKDSNIVCGEITPTEMLKKSVVIPTRLEYNEDSVSIYTAPEAMDNVISQTRNRKYVQLDVFIDSIHFDHHYLWSEEEVTTAELRNEYMVQLLRVHEIAQLERHHLDINRQPAMKEQVRKGRLELERLGDVLTETRRSQGFTATNSDFVPAKSPWDIDDWSSYGQVTRTAKLTPIGKIPKVARERITLMRRTNIQLVLYFNEMEVSRTAWIPLSCDVMSAVKRFELELYSPMKSLELMVLEQCNGKIRTLGRGNVPLPSDEDLEIALHEVVFENDQFMKPIGGSLGSDISRKETGRILCRTMLEDGELRIADDRLLAESMQDRRKNTFELIPKEMVLGSLYVDEDKKRLDELREEDRAQQRFAYRSAIDSRRISALQFANLARKRVMDRRQRKEKKYEEIVREESIPTLSVAFSQLFGPADISRRLKPMRKEERAREEGWDSTIVVNIQSAINLPIRYSGLLQPFVVVTYSGRRINTDVAMGRHPNWQFTGKLIISKEDREAEFIEIKVYDQLVEGIDKDERIYNVVHEQLSARLLATQRIRFETLATVSKINTSIKMDIPLYISNYRISPDACFLKVLFSTQIDPKCQVEYTRSSTSFETQKTIEKCAILEKQVKEQFPKRAFRPLVTDLNAHSTICTRYLRQIVPPTVVMQENASNLLEICRLSAKIVAGMPRVDASSHSDIWGNAFQLTSIAVGGLEERATLLGCWMLYFRLPVAIILGEARGEKTGFVLTEIEGKQYLIDPEDGHIYNALDSNCALEKIYGAFDAKNYYANIQLYDQSSQISVNLTKISNWKPLFAANEEPLESVQPATIRYSKLPEDWIVELRISLEREIKLRFDQSRKHAIPQWHLVAARQLRELLEGSRGVTEDSVDEKMARLREGYVVTVCVFRLCYSSMEDCIQQVLSSRLQDSSDQNSQFALAVHVVDFFAHVIQIDVALAVLKPKK >CRE27833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig165:159817:164018:1 gene:WBGene00071337 transcript:CRE27833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27833 MVRRTEKQGTSAQTVAPVWTGRLRARTKRSGGPEVRTSTFKPHAQPKKSSEHDRESARKDAAAKQRVERRRQTSDETRSRMQAADALRKAKSRSEETEATTKERRMKDSKRHSLARANESAKAALARKQHDRDRHASTSQQSLGIARKDTQVKENYLGKMSLQCSSCKALHFEGEMPQSKMFNSCCRHGLVELPMFETFPKELHELYIGSTPEAIEFRKNLRSYNNSLAMGCMKAQLDVPRGGPYTFRLHGQVYHLIGPLHPADGQDHVFAQVFILDTEDAAEELANRKINRMCSKELFKTLIEILNKYNPFVKSLKMMKEMEEEEETLARSQNREVQDIRMVFREGKKFNPHRYQLPTANEVAVVFVGDEDEIPGRREITVYQRSGKLQNLRDTDKETDPLCYPLLFIDGRYGWHIDCKRKKEQDGGEVKMSTREFYAYYLHVRPSFNPLHYAKKLFQQFCVDIWTKIEQDRLNFIRSKQDMLRVESVQGLMDHVSGEDGGPCGTRVFLPGSFAGSPRHMVGQFQDALTVVSKYGKPDLFVTITCNPNWREIKESLSPGQVATDRPDVVARVFNLKIEVVKKDIFEKHVYGEAASWIYVVEFQKRGLPHVHMLVILKDAWKPRTATQVDEIVCAELPDQDADPELFEIVSTLMMHRPCGAYDPEAPCMKNGKCSKGYPKEFRSTTSVDVDGFPLYRRRDDGKKVPFKTKSGEIVWLDNRSVVPYNKYFTRKFNCHVNVEICGTVGAVKYLFKYIYKGNTRAAVHIFTDKTGTSHRVDDEIDSYLDTRYVCAPEALHHIFGFKMSDRSTPVMQLKVHLENAQGVVFRKGEEKDAMEKAQVRETTLTAWFAANQKCEDELQTSGMIPENIVDSRGIHYVEMPEHFQFTKGKWVPRKRSTKCIGRMHFVSPRDQERFALRLLLLNIVDAKSYKDLNTIGGVYYEKCVDAAKAAGYLTDDCFYEQSLEEAASFHSAFQLRGFFVTLLMFGEIHNAEELWYKFRDVFCEDFVYQNFPKEKAEALAYNDISERIQNMGENLEKWMKLDYERIHPEDMIDHDFCRQEGEKMRALLSPEQEAVVKVALEALANGGGQIFVDGPGGSGKTYVYLCLINIMLGMHLKVVPLAWIGNAACLLPHGRTVASFFRMNIKDGCKTSTMHHQSMEAKALADLDAVLWDEAPMSPKASLETADQLFRDVTGVDAPFGGKLIILGGDFRQCLPVMDKKGEEEQIANSIKKSKLWPLFRVMHLTSNMRLTDGNEEWKR >CRE27834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig165:187527:190315:1 gene:WBGene00071338 transcript:CRE27834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27834 MPRTNYKLFEQYIAEDEGRAGVDQNSYNNEGRTNDNGWPEVDESEEEEVEMDEGCDISIIEQSGVLSGGDSKMTLDDEQTEENESVSGTQRMLRYGLKIVSEMREMLKVVSTPALLVVASSCISRESNQEFQRHLVAHDDSVAEEAEKLWNFNRNRFTTHYLCNNCGKFLNARMSGCDQCGSTVVVSFVRIGAFWQILELVETFLPEILEIRRRLKSRESMNHNLRSPFFSERWQDEEDDHLNLSVVVSIDGVHVSGNTNKLWPVSLILVDLPAGIMQRTTSIVMEGLLECKETPSTAVWNSLLPMLFVDIENGYGKVEGVSFSCRIMTCTGDQPAKRAFYGMKSHHSSLSCFYCLSAGTYYKLHGDSRREVRPEDLTVCDSREGRNGFGSVTSGLVERILPYDTPIDLLHGMGEGLFDKIKRELMPLDTNVARKSELFSVDQARLKHFIDNVSFFRLVLCLAALECDVISPGARVVIVALSLLANKMYTDVHAEDLFDVQLCASACWFLSEASERYISMKGHEILFHLPEVNRIFRNTGPLSTHSFESYYQYALSGYSSSVTRYFSQNAVTKVLLHTSVRREIARRASKFPSAKLRKFISLTPDLIPQNTSWSGEITRLGPLDLALIQEPDTIFFGKIHLGIGNLTSKHSSIHTSDDMFFASWGNEHKCYRFVAALVRNELEGILAEPVEEVYLDEKFRSFQNLSEVVFGTDLYYTKETVNVLKSYEGMQCGRLSGRRVILPKESVVGVACCFPSTNYTIFVQANGALLHN >CRE27835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig165:190503:192387:1 gene:WBGene00071339 transcript:CRE27835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27835 MFLNGTPKSSLKTAPYRIPLNRRGMTNASSIENLSPSNSMSHHQSVNQSMKIRESKYDTGSSSSTSGMSNPQLGTLLATVDGRWSPYGFAATQLYDNIQGVPLDRETCEANLQKLVRNIREIDYYEFLTSAQQYREQGKPLLEKTSLQLAFMDALSNKQYGKASNHPKQEVRLFSRHNVHPDFQKQSNLGGYHLFSLDKACAKFDGIVELPNRKYFQLSKAFRLEAVAEWCGSDQRKSLRNFGRYLFDEIGKRDNQMICYSASQNAGMYLPVSDAFFKTITDFAVEGFGKEHTSDVSALFLLTLKDVLANKLNRLRKSYNHQYGKGGSESAVMWLKEELAKPNGALIRSEIVFSPTNDGSNYVYDEVDQEDTIPEDDEDYENREEQVIVDY >CRE25973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig686:7989:9316:-1 gene:WBGene00071341 transcript:CRE25973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25973 MEDTKKVAAETESYRMIAFAGIAISTVATLTAILAVPMLYNYMQHVQSSLQTEVDFCQHRTDGLWDEYKNFQTLHGVNGRIRRAARRHTGEVTRSKKIRRQNEDEEVEIIYVDEEGNEVEDQTPTAAPTAAPAPVAQAQVPASSFAAAPPAHRAPAPASSSSGSCCSCGVGPAGPPGQPGQDGAPGNDGNPGAPGHPGQDAAEDSHASADSFCFDCPAGPPGPSGAPGQKGPSGAPGAPGQSGGAARPGPPGPAGPPGPSGNPGSNGNPGAPGAPGQLVDVPGTPGPAGPPGPPGPAGAPGQPGQSGGASQPGQPGPQGDAGAPGQPGAPGQPGQPGQDGDSGSEGACDHCPPPRTAPGY >CRE25972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig686:3094:6315:1 gene:WBGene00071342 transcript:CRE25972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25972 MSPTTPHLPRRHVQMSDFGSGRPRLQSSRAKTPREYISVSSDEETTEPPPKKHRVGTPVVVYLSDSDVDSDVESIIILDDSDVDSDASIICLGTDDGSDSDVEVRQVIWNIDLTADDKPDIVDLEGKIFIRLVLQYKLIFSDSDNEIQEIPAASPIENLEVPPGTPESETSNKENSEMVVEQVSETRKTPKSGPAHGVYRNLRARPSISISSATKPKVQCSKRKSKRLSPQAPPPSASGAGEDDYAIGDEYQAKIPLLLDTDPNDDYGDDNEYEEEIWTPKRFEIKDSEKRKEMEDSFNEQIRSVYWLAIWRQFKGRILFEDALQNLKKHGYDFAASLRTIDQVLKKRPNVMKHPCMGQATRMAKHGLNEMVTMRELQKTLLPNFHLSEVHHYRYQFVRFFMFQHYWDRPCLCKDALCKPLDFEPRFGCSNCAKDWRHFEKGDPMCLICQTYKNLTGEMRPVKDTYFTKEEKELIVRWNEMQMDAGKVLKREEFEKLIEQEKVKRWMNLEITEEEKLMMNFQDPKNVERYSKIAAKEEYLVSKLKPFVLPLFPACKCDESEESKRMIEKENLIVPKIQNPVYVFKFEKKFNPWVDEEMYKKRRKTTNRRNRRK >CRE03487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig290:70610:74013:1 gene:WBGene00071343 transcript:CRE03487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03487 MQPNRPPAMPHFRQGLRRAVPMNAPPRPGYDISILNAASALPNELIYQRFHAPARPPGPPVCITLDSDGEEVEPVAKKARQSTDEVICLDSDTDSADSDSDDVIILDSDSDANLESDSDDVVHVQTITYSRVGDKSREDLKVGADAVKAEPKPEPAENPETSHPTTPLAYKSETAQSGLVQVAAVIDDERTDVLEAMPESEPEPEPIPEPETVEHPESSHEDTGDVPVQLAAENPSEMLEVVLEQEPETVEESESEDRPILPSPQSPETGAQHEKPTTLSTDWSKPADESEPALSGSCSEHGRQDDELATPTPDVSARTDDEPDRDVLVEVHLEIPSPNASAISELGPAEQSESENKENQGADTSDTTPQRILRSQISKTSPSQPEVKESIYANKKIREGADYQTVMQPLLEDHEPPSVHYDKECEEKIWSPRIFDVEPPEMEDLFIEQTRVVYWKAIWRQFKGRILFEDALQHLMENDYDFAASLETIDRCLDKRPNLMKHPCIAQAARLVTHGLKETVSMRRLRKLALPNFQLPEVHHYSFKFLNLCLFSKFYESKCLCEEALCKPMDFEPRYGCSNCTKRPIEGNPLCLICQTYQQLTGEKRPARDVYFTDEEKELIEKWGQMEEQRLGRNLTREEFEKLLEKEKEKKWMKLEITEEEKLILNFQHPKTAESYSRMSNKVKAKHFVKYLKPFVLPLFPVCKCDQSKERQLMIEKEHLFVPEIPNEPEYIREEEFNPWVDG >CRE03490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig290:64345:67664:-1 gene:WBGene00071344 transcript:CRE03490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03490 MQKDVGQMVIERTNKDLITEVGLLRKEIVDLKNSVDTSGTSNKKTFAEILSEGLAAPSAQVSFMSAAKLAQDADSRKCAVIVRNAQVSSDSSKDADFAKKIADQCKVSGKCQVFRIKTKSDQPPLLKLKFETMKDASTVMSSFHSVKTLVDGCREATVRPDLSKPELNQYRKSWAQAIQKNNAEGKRIWTVRNLEVFITVGYANCFSVKNKIPDLELLASSDCFDLFCLTETKLDQSFTNSLLSLNNQYSVVRKDRNKHGGGVAILISKNLKFLPIDIPSNFGSSEVVGLDVMVKGETIRVIVAYHPNHFAKLDNLIATLEFLLSSQKNCIFLGDFNMPHVDWSLLSACDSPCKHFLSFVVNNGLSQHVMSPTRLNPDNILDLCLTNTSIVRDVLVGDLFSDHKFIKVITKVRKETKNCSKMVKLFRKGDYDIINHFISRIDWRLKFSCMSVEEMYCSFTKIMHVLIARFVPTKSFKLSYKSHSLSVIKLQKEKLRIWRSEGNSTRYKEVSNLLKRRLRQEEKALHERCLENGNPKEFFNFINSRYKENSEIGVLKNKTNEPINDAFEKAEIFSECFSEVFTNDDNKFPTAKLRSNFETDSITFEPFAVEDALSKLASKVNTTPEGIPAIFLKNVCTSVAEPLSIIFNESVRTGSIPIAWKSAIVKPLHKKGSRSDANNYRPISLTSSVSKVIEKMMRKHLTNFLNSNRLLSPSQFGFRSGMSTESQLLVYQEKIITNCLSKLVTHSVYVDFKKAFDTVSIPKLQTKLRSYGIREKQLTAKLISPSMINYSQAQTKFEI >CRE02694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig361:13192:30248:-1 gene:WBGene00071345 transcript:CRE02694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02694 MNDEILKNMETKTSDKSLNLQIISAASHPTTYLCNRPTTAHNQSTPFNVSTLEPLEPANNGQQPFNPTQKEKFEFAWITQHIHQFHLPTYGYSNERMMMMTIKDVELLIKEEEDSVTHSGETEEEREFIREYENQGNTADEPSGKSKSKSDKRHRNRSRSHSSSRSHSRHRSHSNLLTDISLDTLVKHIKAFDGTGKLDIFEKTFANTVMKHPRLNDDMRYSILTTLVKGEAAPCIDQSTDSKLAIDTTLSNLRNVYGKCNDKYNLLDRLKKLPFHQSSTKQMRLDIAAHTVVLGLLREKDMPENDEPTILIIVGKLPPAMRLKLASYLTKMGSTVTQNQVLQRIRQCIDYVEMENTIISQTTPVAANERKSADFAQSATTSTTSPSANPTTGADTAVAYTTWEDVQRKSITETREITQKRPGQSSNFFVPPEPLTLNNGITAGTGSKVPNSVPIADLPTAISNTYSLSSTPIPLETAPTAPEAAPIPLVPATVVDHSSLKLSHTVVTSVIEEYDINRFVQFVSRTSPPHHITTAKAADINNRLTFMCLETPDNQHILALVDSGASLSLILESKAKQLRLAVLKETRLSIQGFDSQTTNDSHIFAIKLKVSDTRVPLAFMIAGSPILPNTAYNTSNCSTADKKFMLDRGIEDPSEHAHPEHNGKRIDMILGNDMLTWMAAQPSYRKHILPSKRSVEITPVGLIIHPIPELAVIHHSTLLPLQSDEYQVQAYLASALLEEWTPEDPMTKIANEVEMMRRLETLGIEDVSVTESTTKTAQELYTQFTMTARYNSSGQLEVALPLNGNEVRLANNYAVCVKRLGSLVITLTRGKDLRHEYSKIFYDQELAGYIERVTVEMLTKHKLHYYFPHRGVVKADSMTTKLRIVFDASSHASGQLSLNDCLHAGTNMIVPLFGILVRFRVPRKVIVGDIEKAFHQIPLQEEFRNLAMFLWVKDVNKPPTRDNIEAYRFKRVPFGMTSSPFLLAASINYQLDNNPHELNEEIKENLYVDNCMFCTNDESAIPRIVSEAKQVFNRLGMNLREFITNSPEAMAAIPEADRAKSDVIKLLGYKWDTVTDTITIKIAELNIDHPTKRDVASKLAETFDPLGLVSPIQVPFKRLMQRTWQQQGSDWKAPLPNELLQDWRALRTAFIDRVITVRRPLTPDYSDSRIELLMFSDASHDIYAALCYANFIRDGQPPVTQLLASRNKVKPSKELKWTIPKLELLGIVCASNLARSIITELRVPIAKVRLFTDSSCALYWILSGQNTRQWVANRTGEIKANQARLLECNIETTIHHCPTKENPADLATRGMTTTELQNSSLWFNGPEFLQKDPEEWPCMINGTVSCPAEFQELVYAEIIDPATQKRKKPLMEKAAPPECKESVMSMTATIASGEKQPSFIPFTATNSLSKLVTVVTIILRTFSKTLKKKQWETPLMKEFTASEDPVHQAKVARYLIIKEHYKDAEYLGLKFPSSLSPYMDSDGLYRVQRQIDSPVLPQEAHRPILIHHDHQLAKLLVLETHEINGHLPENYTRAVLRTKYWTAQDGMLAKKVIGKCIACKITNGYPFAYPFTATLPSCRTTPSKPFSKVGLDYYGPIVYLRDDRKSYGKAYVLIYTCLATRGALLRLVPNANSETYVKTLKMIFTEVGVPSEIYSDNAGTFKLGAAIINKDIDHFVYSNTLTQFLATTSITCRYITPLAPWQGGIYERIVQLVKRQVLKECGSRVYEYHDLSYVISGAQGMINNRPLIPHARSPGDLIALRPIDFINPGVMTEIPSDHDEPPNPTGVTEASVRAHLNNLEATLERVWKLWSIGYLTFMREAMHQNRRCSTLVPEVGQLVIISVNLLKRHKWPLGVITKVNKSARDGQVRSATVMCRGTLVERPVCQLIPLELTSLNHQCNKDMSEDIKSNDAGISEKIAPGLIEDTNPKTALPTPATLESLDITYAPELFPANVFPNIAAKSANHPAEKGTAEDEPEEQQQSNKTQLGISTNPENLILEDTYSPEDGVYQDPQNTLPDIARDYGAENLPEGRSRDYHPRRAKATHINYVHTADMKILSRPSPPECCQLYHALHSFDNLKAL >CRE06153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:80736:85948:1 gene:WBGene00071346 transcript:CRE06153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06153 MQFFIVAKSESNKKLLLQNFQISFDFLMINQYSVYFHWIIKRTFSFPISPMSTFCVEFHPSKEEIFQKSDDECREHAELFRAVGGVYFDEDFLPNAKSLGKIITDLGKADFENLNDPWYPPSRFFKNGWSVYDNAWPFHVTQGRLGDCWLVAAIMCLARRKDLLEHILPKKEYTRDCGIVQVRLFVDGKWEVIKIDYHIPQDDGRMRFLRNSTNQLWAALIEKAYAKVLGTYGHLVGGHSNNAFRVFTGSHGRRIPFTKDADPDKMWEDFIRYYSSNSLMAAATPNFEKDSEGEKLYESVGIDNNHAYPMLDFQEIDGGRHRLIQLGCSNSERWKGKWSDLPAYPNDVTHTFTDAERAACEKKTFWIEIADFLQYFHCLYVCEYRLKWAEQCFTQHIHRQPDDETQILKMVVRERQEFVIEVGRRQDDHGKHTLFLNIHKSTRDNKCGELLLTFNEYSDRISTEQFYLDPGTYFVVFYNYPVYDQLEIVILDWVVRSPKPFDTTVSLDFVAFPFSTLIDSVQQVILKYGNVEKREEDTIVIYNWKNADCCLILVENLMKWTHVRVNVNNMSNSESLDYWTLKDKERWWPVPPLSKCIVAYFQNRKVGLPAGHVKIEVGFPVF >CRE06157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:73583:75158:-1 gene:WBGene00071347 transcript:CRE06157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06157 MKYTFLFLISISLFSSVNCICETNCSCPDILTLGWWGRSTKCFLAIENPKKIIQGSGNIETYEEGIGCTRKIMCYKLNYDDGLMLDFKETEIPIPSDKDPNNDWLQAPTQDEMYGMVSGLIDVFSYFGIVCENGTWYATKYPHGIVYANAGSGFTGPSDEYNGKKSKIDYFRCLDWRTFHESPPMPFQEKNGIVDPAHISKVIITKTINFSFSVIITLLISVLLIRQKITL >CRE06152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:70078:73269:1 gene:WBGene00071348 transcript:CRE06152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06152 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3NGV7] MSTEEEIDEGVFHRSESDGRVELGEIDELEDTTQRSAKKNGKKKTSLSGPDIRSIAVDDATESAEKAKLNRFLSKKRILNELQAEIFGISLPPVSNETEYVSSTDENPYIMEGDIVYTEEQLDRLIEDVRGQLFAKYHPEGPKQLSLTPNLAMRWTLPIPYYFDSEVNRTTILAGIRLWEQETCIRFTRKDRKPPGKNAIYFINGTGCYSSVGMIPSWGENYSEGQPVSLWTRGCNYLGTVVHEIAHALGAIHEQSRSDRDSYVIIMENNIKNGQLHNFLKESFLDYGVGYDYGSVMHYSKNAFAIVPNKPTILPKDPMMENVIGQKVGLSFLDVKKMNLAYCSSVCRNTLPCQNYGYVNPNNCNVCKCPPPYGGKLCDRLQLSGCGDLTLTATSSSKTITASGTEPCYFLITAPRGKKIQFKMLKFLSSEMCEFCYIEIKMNRDFIKYGPRFCRFTQTTILSETNEAMIVFQGYYSTNSFSMEYRIA >CRE06151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:68218:68766:1 gene:WBGene00071349 transcript:CRE06151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06151 MKYTFLFLISISFLSSVNCISVKQTGYSFFSFGTVYSFKELIGCTRKIMCVMDYYDRGIRFKWIDSELPADLPDGKSVMKNFTSCVFNYFQSTVQSIPSQKMIFGDENRFVDVFSCFGIVCDNGTWFATKYLHGISFPTGTDSHFTGPTDEYNEKKSKIEWFHW >CRE06149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:59960:61622:1 gene:WBGene00071350 transcript:CRE06149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06149 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3NGV4] MNWIIFLLFCGLIPANYGRSIAVDDATESAEKAKLERFLSKKRILNELQAEIFGISLPPASNETEYVSSTDENPYIMEGDILYTEEQLDILIEDVRGQLFAKNHPEGPKQLSLTPDLAKRWPLPIPYYFDTWGKTVNLQETLFSLLPVLGEWKRITLICIDDNLDIRCSSPVGMQGGAQPVTLHPIACNTIATVVHEIAHSLGAWHEQSRSDRDSYVTILSDNIPEGVYPANFGKKSTMDYGVGYDYGSVMHYAKNDFAIDTKKPTIIPRDPMMENVIGQRVGLSFFDVKRMNLAYCSAICNNTLICQNYGYVNPNNCNVCKCPPPYGGKLCDRFQQSGCGDFTLTATSSSKTITAYGAKTCYFFITAPPGKKIQFKMLDQRLYDYLGLCKYSYVEVKVKRDFTKYGPRFCKLPPPVLLSETNEAMIVFQGYFSNNKITMEYRSV >CRE06156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:50973:56323:-1 gene:WBGene00071351 transcript:CRE06156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06156 MSLLFSLSSSFCGNKMFLKLFILISSANYFAKASEPYVFPCYSCMSEVYENKWQSVYKKPVIYTDNCNNNITTHKSIPTIPCASICLTLTEEINGQTAYIRGCYDSILQNRFNSTITKWYRWMHRDFCRDYRWSVDVIIKFRTEKSTYNPGNGRTNPISKELWHFLKEIVRNMGFDETVGFEAAHKLSHTFIWGKNPQEFKLQNRKPSIWRCCSRRKLHWLNLRILRSPVIYTTEGRIPATYCESFGMNFGCHMAKGNCGFASYGKCPVNQRHTPGGI >CRE06147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:46312:49207:1 gene:WBGene00071352 transcript:CRE06147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06147 METKTITGIVYSFISAATLGSTYVPLKFFDRSDGIYFQWVQTMGQLMVGVFLTMFTTPSPVLPVAMINGVFYAIGNSFSVFIMENLGMAIGYLIWNSVACIVGWAVTRYGLFYNIQQIPKCEWLSVLGIAGIILGSAIFTMVKKKSMRVRPAPWSTLEDQIKEAKKTKEEPPIPRKIVCLLLTIFVGFLYGNFYSPISYLMTNDPGASQDVRSYFLSYCLGASFTSTVIFMGYSVVMKNVPRCNPELTTPSIVSGILYGVGMLSFFTACQNLDQVIAYPILSKAPGIVVSLWAIFLFKEIQGRKNISQLFFGIFVTLLGICCVSLSKVLDI >CRE06155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:39621:42194:-1 gene:WBGene00071353 transcript:CRE06155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06155 MYTNWPHYYIPKFNGLCSFIVNPVFILIILNDRKLKLGNYRCLLLFFAIFNMACSTCDVLVPLYSPSNQFILALRCSFIPTTYAILHAHFVYRYMVLFNNKFLTKYFLPYGMVVTVVYCLAHMAYYIVCCYYLIGADRERKMYMRDSILEVYGVDSLDSNMIVTLYKEGSYDAVQKSLIGIIAITVLSMDSVILYFILGLMIMKRLDSSALIMSKHTKSLQTQLMKALVVQSVIPIVVSFAPCVFSWYLPVFGVDLGRGVYHTSSIAVSAFPFFDPLAILFFVPTFRRRLREGFHFLLKPLPSDQTDSRQVDCRQE >CRE06154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:24987:26188:-1 gene:WBGene00071354 transcript:CRE06154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06154 MGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLIEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDXXXXMGMLSSSSRRPMSLICINPKCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE07854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig295:169:1984:1 gene:WBGene00071355 transcript:CRE07854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07854 MPKLKAHYEEDSEVETLPKKVEPRTPQSSVSPRKSSRQNSEATPQKTGSQTRKLVPTMEDSESATATSTDSESDSTAVTTPRKRLKILTKRVSRSVFKKNDSEDSDSESEGVTPPPEIEEVVKTPQKAPETKNRIQTPKTPQKRAQTPQKTAKTPQPSKRPARTCKSNLSCLSEQDAELVWKVILESPMKENLNATHEVKNSESPKKIQKFLI >CRE07857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig295:7030:11200:-1 gene:WBGene00071356 transcript:CRE07857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gmeb-1 MKRVHSIGLVSPEPSYSTPPIKLSKTDFENENPLLEVKNEEDELIQLGSESIPSPTTSSSSNSAPDANVDVTGELVVEPIAQVIMPKLVEVKCGNLIGVLHTELFICPGIREACIEIPDCLHFLTPVEFTIKAEKSKQKDWKGAIKHNGKMLRTLMEFKQLDFYNHHTTCSFKCHSRNYITKGGTPLPRATHRPAKEPKEPRRHSSAPHTKEQQTAVMTQLLQGELMKNPTILAAFAAHCNAENQKRKEEAERKLAEKQTAIREMMENDPTQFWTQTMHSKMSTFVLTKISREFGILAQNLCRGVDFETSASKMAQVIQILGISDSMSREMCGEFVMPSSTATGPVFDPALRKENTNIAAQPSSSQVPEEIRPILPIQNPPMFNLNNNNITSLSSSEKLELMLKQII >CRE07859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig295:19507:26315:-1 gene:WBGene00071357 transcript:CRE07859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-3 MTVTYSLDVASSSFFCLYKLLFRWKGSIWKSVWAELVVWLCLYAVLSAIYRCLLGVTQRATFEDLCIFFDTYSNFIPITFMLGFYVSAVFTRWWQIFDNIGWIDTPCLWITQYVKGESERAKCDGRSNNIAIIAIPIAIIAIPIATIAIITIISIVAINAIIAIVAIIAIAIPIAIIAIIYIIDIVAIIAIAIISIIAIPIAIIAIPIAIAIIATIAIIAFPIDIPIAIISIIAIPIAIIAIPIHIPSAIISIIAIIAIAIIAIISIIAIIAIISIISIIAIIAITTKLFQIARTPDRYHRYHRYHYHYLIQVYRDVAASVRKRFPTFNHLVTAGLMTEKEMLEFDSIPSPHAKYWQPMHWLFSMISLARDEGMIKSDIIYVDLMEKMRQFRVNILSLTLYDWVPVPLVYTQVVHLAVRSYFLVALFGRQYLHPDTSRVPDYKTTIDLYVPIMSVLQFIFFIGWMKVAEVLLNPLGEDDDDFECNWILDRNLQVTSSLREINNFFKFQVGLMVVDTAYNRYPTLEKDQFWEDVLPEPLYTAESAMRPLNPQVGSCAEMPTEEEPFMVRPRRRTLSRMSHWDGDMEDTDVVPVVGLSPHPRDGSNYASGESLAFSNSFANGGRKLSEMFRRMRAGSRIGDRYRKRHSSAQDFEHGIRKKNSMEDDVESISNNKLDQASGTPKSGRLWSSLPQTQLEEMLKNRDLSGSSQVKYNTDGMKERDVIPNPTPVTDHIDMPSHVPASPSWYNESLPVIKEEEEAKRRSNTNTDTDSPKSSKASKTSIKRIELRRSSSSGSDLGKPGRLERKKSE >CRE07856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig295:30792:37330:1 gene:WBGene00071358 transcript:CRE07856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-best-4 MTVAYSLDVATSGLFTQIKVLLRWKGSVWKSIWSELLIWLLLYSVLSVIYRVLLNKAQREVFEQLCTFFDTFSVFIPVTFMLGFYVSIVYNRWTKVFDNVGWIDTSALTIAQYIRGTSERSRLIRRNCIRYMIVAQAMVFRDVSPAIRRRFPTMRHLITAGLLTEDELVEFDAIVSPQSKYWQPIQWLFSLVTIAKDEGLIADYYLYVDLMDKLRDFRTKILNLVIFDMVPIPLVYTQVVNLAVRTYFLLALFGRQFLENSANIPGAKWKIDIYFPIMTSLQIVFIIGWLKVSEVMLNPLGEDDEDFETNWIIERNLQVGYAVVDQAYGRFPIIKRDPFWEDETPQTLDTPTSTRKPHTHMQGSCINMNEADLDNGLMSFVRRRSRSIGDDDTSSIYTENSDKRASTVSMLPRHMWAQPRSKISNAIAKFYKSDPEPRRASVCVAALDLNHRRPSTDLREIEKPENVDDVIIDVPALVQERIRIAKQQAAAVKIEIPEASVSPAAGTPEATSPSGNVKWFVEEMPVIEEEDEKTHRKTPRNLSASEYGEKYGKFWNF >CRE07860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig295:40598:45755:-1 gene:WBGene00071359 transcript:CRE07860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-osta-1 MEIVKTIIPHNNSFVEPPIPSATDWLANMSVMHVSCLTIACVFVAITFVLSFFHLFFVLKYVSNERIRNDMYALIFMFPITTFASLVGMFIPRAAIFLYAVSLVYVVLDVLKPYFMFTLFIMVTLLFNIFGGRQEMSAYLLQRNIRVNFTVPPLCFCKFLPTVESTDQNLRRIEWLVFQTPIIRTLLELISVVVSMEQEGRREHIWFVFSQLLALLSMCIAFYGCYVMVPLGREKHAPYRFDFLFRTCDIAQCIYTIQKFVFEFAAAVGLITSDRFLPAAAKALY >CRE17369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:1769:5042:1 gene:WBGene00071360 transcript:CRE17369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqt-2 description:CRE-SQT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N1Y8] MMETSEHKELRRVAFLAIVVSTVAVIAAIVILPMLYSYVAGFQSHLIIEADFCKTRSRDMWAQIHDIDMPHLFAHRQKRQYSSPNPPAAGGYGAPVTNSEPAPTCCSCQQGPAGPPGAPGDDGNAGQDGVRGNDGTDGKEGSLLESAIVNEPCIICPPGPPGPQGMAGSKGPQGPKGGNGENGPDGKAGANGMQGPPGMMGPPGRQGVSGPKGAPGRINQINGPAGPAGHKGVRGAPGPRGEAGLDGGNSEGPQGPLGDAGRPGPVGEQGPQGPEGPQGPPGEPGGCEHCPIPRTPPGY >CRE17370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:5410:8297:1 gene:WBGene00071361 transcript:CRE17370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17370 MVKLREEQKAELIRQEKERRRIARLRQVRQQTATNAKVIRDVVSRKKTQVIEEIKSQIHEQVEDMMTEQERSEIENNLRARAPHSKRKTMTPRSKKRAARRREMTETDLALAEKRNADAMRRLRNQKKQEVEEREQRLAKRKEAAQKANAIMRGNQIL >CRE17420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:9706:14903:-1 gene:WBGene00071362 transcript:CRE17420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17420 MRPLKCPLSSNISILPLLLLSFICLVTSQLDCDILDPECRAKYRNGVRHTDARRKLNHKCNYQDIWLVPGRADASCTRPGAKRVLDINPNQVHIKPDVVRFPGCFTLEIKNVKVKDLPEALDNSFFAKAEYQWWNVKDFSNMKCQNASNNGCGGYGNNCFFCDVCESLTNLEQEEADQSNTLAGQLKGINCPQKPGFYTFRKEFCFNDWSAFDADGDCEMDFLQGDRGDYKSALGSLQQVGYGSVIAKIRLAFNATGSILRKRQLKEEQIEETVAKELEERRRTWDVNNGQFDKFSQWYIEYRKNLWHKDDYLPWLLYENEISCLKLTFDVCERPPRRINYGGRNRYTCEN >CRE17421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:21901:29126:-1 gene:WBGene00071363 transcript:CRE17421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17421 MGDELDALTSQLSSGFQVDPAALGEHPRYSQFKNLSKAAEQQAKRREETLERQKNGRFDKMMKLRNLAFDDTTSDEEDADGFVEVKSKAANKKNKFGRYADKLMLSEWLVDIPDSLSTEWTMVMAPTGKRCLVVASRGYTTSYNKAGRQLGQFQSRLPGGNSRSKNQSWTILDCIYVNQVYHVLDVLTWNAHEFAENPYDFRQFMLKSKLDEQPELATPTAGFRATFVAAPSAPCSKEQMEELMKAEIDFRLDGLLFYHKSVIYEPGQSPLVGWLKPWMLPEILSVTIPEKYEKESNGQSSAEYIESYNQKHKHQSKIDRTMEQD >CRE17372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:33148:34415:1 gene:WBGene00071364 transcript:CRE17372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17372 MDNLNQPRRRPLGYDGLKSVLKSMSVEKRWSFRQEVHNHLPTLRSINSLLPYTIENAIIWEDELHINRTKWAFRKNPEQSNPNDDDPNQTTISFFDHDSLKRCQEFRVNKTLDEAFEKCFNVYFKNGSNIQELDLSGVPKFLCDRDGSADFKLNILSLSTLSTTVDSFDSFIRFVNLDRLDHISLVLDGSEHGEELRMLEKPAIINCKNLDLEAYARRSLINFCTGLRNRNLVLNHNKFDVNDLRMLIENWKTSDRPIGTCFCLLLCVDDMLHHLELQNTFPVEIQRDSIEIQGIGIKMDDNRDLVLYNGEHQVEEGAFAALKMEVIASGSAKKIGDSEVSAKEADEGEPNEKRARQ >CRE17424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:38568:40819:-1 gene:WBGene00071365 transcript:CRE17424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17424 MEVPIPARSKPLSYDALKSVIKSMSVEKRQELHTDFPSLRAVNSVLPYTIKEVRIMPNSLRINRKLWVINKNRERSNSDDEDSNETTISIVDLDTNKRTPYFTVNKFLDEAFEKCFNAYLKNGSTIQDFDLYNFPNFLCERDGSVGLKLNISKLRMEGKIVDKFDSFIRFVNLDNLEHIHLILDDRLNEHGEWFGMLEKPLITNCKNLDLSVHSPVSLINYYTGLRNQTLVLYYHNIRNFPANDLHILIENWKTTNRPIGTSFYLYSLYNDTINIFNSLEFEDTFPVEVRGDTTDKPGIGIHLDDYRDLILCHGRHLIGSCSFPSLKMEVIASGLQRKNGDSEPDVSA >CRE20922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:81974:102367:1 gene:WBGene00071368 transcript:CRE20922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-80 description:CRE-PQN-80 protein [Source:UniProtKB/TrEMBL;Acc:E3N921] MEPIGGAKKKKKEKSRKSEFIQIRIPVAKAGSKKYTHVDWNELLRENGKQRDEEELRRFYDEDTLFMAKKLRETKSKSGKKIRVNLDEIQHMNRNCGYDMDDDFIDDTEAVDDTNFVSKKGGFYAGKGNVKDLQDLIEEEEESEDDDDDVIVPEVSKPKKKKIKEKTSTTTATSSDSEPEVVRMTGAPPTARMTGAPPSKRFRPSPPTKEPTPPPGIKAIDSPVVVKKKAPPPVPEVILSSTSSTSSDIICLDDEPPVKKKAPEVQKTSTSSEVNGVAMKNQNSMTTTMMAKKIIKQQEEMINNKKPSAVPSSSKTAPAGPTTPSKPDAALLTLSSSSSQSKPTTSQPPTSTKPVVSQTVQITSLFEKISKKGKSYRDKNLKTFDPETLSDIATIMESIRSLKKEKIDMGPVITTIAKSFGMTTEEVTKQVERAATIQKKPAEPLEKLKKPRDSEIDWKLSKTDLPHMEEPEIAMMTTIVGSWRSKKQLTNSMISGWLKEVREKKMNTDDARVSFYSAINKLPDVDDSTPQDNGLELPKHERSRKPRMFLHQWVYLSRKYVEMILPTLKKMTTEGLALKQLTAVNALKEGLKKQVSKYESAKAKEPTIQPFQFSYTEPILAAVAPYLEEMTDYALATRRLETVVTAIDGLHPPISEHVTLIQFYIEICRRLQKLSFLVVEEPMKKRLSDANEQIGKHQVVQTPKYQIKWPNGEEPSLRGLNRELHVYDKSILEIIGKSPPSNRSSISSISSNPLTSSTPSRPSTSVSKPSTSSAAAPATAPSTSFAPKIQNTSDDVAKRQQAMNQLVQTAEITDSKQFPLSDEILLLLTIAVYSSGVPLPNQMLMFKNLMQNVGQARLANAVAMHKARSSQKLTEKDHQKLFEFFGSLDKKLEQNKQEKINKIQEENQRKIEEKQRKEQEKQAEKERIEARKRDEKERKEKEKREEKERKEREIRENFERKRREEEDRIRARRELEQELEDKRRKKEAEEAVEKELERRAKLETERKIAEAKRAEERENQIKMMRAQQIQRRREDTPEVVVPTVVQPEIVQPVDILNAAQEAADIPIIEPNNIVKQEPEKPMSAFEKLASLRGRTMSADMKRGGDVGTQMGHVGHVPVSTNGIQNMQQSMGVIQNSPYLASQSATQQFFPTSSAPMTQPLQHLDPPVAIHQNSQNSQLPNQSFNSAPIPPMHQPVPRLQHQNPQLNHQAQMHLQQQQQQRLQHQNPNSMSSGAIFQGNGAEQREPNRRSMSMSQPQSSTFLNTQHQSPQTLQQQQFPINSYQSPIHQNSSMSNSSLMHTPHSNNSMVSQSPQFSSHPSPMSQQFHTPPSVGMLTHMNPSPMAQPNPSPLQHFNFPPTASSNYSPQRVAPQQQQQMHRQLSQQNLMMMQQQNPNNQHPMMHQNQLNHHNYPPQ >CRE20939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:58890:70937:-1 gene:WBGene00071369 transcript:CRE20939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20939 MASSRPKRQPKPVKRDEFIAIRLDSQSDDDDFEDEPTSSSCSSSSPPSRSKRAYMKRVVQKKDEVSARKSLEYFTPEESQSIFHFILSLCRSKNPLREGRVAKRRVELNSRDLWEKCRELTGSSRDSHAHKLHFMNFCRKLHEYPNLTLEEKVDLYYALDIRVVDASLREKLIEKFEVEFNETGIITGSLLLHHWDIVHPDSENEEEEEAESNKSMKFTEFDDGMMWQFIVDQIKTGEILTNSKKFWEEFRKKHEKKTGGHRVPETYKARYFRILLPNLHRMPFDIETKAALYFHLECAVPEEFRKELSFRVNVTLDEEGMITEYLSCPNPITLQSRPYKSIIGISSINKLSTLKPPNTSNDTRPYSTEEDGQMWQYILYRSNGKRINQKMNGWVFWKQFMSHVHTDRSWQSLSEHFVEDLMENIQYVPYDKKTKMELYFALNHPVEDEVLAEFESVASVVLTRTRSIKFAAGNGFSIGRRGRNGGDESGDEGIHLSEKTRRHNTNLFNQMHEMAKKRERIEATASNSDRFPYLPQGIRPRVKYIRKRDRLAAAARAEKAASLAAKQSPNEPPPYFPNEKLRYQYFTELEYGKRVEDHRRKKRIAEAEEGSENNEDAETEVKLEPMEFVAEDAEIDEKPTRDEPTFSGRILEASSFPLNSGAQEEQKPEKLSVTSPPKIQEKEEKPERFEDSEAMKQAITVSKMSKPTQLIVKTIRERVPYYPEIGDSEVPTTIPQTVFRKFKTDHNKVPAYFEQVRPTRTVETVPYVAYDHPALDVVTEQKPERFEDSGALTLASTVPKTTLVRERVPYYPKIGDSDNPTMSEAPKIPPKVLRPPGIGTRIDPPRKPVPYLYPQSHRTSAKVKGTGATNKPRLTDTKDSVAPTTSRTLLRPPGIDPPRKPVPYYCRKVYRTPAKKPRLTKVSGVDAKTLYVAMGGAPKPVPYYSEEEEEEEEGEMDFEPIIPEYDMSSEIQKQPERVQYFRGVPKGEEHEEAEPEEYVYDKTPLPPPANRQAPPLYVGRRVIARKPVAEQLTTTMKSKTPPRYASFLQKKQLVSIAPRHPVPVATMSTFDPMNSGLESNGWVEKEPKKELIVLQGRWNGETMDMMNTPSSSSSGFLTRPASKPSKVMLAMELFNNQKHAPTTTPIEEPLRPHSDEFVDSAIEEMEIHLKTFSKEFSDANSKLTPAQRQMYGDRLLGSVKMFQAGIKKAIMKNPPI >CRE20938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:48558:55925:-1 gene:WBGene00071370 transcript:CRE20938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20938 MASFGRAKLLIFLACSILTTASNGLKQSADIGKANYPPPSDDPHNDPHKMDYLYIPPGTYEVTNNDPHHRTDSEPHPSHIESDRSRFRRDSNEHFTSMVDRMEKVSRVVNGISIQQGIINGTIKPDALISEFLNFGSLTISEIEAINATEVTKVVDEIHGLSKKLTKDAGIDKIENRFILYESLLKKTKGTGQTLTIPEFNQYSEAVKELMKANHDQKKFLDTVRSLEVFSQQLNSLDRNDKKDKIFTEAMLSSIPSYYSNVKNMERFSTTLLKDEVLRNVQIFEPVDEVNRAVSMFQADTGVSIYAPNDDQPTAAIKDNIDALTSLSAKTKSSLSVLENLRLLFVTRHQIRGNRLLAQTPGYPNGISDFGLVFGDLKDSWIQKAVDGQSDALAKALYNLRDLKRDLQTSDGSFHLDRAEETNLMTTNAEMIELSRFTGIFDDLAKSIGNIKIEVLPTEMQPKNIKEFQNLMRSISLLSNHLRALKVVEDVSGKLAADEGGDMMKLLEIIKNPTQETAFSQLDTLRRDPEFKKVVDLVRTAEKPIGLLLNTTGSGNGTKTIVDIAKPVNGHSNQLQIYLDGLGVFLTKMDTLRKVTGVDQVGEAIRAIQHYRNHAFKSSGFKKISETLDKAKTSLTKLQKSVANMKEDTTPESTALVELRDLFTDSQNIGAATRVFRSMQMAKKKKLVVVTPAMADVVKKLKNVSPNQQEQLNQLMGLDKELTSLIGAIDKIETSVKPSTSTDLVSLWPIFSLANGANGISMDFLEISDTIEILSKDPNLKSHQQDLLKIKNNLDTLDSMGLDYSKHQSAIKGTEESLKQLDLFFELFKKKITPQDVSQDRKGPLEKRPTSKDKNPSSDSRRSEKIESKENHHLAAKQSPNEPPPYFPNEKLRYQYFTELEYGKRVEDHRRRKRIAEAEEDSENNEDAETEVKLEPMDFVSEDAEIDEKPTRDEQTSFEKIQKAFSMRMDPLDSGALEEQKPEKLSVTSPPKIQEKEEKPERFEDSGALTQAATVSKMSKPTQLIVKTIRERVPYYTEIGDSEVPTTIPQTVFRKFKTDHNKVPAYFEQVRPSRAVETVPYVAYDHPALDVVTEQKPERFEDSGASKQSDTVPKTTLVRERVPYYPRIGDSEAPTTSEAPKIPPKVLRPPGIGTRIDPPRKPVPYYCRKVYRTPAKKPRLTKVSGVDAKTLYVAMGGAPKPVPYYSEEEEEEEEEMGFEQRIPEYALSSEIQKQPERVPYFPKAPKEKEEPVEAEPEEYVYDKTPLPPPANRQAPPLYVGRRVIARKPVAEQLTATMKSKTPPRYASFLQKKQLVPIAPRHPIPVATMDAFDPMDSGIEPNEWVEKEPKKEFIVLKGRWNGETMDTMNTPSSGFLTRPSSKPSKVMLAMELFNNQKHVPTTTPIEESSSSRPHSDEFVDSAIEEMEIHLKTFSKEFSDANSKLTPAQRQMYGDRLLGSVKMFQAGIKKAIMKDPPI >CRE20937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:38846:46016:-1 gene:WBGene00071371 transcript:CRE20937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20937 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N918] MASARRAKLLIFLACSILTAASNGVKQSADIGNANYPPSSDDLHNDPHKMDPLYIPPGTYEVTQKDPYYPSHIGSDRSRFRRDSNENFTSMVDRMEKVSRVVNGISIQQGIINGTIKPDALIAEFLNFGSLTISEIEAINVDEVAKVVDEIHGLSKKLTKDAGIDKIENRFILYESLLKKTKGIGQTLTIPEFNQYSEAVKELMKANHDQNEFLKTLRPLEAFSQYMNTLDRDDKKSPITTGDMLLLFDTSYPRVKSIDGFGTTLLKDEVLRNVQIFEPVDEVNRAVSMFQADTGVSIFAPNDDQPMTAMKENVETLIALSAKTKPSISVLENLRLLFVTRHHIRGNRQLTQTPGYPNGISDFGLVFGDLKDSWIQEAVDGQSDALAKALYNLRELKTHLQTSEGSFHLDSAEETNLMTTNGEMIELSRFTGIFDDLAKSIGNIKVTGDSTEMQPKNIKEFQNLMRSISLLSNHLRALEVVVDVSGKLVADEGGHMKRLLEIIKNPTQETAFSQLDTLRRDPEFKKVVELVRTAEKPIGLLFNTTESGNNTIIEMAKAVNEHLHQLQPYLDGLGGFLTKMDTLRKVTGVDQVGAAIRAIQHYRNHTFKSSGFEKISNKVNNAKNSLTKLQKSLADMKGDTTPESTALVELRDLFTDSQNIGAATRVFRSMQMAKDKKLVVVTPAMSDVVKKLKNVSPKQQEQLNQLLGLDNELTTLIGAIGKIETSVKPSTSTDMVSLWPIFSLANGAKGISMDFLEISDTIEMLSNDPNLKNHQQDLLKIKNDLDTLDSMGLDYSKHQTAIKDTAESLKQLDLFFASYERKVTSAVASVSAPPSAQNSISGSGGINAETEEPDWNKPIVFIPIILVGLFCIGGIVGAIIYWILKNRVFKIVIEDRVYEIYLADIICRILCFIKHYKQENEQMLYSTGFKLYFLALFEHTCKPTIALKKQLPKNERLQIGENKKLFRGDQYIPANQIKYRNNFKLALFQPPQLGSQENDEVPETVGSFYWAMKTCKANLVVCLAKIGSDCFQYFPVKVGDSLRFANKQLVVTCKSLEKEHEGKVQMRTLEVKFKGYSAFTITHAHYEEWTNNFFPSDNLSPIVTILMKMDKEKKMVFMHCDSGLYRSGILAQVLMNKSQLGPDHGCLDYGSSVNKVRKFCSGVIKDGIEFLNMAAITFKFIRALKESSPDKKFSDITKTHIDIIETCILELSKNAKIELDFEKLHVMMNGKKDVAKGSEVLVKSKKEHAIKGTNANPSRGQSPSQILGIKVDNRPIADEKLQNTLDAAMTVRDGGVKRKKKVKENITEPDDDVPGVPPLVHDPEELKKEDAKELKKEDEKGMNTAEEKEMKPVDEKGMKKEEEKAMITAEPEENVQIDKVSGAPTVENRKKEKKENPLVYFEGPPSDWGSEDDPPKKKAK >CRE20936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:32878:36016:-1 gene:WBGene00071372 transcript:CRE20936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20936 MASFVISPIKIDISLLRPYFSLISCAILQNFLLNPHFPNSRMPTSTIPTKQQILSSNKEAEPLLSFFDDLSDEEKMKLWKQLSTLNLSDARQWFIDSEEQRAPASAEDLKPIPHSHHFNQSEMHQAVLDELWNKGMDAIARGEVCAIVLAGGQATRLGSSQPKGTIPLGINAAYGDSLLGIQAAKIALLQALAGERDHQDPGKIHWAVMTSPGTEEATREHVQKLAHHHGFDFNEQITIFSQDEIAAYDENGDFLLGSKGSVVAAPNGNGGLYSAISAHLPRLRAKGIKYFHVYCVDNILCKVADPHFIGFAIANEADVATKCVPKQKGELVGSVCLDKGKPRVVEYSELGEKLAQQKTSDGKFLFGAGSIANHFFTMDFMDRVCSPSSRLPYHRAHKKISYVDKNGEIVKPEKPNGIKLEQFIFDVFELSERFFIWEVARNEEFSPLKNHQSVGTDCLSTCQRDLSNVNKMWLERAGANVTASKQVYLLSLVSFNGENLQELRHREISDEAIESDHTINKFFVH >CRE20921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:29954:31959:1 gene:WBGene00071373 transcript:CRE20921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20921 MSPFVDTCPSRKSIRGDLQFRRNQRYLHQIDFVSLIINPGAAIKTSQRSASWFTLVTKTRCTDTWIPRLDKLLELFLELEKSQTGDSIHGHSSSQRPTIPIDSFGGKVAGNGQTTERFRVFPSPSNSKNILEDFSMRSKTLANPGWK >CRE20920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:24981:26575:1 gene:WBGene00071374 transcript:CRE20920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20920 MTDQFLFQDPAVLGQDLLGPGISSAANEELNKIYRRRINNITFRLLEENIVKTKDYITTTARVHKDKVANTLDEIWNSTGKKAVSAIKKAIFSVWMFLLAIVAPLLLVIVMAVILWAYCKYRLSRKAANELFAIATKKLRGVYHVDYEMQDRRHVHRDIEEDYPIPGVNAIKKAIFNNAVQN >CRE20919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:14879:19314:1 gene:WBGene00071375 transcript:CRE20919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20919 MHGIDLMGESFILFLEFKDSSLAGNQKKCNIEVPSDKINQALPKLEVVEGERTEEEITGFKSLLDTDVRSSESRSVPSSRIESVHGKVVHSVVIEPLAVGDVSEVVIHAKKSYDLIANERACDGCPGAMAFQKDSSAKHDQHYWRCLDCRRSKAVMKKSISVHQILYLAADFIENPTEEFADSFQIDKNTVIWSILKVCNHPKKVIWWLMPGENRSNATWNKLVESLTQAFEVPGDRELAQQELATVKHGTLSINEFARKLRTIGDYAYESVSANVRESLLVNHFIHHTNRYIRNKLLQMDSTPKTLEVIIRKAERFQRLQELEDDQDGEELIAAMSDLILSKEDRGREQEPRPPVRAPPAPRKDLF >CRE20918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:6940:8089:1 gene:WBGene00071376 transcript:CRE20918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20918 MLYITIALTFLLFLSVETNTKIVLVWGALEGQPTSNRYHNIWTECSTLCLDNVQCVLIQKAADGCHLYHLGSVKTVIKTDRASGGIVGFKRTMNNCPNSSDAPMFDESSVQETFVYNENNYKYNITKTVTNEATIWSFGFSNTIQCPPDSFRSVRKIYQVCISVQLFTGPEFCRSQSYGVKLCQSIGGIGLTGPFSSTEGGNITDIAATKSKEIPSGQKGYQHFWIDGKQKGQPGVTLSDSTLSIGEGYNWTWVHNDTEELCVYLGTESGVSGKATLYDCHIEITPEFCMRGAVCRTRPVTYY >CRE20935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:2168:3703:-1 gene:WBGene00071377 transcript:CRE20935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20935 MTPKDFDLLKVIGCGGYGKVFLAREKSRERVVALKVVSKDELEKQHLQDEIRILETIKSRFLCQMYHTFETTHKVYIALEFLPGGELFALMERVQFLSENSARFYIAQITLGLDYLHNNHILYRDLKPANVVIDKDGNVKLVDFGMSKFNFPKGNKTSTFCGSMEYMAPEMITEKEYGHAVDLWALGVLLFDLVTGSPPFNGENDKELEHEIRTAKIEFPKGISAECQVLIKSLLVRKEEHRIDISQTKRFDFFKSVDWAELEQGRGNMTPPWKPVLSGEEDVKYFDPSFTDMPAVESPVKNPFEGFDLVALGSDSKEDSDVSRKLLV >CRE13754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:74896:79821:-1 gene:WBGene00071379 transcript:CRE13754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13754 MVKSGERVVVKRQNLEKVIQNLARINSTLFSNLGNQIFCVVPRIKDSTNKEQGYRKEKQLKFLVSFRSIKSQVPPYLRGGGDVMEDTEIRGIRKLEPEAQLDSSKPLICRVLYPTQGYMYKCFYPKCKGHSNGSTDLRSLKKHMVDKHFTNIEFAYKCATCMFLTTGKSATALKSIKAHMASHHKITMEPGKKSLVQKLNARLEEAAPSLPMPRNRSKVIQLTPEKSISELEKKKQTRSVAKQLSTLKESAQKKEEEVKIAEVKKREPRLSIIPESNVRRSLAAGLEQCINPEQSVAQRIREKREEYAKASREAAAKRRSSLAMKPARLPDKENEITLQETKKIDDPIVIDLEKECILTAVLQVPRNQFNSWCLEHETTIDAWLTDEVIHMYMCTITENRKYFMAIDPVLWPVYVRNGADDLLRRTSCPGTFFFPICESNHWVLLVIEHDMYWYLDPKGEEPKGNVEILLESMKRKRQYYEFPPPSQRDNVNCGVHVCLMAKSIVDECGYNWYSEEDVRSFRTNMKDILKSKGYELCPEPYNRQNLLKTEKQKEVILEEMIDSFVVEDDMTFTVHRDSDHGDDEVEHLKTIEQEPENEISEIENVEGSVDSVIPKLMEMRVQTPPVINEKRDKKRVSAKEKPRKQKEKEQKVPTGKPDELVKRVRVWFEKEFKSYVEDGKSFPRLEWLTDVLTAAIQKASAGDEKAVELIEKRCPPLEIEEGEMFTQTEKKKKPKSGKGNGGQESMKSLMASYNENRAKTYNRIIGKHSKQCEIPIAKVQKFFEGTTAETNVPKETLKEMCSRLPKVEVGTWIEGEFSESEVTEALKKTKDTAPGVDGLRYHHLKWFDPELKMLSQIYNECREHRKIPKHWKEAETILLYKGGDESKPDNWRPISLMPTIYKLYSSLWNRRIRAVKGVMSKCQRGFQEREGCNESIGILRTAIDVAKGKKRNIAVAWLDLTNAFGSVPHELIKETLESYGFPEIVVDVVEDMYRDASIRVTTRTEKSDQIMIKSGVKQGDPISPTLFNMCLESVIRRHLDRSVGHRCLKTKIKVLAFADDMAVLAESSEQLQKELTAMDADCSALNLLFKPAKCASLILEKGIVNRLNEVVLRGKPIRNLMENETYKYLGVQTGTETRVSIMDHITEVSREIDLVNMSQLAMHQKLDILKAFILPKMTYMYQNTTPKLSELKVFANLVMRSVKEFHNIPLKGSPLEYVQLPVGKGGLGVACPKNTALLTFLVTIMKKLWSSDNYIRKLYTDYLEEVAKVEIGKFEVNLNDLAEFLSDERAVDSKLFGFNAFTRVREVVRSLCKNKDSPLHSLKIIEREGKLAISVQATEECIEKIFTEDQEKKLMYLLKGELNTALQHRFFTQKVFKSEVMRVVQQHPQSNSFVRNGGKMSFSAQRFVHPGRLNQLPCNYNTWAKGRTKLCRRCRKNKNETQSHILQECDYSIGNIIKERHDAVLYKFRELIKRGSKGHWLERTDRTVPNTGSQLKPDLYLESPDGKHVILADVTVPYERGIEGMQKAWNEKINKYTDGYKEIFRRQGKSLVVLPLVVGSLGTWWKPTEESLIKLGVEKTTVRRIIPETCGMVAEYSKNCYWRHIYGEKYIQTPMINGGNKPEGNDWKKCEKGIEVPKAAN >CRE13747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:56486:63962:1 gene:WBGene00071381 transcript:CRE13747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13747 MSRKSSRGNSLTRMRHNSPPLQATSLLQRIVGPLKTSITKKCKTAGELIESSDHAIALFEDPHAEHITTHQQELVDAAEILDDLHKTSAALQNLGEYIQIKFSDPEMQASPEKEEYMSDVKNHLAQVHVDDIIMLINHNADKLEVILATNNPSIENISPNPENSTLSDDREHGEEHHSPYDNSAHSDDAVPTDHRDSNSSQSSTYHETSSDLPNQLPEPISNNESMLKQAEIGNRRLQEEVQRLKLNNEKKLLAQMATERQRLELEKERLLRQETQMDLAEARSKKSSDMLVNNEHTARHSDPTAKSVQTQSSQNVAKNAKVQSATAPIAPTPTSQPNQSIKDKTITQANPSSQEMLLMNVMNKLSSIENNQNKTNNAIFAELAKSHAKMETLVDKKLEQRLQELIKDEEDSVNHSGETEEEKEFIREYENQGNTADEPSGKSKSKSDNRHRNHSRSRSSSRSHSRHRSHSSLLTDISLDTLVKHIKAFDGTGKLDIFEKTFANSVTKHPRLNDDMRYSILTTLVKGEAAPCIDQSTDSKLAIETTLSNLRNVYGKCNDKYNLLDRLKKLPFHQSNTKQMRLDIASHTVILGLLREKDLPENDEPTIHIIVGKLPPAMRAKIASYLTKMGNKVTQNQVLQRIRQCIDYIEMENTIISQTAPVAANEVPTNYVAINYTKASPATTQNSNGQPLPNANKPKPSPQLAYNPNAYGNQFYDTASKVKLDGIFAPGEKGVNLSLLSRSFPFENEEVNRCGVCDGLHSPIRCPLSSSAFRQAAKERGLCPICSYKHDITKCKSHYRPGQSSNFFVPLEPLTLNNGITAGTGSKVPNSVPTADLPTAISNKYSLPSAPIPLETALTAQTSPEAACSTLVPATAVDHTSIKLSQTVVTSVIEEYDIDRFVQFVSRTSPPHHITTANTADINNRLTFMCLETPDNQHILALVDSGASLSLILESKAKQLRLAVLKETRLSIQGFDSRTTNDSHIFAIKLKAIDARFPLAFMIAGSPILPNTAYTTSNCSTADVKFMLDKGIEDPSEHAHPEHNGKRIDMILGNDMLTWMTAQPSYRKHILPSKRSVEVTPVGLIIHPIPELVVIHHSTLLPLQSDEYQVQAYLASALLEEWTPEDPMTKIANEVEMMRRLETLGIEDVSVAESTTKTAQELYTQFTITARFNAEGQLEVALPLNGNEVRLANNYAVCIKRLGSLVITLKRGKDLRHEYSKIFHDQELAGFIERVTVEMLTKHKLHYYFPHRGVVKADSMTTKLRIVFDASSHASGQLSLNDCLHAGTNMIVPLFGILVRFRFPQKVIVGDIEKAFHQIPLQEEYRNLAMFLWLKDVDKPPTQDNIEVYRFTRAPFGMTSSPFLLAASINYQLDNNPHELNEEIKENLYVDNCMFCTNDESAISQIVSEAKKVFNRLGMNLREFITNSPEAMAAIPEADRAKSDVIKLLGYKWDTVNDTITVKIAELNIDHPTKRDVASKLAETFDPLGLVSPIQVPFKRLMQRTWQQQGSDWKAPLPNELLQDWRALRTAFIDRVITVRRPLTPDYSDSRIELLMFSDASHDIYAALCYANFIRDGQPPVTQLLASKNKVKPSKELKWTIPKLELLGIVCASNLARSIIAELRVPIAQVRLFTDSACALYWILSGQNTRQWVANRTGEIKANQARLLECNIETTIHHCPTKENPADLATRGMTTTELQNSSLWFNGPEFLKKDPKEWPCMINGTVSCPAEFQELVYAEIIDPATQKRKKPLMEKAAPPECNVSVMSVNTTITSGEKQPSFIPFTATNSLSKLVTVVTIILRTFSKTLKKKQWETPLMKEFTASEDPVHQAKVARYLIIKEHYKDAEYLGLKFPPSLSPYMDSDGLYRVQRQIDSPVLPQEAHRPILIHHDHHLAYMLVLETHEINGHLPENYTRAVMRTKYWTAQDGTLAKKVIGKCVACKITNGYPFAYPFTATLPSCRTTPSKPFSKVGLDYYGPIVYHRDDGKSYGKAYVLIYTCLATRGALLRLVPNATSETYVKTLRMIFTEVGVPSEIYSDNAGTFKLGAAIINKDIDHFVYSNTLTHFLATTSITCTYITPLAPWQGGIYERIVQLVKRQVLKECGSRVYDYHDLSYVISGAQGMINNRPLIPHARNPGDLIALRPIDFINPGVMTEIPSDYDEPPNPTGVTEASVRAHLNNLEATLERVWRLWSIGYLTFLREVMHRNRRCSTLVPEVGQLVIISVNLLKRHKWPLGVITKVNKSARDGEIRSAVVKCRGTFFERPVCQLIPLELTSLNHQCHKDMSVDIESNDTGNNEAEVSGHIENTYSKTALPTPATLESLDNIYAPELFPANVFPNIAEKSAHHPAKKGNAADEPEDYKQSNKTQLETSTNPENIILEDTYSPEDGVYQDPQNTLPDIARDYGAENLPEGRSRDYHPRRAKATHINYVHTVDIKILSRPSPPECCQLYHALHSFDNLKAL >CRE13746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:52772:55729:1 gene:WBGene00071382 transcript:CRE13746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13746 MLPHLRHGADQNRRPRQPMLPRQHPPPRLENVYIQDYTVEQYFQGHSLPFTIDDIYRFATLEEDWPLDELPDDVEDRGGLRLAVWRETRPTPHTHWEQIALRLPIITQAHGAREYFVRNARVQNELRVGERTRRCPECGSQLGGFPMPDHVYQECPFSPLRNMDRLEFMASNLIAYCNACNSRSASHESCLRNVCRGCTDSNHTLAQDLCSWHVGSHAGYQEQLHNVEELRVRRLEHIEQLYRDPRQPLLYFSYLDEPPFAANRRIRARVDIHGWGPLLEYPERFEQPINRANYGNQFEGKRTEYPSLVPPEYDNNPQVPIPRFNQIDRDYLNRVADAVTALRRDHEAYNHIEVPQLPPSGGRPDEEHQRAEDGHQAPMPLVEPAPAQRPLRAREPAPPVAQLPAPAPVMIPPQKLRLEDGTIIVPETAGNQAPEPRERHGRIGGDNQRPRAQPEQQRPIEARPINRPLPPQAEARRQIAPVINVTDQVPNAPIVPPVERSMPAARSPTTNGTTAQRPQTWNATALPESRQDPTAPLNAHNTALTSAEQIEYNREAALSQRVRSMCGQPILIGQQAVRQHAQLRAFDYLMIQDTPTSHPAIVLRIQTMQYVLTGSEDYRYKIHDRYPIESLLEYLDALATAGTATRLEPILLVRLELLTADPVMEKYTYRGDILVIPSATVYIDNQALRPIMEEYMINGGARGEEIRFDNFSPTAHELLDCPAPTERISPREYLQSLEEHRFASAYVTEPLEPINGYDMRTLVRALNLHPPTFENCFNTRISWMSKLLTAHNLITPPENVEASELVDRSNYLKLLYAAGDHLITAMDRKKRVNVVVYDCFERLHAHSANGHDFVLPTLTLFCKASYLRWVVWLKNVWNVVLADGEQSDPCDCNTVQEMH >CRE13745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:49787:51002:1 gene:WBGene00071383 transcript:CRE13745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13745 MGRTKNNKLDRTFDSGPLVPGNNDSTAQDDQNKENQDQGDKTALDISHEEVVETVDEVIDGVSLDHTQVLQPVWDTSALHEEIRETSELVRDVLDRVDSSEAVGDLVKDVLDRLDSPANVKVQEVVPLLHHEMKKIGKLITKNGEEAQATRIKEAETMLEKYEALVTKVMTAAQNQQTGLATTIVRSVESKMTEIVAPLKSEMSRIVAYIAGITQQNERPLRDSKERDRSHNSNSTAVCVFCEKRGHSSQDCKTILTPSDRWATARTKSICTKCLSKYSERGNGHTDCSKGDIICSQCSSIMADTTLCAHHEAFCGVKKTAVRRRQDVPSARAPKMAKYSLDNRNKAGPSGSAPQ >CRE13741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:34840:43865:1 gene:WBGene00071384 transcript:CRE13741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13741 MLDESRAPGGKEKKGATQETQKCTQLGTTLHCSQTHKFRRYPLTKLEGEIDLVIHKGIYFSQSIPTPKRQATRFRKPSENADITAKLNNLWNLSEDQREEVRRQANLDREERLRKREKEQAEAFVKSVQKPKVTGEKEVTSENSSGNSAQQSSVDDTPRARPGHRNFTPLLNRTPNSVENPTSDLTKGTLGIGTPRIIEVPPPPSEFLFQTPGKLLNAEELRPRAHSATPAEDFSSPLSDFYTPSQHPLQEATPLSHLVDPSAPPQPTGTVRGIFSRFFPTQLFKSREVTSEDRTSETAPEKGKEEVLIPNQSTTQVETPILTSNSQPKTGADKTRSNLKTGKREMAKELPTDLQWGEDLPAETEPQRALKAVSGHVPKFNKGNTAALRRWLVDYRLALHNLNIKQEAGAKILPFFLEGLARQRYHQLPANQKTTWTDTVENLIRAFEVPGDRELAQQELTTLKQGELSITEYARKLKTLGEYAYEGMPEQVRENILLNHFMHHSAPHLRRKLLQMEIAPKTLEEMIRKAERFQRLQNLEEERDDDQLIAAMSQLMRPASDQNLGVNGRPGFRQPSAPPLESGTQPSWRYERRNPANYSNGGRPNFRGKDRSNLRPNFRSNDRPPFRQDYRPPMRSRGPQFQPPPNRFPTEFPRGRFPEEPPPNRFRPERPPGRNYRNEERSQDERNFNRGKGFPNKLLAYLTIAMMLVSPAWAGKPQICGFQQGGNMFVPPAVLPCEPPKTSIVATRADIFELRSDPMRQIAHSCYKQTFQVNTFSLFKIYMTARMTNPGEKVFQPVRVQECREAVRLKKFAGKDLIESPKGVYRSAHAAEVAENHTAWLGNTVFDHEEIIIVVGEVASFDGTTTISTLGDTSKCLYSSGHCRTEQTTIVWTESSPFQSCKYQRMTSADAFISDKHIAVPELRMFAAISQDMRLTDMEAKGCTVGNVYFTDDGKMISFPELPADLWIPDYVRMKEGHHRKKRTFLMVQGPNNITMALNLGEKFAVPIIQKLFKVDALEKIERFETEPITDPKILNEIKAFGVTNQLLEERATRYGTERKNSLGHQLIVLKCIRIQQYKFRTTERLSSLKRDLTAAESELMKGMRADPANVFDPLLDMEFGESKEDYAGLPPGYTFPRFDEDKVKKMDHRAPYIEEEFIPPTVETVTDATSTTTTQHPTVVMPRTVATPRATVTSTPRPTSPPQSTSPPQTTTLPKTTSPKTSPPKPTPATTSSTTTTTTTTTTTTTPPQIQRWEEHIPERNRNVVFEQPKERSFHSPALDLFMNTCVQQREATILFRTVLNMDPTAAVRQLMRRTDIAARKAGQGILVTQCKTVEPEEIIWDRKINNTCFDLVPMMVEGKIWFLLEGTDDLVAESGSVECTTPQVDGKVHEEDLVWRNEKGSETWVQTFNRPIRREASQFLFQTPSVIGKDLLSPGTSTSADEELSRIYRRKVNNIAFKLLEENVVKAKDFIADTVKVHKDRVANTLESIWNQAGKRVYETLKSVVFNVTRFLLVIILPLGIIVILVIILFAYCKYKLARKAATVTARQMIEMATRQLRSVNHVDQRPTRKYYVATNVEDEYPIPGVYSVLHHHNRGHLPVIQVEMDGRKLHALIDTGAGVSYLPESMVKPEQIESGKQTASAANGSVIRFLGSTKQKVQIGDIIVEQHLLVSADGDCPSEVLLGVDFIRNLNQQGHPIHFDMLNKKLTIGDEVAMICSVTKAPERKPIRVVVRSNCIINPRSEALIPARLEDYRTEMGNEFMIQDNNRESEDIYTIARTVVSTDEEGKTLIQMCNPSAKPIKLYAGQAMATADIYEQIWEDGYIPPEADWTSKLPRMPTTTPLGYKPSDEIDLTKSIFTEDQKRRLREIIDHYKNAFVGPDGVLGCYNGPIKHRIDFVKDAKIPAPRNYRIPLERRDEVWKQIKEMEKQGIIRESSSPFAAPIVLVRKADKESWRFCIDFRALNNITEPVQSVLPNLQELLDITAQNPIYTTLDFASGFHQIPLEEEHCERTAFASFMGVYEYIRMPMGLKGSPGTFQRIMHNLLRPLRARVFCYIDDIIATSPDAEQHLEDIEEVLNMVQQSGMKLKPSKAKFGQDKIKFLGFIVSAEGIHPDPEKTAAITNYPRPKNVTDVRAFLGLASFYRRFMKDFSKITTPLTELTKKDSEFHWDAEQEEAFEKLKLALVSSPILAAPKLGFPFIIETDASGKGVGAVLMQAQDREGTEPRVIAYASRVYNKHEKRYPAIELEALGLIFAVTKFRPYIDGAETTIITDHSPLKALLYRTDLQGRLAKYQIILQEYNVTIVYRPGKANVIADALSRHHPLVNAVIPTWIDMEAVRAEQQMAPDFTAIYEKLDHFALHDGIVYKVTTGTPVVYLPKNTKYGKKLIEKIHGDLDEGAHMGREKTYHKVKEIATWPGVELAVRNVVAACPTCQKTKDPAVTRIRARLHEFESVSQPFERVHCDFVGPWPTTERSYKYVLVMSCAFSKFIVAEPLENQTAMTTVQALSDRLFARFGVPKIIVTDQGTNFMSKIVAHFLLARNVTHQISTAYHHSSNGQVERANQVIEIALRPHKDDGCWDIYLQKVVHAYNTSVHATTGIAPFRVVHTFSPGTPMKNCLLKTGEISVNEYMENIKRTLGRQQAYCKARIEERTKERNDRYNNKDNINDVQFEVGDKILLRKGPRDKLSPPFLGPFEVVKVDDPNIAIKGFGRVTRNGDKRETWVHKDRCKKFIEQGVSRGWVASLGTPVVLVKKRNWDNIIKPTRSVPPNIQELLEITAEKPTHTDSDGSSDFYQRKERTEEEAQDSEDRDE >CRE20150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1868:1488:4565:-1 gene:WBGene00071385 transcript:CRE20150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20150 MEMRVQTPPVINEKRGKKRVSVKEKPRKQKEKEQKVPTGKPDELVKKVRVWFEKEFRSYVEDGKSFQRLEWITDVLTAAIQKASAGDEKAVELIEKRCPPLECEEGEMCTQTEKKKKPKSGKGNGGQESMKSLMASYSENRAKTYNRIIGKHSKQCEIPIAKVQKFFEGTTAETNVPKEILKEMCSRLPKVEVGTWIEGEFSESEVTEALKKTKDTAPGVDGLRYHHLKWFDPELKMLSQIYNECREHRKIPKHWKEAETILLYKGGDESKTDNWRPISLMPTIYKLYSSLWNRRIRAVKGVMSKCQRGFQEREGCNESIGILRTAIDVAKGKKRNIAVAWLDLTNAFGSVPHELIKETLESYGFPEIVVDVVEDMYRDASIRVTTRTEKSDQIMIKSGVKQGDPISPTLFNMCLESVIRRHLDRSVGHRCLKTKIKVLAFADDMAVLAESSEQLQKELTAMDADCSALNLLFKPAKCASLILEKGIVNRLNEVVLRGKPIRNLMENETYKYLGVQTGTETRVSIMDHITEVSREIDLVNMSQLAMHQKLDILKAFILPKMTYMYQNTTPKLSELKVFANLVMRSVKEFHNIPLKGSPLEYVQLPVGKGGLGVACPKNTALLTFLVTIMKKLWSSDNYIRKLYTDYLEEVAKVEIGKFEVNLNDLAEFLSDERAVDSKLFGFNAFTRVREVVRSLCKNKDSPLHSLKIIEREGKLAISVQATEESIEKIFTEDQEKKLMYLLKGELNTALQHRFFTQKVSKSEVMRVVQQHPQSNSFVRNGGKMSFSAQRFVHPGRLNQLPCNYNTWTKGHTKLCRRCGKNENETQSHILQVCNYSIGNIIKERHDAVLYKFRELIKRGPKGHWLKKTDRTVPNTGSQLRPDLYLESPDGKHVILADVTVPYERGIEGMQKAWNEKIIKYTDGYKEIFRRQGKSLVVLPLVVGSLGTWWKPTEESLIKLGVGKTTIRRIIPETCGMVAEYSKNCYWRHIYGEKYVKTPMINGGKKPEGNDWTKCEKGIEVPKVAN >CRE23181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:47983:53204:-1 gene:WBGene00071387 transcript:CRE23181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23181 WTYKCSICGEEAAGKSTKATRWVSSHMLEKHGAQHRPRIRSAPTTNQKISDVLKKAAPLLQRPERAVRKGYTAPPVEETTPEKILRVEAMEKMPQTRAVTKSLSVLKESVKKSVKKTEEKQMGKKVFSIFSKNGETSSPASRRLSVAPVRTNSLGSVVDLSNLQGPERVKAAKQNAQITARMETKRRRSSLSVLKPQKVSGKSGKEETNKISEIIPEDSVDSRENDWNESGVLNLTFESDGSNGYVGKRFNTWCLDHEDSREAWLSDEVIMWYLERICSKSEKYKVLDPLTWEIWKIEGIQMVESKLWSSKTYLFPVCEENHWILLIIDSQSVWYANSLAYEPAGNVAKFLKELKRERKYFEIPTPYQKDKVNCGVHVCLIARSIESGVYWYDIKDVQSFRSDMKRMLRRRGYELFSAPYHQIIPQKMSNDVDDCEIIDDVFYDDSEKERDESEDVKKNITGISIENITPLEKTEKVEECKRIDSILYGESEKGENETVDVKETRSDLSIEKGEIIDKLPADVIENVVKESEGLLTGSQNVHMKQLRSKKISVPHAGRILLIGDSLGNLPSHVQTRFTELPVSRENYLNSYGQILTLCLLEVFRSEISTENTTIPAQNRENENDFGIISNILSKLVETVVYNVEGIREIPKLMDIKLATPEKVCQVKQKRREKPKKQMGKIQKVPAGKADELIQKVRVWFEKEFNSYLQDGKSFQRLEWLADSLTAAIHKASVGDEGAVKKIEKRCPPLEMKEGEMSTQTTVTKSARNTSQKSGEKSKGARESLGKSYWQNRAKTYNRLIGKESKQCEIPIGVLEKFFTETTSVTNVPKEVLEAKSSKLPNVQVGEWIEGEFTQKELADALKKTKDTAPGVDGLKYHHLSWFDPDSRLLALLYNECRVHRRIPAHWKEAETILLYKGGDELKPDNWRPISLMPTIYKLYSSLWNRRIRSVNGVLSKCQRGFQEREGCNESIGILRTAIDVAKGKKRDLSVAWLDLTNAFGSVPHELIEHTLIRNGFPEVVVHVVKDMYKGASIRVKSKTEKSEQIQIKSGVKQGDPISPTLFNMCLENVIRRHLDTAAGHKCLNTKIKVLAFADDMAILSDSQDQLQRELTKLDRDCTPLNLIFKPAKCASLVLEKGKVNAAAVINLKGVPIRNMACSDTYKYLGIQTGVETRTSEMDLIESVVKEFELLVRDEELTLPQKLDCIKSFMLPKMTYMYGNSIPKLTELKYFAGETMKAVKSIHEIPHSGSPVEYCQLPISKGGLGVACPKITALITYLVSTMKKLWSDDEYIKRLYSDYLKEVVVAEMGRQDVTLSDMAAYLSNETPSKKKNFGYTTFTRIREVCRGLSSIKDAPLHKIKIVEQEGKLAILVQAIEDGPEAVYTESHMKKLQLLLKKEVNSGILHRFITQKPVKSQVVQVVLQHPQSNSFVRNGGQMSFSTHKIVHKARLNMLVCNANTWDPASTKQCRRCGEKETQMHILQVCSYNKSGLITERHNAVHNKVSELIEKGSKRNWELQDDLVIPGPSVKRPDIMLRSPDGKEIILADVTCPYEYLKGIQRAWDHKVEKYTKAYKYLEARGIKVTVLPIVVGSLGTWWKPTTNSLLQLGIDRKTINEWIPKLCAATAEYSKNIYWRHIKGDRYQSIPMKFGLDKPPGNSWKRGKRRKPPKPAKN >CRE16994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:55136:58507:1 gene:WBGene00071388 transcript:CRE16994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16994 MDANGQHYSDEESESAWPWPPPIVPIFRPAPSSNRKNREKTAHAQQEQEIKEEPVDEAPEPAMPLCEYSPRGTVAPPERSCPIRTGTPIKNKPVIPPLDGVSREPQVEDPLLAAQKLLDSQQKEIEELKKKLIQQEKELTAKFENELLNQKVKYLEEINSQKQQIIDLLQRQVNGQPTMNPPQLAAPAPSPNAPSLANSGRKTSLPPRENSLEPMEPAQKQRRQHAQEMEHQVKEGSSQSLSGLNQERDSEGTDRSVNPAPERNDVENYDVVDQPMEIEEVPDEAEQNEEHNSNNEQPVDNQEVNDLLHPREQPEQHIEENDEMGPRQNEGQELDANVDNDKEMDDEEPQIPPAGGLRRSTREKKPVFQITPKYTKKHITNQAQTSYERYKAFAGFGNGKKSKKYEKRADKCDPSGPLKKRLLMRFRRRHSTFFFENKRNPDQETKVAIAQQVKFDISQVPTLRMSEDAIRLLEMMFRGLLKEVKEKEEAAE >CRE16997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:70209:70458:1 gene:WBGene00071389 transcript:CRE16997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16997 MGKKSVEPEAEEEDLNVPAEKKMEILDPKSFEQDPSNLTLILYEEDHTIGNSIKHVLSRIQSYSLPY >CRE17019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:71525:72536:-1 gene:WBGene00071390 transcript:CRE17019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17019 MTTPFPLLRLPLLPLMQVCRCMDTVEVFAFSLLSKRTNTTAKRLKITRSIDVNVHENHVQLCFRDVQDILIYLDLENDQARADVKLQNRWSSWNNLPISTSELLNRTLNVFNCGFIHKLEFVDIPRYNVLPILATLPNIKEVTSYLDITNEIIYRTLKVISPNTSKVNLYPRAEYGNPEKLQEILIENLDVLIIAPLGGDIRLHLDDILITNASKAVYNRLRLSEQDLNRFLKLWNSGKFNTRMEVLQIVVKEDFNRDSVLNGLHAIELPETIERTFEFSRNQIFRKIIYGGHDIRRENGDLVTVDIHGLHYYNIYFWP >CRE16998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:74674:76095:1 gene:WBGene00071391 transcript:CRE16998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16998 MSASAFEYLTMRCVVQHLAPHIRFNLSLRCEAVKAVDKKVPMKISSMRLGSLEFKADFALYKISIVRHYFGSETPEHIIKQNSDGGVSFEVDHLGFRLAPEQIFPKWNEILYDGRANHRNQTVGMEADARTVDTWEQELKKLERDRNMQVKMPRTSERKEAIAGLERKIKNAKDKLLPYHLKRNGGEPPYTHFIRLTINERLGNEIITRYEHMDYSKPAHAAMLYLKDKLLKGRRSLHVNYLKIYSAGLIRISNQMKIKLHKISISSEPSNVLNAIERILDRDSFPLEAVEVFESGPFENDKIQSASLLTITGNAIDLNLTEITNTRVHVARHMLPIENVIELVDNWMVRGRDVGFHYSFTVGINRFEQADQLLEMLKEAGHFLISMPDELRETRFNEMLLYRMTETTELRVFCVMDPDNRNIFNFNLQVEADQYLEIEENN >CRE17020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:77535:78549:-1 gene:WBGene00071392 transcript:CRE17020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17020 MAFPLLRLPLLPLLRVCRCMDLDEVFAFSLLSKRTYITAEFVGITRTVVVNAKENHFELCFKDAQDILIYFDLENNQALTDVKLQNMWSWNNLPISTSELLNKTFDVFHCKLIDQVHLMDTPQYNMSLIIATLPNIKKVSSFLDITNEINSRALKALLPKTSRVTLYPEAEYNNPEKLQETLIENLDYIEIIAFGRDFRLNLDDVLITNATEFYFYGVSLREQDLNRLLKLWITGKFNTRMEHLRFALQEGFNRDNILNGLNAIELPRTTTRTFEFPTSQTISSKTIYGGHDIRRGDGDLVTVDILRPGTLYVYDIYFWS >CRE16999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:82797:85143:1 gene:WBGene00071393 transcript:CRE16999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16999 MPPKKINWRRVRAANARHATQTRVEAHKKQGGGCSGMIREVEPMPDQLGKLRDRGLSPTVMDQKKQQVRRQFLAMKLMDVNEYKRNHIRFLQNKKIIKEEREKYVQEQLLSYQTVTKTVVSDEAKNVCMNQEANKPASELKPSRKLNSHASTACENPTACKCNRIFNALYRKPPGISEERKHRKVDRSGLVDMDDHNHSDQRIIVECSDACGCSRTCLNRQAQQGKSKNLTVVHLKDERGCGLETAEPIRKGELIGEYVGEALVMEEVIGDDGETFYDTGRGTSYEASFRVMQGNIAINSRDIGFKIRSRFFLNLTFSRNVVRFLSHACEPNAAFVECHSRKSEGETLIPRIVVIALQDIAAGELVTISYYDPEDMKDRKGIRCRCKPACQNFLPCRYVDD >CRE17021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:86974:90436:-1 gene:WBGene00071394 transcript:CRE17021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17021 MWSQQSQSVNDRQSSGGTFKRGLISSEMGGPSAKKIAPVLEDSFKVTKTFKVTTNMLPLNVWSMKEVQRIKTETFIKVLSVHSLICSVLTNNVRLRQLAVMTLIPYLRPKLFPKQRDFVFDATTLYVPEGQYIGETVEEFKICKKQFENLPGFWKRSISRFLRNDDDGFLVRLTKDGYIPQGDKALEEEAHRSEFIRFLGILTSQKSNKEVYFHKGNLSYPVDSKQEQLDSTAYTRAGFAKSIFITRENAAVTMSINTQFCSFYRTLPILTLVSNMHKEFKNGVATNSIDEAEKCLRENSEFLRIVRKELIGLYVKATHLKEVIPYCSKPLRTYFSEFITLEGHSITVAEYFYKIYNITLQHPRMLLIIGNLFGKERVFPMEVLKVAQYQLKHSMSIKDKKKYNEAIELFSAPESYMRDVASVISDPLELNNSSLMKAFGIEYRFNAPVISQAKLLSCPDIRIGAEVKRLQLGSSEIPQTGSFEQPANIHQAAVISFDNILSKKKVRDLAVRLEEICLEKGMKTSSKFCAHEMSVEDYMGMDRSRMGWKNQKIGIVFGIVENEKSDVYDALKYYRITKVQTILLTKETVDKILNDNTETINKVTRKINMKCGGINFLINIPRTIDGKESALHKKLRTSVKYIGIKTYQSIDMKNHRMTTIGVSFNVSPSSRLSGHCYQEMHHSRKIQAIDSILLESLESYKKRSKQYPATIVLYRSICNNEDFSMVKKEVEEIRESFVGRNDAYSPSLVVLAVQEDALVRLFVPAADFKGPNTNVPPGTCIDTEIITYGYDEFILNSHTPTKGVSVPARYTILANDPSWTKNEIAHITWFMTFNNQVAYKPHAVVDVLHFAGKLAKRGTNILRFEQNITPSDSDAA >CRE14999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:111894:112433:1 gene:WBGene00071395 transcript:CRE14999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14999 MPWSSTYSRMLLGATAVAFPLTATVLKPEKSEKQELQEKIKVAKTMIETTMAIQGIPGLSIAVSVDGKMVWRSGFGYANLESSAKCTANSVMRIASISKPITATLAAQLVENGKLDLDEDIRVGFYKFFDLRSTETEENRVRN >CRE14997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:108140:110275:1 gene:WBGene00071396 transcript:CRE14997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sas-6 description:CRE-SAS-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NH99] MTSKLALFDQTLSASLLQPINSDHDYKYEKILFSLKFYQFFRAYKTKVQLKILEQRSESGGEKELKFELSRADDFEFLFAETLNNEKYQVLAREHDLTVDFDTFPKVIIQHLLCKNIVNNLDDDGGVDARKKPGYHSIIDHGRPTEINIILEKEKSQCVFEIFSKTPISKGKIFAMTLWAVRGDHLIAHLLKICSFQSAKLCTFNKTSDEVEVLRKKCEDLESENGKLEESLKEFEELSDRIRDLEDELALEKEEKGNVVALAEEKDLRISQLEEDVDSMNRELDENQEELDIVGKMLREEQGKVDQLQKRNSLHQKEIGKLRAENSLLQRNFEKADGLLKKNDLQQNQQSLDIRKLRELEADLKEKDSMVENLTGTIGLLRKELEDEKLKLKEVMDSFERLKVENEGVKERLSMYRTQRYSPAPAGLTVHTVGYKPVLGQNSPYANPNMRTPFRDATTTASNFQNMNITPLPHAARFNQLADDTTGSTMTNTPPVMRNPL >CRE15003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:102996:107771:-1 gene:WBGene00071397 transcript:CRE15003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15003 MKRIQSELFAPYRFMGVVTGDASPSIRSTFVGKKATLSVLCPIDNVIVQYNGKKLRAIGMSDPLNDKITAVASSSSSVFAAAGNTISSLKFCREVSDSIDIGAPVKMMTLIGTQLVAIDVSSGIHVIETENDTFQMSLLMEGSDNCEITSICHPSTYLNKIVVGSNEGRLRIINIRTGKVIHEFQRNFGSAITILEQTTALDVLAIGMANGEVLLFNVKLDKVLSTFRHDAKITNIAFRDDGEAAMVTADQNGTIAVWDLEKQELIGKITGTHTNEINCLHFLAGEPIMLSASHDNSLRLWIFDSADGMPRELIRLEGHSKPCASVKFVSKNEVLSAGKDGSVRKYDVTSLTMRQKLGSVAQQKKGALPPNGNTEVQNVAEMAFGWQREAAWNNVFCRQVNDTKVTTWQTRNNTHGEFNLEHDRFKKKVDFIDATATALCVSPCGNFVYIGYSTGHIDQFNAQSGRHVHSFTNTAPSKSKKKDSKRRAPKKSFIMSNGSLVNDTPAADSAITSLSVDQLGKELMSTDEEGHMVFWSIATKKITARMFKKDVKLGISAPCPANSLVAVVSIAENGAESVILVDTVCHRVARAFETVGKKVNAITFSSDGKWLLVADNESYIRVFDVATSQLIDVLLFSKPCISMSYSETGQYLATVHEGERAIYTWFNKLLYAIHVNVKAHEPDYLPTWEGNEGDEIVDIDDEDEDDSTVMDLNLKSLKELQIDENLVTFSGLPSSRWANLPDLAMIKERNKPTDAPKKIKQAPFFLSAAATLDGFEFETENMEEEMNGDSSDIMSKRNLLELESSFTKVLRNANTKENLLAAFKTLQEMSLSAIDFQIRDLNPQTLPIFFRMLLEVLKTKNNFELVQAYAATALKTHRSIVWNATDEPEHQELSEVLEEMAKYQRDSWNEMENLFVENMAVVQWIKNALL >CRE14996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:95493:97635:1 gene:WBGene00071399 transcript:CRE14996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14996 MFQDIKSLSLCVPISERIPDVEKPPFPLLKLPNVPLELVTKMMHSNEIIKLSICSYRLELFLNTHRYKIRGFHVHLGAGFIQFNMNESAVSNSTFFKNGNVLMPIEEIVRMEQFCKSETCEKNNYFQIQSFSPEACFKLYHRIRSLFSYPLIGWIFHCDQLEDKAIKRYLDTALSEEYYRFSFKERQLSKELLTEIMNKLPLTIRLEIDSGIPLDFKHHNAFKYPIIEYNEARWATLDDLKSVRNACYIELKSTNFDYFDLNQFLKYWVDCEENMTECLTIGMREGLHYDVATLTDGLITLNVPGVLDYYLKVKNTANRTLVLAHFWLDPLHRFLFDLFPIDCRPTQFALLEMLERRKELEEELMGLGTEGIRLEQSERRKTDIRNELERLDEEIIKKDTIGYVYNV >CRE14994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:77589:80609:1 gene:WBGene00071401 transcript:CRE14994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14994 MIPHLLLLTIYFSLSSSAPTNTTHKPPSQLHHFEINHPDGINAIFDLLPKVCKKNGKSYKVGEEFDVGNLRYTCQEFGVYVIAGCRTHTGKPLKLGDIEVIDHVKFHCLAHGTSVYYRETACGQKEEVDCDKVPLPRGYEQAMQNEHIETTEKPPTHLTHVNGKELPKGWVLIHGGTKESENSNDTLSTHILMYHPQLHHSAKHVN >CRE14993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:64429:76471:1 gene:WBGene00071402 transcript:CRE14993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14993 MLMEKNGKSYKVGEEFDVGNLRYTCQEFGVYVIAGCRTHTGKPLKLGDIEVIDHVKFHCLAHGTSVYYRETACGQKGEVDCDKVPLPRGYEQAVQNTNEKAVDKFIEATSDTNGLNENESLEEFIPIEIGSEPEFADEELAGPPPNVRNRFLTFSSSYYFRSSESKTKRCFIVFSTSFKLIETCHLHLFVSENDYLLGTMKSILLIWWLLVGNWIERSDGFLWTLFGGNNCNCCRCPSPPRFPPQPNFPTLIPQYQSSYAYSYSPHSIAPIVYAQSPTPTVPVYTVPLITTTASYRGPPAAAPTSQEQLYQERKYSTNQVAYHSESRPVTVSQSDYGNQAMSQLEDELAAEKYKYNLQKAAEKEREEKKQQQKIRVFKIKPHKSSRVNMGMVEWQASQVDTNEKAVDEFIEATSDTNGLNENESLEEFIPIEIGSEPEFADEEPAGPPPNVRNRISHDPVLVNSVNRKRFIL >CRE14992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:49481:59825:1 gene:WBGene00071404 transcript:CRE14992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14992 MNYIKPTTQSNQSTTWKLQRHRQQNETNWKTESRPVTVSQSDYGNQAMSQLEDELAAEKYKYNLQKAAEREREEKKQQQKIRVFKIKPHKSSRVNMGMVEWQASQVAKYTNEKAVDKFIEATSDTNGLNENESLEEFIPIEIGSEPEFADEEPAGPPPNVRNRKGFSSSPGSYQTIARCCDGEKKGALFGVAATDVQPDLYYTRRMDQICSYCEGLYFKAKFDYQMGKVGVRSVKFGNIDCSQLDQTHVGTIRVYVIAGCRTHTGKPLKLGDIEVIDHVKFHCLAHGTSVYYRETACGQKGEVDCDKVPLPRGYEQAVQSEHIETTEKPPTHLTHVNGKELPKGWVLIHGGTKESENSNDTLSTHILMYHPHLHHSAKHAN >CRE14991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:45724:47857:1 gene:WBGene00071405 transcript:CRE14991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14991 MIPLFLLLTISFSSSVPTNTTHKPPSQLHHFQINHPDGINAIFDLLPKVCKKNGKSYKVGEEFDVGNLRYTCQEFGVYVIAGCRTHTGKPLKLGDIEVIDHVKFHCLAHGTSVYYRETACGQKGEVDCDKVPLPRGYEQAVQSEHIETTEKPPTHLTHVNGKELPKGWVLIHGGTKESENSNDTLSTHILMYHPQLHHSAKHVN >CRE14990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:40252:42477:1 gene:WBGene00071406 transcript:CRE14990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14990 MRSILLIWWLLVGNWIERSDGFLWTLFGGNNCNCCRCPSPPRFQPQPNFPTLIPQYQSSYAYSYSPHPIAPIVYAQSPTPTVPVYTVPLITTTASYRGPPAAAPSSQEQLYQERKYSTNQVAYHSESRPVTISQSDYGNQAMSQLEDELAAEKYKYNLQKAAEKEREEKKQQQKIRVFKIKPHKSSRVNMGMVEWQASQVAKYTNEKAIDKFIEATSDTNGLNENESLEEFIPIEIGSEPEFADEEPAGPPPNVRNRVSRGLF >CRE24324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1116:7487:11622:1 gene:WBGene00071408 transcript:CRE24324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24324 MLLFHCLFISFFTFSIMFCLNCSFPACKSWMSSSSSTSNKIKEINVIVVGVSGSETVKGPSGVGKSLLCNRFVRPAADEFHREHSSVLSQIDFCGSPVINKDHWLYWGSRLLNCPEGSTPSVVIRVAEQTEFLDDETFETIAGCSKSENYSQRCSRTSLQSRDKLMYIQKEQLGLESEFPQHLLPDGKFNVDGFILACDVSKDSPLHSNHVLNIAKTLSKTKKPILIALTKCDEISEDSKRHYMNLFLTTKELKHVLCNLPPVETSSVKNVNVEYLFGTMALLCLRSQKLVKKPLGYQEASLFVEQRNLHVKCCFSTLLSQAVPLCVYPKKCLSWKQVLADIDRHPDLMNFVTVFGSRVAFEMYERYVSEAKELWAMNRLRSMVPRLFDIFQVFLDVVDLTEMEWNMARDYMRCHPLFNALFESNGALELFRKLKTEH >CRE21063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1154:5728:9905:1 gene:WBGene00071409 transcript:CRE21063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21063 MIESVTGEMFAVLLMDTQGTFDNNSTYQQCMTVFALSTIVSSVQIYNVVDNIQEDALQHLSLFVEYGRMAMEQPHNFGKPFQQLVFCVRDFKNQEEYEFGENGGTDFLDNILQTNPEQPEEIKAVRELLREYFEDIQCYLLPHPGYKVAERQSFRGHVKDLRPLFREELKKMVPNLLGPHNLKPKIVNGKTVTCRKMIQYFKEYAASFDGETLPQPQSILNANAKLICIEAAHEAKVNYCRGMDRSTYGTRMMSEKKLLEAHIKHGITALNIYDKCPKIGSKEVRSLLLEKLQEDINAELERYKRLNEAKRVTGCASAMLACGDSVFLGIGLGSAASGAIAGAVLTLQAGVVSLGIVAIPISLTTLFFIWAYVWTKPCFEKITNKNK >CRE03461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:13465:17661:1 gene:WBGene00071410 transcript:CRE03461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03461 MADSPTQHGDQDTQAAILKLTEQVMNLMTIFTQNQTPPAAASSTTVASTFDSSKLMDTISSRIPMFTYVPEEEKTFEGWFNRYEEIVTKDGAQLADDTKTRVILAKLSPTDYAHYTNRILPKVPNELSFNETIGVLKTTFKSTTSVFRKRQEFLRSEYSGGSLEEYTGSILRGYTSSEFKKMSDDQLCCLIWINGMKDSSYQDIRTRALQVLEQKPRITLLEMEAEVKRVLDIRADSKAVAPSNQSPEVQAVQKKPQNQHNQNKTEKQPPSPCYRCGGNHWSKDCKYQTATCRTCNKTGHLAKSCRSKPRDHPKVSHKVKTVFVGVAATHGSTRIYKSVTINGKPIKMLLDTGADVTLVNLTDWKRLGRPKLEPPSIRVRAANNQVITVKGSFNCNFELNGVRATGTAHVTETNTLLGIDWVAQDQTFWKLLHDAPTINSASTSSGSACSYLDGLRDGLKVDLSSEYPDVFQSGLGLCKKMRAKLKLKPNAKPVFRKSRPVPYASLEALSNEIDRLEATGVLKSLDHSDWAAPVVAVTKKNGSIRLCSDFSTGLNDAIEAHQHPLPTADDIFAKLNGGKFFSQIDLADAYLQIEVDDDSKKLLVINTHKGLFHYNKLPFGVKAAPGIFQQVMDTMLAGLDGVACYLDDIIVTGCSIEEHNQRVKKVIERIASFGFRMRLEKCSFLMPEIQFLGFVINEQGRKPDPQKIADIKAMPAPKNAIEVRSFLGLIQFYGTFVRDLHRLRPPLDKLTNKDVEFKWDTECQHAFDQVKEMLQSDLLLTHYNPKVPIIVAADASQYGIGATISHRFPDGKEKAIYHVSKALNKAQRNYSQIEKEAFGLVTAVTKFHKFVHGRRFTLRTDHKPLLSIFGEKKGVPIYTANRLQRWATILMNYNFSIEYINTKDFGQVDALSRLISDQMQQREETEEVVIAHIEGDIICSLDRVCDQLPVTVDIIRSETHRDKLLMKVMECIRSGKWPVLDKAAPIWLFQQRQTELSIVQECVMIGERIVLPTSLKTKVLLMLHRGHPGIVRMKKLARSYVYWPAMDKDIENLVKCCDPCAGAAKNPVKDVLHSWPCSTKPWNRVHADYCGPLQGIYYLVIVDSYSKWPEVYATKSITTSATIHIFRQVFAQFGNPEILVTDNGSQFSSKQLEQFCKLNGITHVRSPPFHPQSNGQAERFVDTLKRALQKLRGEGNSDSAVTTFLQTYRATPCAASPNGLSPAENFLNRKLRTELDLLLPMDPNVGDRNRKMEEQFNNQHGARPRHFVVNQKVYVKDYRSPKATWIPGIIIRKLGGTIYDVRADDKTWRRHANQLRIRSSATACQEAADLLEMPIRFTQEPITEPVMPPSPTTPPLPTNPIVPSQPASPSSPPLRRSSRNVHPPKRLCMDPKKKSYRR >CRE03475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:24178:26703:-1 gene:WBGene00071411 transcript:CRE03475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03475 MPRSKCAYCLDIRKQSDMTRVPRNNESLQIWFRTLGIDFQQRVLGATSRLFICREHVPKAAPRRSRYGIPYETRGSQEENLSEAEAPVYLNFEDDMLFDDDFVVVNEEVLEDKNDFEYIPTEDEKKEQEDVDLEEKDVKLIDYIIVDHSILLNAILYCRNCHSSDVGFDKERVSGANISMNLTCNSCQCTWNWCSSQRMIDGKSFMVNRDISTACSVTGMSYHKFSTCCETLRIPILTESEHCKTIRNHVAPLATEEYDKLQKEVLEIVVEEAEKRGSLDLSGDAQYDSPGFSALNCRYALIDVATNLVVDVEHVKKSSQNESSKSLEPKSLDLSLDRFVKTLANATLNVTVSSITTDRDPAVGKLLAKKYPNIKQMYDGWHFARNLQKILWKKQDQVQMKPAKRWIEPLRNHLYWSIGTSGGNGKLAVEKFLSFFYHTQNIHDNFKEIGGYQFSTVFHCDHGNINGSGYFDIANPHHKKAWELLLDMATEKKRLKDLEHVSPFFSTSQVESFNNVALTYHPKNTFFRAKSFSLRVKLSVIHWNNLKLEERMGIRQVAGKKSHFNKSLKKVTHRKFLSPGSFDWRRRILELAREHRAETNKEEEEEEEDDEQEEICIDFLNSYNADLRVWEKRDDCDFSDEFDDSYDEGTDNAT >CRE03464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:27619:35063:1 gene:WBGene00071412 transcript:CRE03464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03464 MRHNLHESPRPLCYYLRQSETEITPSNQNSSQLNSSDSESDEDYDSETPDDSEKEEDKTSTPESNTQENFSLLSLYDNYEETDDTVAINHIVETIQNKTFNPTKLTSSSQDIRLPFTLLSTNEDGKILALVDTGAAISLISDRTAKRLGLKTVQNIQLSLAGVTGSSNSPCNIYQITFVGDSIEFNTYVAGIPNLPSTKYRKPNFSTADKQTLRNMKINYKHVTPNEDYDNTRMDMILGNDILPHFIRGSQRICLPSGKYIELGPFASMTFPNAKHCPVMDQNMIPTGVSTLEDSHPPSINVLMSQKYGTDSDDELTNLILQLWQTENCGIESATMLESEYLTQEYLLQLFEKEIVIGEDGLLYVALPWNGKQDRMGNNKSLAYRRLTCLIEKLRRNPELLKAYNRIIEEQLEAGIIERVTPEMKNQGPEYFAPQNAVFKENSTNTKVRIVGDSSSKQRDTLSLNDCLYEEPNMLKTAPGILLRHREKKYPAVGDIARAFHQIRLQEKDRNATKWLWIKDINKPPSGDNLVEFRFTRIPFGMKCSPFLLAATIRHYLLLAATILSKEIEQNLYVDNLMITTNNPDEVLPKVLAVQKQFREMGMHVREIATNHYPTMQEIPEADRAESNKVKFLGYIWNLETDTINILIPEPPEKKMTKRDVASFLAKLYDPMGYTAPLQVQIKRFVQLIWNDGLDWKESLSDKLNIEWRKIKELYKHRTIEIPRQLRSNYFPNQKPEMAVFCDASKHTYGNAVYLLYRMENGTTEATLIGAKSKVRPSSGAEWTIPRLETLAVEIGMKHSQSLIKELSDDEKPSKLDVFSDSTIALSWILTKEQIKQWVHNRVNSVNMMEAELKEMNIEVSFHHVASDQNPADLATRGMNTTDLQNSTLWFTGPALLKKDRSTWETQLEGELQYSSDAEEMFEKELKPKSKNTSIRRKKKEKMNKVAQNIIMTETEIFTVSIQEQKSTNVTTNYQSFVPYAYTNSLSSLTNITNAILKFITKSLTTKTPENPLLREYKDCDNIVNTTEREVQRRRIARLAIFQEHYKEAKSRNWRFKDNLKPFQSQDGLWRTQRHFSSPNIPMEANQPILVHSEHKLATLLAQKIHLQNAHLPTQYLQMAIRTKYWIRSDGRIARTVISKCVACKKVKGLPFHYPYTSTLNKNRTMPSTPFSKVGLDYFGPLKYKLSQFDIQEKAYVLIYTWLTTRCTHLELCADSSTRSYIGALKAIFGQRGVPNYLYSDNAQAFILGQNILEKDMQDFSADPEMICFLARQDISFKHITPLSPWMGGIYERVVGIAKSQFRKVLGKLTYTFPELHYTLKRVEGVINSRPLIRNPSEKDDVPVLRPIDFILPSVLLDVPNDTKQLSLKTYIISL >CRE03465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:40108:42167:1 gene:WBGene00071413 transcript:CRE03465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03465 MPKDTSLLSRETRFDKQTFHRLRKRRHPNDPHAVLFLRLHRQRQSFLLKQNFASVFDQLNQLHSVRYFDGSNNVEKAVVMKPLGDCKFVSAMYGHAGQNSKTPCYVCNLAWSTHGSDTASLENFDFEFSGEIRTLSDLKKTGVPLLDVDPLNAGPPGVHTILGICQYYCIDWLIAMAINFDTGSSSPANLKQLKKDLKKLVLETEETTNLVDSLESSLERINDAVTTIQKNCKTTKPKQKNSSHCTSSFCIVGSSKKSSFRDSSIFQCTSCKAAVHDVCAFYITEEQRLLMDQSNAVCLDCRHGMIPSIPDRLSLALEIQKSVNEQLLQAQDILEVADNERLKLEQHLKGSRIRSEVSTRQLLEAALRSIGCDSRIWYQDLTGNQARKFLRHSSIDKVLAVFTSNSRRAPNASEKNKEE >CRE03476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:42671:43779:-1 gene:WBGene00071414 transcript:CRE03476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03476 MEVPQPFPILKLPFVALWNVIRSMGMKETIDLSFTSKKLVAIIKSIPIADPEITLEIRPDEQPSFLPEETFQPLTMTEKFQKRKKSMDGKLDRKKMSIEYVEYICALFRNEISTLLINVNTSSLVLTSLLEWMNDRQPVLTSCLIYGDCISKETINTFFEKREFSIRQLCFKLKPSPETKPFNFKIFELEEFVVQIMDITNSTPNWITVETVLTSNCIVMCIGVSPFTGTDLNRIIKGWINGNNPRMEYFRVVVKRFNLQTLLNGIEFEKRETTVKRMYIAENKSYKIQRPIKKRTISGGYDIRRSDGTVATFQVLHRPQNPSALWEFVMVVWKKTVDS >CRE03466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:44311:45457:1 gene:WBGene00071415 transcript:CRE03466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03466 MEVSQPFPILKLPFVALWNVIKSMERKELIDLSFTSKRLVTIIKSIPIPNSDIEIGITNLQSSFFPQQNIQPLIMTEDLQERIKHLGGKFDFNESTGKEIIQFEYSKAFIDYICALYRSEIFAVQIDVRIPIPLLTSVLEWMNDRQPVLTSCLIYGDCKDNETIDRFFQKRILPIRQLHLNLKLSPEAKTFNFGILEMEEFTARHRDINTLNPIPNWITVETVLTSNCIIMCIGASPFTVADLKRIIKGWINGNNPRMEYFHVEVKKFNLRSLLKGIEYEKRETTFERMYIPKNKSYKIQRPVTKRTISGGYDIRRSDGTVATFQVLSRPQNPTALWKFVMVIWKKTVDS >CRE03467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:46020:47149:1 gene:WBGene00071416 transcript:CRE03467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03467 MEIAKPFPILKLPFLVLIDIVKNLKKLEIIELSLASKKCRLIIKSIKIPNPGVDFKLVTSTELSQTANDGRVPCSQQQFNYLTNYLKLRTYDIDGADITVEFERAWAEYVCDLFGNNISSLIFDSNISDLDLASLSKWINKRQSTSKMRLCRLYGDCSDSDSISLFFGARTFSIYHLSIQLKFSSKVTPINFGTLDMDEFVAQDEDIKHIPNWITVESVLTSNCVRIWIELCPFTGTDLNQIIKGWINGNNPRLEILHLAIKPFDLDQFAEGIEYEQREFDLLRFYSWNSDGMFRREVTIRKGFDIVGHDGTVATFKIENRRWNDERLWDFTLVVWKNGC >CRE03468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:50417:51663:1 gene:WBGene00071417 transcript:CRE03468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03468 MNHPNMEVPQPFPILKLPFVALWNVIRNMGIKETVDLSFTSKRLVTIIKSIPLADPEIILVARPDEQPSFFPEEGLTTTEDVQKMIEYMGGKQGTEMGSEHSNVFTEYICALYRKDIFALQINVNTSVPVLTSCLEWMNDRQADLNSCLISGDCNCNEMIDQFFKKREFSIRQLCLHLKLSPEIKPFNFRILETEEFVVRTMDVTDPIPNWITVDSVLTSNCIIMYIGASPFTGTDLNRIVKGWINGNNPRMEFFYVKTVDS >CRE01458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig840:2023:3663:1 gene:WBGene00071418 transcript:CRE01458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01458 MYDVEIVYRPGKENPLADALSRQRVENEGKVVTFIEGTNEIEKTTNLKNIQDRSKAIQHIKRKLLQEDEDIESMKLQDKFMVINDIVYGIPRKEGQLPPVIIEGGNRETETLIRAIHKANSHIGAGKMIAKLENIAIWNKMKSEIEKVISTCEECQRRKNPSAYCHVLPMGKWEIPNRPFQRIHIDVMGPLSETIHGKKLIIVATDAFSKFAIAKATANQTAETTLKFLLENIVSIHGIPEEIVTDQGTNFMSKMFEEVCRILEVKHPISTAYHHETNGAVERLNRTLEEMLTLSTSNPINYDNWDEKLPLVIQSYNAGYHSSVKYSPEYIVFGRMTVSPTDIMIKTLRPIYRDEEDMVENLSESIRQCHEAVYGELENSLRNAKKAHDKIRKVRVPIFEVGEKVVIQNPTAKKLMYQFSPPVTIVSLTASTITIRTDRGKVETVHKNRVKKFNEARPEREDSDDDLGSETSATEGSIGSMAPSMHQNKYGSFDEEIGQHDWLEKEVNGWRGRDRASTGDGQQHPGQLRRSRRLQNLPAELHYEIN >CRE31176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:101:6515:1 gene:WBGene00071419 transcript:CRE31176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31176 MEKDLMKDSVEVPCDEVTLGIYRDTEGDWKSANGIPVIRNIPISFTRKAEKINLTLSAPPVFSKLENIDNPFAYLATWTVNLMKLKETQRELMLNLQSEGLSSQTVEDMLSRGAEGFRGLAGIIQDSLDKGASFIENEVMGTVKKVVVPIISIAFLAIAVIIAFKIYFVRKAAGIAVSELVKITRKAPPTIQQMIRRWKPEVHNIMMNDDPIDLDVMSIERSDSIVTMPMIATILTKGGEELTPKIKVKIQQAYIQAMVDTGASISLISEKWLKRMGYNYKAHNTRQVAGTANGTLLNLIGSLRVPMKIGPFRIMTNFSVVENWKIPYKCILGMNVVSSLNKRNLLIAFDTQNKCLRVGNVSIPFLENGNRMENTPERQGDNESNLDEDEMAWTHKSLGEVQRKSEKIVKIRNLFRENKATERMKNMFYLIGDVVALLANNGPNRKKRSQPQRMVPTAKNGPKILGDPNFRLGPLFANRADVVYRIPRHKRQTPPVLLESGEDAKQLIRDLHFCEDSDGFKTVMERLQDIAVWKGMRQDVAEVLHTCRNCWRRKASQQRPYLNSIMTVSGRTHLPFVPVHLEGVPVVALLDSGASVSLIPERILKLLKLEGKVKRTTCSAKVANGTELKFLGKVTTIITVGKTNVSHELLITENEGAPAPCLLGVDFINALNRRGKLLTFNTTERKVKIGDTSVKLLDPNQNGHENIMKISVTCAEEEIIPPRCQAIIAGEMPGVTIKNKEFIITDTDRETDEIYSISSTLTKMDSEGKVVVKITNPGNGNLVLRKGEKIAEAEVWSGITKTSPEINSVKMEDQNIEVLLSKVDLEKSSLSASAKKRVRQMIRKYSQAFVGIDGRIGRFKGKTKHYIELNDNHRIPQCRPYRVSPQQREKLGKELKFMKDNGLIEESTSPYTSPLLSIPKANGEIRIVIDYRRLNLITRSRTYIMPNTIDVTEEASRGKLFSVFDIAQGFHTIPMHEAHKERTAFCCHMGVFQYRYMPMGLKGAPDTFQRAMAEVEKQFTGTMILNVDDLIVVSRDEEEHLRNLEEFFQLMINMGLKLKAEKSQIGRTKISFLGFVIENNTIQPSGEKTEAIRKFPTPTTLSEVKSFLGMSGYFRRFIKDYAIIVKPLTTLTQKDVEFNWGEEQEKAFEEVKQRLISPPILTTPRMDGDFEMHTDASKIGIAAVLLQKQDDELKVIAYASRPTTPVEQRYAAIESEALAITWGLTHYRPYIFGKKVKVVTDHQPLKSLLHRKEKEMSGRLLRHQAIIQMYDVEIVYRPGKENPLADALSRQRVENEGKVVTFIEGTNEIEKTTNLKNIQDRSKAIQHIKRKLLQEDEDIESMKLQDKFMVINDIVYGIPRKEGQLPPVIIEGGNRETETLIRAIHKANSHIGAGKMIAKLENIAIWNKMKSEIEKVISTCEECQRRKNPSAYCHVLPMGKWEIPNRPFQRIHIDVMGPLSETIHGKKLIIVATDAFSKFAIAKATANQTAETTLKFLLENIVSIHGIPEEIVTDQGTNFMSKMFEEVCRILKVKHSISTAYHHETNGAVERLNRTLEEMLTLSTSNPINYDNWDEKLPLVIQSYNAGYHSSVKYSPEYIVFGRMTVSPTDIMIKTLRPIYRDEEDMVENLSESIRQCHEAVYGELENSLRNAKKAHDKIRKVRVPIFEVGEKVVIQNPTAKKLMYQFSPPVTIVSLTASTITIRTDRGKVETVHKNRVKKFNEARPERDDSDDDLGSETSATKGSIGSMAPSMHQNKYGSFDEEIGQHDWLEKEVNGWRGRDRASTGDGQQHPGQLRRSRRLQNLPAELHYEIN >CRE03469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:59976:61162:1 gene:WBGene00071420 transcript:CRE03469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03469 MSRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKALVSLVNWNQPDIHLNFIGDSQICLKFPNDPGLEWILDFESEFDDELNHTSRAIDGNQFPSSISSALHGPKVFHYLVFPNDEHFETMRKMVEHVSKIFRTPITSFGIHQQSDPSTMSIVRWFSTLQSSVVDVRIKNEVSISVPTLLFILDNIKMTDHFCLNLEVSTPDFEYHKAIDIPTLILSHSHWITLKSILNSSSRVLILDESNLTLHDMNTLLKCWLKRSNQQLEYISIRRSIKKMEENAFRIITKDLEVREHVEDGKRPMQIMFHRKVTFPLSNVLCYDIERDDGTIGTFHQTYFNRSDDSNSDGHFKSHYFYFHVWNNKI >CRE03470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:63223:64425:1 gene:WBGene00071421 transcript:CRE03470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03470 MSRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKALVSLVNWNQPDIHLNFIGDSQICLKFPNDPGLEWILDFESEFDDELNHTSRAIDGNQFPSSISSALHGPKVFHYLVFPNDEHFETMRKMVEHVSMIFRTPITNFGIHQQNDPSTMSIVRWFSTLQPSVVDLHIDYEVTTSVPTLLFILDNIKMTDHFSFNLEVSTPDFKYIKPIDVPTLILSLCHWINLKFILNSTCRVMIMGAPSLTLHDINSFLKHWLEGSNPQLEYIAIDRCIKATTMEENVEEAFQIITKDLDVRENVVDGRKPMQIVLHKKATYQLSNVLCYDIERDDGTIGTFHQTYYNRSDDSNSDEHIKVHYFYLHVWNNKI >CRE03478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:66540:70972:-1 gene:WBGene00071422 transcript:CRE03478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03478 MAKKEDLLLLGLSEAKAAETLKNVKLTETIGSIIASAKESGELNKQKGNLLYQLATKLKPQVAPSGPLVVKYIMNDGIKTEPQLSAAIEYLLSHTVKGIEVPAFEKSCGVGVVVTIDDIENAVTKVINEHREKIVAERYSFPAGKLLGELRALLPWADGAITKKEVDLRFLELLGPKTAEDLAPKKKEKKVEAPKVQKTKEIGEKSRELNVIKDPSRPDNEELNSEGAETMDELLRTRAHFHKVGENFKTDGYVTTPKTAELLKAHVAAVGGKVVTRFPPEPNGVLHIGHAKAININFGYAKAMGGLCNLRFDDTNPEKEEEKFFTAIEDIVNWLGYNPARVTHSSDNFQQLYLWAVKLIQKGLAYVCHQKVEEMRGFEVQMSPWRDRSIEDNLQLFEDMKHGKYDEGEATLRLKLTLEEGKVDPVAYRIKYVPHHRTGNQWCIYPTYDYTHCLCDSIENITHSLCTKEFQSRRSSYYWLCNALDIYCPVQWEYGRLNVNYTVVSKRKILKLITTKTVNDWDDPRLFTLTALRRRGIPSEAINRFVAKLGLTMSQMVIDPHVLDATVRDYLNVHAPRTMAVLDGLKLTIENFDDLNLPSTADVPDFPSDPSDPRKHSVSVDREIFIEKSDYKPDDSDKSFRRLTPKQAVGLKHIGLVLRFVKEVKDAAGHVEEVVVKAEKLTEGEKPKAFIHWVAKPVSAEVRLYERLFKSKNPEDAQALPGGFLTDINSDSLTVLYNALIDQSIAKSKVYDRFQFERIGFFCVDKDSTSAKLVFNRTVVLKDGGASGKN >CRE03472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:72051:82172:1 gene:WBGene00071424 transcript:CRE03472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03472 MSSDQRCFIFATFFSKRVFFPLKFLSIFRMTDENHVRLTEVQLIESEFGYPLEDCYKLAVQYYKKEHVGKQEPVPYEDRIKLLSLSKQIQHGPITDEFDNAGWLDITGNDVNKAWRELGSLSRDEAMASFVFLVDRVCPPFKGFISDKKAIKDAELKEFAPQVTEQSAQPPSLQQVDQRLFEDQRKQIQDALNAQTFHQFSAYAQEQFPGQPEQQTTLIRQLQEQHYQQYMSQVYAQQSPNPNGELSAEEAHQQAIRRDDDSDVSDDEAGEDLPSNPAISPASLWNRQDINEFKANIKKDGTEGIIKVGHGETVTVRVPTHENGSCLFWEFATDHYDIGFGVYFEWTVADSNQVSVHVSESDDEEDYDEAIEAEQAEGGAPGGAPGDVESGAAMQSRRVDPNKPRQDEIIPVYRRDCHEEVYAGSHRYPGRGIYLLKFDNSYSLWRSKTLYYRVYYSK >CRE03473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:83425:84063:1 gene:WBGene00071425 transcript:CRE03473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03473 MAVLDGLKLTIENFDDLNLPSTADVPDFPSDPSDPRKHSVSVDREIFIEKSDYKPDDSDKSFRRLTPKQAVGLKHIGLVLRFVKEVKDAAGHVEEVVVKAEKLTEGEKPKAFIHWVAKPVSAEVRLYERLFKSKNPEDAQALPGGFLTDINSDSLTVLYNALIDQSIAKSKVYDRFQFERIGFFCVDKDSTSAKLVFNRTVVLKDGGASGKN >CRE11623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2017:3858:4639:-1 gene:WBGene00071426 transcript:CRE11623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11623 MRKMAEHVSAIFRTPIARFEINQQSDQLTMSIVKWLSTLQPSVVDLDIDTTDDITAPTLLFIMDNIKVTDHFSLDSKVISSDFEYHKAIDIPSVIFCHSQWITLQSILNSRSRVLVLGESNLTFWDINNFLKHWLNGSNPKLEYISIRRSMKGKAIEEDIEEAFQIITKDLEVREHEENEKRPMRIAISLHRPSSYSPPNDWCYDIVRDDGTIGTFHQTYFNRSDVPDFKFHYFYLHVWNKKV >CRE03483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:94087:95275:-1 gene:WBGene00071427 transcript:CRE03483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03483 MSRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKTLVSLVNWNHPDIFANFYENSKLCLKFPNDPGLQWILDFRVELDDELDHNTRVIDGNLFPSYIDSALHGPKAFHYLTFPNDDNFETMQKMAEHVSAIFRTPIASLSTHRLNNQLTMSIVKWFSTLQSSVVDLNIDTTDDITAPTLLFILDNIKVTDHFDLDLKMNTPDFEYHKGIDVPSVMLSHTHWITLNSILNSSNRVLVLDESNLTLHDMNTLLKCWLKGSNPQLEYCSIRRSMKGKAIEDDIDEAFQIITKDLEVREHVVNEKRPMQIVVYRKLTYSLPNDLCYDIVRDDGTIGTFHQTYYSRSDESDLVLHYFYLHVWNKKI >CRE03484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:96572:100396:-1 gene:WBGene00071428 transcript:CRE03484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03484 MTYSKNDQNKEKSDRNSDFPPKDCRKINLKHVNRTAFLQWPEWCEVFHVQLKLRNIDLERADFRKLRKINGSVELINTGYSRMPQTPCLSEIETNGSYPGVVILNNKRLRDIRGFINWERHFRIQGYSQFPVFISGNEQLDTVNMPSIIHHQFSPISCEKRLTPNYSIQYAEAMSLSFVLISLIISATVFLYPLSLGSANIYFVPGYHKS >CRE20963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:11650:13894:1 gene:WBGene00071430 transcript:CRE20963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20963 MRNTIENELLSFRFDQKVVERILKSKYDIELKARIFFVTSTPVTWPDFLEELGKIATYELDSHYRLIRYVSKVDGVTKFQGEHRKWNGRGRAVQMGGDVPTEPNRDDGEVFGVEEEVEDPEIIEPPRVPVSHPQMAPQQYVTPWMRGPAYQFVVPGSQMYYVSQPGNPESSTPEEDERRSKRQIQLPVNNEPGPSTSNIREPPEMEPSPKKMRKSADPIFEERKVEDLIILEPPINITPSKIKSHLEVCLDEICTDKTSESDDTINLNTMLNDLNQMARHLGDDLSMKIVPLVSMYLEETKESDTVSKKGAKQAIENMINRLKKCAKSS >CRE20964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:26400:27581:1 gene:WBGene00071431 transcript:CRE20964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20964 MSMAFLEKALQQIPWQCSIFYMQNHVLPGKSSEEVSGGLMAPSSSRRPSSAHGQGEEEELRMRTSSSGEQTRNRRHRLSNEMRSMTLDDSR >CRE20965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:38133:40062:1 gene:WBGene00071432 transcript:CRE20965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20965 MYPKLETYFFFRFDQKVVERILKSKYDIELKARIFFVTSTPVTWPDFLEELGKIATYELDSHYRLIRYVSKVDGVTKFQGEHRKWNGRGRAVQMGGDVPTEPNRDDGEVFGVEEEVEDPEIIEPPRVPVSHPQMAPQQYVTPWMRGPAYQFVVPGSQMYYVSQPGRVNPESSTPEEDERRTKRQIPQNNEPGPSTSIVREPPEKEPAPKKMRKSADPIFEERKVEDLIIIESPIVNQPLVSRNHGSSFMDTSSLINHYSKFLREIYFFHGEVSGSFNREIKELYTAVENQNHGMNITPSKIKSHLEVCLDEICTDKTSESDDTLNLTTILNDLDQMARHLGDDLSMKIVPLVSMYLEEAKESETVSRKGSKEAIENMINRLKKCAKSS >CRE20967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:57116:60123:1 gene:WBGene00071433 transcript:CRE20967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20967 MSDSDHLFDGFVGYVAEVSINSESPITKYSLSRSFKDLCKLNINDRFFCNKFDQKVVDRILKSKYDIELKARIFFVTSTPVTWPDFLEELGKIATYELDSHYRLIRYVSKVDGVTKFKGEHRKWNGRGRAVQMDSDMPTEPNRDDGEVFGVEEEVEDPEIIEPPRVPVTQPQMPPPQYMTPWMRGPAYQFVVPGSQMYYVSQPGRVNPESSTPEDDEGRSKRQLPVNNEPGPSTSNIREPPEMEPSPKKMRKSADPIFEERKVEDLIILEPPIVNQPLISRNPGSSFMDTSSLINHYSKFLREMYFFHGEVSGSFNREIKELYTAVENQNHGMNITPSKIKSHLEVCLDEICADKMTESDDKVNLNTMLNDLNQMARHLGDDLSMKIVPLVSLYLEETKESETVSKKGAKKAIENMINRLKMRAKSS >CRE20968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:74667:79865:1 gene:WBGene00071434 transcript:CRE20968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-5 description:CRE-NHR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NFQ5] MASGQNANRTADNNVITLNDSDEETEDSNPLSTSSSSASLNLCRVCGAEKAAHHYGALSCVGCKGFFRRALLKADQLECAANGECTVSVLQKIQCRSCRFNKCLREGMNPAFVRPNRDAPPKPRKPATTVASCDLTDRGRTTKTREEWMKKMTVEMRTILMTLLNIETKVMKGDTQQEASKLYPLKGIDKLRDIVETPISLKGKRTEMRYEAYRMAGNDELCAIAYRRLIAAIDWVESLSPLLGHLTVDDKVKKRVVLQTTPNFQIALIKSSFAPLMVFNFCARTAEACQDENVLCLCNFAYVPRNISKLYEDTYHLGNGLVERALNELVAVYREYGMREEEIVCVNAMICLNPLAKDVSDSLFEKIVELRNRIADCLFSIVKEVRLSPTPNVCYGHILLSLATVTELANAMSENLQFAQTFSNQGEIPLLTDLFGCFTVEPFFKEVDELAALSLEKALTEKKEISTQTDRVPPPRALLKRQATIDEESEEPARQNFRLLQPPNNFYITEMLDDLRNNHAENHLMGLNYDASTIQNGVSSNGVAHPPTVPTVPPVAARPVYDQQPSCSNQNPSTFYNFPPPPGYPPLNAGYTPNINYPQLYQQPQYYNFPAQNVDQTYPYSQDIPPPQPYFYNQNPQNYPHHHNNFQNQYAS >CRE20969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:83116:85641:1 gene:WBGene00071435 transcript:CRE20969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20969 MAPSRNKIARIERAKQASLFKAAKNHEIEHEAEKETMFPKLKKEVFYLKKEVEELKGNLELANKKLQDAEIEIQHIKSEKCVILAEKNHEKEQLLSSFREKEKEGTYLQSRADQLQKRVDTLVEESPSRGKCLKQYNLIRTNETKKDRYERIIKMISSFVGPLNVDAFLYDFLKMADEDEDLKFTLKLSPWNSFFTVVKHQLSDGFLKDFKQFTKQHLHIDIFASRHQIEEVKKTFATSKYYTFERQNVMKPSRSGKQIMAETALVKANDVHELLSKRLEMLSRHERLRFDDGTKDSIVIGVGGDKGSDTTKLVIVLENVDIPNDPHAVLLLGLYTGNDSHSLLKQNFASVFDQLNQLHSVRYFDGSNNVEKAVVMKPLGDCKFVSAMYGHAGQNSKTPCYVCNLAWSTHGSDTASLENFDFEFSGEIRTLSDLKKTGVPLLDVDPLNAGPPGVHTILGICQYYCIDWLIAMAINFDTGSSSPANLKQLKKDLKKLVLETEETTNLVDSLESSLERINDAVTTIQKNCKTTKPKQKNSSHCTSSFCIVGSSKKSSFRDSSIFQCTSCKAAVHDVCAFYITEEQRLLMDQSNAVCLDCRHGMIPSIPDRLSLALEIQKSVNEQLLQAQDILEVADSERLKLEQHLKGSRIQTEVSTRQLLEAALRSIGCDSRIWYQDLTGNQARKFLRRSSIDKVLAVFTSNSRRAPNASEKVKIDLMRSVMLDLATLMSAASNSVKNDDEIDEIERVLERFVGNLREAQPDASVTPKLHLLSSHLIPYLKRYRSWGRVTEQGIESLHAIFNRLNVRFAAVRDPIQKATLIVDRLSHFNLIFDIGSSWYKEE >CRE20974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:96368:98504:-1 gene:WBGene00071436 transcript:CRE20974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20974 MSDSFDEFPLGATNLTHAEAMALCQNPGDCSFDEFPQNATKLSRTDALALCTPQNASTDDFGPPSKRMKISGPSDIGTPLMTSTPIKNSGSTLQTRKSPNQYGAGRPRKESDNPFTYISPNHFPTPKELHFFTKSVRMSKENKLTRKNAAFYALDTIRIKFNALDDIKNPALLHKHVAKCIDIFIRKQIQAAKGDLETTPYWLQMQHEGFREEAGFFISHKTHSAVGGGEIINTLARQMQSNKNLGLDGSFSVAMNVFKDGARRKLVGRGVEKKKKGTRSAEKMKETILQHHFGEKRKRVLGNSHCMVKALCLGKLVSDSSNPRTSEVDRKEFKKTLHNLTRTDRTEAFQAEVQLKMAKNLLEEAQMDTNQEEHGREDLEVLAAYLEDYQITLWSLKGRDTVLTEEANYNVKGKGFIGLFHHKGHYEYVTHTKSGNPSRFCYKCSTWAGHNHTKKCKAKCWWCGFSECKPEPAIKIHCDDCNIDIPGQDCFDRHLKCATGHALPNCKKFFFCSKCMKYDRTPEYRKGSHVCGATHFCAICKAKKEKEHECSHPMPTEAGKTKKREKQEKWTIIVYDAECVVVKSGEYSGKSVLFSPKITKFSIFSDDPCRGPKHMPNMIVAHMFCNECRGKAGCPNCKEPIIFREY >CRE19528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:66338:67625:-1 gene:WBGene00071437 transcript:CRE19528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19528 MTRRNCELSADIENKPKTYYSHKNIHLRELKALHTMKIEATSKFRQFLDEEIYRTVVRWNREHQKHPYHFDFHGLTRKSAEWYILDVLDMMKKNNITEARIETGRGRHSWDNRPKIKPHLMEMLNKRSRCSVDEASAPLKNPNKFQQRTTGKWKRSIEESHPGANPIKRASTQNSGEVEGQSSGDDAASGTLHDAAQARKVSHTFLIPYTNFSNFQDYLESKASGVELPTEESAKLDPKFAFGESTLIIHMCTKCRTASRILDCIDMGNGDNGLVINLCTICRALFNTQRRIKFFQHELACIKRRQIQ >CRE20975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:113017:116888:-1 gene:WBGene00071438 transcript:CRE20975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20975 MEQEPEFIEAPKSAYLLYCDAKRPTIRRENPYLRNNELSKIIASHWKRATSHEKLPFVTEAKRLKSIQKKYFGEIQKPFNAYLIWIDEQRKELKNRDQEKAQRKTIVSELAAKWKTMSMDEKLPYLEQERIQKEEYQKAVNQIKTDLNLKFRAKTGGNPPRNAYMIFYKMKKEESGGKVNRGLVEEWRKIWKNMSHEEKKPFRDELDNVKGRFLKQSEWMEILNSEKQEKRIPVLDSIQFSKLETLNERRTLIFSTPPIHEKVNYDGLKWSKTENGKRQQPLYRTIVFDDSRLSLVQCTEASNPNLKKEVLLHLLDRVILRYFLTENGVFEIPDSSDVKKKRLGGTVSEDLKNNILPLRTTSAALVVANEKGYDLSRKQIRNMTRSIKSAIPEKSGRRVITTLADVKVLGNTNSNNLTYFVDQIGELVFTYLHVFEDALKIFAYGCPTKRDFEEWTERANRLYSESADIRKTELNMILEDFPSGVIFPSRIFVDTTFNLSDCYVTFVLGESGHFRTKTSNKPRVFPIGYMIHSHKDTSNHQMFAENIKTALGPFMTGRVAPAVLMDGEKSLQEYADALESSVIRCDWHILRLLSHKFGKKASKQGNHLIFGYKTVIQKPHPIAELIRKVNDFSEEKLNEIYKSAIGESDLVELSKDLSSCNASIREDHLRNIGLMCPQLLSYYPPRTLFQKLCLKTCNSEEESSHSISVISKTANHFVMENASAQKESDKVSLITSVQNSLLCSLCGKTLPKFICSHMMACLRSMDETDRKIELWRLYEIARNDSAVTVPRQSGKKQSARIGSRQSALNNIRKITNISDLTIFKDTEDGMELSDDSDEDTTFVVPTFSENHSNDDDSQESGAEQMYQFEDSNQNTVEKEFDRNNGNIFEQSPQSSDVSTFSPILTSTRLNASAQRIRRSTRRYSPGNYSSSLEMM >CRE05239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:712:1759:-1 gene:WBGene00071439 transcript:CRE05239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05239 MSEILKRPTASETDADLQKMQEEWSQTNKKPSVEIHRMKKRTKIDENAENLAENPPKLAENVRNQAARFQIDLDDTPHVSTVLFPVLERNFDVFDTKIVEKPDFLAENWSKNLEFFQYSKDDGFPEPLDLSAYFQPKSGRGLTTVPPNGVSFFAAEFDRIHGNFAENLDEAEQKGAELNPEEDSGDFHVENEKYLKSLDVDKILEMKLEIQERFDPKIIDFLKNRGNSEKNAEKTTKISKFKASRLKKGAEPIEKGAELMEPKGAEPVTKEVEEMMNELEVLEEWKDQQDQEKYNRLATVRGRGLRGNGRSFKKKWAELRTKTEKSRRFCDGKGRSLIVGGA >CRE05240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:3209:7336:-1 gene:WBGene00071440 transcript:CRE05240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-46 MLSTTIRRYLSSSQSPQKWEIYASVVLSRAPLIAPPMSEIESKFHRLQMKEEEEKSLLSNYELKTKQDLKMVARREQLIREGKELSELDEEIGVTNAQKEDDWNRISEELNQKYRFDSLKTGVTEAENERNLMREMERKLVLIVKQRMGNESKGYETPWILPQIKHRDGETLRQTAERCIGELSSNDLSADISGNAPFGVFTHRYPKPISSKTGATGAKIFFFSANLTTSSQENSEFKVNESEDISDFKWVNQQEFWSTVPGTDYKKSMRFAFLE >CRE05241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:9025:12713:-1 gene:WBGene00071441 transcript:CRE05241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ech-5 description:CRE-ECH-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NGT3] MLSSKVFLSMQRLALRPMAYSTTCNPKNEVFLERLSGKDEGITIINMNRPEKKNSLGKVFMAQFKEVLDEVKYDPKTRVVILNSKCENVFCSGADLKERKTMTQAEATRFVNGLRDSFTEIERLPQPVIASIDGFALGGGLELALACDIRVASEKSKMGLIETKWALIPGAGGSQRLYRIVGVAKAKELIYTAEVFGGKDAAALGVVNHVVPSDPIDKSLEIARKILPRGPIAVKLAKLAINLGAQTDITSALSVEQQCYAQIIPTKDRQEGMLAFAEKRDPVYKGE >CRE05230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:13096:14728:1 gene:WBGene00071442 transcript:CRE05230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05230 MNFFLIFSYILTPSQFRNFSRTISALELTKKPQKPVKQENALQRSILEEVFVKEKQKPTTFSGKVAEKASNTFMYTAVAVGVGLIGAFVYVLAGEFFAQDSPQTIFNKALALVREDGRCQDIFGASIAGFGEETSRGRRRHVAHHKYEKDGMQRIRVLFHVKGDRDEGIAQAEMEQRDGDWQWRFLYVENKHRPKTTHVLIDNR >CRE05231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:15111:16905:1 gene:WBGene00071443 transcript:CRE05231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05231 MEDDGFTLVTGRKAGKLADRKFKKTENGTHIRVDGTLEEIDKSMSSATSSIQKSGLSTWLREKIDNLMTEKIQKIYLIGNGHFDGSREPGAHQLALFLEISIIFDAQLIFQDPVCSPAELQWLQTKNVEIRQKIDTEIDLSTGIPTILVMIHGEHELFDGILKFNQKIENLIVIGNNYGGVDWELSKLREEMPRINEFFEKSIITFFPEIYEPHGSAFSSTVIMSPLVE >CRE05242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:26258:34178:-1 gene:WBGene00071444 transcript:CRE05242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05242 MSISSASRVSVSGHIRSEIGLSKQRLLNALDEAEEITAENQLVELEVESLYEEVVEICAVAHKIRQECTRLNRLREKWLSIIQKNSAEQVVYDDYLVRVGDFNLPMKQGEETLVRLRALFTSAVELHKKSTSTPSDYPFFDDLDMSDTSSEAVVASKPIVPTAVPLTWTMPIASTAPAPPPVLPAPVASPPRTHGADFAQPTQPFAPLNSFDYMSYNAQLPPIAPTVFSGDHVQFQSFIELFNSIIDTNPRLTPVTKLHYLLSYLSGEAKQLVQHLPLTADNYNLALHLLHNSYGNVFRTRHHLFRQLQDVPSVAFVKDPAQVLQYWTTVSSIFHQLHNIEPLFDNLSTTEIIARKLPKRYVEKLFSSDYREDLSTSSFLLALHRLVQKDVVTNSIFSENNSAMEKRVTTMSSGSQPHSQQQRKHHSTNGQMSPHHGSTHGLSSKPPGTPCVFCATPDSFHRHAECPNYPTAKDRFQRAHDLRLCFRCIRPGHTGSTCERKRPCNKCRGGHHSSLCRNQQDDQQAQNHRFRDSPRPDGSNPRYSSQHRGEIAPRRSSPYPPSSRGYSPAPSSDGRNSRSHSPSGGKQDRHVAFGKTSVRTHSLVTEVAKTSAIPPASIVLQASTTPDENSLLSPSVDHQAINTTDTSENVAMMAMTIAVEDSKGDHVETTVFFDTGSDRSFITQEFAARLNLPTFDPEHLVIEPFASPLQELDTARCMVTLHTKKQPVSLPLTISPQFVSSVRMIAIKDDELLELFDNADVTLPRMSSTPGILIGLDFMSRLIGNTITKTLANGTTAHFTDCGTMITGKEWKYDCSESQEKFLSSIASDLDDIEDNYTTFCAFATQTNKTGLLSIDAAAELSALRADLQKFWYVEMTGIHSNPRTSDEEWTAKYFDETTTRLDNGRYECCFPFKEGMETLPDNRHVAYFRLRSTISRLEKDPALLLEYSKIFADQLKRGFIEVVPDESVCDGRVLHYLSHHPVIKTTSKTTKVRIVFDASARSRKDAPSLNDVLHTGESLLPKIPGILLRCRKPPILISGDIEKAFLMLSLAQADRDACRFLWTSPGDSKPTCYRFQRVPFGVKTSPYLLNAVLKKHLLACDSPMAHSILRNIYVDNVFYGVDSIESGVDLFRKSKELFASAQMNLTQFFSNCDKLNKTLTDMDHSDSPITADQKILGIQWNIAQDTWSIPMPKQLDSEKPLTKRLILSRIASVYDPLGVLSPVILRGKLFFQTLWNRPHGWDSNSLSPDELQQWKDIEASWTGDVIERPRLLFQTPNSDSDHFELHVFSDACESAYGAVAYLRRISKSGIDTAFLMAKVKIAPHKKSLTIPQLELLGVEKAAALAVFLKTELDMDVDKTFIWSDSLCCIDQIHSNRASNVFARNRLRKIKDMALDVTFSHVPVTPPPTTSPLILDIDPHRFSSFHRLINTILLILTFVTKFPREQLRNRAKMVLIRLAQQLHPPDNATIDNLHLVSDGSLWYYEGRIPSHRQPYLPAHHIGKLFVQAVHEKYHHSSPRYTLSKIRNEVWIPKGLSFVTRCVRQCKQCQRNATKPIRQPSFPPLPSSRTEFAPPFTTIGLDYAGPIQAQSRGQSQPYWFIVMTCLTTRYTYIDLVDSLSASSLLNALRRFSALYGTPATIITDNARQMHMMDDCLKELKEQSKKPYFNSYDWPTFKFIPALSPWQGGVYERIVGIIKRCLARAGTHKSLFQLDDLITLLKETEAIINDRPLTTVDTDTNLLPLRPCDFVHPNKRRTTLLLADENFDVSSLINTHSTFVESWMSLSSITTKLRQRWNEEYLQLLQDRTQREHRQDAKAVQMIPQVNDIVIIEEDGHKSSWPMARITEVGSRSAKMITGKTNRLIERPFKKIYPLEVRPSVSSEIKTTPTTTSSSTQALPMNPQAIPLQAAHEQPIAKRTRSSRILLSSTTLSLVVVFCLLHSVTATETLDGFTPQHKTAGTSIVDIWNTISSLVTDIAIHLGYSVMFLGIIATLYCFSILLHVTDLVRILVHWMVTLLRKLISTVIRKCRIPRPSKPVTRTIVMLHFVLLVYGCNDVNHARSDETVCYNRNAEGNGTHMECVINSVSLINVRSTGSITCLHFGDAQQPVLTLKIESDAISTSCQKNTLFFSRDFKLDYEYIQRCDMKGSCSEKQCTTLEPDQDLPELSNLAKSKPGFTGCLPGCGCVNCGCLFCDPSCLFYRTYATPTSNTIYEVFHCPSWTPKLRVKITINNSSSTTTNLSPGVRYMIPGTNISLTAIGLVSPPISAHSANYIHARSPDGQLLWTALTFNPAASPGTPTKGFVGELMCPTNSDAEDFNCQFDNALCRCVGFSTSVQCICRHQKMKDFQLKNKLPFTSSNHQIKFNDETEQVEIDSTYDGVMGIQVDAINASVSRFTEISRCVTTQVEAFNGCLSCHTGVKLHLKCHSSVKTSTLVTCSDFHANIACGPDSPTTDLQFHVKAVGLQSSSPSTIAINCSSDCGHRVEFVVRGTAAPNPAFLLQNGSFSFSSIYERIIQEDIFPSLSSLPSFIGSFITDHIFGSLVPFLLLIAFVVIVIFIVKIVVFPYCRLFSSLPKSSRRFRKSKRN >CRE05232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:36393:42965:1 gene:WBGene00071445 transcript:CRE05232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-2 MISSLAVEFGALRLVCSSKIIVATRNLPARGTKPLPRHLWDMTDLEEKTGGRYTHKPLRINRLGGRDPETGRKVNQHIGGGVKFDYFLIDFHRRGPLTQGVTYDERVLEVRRDPNRTCHIALCAGIQGKRWILATENMKAGDIISTSGHLSENPVIGVEGNAYPIGSLAAGTVINSIERYPTMDSETFVKAAGTSATIVRHQGEYTVIKLPHKHEFSLHRECMATVGRLSHSDIDSKIFGSAQMHRRFGYKMSSGLFHKKDGYFGRKVRALPPVRQLDAPPQAPPPSQKFTLTKGELSGLHGHAKVHNLIPSGYNTRDYPE >CRE05243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:43710:44869:-1 gene:WBGene00071446 transcript:CRE05243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05243 MTRLVLIFAFFVVTVTSEECVDDMISCMSSSGLCTDMYFQSRCPYTCGLCHPDPTQCYDFNKDCDKFTSECGTVADYTKSCPQTCGTCGSTTQSSCVDHSVNCPNYSNQCISDYLKELCPLSCNTCNTTKTTVSTTTVTRGVRTTVIPIKTTVSTTTTVPPQTTKTTKRIPTTPKTPCKDASPNCGAWAKNGFCTNTFYPPEKRKEYCAKTCKFC >CRE05233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:46057:47212:1 gene:WBGene00071447 transcript:CRE05233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05233 MIRFLIFITFFAVFVYSESCMDLIITCPDMKNVCSETMVQNECPYTCGICTQDPTKCSDTNLDCTKFNIQCEFDNFKKFVVDYCNFKKKHYRFFARSCPQTCGTCGSTTQSSCVDHSVNCPNYSNQCISDYLKELCPLSCNTCNTTTTTVSITTVTRGVRTTVIPIKTTVSTTTTTTTVPTVKTTKTTTTVPPQTTNTTKRIPTTPKTPCKDASPNCGAWAKNGFCTNTFYPPEKRKEYCAKTCKFC >CRE05244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:47550:49527:-1 gene:WBGene00071448 transcript:CRE05244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05244 MKPKVFRTNKFYLVIFIVFVIFIFFMKTKRKSIVEPLILTELKKNVQQCVSRIENMTFLVPEDAEITEIDKKYEKTVQLHLGGFRDGGLGNKYFELMTLIGIGDTLQRRVLVNATDLNNIRILANEIYPIFPKIIDEFEFRMIPTAAATRQDLSVGKCCTFDDPKQFISRPEKHLIFDGRYFQSFKYFNHIRLKIRELLKPKDQIFQKAECLLPERHRNDFIFSAPSSTFGWWLSYLSKEGSVTYYRNIQETQDKVANEMKEEDFYPPEWIKLRFDNVTGRIDTFF >CRE05245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:51008:52398:-1 gene:WBGene00071449 transcript:CRE05245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05245 MMLVFLTLGRFRETYSLFSACPLLLYHFNWVFQLTMTDLFAGKPLINQKGEELDGGDALRGKKIIGLYFSAMWCGSCRQFTPKLKRFYEQLKAAGKDIEIVLVSRDREAEDLLEYLEHGGNWVAIPFGDERIQEYLKKYEVPTIPAFKLINSAGELLHDARADVTERGKDDAVAVYDEWVEKYPAN >CRE05234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:57439:81174:1 gene:WBGene00071450 transcript:CRE05234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05234 MSEQLEIPIEGGDFDFDGDNIQELDHFDIGEEEDEPAEVPAGEAGVWEEAVGTLPLSRQRRKSVRKKPGRKRRGEVYHIPKRQPPRGTNVTSSSSGNSRIKTYQQYDTFDEIVDPNDMIIVEEGAESHLEQENVMDDSDPEIMDDDGEPSSSKTWRVFVRKDGSGMHFDWPIFMDSSISLKDVVTLISTDASEISKTLICDSIPQEFTNTGTFIIHLEEDLLKEEICDDGLGTWNSAQMFVRKYIIGSAGRPLQTNKNDHNLKIVCEQFLHPGTDTRGDFIRRIYTGFDKDEHMIPYVVICYEWMGQPHPLTVYEDSDDKQTYAEQMTWKKCSNPDDQVPILSRHGCDYNAAVQILLSAEKFTNTERTKKRSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCRELRERFEELXXXXLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNTDRRPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPIGREETKGEKARGIWTTQATYGKSVPQLVQECISFVLDVEECGGARALYMDGNTWERPSGSHRFYRIVFPTNRHETTNTFSIERASGEANVRNSDIQVICRRYNGRKYTSTFGFVRKTFQLKILPTCPPEVSAQLLNQHLAVVSYSYRNAAMPTFIEQPAVRGKLPNGELIGEEVEVVSGEIVFDDPEIGVEMHQMLERIETKKKANSQRLMDLIERMQRLDDICKKTGWHDHSTAEVQQLLQLGAILESTLHQ >CRE05235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:81363:87629:1 gene:WBGene00071451 transcript:CRE05235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-1 description:CRE-UBC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NGU3] MTTPSRRRLMRDFKKLQEDPPAGVSGAPTEDNILAWEAIIFGPQETPFEDGTFKLSLEFSEEYPNKPPIVKFISKMFHPNVYADGSICLDILQNRWSPTYDVAAILTSIQSLLDEPNPNSPANSLAAQLYQENRREYEKRVQQIVEQVNWSWLNFGENEGDAVMKDDIDVEEISQGAGGGGGGDDADDRMDEGASGSNA >CRE05236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:93608:95311:1 gene:WBGene00071452 transcript:CRE05236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05236 MKCSVLVVAFLLVTVSTVIIRQVDGQRRLPSVGGNSYYEWLMRAQHGGLGSQNPIVSSRSPKLDRNCFFSPVQCMFDTSSTDNLNSFRR >CRE05246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:95670:96942:-1 gene:WBGene00071453 transcript:CRE05246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05246 MLESPDSPVVVWSRPPSLRSLRTGKRVVPGQYVLDEPDTLCVLPSSMRLVCCLLTLLLICSLIAAILNSLQGSSNGSVSYCKRPDEPFHPSVLCPRESMFFFYKCCPSSADPEKSECCAKIRIWLLLAIVCVVLSCLVGICYSIFRYFCRHCRLSAWKPTRLRSHV >CRE05237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:100622:102623:1 gene:WBGene00071454 transcript:CRE05237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05237 MKPKVFRTNKLYIIIFLVFVIFIFFMKTRRKSIVEPLILTELKKNVQQCVSRIENMTFLVPEDAEITEIDKKYEKTVQLHLEAFRGAGLGNKIFELMTLIGIGDTLQRRVLVNATDLNNIRIMANKIQPIFPKIQEEFEFKLIPTAAATRQDLSVGKCCTFDDPKQFINRPEKHLIFDGRYFQSFKYFNHIRLKIRELLKPKDQIFQKAECLLPERHRKDFIFSAPSSTFGWWLSYLSKEGSVTYYRNIMETQDKVANEMKEDDFYPPEWIKLRYDNVTGRIDRFF >CRE05238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig252:105215:109004:1 gene:WBGene00071455 transcript:CRE05238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05238 MALNVDKLRELYLDCQEKIYQGLVLERQEIFVLFETPEKHESKTTIGKAIIEVFTHLRNVVNYTPCFGLPEIRMVAKESDIPDGTDHVKFGYPFGDPYAVNLDILRKQINGPDVIRPFFNIGNQYHNNYGPRRERMGFDWIADHELYFILAVIYSKHCLECFLSLMRAVMVPKHALALIRLAGKEVECKTAENEMNLAKSWRDDENKKYGIPCWRLNKEYVAKLEAQVRDRVAEMRQQNRVSPDSDRNPDASMQGFIPDLSDDEDEGPIPDAIPPLNGNINNGISDNRHQEPAGPSTMKMPKIEGRPKNGVEESLPTPAENRGNGPEMKLGLIEDTVPEPVANDDIEEGTVATVPPAKRGRGRPLGSTKKKRGRKSVKTELDAFSTPKRRRFN >CRE17617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:88821:94127:-1 gene:WBGene00071456 transcript:CRE17617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17617 MSSLSPTPAAAAPADNPLDVHVPDVAAPLPDDGPSTSDPAPRYPDSPASMPRGLDPLDVVEENGSVDCRSESEISVELGGIDGIEDTQLMTQESVRKRDKKKMKKAADANRVAVKRQAESQDDREHRLKLAADAKGDLRSQQSEAKKSSINRRLSSQKATNRSQESEEQKTSRCVTVASRAASRRSEEPDDVVMKRRSSTRIRNAVSRAKETVGQRFLRNAANRVRKSMRPEALLGIAATDAQPDAHYIGRMDQVCPHCEALYFKAEFDFQICNSSWKKETTGKGVFTACCSSGAIRFENQNGLPSFLTKLYVTPVEEEPNDDDEKKKLRVDAKNFRENIRQYNNSTAMACMKAEVKLPSGGPYTYCVHKQIYHLLGDLHPTPGEPRNFAQIFIIDTEQAAAVLAGREMNSSCSKEIFEKLIDILKRHHPHAKSFKMMFEVEKEEKEKAALEKRPERSVKMTFQIRSQDDQRRYQNPTADEVAVVYVGDEEEIPGKRGLTVHQKSGKLQSLHVIDPNCDPMTYPLLFPKGQMGWHPKIPYARKKGNRVNVTMREFYAFNLHVRKTFRPLFRAGKLMQQYVVDVWSRVEQNRLNFYMQNQASLRSEQMSGLQDYVAGEEKGPVGVRIILPASHTGSPRDMVQKYQDAMSVVAKYGKPDFFITATDRPDVVARVFKMKIDEIKRDLFQRNGLGEVMAYIYVVEFQKRGLPHVHMLLIMKPGSKPRLVLNSHFGIFSLSIFRTAADVDRLISAEIPDKEKNPLLYELVTTMMMHRPCGVHNPSSPCMRGDVCTKKFPKEFRETTSTDNDGFSLYRRRDDGRFFEYKMGRNRVKLTNQHVVPYNSWFLMKYKCHINVEVCGAISSVKYLYKYVYKGTTRASIVLRFDEAGNPNQVIDEIKQYLDTRYVCAPEAAHHLFGFPMSERSVSVVQLPVHLPGDQTVLFQQGQEAEALARAESKNSKLTAWFEVNKKSAEAVQSDGTFPLNLRDSRSFYYHEMPEHFIFNFKTGTWNSRKTKEFSIGRMYFISPRNREKFALRQLLLYTKGSTSFEDLRTVQGHKWDTFVEAARASGFLSDDTIYEQTLQEAVHFHSPCQLRGLFVTLLLFETIDNADALWDKFLDDLSEDFEHQGYSKSESEAMAYFDMFDRMEAMNEDLRKWIKRSYTRVHRYGQVIDHEMCKKLGEQMRESLNKEQAEFVDAVLNSLDVGGLFFLDGPGGSGKTYVYNCLANIIMGMKKKILTMAWVGIAAALLPNGRTVASIMKLDINNGCKTCRINLRSDLARWLLECEFVLWNEAPMSPKASMETVDRFMREVTGIDLPFGGKVVVLGGDFRQVLPVIERGGADEQIANCISRSLLWKDFQIYHLTTNMRLTGDALDWKKRLLEIGDGKMGDPVTGEMQIPEGLESTGDLAEEVFGDLLESGDVEKLAKVAILTPRNKEALEMNNSVLDKMPGVLRSYYSLDEISNKDGKPEIRDSMHFTTEFLNKMTPSGMPPHELRLKKGAIVMLLRNLDVKNSLCNGTRFVVVEMGDRVLQCKFVSGARQGQTVLIPRIKLNYEKNLPFTMSRLQFPLRLSFAMTINKSQGQTFDKIGLRLDEPIFSHGQLYVALSRTTTREGIRVQSPSEVVNNVVYKEVLL >CRE17602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:84542:85591:1 gene:WBGene00071457 transcript:CRE17602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17602 MEKHLQFSEQNLVQEEEIGHGALGNVFLAKNITNNMKVAVKRMSNQRKEFQKYAMITENRINTLSNNKNIAPLFGYYQADGFFHIVMPYDGSLKNFLDKVGSIDRIESAKISSEILNGLVFLHMKKVVHRNLKPRNILIGENGEMRLTDIAMEEFQKRYFAFNNFLYFFSFFFRVEGKSDTLNYRAPEVIKARKLSYAVDTWSFGCIVYELLSGELAFDNKDEGSLKKMICAGAYTMHGKVPASATTIIADCLQKQPSKRPSSQDLYFHKWIAESAEESEKNRKIEAINLALGKMTIKTEKITTRNIVNMPLVRSNKPEIHDSRSENSNSADSKSTMRLRNKSRVNYKQ >CRE17611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:67139:67728:-1 gene:WBGene00071458 transcript:CRE17611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17611 MTECVANALSYCHKRCIIHRDLKPQNILHNGEGMYKVSDFGSATDERHSTFCGTQGYMAPEVVTRSKQTTALDCYSLGIVIHQCSQGKLSFQLPTEHVSDYMVSKCKYNPPVTMNLSISELTQMLIKKSAEERWTADQVLGSQLITDYRHHTQNDVYKREHQLI >CRE17609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:53197:54948:-1 gene:WBGene00071459 transcript:CRE17609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17609 MVFDGDPKGTYVFPQSAENLCPELVIEYEKLKQYMLAKRLKNEQVYKEASDNCKKYTRCSASTIARILKPMRDYVEQITAEEQEDKWKLVTLKYKRAQSETQIEELLAEKGKDIDTKSVGVTKGVQTEEQYLEKVHISNNNVEKVTVSTETEGSNDSESENKLIDYKKERKSLCEGQGIANIVFEKKLGYGVDKVSISGNAKDMLVMKSNKVLCCEEIKADEIQNSCTEITHEKDENIGVTKRCTIGNISDDKLCSGDQTPGKAQRSVTFTQCETNEQSVQQKIVSDVIDSNVDLVKDQEERKVQNTVLERKSMQLLKNMLVVENPKQDYKANSVGSTDGVSWEDEAKRKKVDQKAEDTVTCPGPPLNSKSSNLISYHTKHQNFLGNNTESVVEKNCSSDFSIPNPGKPGLKKKGDGTGGVVFRMKTKCRKREEPRPRTDPPNLSMTYVKNEIIAEKFSVIVACEENVTRSHQSTSNQSAWSETKSKRLEVEPRPRKDPPQSILLNWSDTKTGRIKEEPRPRKDPPHSWIMFDDTWRRTKEEHRPRKDPPMSHTQHNGHHGNLFGCRNQRNRLQDTARNLISC >CRE17608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:33532:35349:-1 gene:WBGene00071460 transcript:CRE17608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17608 MEYLSLKKKEYIYKSDLCLMFDYDGIFPEVAWQTSAVDWILNECWTKMDYGDHYEMMVKPDMAKTVEEIMNTLNQQAAVMKTFNTLTKVKFYENVSEKLKQHTVMSDVILACMEEIIEKYPVRKYSAELSRILAWVGLVMEAIENYVEKESIHLPPINSVSLTKPVIRLFSIDKNHFVMADELLKTLKNCNIDVSGFEKNVFGMKELSTFTFREASQKVDKDVMKNLEFVKMEDIRLIFAQTPIPTCNGGYCTLAVDALRDILMDMILAKKIFQTIEEKNWIYIKQFFKSIEKYFDRTRGVYFIDLKDVKTIKELWENVYDTHLEQSSPSPKLMKTSKKTGFSVKDLKETLPFLELEKCFKGIFEYADPIYSILTADNKLSTNHLHIAVLQCQINSLVRKIPMLLEFIHKQGGCDRLSIVRCELCDGKTLAEESVTITKPQRPETREELHQKKTKHQSSIDTKSESEALNSEVAKSDVTIEKPTTEEKPSQKTKESKKSKQPTSREIPKPEPKESNACPKCERAGKFTREANEKLRLSKIEVKQLKKDLIRNQLKNEEMKQKVMDKDERIRMLERLLEEKDDVIKEQEEKMKEQAAVIQELRRVE >CRE17601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:4220:6949:1 gene:WBGene00071462 transcript:CRE17601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17601 MACRIDCSDPIDVALIDEESVAVLFKNSVSIYLTTTSSLLESHRVDEGVNTVYAEKNNIYIVGEKPVVYKIDTNQSTLRQINPFPGFTQWKCNDFHKVEKVLLMHSKRSGVFTKSILLYDVKTDSVVRELKTNMTSSLSNFRKERYEIMTSGLNGDWSVYDLRGSLNKAVYTYSLKGSIVKQGYKTNMSYCETFDKDVHRLYTWNGETQPSERWIFTKNDFSIYFYRWSFDEKQWFLGIIDGNNASEGFVIHGSYKNSQCTSFHKYGVKSPNQTMFDILPGNVLATGCSTGVMMQSAKFNVDNFKSHSEKQREACRKAKSRLTDDKDIKELRKTIDRYEAAGKRDEDINVYDNDVTEEKFDIGKLMGTGSMSSVFKCRSKKSGKEFALKYIINQTSILSKKFEIERELLIERDLRHENIVKMLAAFKSKINICFVLELMQESLHDVLKRKRGHVMNVKDVAKMTDCVAKALHGTFCGTQGYMAPEIVTRSKQTTAVDCFSLGIVIHQCSQGTLPFQLPTGHVSEYIVSKCKYDPPVQMNMSIRGVTQMLVKKMPKRDGRPIKYLQVSSSRTITIKHKMTSTSRNVNSFK >CRE11523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig418:8425:12934:1 gene:WBGene00071464 transcript:CRE11523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11523 MVFLQWISCFADLHDANYQFWKVKGYITSIFDTITAFVYYSDTPKWSASEKFSIGTTTCEIITKKTSNELVYSLKVDNKPFEEFRNSQYKEFERWEVSFKGEGKFNIVLDKGLNVFIDGKRVKTEIKMSPSKRTLPKVPVTKFEEPPMNVPGTSSNRSILNPVFASPISGTSNSSPDHNIFENTPERDAEKQEKTISTQTEELNSSERRVRSQTCPVDLPGPASESKDALKAV >CRE21621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig873:1097:2183:1 gene:WBGene00071465 transcript:CRE21621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21621 MPETENDIESYLKIFPQWGLFAGAICLLFAPISVANWGTAIAIVLSWCFIPKMCLTLGSIANTPKQKLWVFILAFVAFTVIVFAPRLAVFVWEIPPQMLWYFFIIYPLTLLKGLEKLVVSSNYRLVDDHPNVLITSLICNIVPLPFLIRYGMSNWNFYDAAVNVFIQLFLTLLCVMATTFMGVLLAGKWNQLDDSGDGNAEPAAPTVVQPTLARQRRPIPKFECDDCFSEYTDIKIPRVLKECGHTICEQCADKLLAENNQRHLLCPLCETVTLVYGTVEMLPINYVITDLMAAGN >CRE21622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig873:3144:4586:-1 gene:WBGene00071466 transcript:CRE21622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21622 MSYKSKKEVRPSSSLNSTETSPIQEASKQPDQSETIIQPIIPSSNFNTSRVKLPDQSQCTVFMQSTVFVILISRMCLNCDLLCMATISPIWNPAKNLSEQFSEMSQFDDGQQPFERVVNKLVSKAIEFKEKFRIQKNAVEEAIFQKSRIVDQLRNKKETKDKLQLFQSLEDTRTKMDSALTRAQAAIRKLGRQSRTAQLLVETGVGEKELVDNKMADFGIDVAEERKSLEYLEQDVERERVEFSSLLKIGWKAVQQVSEAVELMIEEIQETSEAVEKKIDEVQNDIKKGFKNEQFFERMARLLEYRVSRVEDIQKAGASASTDNQVRIVFKETGTSPCQLDRSPDRRRSPSPVRRRSPSPVRRRSPSPVRGKSPSPQPTHSRRQHSPYEERNRHQGSQQGTGSSIPVRCVFCLEEHLSDTCRRYWTTEARRDRLHKRSRCTRCLRPHPNAPNHRCPPVKQCYYCNSSGRHHRSVCRQRPQ >CRE30231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig329:12817:17603:1 gene:WBGene00071467 transcript:CRE30231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30231 MNRQISLPVEILDMKIDVSLTCILCGTVIGQLKKEKEVARCNECQFKTEEFCKMKVNVLYSPVYYEVDLNTQAIRQFVTNIVKFAGKDQSEWTNINLDSYWPTWRNKKVEMVLCLREETWSCIGIKGLEEDSKPVSYPQRQESRRRVSRRGEDNGFEYREVKVEEVEEPVDGPRQMNENMTVQGEEQAEGRKRRHKIGGGMRADEAVVRRNQRSRRGKAEHAVLSNINDGDFKNEIEHQTISEDFNDGRIREYDEMEYDNFEADMECVNHDVLEFDSNIKSLCFLQDAQLAAVTLENGTFEMVHMNNLLKKGRLSTIEDTVNTYGTETGILSIGERSGINVVDVFESKTQIHKYKFSSSSVVKDTATFCENRVLSLLVYDEDVKKSSVNLVDFRTPTKYSTTIKSTDEAINSIRMTKKDEKFALSTKNGIIQMYDVRNSSKPIDVAKVDDDLNHMSLVNEKLICSSITSHFIFSVQNGISFEQSIVTQRKKCISGFLPGTTVCPLYAVNERKKSCYVYFTAVDQLSAKNHMTHWFTKPKGRSSQRIHPSTFYIVSPKKTWISSFLPGTSTSTLFAVNGKDNSSYIQFTLANEVHTKESDHALVYKGVNPVVSAFSPEYFLAGSGSQLCQQKKEYRKQKDINRKYTKDPDADSQEQTRKRYEEESKKEIEELSTTRVCESKKSGKLFAVKILPNTKAIVAEKHLLEKEIAIEVKLLHENVVQLITSFDTPANSSGMTKVGTESYQPPEILDGKIHFFPVDIWFLGCLFYECLEAQSPFPQASTKAMIDAIMSGKVRRCTFMSEDSLMFTKQMLTVDPWLRE >CRE30232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig329:20064:20411:1 gene:WBGene00071468 transcript:CRE30232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30232 MQKVYGLKTYTKSGNMRAPAMDTYLTWIVDAWKSLPTELILKSFKGCALTTLLNGEEDHLLHCFKPNGEVPDGLEELKKTREERAMDELENLVEEVDLAQDEYGDEDSDESLISN >CRE30239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig329:21824:24199:-1 gene:WBGene00071469 transcript:CRE30239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30239 MTSKRDLARGILWTTFLQDDHLLAALRDDSKIDVFDAEKDLVHKKLFDLDDFVVKIHGADSHLIAVKKNSVEIVDVTNSLKTLSTYKPSEHFEVFESSQFDTESDVLVVSNDENNAKKKRVEFVDWRTANASILLIDQNTPIGDHVVFTQKREEFAVTTPGGAVRVYDIRTVKNQIYQVSNIGKLRRMTFVNNRMCCITPGDAYKIYTKNECSELKKVEYSYEFPSREDWCLGFLEGNDKSPLFAIHGNQYNYINFSAVDQIEKSKNHSVLRYKALRPNCFSRSSNNKIAVGSLHGQLHLQICNFRSDNLHKEFEKIRDKNTRNRNQQKPKKGEVAAADQRHIAKELNEPKVGVVGKEFNLNNFQIVKMLGRGSFGYVQQVESVRTGKKFAMKVLPNTLTNLADRHIVEREILIQAEMQHKNVVSLITTFATSAQIHMIMELMSHSLRQKMISEGALCEKDASWILHDATNGIAFCHLHGVLHRDLKPENITISDHGTAKITDFGLSTNTKGLTACGTEQYKAPEIWAHEEQTTSVDMWSLGCIMFEALTKRLTFPQAKTSDMIAAIDSAKVSYPHSLSNVSKDLIQKLIVRKAGSRLTASQLLDHTWIQKYQIEKNRVYARELLNEI >CRE20101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig749:6058:6978:-1 gene:WBGene00071470 transcript:CRE20101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20101 MVNGSLDNKISPIPSGVPQGTVSGPLLFLIYINDLLLKLPPNIHFAAFADDIKLYSHDPVLLQHGINLVSKWASANALPLAHTKTSLLRLGPENSRHPFHVDSIPISESTVVRDLGLLTDSNLKFDLHISKLSSLALLRCNQLLKAFKSRSLSLYRHLFNTYVLPLLEYCSVVYSPSPSSVLSQKLEKPLRLFTRKVLQRCNIPYSSYLDRLEILDIYSVRHRRLKSQLILLYKFICGAAYLPNIQSYVRLSNSARRPMTLICVRPDIKDFFSNSIPLWNSVTCNTHKFLSPGEFVSLLNHSIIRL >CRE11585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1017:3308:3877:-1 gene:WBGene00071471 transcript:CRE11585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11585 MVDEGVLGGQLEEIIRKELQKLVAPVMAAVRQPEVTPKLNAKGLQKQADFNTKVANLIGKKLDDYPEDDDLKKIFEMLKSRNAELELVDRDPRAAAMMEKATTLANLSNSGIGGLTDPAQLMLLASLLPEESGPQKRRRFEEPSRTPSQWFRGPGASRGEGSTRFGTSYNNGYKCFKCGQMGHFASQCN >CRE30235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig329:46177:49085:1 gene:WBGene00071472 transcript:CRE30235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30235 MDVYQSKRTKLTRPKTRKTDLRICTFNCRLLEVGREYHIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSVYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHLKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINASKTKVLRNKFASSHQIHIRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTNALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKMSQVKDPLAFITKRKLSWAGHVMRKNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE30236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig329:49212:50308:1 gene:WBGene00071473 transcript:CRE30236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30236 MEHSFDRKMFKISEDAGSSSDEDDPEYLEFLERDSIDMRRVQTQLTSMLGRIRTLKSTPILIDSVFKKGDKTDERTDAQKRRIIRELSREKKWLKMTEVRKSGGPLKNMEDRIWKGVPEKLRIVFWPRLLGVERMKLDNFCVIFILY >CRE30487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:41876:43869:-1 gene:WBGene00071474 transcript:CRE30487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30487 MTVFNDMQKMYPNSALILLGISIGATAVLEVTGVFTSCWITNGQNCTGIVPFDSSESAWLAATSWMMFISVGVAVVVIALYFVIVTEKRDYKNETEKRLTNNMAYLDDLQKKYPNLALIILGISIGLTAALDITGVFSNSWICDSTECVGIVPFDSSEPAWLAASSWMLFISVGVMVLMIATYFVLVNKVLKHDYHLNLCKWLITIRILSVLYFLLIVISIIVMKSFLGKYTPSIWLGWSCILTACSALPLCWVQCGLHLVQRQCIAT >CRE30478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:46413:48026:1 gene:WBGene00071475 transcript:CRE30478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30478 MPTLFLLLFLILVVSADPQTFYLKNHHSRTPPVYDVESGANLYLASNDDWMYLKNITFKTGNNTYTLEKLLVPNDDGSAASIPVAGDFSIYNTNNETVTRRLTGFFYITTALQTQDPTFHVFVLQTTQSVRVTGISSTVVILNTGLINFTDYTEPTMTSYVTNINQSPNTNLYFHWGMPYDDWKSQTNNTFFQNPIVYLVMMRFNTHVSHRFFDHVEPLQVGLDYWYFTVDGPVNMYMENKYVRIDNYNTNTTATSTTGVMISTYLFVECSVEIRPIEYGVGVAGYIITTFMDDDGSMLDIELFYDDFYPSSYNDYQCNNIGVLYFDYQQAYSVTVRRYEGGTFYLQYFNFAGDVWQTSTVGNKVTSTTPVATTHKNGSAAVFAIKFVVFVLMASLLS >CRE30480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:51336:57176:1 gene:WBGene00071476 transcript:CRE30480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30480 MADPVFPHQFSRAGNTTHTGEVFSIPQEEQLAYGTAGFRFRAEKLPFIVFRCAYVASLRARQLDSAIGVMITASHNPAADNGVKLVDPSGDMLSQQWEKYATEIVNATDEDLPSAVRALEKQMSQVEKSRISSGQTKNARVVCGMDTRISGPHLMSAARAGSALFNVQFVDVGIVTTPMLHYTVKSFNEPEFAEPTNQGYYRAISSAFRELYGITQEPEGSRYQPHVIVDCANGVGAPRLREFLEHIPRDMLEIELRNERGELNHDCGADFVKISQKMPTEFRNSEEGKEGKCVSFDGDADRILYFRGKGAESGDSESVELFDGDRIAVLFAMYLKEQLDEFAAIKTNYRLTMGIIQTAYANGASTRFIRDSLKIETVIVPTGVKHLHEAASEFDVGIYFEANGHGTVVFSEQFNSAIRRAPSSIMCIRRLALFSRVINETVGDAFADLMAIEMCLRHFGWSMDDWNQKLYSDVPNIQIKVPVDDRSIFKTTNAEQTLLKPDGIQKRIDEDVAKFKSSRAFIRPSGTENIVRIYAEADTVENTLLLGKSLEQVVLSIRSA >CRE30481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:57897:64212:1 gene:WBGene00071477 transcript:CRE30481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-otub-3 MTSDDEHGADENPMVTRHRKEKKELRAKNLSFSAKITAMKKAAKSGNKQKAKEVNAECEKLEKELEERHRQESSSQAPPTEATPTPSTEKEGAEPEEEEEEEGGASKFYKNFKISSKNAKKQAKKKEQEERLKAAQAADKEASKSKDSEKHLEKAAIKQMLQEESLRMIDIPADGDCLYASISHQLQEEGIEISVRKLRKSCAQYMRDNKEEFAPFISDADVGGVSAGADKAAEWETYLQGVEKCADVGGVWGGELELKAASLIYEKTIVVYRVAGGTYKIGEQFSSPRDRPLRLVYLRHAYHLGEHYNSTCYY >CRE30482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:67418:75242:1 gene:WBGene00071478 transcript:CRE30482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30482 MRTLLPLILISLAALFPVSNGYSKYTHPIQIMKPDENGVYAYDMLVAKKLTMSYNNDNPAKHATPVDYHYEAQNWTKRDPNQLVDCFASYEFNPHSSPIDENAMTDVLVADGLHKRVITINGDMPGAPIVVPYMSEVWIRVTNAILMDSMTIHVHGIDKHGMWYMDGVAFVQQCPIQSTNRFEYRFIADNKGTHWYHGHMQTDRGDGIAGGFIVIDENDRTVPTLDDRQRITPSREYFILLQDWATKVGEEAWMQLEDKTMKWMYGYDDFTKCWEPTRTSDGGNVGGAVPISAILLNNKGWYDHEIVKTQPFNLPLERFKINTTEDILFRIVNGGVAQELMLYVEGHQMTVVAADGDEVVPMNVDRLIVFPGERYDILIKGLATPTKKSYRIQVETIQRYFFDWKEIPIVYGFGFIEYQVDGLTEDKTAPNLLHPECTATNKCKVLNCPFQKWTAQPNFTCISYDTLENPYPEKIEKEILQAEAFNGGFEEHFINMHHDSQMDQFLFQFPWGIPYYHQDDMSTISRACEDTACANNSSSDLDTTCRCFYNLEHKLNNIVQITLYNMGLGGAMGTGYAHPFHLHGHHFYVMKVGWPTYNETGFIDQMNQDIDCDGPDVSCNGKKWRKKEWLGGALDGMNVKNPTKRDTITLPVGGYITIRFRATNPGWWFAHCHLELHLMGGTGYAYRVGNHDEIYMPPDNFPKDCGVFKVNKLPELRLPTQNSTVIPRFMLLSLWMVLVIDWFLNF >CRE05196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:160716:162188:-1 gene:WBGene00071479 transcript:CRE05196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05196 MRLGDGSARTEDMGTMFAKSENLLREVFAHVVTSTAPDQRLLAVYCFMRLGDGSVRTEDMRTMFAKSENILQEVFAHVVTSTAPDQRLLAVYCFMRLGDGSARTEDMRTMFAKSENLLREVFAHVVTSTAPDQRLLAVYCFMRLGDGSARTEDMRTMFAKSENLLREVFAHVVTSTAPDQRLLAVYCFMRLGDGSARTEDMRTMFAKSENLLREVFAHVVTSTAPDQRLLAVYCFMRLGDGSARTIRDDYIHSDNDKAEGMELMEQLETGGIQSDEHGGKEFRSTNEAIMEVHVTRLMAQLENSCLAAASPLGRTSMTQEFDGQLAALELTAPNTSYSTDDQIQVTIKLLMVTNNYR >CRE30483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:79256:84608:1 gene:WBGene00071480 transcript:CRE30483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30483 MSLSPTPDAAAPAGNPPDLPVPAVAAPLPNDGPSTSDAAPQDADCSALMPSNLDLLDVVEEDGSVDYRSESEIGVELGGIDGIEDTQLMTQESVRKRDKKKMKKAADVNRVAVKRQAESQDDREHRLKLKADATAVQRSQQSEAKKSSMNRRHSSQKATNRSQQSEEQKTSRRVSVASRAAARRSGESDDVVKERRSSNRIRNAVSRAKETVRQRVLRNAADRVQKSTRQGALLGIAASGAQPDPHYIGRMDQVCPHCEALYFKAEFDFQIYNSYSKKETTKQGIFTACCSSGAIRLENQNRLPSFLTKLYVTPIEEEPNDDDEKKKLRADAKNFRENIRQYNNSTAMACMKAEVKLPRGGPYTYCVHKQVYHLLGDLHPAPGEPRNFAQIFIIDTEQAAAELAGREMNSSCSKEIFEKLIDILKQHHPHVKSFKMMFEVEKEEKEKAALEKRPERTVKMTFQIRSQDDQRRYQNPTADEIAVVYVGDEEEIPGKRGLTVHQKSGQLQSLHVIDPNCDPMTYPLLFPKGQMGWHPKIPYARKKGNRVNVTMREFYAFNLHVRKTFRPLFRAGKLMQQYVVDVWSRVEQNRLNFYMQNQASLRSEQMSGLQDYVAGEEKGPVGVRIILPASHTGSPRDMVQKYQDAMSVVAKYGKPDFFITITCNPKWDEIQECLANGQTATDRPDVVARVFKMKIDEIKRDLFQRNGLGEVMAYIYVVEFQKRGLPHVHMLLIMKPGSKPRTAADVDRLISAEIPDKDKNPLLYELVTTMMMHRPCGVHNPSSPCMRGDVCTKKFPKEFRETTSTDNDGFSLYRRRDDGRFFEYKMGRNRVKLTNQHVVPYNSWFLMKYKCHINVEVCGAISSVKYLYKYVYKGTTRASIVLRFDEAGNPNQVIDEIKQYLDTRYVCAPEAAHHLFGFPMSERSVSVVQLPVHLPGDQTVLFQQGQEAEALARAESKNSKLTGWFEANKKSAGAVLPDGTFPLTLRDSRSFYYHEMPEHFIFNSKTGTWNSRKTKEFSIGRMYFISPMNREKFALRQLLLYTKGSTSFEDLRTVQGHKWDTFVEAARASGFLSDDTIYEQTLQEAALFHSPCQLRGLFVTLLLFETIDNAEALWDKFLDDLSEDFEHQGYSKSESEAMAYFDMFDRMEAMNEDLKKWIKKSYIRVHRYGQVIDHEMCKKLGEQMRESLNKEQAEFIDAVLNSLDVGGLFFLDGPGGSGKTYVYNCLANIIMGMKKKILTMAWVGIAAALLPNGRTVASIMKLDINNGCKTCRINLRSDLAKWLLECEFVLWDEAPMSPKASMETVDRFMREVTGIDLPFGGKVVVLGGDFRQVLPVIERGGADEQIANCISRSLLWKDFQIFHLTTNMRLTGGALDWKKRLLEIGDGKMGDPVTGEMQIPEGLESTGDLADEVFGDLLENGDVEKLAKVAILTPRNKEALEMNNSVLDKMPGTLRSYYSLDEISNKDGKPEIRDSMHFTTEFLNKMTPSGMPPHELRLKKGAIVMLLRNLDVKNSLCNGTRFVVVEMGDRVLQCKFVSGARQGQTVLIPRIKLNYEKNLPFTMSRLQFPLRLSFAMTINKSQGQTFDKIGLRLDEPIFSHGQLYVALSRTTTREGIHIQAPSGVVNNVVFKEVLL >CRE30489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:86098:90604:-1 gene:WBGene00071481 transcript:CRE30489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30489 MWSPSTQRILIITVILLILIKLLFFSNSKLIDSVEPRDLEKSDVFDFIVDTNSLWVDEGEEKRFESYTNIPAYAQVSNKIAAITKSKTAVCETDTFIGQQVLCGLQEKIDEETEDRPCYNCRLDLRCYLVKIYAEITQLYAKNKTVTEDQMKFVPQNWFCQMESMNQRFQFVDHSLFSNPTNPAYAEAKRPDAESIFADSVSSYEKISKFYTTYIYSRPDVLDLFTKTYYWDYLVLKMPLPELRLAEVRDYKEGWY >CRE30484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:91596:101364:1 gene:WBGene00071482 transcript:CRE30484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30484 MNKLPDIGSDMNQDEKDYAEYIGVGVAHHKPPAKKMRLTTSSVSSLIVDSEVNQEPKSIDVFASSQPSNDGYETCRESIGDEETSGNPAENGPKMAENGQKMTENGQFLAENGQKMAENGQKSAENGQFLAENAPEIESEYVADSQEVESEGSMEIGEVFDETEIYEAEKREKAAEKEQNESNSSQEDEEVLKEMENEMKTAEEMSLQKSPSSQDIFDYESDKYPQILEDSESTDVVRNNVEEEETMEVDEKEEEEDVKEVDDVRMENQEEMNPEVVVVAEEEDVEEQESETKSESLCRKKIERVSLEPKRKSQRRHRLLRSLNRLLVHVQTINISYSNRISSRRIAIRKRITDTRDLPNPRYEMYKNLLTGYARENRYKTDCLMLFSGLIHDMCGMTVYHPFPALFGYFSKYESYQGNDRYALDQFGRVVQIALSGYQLLPERIYCLMGQVESATLSHRQCAALIARMFFTSTAPNFLGILNSNSPVAVEKLRFLFAYFDRISANPPQGVVSFRRISRDEETLRTTKWRERKFQKLPEVTMLDDERIEQTTQCTQVDFANEFLGGGVTKEGSVQEEIRFLMCPEMIVGMLLSKTAMHNTESFSIIGAYVYSSYDGYGK >CRE19927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:297680:301760:-1 gene:WBGene00071484 transcript:CRE19927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arrd-16 MPFHLAVGLSNCEQIFEPGGTIEGYVTFDLRESIKVKAIRISAEGLATTKWLLSESSKSSHGRSREVSYSAKVTYLDEDQMVWKPSEGHSKSSVFPGIHVYPFKFQIPAGVPPSFEGDHGNIRYHLKATVERPWKTNRSVTRYLSVLPAKDLNKEVTAGEETSSWKSKKVGFFLFRYGKVNLQIRIPKKGYVPGETIYIETNIDNASSRPILKAECYLIQQCRFLAYRYGIAGPTDGRRSSSLSENDRYLSRKRDEIKIVSVIHEMQIDPRTEHKSKMRLKIPCTCPTFDSTLIHVEYFVVVKLQVKCRIRNTVKAECPIIIGSKPLADENVSPRTPTYQEVATFSALTHRSMMSVDEKLTPKYVYYPKYGRSRDEDADEPPSLTDLQLPPIDSLSDDD >CRE19899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:296122:296962:1 gene:WBGene00071485 transcript:CRE19899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19899 MSAPPTETPEKEQLKTYCKTTATYINKLDLTETMSREQMLGEGMTTQVSPYSYRVGSLALAMDHAAFCTNRRCCRGFCFSLKGILKHYADCYHLGCLECHRFNPVVFEHVLFCEDNRNCRIPGCLSIARATGRIMETASELLQVFVGFEGEKLGHG >CRE19926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:289102:289523:-1 gene:WBGene00071487 transcript:CRE19926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snr-6 description:CRE-SNR-6 protein [Source:UniProtKB/TrEMBL;Acc:E3N311] MSQRKLQKVMVQPVNLIFRYLQNRTRVQIWLYEDITHRLEGYIIGFDEFMNVVFDEAEEVNMKTKGRNKIGRILLKGDNITLIHAAQQEA >CRE19897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:286296:289010:1 gene:WBGene00071488 transcript:CRE19897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pie-1 description:CRE-PIE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N310] MAHPKPIGEQMAALNTSDDTSFAADRSNGVLNATCPARIQNTSFGRNNVSLNESTASSSGGKWLKPKREALKITPLAQIDENPPVTRKYVAPREKRSDYKTRLCDAFRRHGYCPYNNNCTYAHGDHELQMPVMRRPLMEYSGRDSSRQNRRDSRERRGERRGDSRSRRGGDERDGETSFSRRGEDRRGDRYHRDDQNWRPSSSSSRQICHAFQRGNCRFGPRCRYIHQEQMQQFNANATMFAAPPSSDSIAFYHHNTTQTYMMPYFIAPPSSQAPPPQFMQPCDLNQSIPVYLSAPPSHQMGTTYYCAPPPMSQTPVMDQSMMMMDQSMMMMDQSMMMGPATTTTVFPDFSLPPPQLMS >CRE19925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:273584:282027:-1 gene:WBGene00071489 transcript:CRE19925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tat-1 description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3N309] MPTEASRDNNRHIHLGELRTHDHPHHAHRFCSNRISTCKYNGFSFLPRFLYEQFRRYNNIFFLAIALLQQIPDVSPTGRYTTAVPFLIILSVSALKEIFEDVKRRRSDNKVNAFPVEILVDGQWVEKQWKDVKVGDFIRIDNDSLFPADLLLLSSSEQQGMAYIETSNLDGETNLKIKQALDITASMTSSDQLSHFQSDITCESPSRHVNEFNGNIEINGETRHFGIDQLLLRGARLKNTAWIFGAVIYTGHDSKLLMNSKRAPLKSGTIDVQTNYRIIFLFFVLVALALISAAGSEVWRRHNIPQAWYLSFLEHDPKGSFLWGVLTFFILYNNLIPISLQVTLEIVRFFQAIYINNDIEMYDVNSDSCAIARTSNLNEELGQVKYIMSDKTGTLTRNVMKFKRVSIGSKNYGNNEDDEFNDPKLMEDVERGDEHSESIVEVLKMMAVCHTVVPEKKEDGSDELIYQSSSPDEAALVRGAASQKVTFHTRQPQKVIVKVFGEDETIEILDVIDFTSDRKRMSVIVRDQDEIKLYTKGADTVIFERLRETSESQQMIDYCTEHLEDYASFGYRTLCFAVRKLSNEEYGQWAPEYKKAVLAIENRAKLLAEAAEKLERDMVLVGATAIEDKLQEWVPETIQALMAADIRVWMLTGDKRETAINIAHSCALVHQNTELLIVDKTTYEETYQKLEQFSTRSQELEKQEKEFALVIDGKSLLHALTGESRKHFGDLALRCHAVVCCRMSPMQKAEVVEMVRKLARHVVLAIGDGANDVAMIQAANVGVGISGEEGLQAASASDYAIPRFHFLRRLLLVHGAWNHDRSVKVILYSFYKNICLYIIELWFAIFSAWSGQTIFERWTIGMFNVIFTAWPPVVLGLFDHPVPADQIMKYPALYASFQNRAFSIGNFSLWIGMAIIHSLSLFFLTYATMEHQVVWDNGLTGGWLMLGNCAYTVIAPPPFSLISSFHFQFVVATVCLKALLECDSWTWPVVVACLGSIGLWIIFVIVYAQVFPHVGGIGADMAGMAYIMMSSWTFWLALLFIPLATLMWDLVIKR >CRE19896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:271217:272443:1 gene:WBGene00071491 transcript:CRE19896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19896 MSDKTSSKQSTSKVDDNRMIVVETQMSVKERRKKVKKFTKLIASSVKVEDETRLQLGELAPKCSEQEADAILEPMRIFNRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAAQVQVEKNLLTECWKEEKEMLGEQIRKFQREKEVAEAQVSKLEKALTQLRKKLEQEERKPNGLWDEAQEIAVETGTGDEKTSSEEKKVFMVGNLGIRVENRSPYKKTAEKSKEIAVDTVTEEKEDGMKPKKTVIRESKILIAPRIGVKGKSIFEYRKSALETWKNRFDFANVEAIIFLVNLTEDEKTNQKLGALVLELAEEVKEITIVPYQMDCAKSGLVECWKRSWITAGHVKWSESAASAVEKFKTWKQLLEFLEARTTENVVVAQLRKESVTSEPRIKEDKWSHQ >CRE19895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:262831:263604:1 gene:WBGene00071492 transcript:CRE19895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19895 MDRVDDSGLGADEDQTPRVRIAEDRLHRSRRGPNGTMSPITDVIDVPIGHAHSPVNSDDDDDDDTMDYDDSEEGSEDSESSESEGEECNDHGMALRSTRVANGCMEKAEFKDLVKEILGEEKREDGGKFGIRLDALDVLQKASEDMLAELFGKANEVAKMGKREKIKVTDIQAVRLCEEIAKQSGSERNPPRIE >CRE19894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:260227:261887:1 gene:WBGene00071493 transcript:CRE19894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19894 MSVKKNSVTEILYAKVFTNQHLLENILSYLSDDFSKNLDVRLVNKSINNTFLRLIRRNHRTMKIEYAYNTDDVIKLKKNYIYINYRKISQQDVLPYFIFLNTAVGVKVRKITTRKLWMLKDKFKRRLHDLIHSQLIGSNGTHIQTLIGLEEACDGCEKCSNIAQKCLEYGPLRFSTLQTMIYSKNYKKLHVTDKLFEDIAEYCIAKSKNKEECYKELDETILSTISCDKLAIWINETRVLPNDEENHMHMPREVIDIILRKWNVKSIKLSMLHKTNEYVCRDEWLRYDYFTRVRLNDPYSKTKQSDLKFNHVEVSLSYSQECVRGLGNLPPESEPPGGYDNFIPNIRRIFPTDRITMDLSHWFAVARKDIEKKMSTILQVVTMEKPQNLSLDMKFFVQSGTVKKLNEETNKEELLGIASGYVLQENRLHCFKKSSPFIGGKGPKVFLDNKWIGRRFHIEDTVNQFNFNLDVYIKEKELEEEFNEELLQEYPNSFVRHFFACNNKI >CRE19892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:250963:252649:1 gene:WBGene00071494 transcript:CRE19892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19892 MSTTSSPVKPETEQYQDNKENAETSPPKSDESKDEQKNTSTETEVTPKLSKKDKKRQDEVAKMSKQLDNHGTQIKSIEGALSLTASTVDEVTARLAQSEEEIASLKEDLEILETRVRTDTITAANHAHVLTRISHIEIMMASLKRKPSLQEGAGTSKIAKSMSSSEPGVKPASRPEESTICPLCDGAHFMNDCVLFPTALSKLNEFKKTGRCLKCAQLGCSGRSQCPNSVKTCTKCQDRQSPPSCYHLPIVCLYDEVFVKRQKDKRERERRTKMMETPAKSEQPQSAQQVQQQQQTVPQQMHQQQQPQQQMPQQQQLQQFQAPSQPYYQQPHQPAQPVFAMPRVPQQQMMPQQMMYQQPHHQQFQQSSPQGFNPTPQQPYGCYPQY >CRE19891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:249082:250185:1 gene:WBGene00071495 transcript:CRE19891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19891 MKVETYHDRRTDEMRLLIDKRTFTTNKEYLLRQEGILKRLVEVNDETRRETMILDIPGGNPDRFQLILNYHRNGFVDLPQATDDIKTIKDEAIRYGLEGLAMSCDEKIRREEFVAERIQWLARFFRFGLAYNEPVPQSTWIPQAELESAIFRVTTQNYDLAMASEAQFYTQTTGNTFRLRQFFEFIERPKSKYSKWYEFAEILQAHLEDLVETEKRFGTRLKTEKRSEKQIIERKTGAVRRIQIRRIESETFPVHVRTNLEVITVISILSEFGEIVGYHHRPSARFPSPDFMFITYKNRESQRAALQAKHVIDTEGRRVMIRQALPPYNGHKPVYQPYDETLATRNGKANNI >CRE13943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:568875:573748:1 gene:WBGene00071496 transcript:CRE13943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13943 MEKWNTPGGLFHFIYSDFNFVFTAIDETIEEVRSKVRRITGSGKKVKSLEQIAIEFENKDGTQFMTEEEISEMKEHILIEYEPRFVYRNESRVSLPETRLRFKNKTNTPEIMQRTFDQFIRYCLGKAGGNLEKTRMSFGFFHEGFHKTQGFWINERTYQTFNGQVLFDELERITQSKEGVDIDDTFIIHMHVFNTFEGGARQKNTLFDEQLKIPTHVVGNGKCLPKSVAISMAFLASKENETKRLEWERMIRVKYRSLNEKLQLKAANAILEHAGLSTDQLVFNIDDLEKIAAVYPEYKFEVYSRPAYEKVYQIIKEFNIDGEKIVTIAFKKEDGVGHYDFIRPSLAYMKTSFCHKCKKKTSSTGHSQVCEAKCQKCGFYECDTTQIETIYCDKCNTNFSNQDCYNGHLECAYNSKKPMCDKRYTCRECFFRVCKDKMSQDEVHECEKRSRCMQCREMYDKTRYHNCCFQPPRKRFRESKMKSQNIYKILCYDVESIVVNSPNGPDYSQPQPIHKVNLICFKMICNKCVEERLECDCESGNFHYFEHVDPLEDFTEFLLYTKKLDGAYIIAHNGGRYDHNFVLSTMVKIFGIIPDYVSNGTSLIMADITKTMWRTQEHNNLKFRDSFRFIPMALSKMPKTFGITELKKGYYPYYFNHKDNYGKILDRLPAKHFYDPEHMKPEPLMEFEKWYEEHQNDVFDADQELLAYCQSDVEILAAGVAEYIKVTLFCLFLKKFWLQICKNLFNNWNPIMSACTIASYVHHILKFDHFGRGDIGIIPENGFPERNNSVFALKTLMWIEKETGIRIHHKLRGPEKMIRMTNGDCYFVDGFDETTNTVYEIHGCFYHGCPKCTNPTLQHPNHPGVENKAIYDGTIKREQRLKEANYNVISWWEHEINDMLKQNSEMRDFFNKCRHATHLLPREGMYGGRTQPYQMIVECEDDEELCYDDFNSLYPSVNIMFKYPRGQPIVIKTHFPPIVVGQPVNKKGLYLCSMLAPADIKTTVLPYKIPGFLTFPSCRTCIEKNQKAACNHNKVSDRYLTGIWTHAELNAAIERGYRLLQIHEIWWWPDSKWKTADYFVNYLKPMIQLKHESSDWPRDDMTDNEKDAYINEIARRDGVTLVKDNVRKADNMREMSKLFLNTCWGKFAENPVRTESKIFETLDHVSQSEYMSTQGYEVKWIEDWDCGRTLITRSSKTESVKTKPFTNVVIDTDSVIYKKKIGEPSPVEQLIGDGLGKLKSEIPAGYRMKKIVCMASKVYSYRLIHTETKAEKIVTKFKGVVLNSSTSRIINMGTMESSVRQFLDGQTNIILAPERTMRRSKVLGNVTTTLFVKQLKPVMDKVRVLPDGKTLPSGYYLNCPLIEDYPYC >CRE04345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:99339:104555:-1 gene:WBGene00071498 transcript:CRE04345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04345 YVTFINVNLHESAVDPTATAKWCKFDDDVVSRTTTDDAIVSNFGGEKAMNTSAYMLVYVRDNAIEQVLAPIPDTQIPQSVSRTFELERMTRNREKKKMEEEQLCMTVALVTPDIVATNHTYDLVEQTIINEVIPHETVWKHMMTAELYLFVNDKLFQKSDLPKFIECSGDMRLDSILSSEFEVLYVEFSNNKDRPLNDYLTTRDILFFIKYYDAITHKFNIITHTMLDCHKRISLYRSHLCEILGLPTDTELKYYIEHSPMTVEYIDETNKNTLGRLVEDQDGSILIVEKAATSTPINNAKVKMSELYLRVEFEFHQAFHNKKVEEEPIEPFLLKFSLDQPLTDAAKEVAARHDVDHRRILFWTRMSGNRQEACFDDYSIHQCRQLMTRQIHDPRIMKVYRVQYIIMPFDVEEVSKTRMQCRLYWQLPNGHVEEATLFPPKEGTVADLLEEAERYYPYAEGGSRKFRLLQIGNSPLNNQRVYQIYNENLALADVDQRTMYKMNIQQALHARIEEIPVDELEITPGDFFCPVVHFEREPTKLFGVSFVIKIRNGELMTEVRDRLRRKLPDVTDAEFAKYKFALLSRDKLCRNIEFNVGEKVNLTDMANQTTGVPQVYIGLDHKAPSQHSNEAAIRILN >CRE04335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:97682:98517:1 gene:WBGene00071499 transcript:CRE04335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04335 MSILVYDREHNFKKWYIYWIPKTKTLGVKDGTDVVYEKMVTLTERTELLKVSKSLVEEKWAALFSECGLHIEEVCDKELVLTFALQRNITLERTELSGNFDECLYLEYLRLKTLTNVSPMKRKRTASSVDHLKPEDVKPMLVPKIDPVKKRTARMTAKATGPEFDDDDE >CRE04334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:93376:97377:1 gene:WBGene00071500 transcript:CRE04334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04334 description:O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NGS8] MRHQATRRRRAPSETSSIASSRRSSFAQNGNSSRKSSELKGPCEKVVHTAQDSLFSTSSGWTNFRGFFNLSILLLVLSNGRVALENVIKYGILITPLQWISTFVEHNYSIWSWPNLALILCSNFQILLVLGVEKILERGWLGNVFAAVFYTTLVILHLMIPVVVTLTHTWKNPLWSVVMMGVYVIEALKFISYGHVNYWARDARRKITELKTQVTDLAKKTCDPKQFWDLKDELSMHQMAASYPANLSLSNIYYFMAAPTLCYEFKFPRTLRIRKHFLIKRTIELVFLSFLIAALVQQWVVPTVRNSMKPLSEMELPRCMERLLKLAIPNHLIWLLFFYTFFHSFLNLVAELLRFADREFYRDFWNAETISYFWKSWNIPVHRFAVRHIYSPMMRNNFSKMSAFFVVFFVSAFFHEYLVSVPLKMFRLWSYYGMMGQIPLSYVTDKIVRGGRTGNIIVWLSLIVGQPLAILMYGHDWYILNFGVSSVTNQTIVI >CRE04333.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:91894:92862:1 gene:WBGene00071501 transcript:CRE04333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atp-5 description:CRE-ATP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NGS7] MSGAAKRVATSSVNWGKLAERLVPEHSAELTRVKGVSGTFQSAVSQLPADLPKIDFAALKKALPAHSAVLDSLQKQYEAVKIPYGEVPADYLKEVDQWVEYNNARIKLHDIKVADGLQEAKKVEEKWAKAPPVEHFDRQHFVEYFPAHFYDLRYQNRIPDPCNIGLNETPEIENRFKDYKVLRRADKVDDH >CRE04333.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:91894:92795:1 gene:WBGene00071501 transcript:CRE04333.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atp-5 description:CRE-ATP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NGS7] MSGAAKRVATSSVNWGKLAERLVPEHSAELTRVKGVSGTFQSAVSQLPADLPKIDFAALKKALPAHSAVLDSLQKQYEAVKIPYGEVPADYLKEVDQWVEYNNARIKLHDIKVADGLQEAKKVEEKWAKAPPVEHFDRQHFVEYFPAHFYDLRYQNRIPDPCNIGLNETPEIENRFKDYKVLRRADKVDDH >CRE04344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:90973:91481:-1 gene:WBGene00071502 transcript:CRE04344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lmtr-3 MNVQQELEELMLTYEGVCAIFITDHDGGLILNVGLPVTLDNSRFRQQLIVSHVTTIPQIHKLNMGGHQTTFAMYESHQIAIHSLARYYFIVHAGANTNTGAMLALREKLAPIANYMMTVCPPIDGDGLMPPPSFASAAAPPPDFD >CRE04343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:86502:89955:-1 gene:WBGene00071503 transcript:CRE04343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04343 MLNRNWGSFVLRQRRHFSHRKSNVFSVQYSFSLYRNDRRFTRFAIAASTLGFTFKEHGISDKRMAECDNCEEEFAHRKTPGNGWELLYEEKDMLAFRRRIEGPYEMYEYKCVGTYYDISPRTFLDAQNDLKYRKEWDENIVTIEVVKEENENELIRWVSKFPYPMYPREYVYVRRTWVSDNEKYAVIDSESVQPEVFPSISKTNVRVRSYTSRMSIRAHTDWESHGVDYILTYCDNPEANIPRYIYNWMVNKGGPYFLKQVHKAAREIESSGREVRSATEKAQNSKNDRVTERLEKESEEKQRRDAETARQENKENNGEKKAQKTQKAPRSSAANSFVLQSQEQVNYQRTAS >CRE04342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:81438:86210:-1 gene:WBGene00071504 transcript:CRE04342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jmjd-5 MEIKRLSIEECREGVFDIRRKVFIEEQNCPEHMEWEEEEERDSVYFVAFSGDRAVGCLRLRPVEQDLLKMERVAVLKEFRRRRIATDLVREAMIYVQTETPSSSIYAYAQVTALQAYVSLGFTVLSKVWIEDETFIPHQTIFWGTPVSISVFLKHQAEKSDVVYEEYDARHPSVLPKIEAYKQRLENLETWNICSLHIHLEDRVVSKIIRNNFIKFCANSQEFLNGNHDLSSDIVKQSINLLKIADAKLNTGHFNEVDENWRKLYALVSFVQSFLLFRGKRADFENAIKIADKGLCMGRIDEEIVPIRQLAWLIHEQLPGVSAPIHSSFSSFSSFSAEKTQNFLSPLPNSVPISECDDSDDDCLERVISAISQGTPLLIRRHCMHMPAVRKWNIEFLLKELHSRTFPVEIGTKYSDEDWSQKLMTFGEFVENSEYRFSVKKSSKITFFQKKKHLQNQRLYLAQHRLFDQVPHLKRNVIIPDECFRESTNPDDVDMNMWIGPSNTVSPLHTDPRNNMFVQVHGTKLFRMVSPEDTSSVYPFDGILGNTSQVDVENPDATEFPEFSRIRRVFDGVVNAGDALFIPKKWWHFEALIVLCLSCLFVTPRYNTIAFFGGRVSAKPRPPFAKPRPPFPKPRPPFPKPRPPFAKPRPPFAKPRPPSAKPRPSFEKPRPPSAKPRPSFEKPRPPSAKPRPPFTKPRPPFAKPRPPPFPVIISVIILLFPVFHPHLRREAPPQLFSVSVPFSFMILGGLLTNIMT >CRE04341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:64570:67120:-1 gene:WBGene00071505 transcript:CRE04341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-3 description:CRE-GLB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NGS3] MGNNVPSRRMSRATVHLENSNGVENMSFTDAIHLSPHQVQLLTSTWPRIKTQSTLFTQVFKVLMQRSPVCREMFQKMSIVGGFSSNSVCDLNSHAKLLCELLDTLMTDLHQPAKIVLAKCQDVGAAHVNMNEKCCGVVFDQLGEAFTELITKVECVRSKREAVKSWMCVISYMADSIKSGYMEEWAKKRRSNNQAPAQNYQAAGGSCPYA >CRE04340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:57684:63141:-1 gene:WBGene00071506 transcript:CRE04340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-folt-1 description:CRE-FOLT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NGS2] MDWRTTTAVLCLYGAVKEFRPATPFLTPFLASPEKNLTLDELYSQVYPYWTYSYMMALIPMFVLTDILRYKPIVMIEAIGLVATWGLLVFGKGVTQMQIMQVSFGVASAAEIAYYSYIYSIVDRKHYKRATSYIRSAALFGKLVAFGLGQTLISTHASNYLVLNQISLGAVCLVTIIAVFLPRVKSEKAKVSMRALSIEEQQNLELVTSSPKEKKLSYTQEYLKRSLEELKTCSKNHELLKWSLWWALASCGVYQVQNYTQSLWKEMQTDPDDVANGVVEFVNTALGAFLSLFIHHLSINWARHGQMILFVTSAIVAGLLYVCSQTQTVMVAYVAYVVIASIYHMLITAASANVAKELSSNNHGLIFGCNTFLAVCLQSILTLVVVDSRFLHLGIRTQFVIYSGYFAIVALIFAVFFVISLFSKKTENETG >CRE04332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:54550:57503:1 gene:WBGene00071507 transcript:CRE04332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04332 MPDDVNVFSKSKRKGFVVGDVDVEDKVDGAFALDALPVEIVAKIGSQLGFNDVVSFRQSSQAMNQVYREFRGLMKGPEIHVFVNIEEGQVVTSFRGHRQYRGATHLVSRSDRPMIFRNAEITLTIRLGETPMTPEVAAEILETFEKSQIVRIQLRAESCSWRTKDLINELESPSVELNVRRYHECIPEVRNLTDLKIRQEMFFFQLQTILVMNLPSLSVHVTIASLPKVFECINEWRESRRDILSWFFKIPFVEINPTFPRLEIDWRRSVMERIDRTARLRMHTRIGALEEGDAMQYQTISWVMS >CRE04338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:47393:48004:-1 gene:WBGene00071508 transcript:CRE04338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04338 MAPSHTTVLASQTSGGQFDTVRTQTVVYAGKSKKTIPITPIISEEDVFELKVRKEFPEYVERKKEKYTWKQFYQKRMDKKQKKQEEKMKKLVSKIEKSSALQQKSAHKTKLIEIGGSTPGKKFGSSSRLLPLPSNQEIQRKPPTISIATATVIKPRQVSAPLQGPTQVRRVPPAAVARTFTQNGASTKKTTPLMRKCLQMMKK >CRE04337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:44729:45015:-1 gene:WBGene00071509 transcript:CRE04337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nlp-33 description:CRE-NLP-33 protein [Source:UniProtKB/TrEMBL;Acc:E3NGR8] MISTTSLLLVVLFCAILAIVDAQWGYGGPYGGYGGGPYGGYGGGPWGGYGGGWRRRHWGGPWGGGYGGGPYGGWYGK >CRE04336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:38377:40409:-1 gene:WBGene00071510 transcript:CRE04336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04336 MQFFLEKIFRFPKSSTAISSCTFSVQSLPFSVMSQQPKSYFQNVDTHDEYHDDGAPAGAEMTGTLSKQFQPKKYKDGTKMWNAEQSGEETYSYTPFKAATLNRTPKPTRDSVHSGTLRPPKMSPFIYATDNIEQVSPPSAPATPRVQRARPESAAGGTMTREQKLTWGRPPPAGQHFRSASSMSHGRQQHPSEFVPISMGPPMHPMAPMAQRFPSFNTIPRDPRDQMEAMHAAPFYPHGPPQEFQMHSRPASAVPSMGHPVPLSQMGAFVPAAMMEQKPSPRTVANQLAQRSNYLSWNMISLVCSLQVLVCIGIFSVGCARIFWGSLWAIGIEIIFATFALFPPLVGMYAAKKNSYSAALFCFSTNALQTVFAVVPFILGLFPVFPYIFPRADAKLFVSETEPIVCDLILSFLVILETILAFYMAVLGCKAGGSLMSSVDDIKLQNNMKEAFESRHDGLPFKNI >CRE04330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:33145:36280:1 gene:WBGene00071511 transcript:CRE04330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04330 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NGR5] MISFLISMLYSIPSVVIYTLTVIIIRFKWTTIGTSFYQFYIFEYAMNMLTYFNTLLSIRFPNLIGADSRLRNFFASQNETTFELQCQFFLQFHMAFVQYGTTTVVAINRMTIIINMEYFEKYPNHFQKWRRFSWVWMILIVSIPFFVTFQVFLYDVFFKYNKASDRFDLTSGCPIFSLFSFLFYFMCGCSVITLVSNAVTFIKIRRLPIKTRKLEIQFCLVSLIAGIIQIIGTLLTLAMRNAVPNTDLFKLTNLCLPFVSDALSLAQPFVLMTFSEPIRKLVTGIMFNFHCCGCLVPTSVSRVADASIT >CRE04329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:30101:31961:1 gene:WBGene00071512 transcript:CRE04329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04329 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NGR4] MPTPTVPLISFIASVTYGLPSTILYFLTFYVILNKRKSFDSSYFQIYVFDGFMNLFTYFNAFFTIRLSSITCYDCFMAPVFKNLANFRFLKFIATMGVHMAYVQYSISTLVSLNRLSVLLNYSFFEPIWRKFTWLFIILIYFLPFLNTYIVFEYEVKVMYFEEDESFSLVSPGFPVAKLYSILIPFMFITTTLCVLLNSFSILFLRSQSIQKKKAESNFLIILSITCLVQIIGTVLTIAITLLSTSSVLGVLLMILPYSSDGLCLVQPWLLVSFCGSIRKEIKSLFGLSPPKSESQMFQVRSITN >CRE04326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:25480:26005:1 gene:WBGene00071513 transcript:CRE04326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-74 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3NGR1] MAQSVPPGDIQTQPSAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE04325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:22034:24458:1 gene:WBGene00071514 transcript:CRE04325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04325 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NGR0] MLSALLTFVISAIYSVPSILLYLFTIYIIFRYRKSFDSSFFTLYLYDGAMNLFTYIVGFYMMRLNSITCDTCAFAFLYRNMHNYFPMNFLTSMSYHMAYVQYSTTALISFNRLSVLWNYKTAEPIWKKYTWLIMLIVFALPFLDTHRCFYYRTEIVYDEESESYGLKTPMPINDNFFFLIPAMIFITILSIGTNITSLMTVRTIGTQKRSKVEFNFVIIMSITCFVQFLGCALSVARVALSTHPLAATLAGILPFISDGLTLVQPWLLVGFSHAIRAKIRQMFGWQDTNVLVVRSMTS >CRE04324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:5075:5829:1 gene:WBGene00071515 transcript:CRE04324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04324 MSFSTERTKKRSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENQVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQENEPKKAGQARDTKEVPVPSRGEKR >CRE04323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:3207:4723:1 gene:WBGene00071516 transcript:CRE04323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04323 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NGQ8] MLSPWLTFCIYLFYGIPSFILYILTFYIILRYRKTFDSSFFQLYLFDGALNLFTFLNNYFKTRIPAIIGYNSFIGAFYRIIANTIVLDFTMLMNFHMAYVQYAITTLVSLNRLSVMLKYNTFEPLWRKYTWIAIVLICFVPLLNTKVVLHYDTQLTYLNTTDTYSITTNMPIDEVFSICIPFMIISTVLSVAINFISVTVVRNLQTQIRYKAESNFIKWLRRSSTFSKPIGREETKGEKARGSWTTQATSKADVKVNFP >CRE30662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:74183:75140:1 gene:WBGene00071517 transcript:CRE30662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30662 MNQMEVICTSLFQTRDGERLVRFFDQVESVYGVNSLERFGSEPMVVAYAYALFHSNNFTKLYNLLSTRNFDKKYFDDLTKIWYEAKYKEAQLNRKSGEELTAVERHRLRKRTELPSTIWDGEKTVYSFKDSSRRYLRKFFKEVTRKPNQEQRKELSRVTGLKLIQISNWFKNRRQRHKCDLSEHLSPSSSSSNQSSLYSNLVGVPFH >CRE30923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:70222:73271:-1 gene:WBGene00071518 transcript:CRE30923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-39 description:CRE-UNC-39 protein [Source:UniProtKB/TrEMBL;Acc:E3LTK7] MSNLLPPPPYFMQDPHLVDTSSYFDCYQQHQHLQYSTISSVSTSKIPSTTSLLPSHFASSGAVQPYELSSSSSHILTPPSIIPTPPPSITSPSLSTFGFPMGDYNLDQVFKFKFLTFLVNYQFQMEAICTSLFQARDGERLVAFFNQLKTVYGSNALDHFGSEAIVVGEESPVKMELRVDDLYAYTYALYHSNDFERLFHLLSTRHFQQIYFTDLQEIWHYARYKESQLKRGKELNPVEKYRLRRKFPPPKTIWDGEETVYSFKDSSRKYLKKFFQDVTQYPSQEQKRDISRVTKLKVVQVRLIDFDIRISPIFFQISNWFKNRRQRDKTDQSDRSPQSSSSSTNGGSDFPPLINSQSFNLAPFNMQMNVFFDS >CRE30661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:67658:69691:1 gene:WBGene00071519 transcript:CRE30661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30661 MNETNWMDGINNSKCEYRASGQSEFTLWLDGPITISAALFSAVGTVYAIGFLRNGHLNRRMSAALYTLCLMDFMLMMTTVLFLSIEPLSILLWRCNIFYQHQGMILVLYGIRNSFAMASPMLVCYITYIRYRVVNNPLKFASNYSRSVSRKTLRVGREGGYYIFFKYSDFQSGIQFLQRKSISAGKMSTTQQPSTESAKFTISFPAEMFFEFHSRSNTGKINANFRRFFKPFQVPILLVIFCFLVHCSSYFEFFLVSCIDKDYKEETKMLHPASLRNTDWYIQLKLALTMTTETLGPMIFISTLSVFTEYKMHQNVKERRRLFECQKRSRDTLVTEELKDKASKALAIFIVVKFLILRTLPTLIDLYEVFLEGSNHFGPFMTIVIRISDFLVILNSATNTLAYFGKMRFEKCFRWLERRIRCRIVKKEAKEILNTSLTG >CRE30922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:57059:58325:-1 gene:WBGene00071520 transcript:CRE30922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30922 MYSTMNNIIIKLTHLILAFLLLPIFTIIVCSCNTRERQQTHLERRQALRERQRQAVLSGRSVRSVIETEVDSETAHCPTWVCVGKLTPRLKNPNEVYERIDQFEEASENLNKEKPPFTLIVDSPNQRRKSMKMKNVKYTDLVSNADTVASQWAFILNPKVRNICEISLDTSHILSRNKV >CRE30921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:48985:51071:-1 gene:WBGene00071521 transcript:CRE30921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gnrr-2 description:CRE-GNRR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LTK4] MSLTTLNATIPSSSDQVETLGHEPTDYAQMLLYGLFALIGLPVNISTLIYMLKRYRHAKSFLLLLHINLNISDILVLGLYVPGLIGWLVTLEWRGGEYLCKFMRFVDAFVFAISSNIMVCIALYRLSALRYPLWVNAVGHSRVPRMLILAWALAVITMLPQMLVWNNVQFNHITQCVTVWTEIINKGHTLSESELRNMKLYSIQNAIIIFYVPLMILVACYVLILKDIYKTLNTDTECSSAAYLSEMSSSKTGGKATVHKKEQESFVTLTTRTVRGQEKFRRAKVRSLRITLLLILTYAVTWLPYNLLSWWMVLHFDSYKANLDSNYILNSLVVLNSVINPFIYGRCQGIRFLFKCRERLAVPKTKKICKLFPN >CRE30660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:45571:47997:1 gene:WBGene00071522 transcript:CRE30660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nxf-1 description:CRE-NXF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTK3] MNRKGFGNHRSGGGGHRDAKQLSRNKSRFARLDPDIQSRYDDLDDDPAVPVAVRTNTNSANNRGRGGAQGNPFSRSSTSIANTGVRNADLVFKCRAVGAAKKVEAKWLIKQLNQKIENFKPLLWDENNRGDFEWFVRDEDIASTIKMNSRRILHKETGTRVELVYTRVPAPWMQLKRSEIEIIHKVVDKRYNADLRILDLSEFHADEEFTSKDMLMNLTKGNVMLTVLDRIDDKYGNIVALSLARNRIRHLDYASALVSIAKFVKELDFSHNHISAEKDLDKFAGLPIEKFFFEGNPIVETFTQKSSYISYIHKTFPRCYLLDGVEVEPLVTGPEVNINDAMPFRAGYYPTAQIRVLVEQFVVSFFDLYDGADGQRTRRNLHNAYDADASVFTLTVKHLSGSQFQRHHNDDCYRQYAQLSHNVLEQEHFARNRANRQARGAMDIAVALSKLPTTHHMKDTFIVDVFLYTNDLLGFTVQGLFLDGDLTKDPSPSYFSRSFLVSPRENNAVAVLSDQLFISTASVERLEKFKKLYDQSVANGAAVEQISAVQIAQIGVNGIGFDGAPPPETRKAMTEAMCQFSGMIVPYSEKCLADCGWNYEIACAKFTEIRASIPAEAFSQ >CRE30920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:44367:44704:-1 gene:WBGene00071523 transcript:CRE30920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30920 MQKYTPDSRLDNISPIPTRFDPRKMLPDTGTATEFAWERIPTFPLYKKPMGKRILAIYAVSTTLGFAGAWFGAAIFDHINLKIDSIRDRLDIVPYK >CRE30659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:42628:44191:1 gene:WBGene00071524 transcript:CRE30659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nxf-2 description:CRE-NXF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LTK1] MMRGQSRRGFVRKSESPNSFSNSAPRQTHVPNLKPIEVDVLRRVVDRRYNADKQFLDLSNFSKNAEFVEKDMLMCITKHRVMSAVLQWIGTKYPNVTGISLSNNRICHMENLSTLAKTVKSLKYLDLSHNQISNEDELEKLGTLSLDQLVFEGNPVCERFSQVSEYVNFIQKSFPKCSNLDGLEVTPKEKRFDLDKFIPFRNGYYGNDEVRTLVEEFIIAYYKIYDGIDGQQTRKTLLNAYDATNATFTITVTCLWDPYKYTMYPDSECYRMYLRNSHNVLNQEFFAANRASRISHGAMDIVVALSRLPATVHLMDTFVVDVFLVSSELLGFTLHGTFRDGSLVDQNDNNGPENYFTRTFMVAPKGEGKVAVISDQLFISSMSKRRNEKYRKLVDTATDIDE >CRE30919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:40555:42434:-1 gene:WBGene00071525 transcript:CRE30919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-31 description:CRE-SET-31 protein [Source:UniProtKB/TrEMBL;Acc:E3LTK0] MKVKQKTKKTKKTQKKKSTSKQSTVEVTDTEYYTVESVVGSKLEGREVWYSIKWENYRDRTWEPDYRLYCQKKLVAFAKTEVNTDKYALNLQENLENLNESCAENWNSFKNVYDPLAIVGQSTVLGGSVKFVNLDTVGSKILFLNYIHQHNQHHVLHSLKFPKFKILDTSFEEYRKQLNYLSRAFDEYPHVSMHFPPQACTTFYSPPPLTSLLFEPITEEMLDNRDTFPYHLPPKSDKITFNNVFSFVPKVKVLVDTAFIESDVRAQQEFEGMPRKNYGMNSRFGNSLEIRQEEGVGWKLTVGHAVDADSPLMMLTGVIRHRSVALESLRSEGEEVAFSSFIEIPGTDYCLDRREFYDFSKYIPHSCNPTCSIRLVESGNKIPDLVLYSRVRIQDSNPKTISLDYFGGFVEDVDKYFARKKAPDGRVFKLYDERIDFVHCHCSNECRGVLYIDKSNHPKEEKATKKDKLSTLDSNFDFGGMRLVESDKIWKIRNRQFIE >CRE30658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:39090:40465:1 gene:WBGene00071526 transcript:CRE30658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-22 description:CRE-DHS-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LTJ9] MFSRSFFSLKKALCISDKSTVKIIQFPSFSSPTMSVDGVEIEESDWFLPIVLISVTSFIALYMLRRFLKGGQFTERISAKNMVAVITGANCGIGFETVRELNLRKADVYMLCRNEEKANEAKRKLVRMGCDATRLHFIECDLTDFESVRRAARELLDSVGTIDILINNAGIMFQNKHELTKDGHEKTWQSNHLGPFLLTELLLPAIKKSTYARIVNVSSLMHTRSGKINIATVDDKKSFGMMKSYSQSKLANVMHARALTKELRKDGAEHVTANSVHPGGVDTELTRNTILVLPVIKQLSAPFRWFFLKTSRDGAQTSLYVALSKKLGGISGKYFADCKLAKENPLALDDQACQDLYNYSLEVTGLAK >CRE30657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:37986:39009:1 gene:WBGene00071527 transcript:CRE30657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pas-1 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:E3LTJ8] MSRGSSAGFDRHITIFSPEGRVYQVEYAFKAINSTNLTAVAVKGVDTAVIAIQKRVPDSLIVADTVTSVYQISQTVGCCAIGMIPDAKFQVKRAQGEAASWKYKNGYDMPCELLAKKMADLNQYYTQNAEMRSLGCALLFISYDDEKGPEVYRVDPAGYYRGMKGVSVGVKQVPATSFLEKKIKKKSDLNSTETVELAIEALQNSLGIDVRSKDLEVVVVSKENTKFTKLTNDQVEHHLNQIANRD >CRE30656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:37345:37801:1 gene:WBGene00071528 transcript:CRE30656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpoa-12 description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:E3LTJ7] MSLNFISYDDDFCGCCGAILGLPPRAPATVTCKVCSTQWAVKERVEQLVSRIEKVYERTVADTDGIENDESADAVVEHICSKCGHTKAAYSTMQTRSADEGQTVFYTCLKCKHKEIEYS >CRE30655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:35749:37068:1 gene:WBGene00071529 transcript:CRE30655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rrbs-1 description:CRE-RRBS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LTJ6] MKDNHLFLQTVSNILSTFVFFVLFFLNYKCVLIISYKLQKMADKSTEVEKAIDPIVDLGNLLFIDREPLQGDPNEGLEERARKNTQLLFNNIWQLEQKRVEEAITVTLPVATYRLPREKKMPEKKEPTKWEKYAKEKGIEKRKKDKKVFDEATKEWKPTYGYRRGNDNTKDWLIEIPDNAEDPNKDFFAERREKKKERVAKNEMQRMKNLARQMKTTVKTGPSTEKIIGVGIDAKEKSKQQVRFAVDRAKLATASAGKFQEGLKGEKANVKTGKKRKFEANEAPVSGEKERALQILQRMKSKKAKIVEEKIAAVAGPAREKKEKQEKKGAKDQTRQKSQIHRQQWFKNKVDGKKKGTVGAKKKGANKRK >CRE30654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:30646:31237:1 gene:WBGene00071530 transcript:CRE30654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30654 MLTFASSSSSDLFLSMPNSHFDIVKPFCCINEKKIMCSDYCNTSSDDASTSSEFADDSGFFDDSETDDYITKTAYEIGTKLVAMCDDFDAQMMSYSRTVPSRSILSRVFDFFAF >CRE30918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:22284:23733:-1 gene:WBGene00071531 transcript:CRE30918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30918 MLESLFVLLLASIAPTVNAWPDGAPCVHAAFESMNPLEAVEHQGGLQLTTPPYEIAVDQKCYWRNQPIGLTLQGHNESIWFKGFVIQPFKWNNDQLGERFGQLVRLDDNGSWQQQCFRYQVSATHSHDEKKKHIKMWWKVDDEVDTVQFVATVVKHQTQFWVKSIRSRPLPPCRLNRDGFPNYQAPMPSVPPQVKQFKMETFRVFDSIVRRRPF >CRE30917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:21029:21999:-1 gene:WBGene00071532 transcript:CRE30917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30917 MIQGAQALEQSLPQQQTQFQQSQQPQPVSSTTPHMSRIIPVADGFQRGSSGDAQLTTPAVTHPPFTQQPTFQQTFRPIPQRPRQRFIAQQPQSVFNNRQNVFISRRRGQSNSFSANRQNVFQQPQQAFGGGGGQCVDRDPQGRCAQWRQFCASPSHQQYLTAFCARTCGLCRRH >CRE30653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:18922:20621:1 gene:WBGene00071533 transcript:CRE30653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30653 description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:E3LTJ2] MSKFPVPLRTIGGLRPSATAAISAANIGFTQSSRALSTATKSSALVGQVARQYPNAAAFSIKQVRLYSSNNLPKHNRVALPALSPTMELGTVVSWQKKEGDQLSEGDLLCEIETDKATMGFETPEEGYLAKILIQEGSKDIPIGKLLCIIVESEADVAAFKDFTDDGSSAGGAPSAEKAPEQPKKAQSSPPAAASPPTPMYQAPSIPQSAPIPSASSGRVSASPFAKKLAAEQGLDLSGVSGSGPGGRILASDLSQAPAKGATSTTSQASSGQDYTDVPLSNMRKTIAKRLTESKSTIPHYYLTSEIQLDTLLQVREKLNGLLAKGTSGQATKISINDFIIKASALACQRVPEANSYWMDSFIRENHHVDISVAVSTPAGLITPIIFNAHAKGLATIASEIVELAQRAREGKLQPHEFQGGTFTVSNLGMFGSVSDFTAIINPPQSCILAIGGASDKLVPDEAEGYKKVKTMKVTLSCDHRTVDGAVGAVWLRHFKEFLEKPHTMLL >CRE30916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:12336:12845:-1 gene:WBGene00071534 transcript:CRE30916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30916 MKKSIHLFIFIPFSLLTQQPTFQQTFRPIPQRPRQRFIAQQPQSVFNNRQNVFISRRRGQSNSFSANRQNVFQQPQQAFGGGGGQCVDRDPQGRCAQWRQFCASPSHQQYLTAFCARTCGLCRRH >CRE30652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:10224:12181:1 gene:WBGene00071536 transcript:CRE30652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30652 description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:E3LTJ0] MSKFPVPLRTIGGLRPSATAAISAANIGFTQSSRALSTATKSSALVGQVARQYPNAAAFSIKQVRLYSSSEQPSEAQPSCTPCSFTTMELGTVVSWQKKEGDQLSEGDLLCEIETDKATMGFETPEEGYLAKILIQEGSKDIPIGKLLFIIVESEADVAAFKDFTDDGSSAGGAPSAEKAPEQPKKAQSSPPAAASPPTPMYQAPSIPQSAPIPSASSGRVSASPFAKKLAAEQGLDLSGVSGSGPGGRILASDLSQAPAKGATSTTSQASSGQDYTDVPLSNMRKTIAKRLTESKSTIPHYYLTSEIQLDTLLQVREKLNGLLAKGTSGQATKISINDFIIKASALACQRVPEANSYWMDSFIRENHHVDISVAVSTPAGLITPIIFNAHAKGLATIASEIVELAQRAREGKLQPHEFQGGTFTVSNLGMFGSVSDFTAIINPPQSCILAIGGASDKLVPDEAEGYKKVKTMKVTLSCDHRTVDGAVGAVWLRHFKEFLEKPHTMLL >CRE30915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:8202:9731:-1 gene:WBGene00071537 transcript:CRE30915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-114 description:CRE-TAG-114 protein [Source:UniProtKB/TrEMBL;Acc:E3LTI9] MAAQIPFRSFNEKYVCNDDGNFSYSSTEQKRIETMLFENYNSIVLEPFEATGDEDLTELTILRQRHTAYLLRYLKNCPSSYATLDASRSWMCYWAVNALKILDAEIPKEIVIDLIVFLKSCEHPDGGYGGGPGQLAHLAPTYATVMCLVSLQTEEALRSINKETLFNFLKKSKHESGGFYMHEGGEIDMRSAFCALSTCVVVGLPLEEISEGVAEWIISCQSYEGGFGGEPYTEAHGGYTFCAVASLVLLNRFRLADMESLLRWTTRRQMRYEGGFQGRTNKLVDGCYSFWQGAIFPLLDGEMEREGRSLEKGLFEARMLEEYILVGCQSIHGAVDLYNTCYVLSGLSIAQKYSLARDGKILGGDVNTLAEINPVFNVTVASEQFAKEFFTSQ >CRE30914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:4814:7949:-1 gene:WBGene00071538 transcript:CRE30914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30914 MKLKKRPMKLSAKKPVEKLGKAQKLKQKETDLANAEKVMAQFESGAVDTKKKKQNGAAKSNGNAAPTTVPKPENTNQKRQLKRILGQDGLLVKHQEGAKWYSYQIDHVHDEKTEKMSASEIQKLLEEGKDALAQDAALLQTKDKQENGSEASWLYSVISKGTAADKRTAMQLQMHKSPVHSLEYVEKLIASCKKQGTRDVVDIIPILEDVFINHCLPENRKLIPFSKRALKELTALSSGNQRSRRKILLMWAFEHELKILYQQFIETLVEIIKRPLEEVIKRSLKTLANCLMGRPESENLILSSLVNAFGHPNYKIGAFVVNLLEGISRKHPAMRLVMVEEIERLAFRKNVNERAHLYSMTFLTQMKFSKKDSDLCCRLMSIYLSLFKTIVGKKITDNRLLPIILAGANRAFPFAKDADKLLEDVKDVYFLAHNSNYRTAIPALKLLFQFHKMNDYVSDRFYNALYRKLLDNCPAGAYAQLLKLMFDTMKEDSSAQRIRTFVKRLLQVAVNSQPDFTASILILISRLQKLRGPTEKLIVLTKDIDTAARVVEQMQNDDDDEERYVDLDVEGNEIARDGVKKEDEPSEDIVVDDDDSEDKKKVQAGQLGASSTGGWVHRNIGARGAKSPYDSVARNPLFVDASQVADSELLLLSNHYHPSVAVFAKALMEGREINYGGEALNDFTLMAFLDRFAFRNPKDVTKTTGSRIVRKKSHDPWGVRKLAVGSNEYTRKRREEIPADERFLHRYTSSLNKEKNVKKESGEDEWEYADSVNSEEFDQLLERFEPGELNEEFDIDYSKEFGAEKSKRNKKKAAEEEDVDMDEDDDLDLNDLNEEEDGGMEDDDDGGDTEDDDDDEVEDDDEEEDEDDDDDDEEGGFGGKSSANIFGEDDGSSDEEMGANDYEMAGDKFAEMLEALEEEEEKNGKKGGIKRRGGGFKRGGAKKFRKH >CRE30651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:3408:4682:1 gene:WBGene00071539 transcript:CRE30651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30651 MTVIQLKEMEIRGTLIRPLNAKKLMVLKDVKVQEMFSLNTASLLSMILSKSDGELRRGILVEGNHRVATIMKNNYDLTGSFDFRIPMSLYKIEDRVFDEAWTHCQELKVSENSPDRSRVSLEMIPTTILNIAMMEHGSGESRRNEKISREDTVVFMLRYLQKTVSDKEIKTMRDHPHQRSKFWKSLEKQGLIMASGNFYLSMQPLIFLLMMPSTQKVCLEKLAKGKSLKTNRLYVTACNASLIDDHAVANAISEYPPITAETLHERLLKLTKKAIGRKDSGFIVHESIEQIDNGARCFFYRRVPMEKVQLMITKSLQVLIAEPTQDSLQLYARWSAEQIGIRTVYKSAYGGKRGMLSEMMMMGPGFLGVDGEKPKASSKVEDIFRMHFSGETEFSVHVVTGFYEMTN >CRE25914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:4377:7688:-1 gene:WBGene00071540 transcript:CRE25914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tyr-1 description:CRE-TYR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NI37] MGRRLGAFLFFSSTLAIIGTVNADFNCSQAPDSDMKQTCHMLQEWDSAARKAIRKRQAFNAGAPGVQGGFSPANPPRFAPSAQGCMNIACICPYMGGRMSNGCILPNGQPYLMSYRKEYRMMTDNERTRWHNAIIQLKRSGEYDRLSVMHRQVGSASGAHSGPGFLVWHREYMKRMEIALRMIDPGISMPYWDSVLESYLPDPRDSIMFGPLFMGMTDASGQLVSGPFAGFRTLEGRPNIIRRMATEGKMFTEQNINNLMAQNDLVSVMAFTAPQGGCPFRPYFGALEYTHASIHLWMGGDMKPPSTSANDPVFFLHHTFVDFIWEMWRQNHQNRFARENQYPPDIGACANSQHFSYAQMRPWDKINRDGLSNAYTDNLYHYAPRPTCNRNNANCGSQYLFCDTRGNPHCVAKVKPGGLCRGFEGLDACYMGTCVAGWCRGGQQFQQPAQTTAVANVQQPQQQQQQAQQQRPPAATPQSNCYNEDPCCNQWSRQNECRTNTVYMNRYCRKSCGLCQSNDNNRGCHDRHISCAYWRGQQFCTRRRQWMAENCQASCGWCNMNEAQLCASVARQSRRVRRDAKFWLTEPESDDAVDDFMLDYGR >CRE25916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:19024:22533:-1 gene:WBGene00071541 transcript:CRE25916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sup-18 MKKHTHHKAYGDSTGKEPLIDLQSIRKWLNSFGNQGHSSEAVLNVLFTLGVILFVIYQVASLLHRMNKRVEKQLESRTKQRKVEVADKQTGDEMVFTDLHENVIRERMIPYRMPVINDDLTLRNSQIFYEEMKMRRSCRQFSSREVPLKVIQNLLKTAGQFFLGERVTVSMVFSGTSPSVGNLQPWTFCVVSSDSIKTMIRKILEADERDNYVSRKKGASWVVDVSQLQDTWRRPYITDAPYLLIVCHEVRALHYKSGKFPVQFQIFRDVKDKPERVFHYNQISTSIAVGILLAAIQNVGLSTVVTSPLNAGPDISRILRRPENESVGQILFDGANLLLLQILLLLPLGYASEDVLVPDLKRKPVEHITKLY >CRE25917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:26367:28310:-1 gene:WBGene00071542 transcript:CRE25917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25917 MSTYRLKIYKYYRLSAMGDAETENVSAFIDTSSRRISVYCGRSEKERVPILLDTQEFPKIIFRESHVYVLYSLNTKDGFRLTLDQDGSEKFKEIITSIRKLIATDRRASSPEVVFERAGIPRDKGNEKRKNPSRHSQGAPPREVSIASSDRKYHSQAPRVRQESTPQVPIPNCRRDSTAVFPRNPSSSPQMFLCSPSYSSPIDHSQPRGVSFDLNSVSTAYNPNPHSINSFEHSVIRPTEHEYGESVPAKKSKRGSGHEKKKTADAYVQTDDLLDILIEDEEFTQKALQSLMNNPQFKSLVEATQKRLEKMDVVEMKRLHWCNFDDKFPLSEQAPPLFK >CRE25910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:36089:36259:1 gene:WBGene00071543 transcript:CRE25910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25910 MNILTLFIFCLLAITSPVIARGRRCHSSTQCDYESVCYEGYCYTIDEMFDKFDAKK >CRE25921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:37766:45703:-1 gene:WBGene00071544 transcript:CRE25921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25921 MSEPQEQQQQEQSLSATQESSDQPPATTAPAPPATQTSPPHRMPQLSAQTIQVTVKTLDDREATVTIALDQTVHTLISRARAQLNVSSGSQRIIFGGRVLHSAQTVQGAGITNGQTVHLVDRGPSAVNDRPNVTSATRGIHAHAAIAQPVIFPRPIATAASPDSTMAQSNFAVIPNVRVPASIGGSPVLSSKIAEEVITQKSISLRLREIPVPRILQPASSSQFLHDPTYIQWTIKVVDDLIFRPRERIEQIVRQTINELPFLPATVKSGMTLKWEQRSSVLTLHFPEISPHVPSPALEKLDFLALWTENLEAFVAKLIEADGLTDAVNKVLLMAQSNERVERDVSQAVRDARVRALDNIAKDLEDMWAGVTHQRDFERVRFQNNNSLEYRHMKIEEYSETARNPRYFMRHALDTDLLKVIRKFRVQSMKFAALEDIVDELNECGALKFIRDSMTESIDYRYRALSMFFHYMQRMRHQVAHMTHLVSDLDVPFMTPNSPQRLLPNYASNVLTVPFPHSGTIKLLFSPITDRNRDQFFECPIVEIIEPPRVLSDVAGRHNGDWPYLPYHPPSVHMESVHQTPRRMADPRLIRMPQMTTQVTIPHMPMPGTAGVGQAQHFVVAHEVNQPINILGAVDQTNGLTIADVLQQQQEYIEQFMAMGAPEVQRRTANRPRRVVTTGDAPSIPVETQPPPGDAAQPGPSTRRTTTGRFNVQPEARGAETETLAAFPVQIEPSELQRIARNIASRYRAEALQRIATSLTARFNDESWDTRLQNMPLCTLRECVAIALELLTSSGNSVNESRDLMLALVRDEEVLVRAIAECVKSLFGRGEFPTHVARIIMPRSETAGSRNDYESVDYVEDAQSDEYDGMIVRVPSDISQPQSGDLRAIRERRQSRRQLLENRRGLAAALSAMNASPSASTLGPSNPPPGPSFNSEDAAEIRAGRLPLGNRPNRRTVRETVHPTPARAESPNHISVTFTSHTFPAFAPLVMGAGPSTSQGPSWPEAPNLPIRPVVSPTPTTGGLLDFDISEGSDQPEMVDQAEQTDPQPGSSSTPSPPSTTSGATSAPATVTSSPSRHYDNVRRASPDMVFVDGEPVLETQPADAVRAARAQIDHLAANFNGNLADTRRQNPFTATEDFMISITRHEERRPVQVGRDDPDYNYTIKRDHVGELRFDNMSQFKNFMKTMVRSLMAHCIDADSVHTMNMHPISGYPAANHAEIIKMVRTHLSTDLERTGPYSRPNGVGLAPENLRVVDRLMRERERNMQQFAQRMANPMLLNENILDRPLPPISNTFPAFPTGIDLEREVLVPGRIASMLTYLVDYMEIPENPRPPGLFGFLLDITFGILTRHDFAQMARESTASNVLLEYARNVQMYINDKYLAGKDSNSMSAELHEIAETIVNNETFFTKFLDQNPQLPKTLDIGNGSSVDVVWIFRQIELSFIKSLLTMSLCSKDSRSVSQSVMKVGNEYLYRNMTVFFRLSDRNLDRLNTQLKRVSDYFATIRYGETAEAAEGINEFIGGWNCVMERYFNSYKNFSERHLLQFIQKVRTGGADFNDVAYMMDSEPSSSSSYTSQPSSSSGQPATATTVSTNNRRKRNHAGRAECDNVDVDMVAPMMTSLSTSSPLMTSTGTSTGPGVFGEQGPSESTDNNDDEME >CRE25911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:49834:51411:1 gene:WBGene00071545 transcript:CRE25911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fahd-1 MNISDMSSLANFRNLATKIVCVGRNYKDHALELGNAIPMKPMLFVKTVNSFIVEGEPIVAPPGCQNLHQEVELGVVIGKKASKISKSNAMDYIGGYTVALDMTARDFQDEAKKAGAPWFLAKSFDGSCPVGGFIPSSDLQNPHDVELFCKINGKDQQRCRTDVMIFDIPTLLEYTTQFFTLEVGDVVLTGTPAGVTKINSGDVIEFGITGRIESKFLVN >CRE25912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:54027:55440:1 gene:WBGene00071546 transcript:CRE25912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25912 MQADFNLPFRQQAVTRTHHQLHQNYQSLPRHQRPKHGYHVFCRECFERNFLRSSIFKVYILIAWCIGLAALMYIQKPEGQEFKMSNETRVLYTAISIIYLFSQITGLLAVVTEFERIFIPYGIVLVITIIVNIIFMSIALVDVSFHWFNSKKDVNLEAYSKKIFGDYVRIFLVLSCFFFVYNGLCLASMIHLCSHFEKKRILTERRQREEAARRARIYNRLVELNNRDQPITVNLDSPPKYSNLSTKSETVCTPPPGYSQLKNLEMEEENSEKKSHRALFQNYEKQ >CRE13136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig380:4665:9310:1 gene:WBGene00071547 transcript:CRE13136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13136 MSGIEEVTGQEVENVQVGGALLKVKRAFVAKSGGRFKNSVETVSLDVDIPTVFTASPNGPDLFSEAVVKLIDAHIPTGMTPANLKVGVKFESEELVESVGLSFKKLANVLPRDIADCMEDMAQSNKNLLELEEPRITIHITYLNTPTGSGEEASNKGGEVSTPTEREKGGRKRKSFGIEDILGLPSKKQRDVEEEESENDEDSEESDVDDVVEEVKPKKQNLMANHVTEDCLPHALVQALRYDVWKNDKEDSSKWNSYQRSLRKRSDRRNACNDVFAEVKQLKKVGKYLVNFKRSLFQKAGVTKTQHFDSLDCQQFQEKCFASKFQLIVFVKNSTIPYYAGPFIGKGKQLVLYLADSHYCGLRSVSTLLKTSYYCFLCLSRSRTADSHYACRLLHRLCGKPNCPPKTDDDVAKRCPACCVTFETETCYKNHLQKGPNGGKSRCDFTKFCHKCSKSYYSNKRTGKHECGESYCHRCQVPRSIGHCCTMMPSVKNEKKLTRKRGFFDVETRVCETTKRQIPTLFTCVKCCPKCSSDIPKTTEEGENSKCSNCSPDGRLKVIDEIKDECIDVGAQFTEWMFGDQHRGFTFVAHNASGFDGQFILEALIASNKAAPTVCLDGTKLVYLEYNGVRLVDSLKYLTMCLSMVGKTFKVDSLKGDFPVHFIRKENFQYNGPIPENKYYALENKTAEHRKQLESFLEKERADGKVFNFYDELLKYCYNDVYILAASMTQFEGAFEKMTNVCLFEETTTAASAAALVFRRNHLDPKMPIVLDAKPSVSMNNSILSQKFLAWICESEKVQVNMSTTYGEEKIGNHRVDGYIPPCEKYPDGQIIEFFGCYYHGHTCTYSEESVIGEKSARDIWKADEERIKALKESGKCPVRVVYECDVKAELRWNREMSDFFDSYEAVDVLQCQRALVGGRTEVFRVYVDCKDGTGYYVDVVSLYPTVMKHEAFPIGAPENVQRSTFALPIVSPDGIPFEGFMSCKLQAPRDLRLPVIAGKVSGRLMFFLCKLCAKEGNQEKCTHSDEERSFTGTFTTVELKKALSLGYKIVEVYHGIKYEKWVKNDASGKGGLFTSYIDTMMKAKIYSSGWPSDVVTDAEKLEFCRGYMEKENIELIESLFEGNAGMRAVAKLLLNALWGKFAQRADRVNTEIILDPGKFWQIVHDTALDLLDVRPVNDVLIVQYRQREETLSSLKTSAVHLAAYVTAYARLRLYSLMEAVGPDHIVYTGKKFSSINPNFIILFSDTDSIIFKLPHGAQNPLEDKMGSYLGQLTNELKGTMKEFVSLGPKTYSYKEQLANGEEKVAIKAKGITLNSEVAKKLNFEKMKGMVDEVLGKEDSRTSLLLPQHTMVRDKNHSVYSRNTLKQFRYTFNKRRLLPDGSTLPFGYFE >CRE13137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig380:11835:12464:1 gene:WBGene00071548 transcript:CRE13137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13137 MVKLSWQSTILIVGPSGQGKSTLARQIVEKRNTIFDVDSKICFWYYDTFESVPDSMKNRPDIMLREGLPNLEELKKYKNDQVLVVIDDLMTKIDQNSGMERLVSVLAHHYDMTVIFLLHTIFYSKVIRNLRLQASYIILFKNNADKSSVRCLGSQLMPGACNTFLAIYNDATSQPYTYLLIDLHKNCPDEIRYRDNILPGRITHVFIPK >CRE04387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig411:4553:8606:-1 gene:WBGene00071549 transcript:CRE04387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04387 MSSVTSRSRHSSVSTEMSYRGDENNSKSPRRSMSVNSAKDVFRDAEAQSFDAGPSAITNGSGRALSFPQIFEPRPDAAPIVHQTLGALLSGAVLPNVSVSAVINPAIPSEARISCPICSGGHDDYACESPLRSLYCAKNDLCFLCTSESHKTQECPLNYLEISKNVANVSLKLGDTIGDKIKCSGHPSSESTEQTQSVVDSEKDYRYLSYHDLVTVLPQFNADPIKYGKFARCFDRLVMTNPRLDDLLKLSLVETKLVGKAKRFIVDLIDPRAALEATFKALQDEFEEDNYSVVNEIRGRFENLTFHETDYKRATLELQDCKSLILQLEELKEDVSSASFVRELARKLPREAFKRLRPLNANGQTPTTEQVIGTFSEFLKENRFYERFCPWVQEDSSKIHEESVMIMIEGSTIPPPRKSGKKRNSKSFSRGAGFNTDATEMPEHSNRKTTGKGKKNSSGAKNQKPLVSSVAGAETKRQEFSNHGRIVTAPPTPSNRLGRQLAHSSKARLESEYPRSKNQNRVSEAHLKPETSLIPFGSVKNVSNRVLDDPLSHRARSKLLPQTLVNECYEASGVKEEGDLSHLPFLALRTAKGKVVLALVDTGASCSFLSQNCAKRLGLSPIGYRTAVIKGVSTTTTERMSMYRLSFATTGNPVEFFVSGRSRFPETKFFRPRFGATDNDFLRERYIDPKVITKDRASNGRALEMIIGNDMLARILGNSIRALLPSGRYVEFTPFGSIIFPAPRVIQFNPLIVPTATSRFHPANSISLVDPNPGNIDRAPSSPQVQSGSTDNNDGSIAVRRSRQIAYLDLSLISKNHHGIRGRNFVSQQGNCNTIGLGNPRHGTVNRQTKGEKPRMLKCTEEIFLLDASDGSSTIRYMEPRKTQEKDMMKCSLMEEHRVTTEFQRVSVGNRVFECILKEFKICTHPEVMSHERSFDYFDSLAVYPRDNNLVLEQVELSKDYRGFHPQYYNGKRSLLSENQFQTGISDRKEVIQKVHRVREKLDNGRTHVLKYQYLDAGRSGASLVRDLTASPAPDAGRSGTSEEGVLRKLTSMDACRSGAPKGRKFITTDNSGQNSDEYAASSDPPGRRVGLKSYCFVPTIESSDTVSDVAASRSGAARGGIECLTPVPDVCRSDTPGKGFNRVVPNLDAGRSNASTKESDESAPNLGIRQSSSHRSRVGLKSYCFVPTIESYDTVSDVVAGRSDTTPKDTKQFNPTLGASRPGASKSKDDQKSSGSEYSQNVKLRRPRTIDDWAKIRLPNHRVRPYQPRKAKAKLACYVSITQAAEPQTPRSVDSCLVPDHASVPLQTKMH >CRE06876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:51941:52495:-1 gene:WBGene00071550 transcript:CRE06876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06876 MFAPSDQNDTILDVEGKKLHVSKAFLSYHSEYFRALFSSNYKEGQMDEIPIGEVSFEDFALLLSSFYPNPVFPNDNTVEKLLELARRFLVSSAVKSAENHLMNNSKIDNEKMLCLAEEYGMPTLLEKSIRGLDTLEKAKKLRRSEKYDQLSDKTKLKVFERLVNPISF >CRE12052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:406518:408096:1 gene:WBGene00071551 transcript:CRE12052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12052 MNTSCATQDILDRLSGFNLKFSQIIDLLAISITFFATYSAIKVISTQSIFQVSTKILLFQILIYANLHQVFYGIEAIGLLYRSLFMLADPCNLLQSDAHCAPYLEVLMCGICGMVYGQTGLLIERGFATFSKCYGSKKRLIIGAIISFVVMICSLSTGRLLLWDDPLNGHVMGCFAFPKNSSVRSGYYFLVCTVLTLLNLIVSIWIMRYNKRCEYETRFKVGARFQKREVIESTGAICFLTLSQFIFMFLYSFGIYTLKFIRDSIHPQTFYFWIVWCYTMPFIALMFPVLLIYLIRRTRARRALKIVGITNRKQTQDDHITEMKSMWT >CRE03082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:848367:849243:-1 gene:WBGene00071552 transcript:CRE03082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03082 MSSPVCAHKYELARLASLNFQISQLVYLISIFSTFISSYYAYKIVHRKSIFQNSTKLLLFQNLFSANLHQIFYGLAAIDRLYKAYLQDSCIPLRAEVDCVWFLECLVAGISGMIYGQTGLLVERACATFMMDYEKKKSMTTGLLIAFLVLVLSFGTVRIIVWDDKLDGYALSCNAFPKGSAERSSTFFSVCTCISLFNLLMSVLLRKYNKKFEYR >CRE30314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1449:4208:4657:1 gene:WBGene00071553 transcript:CRE30314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30314 MTLLYKTFIRPVLEYGTEVSSPYKKCDIRAIESIQNSFTRRLLSRQIGRYLTPSDPDYLSADQRNAKYGLASLEHRRQTTDYKIILKMQLGKININTEDFFTTNTFTKTRSNNKFHWKAEKTKTRRNFFIHRTPSRVAISSDRPPISPN >CRE12132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:422731:424611:-1 gene:WBGene00071554 transcript:CRE12132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12132 MSTCATQYDLDRLASWNFLISQSVDLATSFITIISSVFAIKLLVCKSIFQNSTKILLLLNLWYANLHQVIYGMEAAIVIYKHFYMQDKPCSLLQLESDCAPYQKTLLGSASGMILCQTGLILERSIATFLPDYKGKTSLITGTSIAIIIFAMSSQTGQVVYWDNPLSGAVLACFVFPKQSGSRPLNYFFVCTVVALLNLTVAIVLKRYNKKLEYQIRFKISARFHTREVIESTGTICFLTFSQFLLIFIYSFGVSIMKSIRSSIAAETYHFWVIWFYSSIPFLAMLFPLLLIYRIRATRSKRAQRLIGISNTKQTQEDHINQINSMWK >CRE12133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:427347:429156:-1 gene:WBGene00071555 transcript:CRE12133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12133 MRNLTCSTSDDISYLGNANFLISQFIDVIAVLITFLSSLSAIHAVLNKSIFTISTKILLIQILIYANIHQISYSIESVELIYKTLFMLDEPCEIMQREDHCAPYLEIMLAGTSGMIYGHTALMLERLFATFFDKKPSIGALLSVILFISSASTGRLIIWDDPLKGYVIACFVFPSKTWDRSNWYFTVCTALGLFNLVVCVAVMRYNKKWEYETRFKVRERFQKREVIDSTETICFLTLVEFIFMFIYSIGIMVLVNLWRRKAIDPAQFNFWIVWCYTIPFAACVFPLLLIYRINTTRAIRSKKITDITKAKQTQEGHINQMKNMWG >CRE12135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:434715:437266:-1 gene:WBGene00071556 transcript:CRE12135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-1 description:CRE-SRA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MQ17] MTSNSSTCASQVQVDHLTSTNYRLSATLALIAVLLTFILSITAVTYMSKKSIFQSATRRILIFNILFANLHQVMYAIFSKDMLFKGIYKVDEPCDWLVSERDCVPYTVLLYTGITGMILSQTGVLIERAYATFHPQNSSRIRSNLAVLLIIVVLPLSIYSYPVILLNDPLDGFILTCFIPAAHSAHRANMFLFICLVVTILNFVASVALKWYNKKLEYSYRFILDKRFKQRQAIESTLVICDVTLSQFITSLIYSLGVFILRMYIGIIPLSTFYSLVVWVYTVPYTACLLPLILLIRMASMKMKRKRKIQDTITPSNKFEEHFSGLKKLWDGNKEFEE >CRE12136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:441183:451710:-1 gene:WBGene00071557 transcript:CRE12136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12136 MSSQARGKSQKPSTSSKIAQFSSSDSELDESDEVAMIWQFVASRIRSPTGKLCLFRDTNNTWLDFKKLYKAPKNFKYYQQKFDQSIVPLLETAPFGDHQKLDLFYALGTPITRAFLNKVKIPYKVECDANWYVVKYASMGELEPEQWYTKASSQMTYHNVSSQNSVQKSINSVEKRVDLTQNLTQLELQMWHYLDVIIRKSSRNGLEAEKYTVTPETWIEFISNLNLKRNSTQEIHNRYRLKLAPNLHLTSFDLKKKMELYWALSIVVDKTFLAELHTIYDLTMDRKGFILVYSIKRTPQESDDSLEAVIVAEDVKAEEPSQDSMDMEQLYMDYGDEGTMGTMGTKRARSTMDDPPVGSTMSTINASKRARSTKKPIRYRDDSMDDSMDELSHRGTISTMGTINASKRCKNSVYFMEDSMDDLMGTTSTMGTMGTKRARSTKKPIRYLDDSMDESMDDPYESPARGAMSTMSTMRTMDTRKPINNSKRAQSTVSFMDDFIDDSMDNPPAGGTMSTIKDSKRARSTKKPINYVDDSMDDLMDDPSMCTMASKNDSKRAQSTVSFMDDSMDDRRARSTKKTINYVDDSMDDVYEGVKCEVDELPKPHNPSKKIIGRFSEEEIQEMWNFVRDKTRDPVTMEIQKYHVDRWTWEEFRRIGGKQRRLGDSYEKFFDTNLAPNLHLNHFDMHSKIETYWSLELPVEKDFIEKIGAHYNTIITEDGILHSYSEKTDDEKRNWWPSPVIIWKYILDKSKDGRGELIPGLADVTSLEFRKDFNKKFSVKKDWEEMRDHYEQQLLPFLKHVDMTNSERLAILYALEIPISDQFLNICRKFAKIQVSEESIIESYQIIKKEEPNDIDSDTYDIIGTSSENPDVPTTSSARRSTHQITETEIPVEGGIPVEKFLLGIRDFAEKTLTNEEDRQKFLRKIDATVRCVKGKIIPIEFVKSLFQAAVNVVK >CRE23291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3317:498:2259:1 gene:WBGene00071558 transcript:CRE23291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23291 MSTFRRILTYILAQEDGSPKRPPLDDEELKIAAYLIDIGESINKGDYQVMLEEHLLQFEDDSKDLSKLILTKPFHKITIPAWTPEDEEYHKMKVYPDAIRCPGSPNMIQFEQGDLVELEKVEEAVAYFGSKAGFHNNGSRIRPSLKSMNAKFRFIKNENHLRKLREYEIYGSAKADRRSNLEFIAIELEKEVKKQIEQGKILHDSVLRFLIAGIIKEHKISIENFIGSDSWLLGWKKRFGVSSRKITKFVSHVRHKTRQQIEKESQDFVNMTNQILPQYLASSVFNADQSGFQLEMTTGRTLTLTGSKHVHCVVQSVSSTTHSYTVLPLIASDGTLHPKLFVTLKEKNGRFPKKGHKKCTNLVVTCHSSHIMTKELMKEFFRKIVFDPSMPKDALLLVDSWSSWNDQTAIDSVTPLSNKLKVLQIPAGCTGHIQPCDMLSLVWRQLCSPKLKDWVKYAWHAAGYDVPRPPTFKTPAEHLFPRDVASTECAATGCSKVSCAQCIYCEQRFCFKDFLIKDHKC >CRE12054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:464390:476053:1 gene:WBGene00071560 transcript:CRE12054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plc-3 description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:E3MQ20] MQHNSVGPSSSSRKTTVTSTTTVTGGSVHHGSVHLHHRSSNNGFTTSRTPLNRHMEMDMGKMFSAMEKGHKVCKIAVLKKWDPAYKMLTLERNSRQILLTKLEVSAVRNKPTILDIRQIREVQTLQYKLNTIKVDDKWKKDREISTFEPKAILVISYGMAFALSNWILLFETEDACKLWSNGLHNLMMDTRDRDSSPHPLRIERFLHKHFLSLMSPANDAVARKHMKPFVQTSLQFKVQSDQLQQVTEDQMDFDQFSYASRQLIHVPELFSSRFADLAEKHDRKGLVVSFQNFLRFLDGKQFDDMASNRTRALEFLNRYFHEDQAYYGASQEPSMTVLEFCDFLFSRENSLWDPTNEKVTHDMSRPLSHYWIASSHNTYLTGDQLRSESSLDCYAQALLMGCRCIELDCWDGQKKPGSAEFIDIVIYHGYTMTSKILLRDVLHVIKHYAFVTSEYPVILSIEDNCSVPAQRLLAQELKDILGEYLLTQPANREEKMLPSPAALKKKIIVKHKKLPVESEDLAAVVKTDEFQDTEIIARECVKKGILSIRNNNSHEWTSHVFILFPDRLCYLIETADPDNSSDDTVSVSGDEEREEETPSGFGVKPEEMHVTEEWFHGRCERDEAKKRILEHKDEGNGLFMIRDSNLFIGDFSLSILHDGKVHHVRIRSKIIDKEKKYYFMDNKVCDTLYELVSYYTRHYLTTANFKMVLTKACPQPQPHLNQPWFSATADKEKAEELLSLVPEDGAFLIRTSSTDPSVYVLSLKVDGEFWHYRLKRDGRIFVVNQKVFENLNQIVEFYANREFVRGISLRFPVNEKDISHLTAELAEARTPGCYMDLKDLDKEVQARALRPYRGTADDELSFPANVIITVLRKEEGLWRGRYGSLTGWFPSAHVQEILPEKANTSETSNYNTIELAGTLIERIHDLDRPHVIRISQSNQHWMNKQYFVLAASSSEEVEGWQNNLYELTRSVNTKMSILRTKEKEKRIAAELSNLVVYCQAVPFDPAHIQNDAFYEMCSFVEGKLDKLVEKGLLPFNSRKLSRVYPNGSRITSTNYSPVPMWNAGCHMVALNYQTGDKPMQLNQGKFLANGRCGYLLKPDYMLTDDFDPMNSDKFTTAYPIRLNVQVIGGRHLSRRDKNKGICSPFVEIEIIGMPCDTKVFQTKTIASNGLNPIWNQTFTFEIQCPEVALIRFHVEDGDFVGPKTDPFIGQAVFPVDSIRCGFRSVPLKNQYSEELELSSLLVDVQMCSREGTQLIRSSSHFLQVSLY >CRE12137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:476882:481449:-1 gene:WBGene00071561 transcript:CRE12137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12137 MEYKTVVISPIPIPNEGPSSQKSRGFYINYERVPAKRSFDFCHSFLNHVARIKINTLKFEGFGVFEEEIHHDIMSYLVERHVPTIRHYEGAADFCASGCETCEQIARNCEVYSDIQSDVTFSEPKHFKHLTINEAWLKDMCSLKVPSLSVDHLELKIISENSLLSKEIIDRIVTVWNVRMVTLSFSGSGQIADQNIKGFSFLDPLDPTEKLKPSILESLHIDLWTAQTFCYGVGHYLKKRPTPFVNFFANIQKVFPARQVFITAPYAMCCLTIHRFEDLVKTLMEFLWVDTFNCRHVTFFVRLMGREMKKIKDDGREKKNEVDTTFLFHNRTCLRHLTPQFSPIYQQLHAWPLPTDEPIDRLPVITISDPSNASEIHIEVCKVQDNRPTVPVTVPPAPGPPAPGLGDQNPKKRKRDDGDNGSNQREGVVR >CRE08421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:514915:515698:-1 gene:WBGene00071564 transcript:CRE08421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08421 MLGRGTFGYVQLVESVRTGKKFAMKVLPNTLADLADRHVVEREVLIQAGMQHTNIVSFVTFATTAQIHIIIALTACGTEQYKAPEMWVHEEQTPGVDMWSLGCIFEALTKRLTFPQAKTSDMIAAIDSPQRVAQRNLNRMKFFVDSFKLTDSILPSF >CRE08361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:496274:499652:1 gene:WBGene00071565 transcript:CRE08361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08361 MAVMSPQLERNDKESTAATNGEEFGNSSSWRPNNSVTMHEDPAAIRRDSIAQSNRTKGTYTSEETFNTGKHDVATTNVINRMDLVMPRRDDNLHQQEEADTSRNNVQVESRVDASRLRDVNVVDRIPFLNDSVDDQSRSENDEANVIRERYVNVIDSISLSKNFAKDQSSRFIGTDTPSLPDFPEQRGNHSPATVTSTVNLMEKDIKREQAKLTSIKSALTVMTPFSGDICDYPAFIGLFDFMVHNNDYLDFEIKQGLLMKLLPKDLVDVHQTTPSKENYWTIRRNMERQFNSPGVQKIIAWRKSMELEFPEHDLSGLTSALNTFSTLANKQKAYGTNPDDPNFLISFARRLPERFSRIAHSLLLQNIAATKLDQLLDALHEELAIQQWPISEPSRGNDNGIRQTNSTQAKGDDGNHYQQCHQERPKHESSHHQHKKSGNRRKPPSKLIPCQYCDDKDHCALQCKASLRKKKEAVKDKLLCNNCLSSTHMVTSCRSKNNCSNCKIRHFTGHCESTKPDGYSM >CRE17599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:120800:121934:-1 gene:WBGene00071566 transcript:CRE17599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17599 MTPPFPLFHVPYVPLGRIIDLMEPKTLVSLSFCSQKSHSVIETQRKLPFDGHLLVGGSDKNASFLSFTKFDCLIVPKSNNVLIARKFVDNINYESMENVKMGGQHVRVKMDHSHGYIISYWENTTDRSKVITDYVTNLFNIDVSDIWASKQSFHIIQHANSRQKTQLKNVSYSDSSATSSEEMTYILKYCGSMSQLSMHLKPPQNFRFSEKFPKIDCLDISDGEWVTIDNLLTMDGIDIHLDNAKLSNSDLNVFLKHWLSGGCPRLKLFCAETDAVDILQVLDGLMHNAVLVEDRRDYTSPFGYSRTLSFGYDIQRADGVTATVCKQGNGTLVIAVWPEATYNYN >CRE17589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:136183:137223:1 gene:WBGene00071567 transcript:CRE17589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17589 MEPEALVSLSFCSQKSHSVIKTQRRAPFNGRLCVSEYDSNLSFRTFRNRDCVLSVCDCSFFPNSERINYVIMKGQYVPVEVHRSNGNLVSYWYNTTDGLKTITDYVTDLFNTDVSKVCVSKNAINIIEWVIRRQKTPLESVTVCGVTSSEEELLYILRDCKTSSQIEIRSYAPPNFRFSEKFRKIDFLYILYGQWLTLDNLLTMDGIDIVLGYSNLSDSDLNVFLKHWLAGGCPRLKYLDAGIHSVNILQVLAGLLHNAVFVQKSRNYTSPSGYTSILSDGYDIQRSDGVTATVHYHSPRTFLIAVWPNFSHCSLA >CRE17600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:132359:133564:-1 gene:WBGene00071568 transcript:CRE17600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17600 MTFFSPLFRFLSWITSVLFQTFKSFLILNGLLPPPPFPLFRVPCLPLGRIIDFMDPDALVSLSFCSRKSHSVIKTQRKAPFNGRLGVSGLNRSVLFYSVGNLTHVFRACSYSYFSNFERSCHVKMNGLFGPVQVNRSNGNFVSYWDNTMDGLKAIIEYVTDLFNLDVVIFKLSKESLHLIEWMNSRQKTPLKKVVYVGWRNRSSEEELIYILRDCTTLSEISIHSEAPINFQFLEKFRKIDCLEIWHGQWVTIGNLLTMDGIVISLEKSTLTNIDFIIFLRHWLSGGWPRLKLFCAKTGYINIFRVLAGLLHNAVYVENSRDYTSPYGHQWTLSNGYDIKRADGVTATVHYQPPGVLVMAVWPEASDHYN >CRE17587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:130700:131894:1 gene:WBGene00071569 transcript:CRE17587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17587 MTFLFALLLCWIISVFIQTIKSLFIPNIPLPPPSFPLLRVPYVPLRRIIDFMDPDALVSLSFCSQKSHSVIKTQRRTPFNGRLCVSEVYENNLSFRAFRNRDCVLVVRDFLFFHNSERINYVKMNGQDVPVQVHCSDGNLISYWHNTTDGLIEATNYVTNLFNIDVSEVRVFDAINVIEQMSRRQKKPLESVTALRIIASEEELIYILRDCQCSSQISIRSDAPSNFRFSNNFRRIDFLYILHGQWVTIDNLLTMDGIVISLGYSNLSDRDLNVFLKHWLAGGCPRLKYFKAGIHSVNILQVLAGLLDNAVFVQKSRNYTSPSGYTSILSDGYDIQRSDGVTATVHYHPPLTFVIAVWPEVSDNNN >CRE17585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:123696:124900:1 gene:WBGene00071570 transcript:CRE17585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17585 MTSPFSLFRLLSWIISVFFQALKSFLIHNKLLPPPHFPLLHVPYVPLRRIIDFMEPEALVSLSFCSQKSHSVIKTQQRASFNGRLCVSAGYNNALSFRTFRNRDCVLRVRDCSYFPNSERSNYVKMNGQDVPVEMQCSYGYLISYWHNKTDRLEIATDYVTNLFNIDVSEVCVFKDAIKMIKWVNRRQKTPLKKVVYIAWGVIRSEELIYLLRDCTTLSEISIHSEAAPNFRFSGNFRRIDCLDIWHGQWVTINNLLTMDGIVINLKKSTLTNNDLNVFLKHWLAGGCPRLKLFCARTGSVHILQVLAGLLHNTVFVEGRRDYTSPFGHKWTLWNGYNIKRSDGVTATVNSQRQGGLVVSVWPETTHNYN >CRE17598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:119182:120317:-1 gene:WBGene00071571 transcript:CRE17598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17598 MTSPFPLLHVPYLPQGRIIDFMDPDALVSLSFCSQKSHSVIKTQRKLPFDGHLLVEESDKNSSFLSFPKFVFGLLRKRNCVLSAEKFVDNINYESMESVKMGGQHVRVEMDCLNGYIISYWENTTDGLKVITEYVTNLFNIDISDIRASKQSFHMIEWVNSRQKTPLRRVWYVDWNATSSEEELIYILKFCRPMSELSMHLKPPQNFRFTEKFPIIDCLDIIHGEWMTLDNLLTMDGIDIVLEKTILTSRDLNVFLKHWLAGGCPRLKLFCARLGSVDMFQVLDGLMRNAVFVENSRYYTSPFGYSRVLSGGYDIQREDGVTATVHYQPPRTLIIAVWPETPYNYN >CRE17583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:113323:114575:1 gene:WBGene00071572 transcript:CRE17583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17583 MAFLFALLLCWIISVFFEAIESFLIPNIPLPPPHFPPSQTVSIRQPDVPIPSSPFPLFRVPYVPLRRIIDFMKADALVSLSYCSQKSHSVIKTQRRARFDGRLCVSEYDSNLSFRTFRNRDCVLSVCDCSCLSTSERSDYVKMNGRYVPVKTHRSDGNLVSHWYNTTDGLKTITEYVTDLFNIDVSKVCVSKNAINMIEWVIRRQKTPLKSVTVCGVTSSEEELIYILRDCKTSSQIEIRSYAPPNFRFSEMFRKIDFLVIWHGQWVTIDNLLTMDGIDISLAYSNLSNNDLNVFLKHWLSGGCPRLKLFCATTGDVNILQVLDGLMHNAVLVEDSRYYTSPFGYRRTLSGGYDIQRADGVTATVCEQGNENVIAVWSETTHNSN >CRE17582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:111487:112593:1 gene:WBGene00071573 transcript:CRE17582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17582 MTSPFPLLHVPNLPLARIIDFMEPDALVSLSFCSQKSYSVIKTLRKTPRDERLLVEGSNIPFLSLTNFDCVLNTGNSAYISSSEKEEYVKLGGQKVRVKMHRLVEYLIIYWEDKLNGLKAITDYVTDLFNIDLSKVCVSKDTFKLIEHVNSRQKTPLEKVVYVDRSASPTKDEMNYILRDCRCSSQILIYSEALPDFRFSNNFRRIDCLDISNSKWVTIDNLLTMDGIDIHLENASLTNSDLNVFLKHWLSGGCPRLKLFCARTGSVDIFQVLAGLLRNAVLVEDRRDYSSPFGYSRILSFGYDIQRADGVTATVCEQGNGTLVIAVWPETTYNN >CRE17597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:109403:110538:-1 gene:WBGene00071574 transcript:CRE17597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17597 MTSPFLLFHVPTLPLGRIINFMEPKTLVSLSFCSQKSHSVIKTHRKVSFDGHLLIGESHQNASFLSFTYSVFGIVADRNRVLSAQKFVDNINYEDMESVKIGGQHVRVEMDHLHGHLISYWSNTVDGLKALTEYVINLFNIGVSDIWASKQSFHMIEWVNSRQKTPLRNIWYADWSATPSSEEDMIYILKDCRTISQLRICLKLPPNFRFSEKFRKIDCLDIWYGEWVTIDNLLTMDGIDIILKSSTLTSSDVNVFLKHWLAGGCPRLKLFCLKTGYVNILQVLTDLLHNAVLVDDHRDYVSPFGYSINLSSGYDIRGADGVTATVCKQENGTLVFAVWPETTHNN >CRE17581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:105925:107126:1 gene:WBGene00071575 transcript:CRE17581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17581 MTFLFSLFRFLSWIISVLFQTFKFFFISNIPLPPPPFSLLRVPYVPLRRIIDFMDPDTLVSLSFCSQKSHSVIKTQRRARFDGRLCVSEYDSNLSFRTFRNRDCVLSVCDCSYFSSSERSDYVKMNGRYVPVKTHRSDGNLVSHWYNTTDGLKTITEYVTDLFNIDVSIVCVSKDAINMIEWAIKRQKTPLKSVTVCGVTSSEEELIYILRDCKTSSQIEIRSYAPPNFRFSEMFRKIDFLVIWHGQWVTIDNLLTMDGIDIILAYSNLSNNDLNVFLKHWLSGGCPRLKLFCAITGSVDILQVLDGLMHNAVLVEDSRYYTRQYPFGYSRTLSFGYDIQRADGVKATVCEQENEMVIAVWPETAQNYN >CRE17580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:103909:105047:1 gene:WBGene00071576 transcript:CRE17580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17580 MTSPFPLLHVPYVPLARIIDFMEPKTLVSLSFCSQKSHFVIKTPRKVPIDERLLIGGFNKNASFISFTNYTFGIIWKRNQVLSAQKFVDNINYEDMESVKIGGQHVRVEVDHLHGYIISYWDNAVDGLKALTEYVTNLFNIDVSEIWASKQSLHMIEWVNSRQKTPLRNVSYADSSATAASEEEMIYILKDCRPISRLSIHLKPPQNFRFTEKFPKVDCLEISDGKWVTLDNLLTMDGIDIVLQSSTLTNTDLIILIRHWLSGGCPRLKLFIAETGSLDILHVRDGLLPNPVLVEDRRDYTSPFGYRRTLPFGIDVKRADGVTATVCKQVNGILIIAVWPLTTYNSN >CRE17596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:101808:103653:-1 gene:WBGene00071577 transcript:CRE17596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17596 MTSPFPLFHVPILPLRIIIDFMEPNELVPLSFCSQKSHSVIKSQRKVSCDERLQVWGSNMNASFSPFTDFDCVLSTSNSVHTSSAEKEEYVKLGGQQIRVKYHRLRKYLITYWEDILTGLEAITDYVTDLFNIDVSEVYISKDSFKMIEWVNRRQKTPLKKVVLDWRLNPSEDEINYILRDCQCSSEILIYSKAPPNYRFSNNFRRIDFLDISNSNWVTIDNLLSMDGIDIVLEASSLSNSDLNVFLRHWLSGGCPRLKLFSAETGSLRTTHLDTGELCHMESIYDVRMASVLQCADRRTELCPFGSEQYLINGFDLQREDGATATVCYDGYLRIVVWPEITCRYN >CRE17578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:96850:99443:1 gene:WBGene00071578 transcript:CRE17578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17578 MLLNKNKKYSPNDRKNNSVPPAACLPYQNNTVLYAYSTDIDYYTYYYGVDAMVFYAQLNTTMANVRFDTKQEEEIEYHRDPLSLNASLWAHQPDPSLGYGDKTTGSNLYKILKKFLNHKKASICGALVYIAVKRYPDESDVSDIISQLRANHVFVYIVVDSIPSGGSNSATLYEMSLLTNGYCAFATGSDLTNAFSSITGMVWPYQFIAQNFVVSGSGQIEVPAFKTPIPPGNADACSFAITVQNHTLDSSFVSMNYTIESTDGIVVFEYPGNQSLPLHGTAQSDYFHLSGSLSYKWTIYFDYNTDAPQIIECRMYSAFYHDFLPLPDFK >CRE02767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1721:1818:3027:1 gene:WBGene00071579 transcript:CRE02767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02767 MTFLFSLFRLLSWIISVFFQTIKSLLVLNRLLPPPPFPIFRVPYLPLARIIDFMEPEALVSLSLCSRKSHSVIKTQRRAPFNGRIRVSWPHRDVMFYSVKNLTRVLRAANYSYFPKSERSNYVKMNGQNVPVIVHPLDGFLVSGWENITEGLETITDYVTNLFNIDISEVFVSDDSFKMIEWVNSRQTTPLKKIVYMAMAWSPCSSEDEMNYILRDCRCSSEIRIHSKAPSSFRFSGNFRRIDCLDISNSEWVTIDNLLTMDEIDIHLDNASLSNSDLNVFLKHWLSGGCPRLKLFCARIGSVDIFRVLAGLRHNVVRVENRRDYNSPFGHQWTLWDGYDIQRADGVTATVHYEPVGALVIAVWPETTHNYN >CRE17595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:86927:87961:-1 gene:WBGene00071580 transcript:CRE17595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17595 MRASPLRPTIEALFKKRVKSCKIAKRLGIPSSTVRTMFSIFKKHGSLQERKKSGRPVTVNTRRTRNIIKKRITRNNGISMNKIAANLEISRGSVQTIVKSRFHLRSYKLCQGQFLSEQSKASRLEKSKKLLADLQVRRVSDVIWTDEKIFTIEPLPNRQNQRQLLSQGDSKSPKRCQAHNRLFPKSVMVWAGVTSDGKTPLVFIERNVKINLEVYQNLVLKDVLLPWTAQHFAGRPFILQQDWAPSHGSKSTKAVLDAHFPGYWGKDMWPASSPDLNPMDFSVWGYLESKISGTSYNTVDALKAALQKAWDEIDVDYLRRTSNSVIGRLKACIKAKGSNFEFLL >CRE17594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:85233:86033:-1 gene:WBGene00071581 transcript:CRE17594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17594 MENVKMGGQHVRVEMDHLNGYIMSYWENTTEGSKVITDYVTNLFNIDVSDIWASKQSFHIIQHVISRQKTPLKNVSYSDSSATSSEEMTYILKYCGSMSRLSMHLKPPQNFRFTEKFPKIDCLDISDGEWVTSDNLLSMDGIDIHLDNAKLSYSDLNVFLKHWLSGGCPRLKLFCARTGSVDILQVLDGLIHNAVFVENSREYTSPFGYSRILSFGVDVRRVDGVTATVCLQETGILVIAVWPEATYNYN >CRE17592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:77668:78550:-1 gene:WBGene00071583 transcript:CRE17592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17592 MNASFSPFTDFDCVLSTSNSVHTSSAEKEEYVKLGGQQIRVKYHRLRKYLITYWEDKLTGLEAITDYVTDLFNIDVSEVYISKDSFKMIEWVSSRQKTPLKKVVYMAWSGCPSEDEMNYILSNCQCSSQILLYSDAPLNFRFSNNFRRIDCLDISNSNWVTIDNLLSMDGIDIVLEASSLSNSDLNVFLRHWLSGGCPRLKLFSAETGSLDYVQVLDGLLHNAVLVENRRNYTSPFGYRRTLSYGIDIRRADGVSATVCGQADGTLVIAVWPETTYN >CRE17588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:134920:135540:1 gene:WBGene00071584 transcript:CRE17588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17588 MGNSRQTTPLKKVVYMAAAWSPCSSEDEMNYILRGCRCSSEIHIHSKAPPNFRFSGNFRQIDCLDIWYGSWVTIENLLTMDGIDIHLDNASLSNSDLNVFVRHWLSGGCSRLKLFCANTGSVDILQVLAGLRQNAVFVENRRDYTSTFGHQWTFWDGYDIKRSDGVTATVHYEPLGALVIAVWPETTYNYN >CRE17565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:66186:68263:1 gene:WBGene00071585 transcript:CRE17565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17565 MANVRFDTKEEEEIEYHTDKKSLSDSLSSHKPDPSLGYGDKTTGSNLYNVLKKFLNNKKASLCGALVFIAVKRYPDETDVSDIISQLRSNHVMVYIVVDSIPSGGSNSETLYEMSYQTNGYCAFATGWHLQWAFDYVFDAIAWHYQFIAENFVVSGSGRIEIPVFKTPLLPGYSDTCSFAILPIRRWLTACSKFGPGTRTLDNSFVSMNYTIESTDGSSVYKFPSDDAIPLHGTAQSDDVYFNGSLSYKWTIDYDYNTDEPQIIECRMYSVYYHDFLPLPDFK >CRE17564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:59888:61140:1 gene:WBGene00071586 transcript:CRE17564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17564 MTFLFALLLCWIISVFFEAIESILIPNIPLPTPHFPPSQTVSTRQPDVSVPTSPFPLLHIPYLPLGRIIDFMKADALVSLSFCSQKSHSVIKTQRRAPFDGRFCVSEFHRNVSFRTFRNRDCVFSVCDCSCLSSSERSNYVKMNGQYVPVHVHSVDGYLVSRWENTTDGLGIVTDYVTDLFNIDVSEVCVSKDAINIIEWAIIRQKTPLEIVTVCGVTSSEEELIYYLRDCKTSSQIEIRSYAPPNFRLSEKFRRIDFLYILYGQWVTLDNLLTMDGIAISLGYSNLSDSDLNLFLKHWLAGGCPRLKYLNAGIHSVNILQVLAGLMHNAVYMENSRNYTSPFGYTSILSDGYDIQRSDGVTATVNYQPPRTFVIAVWPEAAHNSN >CRE17563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:58367:59410:1 gene:WBGene00071587 transcript:CRE17563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17563 MEPKALVFLSFCSRKSHSVIKTQRRAPFNGRLCISEFHSNLSFRTFRNRDCVLSVCDILFFPNSKRSNYVKMSGQYVPVEVHRSDGNLVSYWHNTTDGLKTITDYVTDLLNIDVSEVCVSDDLFKMIEWVNNRQKTPLKKVVAWVACPAENKIIYLVRDCTTLSEISILSKASPNFRILGNFRRIDCLDIWHGQWVTINNLLTMDGIDIILGSSSLSNSDMNAFLKHWLAGGCPRLKLFCATTGSVDIFQVLAGLQRNVVFVENRRDYTSPFEYSRILSFGYDIKRADGVTATVCEQGNGTLVIAVWPETTYNYN >CRE17562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:56089:57300:1 gene:WBGene00071588 transcript:CRE17562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17562 MTSPSPLFRLLCWIISGFFQTIKSLFIPNIPLPPPPFPLFHLPYLPLGRIIDFMEPDALVSLSFCSRKSYSVIKTHRRAPFSGRLCVSEYDRKLSFSTFRKHNCVLRVSSSYAFMTSKESEEMEYVKINWMYVGVYRSNGNLVLQWYGDTTKGLKDTTDYVTDLFNIDVSEVCVFKDAIKMIKWVNRRQKTPLKKVVYMNWGVIPSEVLIYILRDCTTLPEISIHAEAAPNFRFSGNFRNIDCLDIWHGKWVTIDNLLTMDGIVISLEKSTLTNTDLNVFLRHWLSGGCPRLKLFSATTGDVDILQVLHGLMHNAVLVENRRDYTSLFGHQWILWDGYDIKRSDGVTATVHYQPLGATGALVIAVWPEASDN >CRE17575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:88342:89132:1 gene:WBGene00071590 transcript:CRE17575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17575 MSGQYVPVILHPLDGYLVSGWENITEGLRALTGYVTDLFNTDVSEVFVSDDSFKMIEWVNSRQTTPLKKIVYMAMAWSPCSSEDEMNYILRDCRCSSEIRIHSKAPSSFRFSGNFRRIDCLDISNSEWVTIDNLLTMDGIDIHLDNASLSNSDLNVFLKHWLSGGCPRLKLFCARIGSVDIFRVLAGLRHNVVRVENRRDYNSPFGHQWILWDGYDIQRADGVTATVHYEPVGALVIAVWPETTHNYN >CRE17591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:48104:49237:-1 gene:WBGene00071591 transcript:CRE17591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17591 MTSPFPLFHIPYVPLGRINDFMEPKALVSLSFCSQKSHSVIKTQRKVLFDGRLLVAGTDKNTSFLSFTYSVFGIVRKSNHVLSAQKFVDNINYEDMELVKIGEQHVRVEMDHLHGHLISYWSNTVDGLKALTEYVINLFNIGVSDIWASKQSFHMIEWVNSRQKTPLRNVWYADWSATPSSEEDMAYILKDCRTISQLRICLKLPPNFRFSEKFRKIDCLDIWYGEWVTIANMLTMDGIDIILGSSTLTSTDLNVFLKHWLAGGPFGYSINLSFGYDIKRADGVTATVCKQKNGTLVIAVWPETTHNN >CRE17559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:44109:45320:1 gene:WBGene00071592 transcript:CRE17559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17559 MTCPFPLFRLLFWIFSVFIQTIKSLLISNIPLPPPPFPFFRLPYLPLARIIDFMDPDALVSLSFCSQKTHSVIKTQRRAPFTGRLCVSWANRDVLFHSVKNLTRVLRACYDWHHSYSNKINHVKMNGLYVPVRVHPSDGYLVSCWENETKGMKTITQYVTDLFNIDVSEVWASKESFHLIEWVNRRQKTPLKKVIYMACSIWPSENKKMIYLLKDCTILSEICICSDAPLDFRFSGNFRRIDCLDIWHGQWVTIDNLLTMDGIDIILGSSSLSNSDLNVFLRHWLSGGCPRLKLFCARTGSVDIFQVLDGLMHNANFVEDRRDYTSPFGYRRTLTSGFDIQRADGVTATVCEQGNGSLAIAVWPESTYNYN >CRE21641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig832:3060:3464:1 gene:WBGene00071594 transcript:CRE21641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21641 MTQEYIKGLLAAASVSVRTAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTEMMSLNKKAKAYCRTANVSKHFFRRGSVFKLLFL >CRE03517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig530:10625:14291:-1 gene:WBGene00071595 transcript:CRE03517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03517 MRSIRFLLFLAVLGVEVVLIRGKINPVALGSEHSGDTDENFISQDIEGSGVPPKNLSTIADQPNVGNEELVAEGSGGPMIPPKPDTSGSDDFEDGASGEPMFGGSGDPGVPRTEKPLPPFVEDLVTGQPEESTMPPKDPAEFATHEPDIIDDEPTGPTTPFDINNLETGFPEGSTKEPYMPKPVTKPKPGQQNYSDDSTDGYSGGTGYTDFSDFTDVPGGSSELPMESIPPVHWTKSTPRDFTISQKIDENSAGYQQLLHMLEDLGHRRKDDIIEKQQTEFYVSRASSLMDSIDNSFTNVAISNITMAQEYIKGLLAAASVSVRTAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTEMMSLNKKAKAYCRTANVDTPPYSLGNLFGNMLANGAVLSK >CRE07903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig775:2510:5224:1 gene:WBGene00071596 transcript:CRE07903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07903 MPGDFHESDPEGELLSPTAKKPRGGQKRKFGAGTAKTSQTIPARLKSLESTVISLKLLIEEQRKTITEQGQIIAEIRGMSKITGIDNEDFLREFPPLMSSLTRKTSPKQCALYNEVAKRNPKVVEVSNRLNLVTDIIAFNKKSCTAVIENLPDSKEENQQDQDKSLISKFSSDCSLPIPTEIFRVKCKNESSISRPTKVRFGSQVERDDFLKGFYGSWVNYAGRKMGPRPVRARRDMTREELNVLYAIRKEVYDANTKAGQIKLSCVLSNIRSIATIERITFLQNLLEKKGIHLAFLTETFLSSAVPESICNSSNFSCLRSDRSSSHPKIRGGGCALFYRKFINLVKIDLDDNVYSTHFCDILVVDHTESNVRYILIYRPPDTSSSQTLNLLNQLDTLMVCPQKSYVLLGDFNLPGIQWAHHSRVDNTGLSDLTESHNMIQLVKSATRISQHGAENMLDLIFSSNPKACFDVEVSEPLMMSDHNSVLFSTDLAKEASSRHKVKKLLNYRKCDFDSLNSYLAGFNWAKQFSFFATLDTKLSHFLKIFNESIDMFTPLMKINSRSAVVSRKYTYKLLKRRNKSFDPIKLKSKVKSCLKNIRKRIRKSENEIIGSANSRRLFGFVKRRLTSSSSITKLLVNGSLVTEPSEIADQFIKTFADNFTIPSPPHPALPHPKPKNIFVDLSPLSVFLATSKLHPKIGYSTDRINFYIIKKCANSISVPLSLLFTESLSARKFPDCWKTATVIPLHKKGSTLDPSTVASISEHPFVLSCVTQLNHVRFA >CRE02569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:239702:241584:1 gene:WBGene00071597 transcript:CRE02569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02569 MMKFIHFAFLLSISLHVLARATESSESESVDSSRSSSSEELKYNENVEDLVKIDGSNSTEVKDTDTAENENSNKSAEADTLEKVHEPAGKSNLEKFDEGLESDKKEYVQKRAEIAPVPSNSTDNLTEEDMKMMDQFNKTMEADEEMHRSNETVLGIDTFGAQGI >CRE20587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:61767:64073:-1 gene:WBGene00071598 transcript:CRE20587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20587 MGQPECDKIFDSIAKREKKNSVDGVNLPKGWSLVDGGKKQITGTNASVVTHILMFNSTQTRVRREGFKGSGNSTAEEVKDTDTAKNENSNKSAEVDTLEKVHEPAGKTNLEKFDEGLESDKKEYLTEEDLKMMDQFNKTMEADEEMQRSNETVLGIDTFGAQGI >CRE20585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:47119:50731:-1 gene:WBGene00071599 transcript:CRE20585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20585 MILYLLVVALVAHSAVSLDTTKTLTSQLHEFQLNNSAGVMSALDMLPKECSKNGKKYKPDESFEIGNLRYKCQNYGVYTIEGCKRKDGTEMKLGESVVVDNVKHQCLGMGSSVFYKETTCGVMGQPECDKIPLPKGFEEAMKRNGGKTETQGKTSVDGVNLPKGWSLVDGGKKQITGTNASVVTHILMFNPTQTRNSTEVKDTDTAQNENSNKSAEVDTLEKVHEPAGKTNLEKFDEGLESDKKEYVQKRTEMAPVPSNSTDNVSGIGITLTEEDMKMMDQFNKTMEADEKMQRSNETVLEIDTFGAQGI >CRE20578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:42087:44219:1 gene:WBGene00071600 transcript:CRE20578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20578 MTSFYVTLPSSVEDPQTRNTSSQYVTRLPQVLNLERDKWSVALSDIIYPYSYVNVGQPLSFWVHFKEAPPVHVTFPSAQYSKIDEIINVLNGDLVFRRKRSAAQAGMSTMEKVIKVRKEKQEEEKKLGQVGKDAVTVAEKNLKKHADVREEAEKEEAEKQKAAQARIDAVIKLRQEAKVEAQKRIDAAMRAKQGTQALVPVPQGPQAPAPAPAPAPAPPPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPVPVPQGPQAPAPAPAPAPAPAPAPPQAPAPAPAPAPAPAPAPAPVPAPVPVPQGPQAPAPAQAPAPAPAPAPAPAPAPAPAPAPAPAQAPAPAPAPAPAPAPAPAPAQAPAPVPQGPEATKEPQPLQGPKKGAETPIIVQVTLTEQAKDGRGGATTGTSIIPKDDLTEDEKVAQSRIDAAKKAREEAENAAQARIDAAKKAREETEKARQARIDAAKKVEKEAENAAQARIDAAKKVEKEANDDLVNTFANIREANKQDSENWFNYNNIKMHVFNRSEKDSEYQESKDSLAKFRNASFIESQKNARGFVHFNKVDERKVGIDFTDEVLFVEFDKPCAYFLGFTDNIVRGSGKAQKNVDFYGNVSALYLYCDCVEPNFIGNELAPLLSIIPCSGKYGDMIRHTITHPRYYPIMSSTIDSIKIRLLSEFAEPIDFNWGTTIVVLHFKKNY >CRE20582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:39293:40237:-1 gene:WBGene00071601 transcript:CRE20582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20582 MKLKKYHVIPYVEGAAVESAKRFLEKILNDPNLDAGEKCKFYQDVLYRIKHFNELPIVNQEILTILKENMRAAKVEIKPEVKPEFETETSPPFPLYDVETPEEIVDQSDDEFTSVDNIPVVRNKRKSSESGPPTEKRHLASVDDDPSSVKKELPQKRKTKRPKLVMHVLKKKPSIPIHEIEPEAVFKTDNVGPLHQYRGKDYRKVPHRVPGKRDLKRFKMGRKLEEYKMLRHRKRKSRETLENEAKRIKEMNDSSDEEEEDTKPKRKPKQEIKTELKREIKREPKREIKSEKPIKKEEIHGSGPRIHCRLWKFL >CRE20581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:38207:39262:-1 gene:WBGene00071602 transcript:CRE20581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20581 MEAAIKDAWTNEKHPCAFTSVASVYKFVKRRFPRCKLEQVEKTLQDLESFTLHRPNVSRFPRRATRSIGMYCDLQADLADMSKYKSKNDGVTFLLNVIDIYSRRLFVKPLQSKHGIGVAKAFQEIFNEMSTPPLTVYSDDGKEFYNVNVKRLFDKLHIKLASPKSEIKCAVIERANRTLKTRLAKYMTEKYNHRYIDVLPKIVKGINNSFNRGIGAIPNQVKQGDFPIPIPRRSSAKIKFSVGDHVRIAAKKAHFDKGYEQGWTTEVYVVKQVLVGHPVTYRLVDTNGEPIVGIFYTRELTKCTYAADAVYRVETVLRTEMRNGRRQHFVKWDGYDSSHNSWIDANALLNL >CRE20577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:33394:37934:1 gene:WBGene00071603 transcript:CRE20577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20577 MSDEILHEPEEVIEDLLPDDEDHDVDGQRRIVPILENFPKFVKRRAFPSAEELEYFENFAEIIESTPLERISGGILEMDCTLLKFKNFENLPTNKSLDIHISQLFDIFIRKTIQLAGGSLKDTKYWLCLRHPDWPGDEIWINHSTHFVSDGHTLVNSIAKVMQSSKALKIDETLKLHMKIFAPTPMTGSGGALSEQVLKLFGIKKHFVVSDGFCLPKSLAIGKIWSDWKRAKKDADEWKKLDLLWKKISYSTVCEKTSTFSYNFHFQRSEGHLVNKSKNQVIMAKELLVSANMDPDQSDHNLSDLTKLAHHLKEYQIVVWTAEDTNVPTVMTSFNDKAEGFIGLVYSNDHYEFFIPKCEQIKTKFCFNCSKLVDKGHFEKCNAKCWRCGMIDCVKEPSNIIHCKLCNIGFRGQQCYDQHLVKKSGAALPYCQVWEQCHYCDKIDKRFSYTMVQHVCDAIKFCLICRKKVGKFHDCHHALYSEQARKKKREKQEEWTMVIFDVESIVTSVNADLSQRGPKHEVNFVTYRLICQRCVGHPCRHCGPIQYISYIPLPGEEGSVLDRFCQFLTDDVRLKNAYLIAHNGGRYDHVFLISALAQKMNVTPDFVCNGSTFISATFKLKDRELVFRDSCHYMQMKLASMPKAFGLDVSSKGHFPYLLNVPESYGKTWSTKPPKKYYQPEYMSVEDAKEFENWYEETFYEPFDFDEEILKYALNDTEILTRGLSKYIKICVDTFGSWNPVIQCPTLASYVMFIMSIELFNEDDVGYIPENGFPGRNNSVLALKYLRWLEHKDPTLSIQHSLKGNEYQIGSYFVDGYVAATNTVIEIHGCLWHGCPRCYHDRKALCPTRTNVTMKQLYDETIAREEVIRKANYNMQIVWECEIKAQLEQNKEMKDFFKRCRNTYQLRPREAMYGGRTQQFMTFVSADSTHTIEYQDFCSLYPFINMIGAWYPKGQPFVIRENFRAIEHGAPLYYRGLIFCDVLPPTNLDFPVLPYRSNDKLMFPLCRTCAEKTNQKKCLHLRVSERYLTGTWTSDELNLAISKGYQVLKYHETWHWPDERWFNGGFFKEFLGPLLVIKHQASGWPRKNMTDEEKAEHVRIIEENDGVRIDPNLVEFNPALRSLAKLFLNSAWGKFAQNPLKAELRLMKLEDYVEISKFFEAPGYEPKNLIRWNEDMVFVGRQISKDALTTTKFTNIMYGIITTSAARIRLYDAMQRVGASNLIYCDTDSVMFRQKRGQDLLGDLKGDGLGKLTNEVPNGKKIVEVVTVAPKVYGIKFENDDGENSYSIKAKGITLNKKSAEAVTFDAMKKMVC >CRE20579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:29877:31908:-1 gene:WBGene00071604 transcript:CRE20579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20579 MISRMDIKSEDVVIDEIDFTTMPGTNTAVLGSKFVKVPLRNAYQAEGPWEFSLTNHSRNYLDLKRTYLVFTFDITDTAGNFVQMDSKLLETSMSYAPINNIAGSIIKTVTLHINSQLVYGNSTNHAYKSYFENLLNYSQDIKDSTLSAAGFYHDTAVEEFTSLGYQKRCLMVFNPKPVQVATNISIDLMNQSRLLLNSCQATLTVYPNTSDFLIEGHNLGTKKLKLNIRDVYALVHEYELTDGLSNELEAALIEHKLIQYPMISTQVRSFYIGPNRLDAPANTLFTSKMPRRIFLGLVSAEAYNGSQKTSPFNFKPYGLKNVHIDYCGKTVPGRPLELDFENNKFIEAFIQMQEAIGHSRNNSTCNSISTQMFKEKGFTIYGFELSPVALDNSLFEMVVQTNVSIRLDFKEKTPENGLYCVVVAEFDALMGLDTLRNPILDAAAH >CRE04309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:85510:88179:1 gene:WBGene00071606 transcript:CRE04309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clpp-1 description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:E3NE73] MLLRRLVTSSLASSRSLSSTVQSRVGIPFVIDNEGKGERTYDIYSRLLRDRIVCLMTPVDDFIASALIAQLLFLQSESGKKPIHMYINSPGGSVTAGLAIYDTMQMISAPVATWVIGQASSMGSLLLAAGEKGMRSALPNARIMVHQPSGGAQGTCSDIVIRAEEITRLKKRLNEIYVHHTGISYDEIERTLDRDRFMSAQEALKFGLVDKIETHSGSMPSD >CRE04308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:83654:85369:1 gene:WBGene00071608 transcript:CRE04308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04308 description:V-type proton ATPase subunit F [Source:UniProtKB/TrEMBL;Acc:E3NE72] MASAVKGKILAVIGDEDTVVGFLLGGVGELNKARKPNYLIVDKSTTVQEIEDAFKGFCARDDIAIILINQHIAEMIRYAVDQHTQSIPAVLEIPSKEAPYDPSKDSILNRARGLFNPEDFR >CRE04307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:78755:80825:1 gene:WBGene00071609 transcript:CRE04307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04307 MSEKYGNLVLHIIEATETLTITKTTTGKEQLEDKMVAFVFTHGSPSYPGCEGFEKQIETFYEAIKANHSDFELVYIPAQIHRTPEDHHPKNYTFCLAKLRDCAFIQLAKNYGITIDNIPQILIIRANGDAVVRMTRWEFDENCEQPEELWDDWNEKFHDPDAPIQMRGEVKRGTQTDPEILKMLRDDEERRDMFKDWKQLAKNYGITIDNIPQILIIRANGDAVVRMTRWEFDENCEQPEELWDDWNEKFHDPDAPIQMRGEVMRGTQTDPDLLTIVSHDEERRGDLHFGIFFSS >CRE04319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:73879:75337:-1 gene:WBGene00071610 transcript:CRE04319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04319 MTTPPKPLFFGPSKCVALYMDPNKRLQLYLRCPSFGIAHKSEAMRIRDLKVRPDNFEINGTIFRLGVITQYTDMPNPRPIAVDNAKGGIQENIDIYGLPPRETQDEAENRLSLKAEAYNMRINHTLPPFRHYLQLTISTGDSVKIERVVYDKQFGTGKEYIERMVFGIKKIQVENLQIGADKDFNDYESKYGIQEGPSRPESLFQLTPQADSVKPLLSIRSLEVSVLKVTCILTNALASLRPILSQTPLKELRALCHEDAFPEDPIVNTAQFLEIAKFCPIHVLSNRPNHRIHIGYAYKENGEELTNLVNEWKKREIPIGTYYSMGARYDYFITAIFQTFRNLPGAKFGENEETRLTNNPECVIVPMGNTELNAYRSEPTEVERDPFSSIVTIKWHPRGYARAVEWD >CRE04317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:67843:69046:-1 gene:WBGene00071611 transcript:CRE04317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04317 MTTRPKPLFYETAKCVALFLNPNVRLQLYFRCPMFQTVHRNQTLRIGNLKVRPDNFEIDGTIYRLGVITQYTDMPNPRWVTLRNSNGGLQADVDVYGLPMYTTGNMSDDNAEVAILQLEIKRLRKDLQNKKRGSYDTFKQILSEIEEAQSKLEVLQNRINKSQPSSCNYLQLTVTTGEHLETERVTHEKPLKLTREYIEKRIFSNMNIQVGNVEIGGGCKENDVVQTPLDPLFRKVPQGDLVKPLLSIREGCLEVGVLNVTENLTNALASLRKVLSATVPLKRLKTANPSFPDDPIIKTSQLVSIVGYVPFTALSSSPNNRTHLDSYADVPNFRFTYVVNKWMESDMSVGTHYSMGIHEAHFLEVLFNLFRKLPGAETAENKETR >CRE04316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:61703:64012:-1 gene:WBGene00071612 transcript:CRE04316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phg-1 description:CRE-PHG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NE67] MRRVLIPLLLTTAICWAQDASEACTKALTDCENDLECQNRLAPLMAACSTGTCQPQCRSAVLNVYQNKLGRVLLRSDATCIPGRDELRTCNFLPAESTVHCSLGKLACEGDLQCNSKFGVFMSECEADAARGTVERAYHIHSFTSGACSEKCKTLLNQTIETSVGSIFSNCTCTARDDQLCTNLKDNLLGVCLKNTPGGVTLSPSDNSITDAPGGNDLADSSVSHPTSIITAISVYLLTVLFF >CRE04315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:55214:59099:-1 gene:WBGene00071613 transcript:CRE04315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04315 MKPVVAFSALLVLAALLPVSYQRNVENPDFKPNCLVGGPDIYDPTQAEKVPWFDVNLDLPPRQRFKQIAAAYKDDIRAVFDVLNYFLTIIPGVNAWELIGNVTASALDKGMIMNPYKDEVLGIAEVLEVPIGNLVFLNIFYEMSRFCTSIVAQTEDNKDLYHARNLDFGQLFVWDIDAQSWGLTDALKKVSVNINFFKNGKLVFKGSTLAGHVGVLTAMKPHKFSLSMNAKVQPDLINVAKWYMGAYENTDLQFVMYFERWLFENCDDFQCARQKIAEVKLLTGAYFILGGVNPGEGSVLVRNTTSVQFERKLFDGDNDWFLLQTNYDPDKNPLFIDNRRDPGNACMKKLTRAGVGMKGIYTVLSSKPNLNKTTVHTVLMSVTKGYFETFVQKCPNPCWAF >CRE04306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:47499:55120:1 gene:WBGene00071614 transcript:CRE04306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04306 MLRSPRPLPDNTFFFANYGCCIPTESYAWILVALFVTAILVLLFCMLATATIRWMYKRRMRKRLHDLKRKFLMEQFKEEEFEAKLNYVAQKNKSDVGEEKSKEEGKEKLEILVDQNNQGSQKKKKQSSLQKAVAGGHQPAGPIYDRDETPIAQSTLASQSNTNNAKPPIIKPADRWLHLPKNQQRPRQFSDGPPTLPTPVPPPQHRVPYQPPPAPTPSAPPQKPLSQQKPPPPISPAPPPPPHLQNQVHPSMLPAGSYYDLPHNMTGGRPRISPPKKSPPKTKPAVPEVDFGGTSPIIPLDPNDVSPEVTRNPLAPPTTTGTTSSGGVSPLTTTPTTGSTTGGSTTPESSTTPLTTGSTTTTTSTPLTPVTPGTSDSSFTKPLTIPSSGSPKNKIPSPPPKNLPPSVEDNDKVKQHLQYGGDDIVSPVMASEQDQQRKQGAGGSGEWKYYYTSADEVDGGPGGGGASSSCVVTKSSTSHNRHHRRSATGSWLFDSTGSSRFDSALSGSSAPRTYSTVSSSILKTDSSQYKTASTESYPHGAFAFQ >CRE04314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:28302:41761:-1 gene:WBGene00071615 transcript:CRE04314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pax-3 description:CRE-PAX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NE64] MTTDSITFNHILGQGRVNQLGGVFINGRPLPIQVRHAIITMAKKGVKPCHISRQLKVSHGAVSKILNRYQETGSISPGQIGGSPRARLTVQAVEKEIIIAYEENPHLSPAELRDLLIQKEICTKGNAPTVPAIKRLIGSKGLGGGVIPQTKKMERKRLSYSIDSILGISIDECSKSSSDDEGDSSSTSPTTTNDSSSAARRNRTSFSAEQLDILETSFRASTYPDGPARENISKETGLSEDKIMTWFSNRRARCRKNMPIYHQYNVHQGFAAATQTVSGRGVFDIDILFNYLNIQKMSLESDFPKTRGQDNPLFFQHVLHSSPSSTHSSPPSS >CRE04313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:21948:22693:-1 gene:WBGene00071617 transcript:CRE04313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04313 MQSPVFVCVLICLALLVAVSSAMPFYASSSLSDDGDVVRQFPQQGVLENMVNEIMRVQSVEQMNNNLVRRQIFSRKFW >CRE04305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:20609:20870:1 gene:WBGene00071618 transcript:CRE04305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04305 MSLTHAPSQPPEVLLPTNLSTEEAISSPSRSSRRTTTNTVSHHDITITRTGAAPKEDKLIIHFANAPANATDAAQDALSATA >CRE04304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:5214:18465:1 gene:WBGene00071619 transcript:CRE04304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-19 description:CRE-DAF-19 protein [Source:UniProtKB/TrEMBL;Acc:E3NE61] MHVDPDKVTLHQVTVYGEESQDEMEVIPRRNGDESTEVRGEDYAYGLEYQNAVTPNGTYTIYAPDSTYAQYYPYQNNMQSSPQDNGAYQVLAAPQSPVSMDDQDGIQQPNAQRASPATVNWLFDNYEIAEGSSLPRCQLYDHYRKHCAEHRMDPVNAASFGKLIRSVFQNLKTRRLGTRGNSKYHYYGIRMKEGSTLGQIAPQENLLIQDNTYIPPMQQLVQQRDAYADTVNQVAGVKVYLDGEIPQKRMKKEQHHSSSSCSSRDSISPPLDIIPNQQVLVHQTSVDGVLPPQPQLQINIPAYVFTESDKAALGKLDMPPVPFHNKEQLLVTINFRKLGMGIDELNKLIDCYHFMCKEVLTLVKNVQFTSVEDVWARFWLGGGGISHESLSALCTLDRVQEYIIQADLALYQTIVDCLIPNVLLSELSAGMTQSCRTFAKNIDLYLKKALQDGKMTDGFIKKKLQAIKYMQQGLKRYTSLNHLAHAARGVLMKEEQCQQMYQDYIKVDINTVHQQAGWICGCDSLMVTHVNNAFKQNLQQMSPMSVWAEWLESIVDQVLAKYHDKPAEVVSNVGKQFLLNWSFYTSMIIRDLTLRSAMSFGSFTLIRLLADDYMYYLIESKIAKAGKQQLITVIRQEKDWPLAKNNQEYIVPDSSEDQDVRHNIV >CRE30202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:376:4658:-1 gene:WBGene00071620 transcript:CRE30202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30202 MNIISRIQKIYAERQARLKTLQIQSRQPDTASELSPSIPNQEPNTVEGSRTTGSTIVSREVPRTQTNLNSIRVIVSETSPETEFEPANKQRHFGILHYIVNNRFRKMMLIGFLNLLIFVIFFASIFLFFYIQSGSEYKEEVSTKPFSTTTSPDVNGDTTTRTDTTAHSHSSDTTTNPFGEFLSASKNIVLSDFLDRTDCSPNHKSTFFFAYSNDLTADQVLNTWKSISENPTFIFETYALTRFDMMDSSSTDSVSSFDSSHSISDITDALLSNLPNPADSFKDPSRGGTVLGIIDVFQSFFCCDVTHCGATLFILTKRLPTETPSYVDYIVNTLKKYHAYATFVVSENSFGGLSPESMYRVASETNGLCIFTEDDRIQETPTWVPSSWPSYLVYSFNAKVTNSGNVTLPIFNSPQVGNYYICMTLQDHGSLDTFRMVHLTWYNEGSSNSGSFEETVESHTDFGNTTYTNKGPYTLDAVPYNLTLQYEFLGETTEVLQIRIYSVHIMSMPYRDFFENPLPEARESKNVLKKYWKAILFGLMGFLIICLIAFLILFLVLLKPTDSITSSTVLPISGTTKNSNATTESFVTNEVSSTLTEGETLSVTTPSPIVINVTSKPHPIPVLNVSTTIIPADYSTTFRSPTTNRLITVLTSAQSTVTEPSTPKPTSSALGSSYAMSTSGTTKHLTAPPAIVTVPEQSTTFGSTKVDLTTTTTLGDSTTSKPLTTESIPTTPSTTPEYASSSTQETTLSSTTLSTSELTTPRSTKTATVSYHPESSTTLRTIACKFRSANFCFTFQQISTILDPETSTISETSKGSTTVLKDLTTTKYLPTTETTPNQSTLFTTSLEYLSSSTQETTMSSTTKITTTEKISTSESTTSQSEKTTTVSEPYSTTVSSTETPIHTSPSTMSITSRIPPQWR >CRE30187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:12086:22585:1 gene:WBGene00071621 transcript:CRE30187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30187 MPYRDFSESPPEEPKNVLRKYWKAILFGFMCFLMICLIFFLILFLVYWKQTDSITSSTVLPTSGTTTDSNATTEVSTTPLPIIINTTSKPHPAPFLEGSTTVGFSTGSQTTNRLITELNSVPQSTVTELSTPFALRSSYSTSGTTKSVTTAPAIVTTPEQSTTFGLTTTLEDSTTSKPLTTKSISTTPLTTPKYSSSSTQKTTMSSTTLSTSELTTSQVTESTEVSEPSLSSTVSSTETTIFTNPSTVTIISTTAKPSESPTTSKSRTTANPERSTTSETLKSSTTVLKDLTTIKHIPSSLFTTSETPTKPSEGSSTSKSQTTADLTTIKYLPTTETTSVQYMLFTTSTTSKPSERSSTSKSRVTSDSKITTETTEVSTTVSQGTSRSWATVTTPSVLTTPTSPFSGTHSSVQIETSTGSSTTFTTTPGLKSTKPASTLPQSTSSSLKTTEGSASTVSQSTSTTAMKTSTTPKPELTSDVRTSSAPETTTETSTVTTTESTTPKSTTVEITTVTNPSFSSTTASTSTVPLASTSSKYYGSKAFFTGVSSSTTPPVTVTTTVTSQSVGSTTGSTTAVPTTPDKSTVSTIRAESTTARTSGKLQYLRPCRSLWLFTDRSTSSAYKTTGVSTNTPVESTLSSTTTGLWRTTTPKYLSTTVTTPSSTLPEFTTTKTKQYSTTSQHIPTSGYQSSGTIRTTEFSTTRVTTPSTSVITTTASWGTTETSEHSTTSDESSSTSTVSAKSISTTPSTSTQETTMSSTAINTSKLTTPQSTTTTTVSEPSLSTVSSTETTIPTSEKTQTLSTTSETSNVLTTVLKDLTTIKHLPTTETTILTSPSIMSSNVITTTVPLSNTTIEKTTTYKSSPISSKSQSLAFIFFSDSLEISGSSTAETTKSTSTSATSQQSTTVSTDGTTTTSPLETTTFLKYTSTSEILKSTSGTTIPEATTTTPWLSSISTTTVPLTTTEKTTSEPPENDQVESNTNFILKHWKSILLVILGLVLIALVIFLIVFFVILKPADQSTSSTVVSSGTTIGSTTVTKLATTTKPSSKSTVPSVTTVTEKRLTNPLHTNGPITNTAASEFSTKPSSITTAKLVPTNSHITTKSTGNPWTTVATSKTATTPVELKTSTQILTTVSSTEKSTMSSSTTFKKISTTEATTPTSTTVEITTSTVPLASTSSKYPNSTFTLINFFINFSLGPTTVINTKSSAPSTATSTPSTTEHVSTSGATTTIATSKPPPTTREQTTSESPEPPARSSNFIMNHWKTILLVLMGLLILSIAIFLIIFFVILKPAGHTTVSPSTTRSSIGTTKLLTAVSSTTSKSTNGLTTVIKSTSTVPPINSTTRNKTTETPPIASVQKQKSPDPVFKYCKIVFVVLLGLLTLGLIVFLILFFVVLKPADQSASSTVVPSISTTGSTTVTTKLATTTKPASKSTVSPVTTVTAKPSTTLKPLPTKGPITNTAAPELSTTSPSDVCSPITNTTFLFAYSNDLTSTLIKKALGDVKKYIVNPKITTFANNRFDTSKEDPIHFHGSKEDFDNSVNALLPDSKLKLPSTNDGSNVLNLLRKFLEQPTCGAIVYILMKRLPNTVDVTDLIQELRENHISVFPIIDSAYIGSKDQSIMCKLAHTTNGFCDYQIASRLENEVYETMWIVSRVHVFVSQSYQVSGKGSIKVPSFVRPPQGNEKGSMGLVFTYQNHVRDTNFKALSFTIKTDTNTVVLKGQTNQNNGNALLAHPSLNNNVTYLIDINYEYEKTNTLEKIEPARHMDSISNLSKCFNYQCLDSLFDVIAGSEVTRDTESSREAVLVYQNVGFEEEESELPGSQLEVITTSALASASLRESDQSTPILQSTGQVEEESEVPGSQSQDATTSGPASASSRELDQSTPILGRIGLGQVLSSIREDIEPNEQTKPSEPPIENLPRYENLENLELSNEAISSSESCQVRASTSSRESPILESIGHVEEESEVPGSQSQDVSSSGPASASSRESDQSTSILGSVGQVDQEPNEPTRTSEPPAENLPRYENLEHLESFRVIRQLVPVYQYMDCRGQFERNSLIKSVIFSAEEPVRFRPQPEEIPIETPCLDFTVNFVLDYWKFILIGFFILGIVIFLIVFFTTSKHGSVAPTFGTTTSFATVTTTVDTVTTTEAEPMQFTISTTSMNTTVADNFTSPSVDGCSPITNTTFLFAYSNDLPSSLIQKSLGDIRRFIVNPKITTFANNRLDTTKEDPIHFHASKDDFDKSVNALLPDSKLKLPNTKEGSNVLNMLKKFLEQPTCGAIVYILMKRLPDTVDVTDLIQELRENHISVFPIIDSAYIGSKDQSIMCKLAHSTNGFCDYQIASRLENEVYETMWIVSRVHVFVSQSYQVSGKGSIKVPSFVRPPQRNEQGSMGLVVTYQNHVRDTNFEALSFTIKNDQNTVVLKGQTNQNNGNALLEHPVLKNNVPYQIDINYEYEKTNTVEKIEVRFYSISPPATWIPFPTYQNVSTIRV >CRE30192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:33050:34842:1 gene:WBGene00071622 transcript:CRE30192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30192 MPKPTGGSQFHVTLEREASAKSENVEDSHRVELEEENYQHVMNPDDPDESYNHPIRFVRNPRLSREARGEPPEYELGRETELEEEEPVRFRQPRQEEEQEPANPPEEPPEDPQNTPFLDVAVNFILDYWKMISLGLLALLILGLVIFLIVFFVTLKPAGHATVAPNSTTSTVTGSTVTTVTKPTAVTIPTVSTTTTTTTVTQAPTTTTKTTTATTAVTSLPTTTTTLSPAPTPTADPNSICTDGYTLFYNKCLKVITQPATQASAYEICSKTGASLVVIKSGGENREIGEFLKLQGLRKIWIGLQCNENDKSSCLWDFGQGDLTSYSNFVTGSPNIGYGRCVFYLYNESLPDAGQWGNGNCDVDQLSYLCEVPPTINDYCDFNYNKHCYTRIDYGFTFTDAQDACQRICSNLISIHSELENRFVTSMFNITGYLMLGGVAPAKDLVMWIDGTPRDYNNLKLFIADQNCMYMNYITGDWSSVDCASAAWPVCKRKAGARC >CRE30204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:38178:39385:-1 gene:WBGene00071623 transcript:CRE30204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30204 MLFPIILPIILTIPIVQMCVRMIPPEDVSVGTISATLSTTQASPITTTQCTASSCPSTTTAVRVTPVMVSSVMTTPATVTVAPVTTAPVTMAPTLCTTCDIAAIAPVMEANTVFENTNTVGADGCTQTNAICRRTDDQVCTGVTLSATNAAGTSTISSAMNANQVSGLLTCQADGTYSSGSVTGITKLVCTFDTCVPPCASCDIEAARPLMDPPGTAFQIMDRTPAGETCKLYQVVCQYNGVSCVSITLYAMTTTGSDDMLVEVNDVEMLAANIACGTDGTLNFMGAV >CRE30205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:40743:41993:-1 gene:WBGene00071624 transcript:CRE30205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30205 MMFPIVLSTLLTVPIVQMCIGMIPPEDVSVGTISATLSTTQASPITTTQCTASSCPSTTTAVRVTPVTVTTATVTMAPALCTTCDIAAIAPVMEANTVFENTNTVGADGCTQTNAICRRTDDQVCTGVTLSATNAAGTSTISSAMNANQVSGSLTCQADGTYSSGSVTGITKLVCTFDTCVPVCATCDIEATKPLMDPPGTVFGYSDSTPDGQTCKSYVVACFYFGNLCATINFYAEIGTGGEQVIDTMTNSNGAKAEVDCGNDAKLTFMGMGPIVRFRCEYIGCT >CRE30207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:44965:46605:-1 gene:WBGene00071625 transcript:CRE30207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30207 MKLNTELFCFFLLISLFSNVNSSICTNGFSEIYNNCYKILPESLTKADAKTRCEMDYGAAILTIHNAADDFISKYMQGVGVTRLWLGLYCDHSTPESCLWDYGQGNALLTNRFLSDSPDISKGRCVYMDAKTGNWSSVDCGEKMPYMCELPQTLEDPSCDHNYYGYCYFPHSAPLAYGDAQKVCTQNNADLISIHSEFENVFVSIIFKTPGAVLIGGVALSRDDIIWTDFSLSSGYNNIQSFNTGHCLFMNVYTDNDTDGFWFVDTNHYNSSVSHPSTTQNFSKQRTLPARYNCY >CRE30209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:51223:52472:-1 gene:WBGene00071626 transcript:CRE30209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30209 MMFPIVLSTLLTLPIVQMCIGMIPPEDVSVGTISATLSTTQASPITTTQCTASSCPSTTTAVRVTPVTVTTATVTMVPTLCTTCDIAAIAPVMEANTVFENTNTVGADGCTQTNAICRRTDDQATNAAGTSTISSAMNANQVSGSLTCQADGTYSSGSVTGITKLICTFDTCVPACASCDIEATKPLMDPPGTVFGYSDSTPDGQTCKSYVVACFYFGNLCATINFYAEIGTGGEQVIDTMTNSNGAKAEVDCGNDAKLTFMGMGPIVRFRCEYIGCT >CRE30210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:54778:59707:-1 gene:WBGene00071627 transcript:CRE30210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30210 MAESSDDYNSSVENIKRVLADFFKQELRGLVKSILAENREMEEAVSDERRNIKRRIRRRIGWYNICYQASPITTEQCTTSSCPSTTTAVRVTPVIVSTVTTAPGTVTTVPGVTMAPGSLCTKCDIAAIAPAMEANTVFENTNTVGPDGGTQTNAICRRTDAQVCTVTLSATNAAGTSTISSAMNANQVSGLLTCQADGTYSSGSVTGITKLVCTFDTCVPACTTCDTEAVKPLMNPPDSTFDITDSTPAGQTCKRYSASCHSLNENCSPNEIYAEIGTGGEVLLNSVGGSDTVTAAVDCGNDAKLTFMGM >CRE30194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:60221:61315:1 gene:WBGene00071628 transcript:CRE30194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30194 MCIRMIPPDDVSFGTTSTTQASPITTEQCTTSSCQTSMTTPEEETTETSAAPVTMAPTLCTTCDIAAIAPVMEANTVFENTNTVGASGCTQTNAICRRTDAQVCTVILSATNAAGTSSISGAMNANQVSGLLTCQADGTYSSGSVTGITKLVCTFDECVSPCATCDTESVKPLTNPPDSTFDITDSTPAGQACKTYVVTCRYMIPCPAINLYAEIGTGGEQIIKTEENGAISASAEVDCGNDAKLTFMGM >CRE30195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:63555:67978:1 gene:WBGene00071629 transcript:CRE30195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30195 MTQEGILTSETSDSQFYISQEDTDPDEFREDESARFNQSSISIETDSPKLSVPSEPSEPSKTPFLDATVNFVLDHWKFVLIGLLIGLLFLGLLVFLIVFFTSRKSTEVTSNSTTTVPTVTTVPTSSTSTVTTVRPVSTTVGTTNTPKPHVYPPADHQNLCLTEGFSLVNKKCILLVDTPDNHTNASLKCDSYVTASLPVIKNAQENNDLADYMAANNLKKMWLGLQCDGNDESSCKWDYKQGDLTGYSNFADGHPNVIFGKCVFYLLDLKQWGSGDCEVDSLRYICEMPTTSECTIGTSYNHYCYISDQLDTTYSQAIQQCKDLCASLVSIHSEFENRVVASLIDNPRHNGWLGGVAPAADMVMWNDGSPRDYNNIVLYDKNTICIYIEFFLLVEMINRISIIYAERQARRRPVQNEAIQSDNEIESAPEPEGEAKTEFEPAEKQRHFGILQYTMNNRFRKIMLIGLANVLLIVAFFLFMFFFVVKVKCAEPEISTTLPPTTTTIMQGSTTPAGPSLRYTCTDGFDLVFGKCWQINIDLPGHQEAERICNTRGSTLFTIKSELEDQAFRNWMNHRHHRSWMGLTCDGPDNTTCVWDDGEKWPFSYVAFEDGSPANDCCFQYNINGVLGKQWTCDNCGLKLSYVCELPQTIDANCTNNFNMHCYNYYSQPMSLTAAQDYCRDQNGNLLSIQSRPENIYVQAIQEINSNYIWLGGFAASKSFVSWMDGTPMDYNGLSATEDYNEKESCLLMKIGQFQNDLSPASVSKDSIPSSIREERTIVEVATGGPRPDFEPVERQRYFGILRYNMNNRFHKVMLIGLMNVVLIVIFFLFMFFFVVKIKCSGGEGYATVPPTEPSITKTTSSGPLLFTCPDGYDLVGTNCLQLYTTPLTWSDARDRCHLNTSLLLMIKTEQEDQDFRAYIHHLYNSTWLGLTCTGSDKTSCKWDDLLQWPFPYSAFADGSPNGGCLFYNVSDTLGTPWVGGDCDQKLVSVCVTSSKPEAKCKNNFGSYCYYYYSQEASFSEAQDFCKLHCGNLVSVLSEDENKFLLSMDEIKSDSIYLGGLLATKDKIMWADGSAMSYNNMVEYNKQDSCLIMKMGSNAGNWTSTTCSKTYSYVCKVSAQLNCKL >CRE30212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:68653:70123:-1 gene:WBGene00071630 transcript:CRE30212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30212 MSNQLSTGTNNASVPSRNSPAELSTPQHAPFTTTPDVLLNHPMTIRSFILHDILLDKPIEKSFFELSGIFGSSRLSYSDFMYWYNKFSRGNFGMEDERRPEFSTLPVHIIERIVEKLELKEIMILRNVSKSLRNFVDQRDEFPCKSIEIGCDSNYIRCQFNKEEVIYASTSWRMPIDYDHYEYGHAKVMRSVDYQKIACDQLRYVLMKPKLQLNRLYIRCEYGSVEFSELECFETLFNSIGRMIVAKKVIIEVHGPAMTINVLSILDPVTLSCIELNCFKRPDGSEYDYEIWSTEKINQLTSLDQWKQASELIAKDPFDFCEPEHFSQFGSLRFTFDIIDREKILKLRNVSKNMFSNPRSSLTSCSVQTESKFDRSVLSSRNGFVLQRHRSFADDVTLHYDFPNVDGCYLEVHYYPKHDEITIQKLWR >CRE30197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:72357:73299:1 gene:WBGene00071631 transcript:CRE30197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30197 MCASVCEILSTSEMSFHANPSRLDATPTTSVVDSTMKMHARVIKSVDYQRIACDQLKYVLMKRKLRLSQLCIGYESNITEYSTLECFETLFSSIGRMVMPGNVKIKVCGPAITVNMLSILDPLTLSCIELKCFKRPDGTKYGYEIWSTEKINQLTSLVQRKHAPKLIAKDPFDFCEPSHFTHFKKIDIIIDFINRESLIKLKSMFSDPRSCLASCSVRTESKFDTKVLSSRNGFVLQRHWSFAGDVTLHYAFPNVDEYYLAFHSYPKHDDITIRKLRR >CRE17649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig584:5471:9977:1 gene:WBGene00071632 transcript:CRE17649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17649 MPPVPSELRHRRRRRHNDTLDQFSENFGMSREKRAAAHIMRSRKCVISVIKKMSSLECSMDTLDEKLVKALDVCYHVAVEHNTHVNHVLYENSKEKVESVGEESEEEIAEWSFMDSLLFAFTVITTIENEDHKKKSPNESDDKKHVDAAVDQVGHTGWYNRFKVHLGQKLGTAECTKLEPRFDRNIEKLLSYHNIIFNMVDAIELQVQIDPSNISKKRVLAPPEKNLWSQLGGWFHFLSLRHYTGAEAHLLDRFSYTCSKIAQKDMQIQKRTRSHLIKRMILYIGDESVELNNSVEQLNVLLSGIDETRHALKSAQITSEAKSRGAAYHQMILGFNSKASEIQGWIDEVTIIVTLHQNELIKFSRELSMYHDSVYNSIMEVLLRLGYHVHRKK >CRE23251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1358:1008:2768:1 gene:WBGene00071633 transcript:CRE23251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23251 MRSRKCVISVIKKMSSLECSMDTLDEKLVKALDECYHMAVEHNTHVNHVLYENSKEEVESVGEESEEEIAEWSFMDSLLFAFTVITTIGYGNVAPRTFGGRLFVIGYGLIGIPFTLLAIADLGKFISEMMVVAKTFCKKTWNLECYCPGPIHIGGSEYLVTLNIHEYYPLGEELMRSEVEKGAFGMPTSTMMACALTTCLEDGELIFSVITDAVKFSVIDGPPGSNCSSSGRLANSKGLCYLGSDQKKFVRKGVVQQVGDAINHAFFGLG >CRE13085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:180762:185485:1 gene:WBGene00071634 transcript:CRE13085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-23 description:CRE-EGL-23 protein [Source:UniProtKB/TrEMBL;Acc:E3NBQ2] MKLTLKKSVFRGEKHLLQKATPLFVHFLMIVSVGAYAIFGALVMRSLESKTITTIEKKTDVHRRHLNLSSSVEIQNVPPIPSELRHRRRRRHNDTLDQFSENFRMSREKRAAAHIMRSRKCVISVIKKMSRLECSMDTLDEKLVKALDECYHVAVEHNTHVNHVLYENSKEEVESVGEESEEEIAEWSFMDSLLFAFTVITTIGYGNVAPRTFGGRLFVIGYGLIGIPFTLLAIADLGKFISEMMVVAKTFCKKTWKKLKKAWNPNFIRYPKLSGAKDLSNTDIEEKILENEKIENEIESSETSEEEDDLTETEATSLFILFLLYIAFGGMMLAAYEPDMDFFKAVYFNFVTLTSIGLGDIVPRSETYMLITIVYIAIGLALTTIAIEIAADALKKLHYFGRKIENVGNVAIWFGGKKITMKALVKNLGDQFNLPTTVVKSLNLDHFVDQAIKVEEGEIETLRPPPFEPDSERFDAEFADEPESEWIRDPTPTPPPSPQPVYRLPSPKPKTPSPLPSPSITDKSMAIATPSPEESEDDEELILPSPEPSPIREPTPSPPPREPTPREPTPEPEPYREPTPPPPPPPKPRPLTAAEIAAQKRKAYSEEAWRRYQEYQKQWKKFRQTQKTPAPGASTSGASTSKQSGASPEGGGQAGTGPSTRSQSVTSVASGKTSRSATPESKKSSHPSSSSRRESGAK >CRE13084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:161589:164046:1 gene:WBGene00071635 transcript:CRE13084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13084 MKYTFFTPTVTRKYTKINYVRSTKNVIHLEPSEKLALIGDKFQMSDPSKFWKQDSSIYEILPMIDTFFEMFVELIKVLEENLELLDNLKEENFDVMIFENIVPPAYSVLDYLQIKTFIPSTSIAFDNSLLYSIGEPFMPSAIPFFFSVPISEFSDRMSFSERLINSISIPIMNTFLPKREFKSFRPPYSFIEMASMEPLSSFIFTNSNPYIDYPRPTLEKNDQIGGISVDIEKLRNVKVDEKWDRILNLRSKAVLISFGSFMLSKDMPLEYKKALARAMATLPEVTFIWKYESDDTISFAQGIENIHFSKWIPQTALLADSRLSAFFTHGGLGSVNEVSYLGKPTILCPIFADQMRNAKMLARHNGSIEISKYKLADSNKIEEVLRTILFDKSYRLASETLALQLANQPMKPKELLLRHAEFAARFGRLPSLDPYSRQMSFIEYFLIDIAFVGFISLLSISFVLVLITKRTFSFIRFILSSAFKRKID >CRE13100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:146163:148908:-1 gene:WBGene00071636 transcript:CRE13100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13100 MPLDGVIIEIEERERDDFLLRARIAELVAQLRLETSENLRKSAQETVLKRQIEREQDGHRKVVQHLEKERARWERKTQVDSQIGRGNEKERERKTEDLEKFLVEELEHLAQNDKLIFDESTGNSIWMCISGDKGGGEFKLCATIGNVVAPNSAYHIVPLDMFTDDEKVEAIKEYLADTIEQLNNLTEIKLNIGGVMTSYPVEQYLAGDLKFQYQMIGHKGAAAKKSCMHCFSDGRVKIGSYERGRCLKARTEADYLLDSANEKNSNSVIPGSAFVFNNVRLANIVPPSLHILMGVAHRYGFKFLLDLAMDIDNKSNTKIDKRDMNVKEKEYNGLKKHLDSFEVVLQVMSRFKTSTIIPAQSHTSPCSAEWCLFRDNEMKKAGVFKSTPLRCATCSEVNHAVCSGLWSEDDWELLSQVEPDMDCLRCCGRKGAMIEEDARKVEREMREKLEELKRELEMLMTAVNGEGEKREELEKAWGDCEADMSAWQQNFTGNHTMKLLQEEAVNHYTSVFPPTDEILHDVMLHHLKQFQSQENMTPKLHLLLEHVLPFMRRHKTWAKTSEQGLEALHAIVNRLLNKYRCTRNKEEQMSQVFCSLLHLGYINSNF >CRE13098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:131879:134361:-1 gene:WBGene00071637 transcript:CRE13098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13098 MGKDKTDKDNKKRSLIQSPENYLHKRTKSESATQLLDHSMNLITKTDSVTNTAMSSDMNPIDIEEYTPVESNAFVNLSNFGTPANCSNDDLAGIIRNLANIILGYQNQNSQLLCEVNAMKSELSELKKTIHNGHLLQTPLKKSFADIVSSTIQSKVTSQSIIKAVHSAKEDDIRNSSIIVKNFMLDTDGPDDKLIADNIAKQCGVPAAESIFRIITKKGPPLLRITMKSPEIATKVLAKFQQIKSSVPELSQLSARPDLSKTDLIKFRLAWKEAIEKNNVAGKRLFTVRNLEVVTITYKEVCNKLVKLDFLTYFYNFDIMALSETKLDNSYPDSLLSFDNRYSVMRRDRDKHGGGVALLIRNNLNFVPISIPDQFKRVELVGVDLIIRNTSARIIVCYHPCHNDDMSLLIEALKYLLSSHTNVFLCGDFNMPHVNWESLSASDKKCNEFLTFVLNSGLTQYVHEPTRLHPDHILDLVLTNTPIIHDVVVGELFSDHKLIKTTLTLQFETPNENKSLLNYWKGDYTMINYIIANINWNNLLADIPVERMYNVFLNILKKLIALYIPISNPKKHTKKYPPYIKRLQHDKLYIWRLEGNSTLYKNLCASLKTALRDLEKDKLERNLVSGSSKKFFTYIKNQMGTKNEIGILKNGNNACLTDSQKSSFLAESFSNVFTQDDGINPHIPAKTKSITDNVVIEPFMVEALLLKLKNKINTTPDELPALFLKKVATSIALPLSLIFNESLKTGAIPSLWKEAIILPLFKKGSRSDASNYRPISLTSQDYGETCQKCYCWTFKNRQITG >CRE13082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:119893:122822:1 gene:WBGene00071639 transcript:CRE13082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13082 MLASLAELEARWEEEGEDEEEDEEDEEEGEEALVPLLSNNQKPTVEQVMETYSEYLADQTFPDRFRPSVRRNNSENPEESIWMLMEAPAITSPRKSGKKRSSNVSALGAGFHTDAPLLLENSRVPNNCSESRKNKSKGKKNRRVAQNQKPLGSSAVESAPGRPAFSNTGRTVPGATSPSKGLGRQPSHSSKSRLELGFSRSNVQNHALGAPMSPNASLTSFVPTKTALKRLPFVALRTTNGKVVLAVVDTGASISVLSQNCARRLGLSPIAYRTTVIKGVNKTTPERLSIYQISFATTGIPVEVSVSGISRFPEFKFFCPRLGGTDNDFLCGLQIDPRVMSRDRTFNGRALEMIIGNDMLAHVLGTSSRVLFPSGRYVEFSPFGPIIFPAPQVLQFPSRSVTKASSRLLTGKVLTRICSSDVPTDNVFTDLAPPRSNSKSGHLYVNTSDPNYVNPWIHPHLGTDMNLHSGISGSISNHVNLQGTCNTVQNGFGKHLRGTTSQKYEGEAPRLLKPTEEIFLLEACDGTSIPRKELRRTQEKDKAMVSRCLGIHCFNDAELIRKPEGRLAEDSRVSSEIQWTNQFRVKVSDQRVMMQKVVGEMLLVSDTHGLLSPGWDACRSGASERRSKSTVPDLDVCRSGTSNEGSGGLDFILNACRSGASKSKDDKKSSGFEFLVDIEFPRRIAQQYFGVINMPGVTQPEGLNWKKSSRFSNHRVRPHQPRKAKAKLACYACITQAAEPQTPRSVDSYLVPDQASVPLQSKAHFVLSKLL >CRE10694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig419:5942:10416:-1 gene:WBGene00071640 transcript:CRE10694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10694 MDANTSSSAVLAPENDTLVGKAYPTDTELAFFDNFATVVDGSDLVRSTTETLKLPPTRIKLKNLDKLPTSNTLDVNIGILFDIFIRWMLMKAGACLKTTRFWLNLRHPGYEKAPTGFWMPHRTYDKLNGHTLMNEIARHSQSNRNLGLDETLILSMRIFTKDKKALPGRGHRVPEEIRKMFGLHHGHNVVGDSHCLPKALAMGKLWSDMQSCSDPGEKKALNNKLQKVMRKTGKTFDGRCRIQMERAMDLLEEAGMDVDQMEHNLEDLEKLAEYLDEYHICIWEMPPHFPFPVVRVEFNKGAENFIPLFYHEGHYDHFHPTVENVQARFCFRCHKVVGANHARTCETKCRRCGNNECEPEEGVSIWCEKCNITFRSQECYKRHLEKKTLKAFPYCDVYEKCKNCRTIHTRESYSKVKHECFSTYLCKICQTRAGEDHQCVHVIPSEKDRNKQMDKQKEWKMVIYDCESIVASTAEYTGAQSLGGKHVPNVLCYKIICQTCLGKECACCGPMQVLSSIEEPELVIQRFVEVLRSDLTLKNAYIIAHNGGRYDHVFTLEEMISHEKHPANFVMNGNTFILVDVPTSIKTSFHFRDSVKYLPMKLSQMPAAFDLDTKSKGYFPYMFNHPGNYGVNLPGLPPIEYYEPQYMGTKDKEQFEKWYDANKEKPFDFNREIVDYCKNDVQILVEALVKFITICQTIFSGWNPIVQASTLASYIQFIMKHDHIKPGVLGYIPENGYGGRNNSKIALKYLMWLEHKNPGLKLVHKLSKEGEFYLECGNTGFFVDGYNPETKEVYEVHGCLWHGCNRCWMDQEAKCPANKNRTMGELYETTIDRDDIIRAAGYTLHVKWECDINEDLRKDKEMRDFFKNCNHTHHLQPREGMYGGRTQQFQSLVKACSKYSIEYFDFCSLYPYINMKGAEYPVGAPKRIVSDFEPIVPGRLPYRGIIFCDILPPLDCKLPVIPTRSDGKLLFVLCRTCGKSKNPKGKCTHTKISERFLTGVWCTDELNLAIEEGYKVLRYHEVWHWDEWFRGGFFSSFMEPLLKMKHEASGLPENVVTEEDIDKYIEEIFQNEGIKLDIDKIKKNPALRSLAKLFLNSTWGKLAQNPCKSDTKLFPIQKAVSAVEFMCEPGMEPKCFEEWKDTHILVSRKPTHDAVHTAKFTNIVYGALTTSAARVKLYQAMKLVGPENLIYCDTDSIIFRQERGANPLESLRGNGLGQLTDETPAGWHIDEIVAMAPKVYAYKMVNESGEEKYSVRAKGFTLNHETAEKINFFTMKQMMLRHLKKEEADTTVNKMAMKRGSNILDGIETTIEKKRLRPVMDKGNFASDGSLIPYGLLNPSTTIEDDYMY >CRE15766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig387:11824:13476:1 gene:WBGene00071641 transcript:CRE15766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15766 MEIEVRRFYSNLFATKQSKATTIIPQQTKALPPFLPEEIQHSLNSFQNGKAAGEDKLSADFLKSCHSSVHKLLANKFSRYLQEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPVLYKVFTKCILNRIRKSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINASKTKVLRNKFASSHQIHIRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKMSQVKDPLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE16457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:61396:63665:1 gene:WBGene00071643 transcript:CRE16457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16457 MEFVWICSAALVLWAKIFWFLVVHCGCLVLAITLATNCSKLCARKPKKTDPKSKKGGRTGRTGSKTTGTTATTGTTTTTTTTGGNTTHDPENAAAGGAKAVSDGAAAYPLAKKMSTTFSVTGETKTTESIAQEIANAKNSKKKKKTEDADEGKNTKGTVEEKETDYKHENTLRIEMVDDDHTKTTDASACFDAYDPNNPTGEGEGGKLEKKPSQAKNKQQSGKKKGKGKKNKNTVSVQSVECQPPPDKEKVSNSKKSKRSLRETKQPTSGPENQPQSNPEKKEKVATMIEDEATPKEKEKGERQEKRTQEDSIDK >CRE16456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:57245:60975:1 gene:WBGene00071644 transcript:CRE16456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flad-1 MIKMMDFHQFLSLGLILFIYVSLELKIGFSTKYTIFFSSSVLFPIIFHRFLCRIFQKEMRAIFRTRMPSTTQRKTAAIVVIGDEILKGTTRDTNSHFLCKRLHKLGVNIKKISVVGDDISEISREVHTASGAYDYVITSGGVGPTHDDKTYLGLAHAFTDQLHFSNEIREAVDRFLPSYIDKKKAEGVGEGIEEVVRMVTEKLCTIPKMSQLLWGTQKVDGRISTFPVVRVANVVALPGVPKFCERAFDELQDQLFPVEERQSMYFDTIYTDLDEFDFSKRLTDLATRFEERSVQIGSYPELKNKWGHLWRGFQNKFFFFRFFKTKLTIESESSESMKDVLAALRELLIGHVVYYDTHAWTDTVTKWKSFKTRKTAENHLEFVRKLEEAEKIVEEIVEKYPLEQIALSFNGGKDCTVLLHLLRLKVDEKYGPSTPIQGFHIMVEDQFPEATQFIIDAAKFYNIQVLEFPGPLKTGLAALKKQRPSIIPVLMGSRATDPNGKYMKTPVEWTDSDWPKVLRVCPILNWTYTDVWHMLRGLCIPYCKLYDQGYTSLGGRDNTVKHPALRIVSSDGKEHYLPAYKLHDDAEERCNRSNL >CRE16455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:53665:56957:1 gene:WBGene00071645 transcript:CRE16455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ufd-3 description:CRE-UFD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NHD1] MTDSALTEEAMDTSSPSSIQNIKSYAIGQVLEAHKSDTKSLALTDSGTLISGGRDETVQWWGKRAGKYVKTLAFTQPKTLVVNSIAYADLEDGWRLFVGRKDGSIAVYASGSQEPVTIFTEHTQNVCCLHVNQKATHMLSGSWDSNVIIFPIAELNKSSFTVLKCVGHTLSVWALASFPELPDTYLTASADKTIRLWNRNETAAIFKGHTDVVRALAVISKDHFLSAGNDGHIIHWDVASGSVLGKFATCAHDFIYSMTLSDSHILTTGEDGTLEFWAMHSKSGGRLTIASEEVIQMPSASTWDAKVLPNSDIAVAGSDGRIYIMSNDPERQAPQEVREAFDAEVVSKMSTKLERIKEDTAEVVTIKVDVDDRPTQLDLHYRKGTDPGLCAQEFIQENHLPMHYLEEITKFIKERVPEARLYDIKTGKKIHVDGEEYDYALSVSIGKGEKDMKMPFNVNESPEFAAQRFVERKGLPVSVIPALAGMISQEMDKLSRGAGAAQAGYEDPFTGPGRYVPGGASNNVQNVADPFTGSGRYVPGGSHSGGADGGFSGDPLTGDGGYRASKENTGAHAVPLSSLPQDKKKPRGPLVPVPDYYIIGLAGKGEKAVAKLKELNEKQDAFQLGPDQINCLEELFVLSPSSNYSSDVAQSAFETALQWPVEHLVPVLDFFRVALTHKSLNSYFCEGERGKDLTERLIAILVSDPTDIPLKIMVCRCIGNAFAHPAGRQLFGMNELSILVPLVLRQVLSDKAVLQHSAATALANWSLTLLQQSEKVEQLGPKEDYMRAIISGIETVDSFGFVSEDAITRLLQAIVTVMWGDASVIRLAKNRNLAAIAARLKDAAQSDASKNIARDIVEMTYSV >CRE16464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:52263:53090:-1 gene:WBGene00071647 transcript:CRE16464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16464 MSDSGDSDHEFDGLRLVSPIKLIETGDTSNRPLEDVKNFEAKFTGSFLEANLFGAFRNGGFGDQISSEDDEKSTDDKEKEEFVGLDWDATRIFKNLACSENNQSENSVCVLRLHDILTKSASGTLKMTRQMINCGRLINRYTPQQRRDFGFEDPQNVKNLVRMIKSLRASTELMLIEMDDLQELINPKFHTKEGKISNYYRNDFWFAGIAATLVTIPIAVYAYRRYF >CRE16463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:45609:50247:-1 gene:WBGene00071648 transcript:CRE16463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16463 description:Succinyl-CoA:3-ketoacid-coenzyme A transferase [Source:UniProtKB/TrEMBL;Acc:E3NHC9] MTILSKIWAPSNGILGTLPRHQMRLISMTSSANAKIFKSAEEAVKDIPNGSKLLVGGFGLCGIPENLITALTKTGQTGLTCVSNNAGVDKWGLGLLLQTRQIKKMISSYVGENGEFARQYLSGELELEFTPQGTLAERIRAAGAGVPAFYTPTGYGTQIQEGGAPIKYSKTEKMKIEIASKPKETRQFNGVNYVLEEAIWGDYALIKAWRADTLGNIQFRHAAGNFNNPMCKASKCTIVEVEEIVEPGVIAPNDVHIPSIYCHRLVLGKDYKKPIERPMFAQEGPVKPSTSSAGRSREIIAARAALEFTDGMYANLGIGIPTLAPNYIPKGITVHLQSENGIIGVGPYPKKGTEDADLINAGKEPITLLKGASIVGSDESFAMIRGSHMDITVLGALQCSRFGDLANWMIPGKLVKGMGGAMDLVSAPGARVIVVMEHTSKNGEPKILESCELPLTGKGVISRIITDMAVFDVCTKNGLTLIEVREDLTVDDIKKATAAQFKISENLKPMGQAPLNQ >CRE16462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:42068:43365:-1 gene:WBGene00071649 transcript:CRE16462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16462 MAFLGYGAAAALGGAFIYANLPTYLTIGAVAPTFAHLAAAKLIPIKGSLKEIETVDKNEIFTVSCHFFYENENICIFQADELFKKGPIMVMAVRRPGCMLCRREAAELHTLLPLLNEKGIGLAAVVHESRGANEFKSYFPGGDVYLDTERTFYGPNERWLPHWVGFLRIGTYSNVYKAKKAKIEGNMEGEGRLLGGVYLIANNNIVYTHLEKEWGDAANIDEVREAVEKFTTKMK >CRE16454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:38344:42113:1 gene:WBGene00071650 transcript:CRE16454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aakg-5 MRRLFSPHKSSEAGKALMKSDGLASDGSESSSPPRNLYSVMAVEVQTKTEKHHESPNPDQQRRNSTSGGRRPSFLSQMRDLIHPRTGSLGASMFRRPEATRRVQVAECNVEAVYDLRDKQAHFYDDDNGFRRPRSNSSDLLILRKTSRPISFNVVGVIDQKTDPYHQYMSVVDVYELCPNNSKVIIIDATTPTTRAFRIMRDHNITTLIVWDTSDSRHVKRNILTLTDCLYAIRNETKPQKEGSNVLCASDILSGSQLVSVSISSKILELCEELHQNRLHRVVVLDDSKEVVNIISVRRVLAAIHKQNRSLHFAQWLSKPIGMSAIGTWENVAVISETETVYRAMEDMLGFHYTALPVVNSKQEVIGVITKTDICKALPRNFLEPKRWLLETTVADMLHICKSEVFISSADSVGQVLDTLLMSDTQSAFAIHNKKAIGVISLTDFLAHILRSPLATTDEEPPQPAMPPTPESNSSSTENMAHKL >CRE16461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:31096:32235:-1 gene:WBGene00071651 transcript:CRE16461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16461 MSLSTHFLLLAVIGAAIAAPLKVEYVLAPVPLKQSSTDPTKLVAVVPLQTPTVVIKFDKPILAEIEKTSEFESNFEQVPIGNGGESVIVKLKVKSTLEKPAIVNIKDTKNGDSVKVMVMAEDGRLGGSDVRRDKLV >CRE16460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:28988:29445:-1 gene:WBGene00071652 transcript:CRE16460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16460 MGVELSLDPPVCPIQANGGTSKHKIINHCDKMLAYKVKSSNNSNYSVNTIYGLIQIGYTADLIITRKPGKPQADRLIIQFASVEQDCRDPKAPFATGQPVGELSGETIIKLSAAE >CRE16459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:17632:19084:-1 gene:WBGene00071653 transcript:CRE16459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16459 MSSSRVLVILCHVALIICGVAGAPTGRKQTIISVKCGERPVAHATVHFIEYEKELSTYKSSNHNKIDLNHKTLTDEHGHYHFKNDEVLGDLSTKYIRVQVKDSCLVETVQTKCNLPYNIFEVTLAEIPSISPHQLLLDLTQWDFTSSCI >CRE14056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:859574:862016:-1 gene:WBGene00071654 transcript:CRE14056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14056 MERKKSKTNLLQKFAEKFKKKKRSKSASMSRERGSEMSRRPRRPSRSASKTKVSGGNKEGGSPNASAETKSKIDLMEVTAKGIKSKESKEKKSSGKKKKEKENLPTPTKTPSTMALRRTDSQMEVSQNNRCVERQMKIWIDSLEKMDIRKVLETEYDGIEKMKAEAEKCQVFEKKLDQCSSEFIELLDANRVKGGGENKDFFYHGSVLNCLTTPPKTTVLAQLPLIDNAESLEAFWLMVAAQKIQRIYVLAGEEEFDKRQLSDYFPDDFKEHKTIRVNHRKTLPKTDDQLNNQLYYEVVPKDCAEAPFCMVEICDFWEDGRVPVKDYGRIATTAASVFDSDIDADASCGIVSFYGAGRTGAFLVGALAIEKLRSGEQPNYKELGTCIRSQRPGAIEVLSQYIFSHTIGLTYGMKHCKDAGLKTRIEKIIAQFETFACAKMAEEEEEDSSSNATNTNTCE >CRE16458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:2334:4972:-1 gene:WBGene00071655 transcript:CRE16458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16458 MARASTLLLLLLPVAYSMVVWPVLDRNTTRSTIVRPRNWAEKNLGQWCRNFTVNEHTQCPRGSAFHQFDCCGQHETECCFAIQGWVIVILTIVGTCGAIILIFSALLKFNLICPLPYSSKPAPPTYTGIQD >CRE16453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:425:1771:1 gene:WBGene00071656 transcript:CRE16453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16453 MVISVLTAIELTTFFGSLIWHCFADGIIEITWQKATCRLREQLIRDVTYSVQTVDDVEDVQIVAGIDTSASTQNPDMVYVSVSFWKYPSLEHVATVSDTRILRLPYIPQYLAVREAEVMADFVRKVISDRPDLRPDVILCDGFGQFHSRDCGMACHVGALTGIPSIGIAKNLALHDVYETVGIDKKATVDKFVDNCREVYKSNKAVAGYIPFDIVEPVKLNILRMGGSMSGVFVSAGYGIDLDLATTISSRMLLNNTTCEPIRAADLESRRLVREYFDGNDKNE >CRE20025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:80942:85051:1 gene:WBGene00071657 transcript:CRE20025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20025 MEDNSVLNEDSNLEDIAASAPRKSMSQPSLAGVGEPKKGLSNAFSASNVRSIPIIQTWHENEEMKGQIYTLRCEVQMHERKYLEAKELCHRNVQDVMDEYVEMKIAQDDWEQKMRQFKQMEMDIDECSRQKTEMEQRIRELELSLTEQQEQRATVTSDVNNTTCGSLRGTLDDIMKRNDPDFTLTSGYDEKIAELEGKLMNEMDKVAELEEQVKNLLEEVRDQSARLAQSENARAQLEEAASHGVSVMVPNSTFVIGNARQSQTEQQIKYIDELETKLTDAKSESEKARLALVEYMNKCSKLENEMHKIKKNQTFDTSSLLIGQTSEELKAQITKVNGELNSLRAENRELRIRCHQLNGETDDGNLSSSLLGHSRLMAGISSTDLVDSIETGGTSMRIGPSSGGAGHLEAELEESKLPLMDTSAAVRSKQAFDAAYDDFESLKDALLNNDNNTLESENASSIRLVCDELCRRLTREREQQHESAQTMKYVNDNIEKLQKENLEMQAKIRESQGASKKSSTSNNKENAPPRVASSEAPITAPPSSSQKTSTTASNFVSPTRQLLHESTMAVDSIVQKLKKTYTMSGMGAELKETIGNLIIESRGLRDFLHQKLILFKGIDMTMWKNDSVDQLVEKLAQYLQDNLILEEQIKKYKKELKLTKTVIPNLGADVQERIKREIGGIASDMGAVKALRNKK >CRE16411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:88886:92225:-1 gene:WBGene00071658 transcript:CRE16411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16411 MDLVYGSEITFGDGFAIKPSRTFVVNTTEGQLVVVALGLQKSSGAEKHDEFTIGEVGLLGKLLGGVDKRVSDACVMKNLSGYGRERKSSKPDIVYIVTRDGWVQAWNVDTRKQLSSTIDLNQYFASDTFRISTPEGSDDDDAEFINAVEPMEQFYSINAYTFDIDTFLVVGCDIVIGGRSVGMRTHLIKVAEDQMYHMQMYETSMTAEERLVHLELIQTYFPPRDCEELEETQDFEDYNPRTCAQFSLSALFKSSSANKSYSLKRLSFAIQWRTGEVFTKFDWHPVRQFSGKLTGKGDNKSDVIDTERPYNLSADSSIETLIDVVFDTDLYSFDIVFRAIQQKNHIFGSKTMFFRL >CRE16410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:83100:84274:-1 gene:WBGene00071659 transcript:CRE16410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16410 MTTPSGIEVSLSNQDQWKKFHPMTEMVVTRKVGRQLFPHLNYILKGLDPNELYGVFIHFERVDNNRYQFLHNKWNVFGKGDEVRQIKVEQHLDGWRAGSYWMAKPVSFKHVRITNDTDLKKSNTFVLQSMHKFLPVIGVQKMGDSKIEGFRLDVTEFMAVTAYQNKDIIQLKIEMNRFASGFKETGGHNKSPNSDSGSSPRGVKRQSTSPEVYESVTPPTDPWTPPYNPLTPLSYNNTPVTPMPPTASTFPGYQYGAFGNTPIPDKENRTEQMQMYPWQMPMGMYPMVPPMNSGMAPEVPMNSSLPLVADVSQNPQAMQQNPMYMSMPMNNMGQWNMGNGNGMGNQMPQSELPNYTF >CRE09497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:176066:176545:1 gene:WBGene00071660 transcript:CRE09497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09497 METEYLANYYKTVYPVNCTPDLRFLASKEGVIFYSRLIEFISLPIQILTTFCILKRTPESMNYVKSSLLNVNICSILAGIVLSFFVTPFNYFPYLAGFTMGFAADLGASAIVQVIIGLAMTFGRCEHVEMDKFTLISSVDRFISDTKLRAGKHWFCRFF >CRE09929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1699:1106:5113:1 gene:WBGene00071661 transcript:CRE09929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09929 MREKHLLQPLLIFLLSSTVSTQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTEFIHNLFSTDRSRKPASVSGAHDGNANIGGTGSGDSQRGFGGVDPDSTTTSFEKPDQLAPPTRVDATWTPNSNSNSNLNLNPGSNSGDARVGGASRSSFETTTPTPEVPTTTLPTTSSGFPTLIPFSSESWSTVTQSPLAPSLESRPELPPTPSRIPSPTGQNSGFTGSFGEQLPVRIPAPTPIPFNPSPRRDGHRRRTTPDPRIIRPPESLVVIGDYDEDEPPSETHLPLAPSQPSFFSQTPPPTPPPVPSVISNSGDVFARSRKEISATPDFEQQSGTAAMLRDSSVPSSLPSSFNHLRRFSSRSSSPSFAHSRAHHRLICRLTTPGRLLCNRPIHRSRYVTPPKYWKTSEVRMRNPSGMRIRKKNFATTRIHITPFIGELARKRRKLDSGSSHEWKMSIIPRGQNNKATGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDHNGREKEPQIRLEGNTKDLSVELSAVVIVPRTTVAPGFTNKISVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQADIRAAIDRGQCGIFNVAPFLECASQGKDNSECCRYRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE16402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:26019:29055:-1 gene:WBGene00071663 transcript:CRE16402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16402 MSCYRNGIKCMLLLFFMGLAALNTYSYWRDSLNVNTLNTSMHPEVIVEQSPSTTPFQCPFESWNQVHSDIVPNENLHLEWIQNNISRRDNILESQIRLLSSFVYPDHISIITNSQRSYGQKVYCRYFNCLREEISNSSYQSIFFPMNVIRCPRRIGVKYMSISFDSEEIPQEPIPLVYRVFEVFNMNEYSRKIIDEYLRTGEIELTVIQSEYKTIDWQFHLLQINECHQRSKHHSKWVINVDIDERLVILDDKIKSVGSLLSGYNNTVAEVGFAIRRIQKTEKLPEKYESDEQIISEMEFLKYNVSSPVSWGAYKTIYRPEKIAAMYYHWAYQRYPDTVAEYVKSEIALFRHYRSTEKNILGSGWLTDPNYKNFSIVSEETKLAEKLKENVLKKIKYVYDPRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNETGSNKSAD >CRE16381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:9543:11853:1 gene:WBGene00071665 transcript:CRE16381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16381 MCHSDQVLDGATHLRLPYVACYACKVLYTDTGGGTGNMTRHRCPIGASYRSSTHASSTETVEAGGTNSFDSTVSARNFGSSTDIVKSQLSISMAESGPESAPSGSGSGNNSLPLLSQHSGEEFQNSIGDVDREVLIDAVVKCCAIDLIDPIVFSGKGFRGLLKQICNVSKRLGSTISPPIEAFPDIQTVRSAMQTHLRFCADDLKNELSRTAQGCRLALETLTYSGRDYRVIHGSRISPEWKWRSNILGVFKARENESLSEMINIVVHNYEINKTVLRVTVPNSNNDLDSTYRSFFCVKAKLKEILFTILSSCSQPVMEMLNAVDQLTKALVEMDVRLPFAIEPREDIFDVHQLLAEWNDQWGQLEQIISTKCADTLLDSFKKLDPVHMRDLEIFILPFRETVESLTSEQPNFHKILPEWLALQHECQLQNDEPTALLRELKQIATRVLEAEKEVIMTDEHMIAVLLNPRLIRKLNMILTDQERQIACEKIRSQCGFRNPKEPLSRGSSCDGEPHRKRRMFLSSLEDDQVTDELECYLRSQNSGAPSNRGAPLIRFKYYFWFSVILFQSS >CRE16399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:2515:4159:-1 gene:WBGene00071666 transcript:CRE16399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16399 MKQEETYGVRGMSTNTFGSSHEWKVSIIPRGQNNKATGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDQNGREKEPQIRLEGNTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKTGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE21473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:291891:295002:-1 gene:WBGene00071667 transcript:CRE21473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21473 MMMICYRNCIKCMILLFFMGLATLNTYSYWRDSRIVNTLNTNMHQDVTVEQRPSTPFQCPFESWNQVHSDIVPNENLHLEWIQNNISRRDNILESQIRLLSSFVYLDHISITTNSQRSYGQKVYCRYYNCLREEISNSSYQSIFFPINVIRCPRRIDVKYMSISFDLEEITQEPIPLAYRVFEVAIHELSVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYFTVFNMNEYSRKIIDEYLRTGEIELTVIQSEYKTIDWQFHLLQINEISFSSLLLLIQIIQECHKRSKHHSKWVINVILDDRIKSVGSLLSGYNDTVAEVGFAIRRIQKTEKLPEKYESDEQIISEMEFLKYNVSFPVSWGAYKTIYRPEKIAAMYYHWAYQRYSGTVTEYVKSEIALFRHYRTTEKNVLGSGWLADPNYKNFSIVPEETKFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNDTGSNKSVD >CRE16422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:177645:177830:-1 gene:WBGene00071668 transcript:CRE16422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16422 MMMSEKKKKRVGMVPPELRKDEKDVYFQTLNDQWSRVPSQKTSCRLQILTVFSTPRSSMVA >CRE16397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:176240:177593:1 gene:WBGene00071669 transcript:CRE16397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16397 MECVNCECTVKTMDNLENAIQHLLRKGNYVSRMMKDEKLIRDAKKMEEVEQLKKQLPKASVPNDRKATICTSGSMDVSMDTTIVNSNPARRIYNKKLGVAESIDFDVPSLPSEMSNFLLFIFIVDSFFLALVISKLSFQQETLLETSNCAYSSASLPPKGASSTCTKSEVTTITTEMTQSTFTKSKKKKNGGGAVVLDSQYKVDKDGNAEALPMKIYIKQRAEDNSLDLYLVFFDEKNEKVMDVSMIWHEKNIRDVQFCGKEAKLIG >CRE16396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:174422:175645:1 gene:WBGene00071670 transcript:CRE16396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16396 MKPFRLLLLPHLVFRKIASQIDLLALSLCSKKSRLAVKLSGIKPIRLSKQHISTSHSVVLEFDYYWIIWLLKKREDIADVKQKFSAEYKIGEQIFKTRFNGNHDVLTSLCTDYYSAADQIVEYLKNTFNCELTRYIVSREGYPEYRQLITQTINNSKNCELVFGESGQKVNAEDIDFLFNNLKTDKMLRVSRRICENYQLQNPLTFPSFYVYDAPWFRPEDLFNANCEHLTILHAPKLKPSHINLYLKQWINGEHLRILFLRIETMWLYSVLPERNQQLFDGIQLKPFDMRREKSSYKKSAFFDLLGNQFRNHQSWDIHRNDGTVGSIALNSDGCLFHVWRLK >CRE16420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:166954:168184:-1 gene:WBGene00071671 transcript:CRE16420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16420 MKPFRLLHLPHLPFRKIASEINLVFLSLCSKKCDLAVKLSGIKPITLSKDHLSTSHSVVIYFGNLFIIWSLKKREEVDEPEEFSAAYKIGELVFKTKFNGNHDVLTSLCTDYYSAAHQIVEYLKNTFNCELTGYKVSPEGYPEYRQLICQTINDSKISELNFGESGKEVNAEDIDFVFNNLKTDKMLIVSGQLHENYRLQNPLNFQYFFVSPAPWFSPEDLFNANCEHLAILFAKKLEPANINLYLKQWINGEHSRILSLRIESIWFSSIHPDRNQQFFDGIETRSFDMTREFSDYKLVWFTNTHHKYNIFFRKSVFYSLLGNQFVDYQFWDIRRNDGTIGSIALKSSRCFFHVWRIK >CRE16395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:169734:170957:1 gene:WBGene00071672 transcript:CRE16395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16395 MKPFRVLLLPHLVFRKIASQIDLLALSLCSKKSRLAVKLSGIKPIRLSKQHISTSHSVVLEFDYYWILWLLKKTEDIADVKQKFSAEYKIGDQIFKTRFNGNHDVLTSLCTDYYSAADQIVEYFKNTFNCELTRYIVSPGGYPEYRQLITQTINNSKIRELDFGESGQEVNAEDMDFVFKNLKTDKMLHVFGRLCRNYQLQNSLNFPYFYVYDVPWFRPEDLSNANCEHLTILHAPKLKPSDINFYLKQWINGEHSRILFLRIESMWLFSVLPDRDQQLFDGIQLKPFDMKREKSFYKRSAYFDLLGNQFRNHQSWDIHRNDGTVASIALNSGGFLFHVWRLK >CRE16421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:171363:173133:-1 gene:WBGene00071673 transcript:CRE16421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16421 MKPFRLLHLPHLPFRKIASEINLVFLSLCSKKSRLAVKLSGIKSIGLSKRHLSTSHSIIIDFVNLWIFLSLKKREEVDDPEEFSAKYKIGEQVFKSKFYENRGVLTFLCTDYYSAADQIVEYLKNTFNCELTRCKVSPSGYPEYRQLITQTINNSKIRELDFGESEQEVDAEDIDFVFNNLKTDKMLIVSGRLDKTYQLQNPLNFPFFFVGYAPWFRPEDLFNANCEHLAILLADKLEPANINLYLKQWMNGEHSRILSLRIESIWFSSSHQNGSQQLFDGIETRSFDMKREISDYKKSVFYSLFGNQFVDYQFWDIRRNDGTIGSVALKSSRCFFHVWRIK >CRE16394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:164048:166504:1 gene:WBGene00071674 transcript:CRE16394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16394 MQNPSQYKIPNWFLNRQKGIEYGKTGQLLSTAVDNQLHTIGDFCRKADVDVNKRAGELSKEDFDKIVTICKIMQNPFQYKIPNWFLNRQKVIKNGKTGQVSTMMAMVNKVLDWIRSLFWKEEMELTLVGLQNSGKTTFVNVIASGQFTEDMIPTVGFNMRKITKGNVTIKLWDIGGQPRFRSMWERYCRGVNAIVFMVDAADEEKLEASRNELMQLLDKPQLDNIPVLVLGNKKNLPGALDERQSLSQKQRKIKHQNTLKNKSRNKCAAVCEKPCRRLQMDVMNRLITWSHPKKDVFTKLTQDHEFSNFFDEIVPEIRVYYDNVLIANNTKKEEESKMREMLLRDNRNEGSTVKSGFRYFCGSYEFYVEYSGVPKNTALIQALLDAHVANVFKWLFPRYKVKVFGKNGAHSMTFEVPYGRNQLSDILSCVEKVMESLQNGITINDAILSTQYFKVTKAGMETNDGVRFVFTKNSRSQKVSHLIMILTFSSLLQSFQNIIGLGNGLHRASVEKANLQ >CRE16393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:161247:162200:1 gene:WBGene00071675 transcript:CRE16393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arl-8 description:CRE-ARL-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NC66] MMAMVNKVLDWIRSLFWKEEMELTLVGLQNSGKTTFVNVIASGQFTEDMIPTVGFNMRKITKGNVTIKLWDIGGQPRFRSMWERYCRGVNAIVFMVDAADEEKLEASRNELMQLLDKPQLDAIPVLVLGNKKDLPGALDERQLIERMNLSSIQNREICCYSISCKKKRILTSHCSG >CRE16419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:158459:160254:-1 gene:WBGene00071676 transcript:CRE16419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nuo-3 description:CRE-NUO-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NC65] MSSTAGRVVRAGQSAVRTVAPVKSNNSAEARMSVLQAYKEFQRLTPKFWWDFGLHDMPLGVFRAVIKKQFTKNGHLTDVRVVDRLVGETNMHMESIRMAYYNPDHVRNYLFAENVEAKPKDFLSKFLNGKE >CRE01456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig800:4654:6011:-1 gene:WBGene00071677 transcript:CRE01456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01456 MSPLLPSSSPCLCPHAFDGVIASEIVEHVADLPSFINSVGALARPGAPVFITTMNRTFLSKVAAIWLAEDILRIVPPGVHDWEKFITPAEMTSYLENAGCRVQSIQGLKFNPIVNKWSWMESTQVNYGILAVKK >CRE16417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:151180:153156:-1 gene:WBGene00071678 transcript:CRE16417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16417 MDEEYDAIVLGTGLKECIISGMLSVSGKKVLHIDRNNYYGGESASLTPLEQLYEKFHGAQAKPQPEMGRGRDWNVDLIPKFLMANGPLVKLLIHTGVTRYLEFKSIEASFVVKGGKIYKVPADEMEALATSLMGMFEKRRFKKFLVWVQQFDENKPETWQGLDPHNQTMQQVYEKFGLDENTADFTGHALALYRDDEHKNQPFAPAVEKIRLYSDSLARYGKSPYLYPLYGLGELPQGFARLSAIYGGTYMLDKPVDEIVIENGKAIGVKCGDEIVRGKQIYCDPSYAKDRVKKTGQVVRAICLLNHPIPNTNDAQSCQIIIPQKQVGRHYDIYISCCSNTNMVTPKGWYLAMVSTTVETANPEAEVLPGLQLLGQIAEKFIQISDVYEPSDLGSESQIFISQSYDATTHFETTCKDVLNLFERGTTKEFDFTNITHLSLNDQE >CRE16392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:147821:150939:1 gene:WBGene00071679 transcript:CRE16392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16392 MSYHNNNYGSSGGYNRGGFRNNNGYNNGYNNGYNGHNNYHFHNGQRINETQVPQSKHTIFIRGLGGEISTDEIQDFVGEKIGKISFDFVKLTQDKSKIFVAIRFEKRDEAKEFMETYCDREIMGYRCELSWFRDIRRYCAYQRSKQVRSTKERRRRRSDSPDSQDESSKRSESPPARRRRSKSRSRSRSNSRSQSRTTRSQTRSPTRSASRSPAKKDRASRARTRSNSKNSRVSRSRSQSSRRSESSGRSRSESSRRSESRNSSGGESEAASRKSSRNVSRDVSRNESRAESRAESHISSRDVSRDVSHDDKKRGKNSQKRRRESVASSTAHEEKPKKKTMESPKLPQIPLPTSTPKSTTESSPSSTTKITPARPSSFIPPPMDMEIESPPTNPYADYQQQEQFKNYQQQQANVSYSVPMEEEATPRMILKKPDYSQNSAPQPLPPPPPPLPLPSFAPNTGLPAFVPRQVGKIEILMPASLPAPAVVEVVAPVTPVAAPQPPPPPPSAVAAAAPSVSTAAVITANGSTSGISCFSDMIETNSSSSTAQFPATNKMFGHLKAFQSALLSTVRFEPPVKKESDGDDLTSLRLSTMINDDDSEEIKALEREQKLSKLNDEQMMRFHIKKKQFETAFRNDCETYAVVTRALLSKDETLQFGLKMSLLENMEDLYKKMMKRVDDQLDALLAA >CRE16416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:143711:144550:-1 gene:WBGene00071680 transcript:CRE16416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16416 MLSPSSLLGSLLIVCAVLTTTSRASTILSDDVAAPPQYLQRQLRSFPYSVSFYRMLGHDRQLRPYYGVNDEVAALIDSMNNDIGSVGAASEEVYPTRPRRSDGLRGYACRFKFCRIYDA >CRE16391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:142993:143519:1 gene:WBGene00071681 transcript:CRE16391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16391 MIAFILFQLNILVSFFLILSFQILTSFQTLSLFSTLVLCVGRKKNLDGDGDVSLVQPGVQKVNTGIVTASSSVSKPQNDDFSVGVPPPHIPLADKSDERTLADIESIQSEKAMIREKKKKMAAGGVGVKSAKKNGTSSSKSPAANSAEVMKGAKSPGALA >CRE16390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:142055:142826:1 gene:WBGene00071682 transcript:CRE16390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16390 MPFLFALISIINILSVSLILVVCGKKENENNGNTTGKTENQAAANRSNSKEVQISFSMDQPVTPPRRTDIYHQVAESFAFPASSKCKNHDDAWRILALYLDYIREVNQTEFERFRMLDSALAILHDFRFVEQSVQVSNETLALGVLYFLINYHKIKVNLGSPSHPWYNILCRNAKIEELEAIRLLIKQEMLGIDGSDSGASALEVVPWK >CRE16415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:139338:141366:-1 gene:WBGene00071683 transcript:CRE16415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16415 MSSTPTADSLADGVQHLLLAEKLNQLLTPPQTDYSAKSQKSTSNHSDSEKNVYIESLTEERDHLVQYSDEFNHALKLIEQEINRVREGEQPKEKEVTVGALATGSLLSEIVVVPVDLYPNYNFVGRILGPRGTTAKQLESSTGCRVTILGRNKKDAGAAPADSAAPVDNGPLRVQISVPSDAPDAAKRMEMGLNVVKALLVPPADGQDELKRQQLMVLANMNGTYRPRTTSSNTSHPFAGSGDYGNQFQNLLPYGYRLPGKSQSPHSETKSDCYNPKCAILRSLMDQNNINTSIPKIEDVLSVVHMYELMNRIRLANSSLLSRQEEVSKAQEIGNRMCSSIGVPRRPSATPAPTPTSTRRLFNAKPRPQH >CRE16414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:138474:139088:-1 gene:WBGene00071684 transcript:CRE16414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16414 MSEVRNRKSSLKNDSSDLSDSDDGEFKEIIKDIENDHWKDRPVDETRWAKTKSAVHEWGLSCSWHGIPHMAQSLSWPTILLWSTILVISAVLFVYLITITVRQYFSFQKLVDLNVGGVTDLLNSNFVSYFQIGMEESSFPSITFCNSNPYKLSAVRAIPELEALLTVYSQALQ >CRE16389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:137375:138390:1 gene:WBGene00071685 transcript:CRE16389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16389 MPLIIVTGHPSSGKSTIVDRLAERFKEKGKEYQIIRDESYGGFDRKNYEFANKEKDLRSWIRSEVQQSLTKNKVIICDGLNYIKGYRYELFLAAKMSKTTYCVIQCSPSADTCHWLNEQKPESSRYSKELIDQLLMRYERPDTKFRWEKPLFEVKIGKAEKALPSEIDDDMPVDLEYPAPRFANIFDEEIVEWICNGTELTENQSTQTVPLAPTNFLHELDRSTQDVVTVILNAQRTAVRGQNLTIPGATDGANTIKFMKLRTLPELNRLRHQFVNMSKKDPTTDKEKIITGFVDFLNCNLR >CRE16388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:136142:137274:1 gene:WBGene00071686 transcript:CRE16388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16388 MCLSNGYEGDVIDNMKTEVKVSADEEELVAQLRNYLTEKLTYLLEQNGTISIGVSGGSMPKVWSEALLSVAPELLNWKRIRIFMVDERHVPRDHEDSNLGAYLQLFPQELHHVFIPVPISKQVVHTAQAYEINLRKYLLPEQLNTYPRFDILFLGAGPDGHTASIFPGKEQLEKITDLNWVSVITDSPKPPPSRVTITMQCIQNAKNVAFILTGANKRDVVRAIHEGDKSIPAAQARPMNNKMILFLDEHAAAGVPNRESSESESPPPFDT >CRE16413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:134983:135836:-1 gene:WBGene00071687 transcript:CRE16413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16413 MNNFSVKMTTKLARIAQKRWISSTTSTSNMYDPRVFREPITDVQELRKPLDVDDERNFLFVKAMKSDATPVFYRDHVIDKLIRVCTKDGEKETSRRNVHSALEIIKRRQYKAWVKASDEEKKNIELDPFVVAKKGIKNCHPLMKLQGVTRGGTTYQVPFPIEEPEAEFRAMKMMRDICRVRSKHGETHFKDILATELLAASQNEGSTISAKQELHKTCEANRAYAHYRA >CRE16412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:132797:134772:-1 gene:WBGene00071688 transcript:CRE16412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16412 MSSQTVEQLSGFEKQYSLQTAEITSKIGRVHTLPSSERAGAVQDIRRNLEEVNDLLDQMELVVRELESNTTERTKYELRVRSYQSDKKQLDTELEKAIRRVREEADRDELLAFDDQLDEHRQEDQLIANTQRLERSTRKVQDAHRIAVETEQIGTEMLSNLASQRETIGRSRDRLRQSNADLGRANKTLGSMIRRAIQNRLLLLIVTFLLSFMFLYIVYKAI >CRE16387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:117173:124312:1 gene:WBGene00071689 transcript:CRE16387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16387 MDNNPTFKDIKVATEAMLLIMPKFSGENQNEFFSNWATKFTREANNLTLGKKLAALVLPRMLTGKANDKYLSLEEGDKTDVKTALERLAPLLRSTESRNKAMNFLADPKKKESESFYSFGKRITEQVNLAYPKALLTEKEDISINRFIQGVPSELRTKLKEGNEFDTLTEAVDRAEKLDEILKEDNRETVNLIQRMSDSEKDMRLERLEKQVEKLQLENRKLSERKVTFGNRPSSYPNRNRSNFPNQGGNYQNNFRNRNGNNGNSAQNYGRNQSQSERSRPPGWQINRAPRTTGLNFLMIVAMITVVIQAVSGQMQICPNSKSGEYFASPTISSCKLDKEEKVLKTNVQIFTEYGASKKLKAHRCSRTDYDFCFEQQFFKDTKVFTQNMKSSPMKKDECEEAIARHTVNNITLVSKGNNIFQTPTEDGMWFSLSSMNCEQRHLYTLEKGEVATSDGHSILTSLGDASGCDAQSGNCEIIDSRLVWDTEGVKDFCKYSFVQNTTAYITKSKVALPDLQMALSIEQNQAEKGSLCIPNLKLTLNNGFILSIDTKSQVLEELIEEVVDRKNRVKRTLSNPLIKRLFGENATHENYPYFSVDPIFDPRIIEEMRRFGVTYAQIRHQWETYELPNKQTATLRAIREAEYRHQMIRQLRQNPADEHTPARIRQLEEPTHQFDEMLNEEFGYYRILSAEEKQKRTWHGSGSQTTRTPLLREKAGEDYVRRIAEQERITSEAKGNAQLNGRVQFASNQITEANYNEFDKIYQKICELQNNQIEITKTLLAIDPTLGMRTLLMREDIVARRSGAVYIVTQCRNVTVDKIHLDHRVNGTCYRDTPVTLKNQTWFIAPGMTRDLIKESEIIPCEEVILGVYQNEKGEWYSHNGIATVRKIGIQFSMKPERQNLTLSAPPAFLNLENVENPSTYLIAHLNTIIMLRDNQANLARSLEEGGLKTPKIDGVIKSAARGISIVASEIESSIEKGKQVVKEAIISFIKSVVVPIIIVIAVVGIMYILLKIYFMKKATGSALMQFAKLARRAPPNVRTLMRRMRPEINNIVLNDDDDDDEVTELETFNRRHAPSLISMPNVNSIMTVGGKTYLPYVPIHLNGKPAVSLLDSGASVSLIAERVINQLRLKDKVTSTDCSARVANGSTMKFKGKVQIIISIGKTSVTHTFLVVQNEQAPAPCLLGTDFILRMNLLEKEVSINIPKRYVKIGEERVKLLDPSELGHEDDRKITVVCANDEKIPPRSYAIISGKIPGVLQEQDEFIISDTDRETQEIYSVSSVLTKMDTDGNVVVRIMNPGNSELVLRKGSTIAEAEILRETDIIQQPSVNTIQENFPKLKSKEEEAQILLRKIDLNESKLSKAAKIQIRKLICKYPDAFVGSDGRIGKFKGVTTHHIELVDDHTIPQARPYRLNPEQKDKLEKELRKMRDNDLIEESSSPYTSPLLMIPKSNGEIRIVIDYRKLNLITRPRTYIMPNTLDITEEASKGRIFSVFDICQGFHHVKMHQAHKERTAFCCHLGVFQYKYMPMGLRGSPDTFQRAMSEVQQKFSGSMIIYVDDIVLVSETEQQHLEDLEEFFKLMIQMGLKLKAEKSQIGRSKITFLGFDIENNTIQPNGEKTKSIREFPVPKTVTEIRQFLGMASYFRRFIPGFATIVSPLNNLLRKETEFVWKKEQQDAFENVKEKLISPPILTTPNNTGIFELHTDASKVGIAAVLMQRQDGELKVIAYGSRPTTPVESRYPAIELESLAISWGLTVYKPYIFGKKVIVITDHLPLKSLLHRKEKTMSGRLMRHEAIIQQFDVEIRYRPGKENHVADTLSRQRDENGNSEEISVIQSSEESKEKPSWTFATWKKIQKESENIQKIMEILSSERIDEAALKIKSKYLLINEMVHQRPAHKSEAPPVLLEGGTKLISKLIMDIHQMENHGGPEKTRASISKFAVWRNMRMEIFHVITTCPKCQRRKHIPQYRSSVPMGRWEIPGQPFQRIHMDVIGPFPETRDGNKYIIAAIDSFSRFAIAKATADQKAPTTLKFLIENIVGVHGIPSQIVTDQGRNFTSNMFAEIGRLLEVEHILTPAYHHETNGVIERFNRTLEEMLTCSAKQPENFSDWDRKLPIVMQSYNARHHSAIDMPPERMIFGRSTSSPCHIYIESLVPQYHDEKDYADSIAEIIKHCYEKANFVIENQQKRDKISYDKRHKVRDTDFQIGDQVIIRDTTAGKLQYQFSPPATVLKTTETTVTIRTIKNKIETVHKDRVKRYFTEESAQQAARMELSQEGQAESTQKGQAELTQRGQAEPIKGGRQAGQGHRQQGNKDKDGERKMEKKKWTRRNATNNPDDSTTHQQPNNTVPNAITKRAARDATTTATVNPRTSRRIRGLPPA >CRE21706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3152:888:1115:-1 gene:WBGene00071691 transcript:CRE21706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21706 MNDFISEGISEPLVAKMMTFKRGDNALDGLWTCVTDKRVNPKMDKGHYDIHGVVTPFGQLPTNTLLIDDYPFYDQ >CRE09831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:5391:8486:1 gene:WBGene00071692 transcript:CRE09831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09831 MDGEATIDRKPRIVLREKKRGSAARVAMKEQWRNSTNMLDSIYLDVAKTIPSVDAMSTLDLRKVPKELNTGTLPAPSSKREFKNFTSYSPMSSSTLMGKRSQSLAQLGATTPDATREFNRKFKNSSETDTNSTVASEVFGLLEMIEEIQPKANRMYREYDELSSLVNLLAQWHTLIKMNKKVFQSTKKQSIHSINSLPRNNPMTSSTASDELDDNVLITNEIQNVLKTTEYDLITKSGILNFTFQSLESIETDCPLVYKTSEMLKRLEVETVTHDDLLRIAKSAPALPNISNVLTEIEACPEVQEIWLSTCYPLNSSLIVPKDKLKTQIKLQIAHITEQIYPHLVSRVAESIIRLLNDNVQKETSNVTVFHFVGIFKGRHFEPYIENMGHDAWMVTLLDTEQIQKVAQVVDRLSNVPVVPPLESLKHLGVLLARGDFRISMIVEQYLRQASGHLLSDLLSSYLCLLEDDTTDARLGALKALAIFDNPRISKQITYVAEHDSSEDVRRFATSMLRGFEEEVTRI >CRE09832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:13050:14977:1 gene:WBGene00071693 transcript:CRE09832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09832 MYNTAQKDYISNPSSPRSPPPNQSLAQLGATTNSPDATREFNRKFKNSSETDTNSTVASEVFGLLEMIEEIQPKANRMYREYDELSSLVNLLAQWHTLIKMNKLREQLESDRRAARRAVRKERQEIKRRIRSQKKKWKMVQEELQIARKRKMRSQRKTRKLHRKKMKKAKDRHWLKFRELKYKFVFCTRVIMRPPILCVSPGIYRKVSQSTKKQSIHSINSLPRNHPMTSSTASDELDDTVLITNEIHSENDSGTIRNETFRSRDIPQRDISQPVISQRDISQPDVSQPDISQLCVLQPQFPRFFCDNLTFFG >CRE09835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:24161:27066:1 gene:WBGene00071694 transcript:CRE09835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09835 MAHSETDEGLNATQVVDGDEKEVLNEEDLLGEGNQHMDWKENEELKLEEMSVRTVEEAANWMVLRNKQIRSPDVHLMIHSIVVQKDVGDAEKVEALKMFAAECPMVAKAVMNGTIQEKEWKAAAEEVKKEVEERLKPKKTVIREPEILIGPRMGIKGKGLLEMREANADGWVDRYDFEQVQTAVFLLTLTVDEEKNKRTGDVIEKLAREVKEVVVCPFRMECTFAEVPVVTETWKRTLMTAANAIWIEPMKCVGAKQMPMITTAPERFKTVKELADFLEAVMPSGGIVEMLKKDLEKEPPSKRSRPSHQNPTTPYSYEGYSEVQEIWLSTCYPLNSSLIVPKDKLKTQIKLQIAHITEQIYPHLVSRVAESIIRLLNDNVQKETSNVTVFHFVGIFKGRHFEPYIENMGHDAWMVTLLDTEQIQKVAQVVDRLSNVPVVPPLESLKHLGVLLARGDFRISMIVEQYLRQASGHLLSDLLSSYLCLLEDDTTDARLGALKALAIFDNPRISKQITYVAEHDTSEDVCRFATSMLRGFEEEVTRI >CRE09836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:32877:34146:1 gene:WBGene00071695 transcript:CRE09836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09836 MDLCIVMFFLRNRSCGKSQNICSPCTHTKISERYLTGVWCTDELNYAIIKGYKILKYHEIWHSDRWVAGGFFADYIKPLLKMKHEKSGWPRPDMTDDEKEAYIEKILDMDGVQLDPTKIKVNKAMRSLAKLFLNSAWGKFAQNPDKVETKLIRLTDAVGMTKFLNDPKYEPVNMIPFGAKKYFLSRRPKKEALLPGGFTNLAIAAQTTCVARFRLTQAMEKAGIENMIYCDTDSVIYKKNVGENKLESMRGEQLGFLTDEIPAGRKLKEVVVIAPKMYALRMEDQQGTSSYSVKTKGVSLTSKNSEAISFNTMKETMNDFISEGISEPLVAKMMTFKRGDNALDGLWTCITGKRVNPKMDKGHYDLHGVVTPFGHLSANTLLIDDYPFYDQ >CRE09842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:45046:59628:-1 gene:WBGene00071696 transcript:CRE09842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09842 MAFLVWVRISNATQDPETRCSVAQDQIVKLIREDGDWCHIETIEGAAGRVPKVLTLPIVDPKRLPGEQIYCSVDPYTSIRSDDLSFAPFSIFVAKPSASNSGYLDGYLVNDNAVQIGRRGLLPEGYLVNLNVPSEAENQSIDQMKPSFTTDFSEADVKFRTPTQRAVTSNYAVSPYARAVFDFKGEFANELSFSADEIINLHRRIDSEWLEGSVGSARVGMFPASFVQIIVDLPEDDASIQNHRRSTTGTEQDGIGIASVRHAFTGRQGDELTVNAGDTVRVLRMVNDEWVMCKDPDTEKTGIVPVGFLEVYLDEEDDDNQSGARRGTGGTGNEFSFGTSNNRSSFISNAESSSDWRPTRTGTTSSSTDWATFGDPNAAATSSTSSSKPSQSWATFGEEWIAPVQLSKTSAPARPPPPKQSSIQSPTTDLGNIGDMFGMDGRVAPAVPGSGGGGGVIQSASDFEIGLISATEGASNDEDKRCRIIEELISSELQFISDINSYTEAVDNTPLLNQKQKVILKNGCAQIVQLSANLVQLLTNEQIKPQDAQQIGACFLQLRKPFAQTYGFYFRNIEHINALTNSAKHEKTMESALQDIVKRMREDGSVVIDGPTAVSRPIQRATKYPLFLNEIVKLTPLVHTDHPKLTEAIKQMSNLGQKMNESKRRKELTQKYMSDDKQTFGEMLSKMTFHSLKKKSNRFTYRMGSSLGVVKLLRDTDFDRLVCELDSAERRLVRFNYMLVIYRKKMFHETRVLMHKKLVEPRKKQLSNGDIDHQLQPFNHAIKLWANDVNLKIRDDIVKALRNIPKRLIKKRNDKLMDYEASRTKEKGSGKRDFAEIQKDYEALNTQVKQQLPKVTEYLTTVLANSMKLVSEHDKRLMETLRKLFNEAKSRVNEDQSRASRALIIPTSICFVDYYDVDRMKPLQKIANKAIQNMKQRARSASPTNKKASAAAATVPDNRDLWSTNASVVAPAAQSEALPTISEYVPGHTTHKFRPQNQTERNTILEKAGAKGRLGDIFVATSHYPADAGMLKLALAEGKLLIVRQNDVVLAVNREVPSMWLCYNGYYNAMLPSNILKPYTSIEKGEDAAQMVNQSNLHRVNAVKVNTPKSQNLIDLEDLFGDPAPVSTVPAAQAQAPPPQQPNFADFDFSAMTPLQPTSSQQQSSQNAQFDWNMAAKTLPLAPPPPQQQVPPPQAAGGANYNLDLDDLFSGLSTIDWGAKSSNVPALAPSPLYNNDSATSPPVSGFRGVDFQTGVFNPNSLPDEAPPPLPVIMNPARDSDPFSVNFDSSSFGNDSFSNNSGVKFPVSFDEQPSGFATLSAAGNSTTTQIRSASAAAQPTNVWPTMMARADSPQPLIPSRPGPAVKNQVYPSLNESSAIYANTSGFSGLPPAPQQSSSALPPLYSSVPNDTMSMTYAMPPMYDVTPQTPQFQPQAPSLSAYDTPPSIAPMYDQLPNEPPVSPAVRPVLCQVKVDYDFLPQGSNQVEVREGEVIGVLQRTDDDGNPEWLLIKRASGQVGYVPAAYCRPT >CRE09840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:69202:72633:1 gene:WBGene00071697 transcript:CRE09840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09840 MSPVKKKMKKDKMADLSAGTPANEAPPSFAPEGDKDGGGAATCEGRKTDAAATPEHPSTSVTNQPLSEQNDMNLMKATSCALEEKKLDAESSQGVVEKPQTTEDEMSDFIREIEEPGYECKEEEIRVDELQSSDDDPAVKVIRAPTPDSSAEDGSIETVPNRAPIRAPSPDPWLVAPTMESVYESEDLEEIMSSDYHKYAQYYENGEEGEEKPKDPKKKALARETSTSIQQFRKYSWGIREVPYELPDALPQSLQDNKFVLVPSSRPYALYMVMETDGGSYMCTPIRREVVADDRPDLYAIRLDCSAYDSASARNFDTTDIYLMDILFIEEIVRRQDARPEDFITNIEQARKLSSHKYWKVKTCCRLPRDMLYNESGMHIAGCETRKTRSFLVNNVEETAIARVKDCRGADISKFVAIDVIRPLIQPGAWLPGFKPPFGVEDRVLRSVQYHLDLPHILPQAIKVYPLDERREKLLEHAPDVFSRDVEPTQQDFMRLQTLTKFGIYTSEAMASAYYDGHKYYGQVERATEGRYTEIVIKLALPEGQHFAKEKWRQGTRLSLRFESTALKATLLSIVADKGSATIRVKPLRRDSFVLKASEAVGLPVFAMHQLESPRQRIFRLMGIKTIPRFRNWMPAMTMLIALHGGKPLPPLAKREGSAAYSIAGLNLTDEQGAVLSLMKSEEFVACTVNCGPGSGKTTTVMSALVKCVKSASHEFAVFTGNSNSAVTQGVATLKRLDPEGAIPAIRLISANHRQVVDETATTDIDYPVVWPDVLRKQVLLEDESNLKPRQYTISAVMHLKRNLLIRKRDLRNRYLRDAITDKPGEKPEQPAHSLMETLFRIMKPKVIIGTADTLRQTFKTEPFLDNWKTWVRNVVVDESSQIGHHTVTNLAFCFPRGKFLFVGDAMQLPPYAEHSYPQKLYRIHSGPVFANTIHRQLIPNLSLTRCYRCPSQSVELISRLYYNGQLTAAKKPSNENPMLKGLGLPDRTPSVIINTSSEERKEGTSWTNQQEMIYAIRLAERFLTTPECEGKTMAIISFYLAQSQEVAAVAPAGIHVGSVDATQGREYDLVVLLTTRSQEFSEFACSPERFTVAVSRHREALVVLGNTRRMIKPDNWKALLDATAKTSIVNATAVPFMRNSNK >CRE09889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig602:1282:2380:-1 gene:WBGene00071698 transcript:CRE09889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09889 MNHKNEDHKKKSPNESDDKKHVDAAVDQVGHTGWYNRFKVHLGQKLGTAECTKLEPRFDRNIEKLLSYHNIIFNMVDAIELQVQIDPSNISKKRVLAPPEKNLWSQLGGWFHFLSLRHYSGAEAHLLDRFSYTCSKIAQKDMQIQKRTRTHLIKRMLLYIGDESVELNNSVEQLNVLLSGIDETRHALKSAQITSEAKSRGAAYHQMILGFNSKASEIQGWIDEVTIIVTLHQNELIKFSRELSMYHDSVYNAIMEVLLRLGYHVHRKK >CRE09890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig602:5402:6047:-1 gene:WBGene00071699 transcript:CRE09890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09890 MTKNNIQLKPNHYPSKLFFPGYGNVAPRTFGGRLFVIGYGLIGIPFTLLAIADLGKFISEMMVVAKTFCKKTWKKLKKAWNPNFIRYPKLSGAKDLSNTDIEEKILENEKIENEIESSVASIPRISETMEEIPSDSEDTGSRSINIWSINFKTVRSIT >CRE09888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig602:6503:7711:1 gene:WBGene00071700 transcript:CRE09888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09888 MELRSRGIKGTRQLKAGNRKKKLFDYPVVWPDVLRKQVLLEDESNLKPRQYTISAVMHLKRNLLIRKRDLRNRYLRDAITDKPGEKPEQPAHSLMETLFRIMKPKVIIGTADTLRQTFKTEPFLDNWKTWVRNVVVDESSQIGHHTVTNLAFCFPRGKFLFVGDAMQLPPYAEHSYPQNLYRIHSGPVFANTIHRQLIPNLSLTRCYRCPSQSVELISRLYYNGQWTAAKKPSNENPMLKGLGLPDRTPSVIINTSSEERKEGTSWTNQQEMIYAIRLAERFLTTPECEGKTMAIISFYLAQSQEVAAVAPAGIHVGSVDATQGREYDLVVLLTTRSQEFSEFACSPERFTVAVSRHREALVVLGNTRRMIKPDNWKALLDATAKTSIVNATAVPFLRNSNK >CRE22129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig272:10790:14173:-1 gene:WBGene00071701 transcript:CRE22129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22129 MFAKRWSLVAFVCHYWTWTKEGDMWYKISDDRIQVQKPESEMSLPNIGVSSFVYRREKGSTLLPIRKIRTQPVTSPRFFKMPSFVTYPKINYTVIKKLGEGSYGDVSLVTLSDNQMYAMKRVKRTERVWYKDINTEYTIQRYLTEDGGHPNLTTIHKRSDLRDGALFFMDYVEGGCLFDRIPEYGFSVRTCHRLFQQLISGLSYMHENGVVHMDIKPENLLISSGGTLKFADFGSASFFRDECDDEEMVKGHKGTRSYAAPEVLTEDRVEGPPADIWSSGVVLFFMATGNQPWAEANRLKDEEYEKWILAKWKKCQNGVELEPRIYDLIRCMLRADFTERAKLEDIKCHEWFITFPEEDSDDSDDDDTDTESDATECSTVDDVKESSGQKENMANKGRKRENKGCEEEYEEDSSVKKRKIEKSDD >CRE22123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig272:16245:17760:1 gene:WBGene00071702 transcript:CRE22123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22123 MPSFVTYPKINYTVIKKLGEGSYGDVSLVTLSDNQMYAMKRVKRTERVWYKDINTEYTIQRYLTEDGGHPNLTTIHKRSDLRDGALFFMDYVEGGCLFDRIPEYGFSVRTCHRLFQQLISGLSYIHENGVVHMDIKPENLLVSSGGTLKIADFGSASFFRDECDDEEMVKGGKGTRSYAAPEVLTEDRVEGPPADIWSSGIVLFFMATGNQPWTEANRLKDEEYEKWILAKWKKCQNGVELEPRIYDLIRRILRADFTERAKLEDIKCHEWFINFPEEDSDDSDDDTDTESDATECSTVDDVKESFGQNFKGKKRENKGCEEEEEEEDSSVKKRKIEKSDD >CRE22124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig272:19832:20857:1 gene:WBGene00071703 transcript:CRE22124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22124 MPLPFVANRLHFRNVILFLFLSGLKISDIHKKMTAVYQDESPSFNTIKLWFERFEANDYELDDKPHSGRPPELDLEVLEGIVEADPYQTSREMAATLGVSQPTIIRGLKSIGKVKKLGRYVPHVLNQRDMDRRIEMSMFLLTFHRTHAWLDNIITGDEKWIHYSNNVRKAQWVDKDEHALDVAKPELHVKKVMLCIWWSVHGVEYWELLDEGKTITADVYTFQLDKFKKAVAKKRGDKAKVYFQHDNARPHVAKVTHAKLLSFGWTILPHPPYSPDLAPSDYWLFSRLQRHLNGKEFDKKADIKKELESFFNNLELEFYAEGIKKLPERWQKTIDADGQYF >CRE22126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig272:45523:46992:1 gene:WBGene00071704 transcript:CRE22126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22126 MVDIASVDIGVVIDKESLGAGGACGYIIIPRRAATSLGKTLPPRLLLSRENGAAELPAQKFGAIKQGDDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNPHRSIRKMAKGMKISSRSMGRIVKDKLKLTCYRVRKAAILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKKTLVKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE22128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig272:49344:52195:1 gene:WBGene00071705 transcript:CRE22128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22128 MIQEGPEAVRSLSSRSRSGPSETPTSRKRRNAGNTCADSASGKKVYHLQAPNFQQLLRDIDKGKVEELTLLPGITLVYSHETSTQPVLKSNHRMCSLSRLSLDETEKEFMVKVKMIQLPSTEFKETWDNAGSLNYFELSSAAQRSNIPSAVFATSLRFLSNKMTRAKSMLDEKKHMLAYLSYFEAQFAQKDVDAMRKNVRLRNQVYKDLVTFGICSPVTASNHQTEIHTAFCLFEKTKKEYFDALKNNFVWPSGQHFISKLFSASDANDTEEIKKEPVWDIDESTQWPPMKGDYLKGAVYNPKEANKENHQPLHVDFSNMKI >CRE22130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig272:59828:65590:-1 gene:WBGene00071706 transcript:CRE22130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22130 MRFPRYNNPAKLLQTRTGRCGEWANCFGLLLSAIGFESRFVLDTTDHVWNEVFIKKENRWIHVDPCENTMDRPLLYTRGWGKQLRYCIGYGIDHVADVTWRYVYDSKNTRSLRTEVRPPVLENFLSKLNARQMDGQTEDRKKELSIRRMCELMEMMAVEKRNKEIGWEKLGDDLGGRTTGSEEWRRARGEAGTDSAPSAAPKVLGEPIKLVNSIENCFEFSYDVNRDVYSQSPAAGFISQAFECDNLKRVVETDWNFVYLCRQDGKKEGNISWHFDLESLITPTTKTIEKVEIRVAGIQKFEKAHVMVIACLGDTCMRVPKSGILTIDAPKAGVLKISATLSGGEGSIAFQQAQLFRTELKKDTNERTDSLTVKVWTK >CRE30696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:272316:272947:1 gene:WBGene00071707 transcript:CRE30696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30696 MRNGEHLVTVFSDEQLFTVQAEFNPQNHRVLAETSEEAFASGKTIHQASHPAIVLQWTSLGVLTRRCSRTHSQVVATVVQDSFACVHPQGQMAPVLARPQSFGLLHLRVLQNKVNAKPHSSIEALKKTLLKEWDALSPEYLRATIDTYPRRLRAVIKKRGGRMEQF >CRE16374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig266:58969:62486:1 gene:WBGene00071708 transcript:CRE16374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16374 MFHSPIFWLFLFCLAGPQAVRTEPYNLTSSLTTSPDDVTADLLVLASEETPTRAPRPVTKQGSAKKVVKVQKAEKKNEEEEDGVEDVTPSEDPLYVTDCSEPLNKDISCSVNIVGCSDNVFKYVEPGQEPPRAHDVRIAPTTKVMGANRIDKRKHRLHVDVSWQIPQLDSSSNLKAFKLIVNGPDGRNTCFVFNVTQQPHKDEDNVAPRYRFSSNTLFEFGHAYSVTIVSLPISRKRSPKVSATSQMPDDPDEAPTKLVKTHEEICSGKSNPQASKWAASYRKIFLFSAIRMIQIEFLAAPPQYCFEEYEVRLLDSSGIVMLQSAVISKDDLKTEIIDGRAVQFGEYNFTDIELDTNLIPSVIPIETAHDGRCLCETENGCSCLAADWKPVKLSSESESDRSKIYSIISEIEKPPPGTNDTVVSDEKTGKEKSVAGTWHWHQYAIAAAIIIASIFALMVLLGVRCYRKFNKTKKAASNVHLMNENPAFSHSGTIPLILKQSVSVLILYSHDSSQHEAAVLAFAELLRDVFHLNVHLDAWDEDDIDENRFEYLNSSIVRSDKVILINSIGAYYRTVFRYKREPALERIVRGRNDGLFNTQCELALQHPCIISCHFNYTNPKYVLFPVKRLLQYSIPENIMTLASSLTGQPARAEQLAGFNQVFARLQAAVSRKAHYIESDPQWFEHTHHRVEDTVPVEEEDPVDLIPLPPSLRVRVENEEVFEQLDTMPMDELEQKLKKKNLTEALELKEEPYSASADVEPEERPEKLALLENPKQESDEDVEEEDDVDSVEDISPTARIEELQRLIVHKDINHDSGNLDSAYVSGSDFSTDVHTDIIDKPRLNSEVDRRKAAREDSAFHDDVIGVH >CRE21777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:31943:33472:-1 gene:WBGene00071709 transcript:CRE21777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21777 MENMSSKISYTEPGVRLSINLRERCRMHDLNEALDDLRAVIPYAHGGSVRKLSKIATMLLAKNHIIMQAKAIEELSVLVSQLKKKKSSESSEPAECVNKESSSPKSSLSSEESSNSDNY >CRE21779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:65785:66382:-1 gene:WBGene00071710 transcript:CRE21779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21779 MTLNFFIPMILLVAASTVRASPAALHTGFQQKSLDHINSFRSRFAHAAQIANMQKMKYNRTLEATIQNYTSSCDLLDDIEDMPTYAILDKKFIDSHILQTETHQDHLEVYEYHIEILLGPDRTVTHILHPLATQVGCALMATPCKWPRSHEEWPTIGKIQTICLVGKV >CRE21781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:98924:106073:-1 gene:WBGene00071712 transcript:CRE21781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21781 MDKYASSFSTKTVFLTASRTPFPSVSTIVTFGPHFIGIILILQTCLHNSRTQCSCALAHCIYSLARTWKLYHHREKMADIEEEEAKRLAEEERIRQEEANRLAEEERIRCENELLAEEPMEEGDEDKRVQEVRLAEIEKVINKTCIDIKNQTKFSTKQCRVLLSPLIGKILEVEEEFRGKKKESEFWENTNVKLNKTVLSLQNELEAKGQQKPPTSLEEPTATSVLSGQSTQGKQERIKLVSLLEANEIHTEDELNKLFEKYEHLEYELSVKVEYLQRSQRQTDLFRSELCRLKVKCDQQQQKLLAEEEKVKKMSEELKVKHSTHSANSATRFGECNQQTGERKESTRYYNAETSEIIDTIPLQESLDSGRNWNQRIVEQNSQRNIIVHNEHEMSSMNTQWRMTQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDIEAQKNFLETRFLSGNALTVYKGLPESDKNSVSRILDAIKRRLSQSEPEESRRAKSKFQALKLHKEQTVQDYCLIMDEVVRIGYKGVPEYQISSMKTTKLLDEMREHTIFEVLLQILGSQLRNCPVEEQYEFCRVEATLFDEEWRSGRKKVAQKERGMNRQQSDQPANNLQRPGSTQNSAFVPQQYSRRNRYTPNSQSNSYQTNSLAESSNNQCTSTTGQQQNFSTSTDLTNQYAQKTDQQNQGPKGYVYNEKSPECWKNLVHSSDSANNASSSALGFHECSECSLTGCHAPTCSRAPGSNTSKVKMNSTIVCFRCDQQGHIASKCPTRNASIQEVRMAPEIQSKVEDQKFKMKSDTKCSSDKESERELIDHEMETKDLCEGQSVAASVFKKDMVIDVDRVPIKSINSIEFSVISSDEMLRSGDTRDDGLQTSCTKHAHTKDNNVGVNREFTEANSQKNGNCDEEQKSERDQRVCSISLTKTKKQSVQQKKVSDVISSFGDLKRDQREHNVQKNIVNYNSMQPSMSSKDGDGKKKRNTVDQKAVDNVMCQGPPLNSIEDSSCLNQYDNMDATEATDIVKKNTSKSKFLNQKCLKKPGLQKTGDDTCGVDFPKKTKRRNKEETRPRTDPPVSSLETSDYDYNSVFCYDSEIWLNDTSPGTLDSDNDDQLDSYQKWKRTVPKMFKSQVMPRPLKDPPVSTNQSTWSDTKFKPVKDSPRPLKDPPDSWMEDVNMRRMQEEYRPRKDPPSPYCQHGHRNLLGCLQSTQFLSTPMSTVSS >CRE18270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig310:745:5113:-1 gene:WBGene00071713 transcript:CRE18270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18270 MSQRSVVIPTDSNLPPSTAAHLHGKYYYVSYTTCEIKGISQHDLIDVGLSTQGNRYILSIIDLFTKYGIGVPIPDKKGVTVLKAFIERWAIGEGRVPEVLLTDQGKEFCNEHFQKFAELVQMKHITTKGYNSRANGCVERFNKTLMHTIKKQNAVAAEWDDQVPFAVYAYNSVVHKTTGDSPMFLMYGRDAKGGLEKIGDDACGVSYVDMDEYKNLLVQELNKAYAFVKEHALQEQQEHKVLFDAKHRISQKSYPQPGARVLVEIPSEKMGARYPKLTNKWRGPYRVIACSENSATLIPVAGSDREILKVPFENLRNIPQQMDNTPVETKKGRARLRHTFKHKDLKRDDVTMLVQKRLPMFPEMPTDDAWGILGQCPTLSLWVKDIVSWKDAFDLQYAMTLEKCLGTELLQSMSSMVFCFPGVELKSVALALKHVKLLKDEDTVAERIRKNLETTEVNVAVFVIPFSTSEQSKDSWQEAIHAVPKEIDVIVVFSHVTQFDHAKGETFTQLVKELYRLDGKINVLGPDFIVTFNLNRTLLNVSDRSNCLKYWEDLVRKAVARPAAAGRALLVRIVKEEKIIWPHLKLATLEPVETNQSAATEATGASGSGFGPIRAHNSRGSRSGSYGLGSYSKFRHNR >CRE21468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:242559:245342:-1 gene:WBGene00071714 transcript:CRE21468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21468 MTCYRNGIKCMLLLFFMGLAALNTYIYWKDSQNENTISTSIQPEVTVEQSPSTTPFQCPFESWNQVHSDSVPNENLHLEWIQNNISRRDNILESQIRLLSSFVYSDHISITTNSQRSYGQKVYCRYYNCLREEITNSTYQSIFFPMNVIRCPRRIGVKYMSISFDSDEIPQEPIPLIYRVFEALIHEVSVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYFTVFNMNEYSRKIIDEYLRTGEIELIVIQSEYKTIDWQFHLLQINECHQRSKHHSKWVINVDIDERLVILDDKIKSVGSLLSGCNDTVAEVGFAIRRIQKTEKLPDKYESDEQLFSQGNTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLYPRSHGSWSMWNIQCCPILGMCFPGKRQFRVLPTSWNRSEDWPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE21469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:247060:251788:-1 gene:WBGene00071715 transcript:CRE21469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21469 MTCYRNGVKYLILLCFMGLAVLNTYSYWRDSRNRNTDGTSMQPDVIVEQRPSSTPFQCPFESWNQVHSDTVTNENLHLEWIQNNISRRDNILESQIRLLSSFVYPDHISITTNSQRSYGQKVYCRYYNCLREEISNSSYQSIFFPMNVIRCPRRIGVKYMSISFDSEEIPQEPIPLVYRVFEAPIHEVSVCVGPIYGSESKWLEIAEFIEHYNLIGVRYFYFTVFNMNEYSRKIIDEYLRTGEIELTVIQSEYKTIDWQFHLLQINECHQRSKHHSKWVINVDIDERLVILDDKIKSVGSLLSGYNNTVAEVGFAIRRIQKTEKLPEKYESDEQIISEMEFLKYNVSSPVTWGAYKTIYRPEKIAAMYYHWAYQRYPDTVAEYVTSEVALLRHYRSTEKNILGSGWLTDPNYKNFSVVPEETEFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNETVAHLVNRKCLEFHVDRTTRRQVSIQSLRSLLMGRRMRDSTSFIEGNTKDLSVESSAVVIVPRTTVAPGDTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGECGIFNVAPFLECASQGKDSSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRV >CRE03524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig730:4636:9265:1 gene:WBGene00071717 transcript:CRE03524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03524 MRLQSVLDLELLQGIVEADLYQTTQKHLLQPLLIFLLSTTVSSQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTEFLHNLFSTDGSRKPASVSGAHDGNANIGGTGSGDLQRGFGGVEPLAPPQPSFFSQTPPPTPPPAPSVISNSGDVFARSRKEISTTPDFEQQSGTVATLSVINKLDLTNSGDHNGREKEPQIRLEGNTKDLSVESSAVVIVSRTTVAPGVTNKVSVIPFCPLHFTVPPKNGPPGVSPPPAPGGQYGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRLRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE16400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:4923:8065:-1 gene:WBGene00071718 transcript:CRE16400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16400 MRDKPNRDEPNRTETECETNRDEPGPDSRGTPIDSVINKLDLTNSGDQNTREKEPQIRLEGNTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVSPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRYRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE19606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1829:1433:3153:1 gene:WBGene00071719 transcript:CRE19606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19606 MRIFKRNISIRPLPELGQIRGITANLRSIIGNTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPLAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECAFQGKDNSECCRHRGIVQKTGPQCEQFCGPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE21470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:257298:270965:-1 gene:WBGene00071720 transcript:CRE21470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21470 MKQEETNGVRGMSTNTFGSSHEWKVAIIPRGQNNKATGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDQNGREKEPQIRLEGNTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVSPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGPNVNNSVVPLKDYQLSEFNISYVEMQLVTCSIAIILVFAFIRWRVAKKREQIKKNVSEQKQEWAEKQAYIAAMYYHWAYQSGSSHEWKVAIIPRGQNNKATGVNSIPPFTIDGKADERFNKFYRIVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPASGGQCGVAPDFTPCVSNEIASKSLLECLAPNVNNSVAPPKDYQLSEFNISYVEMQLVICSIAIILVFAFKFP >CRE13235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2700:1736:2029:-1 gene:WBGene00071721 transcript:CRE13235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13235 MISSNISRHYRTTEKNILGSGWLTDPNYKNFSIVPEETKFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNETGSNKSID >CRE21474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:296747:303105:-1 gene:WBGene00071723 transcript:CRE21474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21474 MTCYRNGIKCMLLLLFMGLAALNTYSYWRDSHSFNYRTTSMHPEVTVEQSSITPFHCPFESWNQKHSDTVPNENLHLEWIQNNISRRDNILESQIRLLSSFVYLDHISIITNSQRSYGQKVYCRYYNCLREEISNSSYQSIFFPMNVIRCPRRIGVKYMSISFDSEEIPQEPIPLVYRVFEVFNMNEYSRKIIDEYLRTGEIELTVIQSEYKTIDWQFHMLQINECHQRSKHHSKWVINVDIDEKLVILDDKINSVGSLLSGYNNTVAEVGFAIRRIQKTEKLPEKYESDEQIISEMEFLKYNVSSPVTWGAYKTIYRPEKVQVSEDNSNRINSQDSYYLHETGYSFQVSVLINCFRTLTSSILISNFCSFVCKFQIAAMYYHWAYQRYPGTVAEYVKSEVALFRHGLKIIFEILILHFRTTEKNILGSGWLTDPNYKNFSIVPEEAKFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNETEPLAPSQPSFFSQTPPPPPPAPSVISNSGDVFARSRKEISATPDFEQQSGTAATLSGSSHEWKVSIIPRGQNNKPTGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDQNTREKEPQIRLEGNTKDLSVESSAVVIVPRTTIAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPTPGGQCGVAPEFTPCVNNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHSVKFINCSIRKLYVEMQLVTCSIAIILVFAFKFPAFYLNP >CRE21475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:306379:307203:-1 gene:WBGene00071724 transcript:CRE21475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21475 MGSRLAPVLAVIYMDTIETPSNVYPTLLYRRYIDDIFVIAESKTTLDDVFLSLNSQADTIRLTRETPTEGWLPFLNCEIRHKNDAFSTRWYRKPSNKNILIRFDSCQPKQHKINTIRTTQRTAVANSTFDNIKYSKDLAENILRKNGYLTTVKKGVHYGKKANTRMNKQPHANVPIIPIPYVSEQITNLVRSSLKKVGLEARVIELKGISLRNTLIKNRIFDKRCVRRQCRVCPQIGEGACARRGVIYQIACSCGEIYIGESGRPLAERYNEHS >CRE10714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig659:1858:5564:-1 gene:WBGene00071725 transcript:CRE10714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10714 MAVSQSLLFPVTVTLKKSQFSLLSFHLQLSHPIYLPKFPEMREKHLLQLLLLFLLSTTVSTQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTEFIHNLFSTDGSRKPASVSGAHDGNANIGGTGSGDSQRGFGGVASHNPGSNSGDAKVGGASRSSFETTTPTAEVLTTTLPTTSSGFPTLIPFSSESWSTVTQAPLAPSLESRPELPPTPSRIPSPTGQSSGFTGSFGEQLPVRIPSPTPIPFNPSPRRDGHRRRTTPDPRIIRPPESLVVIGDYDEDEPPSENAVVDSPQPLAPSQPSFFSQTPPPPPPPAPSVISNSGDVFARSRKEISATPDFEQQSGTAATLRDSSVPSSFNHLRRFSSRSSSPSIAHSRAHHRLICRLTTPGRLLCNRPMPRLHRSRYVTPPKYWKTSEVRMKNPSGMRIRKKNFATTRIHITPFMGELARKRRKLDSGSSHEWKVSIIPRGQNNKPTGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDQNTREKEPQIRLEGSTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKTGPPGVSPPPAHGGQCGVSPDFTPCVSNEIARKSLLECCKRKNLRAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE19591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1349:7279:9366:-1 gene:WBGene00071726 transcript:CRE19591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19591 MDATVSSTGPTTSLVSLITMFSEVVVIGSIIALLTTGIHLLLTILKCSRQLFYCVRFMLSLFESLFRCICSSSCLKKTMNKPRIMILSMFLLLPCRTLSCNDVAHIAAQERACYDHINVTRCHVNSVSLVNLTLDVAPGIKYSIVDSNISITAVGIHTPPLQAHSATFISAYGLGAKIARWTSFTFTPTSSPGAPAKEFAGELQCKDKQSAEDFNCVFDPTLCKCVGFATKVNCRCFHEKMTEYRKNHKLPTRGINHQVLMINNRVTTLTVQETMVCCDYSIGSTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE13667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:200359:200904:1 gene:WBGene00071728 transcript:CRE13667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13667 MFSEVLSANQQWLRLLTTTATCVFVGNVDFGATVAELEAHFKGCGEIVRITIPKDKITKKQKKYVKEVKEILFHAFIEFECAASVANAIVMNGSTFRERQIVVTLKRTNKPGMGATRGRGGFRGGRGGPQTVVVKYVYVNGPAPKGRGGFRGGRGRINPY >CRE13669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:205997:207481:1 gene:WBGene00071729 transcript:CRE13669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13669 MSVKTLRTVKNNIKQANCSYSWEYTNKEWFLGLLHGGNSDDTFAIHGSFKNAQTIITSTTNLPTHKTSFFHKYGTRDETGHFCFDELENRIFATGCKSGIVIQSAEFKVADYARHTARRKQALRMQKKRNAEDIVHKEQEKIRQRWERSVKLNEGINVFDSNVNEGSFEFGDTLGAGSFGTVYKAVSKKSSKEFAIKVMANRCSVFTEKFVTERELLIQRELSHKNIVSMYAAFQSKIAVFFVFEKMTESLEDVLKRKKPVLLTVAEVAWLSECVAAGLSYIHKREVLHRDLKPANILYDANGCAKISDFGIATDERDGTFCGSPGYIAPEVIGRQKQTSALDCFSLGIILHRCSTGRTPFELPDGHVSDEIVSKCKYVPPVSMNSSVRAVTTNLIKKSSGLFCQYIFYQNSVSFGWIGKIFIPFESSRFSL >CRE13771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig341:3681:8365:-1 gene:WBGene00071730 transcript:CRE13771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13771 MEIQQILNDVLDRVEEAEMEELRSGLVQVGGASLRELTKVVRRPEGPFRDIVTHVTMKLGVPRNIILHPQGPELFAQALIDLMKKHAPSRASTTDLHVGVTIFSDSLVEHIGLPLKPIGRVTVDDIVFNMERMSQSSRSPLELDVPELSVMLTYVTPAAGSGDVPPEVSPKSKRGRKRKFDTEKLLELIAFEKQKRAEEETREEARAEREETRAVKSKKRRGGCPFLDDEAGCSDDGDDEDVEKEGEFDDFLDDEEGDYDMEMYERREIDDRVLGEMEERRVEEEEDAEEEEEEQTIEEEEEEDDEEMGESFSKTPTGPRRKGEDAVMSNKVSKNCLPHALLQALYYSIFREKKTAAARYNYETSLRKSDSNPNRFKWIFETVEEIKKKGGVTKSENFGYMDIKAFQETVFKNYKILVFEQNSTLPFFKGDYTGEKKMLVLYLSNGHYRGVRNVCALLDTVYYCGKCDAKFRDAASHYNCKLIHRKCGKKNCPKAEDDKPVQCEKCQVKFPSEACYENHLQKGKQMNIKGESNYLFLGPRGGKSRCDHTKFCKKCEMPYFRNKNSLPHVCGETFCHRCQLRLAGTHHCTMTVSEKNEKRLTRTYFYFDIESKAVEEGEQIPIVFTGVRCCPECSSTIPKTVKYDGTKPEIRDATDTCARCSPNGRIKIIESIYYGNRDLDCKSEIGQFVISEENKGSTLVAHNMSGYDGQFILQSLIASNKSAPEVCLDGTKLIYLKHNGVRMVDSMKYLPMSLATMGKTFEVDSLKGDFPIKFIKEENYDYEGDLPANEYYTLDNKSSSERKRMEKVLAEEREEYKASNKKFNFCEELIKYCYNDVYILATSMTTFLKAFEELTDVCLLEVGSFPVYFSTLSPKHMVTPSRTFPLTTYVFSNCLRFLQESVTIASAAMTTFRRNHLQRKFPIVLDVKPSASYNASIKSQKYLAWIGHRDGVQVEISSTYGEKKIGKYRVDGFIEKCEKYPEGKIIEFNGCYWHAHSCSFADDSMIGDMTGEEVRERDRMRLAELKDSGYPVEVVWECDVDTELRNNPEMADFFTNHEVSGILQMERALVGGRTEVFRLIVDDKGKIMNFNDVISLYPSVMKYCRFPVGPPNDVPAKDLKVPMTAPEDIPFSGFMLCRVLAPDDLRLPLIADKSCGKLVFGLCKICMREENQEDCQHTDDERSFTGVYTTVELHKALSLGYKILEVFHAIEYKYWVGNDAQGQGGLFTSYINKMMVEKIHASGWPGSVKTDEEKDAFIKGYRDMEGIELEADKMEKNPGKRTVSKLLLNSLWGKTAQRVDKTNTSIIIDPAKFYRILYDKTVEIQDVRAVNDTLVVKHQKRAECLESLRTSAMHIAARNSIS >CRE13768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig341:9000:9600:1 gene:WBGene00071731 transcript:CRE13768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13768 MSHVHSTDENTKAVKDLPKAYKNKPTKIEGAISDQIKKIVHAPRTPLKRKREEKAGEDDGKAKKMEWLKMKAKDAVIPSETHAVVKPEYLFKEPGALVFNICNPCKQFNSERQVEVVESGMVQIPLALCTVCRNHLNLQRQIKFFQFEIPTVKKEFNL >CRE13671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:242002:243042:1 gene:WBGene00071732 transcript:CRE13671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13671 MSDMTVYVGNLPSNVREKEIEDIFHKYGEIRNIDIKSRSRDSPAFAFIQFDDRRDAKEAVRARDGYEFDGKRLRVEFPRGQGPRGPGGRPTRDNGSRFGRNGGPPKRSNYRLIVEGLPRSGSWQDIKDHLKQAGEICYANVHNGEGVVEFERYEDLEYAIRKYDDTKFRSHKGETAYIRLKEDKSEYAKENKRRTRSISRSKSPIRGRCSRSSSRSKSSIRGRRNGSASKSRSRSPVSRQHRDRSESGSPARRVSRSRSPISRQRRARSESGTPARRATRSRSPVRRQSRDRSVSSVCRASRSRSPPSRDVSPDQSRRSSPNGSPLDD >CRE13672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:244312:246889:1 gene:WBGene00071733 transcript:CRE13672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13672 MSSLANDFEKVLREFIPKELIPATWKFDEKKRRVENIQSLMKCGQLGIVKSAEQLNRDIGSYMCFPGSTQHFEIDPVVAPSPDDYTSLKNTMYIYKKDLFLLFDFKDISSLKECQREEVEWVLNDCLQQMDFGSHHEMIVKPDIKTIIKDGEEVLKNVLPFLELSKNCEKIYEDSKKRFMETLHVDIRETVSECLEDIIVDHSTEKYHFELLLIINWIGFIMKGIENFVKKESIHLPPLNSVSLTKPVIRLFSIDKNHFVMVDELLKTLKNCNIDVTGFEKEVFGMKELSTFTFRDVSQKVDKDVMKNLEFVKMDDFRLIFAQTPIPTCDGGYCNLAVDVLRDVLMDIIVAKKVFQTIEENNWIHIKKFFKSVEKYFDRGIYFIDLKNVKTIKELWENEYNSHLKHSLSSSKLMKTSKKNLAVDLAVVQCQINSLVRKVPMLLEFIHKQGACDRLSIVGCELCDGKTLAEESVSITEPQGSEKREDALQKKIKPQSSKDTQSGPEKLNSEKAKSDVTTEIPTVEDKQSQKKKESKKSKQPTPREEAKPEPKESNACPKCERAGKFTREANEKLRLSKIEVKQLKKDLIRNQLENEEIKQKVMDKDERIRMLERLLEEKDDVIKEQEEKLNKQPTMIQVFQRVEKEEDTQTVFDEKIKKIKSVQSNLLAIKETLELENPVFKCAELMHRFITSTKNEKTKEMAEMEMRRFEKKATEYIDAVEDRLAMIQCNQFDAAKEIPELPEFPVFSQEFRSTFENIMKSRPPVICQQLLSSSGNASDELEDTECVICLNNMNLEDETTKCVYCKRRYHNGCIQDWLKVKMTCPTCDSGLLDEEEFTVLV >CRE13674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:255838:256198:1 gene:WBGene00071734 transcript:CRE13674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13674 MKVKDEKEVLETFCKTYVATCASTTGVLPVMTYCARYYTYYPTYCKTQLMERNALQFYFAFEQFSLPENIAAEAQVIL >CRE13692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:261651:262403:-1 gene:WBGene00071736 transcript:CRE13692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13692 MGGIYERVVGIAKSQFRKVLGKLTYTFPELHYTLKRVEGVINSRPLIRNPSEKDDVPVLRPIDFILPSVLLDVPNDTDNNNGDPSYDPTMTTTESDTRQHLLKLDETLNKLWKIWSSSYLLLLRDSATKKNRYSTTPPKIGQIVLVHEELIPRHRWPLGRIVAVKGEKPNIRSVTVIINGSTKERAVNQLIPLEIEDETDIPTEQLGSPIQKTLSHSDSTVSRKPKPIRIMPSRKAKEGKKRYCTISDSD >CRE13693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:263116:265035:-1 gene:WBGene00071737 transcript:CRE13693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13693 MIPQCYVETTPRRKRGRSPSQEPKYFRSSQTQTYGNYRSRTTQTPKSFLKAEKSDDLKEKIYADIGRVFCSLHLDQFPKIETDKLLKNSGEEIVQHMSQVIRTIKDLLGSSAKETIFSAPIQCLSAFTGFHRKTVAKFSSDVCFEKSAKEMCGGQSKKKRCRSIAARLSVNERFKIRQKLHELRKQKKNVNVQLLWKWAKRAIGFRYSQTYFRLTMLGMGLRHKRNRRMQAIEERFDIAKLRVRYLESKLLAEEDDPFFVFFDETWIHDGFGNISDWQYDNPTEYQKARMIDPFHPISGPYKPKHRGLRGISLGMLTEDGLIPESIKFILSGSKPEDQLEDYHQEMNSDNYRKYMEEMVPIIAAQAAKKGKNGYIIIDNAPYHNITREKPPTMSSKKDEITFWMMEHGLLFSSKATKTQLLSLVKSHIAANGGREAFVVYEVDSWAEEMYGVRIIRLPPYHCHWNAIEFVWADLKSHLRRFGDSNDKLEVVRNRALDFLKNYDGSKESSVIEHCRRDENYVRQMQHEKDQMVHDEDFTLVYDASDSGQLLNVHCDDDEDWIDASPVVEADFEEELSDLSMNNEELDAVEGENEEANSFEEPSGDDFEML >CRE13696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:269025:270664:-1 gene:WBGene00071738 transcript:CRE13696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13696 MLESEYLTQEYLLQLFEKEIIIGEDGLLYVALPWNGKQDRMGNNKSLAYRRLTCLIEKLRRNPELLKAYNRIIEEQLEAGIIERVTPEMKNQGPEYFAPQNAVFKENSTNTKVRIVGDSSSKQRDTLSLNDCLYEGPNMLKTAPGILLRHREKKYPAVGDIARAFHQIRLQEKDRNATKWLWIKDINKPPSGDNLVEFRFTRIPFGMKCSPFLLAATIRHYLLLAATILSKEIEQNLYVDNLMITTNNPDEVLPKVLAVQKQFREMGMHVREIATNHYPTMQEIPEADRAESNKVKFLGYIWNLETDTINILIPEPPEKKMTKRDVASFLAKLYDPMGYTAPLQVQIKRFVQIIWNDGLDWKESLSDKLNIEWRKIKELYKHRTIEIPRQLRSNYFPNQKPEMAVFCDASKHTYGNAVYLLYRMENGTTEATLIGAKSKVRPSSGAEWTIPRLETLAVEIGMKHSQSLIKELSDDEKPSKLDVFSDSTIALSWILTKEQIKQKNDKFNTFSGDWT >CRE25934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:4740:5839:1 gene:WBGene00071739 transcript:CRE25934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25934 MLIPLAILFSQLSGIFYPVEACLATSPSQPVTVTSTETPTTSSVTISTTASTTSSTTSASTTSATTTEAPPVLRTCSPTAITYGAGDDLNPQLSIKVDYTGLTSTQIGDTADTTSTMTVTCSAIDGYSAYMLLDGNTPPAENQGNPQTVSITAVCSSVDMIWNYVVEVNGQTFTRAFTSVNCNQAP >CRE25935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:6650:8237:1 gene:WBGene00071740 transcript:CRE25935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25935 MTDFIINNQITLRGCILYEFTRGNKPFKTYQKLMKTLGDDSMTYPEFEFWFMRFARGNFDLDYDFSLEPKKRKITDLPVEIFEKVGDSLDFVDRVNLRVVSKDIQFLVDNWNPKVSDFTYYGFDYWTIVQNSKIYRFESWKRPDSDKPLPIVVRILKNRKLRLQKLTVYPNANWEIVEEELRKSSTKLHVSHLTVENSDYPIDIRLLAPESLEEVSLFINEKSVKKFNEILHSEQCKQLKMLTISTDLSPSNFPFESFIGYPRFTIMFKYPNSGVDVAEFIKKLIKCAQLKSCKLDCGFYDDKPNWESIKELLSEKDTMVPDCPNIRRYPIQGSTDFYEINIGKNCVSVERKS >CRE25936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:8448:10026:1 gene:WBGene00071741 transcript:CRE25936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25936 MTDFIINNPITLRGCILYEFTKGKGPFRTYQKLMKKLGDDCMTYPEFEFWYMRFARGNFDLDYDISLEPKKRQITDLPVDLFEKIGDSLHFVDRNQLRLVSKDIQFRVDNWDPQVTRFSCCNYHYWEIVQNSKTHEFHRLLLQRVYPTPDIFRMLKNPKLRLKNLTIYKDTMVKWEIIEKELRKSSIKLHVNNLDAKDPDYPIDISLFAPESLEEVSLSINNKSIEKVKETLHSEQCKHLKMLTIRTKLSPAMFPLESLIGYPRFTIEFKGGSADMTLAQFIKVIKLVKCTQLESAKLVCRRSSREPIKRHLNDKETMVPDRPNIRRYPIQGSTDFYEINVGKTVISIERKSQEIFIICC >CRE25942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:16494:19212:-1 gene:WBGene00071742 transcript:CRE25942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25942 MGNITARNSMATRRLILEEFEKVQTQIVTNPELWRKLSFEAHRELSEVMGADFMDYPEFEFWFSRFLQGNLDLHHDRSSDPKARSFIDLPVEIFEKIGENVDLEERFQLRNVCKDIRAQVDDWDLKFHEIYYNGANNWDLESRFSDCSVCNFPENLVNRFCHGFYRGPIPLVMSLLKFPKLKVEKLTIREEDEYLEKFIKKLDESNRKLHVKKVEFTRYFYSSKIDLHYMIPGVLEDIKMFLVNPTREEMIKIIESQQCQAAKMVYIDSDNWTSEFPLDALYNCTRFSLYLEDPADGLKANFLKTLMKKGKVQKCVLYISKHRYAESQIMNYFNEPKAMVPNFPSLRRYPIPGTNDFYELECRVERCSEKFVYLERKQ >CRE25943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:19768:20893:-1 gene:WBGene00071743 transcript:CRE25943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25943 MRFSQGKYDLDYDRSFDPKTRSFIDLPPNVMERIGRYLDLRNRFNLRIAATEEIKCMIDSWDPKITEIEYIHYLKSHYSRILIKNKISSPFEARLYEYSRLERDNLMSVLKNPKLRLDKLKIRCYDEKWRGIIEELSESNHKLLVKKYEMTNKSSKGSITLDFLEPSALEEVNLYFSDSAEKMTEIVKSEQCQGLKMLTIKNSFPMTVFPFESFYNCPRFTLVFYSKICEERLVEFIKNLMNTAHLEICHLKIAPNKKKFETQRIGQLLNREDTIIRHRPNLRRYPIEKSREFYEIEFGETSIRVERKK >CRE25944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:22240:23552:-1 gene:WBGene00071744 transcript:CRE25944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25944 MSDGPKFDPSFSLFSSQAHKMTEITERDPLLIRGLIFEEFGRVQAEMATNPKLWRSVTSRVHKRLSEVMGEDYVDYPEFEFWFSRFLQGNLDLDYDRRSDPKARSFTDLPLDVFNKISENLEIEDRMRLRNVCKDVRAQVDNWDLKVDEIWYNFPDEWRVRQTAISYSVFIFEQNNIPYCFHPKPVYFLMSLLKLPKIQVEKLTIHEEDEYWEKLIEELDESNRKLHVKKVQFPYPHRKSKIDLHFMIPEVIEEIKMNLLNPKRKELNEIIDSEQCQAAKMVHIESHTEFSGFPLKDLYNCPRFTLKLGRDPAIFMRANFLKKLMKKSKVQKCDLYARRKIMKYFNEPEAMVPNFPSLRRYQIPGTNDFYELEYREEFVSLERKQQ >CRE25945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:23739:25966:-1 gene:WBGene00071745 transcript:CRE25945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25945 MSEILKTNPSALHACIYYEFLREKNIDEAFKNFCETVGDNVIDYRDFEYWFCRFYHGELDFDPDRTIQSQKIADVLSNNPIALRSCILHEFFKGKKPFEIFRKLMKKLGNDFMDYPEFEFWYLRFAQGKYDFDYDRSFDPKTRLFTDLPLEVFNKIGEYLKFEDRLQLRDVSKDIRDYVDNWDPKVVILWYTNDDDWALYLKSRSNPYTACNFGTNHKNNSGFSRNPTYFIMNMLKHPKVQLKELEIIDEDDNWKKLIKELDESNRKLHVKEVTFPEYNNLLNIDLHFMIPGVLEEIHLYFGDSNREKILKAIESEQCQAAKMVYIRSWTDISTFPLDVLYNCPRFNLQLDGSADDLRANFLKTLMKKGNVQECVLYADSQIMNYFNEPKAMVPNFSALRRYQIPGTNDFYELDYQGDAIRLERKQ >CRE06636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:958458:959864:-1 gene:WBGene00071746 transcript:CRE06636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06636 MAHSFQNSTHLFRPFILYEALDQAPVFLAYQNFARKIGQDSMSYQDFEFWYMRFLRGEYDMNYDRSQDPKPRSLMQLPLEIMEQITDELDIRQRMVFRKVCRNLRTIIDMKPSTFQKIRVIFESEKTRLWLDDGTRDPHMLPLVGYGRLQERNDQEFDRHSVKFMSKSDRRYIELEDGDCLVRCGFRNKIVSNAKHWEMAKNDVTFAMKNPRQVLEELVIENKSLDNFEEFEPKLRGLTQKIRVKKLKIVTNYSNEETMILPYIDPETIERVEVEMVDSKVGMRVGKEKRIRTIVEYDQWKRVETPILYCHSRTVFPIGTLMDCPKFTLRFHQYNGFNKFLKIVQKLLTSPVLQCAKIGFATGISVPDRAKVIATIGGVEIGWMHHRVPIPNSQEFFEITHESVDDFSNFVVTRIQ >CRE06634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:953809:955216:-1 gene:WBGene00071747 transcript:CRE06634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06634 MTHILQYSPRQFRDLLILETIHEVPVFRAYQKYSRKVGENAMSYQDYEFWYMRFLRGDYSMDYDRSQDPKTRSLMDIPLEIMEQIAGGLNIREKMSLRKVCGNLRTFIDTTPSNFKKILVRFESERTRLWLDDGNQKLDLRRPDRVVEFEHFSVLYDSKATWSMLEELKDDDCLVRVHRHRDKIVPKAIHWEMAMYNMFFALKNQKQVLEEISIENKSLGNFEEFETRLKCLKRKIRGKKVKIITHYSNEESMILPYIDPVTIEELEIEMKDWKVELKSEEDNKERIRKIMETEVWKKAENRTLHCHSKIDFPFELLMDCPKFNLRLEHSVRERSVRRARNRARPFRGRREMEGRREM >CRE18283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig430:16711:18789:1 gene:WBGene00071748 transcript:CRE18283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18283 MNQQVAQRAHQFLRENSNTVKIFIFFEAVRDVPPYEAYMNTCRMFGSSDFITYQEFEFWFMRFSSGEFAMEHDMSEEDPKHRTLMQLPMKILDKIAKELDLMDRMVLQKVNRFFRDFIGTWNPGFAYISLIIDEDCSTLWLDNYHIQYRDGQDGFGIACRVSTEGRRAKNVQDCSHVELAVRDMLRIAEHPKTKLEKLLVEFRISNRQFVNRGVEALFLSQNYNQIHTKTVEIVTHSEMGEMAILPKLKRDPLSVITLDMNGCIRDGYTNGEEQVARFTAISELDQCKQADLIELKTVKLRSEDFPIERFADFKAVSLLFKNPSNPFQISDFLRWRRILLLPTTKLPWFEVKSSGDISRNTFVRKLGKNAIEVEGREGVRHCKIPKTNDYLEVDFSRFGCRDARIVMAKKSYDDVSN >CRE18282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig430:14940:16260:1 gene:WBGene00071749 transcript:CRE18282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18282 MITKSLLLCASLLGIAFLVVSAQSLLYTLEEIPKEEYVEVVKKLNDQKRVMVKHMNVAGGFELTWDPELVKQLNETYIKKEKLVDSESRTFYQGDTWDNLYFYYTSYVKDIEEEGYTAYTNIKSLLTPIHRKIGCMGYRFDPDIRSVGCYLAPGYYFEADWDKNFVGILSSLKGPPGSNCHDGYTNNDGLCSLIPPTTTTEKTLTKPPGIDVTDATDVTSGSPSTCFLIGFLLFVVSFYSSY >CRE18281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig430:11925:13349:1 gene:WBGene00071750 transcript:CRE18281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18281 MVSLIINDPKYIRVCVYYKVLGAVPVFEAYKNLCKRVGNIDYVEFEFWYMRFVRGEIDLDYDRKNFSLEPATRGLLNMPSDIMLKIINSLTAMDRLVFRKTSRDILSIVDTCELNFNDIMVLFRKDKAVLNLYDSNERQYFQFRGASCSNKKIEEGSCEISNRFVEKTMRNANPVDLAMSTLMVILKNPEVELEDLKIKNDSDRFDELEEMLNGLTHRLRVKNFTILTQYSKEEIMVLPYLKPGTLECLSIEMLDQHVELSLEARIERMDRVVATDQWKMAKYRNISTVKPVGFPIRSLLNCEWFNLKLDCKECDANTVVEILKPLFTSTILQKFQLSTQFHMNPEEIFRKLGLKRRDSGNDKSIRYISIPNSGDFYEFSVDLFVSKLSVCIHRRTARDGDRMQEIGEEEEESEVEDESESESEGENEEEEATAVTGGDDTENED >CRE18280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig430:10034:11254:1 gene:WBGene00071751 transcript:CRE18280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18280 MTETLFALQRFIVYDALGKVPVFEAYKNLCESFGDGVMTYVDYEFWYYRALHGELDVNYDRSVDPRQPALLELPMEMLWSIFEKASLIERLIVRKVCTRLQTCIDTMYNKIDEIRFGPYCGYIEIKYEKDVVRYQGDTDCSVYRLFLQPLQRPAVVKNMNPAELAIRDLSILFHNPKLRLKYLYICVDLDRIPRFQQVLESLNHQLHVEKLTFHTQNGTEDTMILPYLKSLKEIMIYVSISDEEMKERMSRLGQIIQCREAKMLKIYVNYRDNFPIQCFLNCRGLTLFDYNNFIKAETTIRFIGILQTSTVLESFLVEKNDSDELLKAIRGGGRPLWNGVETGRESCIFKIPIASSDKFWKLDLSGKMVHLKRQ >CRE18285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig430:4336:8197:-1 gene:WBGene00071752 transcript:CRE18285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18285 MTDIPNIPASDVLTLIVYNVSRWKTVEKSFNDYKKLCEVMGKGEISYEDYEYWFNYCTKEINQKNRDNSEVVGTSSDAINNENLYTNRPILHLEWEKEYNSQKWIFKYFREFYGWELNRRHFLSPDIRTCVLSDVIDGKSAKNSYNELIEIFSIDEKEHGCWYERFVDKRFSFPTFTDLPIDVISKIVEKCDLKTNLILRKVSRDLRSVVDRSKPAYTDIQVTCSANYIILDYNFRILVYSNHYVLNYLHFPILKYPYEEYSRTGHNDLKFALGNSKLKLNSLRISASSDANYRFHYRFPRFSQELMENQVNLFIALRSLGHKIHVENCSIYVDYEMDAMQILECLKPGALKYLSLEEDRRIEMLDRGDHLWIIRDLAQTEQWKQAEYLRMAKRIRLMESEIHHFFHFKTFEISVDSISVNDVVELVGALSKSPNFESCYIQSRLPLDFQFLQLALRPEVYRSYLPSSVKFSIPHSDLFIEFFFILSAVKITNGF >CRE19785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:242359:242678:1 gene:WBGene00071753 transcript:CRE19785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19785 MSINESTFAPSNKTDAILMVEGKKLHVNKALLSYHSDYFNTLFNGEFKEKSMPEIPIEDVKYEDFATLLSFIQENPILPKSVVKSIRT >CRE09861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig402:5401:6033:1 gene:WBGene00071754 transcript:CRE09861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09861 MSVTPAMSIYESTFAKSGTTDAILVVEGKKLHVNKAVLSFHSDYFNTLFNGEFKEKSMPEIPIKDVKFEDFAATLSLLYPSPIKPTDENVERLLEIDDRFLIPSVKYTLELYVKTSSKDKMDKIRIADKYKLQDLMYYGIRQFTSGVQFNGIKRHAVYNLLSDKAKLDLLSYYFTLVNYD >CRE09859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig402:2456:2715:1 gene:WBGene00071755 transcript:CRE09859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09859 MTGTYFALSQFSQSDTTDAILVVEGKKLHVNKAFLSFHSDFFKTLFNADFMEKSMQEIPIKMSNSKISPYF >CRE18284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig430:2168:4034:-1 gene:WBGene00071756 transcript:CRE18284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18284 MSETPSISIYESLFVQSDETDAILVVEGTKMHVNKTLLSFHSDYFNTLFNGEFKEKSMQEIPIEDVNFEDFAATLSLLYPSPIKPTDENVERLLEIADRFLIPSVKYSLELFVKTCFMDEIDKIRNADKFKFKDSPIDICALILYDSYLWKTTEKSYENYEKLCEAMGKPAFSFNDYKYWFKKYSKQRGRDDLPIPDIRGCILLDFINGKPAGKSMDDLCKAFKHHKINREDHDYWYKRFRNGHLFNPITFSDLPEDVITEIVERCDIKSYLQLRKVSSGLRSIVDHSKPPLTFIAVRFGENHVTFDLNCEVTVCYTDINGVNPSSYFGEHFYKFVDDDYAKVAFNYLEVVLKNPKLQLNNFRVGISNDTHNKSNQMFRDLLSSLSHKIHVEHIFFDDQKDEDIIAVLKCVKPGTLERLIVFGCKGGELSTIHELVEMEQWKQAKIFSSDQLLHTSIEHFFHFNEFHINIVSLSTEDVMNLSHAVSNSPDFKICQVNVKRYDQEAVMNALRLDQYNCSELYPNLVFYLSPNCIEIYLNVTDV >CRE09864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig402:10917:12914:1 gene:WBGene00071757 transcript:CRE09864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09864 MSGPVSKRRRVARINGLGNSYKSRMEKCMDENEMIKKENSEKEEQVKSIVVVIAMVQKDLDSTKIIIDQVLKEKLEIKKRISWELEKEKRKVVRMKRERKKIRKKYVFNRQSLKKKEEIIRKMNEKVKELDTRIADSVVKFDDRMDRKTKVNRCERVIQSISNMLNDTDINTFLTFFLGYINDNSNYTPRWKLTPEETFLVKVRFGLTDSFFKKFRKFYYDRTGFELFSSRWSISNVQKTISIRDLYVVKARKVSKKAENGREYEKMTPFAVLKSIETILGERLSALSANEKLTFNDATGSDICVALGGDKGSEETKLFLALENVPKANDAHSLLLLGFYTGDDTYDSLKENFSHIFHQFNNLHKISYQVNGVTITRNVRRKIVGDIKFISSLYGHPGQASSNPCFLCNINYSNHGAKKATLTNLKFEDPVGSRSLADFPNALVNVPLEDICLAPLHSCQGIIQKYGINVLVGQAIKLDCANVCSDVGDTIESQQKTLKRLENEEYMYLERIKAVTESIHTVEEIIEGLRKIEKKQKKCKKTRKVCSSSYCIANVIGNEIYSNGDMYSCESCNKTFHTYCQGIATPEEKMKLDLNVSSKNCFECDESIPFTVRRRISEVEKQLEEQYRRLEEDKETWEVVTNEKECLTESMVKPTKGYGKKMDIP >CRE01399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig280:29762:35816:1 gene:WBGene00071758 transcript:CRE01399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01399 MSRDIKEFLYGWLGRNNYGKPVYDTRDDKNAPQRFTCKISVPSLNYVASGSSSTKKDAGTNAALDFCQFLIRDGKMMRSEIPSLIISSLKTPTRQDASPSVPGTIFCGGEDGMKVPSQETQGPKDAEPKKPRFPWSNAYRKDEVTHEEYVTKKVDEIADSETVDYKSSIHGGWTMENSKKALNEYTQKMRIPQVVFTTTMKEANTVKTMETTAQLYVPQLSKSKLCYSGAYYGKFFSALIGKGSGSNKKVAESECAMHMVRQMFHLNVMQAFNGPTKKKKVSKLPNIYVHLPEVLSKRVVNYVKSCGIELPDIDETTSSAETPTSLLTDIKLAQFPISEDRPASIISWSPPLENWNPWRTSNLEESPLACMTMEQISERINDKEEAKLRGPLDTINAQRRDLPVAQFRDEIVQTVANNRVTLIKGETGCGKSTQVAQFLLESFIDKKQAAHFNAVVSQPRRISAISLAERVANERGEDIGETCGYNVRFDNATPRPYGSIMFCTVGVLLRMMENGLRGISHVIIDEIHERDINTDFVLIVLRDMITQFKDLRVVLMSATIDTELFTEFFGSSPEIGPTPVITMHGRTFPVQDFYLEDVIAMLRYMPDELEERKKKKVTAPPEDDEGDEEVDDKGRNMNVLTDPSIEKTLKVAMSRISENDIPYGVIEALLVDIAELGVDGAVLIFLPGWAEIMTLCNRLLEHQKLGQTSNFEVLPLHSQLTSQEQRKVFNHYPDKRKIIISTNIAESSITIDDVVYVIDSCKAKERMYTSNNNMVHFATVWASKTNVIQRRGRAGRVRAGFAFHLCSKMRFESLDEHGTAEMLRIPLHQIALTIKLLRLGSVGNFLGKALQPPPYDMVVESEAVLQAMGALDRNLELTSLGKMLARMPIEPVIAKILILGTALGAGSVMCDVAAVMSFPTPFVPREKHNSRLSEAQRKFTGNKFSDHVALVSVFQSYREACQKGNSAEQKFCERVSVSNPVLKMTEGARKQLVDVLRNHCSFPGDILFDVEVNVNRPDRELNLMRSLLVMALYPNVAYYTGKRKVLTIEQSTALINKNSVLVPIDNREEIELPSPLLVFTEKIRTRCISCQGMSVISAIQLLVFGSRKVECIGEGLVRVDDMITIRMDVPTAAALVGLRPCTEALLVRSCENPETLGVMNSSDAELRRLLRDISSEDFMSQAGPIKDSLLTDNAITQRPTSAPQQNRSNNSYSDRGTTSSNNSSPQAESSYQNIPGSQQSYPSAPGGQMFSSRDHTPGRRPNAQNRPHTPPRSGELHYEFNNSGYGGAESGWNRNTSPGGYGGGGNGYGASGSNDGFRGGARSRGGNRGWHPSQW >CRE01404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig280:71083:76084:-1 gene:WBGene00071759 transcript:CRE01404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01404 MDEIDPDMFIELPILEELRRCSSRLRANVAFKALGADCVMKLVTTLDRNIRDAISADITCLMVPSNDGIDVVDIFEKNICEERMKKTTNAAVIALTLMSSHQMHIDCIGERRKTVKLRRGTKEWDRPVVGQPLTLVVTHFLPDFVDMIPLPNESMPDSTLSLVFDIERDGGILHNCSNQVIASICNALNNNTADDIITRMEKLRPDDKENNDLFMDKLSSIIQKVVEHSPSALEVDSHNNLELLENDDVMKTENIVLSTSRVPELRSHERDEVEDQEYEPGPEEEEEESRKVVVRQRKAEKRKTSVKARNDKKKVKSEDISPACAIFKKEWKMNQIKEEEAKNSMWASIPCGTRGGSMG >CRE20604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig428:6382:7147:-1 gene:WBGene00071761 transcript:CRE20604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20604 MCEVLLAVAWICGEYSQHVRNQRGVLESMLKTKPSVMPGHILSVYVQNIGKLYCSLMSQAEDEDDWDQIDSLDNLMLSKLPQFKFSEHLEAQERACNLMTIIRIIESHHRQRQKMGAELQKLYDGELNPVGTKKQRKVPEPEEKIYGTNILQSWESHAWNLPNSGR >CRE13659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:81988:88569:1 gene:WBGene00071762 transcript:CRE13659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13659 MPKFDAFQFFLKNSLKNTTNSTKTQFLEKNPIVGTKMAWRNKLSSSDTPPPFDNTPNALLVTASYDLTIRLWQLSTGKSIGTIQHNEGQVNAMSLTSTGRDLAVGAWQRVRIYDVSSGKDPKATIELPKNVTVVGFEAAGRWMYTGGEDGVCRIWEMRSNQLVANRLLSFSGNGVTSMVPNINQTDLFISTMSGHVWIWDVVNHMFMRLPMPDDLKIQEYVHRLAVHPSGKKLTGVTNKGRLLCWDLMTRQVESHSFDMFKSPIVPIEQDTATYGCLRDEDKHHRIQHGHGLSCRYSPDGKWIVASGSEQDVYVFNSESMEQVATLHTGCGWNWDAIFSSEGRYIFTGGNDNQVKIWDVINSKKVAQWDGHVKPLTAMCMNGPSP >CRE13682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:109374:113759:-1 gene:WBGene00071763 transcript:CRE13682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13682 MIIQFHFFLSFLSLISTTNAYNILVYSPSFGGSHTNFMARLADTLTEAGHNVTFLIPVADEARKGQLGVKLTKDVVLVEIFNMLTESTTLSCENFMRNREVFYQMKSRHFDVGIFEPVSVCGLGFMHALGIDKVIMASSCTHYDGTVAAIGEPLDFSYVPGVMSKSGEKMSLFERLENYKMSMASYRWQYGMWDKEISIVQKAYGASIPDWRDLMPASSVFFTNSIPYVDFPRTVTQKTVPIGGISVDMAAIREHKLSIEWSTVLDERPYNMLISFGSMVRSMDMPIEWRNGLLEAIKSEPNVTFIWKYEADELEWAQGVKNIHFSRWVPQTALLNDGRLSAFMTHGGLGSTNELAHLGKAALMIPVFADQNRNARMLERHGGVKVIEKYELADKHKIRSAIQSILHDRQYKEKAERLAHLLINQPMKPKEQVVKYTEFVARFGPFPKMDSHGRKLSFIQRHLLDVYSIIGLSYLIAFSFIFYVARFVFSRIPVKFVKKD >CRE13662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:119124:123322:1 gene:WBGene00071765 transcript:CRE13662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13662 MLSLIFLFSFLITPINSLNILVYSPAFAASHSNFLGKLADTLTERGHNVTYLMPVMEIGKRDECIGVKLTKDLVIVEAGEEMLSQKTSGTSSGEVMEVFWKSEMDSTNSRDMFKWFSSDMKMGCRNFHSRRDIFHEMKSRNFDMAILEPISVCGLGFAKALGIEKIILASSCTFFDSVLNYIGEPLDFSYVPSLYSVTGEVMSMAERYENWIVTKEINIAIEDMYDDEMKSYREFLGEDVPDWRDLLPTASVFFVNSNPFLDFPRQVLQKTVPIGGISVNIDWIREQKLSSDWVEILEKREKNVLISFGSMVKSTNMPKKWRNGLLEAIQLMPNVTFIWKYESDDTSFASHLQNLHFSKWVPQTALLNDVRLNAFLSHGGLGSTMELAYSGKPAVMIPVFADQTRNARMLERHGGIIYMHKSSMEDADSMKKAFEDILFNENYKKNALKLADILTNQPYSPKENVIKYTEFVGEHGPFPDTDPYGRRLNYFQKTFLDIYAVFALFYVTVAIVSIVILRKIYSKLSIRKYFSLKSKSTKKTD >CRE13663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:125234:128492:1 gene:WBGene00071766 transcript:CRE13663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13663 MVLLHHRHPSFLFFFSFFILPINAFNILVYAPSFGGSHTNFMARLADTLTEAGHNVTFLAPVVDASRKGQLSVTVTKDYVVVEQDEHMKSQVKPIDGVLGQYWKADIDSSNSDTVLNAFTHVKTQACENFLRNREVFDQMKSRNFDVGIFEPVSVCGLGFMHALGIDKVIMASSCALHEVASAAIGEPIDIAYVPGMMSKSGDQMSLTERLENYKMAKSIEKMQHGIWDQETALYRTHLGSDVPDWRDLMPASSVFFTNSIPYVDFPRTVTQKTVPIGGISVDMAAIREHKLSIEWSTVLDERPYNMLISFGSMVRSMDMPIEWRNGLLEAIKSEPNVTFIWKYEADELEWADGVKNIHFSRWVPQTALLNDDRLNAFLTHGGLGSTNELAHLGKAALMIPVFADQNRNARMLERHGGVKVIEKYELADKHKIRSAIQSILHDRQYKEKAERLAHLLINQPMKPKEQVVKYTEFVARFGPFPQMDSHGRKLSFIQRHLLDIYVAVVIPYFIGFSFIFCVCRFVSSRSSKKLVKKE >CRE13683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:134017:134844:-1 gene:WBGene00071767 transcript:CRE13683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13683 MRVPCHRQLASEINGWEAAYLQCRRDLVRKHLGFSFGSTKTIQVICAPGVTVDNVPVQASKMTMLKDLASVTEDTYSQMLRHDTGIVAVVVPISIKDEDQDAWRAIVNAVPSSAVVYLIPEHMTRFDHSHMAMFTSLFGRVMRDHGELVVVSPDEICTEELNRPLYLVSEIVSATKYWRIVRALLEEHEHQWPQFMVSDEKEDRIATGHRQVSEEAITKPGPSQPPTHRNHEWKKEGFVRGGGSRGHGQSRGGVHKSYHPYPSRHHGKSTTPQKK >CRE13684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:137589:154873:-1 gene:WBGene00071768 transcript:CRE13684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13684 MGDGMDDDLERSMASGDDKVSMGAVQMSLAERRKRTVGFANFLATVGQVEEEVQQMLKTMTVVSSRQIDVVQGPWRKFRKELTERFKELDEDKWPRAVMRIMRESGLESLGELKNKCEKTEGGGEGNVGNLGEEKWLEEIEGIKNENRLLQVAWNEEREGMARRIQELEMEKEQVMQKAKRLEKLVKEEKRAAESMKSNLQFVQGKTSEKLSERNVNLQERWRNVDRRSSSSSSTSRYWDLERPKGKMPHVENGEAWEDKVKQWASEGRGSAGMKENGWERESVKAGNQAMESEVQGMVQCMSRMMKASALPEPKMFDGKGDFKEFKRAFLLKYNQVTDTDDELVAILEEKFLGGAAKSLFKALPNRYERSIRSLFEEFEMKLRKRQGDSKAEALNEFEGLRKHPNQKMWEYLVEVEKWSKKAFAEAGAVTLSQLRTTKLMMAARRDDTLHKMLVMKRLELPLEDQYEHLKDIVLQQENEKRRDYGWRRGPVGGYKEREGGNEKDRGDSSRIGGKEDEGGRRKEGFKIKCFKCGGIGHMSRQCTSKPVQEVAMKKVVGDTEKTVVGAEAAEVVEVLGQKKRMVINSGAVVSVVSKSAWEALKAGCQQWESEVEMLGKPDFTIVNASKGSMPVCEQIRLPIVVRGRRAVVVFQVVENEAEVFLLGTNAFESIGVELKWKAERAVARAAEKLRVPPQSCAQILLKTEVDLGEQVLLESKEECVPTSLCAKNENGCLTVVVSNWKDEPLLIKKNQVMGVVVREWKLQNSGEYKEVNMMDLDRKMGLKGNARVEEVLGILKENGEIPEGMIPKILQEYSDVFAVEESELTQTDMAKCGIELQEEKLIRQKCRPVPLALHDKLKAMLKDLEQRRVIKKCRSPWASPVVLVKKKDGSIRMCVDYRKLNAVIKLNAHPLPHIESTLQALGRKKWFTTLDLMAGYWQIPMEEESKEKTAFTVLNEQYQFEVMPFGLATSPAIFQEAMEQHPG >CRE31517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:92350:94001:1 gene:WBGene00071769 transcript:CRE31517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31517 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NGI7] MEANIAFEDSYDGETGIYREFLGEDIPDWRDLLPTASVFFVNSNPFLDFPRQVIQKTVPIGGISVNIDWIREQKLSSDWVEILEKREKNVLISFGSMVKSTHMPKKWRNGLIEAIQSMPNVTFIWKYESDDTSFASHLQNLHFSKWVPQTALLNDARLNAFLSHGGLGSTMELAYSGKPAVMIPVFIDQIRNARMLERHGGIIYMHKSSMEDAERMKMAFEDILYNENYKKNALKLADILTNQPYSPKENVIKYTEFVGE >CRE31516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:87223:88980:1 gene:WBGene00071770 transcript:CRE31516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31516 MPANRKKSVQSIGGWIMRIKKKIPTRKETIFYCVERYTKHKCPASFAVSDETGALRVIQPHLNHENDKLVGSVHLARQSLKENAHSGSCREVIDGVRAAFSTDTSLKIGSYDKKRRIIHYEKSHSNADMKLMDGGGKICKQFSETLEKGRFLLVDEVINGKRFIVFASDVGLEILQKGHVVFVDGTFECTPKGFCQLFSFHCYVSIHIIENNGIFLFQISPEVVRPAAFALLPDKQLSTYSTLLDLMKSLPELYNWNPGMVISDYEAAIHAAFKVKFPNIIISGCMFHLIKNWRRQAEKCNVYQEFIGDLIFLIVHTDIFNSDGNYEEFWQLLKTLPFVDETNIPDYFSIIVDHCVPLAVTPGMNQFIDYIVDNYVGKSGMNPPRFHPSIWSCATRVSSDIHRTTNVVEVWHRLLQSVATLHNGFSTLKLSDLLKKLQQEEQHTSLDYRELQIDPDFKVKKSRQNSNVLKDKRLKEAVDNIPVIPRAPLSGIPYLKKIFFAKKD >CRE31515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:80295:86835:1 gene:WBGene00071772 transcript:CRE31515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-27 description:CRE-UGT-27 protein [Source:UniProtKB/TrEMBL;Acc:E3NGI5] MVILFLLFSIVFSNLNAINVLVYSPAFAASHTNFMARLADTLTEAGHNVTFLVPIVDVARRNQLGVRITTDVVVVEHDELASQESVPFDDSMEVYWTEKTDSSNVEESFKWFFDGMEVGCENLLRQKHIFDLMKSRNFDVAILEPLAVCGLGFFKKLGIEKTILASSCAYYDFLFRHLGEPNEYSYLPALMSTKGEKMSLMERFENYKVSEVQKVFQGMSRGMFKMFDKEQHFYRQYLGKEIPDWRELIPAASLYFTNSNPYLDFPRPVIQKTIPIGGVTVDMEKIKSTKLDSDWDTILSKRRLNLLISFGSMIHSSHMLTPARDNILRVIASQPDVTFIWKYETNDTSFAENLENVVFSQWVPQTALLADTRLSGFLTHGGLGSTNELAHLGKPAIVVPIFGDQYRNAHMLERHGCALIVEKTELDNWKLLKNSVKSILYDGKFKKNAMHLAELLRNQPLKPKELVVKYVEFVAKYGPFPEMDPYVRKLDFIQRNLLDVYLIEIIGHILFFSVIFLMTYLMPVIDIGKRDECIGVKLTKDLVIVEASEEMIARKKSESTSDEMMEAWWKSEMDSTNLRDTFRTNKDSFQMFKWFSSDMKIGCRNFHSRRDIFHQMKSRNFDVAILEPISVCGLGFVNALGIEKIILASSATFFDSVLNYIGEPLDFSYVPSLYSVTGEVMSMAERYENWVVLAFGTR >CRE31514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:73497:80116:1 gene:WBGene00071773 transcript:CRE31514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-26 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NGI4] MSVLSQVLCVSLLFSTVSSLNILVHSPAYAASHTNFMARLADTLSDAGHDVTFLVPIAIEERRNKLGVKTTKDVIIVEQDEEMKRKLKPSNGSIEFLWIIEMDSSSIDTMLSWYNEIMIHTCDNFMRNKKVLSDLKTRHFDVAIAEPFTICGLGLFEELGIKKTILVSSCAHYDFMLPHIGEPEDFSSVPTLSSKVGEEMTMTEKWENYRLVAETKASLAKLFDAETRIYRKNFGKHIPDWRELFASASLYFTNSNPFIDYPRASIQKTVPVGGITVDLEKIKSEKLSEEWEEVLNRREKTMLISFGSNVPSAKMPAAWKAGIFNTIKSMPNVSFIWKYESEDVSFASEVNNIHFSQWVPQTALLADARLSGFLTHGGLGSTNELAHLGKPAVMVPIYGDQTRNANMLARHGSVIVLHKKELADEEKVKNAVNSILNDKKFMKNARKIAEMLANQPTSPKDTVVKYTEFVAKYGPFPQMDPHARKLNYFQKTFLDIYISIFLGSVVSLTAVFLVLRYVLNCKKVKKD >CRE31513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:69887:72760:1 gene:WBGene00071774 transcript:CRE31513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31513 MCFSKIGSPTPSYPCTLCEIKSLCISISERIRCVRKPFPLLKLPDVPLELITKFMNANEIIKLSICSYRLELFLRSHRYKIKGFHVHLSDRLVQFDMNDSTGVSSTYFRNGYFLWPIKDVVRMEQFCKSFFFSPTTEHGNYFNIQSFSPEASFDLYHRIISLFFSRNCGQQNIGSALSTPCVHWIFLIDQLEIETVKRYLDTALSQKCCRFTFEKGQISKELLTEIMDKVPVTMALKFDLGIPLDFNHSNAFKYPLIQYTEARWATLNDLKSIRNVGFIELNSTNFDYADLNQFLKYCVDCEDDMVEMMTIEIKEGVEYDEATLTDGLITLRVPGIADNYIKVKNTKNRQFVIIRFFLITLHTLRVDMYTADKYPLEFALLEMLEKKKELEEELIEIEEAEGNSKGHFEEGNRRKREIEMEVEKLRKKIIEKDTVGYIYKL >CRE15759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig347:21741:24023:1 gene:WBGene00071776 transcript:CRE15759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15759 MSNSTCSDMESIATYIPLRVLLFSFITISIISIPLILYVIKRIARNPLYHRNTRIVLVAHAISLIVHHSDRLIFHGWDLYRYIIILPNSPSACDIVSSSERCFALRISFNCAMWFCVCTTPALTLERYFATRRTYSYQSDKHSGFLVMIFQFLLAAILLAFVYAKQSFGGTALYCMAASSSLPVHVLTAFVLTIIVQLVSIICYRLLINKNEKLRETLQKTGGDLIRKYQVEETLRAFRILSQPVHLMFLFQFFYSFNSFFVLYFNPHFSRPLYFFLMEANIYLPEYSLAFVFTFIRMENHVSDSSSKGLRKSIEIDTAVYFENYKKDWS >CRE15760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig347:26616:31244:1 gene:WBGene00071777 transcript:CRE15760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-24 description:CRE-SRAB-24 protein [Source:UniProtKB/TrEMBL;Acc:E3NJR8] MRMSLNCDLMKDIAQFPPLSALLLSFLLMSVLILPLMGWLIVRIAKNQLYHLNTRILLIVHCSGIFVHCLDRLFLHSSDLYNWHVVMYETSSCDIISTSERCFSLRLFLNIGMFLAIYTMPTLVLERCLATSRVSTYQTNRTAWTWLLIFQVCLATWFLGLIYSQTTFGGTAIYCIAAREAVPFNMLLAFSMSIIVQTVSYSGFRYLLYKNKKLKASLQKRGSALTKRYQVEESLRSLETISVPIHMMFFFEVFHSLSSFLFLNFNALMPRHWYYFWMELSACLPEYAIAFFVAFVLQEKKIERKGKTSLRREMEVNPDHYFDQYNRSWTTGQTLKF >CRE02587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:286332:287023:-1 gene:WBGene00071778 transcript:CRE02587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02587 MYQKLFYSLVVFLSISVCYGGNPTADPNCAINAVDASLLDSLTLGAGGEFEFPDPPPPYLRTKRPTTPHHTSPGLSSPANPSATIVTDAPPTSDPTVVPGDSTFPPASPGTGQPPEPSTPGNNQNDPSTIPPGHTNSGSDSSSSTASPVTSTSSSCNGPLCSIQQSLEQLVAQILAYLQELLGNLLAAFGINLNNIG >CRE02586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:283698:285584:-1 gene:WBGene00071779 transcript:CRE02586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02586 MPLFHSYCHANTHPPHPLLYSLRLMIFRDIPTAKPSCVMLIFWILFPAIANAATCPACPTGGMWSEWVANGNCAATCGACASLTYTRTCLSSAIPNCPCNGTNSAIKPCGTQACNYPRTNGPTKPCCDGSTPIVYNNLYHCGTVAQLAPISYCCPDNGTWSAWSAWKETAPGKIEYTRNRVCVSSGYGCQCVGESAENKFECPCPPLKQSDCVYTPTNKAVYTARAPQYHAMLCKAVFLVETSSFRETFYDPQTGANNQIDMVTTVMYKKNDKCYDTKFKTYEGAANSTDGQMQPVEFKCDIETMTWTGTNEYTKEVLTGVTAIGQYYTPKPKPTSP >CRE02574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:277203:282229:1 gene:WBGene00071780 transcript:CRE02574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02574 MRRSPVVSAKYVKLLVVGGGAGGLGAASKFARKLPRGSVAIVEPHEDHYYQPGFTLVGGGLMTLEANRRKQETLIPSNAEWIKNEVVLFTPTQNAVTLNGGEDIIYEYMIVAMGAHLRFDKIKGALEALETPGVCSNYSPFHVEKHYKEVMNFKEGNAIYTFPDAPIKCAGAPQKACYITDSILRKRGVRDKAHLIYATSLKRIFGVDSYIKPLEKVVEEKNIDVRTKRNLIEVDTSSKSATFQILDEDGIATEQTEKIEYSLLHIGPPCSAPKALRKSQFADRTGFMDVDDATLQSKRFPNVFGVGDCMNTPNAKTAASVSPQLKVLDENLSLVMQGKKPYMKYDGYASCPLVVSRHRAILAEFNPDGPLETTPFNQKRPSFWAYWLKRHFMPYLYWNGLIKGFWNGPATMRNFSRLVKSNSE >CRE02573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:267066:272909:1 gene:WBGene00071781 transcript:CRE02573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqrd-1 description:CRE-SQRD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N4V9] MGRDLYHWKAEITLIPNMYSVFALEAWYSRKTRSKFGPLTSHYLSDSKRFPNVFGVGDCMNTPNAKTAAAVSSHLKTIESNLTQVMQGKQPCMEYDGYASCPLVVSTNRVILAEFNSKGAMETTPFDQSKPTYWAYLMKRYFMPMLYWNGLIKGFWNGPATIRNCSRMIRSK >CRE20080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig389:11559:19962:-1 gene:WBGene00071784 transcript:CRE20080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20080 MSGTGQPNPPRNDPSSTSGGTAATNNVMQRQPSENFKKAQVNVKNAITRASSAGLKTSEDAQPFIDSPVDHDALELETIDKLRKKLIVIAGMIETDTHFFESIKNSSEILSSKERNGLREVAKAHLDLKPRTITLPIVKKTIKELQAVLEKQNYHIEAVSSTDAADISEIVANSPVDDEEQYVDNTIAQLNSINNHVAPSSQDEDNENRNNAQHHSSVGVANSGGTQVPPVVPPVISSNTGNHEASSLLLGTVPNSASSGLPISCPVCRGNHDLFDCESPKLTAYCARNGLCVLCMSTNHPSRQCPIREAGLSPIPSGAINEMHPDNGGRFITSCKPIIASTPRNHVQSNFSSLSDSERKEHAGSDRTLAPRTQNEISSEEETDDACRATERGRKMKSSNKGLSYYDLEAILPKFSGDALRYKKFISMFEKLGVENPRISDEMRLAILKKKLVGDAKRFYVDLSIPAQAIEATLAGLRTAFEGDTSGVTEALKRFRELTFHETDLKRSSRQLQEGKSLVLRLRDLGEDVDSPAFVRNLMEKLPVPVMNFIKPLFINGAQPTTTEILDKYDSYHKDLAFFDRFKPAAASERLKEIPDESVMTVSASSNKSKSSKGNSNSGVSTRTDPSANSSSKKPKVRNNDPPTSNRDGKSKNASKNSKSNNVDNGSPGEAGTGHFGAQPNAHQGNMTPRSYGFAAFGNPPPQTPRGAPNAGNYQGGHPTSTPSTDKPKPRIPGMRGQPGEKLEPCYKLGRGYDERFIHHTFPRDSEIASKCCFICGPGHSILQCALSSYEVRQYFRTTGSCHNCAQQTHRTEDCKNFSTCAYCQGVDSGPFLARIPTVASPATAPLERPSGPDSDKYPLLCRSLPLFLDRLTQESLYNASLVEDCGEISKLPFVALRTTDGHKVLALVDSGASLSVLSHKSAERFGLDILATKTLTISGYSKTTTEQSNIYQISFHTDGKPFSMLIAGAPRLPKTKFVCPRLNAADISYLRDKKIDPRRLSADSSFNGQFIDMILGNDLLSRLLGTSKRLLLPSERFVELTKFAPIIFPPPRSSLPPSDSIGDEIDAFHCESFIGSLMTPADSKDSVDRLHTEISQLWNLENVGIEEPGPIEGKKTEIKDLVAEFEKNIRYTEEGYLLVSLPWNGKQSRLASNRGVAGKRLEQLILSLKRKKNLMQDYDDILKKQLASGIIEMVTPEMDNDTDPLYYIPHRVVVKESSLTTKLRIVLDASSKKGGELSLNDCLDPGPSMLVDLFDILIRSRLSDYLVVGDIEKAFHQVRLVPEDRNCTRFIWLKDITKPPVKGNIVEFRFTRIPFGMTCSPFLLAATIDHYLNAMTDGIAERIRQNIYVDNVMLTSNNKAEIQDLRIDSKKAFNSMNMCLREYITNSQDEMAKFPRDEITSETTVKLLGYHWDSVKDTYTVKLATLLETHPTKRQVASRMAETFDPLGVLAPLFVSFKLLMRDLWSDGIDWKSKVPRSLLNQWEAIRKQFSESSITIPRMLRPSGPFKKSHLLVFSDASKDTYACAVYILYEYDDKPPKVGLLTAKSKIKPSASKTLTIPRLELLAIEIGTRIAMSVETAMTSERPSSVRFFSDAMVALYWILRNEQKKCWVSNRVKAINEACDRLQSLEIPSTFHHCPTDQNPADIATRGMGSEELKNCSLWFRGPDFLTNPPSTWPCRLEGNVNCPSDFRELISSEIIAARKKSDKNSTEKSVNPTEQSVDCSAEKSADIVEKSTDQPEFNALTEALRGMCLLTQCTEQYVSFVPFERSNSLARVVTYTHSTLNCLLKLFKRHVWKSPIMTEFINAKLSSCTPDMGVHTRAIARRLVFIEHYKESASSGQEFPSKLDPVLGVDGLWRAHRRVPSPVLESETYKLILVHKKHRLARLLVMETHLKNVHLPATYLVAALRTRYWIQADKQLADSVIRSCVPCQKVNNKPFEYPFTRTLPRFRTTPSTPFQHVGLDYGGPLNYRLDDGSSIGKAYFLVYTCLVTRATHLELIPDGTTEMYLHGLRNVFSRRGVPTSIYSDNARTFTLGAKILTDDVNQYVPSTSFTSFLALHAIDFRYITPLAPWQGGIYERIVGIVKHQLRKEIGKTLEVFFVLSHVITRVESMLNSRPLTPNPRDLDDLPALRPVDFLLPTVLIDLPTERDGLNHGEEFDPSRNPSLTERRTLDHLAGLDEVLERLWDIWSGAYLAYLKENTYPEKRTTTLQPRVGQLVFIYTEKLARHNWPLGIIETLIYSKTGEIRSATVRCKGKIYERPVNHLIPLEVSSSDDVPALEPVQSGHDSQAPPDPPRIATFPIHSKNRKPNTLKTSVEGKRLLKVQSCSEKLDVADPKKIPDVGVCRSGTPTEGIGLKSNGTEPKLVPLCPTLDACRSGAPKERMVPPAPDSDACRSDTSGEGSWREVPSLEACRSGAPKGRIVPPAPDSDSSEEGSWREVPTLDACRPGASKIRIGLKSSGLEQLPDSGSPRNANSLAFYGTPRSGTARTTESATDMDACRSGAPRKRRRLQSPEYVSIPESGPPRIANSLAFDGKPRSGTAVLSDTGSVMDVGRSGTSKGRIVPPAPDSDACRSGASGEGSWWDVPNLVASRSGASKAKVPPRAPDSDACRSGTSGKGSTRRVPNLDANRHASNNDSAKMYSNPKAPRQRAPANMDDWTESSGSTISHDDDGSTGIGNQCPGISYPMLSSRPDTVDHAKARLPSHRVRPFQPRKAKANLACYACITQEAGTQTPRSVVSPLVPESGPCHTPN >CRE20081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig389:22041:24672:-1 gene:WBGene00071785 transcript:CRE20081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20081 MDDSQPFPQCPSQQLATALVVSSNILNQPDELCSYAERVPLIRDDDLADLTDPMSRGNLLWYMRTGHLPHPSNFIREVTKREPYMANNRQLLDWIRSMIRGTYSKTRPVPGNRYATNRCSACGSSCMGTALEDHSENDCGFVRYLSNEEKLEFAVANGYAFCGYCNSRSASHTKCDMPRNCRKCHKQGHQHYHGVCNLELTPLQFQEMVRTIRIKRGRRIRWLVENGYLAFPLPNDYVPSAVLDGIRGRHIRGVGPLTSPAADEFGVIPEYAFRWMPYRGLRNRELEHLSLLDPVYLDDDQFDWFVLLEKRAREVYKTNRRSGKIPLIVKLTCYLPDPVFPDDIHALGKIQNQGARVVELPNNGELSIPRAHEEYETQANQANSAAVQSVLNYIHSNPPQVMDTDLKSKLIMEPPGDSFNEEFDRIVDEYQDLQLNAAMMEVGGIPPNAEQQMEEQLSNLVLRYIRYNVNCMPDDRHSFGVVPLVVNSFESLETPNSREAIIWRIQTWQLILTGQYDSEPVGADVSNDTITRYLRYLVDRGNSLVGSPRCYVRLAFEMIPADYREVFKVIPSIRLFTDPAMDLIVNRWMAAEPAGFAILNAPATQFPYSEELGGPVDEGISVEVERARELEVKEAIRTLDSSRPPHHYRARFPSLDVIDIMLVYPFPEHKSEVIHRIKTIQLTMTANCEHTERLNTCPHPRLKSYLEFWRAVLLAIRCLVENGASAPVRMSDCTVEMLHGGKTSLDLALPSMRVYRWETGDWWLIWIEKTLIPQLWKISGHVCRCTAGPDSTRPRDRQDEQGQ >CRE20082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig389:26790:28746:-1 gene:WBGene00071786 transcript:CRE20082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20082 MKPVLNDAILDADLPPISQIPLPEISKARENELLDDPKEASPEPSVFGIGAERAAEGKALEQEELDYAESSGHESDIGDDTSKFAGNSPSKEETIPPNQQESKNNDQGPRPVSAFVDHGEEEMTEIEIRTNEALLNLEKMPSIDEKLKNILELVQTLVDTSVTPDQLNNFVTKKDLEKLALKSDLDPLVKKADILDRLPTLDVWTNMIENLAPFAALQGLSSNMNNFLVQLTSIAEDQRRISKRQGQGNGLVQKLIDQGKFDHEDFEKTSLKITRVYNSLRDYIARKVSALEQANEVRSLKTLVADIREKQASYKQILDLEDPAEEHRSQALGEIYALERSSELAQIQKNTEHRERKLLENRRLNSVKCFFCHQNHDAAKCQVYPDLPSKRQQLLNEHRCLICGKAGCDGSEATCKAANRVCRSCSASLPIEERQHHQTLCPRRYQKKISSAVVTASENDVVATSIAPVADEDFSSNMAKYLDHTGPQPVGGFRVKPEHVIHGSGDSDEDDEPSLKRPALVATSSNGNRDEPKAKKQKHTRGGKKFKFAKKGRKVEKSETAPVVKSENSIKEEHGNAKN >CRE18277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig350:54053:55120:-1 gene:WBGene00071787 transcript:CRE18277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18277 MSFLEHTTLITSELEWKLLYLHHSFGLPLTLPQNLSIIVTGFSTPPSPVHGATFLNRLVNQETSAIGYTLNSPAESGTPTKGKVGELQCATAADAEQFNCIFSEDLCSCTSQGTSINCDCDFIDIEALLMKNQITENEGSEGNIKLRFNNGKIISKVSTSGLLSIQLQLKNHIVRRINREDNCYVTTTTINGCHSCGQGGNISIQCKSEVFADLESTIECPSITGFVKCSVTGHTSFLQIFTNKKHLLENCTVSCGSTKNNFLLNGTLITESTFQNTGDKKFVRTQVLIGSGTILDSIYDLLGSIWNTIVDIVMGIVNPFISIFLLIVVLILCCHFVQGGECRRTRKYKRKRHLV >CRE04354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:3262:5596:-1 gene:WBGene00071789 transcript:CRE04354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04354 MRTDIQCLRGIAIILVLLFHLFPKLFVNGFLGVDIFFVISGFLMAKNLTNRELKSFNDFLVFYYKRFRRILPLYYLVVFVTVVMVHLYLGDYLWENNNRYSLASLFLVTNQLVIHDQMDYFNEFLAKSSSVNAFLHLWSLSVEMQFYLIVPFIFYGLQLLKHNYLKLAAVSLITEFGFIAFSLVVKPFAFNFMFLRLWQFTAGFAVLFWSKIEENLKKKQKKEEKTESSDFPKNDIFTVSLSIIALCLLPKEINVLYLRPLVTLATACVIACDSQILSSRILSYIGDISYVMYLVHWPLIAMFSANGPVFVIVLILVSSITLHQLFEKKYLLMSWKSLVPLVFTLVMGNVCLQKSVRMDSFWNVTYSVDVQHLLIANRAQLPYSWKYEEKREECIEETPVESFADGKILGYGSCVVSSSPFYHKFLFLSIQPGNGTLSILVAGNSWAMNFRNPIRAQFNYNYSSFRYCSVSASYGLIAEDFWYSKKNLEALKQLVGRFKPDVLFLIAMHPYLLQSPIQENDKYLREINENINYYEKHAKTIYILGSHPLYPSNLLTSFLNAIVDHKDDIEDLHLNRREADRQVRNVRKRFNMATVNCTRCHFFDLSRVFLEDDKYLTFDRDRMIGYVDNSAHLTGAGLKLCEPVLKKVAEEIMEKSEV >CRE04348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:21837:23716:1 gene:WBGene00071790 transcript:CRE04348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dgat-2 MLNYQIHKNLTNVKWVNIFSPWERQRAYFAVLVWFVVIYPFCCLCQLAPFVLFFTGQWVFLAVYAAWYYYDRDAPRRGGYRDNWFRRMSLHKWFADYFPIRLHKTAELDEKQNYLFGYHPHGILGVGAWSCFGFNGCNVSQVFKGIRFSICTLPGNFTAMFRREILMSIGMIESSKESIEHVLNSEEKGRAVVIVVGGAAEALEAHPGKHTLTLANRKGFVREAIKTGAHLVPVYAFGENDIYKQVDNPEGSMLRKMQEWGKSKIGISLPLIYGRGYFQMALGLLPINTSVNVVVGKPIPVTKSLCPSKEEVDQIHARYMEELGDLFNEHKERFGVAKETRLVFQ >CRE04360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:25760:30097:-1 gene:WBGene00071791 transcript:CRE04360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04360 MSLDLEEIEIDKHQFTLANYGLSDFDEYLQYINRFVSQSMCIWLHFSIICRLFVVNNFRVKSDYQILIIAQSAINIAACAFDVIVNEIQTQNGLYIKIGHPFWQLSMNERLFYIIGLTVFSTSSQDILMLFNLHRLFIIKKKSLVVLYAIAIPLMLIGPIGDAYTAVPVIMAGRDNDLFFKQSQIVGIAVIIIICYVKLNKEFATNLSFSEKTKRLQQKLSWSILMQLTLLCVILFILKVLPWAIENLVDYNIYGRYEVTYIIYALVITQWYSFLSAVFIAWSIAGFFKNPTPPTTKQHSDSKIFQVEPQRKQSVKTAFV >CRE04349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:32725:33730:1 gene:WBGene00071792 transcript:CRE04349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-89 description:CRE-STR-89 protein [Source:UniProtKB/TrEMBL;Acc:E3NIB6] MILVNNGEFLFFSTNPLHLPKTVAKHFNMVNFACSGIIISLLAIHFFYRYMAVCNNQNWLRQFEMPKFFIWIAIFVIFGLEWYFATLFLGNTSDSVCEVDMDDLIDFYSVDPDVTVFIGIKYHVSTAFETTFCGKSIVLAIILLKIVLISCAIVTYCGYHTWREITIKKRAVSRRTLDMQKQFFRALVVQTLIPVLLLYLPLATMLLAPILMANLHKIDFIIQFAFVFYPILDPIAVLVIVRDYRRTIKNFVEEQVWRRSLRMLKCLLPWLVSERSLRSPIPSVNVHVPNTPVVPRFIY >CRE04363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:37587:38693:-1 gene:WBGene00071793 transcript:CRE04363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04363 MSLLDLHDDDLLEIAGNLDLRGISNLRKVNQRLRNLIETRPPTNNYSSVVVTADDDLKVVYDSGTPSGQETILYSNVEQNAEQNCLVNQKTLVGQDYREVFCRDFELNIRYQKGVMNRLCVVEGNKSLGFKRICETLQSRDTNLPVKFLRVFCDFQRQVFQILSAVEPLTLKSIHILLFRDSTQQLDEYERLEQWRSAEELDGNVNVDSSTHFRRLLHFRKVTVCVERLFLKDLIVLRDAFLTNPHNQKFKSYFPQFPELREFMDLLGMEKDLGDLEEDSLSKMYFRIPNSSDVLAIGVCLNLFKFRRLVVAEKYAGKNVIEDIGTVGFFQR >CRE04364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:40160:41442:-1 gene:WBGene00071794 transcript:CRE04364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04364 MNLLDLKDDNLLEIAAKLDLRGISILRKVNQRLRNLLDLHPPTNNFTKVSIEGFIYYPRTQVTYESATGTEAILYDDKDQAGQDFELNIRYQKGVLDEFFINFQDEEDSVAKKIQETLKSRNKMLQVKKIFVQGNFQRKLFEILQFLDPTSLKTIETAMNKGDEMQQLSDNCMQLEQWRTAEMFTSTCMLESSACFSQLLNFRRVSIHVAETRLEDVFLLRELSLSNPLFKYFHFCITVFAELDEFLRLLGSSLAELTRTIYMAKYFRIPNSSDFLYISVCQRLCTFCRSDEAARYGFKFIEDIGTVEFFQR >CRE04365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:41677:42740:-1 gene:WBGene00071795 transcript:CRE04365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04365 MNLLNLPDDNLLEIAAKLDLRGISILRKVNQRLRNFLDLRPPTNNFTKISIEGFIYYPRTQVIYESATGTETILYDDKDQAGRDFEVNIRYQKGVVDEFSINFKHAEDPVVKKIQETLKSRNKMLQVKKIFLRGNFQRGLFEILQFLDPTSLKTIATFMSEGDEIQQFDDNCVQLEQWRSAEEFTSNIMIESSACFSKLLHFREVNIWANEIRLEDVLLLRKPSLSNPFFECFSFHTTVFPEFDEFLRLLGVPPADQTDGRFMAKYFRIPNSSDVLLIRVFRNRCLFCRSAEAERHAGWELIEDIGTVEFFQR >CRE04366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:42959:44883:-1 gene:WBGene00071796 transcript:CRE04366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04366 MNLLDLKDDNLLEIAGKLDLRGISILRKVNQRLRKLLDLRPPTNNFTKISIEGFIYYPRTQVIYESATGTETILYDDKDQAGRDFELNIRYQKGVVDEFSINFQDEEDPVAKKIQETLKSRNKMLQVKKIFLRGNFQRGLFKILQFLDPTSLKTIATFMSEGDEIQQFDDNCMQLEQWRSAEEFTSNIMIESSACFSKLLNFREVNIWANEIRLEDVLLLRKPSLSNPFFECFSFHTTVFPEFDEFLRLLGVPPTDQTNSRFMAKYFRIPNSSDVLLIRFARNLCSFSRCAEAERYAGWELIEDIGTVEFFQR >CRE04350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:45533:46610:1 gene:WBGene00071797 transcript:CRE04350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04350 MSLLHLPDELLLDISDRLDFRGISNFRKVNRHLRSLLDTYPPTHNFSFIGMETYQDGVEVNYSSQNERSYHHLYKTKNAKINRNRHKEIIGEDFVKMFSQDFKLALSYQKSPLPTLILHAEKQTSGVEEIATILKSRSKPLPVKNLELIVNAQSEALKILPFVDPDVLEAIQLFQLEQGQNKPHVPLKPEEFEHLTPWKSAAVLFSDFWIQDTSSLHHFLHFETVIVRVEKVYLKDLFMLRDILLNKTHSLIDISFNYSEFPGLDSIGQMLNVDVCAALPEHAYYKIPNSNDYLVVSVNFLMIRFERVDSEYVQYEEDSRADFFVV >CRE04367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:47564:48939:-1 gene:WBGene00071798 transcript:CRE04367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04367 MSLLKLPDDLLLEISGNLNLRGISNFRKVNPQLRSLLTALPPTKNFSSITVAIEQEVMTSIFESKGAHHEAVAYIKRDDGCQVNGKLFPGQDFVDFYIRDLEINLRYQKGVLDDFIVIQEDGANDSAGKVSNILESRVRPLATRSLLMQLTNSNQVSQFLSHLDVSSLERIHINGKERYIPFRFEEFEHLEQWRAAKSFYSTVYHENSQVFSHLLHFKKAEISVDNLFMRDLLAVRDAILSSRLTEFTVHYVNFAEEEEFKDLLEVETDQWPRVHFKVRRSFSMTRMSICLTPFQ >CRE04368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:49852:50849:-1 gene:WBGene00071799 transcript:CRE04368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04368 MELLDLPNDMLSEISEKLDLRGVSNFRKVHPRLRQLKPITNNFLEISIIKEDNSISVNFESDFERQGILYKKTEDGCLVNQKTLVGQDFLEIALQDFAINIRYQKGKLTEFVVEDDDNNEVAEKVSNILKSRVKPLATKNFVLRVPNSNEVSNFLPYLDATSLKDICLNCNERDIPLRFEEFEHLEQWKNAEHFYCTVYLGDSGVLARLHHLDTVDVHVHNLYMKDLLPLRDVILNDASKFSIFTVNYTNFAEEEEFKNLVKTEKDKWPYVCFTCREKVETTEPSPQKFQAVSLQQDLL >CRE04352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:54487:55345:1 gene:WBGene00071800 transcript:CRE04352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04352 MRRVCKQMKEVVDNQVIMYKYISISLGANSLCVKLNDKKRVEYQKDTDNSCVIWRPGQPDIKKRAGYVETALKDMSYSLRFLNIRTQKLIIDLEKDAPLGYLHRLAEYFPKRFFAVRIAISICKKTDCPKILELMEPGFLEEIFIEHQTRVCVSNWADSIYELEQWKQATRADTSDYIKLDCKQLKHYYHLKHFEVILNDFTMEQAVIIRDTLASLPQFETCQIFVPGLNASSLKTVWALEEHSGTYRNAIVDFSHYSFSISRKN >CRE22154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:64534:69955:-1 gene:WBGene00071802 transcript:CRE22154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22154 MSSDSSTPQQTTSKSKSKEDDRLLTLKPSTSEAEATIYNQRMFWNLEEVMTYIGARYPYYRTTVLPICIVYFFIYFASRVILETKKMSLNEVAENNGTHEFFYSKCLPNNEDDSLKVAEKADFLHVEIPKGELTIVMNALFMFATTTISPRIMVLVCIGMNSLCYFIMAHFPTQLQMMIYLSQIFTEIYRIVATVTIAESVPKFHRVPALVLIELVRTASRSLSTVFVRLPSEVSLDMTYSLEIFGFLMIFMGFLAYYTFHDSLFSLLARSKTDQMQDRLTHIFDKSDILLAPDTVIDQIAFENFENNTSPIEILTKTLKTFKLIQEVLVCGLISGASLAVNSFAEAEINRHAEVMFFEKTLIPGPTYLISSLLLIMMSFLMPKKRILPVIIVIPVLFLLASAIFMIPAYFKELDKCSQHWVVSSSAFPLFLSVGILTSALTDVIRFLVKLHLLEVMPVLIRVPIISLLFFVQYSFDGNVRDLYATNSIGGETILVLITVLSMLVLLITPRKKNEMNVYFSEYTNNDKERQLPPAPKRID >CRE22153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:60924:62674:-1 gene:WBGene00071803 transcript:CRE22153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22153 MSLKDQLHNSAKNCKLIEQELKTMNEINQDLKDQLCTEKMEKCSILKQLNLVRSEREDSKRELLLVSMNAVAAISGNYTELAKRQKIMEKVESKLEKTEVNLSKMFPAPITYSAVSTNYRQMCSVRSVIDYMKVAAPNQSDLEDLGRKVMKNLSPSNPSFFSLSPKNTFLLRNKLHLTDGNLKEMKRFLKSVLDVDFLASRGDVDKFRKNLRIPEKYDFYTDIIEKEAGNGRKTMIPSARVIIRDIQEYLSARLTDLDRTNKLVFDENTGGDIVVTLCGDKGSDETKLCLSLQNVSYGNSPDNLILLGYYEGADNDKELKLKANRVFDQWNQMTTVTYTAKNGSLITRLLRKQVCGDLKFLSCLLGHQGQSSSSPCHICTMSWSQHGSGMRKLEDCDFSQCISYRTLRSIEKDAENGDNSIKKHSTPLCLVEPIEFLIPTVHIIMGIYTTYFEAYILGEANRMDLKNLKNSNISTNDSKSSLRDQKKHLRKISKIEKEIELQVATLSSSLDDAYAVSAALMTLIENPKKHLKQPMKLQGAAELPAQALSAISKK >CRE22152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:58259:58792:-1 gene:WBGene00071806 transcript:CRE22152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22152 MVFIRSWVWEPFRMDYHIGMGCLITVEYGNIWKFSVVAYRGNICWQLQLLASISVHSIPIILIMKTAAIIFLCLITLTIATDLQEAQGQFCSTCNKNWEEKVPKSWAEVTAYLNLACFQLHATLKPRCMALVNDFNIGTMFDTFRPQLIDFGNAVCDMYCN >CRE30259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig609:1663:2934:1 gene:WBGene00071808 transcript:CRE30259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30259 MSATPDHPKSFLIYVKMTQFVTQFSFFFTFLFCYILTFLTAFGVKRNYGSYKYLLTLFPIVGIFFATIELVLYPNVYSHNAGYVFYSTSRPFGMSQDTVTFCLSFYAGVYATTISMIAVQFLYRYWAIFDESKLRIFKGKNILIWVVYYIFFGAAWAFGIQYFDQIDDYAREYLNTELLERYNLDISEVSATTLVAYNSTGSIRWFNISSIIVLTCIMTIQYSVIIYCAVWMYVGMEDKLQMLSLSLKNLHKQFFKTLILQIVTPTITLFSPVSLILYLPLFDLECDLPTGMFLCAVTLYPAMDAIIVMYIVADYKKAAKRIARQFLEECQRLLGTVETEDVTIQTETKIHNTPAQINWT >CRE30260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig609:3502:4892:-1 gene:WBGene00071809 transcript:CRE30260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-33 description:CRE-STR-33 protein [Source:UniProtKB/TrEMBL;Acc:E3NMC2] MFVYLNRTLSMCSSVEKLIGSMFFGQLFISSTWVSTVYKKNLRALLSDIHYFYFTHLFHSVMTSLNLQNASRTIAEFAFISALICNSLLIYLTAKHTQNIVGVYKYMIIIFGVLGLLFSCTEMLARPFIHNYNVSFIYFSLSVDISTWRYLVEALLVAYSGFYSSLISFVAVQFIYRYLVLVNTQILEILFHGWKSVVWVFYVTFFGVAWSALVYFCLYPNSYSKDYIREEFEKVYNISVDNSAIFILVAYNIDQSTNEYKLRWQSVTMIAGTVSILLIQYAIMIFCGTSMHLQMNEKLKNFSDVHQRLQKQFFKTLLLQIGVPTVLFHMPIFPVLLAPFFKLEFSYQTGIIYSLFCSYPPIDALIIMTVVSDYRSALTSKFQYVSKNLIMGLRTIRRKSSTSGNNNRENGSGNYN >CRE30261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig609:5854:8286:-1 gene:WBGene00071810 transcript:CRE30261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30261 MKLIAVLFVVLLILPSTSAFNYLVVSPQFGHSHSTFMGKVADSLSDAGHNVTILNILIASKFRGRPYTKSTKDIVYMETTEELDQISSLMESGDFSRYWTEEGSMLETIPSYQGFMKIFEKVYINVKQQTDVLDELKESRPKYDVILFESFMFMAKAIQEYLEIPVFIPVTSVTHDGRLAELCGEPASPSYLSGYFTNYGNIMNFQERLTNTISYFLGKIILEYPKWKTLHDPTKRLELDSVYHHAPYVFVNSNPYIDFPRPMLAKTIQVGGLTVDVKKLRSEKLDEKWNTTLEARPHSILVSFGSMFKSIYMPESYKLNFVKVMKSFKNVTFIWKYESEETGFSQGAENIVFNKWIPQTALLADSRLSAFLTHGGLGSVNELSYLGKPAILCPLFADQLRNAKMLARHNGAIEISKFDLADYKTLQSAIHRILFDKSITENALNLAKRLENQPMKPKELLVRHAEFAAEFGEQPGLDCNIRNMTFIEFYLLDVFGFLASIVLLVLLVIYFAAKKITGMLVKKLKRD >CRE13161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig660:2922:7618:-1 gene:WBGene00071811 transcript:CRE13161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-39 description:CRE-LGC-39 protein [Source:UniProtKB/TrEMBL;Acc:E3NML2] MRHVPFATLFIVIINVQLTFEQLNIRKIQTTDNTRRQQQRNRKSENFDQYSHLTDTGEVNDQLKYYTHSFEQKVREAVRDEEYMLKNLWYDSDYVIHDLCEPHKSGGQTGELIWSESGDRLLKVIGNGVVEDGYNMFMAPGQSQGKRTDVHVAVYIESMSSFKAQSMDFEVDMYLAMGWFDRRLAHNCTHPILVTSKLIAERMWYPDLYFVNSKYAYLQEVTTPNLMVIVYPDGLIFKTMRLDVTLSCMMDLKLFPLDYQECPLTIQSFAYIEQIVNLTWRDDPPNFPIGFNPDIKLNDMQITNKRFIKCAGPYPMFRGEARWSCIQGFIVMKRLVLFHIIQTYIPTGMLVSISWMSFWLDPRASPARISLTITSLLTLTTMSNGARQDLPQVSYIKALDIWLTFSQALIFLVLLEYSFVSYYMTKRTTNCSHRSLFYEERVQECKREEKARKSIVNNNKAATTNNTEGSDLNRNKKSQQFSLTHGISACLSESSALMSVTPVPGRLFTKFDTNKPCARCSEKNERIAVKIDEYSRWLFPTVFTIFCVSYWLYFTWRSSASEG >CRE13160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig660:1808:2349:1 gene:WBGene00071812 transcript:CRE13160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13160 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE12341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig417:17:2003:1 gene:WBGene00071814 transcript:CRE12341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12341 RYPGYAGLWAAGGDISEFETYKNLTSRDLSGRSEKNSEEQVGPIGEIQPDDFVPIDNSDPDADFEDAVVEPDEETKEPDEDAVEVPVEVPVEETVEETVEEARKTKKHDEDEDEEDEDDETDEETEAKIQDKEKDEEKKTKKQDEDDDDDKANALSDSESIAKNRPRRNRLPPQKYWVGERPIYKYEDGYGQLVGKSEVFCAASVKKAEKELEKMRNKIGRVKRNTNKYKVQKQMAIFVNDLKLSDFKNWLVEKGYKAEFLSGTLLINGGKCSIRRGEMGFSMEGALSKDDYKLLNSINSIVISLVIFPRNS >CRE12342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig417:4136:7125:1 gene:WBGene00071815 transcript:CRE12342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pitr-5 MLDIVLDVVTSTLAAAINVDDFRHHFLWALIVGICLAFLLGFGMGANDVSNAFGTSVGSGALTLIQAYLLATIFETLGAVLVGYNVIDTMRKGVVDVAVYNNSAGDFLIGQVAALGGTATWLLIATFLHLPVSTTHAVVGATLGYSIACKGFQGIQWMMVVNIVASWFISPIFSGCVSLSLYLFVDHVILRTSNPVANGLMWLPIFYFVCLTFNMFMISYQGSKVLHLSTVPLWIAILISLAAGIIAAGVCHFLIVPSIRKYIAKGKQADTRQSNASSVVISVTEDPEIDKVAIRSGSTTTCSSDSDSVQSPPAPPGKAKKFITWLLPDKERTESQDTLRMFTSVQTLTACFAGFAHGANDVCNAIAPLVALIAVYRDFDVYQKKETPLYVLLYGVLAICVGLWCLGHKVIRTVGTKMSEVNPASGFCIEFGAAVTALLASKLGLPISTTHCLVGAVVAVGSVKGGKSIDWGLFRNVAFSWVVTLPVAGGFAALYMWLLHFTIPAQYA >CRE12346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig417:9479:13157:-1 gene:WBGene00071816 transcript:CRE12346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12346 MVSGSNYLKPKTESKKPNDGMETHDGRADMTNFEMDLFDTRIEVPTDKSHGGGMHSPYYEEDDPTKKQRCFKCGPPARNRIIKPACVPISLVSLLIIALVFLPLFNEEDLSSPIKLTTTCTVDCRSFLVESIPTGVPFRTMNHTAEAWIDIIDNTKHIQDFLKLWKNKYENSVXXXXKRGVKIRIAQDGASNLSDNEESAYLMREGLAEVREINVTRLIGSGIIHTKFILSDISTLYIGSANMDWKSLSEVKELGVVVRECPCVASDLYKIFAAYWKLGENESSIPEKWPISYRTAFNFSSMAKMELDGEPAEFFISVRGEKCFRKVQEIFENFTNFSQK >CRE12347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig417:13718:15673:-1 gene:WBGene00071817 transcript:CRE12347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-attf-2 MSDETASISEVPVKDTETIKAAGDAAPETKESTIATESNGSSNHQNGDATKTKASTEEAKVEEPEKKADAPEATSDAPVEKAPVEEEKTAAVVTEAETKEEVPPVVEEKKTDVPEAAAAVVEEKKPEEAVVAEEKKKETAPVETKTETAATSEAPVAPEPEAKKEEAPVVEAEKKETSIAEKKEEAAAETEEKKETEASKTETEAVDESVAEDGEAETSAVAATPTKARRGRGRPPNPNSVAKAAVASEKKRTPKTKAAPNPESIAASRPRRSTSSRVDYANSDTSTVLAEVDDEIPGKLFKGRRGPRGKITKYVSDSDGAPSDDDDVDFGKKKKGGRGKAAAAPRGRPASSSRPTRAAANKRKTKDYSDESNEDEIEYDDKSDEDEVSPKKKARTGPKPPRGGVEAEDEDEEGEDEEMVGGEEVEA >CRE12343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig417:16233:17868:1 gene:WBGene00071818 transcript:CRE12343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12343 MAPLFPDFRKIRKRMKRSLNYGTKQPATLNYPLSQLIVDYFDKKCTFDYLEPNTSSMISKCGFADPCTLVVALVYLDRLRDQNREYFEATDPVSLYVPALVLASKYMHDTDTYDRVSNTEWAESLKMDPNELNKQEWELVKKLDWNVAVKNDEFEKYLEKMEKWVAGDFMEKNDFATYNELLQLVSFIFFSDVLYKFYNFQSSMIPILDIVKQLIEFISSTSLIYCLTLALMSTTLSTVSEPSTSTDVNVTAKDNNQTFSPVFLSPRTQSDDAIDEFAFEFNRETKWMNYEEDAEYEKENGTETGICPFQSARRAIDRFRLALSPYLSCYRQNFDIFIK >CRE14910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:383653:391180:-1 gene:WBGene00071819 transcript:CRE14910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14910 MDYKSYVGNYSDMDPVQPVTSQFNFSYVLIDDLKKVGSTKKACLYNNYVLITNRIFNMTNQTDVVEELSKIMGVGCITFTVVVVGNPDITTEMIYQQYNAQYSYVVPNFNCLDMLKNCIKPCGSEGSAKCNNDTIQACATPTTLEPTTTVLTTPTPTPSMDPDFMDDWQITYLFAITNRTTQDQFNRLVKYISDPLDDCAGKAMIYRFLARNNSNSGWITDVSKAASYLQALKYDEVLIGSDNRTLLPGNDNVTFDLVYEAVSASPTYNEDNMHIPKVVLLTDFVSELFVSQYNTSLLPLLEVYDFSIIAFTEEAYDGYKSKLAIKSLQITNDENYGDTKELKLCQKTGSNVTTIMFIIIGTCSGAMLILILLTVLYRQKYMWMRKLKKFKTSHVVDPDADEIFDYWELSWEKLVVKNEKLGHGAYGHVFKGKIIGVPPAIDKYNRAEALDFADCDCAVKMLPKYATESAKSEFRHEIELMKNLGFNEHLVNMLGCITVSAKSCLVLEYCCNRDLLRYVKNKKCDLEISRSVDDTIDSHKEFLNFAWQITQGMRFLVDKKIIHRDLAARNVLITEQCGMKSAKVSDFGLAISSEPNEEVTGSDRLPIKWLALESLEKAEFSHKSDVWSFGIVIFEMYSLGEVPFADIEPTELIGHLKSGARPKTPLLATDKIEEIMTVCWEEKPMKRPEFDELSAMLATQLEQTTEGYGYLELIRTKDYRVIAEVKKEEEAKKETEEPICIDDHPPYVTRNRSVTWRSKANGEAKLGREYSLTTHVCEDETTVPVPNGTPKLPPPANSNTPEPHEKDKKEKKKSYLNLPNILPSFNSINPFSKDNEFKKTFKKKKFYSRRGSVPY >CRE14875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:366622:383489:1 gene:WBGene00071820 transcript:CRE14875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-soap-1 MDETHSLLLNEEALEACPEQKRPVFIYEWLRYLDRILPITQREDLKNVQKELQRQLESRLYTVVGPPTRKLLTRCIARVYALTGDIASMNNLLNLCNDSLKSKDESPKTVQNKLADLSCLAALYDSMGRMAGRSIEDTLAISKNWMGTAVAHSQAHIMNTLTSMVKALGSGDSVTHKKIHSIAKSSLQDRTLCKTPHVKIASLECLTALVPFYTPLYVTELEASCTMCIKVLEGSTYELRCAVAKFMAQLLATSMKPPPGAVTKGKSNQMIPVRPASVTDTLNLLASGFLRGGIGGFLKTSSSTFATMGRSDIRIGVSICYVEMVREMGSVWLEKHLNAVCSHMVDLASKCGHLAYTQNASHIAEALIIRRCIAFILRQTIGSLLGENAQTLACKHLGVLLSQYVDLVSIGTGDNLDSSVDSSDYGSGYAVIVILQEISVLVRQIGSSVMSLFTEATGIMENIFKCLTHPLASARYSAAWCLRCIATAVPNLMTPLLDRCLPRLDQMSSSSRAISGFSMALAALLAASNDSSKLGIPYAKPLKVLDLAEEMLRTATQQPKLTIAKLESGWNLINSLIHLGPSVMKEHLPRVIKLWKAAFPRSSREAETENNRGDAFSWQCAMVAQAGALSVMEAVASQPELCSTGSALEAMKVPIECSLVMMSQVGNLIKSYGNEMRQANSVVRIRLYRLLLLLPHKSFEGSYVALLRELVADITLSDNSQSAMTTSLPMTQFTGVEKILISPLYNATDYSMVEDLLQTPISSVSIGNVEEDLSNLIRSSASQIGDTWPENDSESLTCLNTSLLTYGKVFPLVNNKHKLQITNHFFDTIQNATKNVARRQAIFVNVLTAKLLAYKTLCEQRGPKLENEELQKSSFRLISTSLSNSCPMTRLIGAEAMARLAQAVGSPQYVAETAQYCFGMLNSCKDETNRCGHVLALGCLHRHVGSLGSGQHLNTGVSVVLALAEENKMPKVQTSALVAMALIAETGSGMFRVFVETTLSSCLKLLIATPTFVVDVVQGISKCLTALITCVGPELSCPGVIDGVRTSLLAACAIQLSHSDPFVQAEAISGLQQMHLFAPRYVHMAQLVIDISSLLSSTHLVIRKQAVSCLRQLVQRESKEVRNHAQILVPQGIVETNKKKFALPETGLEGALFGMLDTETNKELRIHLQETLISLVQGTSGELLNNWLMLCKEILATSNDHGGLTRKKEEKKEKLEEDADDDDDEDGDDDTNLAGISSLMEEDKGKVQPRWPTKVFTMEIVNRLMSVCDTERAHLDMALAKELQITSGGKNDYLVLHLSDLVRMSFMAATSDNSLLRIAGLKSLEEVIIRFSSCPEPEFPGHMILEQFQAQVGAALRPAFTDDTPSNVTSVACQVCSTWIGSGVARDLNDLKRVHQLLVSSLNKLKHGSINVQLYSESAATLEKLSILKAWAEVYVTAIEQDRMKNENEEARDHYDYNGSGSLLSLVEPETNSLIAYWLATLNDAALLALPGHYSEQFLSRGGAFFNAHSAEACREYYQLCWPPILLACSTWLSKHNFELPSGIELSPETASVWRDDGNISRFYLLIGIAVESLSNKTRQIEDETIQMSVKSLTRLLSCEWCQMNLMSDTAAVIEILYVLHRSVLTRDCLTTQLQCIECVRSIIDAAQLSMRICASRDISNGNLENADSLRKIPNVLFAGEEGGNDGQIINKDGVKTISYAILELAVCAIFKQMPQINSAQLKTNSLAALHLRKVGRLPAESTHLVIKSMQILVQIPSLCSPQARITLLPVVMYLLIGFIRETARLDEGSIQADRAGHLSAIAAAAIQSIRNIVSQPPGDETENSWKTIMRNAFYSVLNMAEENDRIQLDKCIIMLAAVVFTTSAPVDVVLGRQESFSKLIVLLKRHLQSENVGVVMKTLQSLSSIFQRKGFGGVFVKYLGKEMMPVVKRYTLKVDNEDEKITESDLSVIQECMKVIEVLAINSRESKRIHVISLYVQLLVRFLRATCHSEWRKVGQIEKKLHEMAIGRLNSAALMWPAEFKKVVEWNQELKKRVESALLLQSTRHSHQMTMARTQEVKTTPVVQQPRIRLTMFGAENN >CRE14874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:361038:362661:1 gene:WBGene00071821 transcript:CRE14874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14874 MSDVLRNLREFRKEKEKIKLEKEEIKEEKIEKKVEKKGAEDGKEWDFLLKAEPVSEDYEDRQWISTPVAFVLYVIGQSLAIWTEFGCVFLIISLIFFTYWNTGRRRRGEMSAYSVFNQNCERLAGSMTAEHFERDMLRQRR >CRE14873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:358692:360883:1 gene:WBGene00071822 transcript:CRE14873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14873 MTANYDKTTASVYHNDFLMRQIIGNVEQFKDRNYLELTSRRLRELCLTTPITRRKTYAIRSEDKYFPSLFHLLGHPRRSWPRLTVSTCSDTPEEEKTEILAYTWKNLSENGELFFDGVRKRLLNQITEFEAFHRFSWKVLQNLQRFPKLNRIIVHNARGSLDESEADEELETTFLERIESLHLVLNRDFCVEIAKIKRLIGPNLTDFSCQINPIQSRNQWFIEEIMVEMAIQNVKLNTCRLHFPNSSFPRHLVVAICQFMAERTEIIQVSMGSDVIHTLIGTVPAIRIQFSTAEDETLKLLVDACPVMFQKAEIVKITDIFPENVVQIVEMMPKLYSVREMMIGCTYHRDRLHPIDQIIGSLPLSLRSLHLDNCKMTPSSIDYLIIRNGKSLENLKLTRNGSCNTSTNFLKILEGFPELRNLKVDMTIPHLMFKKIVEHRNLRKLEGVVKRAPPEQNMQILRQHFRHVILKKVDRHKQNLIISDRIS >CRE27959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1124:2161:2791:-1 gene:WBGene00071823 transcript:CRE27959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27959 MNENPLKLIDAFKVKSERNTWLKSSKNDGNVYALKRFEKTNEMLMNCAQLGDRRIEKAKKDSVGHRETILQMKTDLEFIFKKIRMFKTLLATKYPEIYKEVSTELTPKRGEDDE >CRE14872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:355727:357027:1 gene:WBGene00071824 transcript:CRE14872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14872 MAEVTGRNPLAIRTRVLEEFEKVQAQIATNPELWRKLSFEAHKELCTVLGVNFIDYPEFEFWFSRFARGDFDLNYDKSFDPKTRSLTDLPLEIFKKIGENLEILDRLQLRIVCKDIRFQVDNWDPKVTKIFYCKGNNWRVCQTSRPELYWMGNFEQNRNNIFHPGFNRDPISFVMSVLKLPKLRLEELTIYEDDNWKKLIEELDESNRKLHVKKVFYPNGYDSSKIDLHFMIPGVLEEITLSNQTGREIYEIIESEQCQAAKMMYIESTIATSSFPLQALYNCPRFTLKLGGRPADGLKSKFLKRLMEYGKVQTCVLYVSKYRPEQSQILKYFNEPEATVPNFPSLRRYPIPETNEFYELEYVVEVDHYRRREEFVRLEKKQ >CRE30181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:94385:106346:-1 gene:WBGene00071825 transcript:CRE30181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-myo-1 description:CRE-LET-75 protein [Source:UniProtKB/TrEMBL;Acc:E3NE23] MSLEYEKDPGWQYLKRSREQQLADQSRPYDSKKNVWVPDPEEGYIEGEIKGPGPKADTVIVTAGGKDVTLKKDLVQEMNPPKFEKTEDMSNLTFLNDASVLANLRSRYAAMLIYTYSGLFCVVINPYKRLPIYTDSVARMFMGKRRTEMPPHLFAVSDEAYRNMLQDHENQSMLITGESGAGKTENTKKVIAYFATVGASQKAPPKDGEKEVTLEDQIVQTNPVLEAFGNAKTVRNNNSSRFGKFIRIMFNKHGKLASCDIEHYLLEKSRVIRQAPGERCYHIFYQIFSEFKPKLREELLLNKPIGEYWFVAQAELMIDGKYGRFSYAVLALFGKSLSPLGLRFLSLSLRSLELGLRSSGLKPSQSRPTGTSSPHFPGIDDTEEFQLTDEAFDVLKFSETEKMDCYRLMSGHMHMGNMKFKQRPREEQAEPDGQEEAERACNMYGVDVDQFLKALVSPRVKVGTEWVSKGQNVEQVHWAIGAMAKGLYARVFNWLVKKCNLTLDQKGIDRDYFIGVLDIAGFEIFDFNSFEQLWINFVNEKLQQFFNHHMFVLEQEEYAREGIQWTFIDFGLDLQACIELIEKPLGIISMLDEECIVPKATDMTLAQKLTDQHLGKHPNFEKPKPPKGKQGDAHFAMRHYAGTVRYNVLNWLEKNKDPLNDTVVTVMKASKKNDLLVEIWQDYTTQEEAAVAAKSGGGRKGGKSGSFMTVSMMYRESLNKLMTMLHKTHPHFIRCIIPNEKKQSGMIDAGLVLNQLTCNGVLEGIRICRKGFPNRTLHPDFVHRYAILAAKEAKSSDDPKTAAGAILQSLINSKKLNDEQFRIGHTKVFFKAGVVAHIEDLRDEKLNQIITGFQSAIRWYTASADAGARRKQLNSYIILQRNIRSWCVLRTWDWFLLFGKLRPQLKCGKMAEEMAKMAEEQKILEAEAKKAEAARKIQEDAYTKLSAERSKLLEALELTQGGSAAVEEKLTRLNSARQEVEKSLNDANDRLSEHEEKNADLEKQRRKAQQEVENLKKSIEAVDGNLNKSLEEKAAKENQIRSLQDEMNSQDETIGKINKEKKLLEENNRQLVDDLQAEEAKQAQANRLRTKLEQTLDEMEEAVEREKRIRAETEKSKRKVEGELKGAQESIDELSAIKLEADASLKKKEADIHALGVRIEDEQALANRLTRQSKENAQRIIEIEDELEHERQSRSKADRARAELQRELDELNERLDEQNKQLEAQQDNNKKKDSEIIKFRRDLDEKNMANEDQMAMIRRKNNDQIQDLTNTLDALQKGKAKIEKEKGVLQKELDDINAQVDQETKSRVEQERLAKQYEIQVAELQQKVDEQSRQIGEFTSTKGRLSNDNSDLARQVEELEIHLATINRAKTAFSSQLVEAKKAAEDELHERQEFHAACKNLEHELDQCHELLEEQINGKDDIQRQLSRINSEISQWKARYEGEGLVGSEELEELKRKQMNRVMDLQEALSAAQNKVISLEKAKGKLLAETEDARSDVDRHLTVIASLEKKQRAFDKIVDDWKRKVDDIQKEIDATTRDSRNTSTEVFKLRSSMDNLSEQIETLRRENKIYSQEIRDINEQISQGGRTYQEVHKSVRRLEQEKDELQHALDEAEAALEAEESKVLRLQIEVQQIRSEIEKRIQEKEEEFENTRKNHQRALESIQASLETEAKSKAELARAKKKLETDINQLEIALDHANKANVDAQKNLKKLFDQVKELQGVVDDEQRRREEIRENYLAAEKRLAVALSESEDLAHRIDASEKHKKQLEIEQAELKSSNTELIGNNAALSAMKRKVENEVQIARNELDEYLNELKASEERARKAAADADRLAEEVRQEQEHAVHVDRQRKSLELNAKELQAKIDDAERAMIQFGAKALAKVEERVRSIEAELHSETRRHQEAVKGFTKQERRARELQFQVEEDKKAFDRLQENVEKLQQKIRVQKRQIEEAVRFSRGSSRAYLHISFEEVATQNLSKFRQIQLALENAEERAEVAENSLVRMRGQVVRSATAK >CRE30182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:110989:116023:-1 gene:WBGene00071826 transcript:CRE30182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-1 description:CRE-TWK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NE24] MSSHDEGVSNDKNFLNPDGIEPEKFEKFEKSEEITVEEEKCGLIRKNGHRITVDSEKKRTLPNWLDRPYHIWRDQFQQDKCCPKKRVKQEAISSFIITCLINSALLSFIIFGSHLFQLKNSASNEEPSYLDGLLFCITTLSTIGYGNLVPFTTQGKWICLGYCAVGIPLFFMTIARNTMLVVDACNVFHRSFSKKPDPNSDFRWTTSAILLALHCFIGALIFSYWIDELPFLDAFYFSFISITTIGYGDYSPTPDGVFQYLVVILYLCTGVATMLMFFAPLQRGIQWIHYYGRKMSDTEEAEIWYGGQMMTVKELVELVARKFGSTPEKLREVLHDLDKILEVAIQEAEEDDEEDDDQCQLTKNSAGTLSPPAPRKKTIFLSGSSQEGEDYQNTVHSFTSSNQRSIPKDTELAILALGTIQHHLRKPSVRAGSHHFKVQMPKPASDTTIEARMHATSLDLRKKAHRVNSDGRFLVQQEV >CRE30183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:123561:131271:-1 gene:WBGene00071827 transcript:CRE30183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tyr-3 description:CRE-TYR-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NE25] MRKILIFSLFLLYFIPDTTAQLDCSKAPTAAIRIMCNQIQRWDQKARATPSLSADVMTPGIAGKAMAAEFSPIASNVFQCMDIACLCVFFRGTGGSNCVVQGRPLGKVVRKEYRMLSEDERNRLHQALRTLKSNGEYDRLSRVHAQYAESGAAHSGPAFLPWHREFVKRMEFLIRQVDPSLHLPYWDSTLDQNLPDSKDSILWTNEFMGDPTGSVTNGPFRSWRTIENKATITRAVAAQGKGYSEDEINTMMGQSDIAQVLAFSAPQRGCPYQPNFNVPEYTHGNPHIYVGGDMLETSTAANDPIFWMHHSFVDLLWEQYRQTKQTRATRETAYPADNKLCSSEHHFRAAFMRPFTPMRNADGLSNMYTDNLYTFAPRPSCNAGPTCGSPYLFCDKSHGAPRCAARLKPGGNCASFKNGEPACYEGSCQAGKCVAVSPTVTPPPTIQPTKPVVTVQTSCFNENECCGPWAAKGECRKNPVYMNVWCKASCNQCTPNYNINEECSDRHTNCAQWSRAGECNKNPLWMSENCRKSCQKCGRSRAVTCGGGGTDNIAVTQPPATQNTPCDSPMCYNEDQCCPIWAQRGQCQSNPTYMTCQCKVSCGVCRPNYVYGPCADYHTDCAAWARRGECGKNKWMPENCRRSCNTCVTLQQLAARCSRRIVRNSTFLELIRMK >CRE30170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:132675:133928:1 gene:WBGene00071828 transcript:CRE30170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30170 MAKLINMDSTPSAPSNICLFDTPPSQVAFRKGKWMMYTPTSVVDSKGPYTFNVFDSAHYFQLDRTYITFKLRLKNVQKAVPPADHPTISHVNFIGATFFDQVKLSFNNVMVYDSDHYAYKSYIQTLLGENSDTKEGFLMAAGWQEPGNDGARVMSETKDLDLCAPLLLEPFQTERLLIPNVNIQLTLYRNRDEFCLESATDTKAELEISDLKLYMRAIDVVSSAAIALETRLRTAPARYPFTVSKVKLVVVPEGRFELPFNTLYHDIIPRRIIIGILAPEISITKSSLSFNHHNVSEVQLDVGGTMYPSQPIQCDFANKNYAQAFARFYEKLGGVSNKNCPKISYKMYRSGYTFFVFDMSAVVSSNAWELVQSGSTQLVMRFSEKTPKGGLNIMALSQFDGMFEIDGFRNVNISRHH >CRE30173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:140971:141409:1 gene:WBGene00071829 transcript:CRE30173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30173 description:U1 small nuclear ribonucleoprotein C [Source:UniProtKB/TrEMBL;Acc:E3NE29] MPKYYCDYCDAFLTHDSLKGRKTHNEGRKHKDAVRGFYQKWLEAQAQKMVDQTAQAFQQNRMHGAIPRTTLGMPPPPLMMCPPMMMGGASMPPPIYGYPGPPMIAPSGQNSGALPPPHPHQSRFNPY >CRE30184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:141998:142671:-1 gene:WBGene00071830 transcript:CRE30184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30184 description:U1 small nuclear ribonucleoprotein C [Source:UniProtKB/TrEMBL;Acc:E3NE30] MEGTLPSRINSVFRLLYQWSGSATLVSGNFVCAYATRTQRVAVCGKGTPRAYPLISISFAPSSHPQTPPPILYLRHRLLSKMPKYYCDYCDAFLTHDSLKGRKTHNEGRKHKDAVRGFYQKWLESQAQKMVDQTAQAFQQNRMHGAIPRTTLGMPPPPLMMCPPMMMGGAPMPPPIYGYPAPPMIAPSGQNSGALPPSHPHQSRFNPY >CRE30175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:147154:148727:1 gene:WBGene00071831 transcript:CRE30175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30175 MSEPFRIGKLPGVVLRRVFFNMALFELINLSQCSNKTKSLINAWNIRHLELHVNLCYDYFVRVSEFVTSNRYLTKSQQFQCNTANPVTQYLDTELSVERVLTLIERINSVFKAEIKSLKITPNGLENQMRMIIDRILNIQKAIDSCEILGNDTSINTHHLILRSFKFREIIDRIHEYQEQFSMRGIPCEILSIEHSNWFQISQLLESRVCTKIQLKRSSFESGDFNEFLREWVVGALPNLLFLSIESNYKRDMDVVMEGLEVAPDTTEFQGRPHECFSGTEQHIINGQYIRRVDGTVATFHFRETPILALSNYFIFEFALLRVDN >CRE30185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:149337:155018:-1 gene:WBGene00071832 transcript:CRE30185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-15 description:CRE-ZTF-15 protein [Source:UniProtKB/TrEMBL;Acc:E3NE33] MLTCGFCEKTFSTRTHMYLHLSQEYKLHHCTACNISFSSNWHKERHNCLDAMAPTKKSIIDKFSIDNPAGEVKEEIDDDEAYGNTVGAALKKGFSCDLCGKQYYHEHWSIKHREKCARKVQRQTLDAKVQEFKCSICLRQYNTQYWFDCHKKKCSTTPTSTVILPYDTKVRCDLCGKMFQHARSLEFHLRHCRAKQKRNEAAHATRHATHHATHHYDMPILEDNSMASTSSIIKKRRIREDSDDVVPPIVAMFGEEAELPGGAESCEEAEPQEDTDDEEYEGTNPFTVPMKCSICSHICTGVSHLLTHRKNHHGTESMLQCGRCQAHFNSISSIRRHMNQEYSLYICQKCGRNCKDSSDRRDHLCPPGRRLATLKTVSRRRLQSVMSTVTTASSSARKCVKCPTCFESFATSTIMFNHRMTCDPRRVSDEKRAELNTVEEDSSTRESSEEPEDDEETQILKCPDCPSQFNFLCDIVRHRHESHGKERFECLFCPRHFAILRTLQDHHNLETKRWTCHRCDRPFSRRYQSEYHEAYCGVQRQDGPRKFPIYN >CRE20094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig549:2839:9051:-1 gene:WBGene00071833 transcript:CRE20094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bub-1 description:CRE-BUB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NLY9] MSDFDHAFAQLQHNPTPEQLEVFTSQVETLSKMLKFDQMKRKLQDCLSSILREQRFDGIRLSEHMLRLYKLLGSYSRSLGGRGVYEQLYRKAHFNESLKFYLQWAEQCAKERVLEPFKEVLQKARDSLSVTMSMSSIESGFRDLVDEFFDGKQSDLFFSPDDTMDLFRDHSVLKPGRRNKRRSSVCFLRSVAPINNDKEPLFGRGTKTLIRSEFVDTPAVYGVSIEEFRLANYKDTFGEDVEHQQRRDSGIVHMKPVSDEARKARDVVDARMASNLNSRRRPLPALVEGNADEEEEKRSRFNSPVIPTRDAHRPPLRAKSEVQKTSETITLSSDTKSVKENSYNELDDSVENNEKLRVMAAGRENTRPDRSSNYSSTTSSIRTAKSGGGLDLQAENNCLEAHAMFSETLHLGATEKTMPAATATQIYTDFSVLCDPDPTMTLDRPPPPGKKTSGGLNVVFDEQAPAPDEQVEEEQVEEEEKEVTKISELKPMNREKMITKLKEENDESRSTLQTPPTFSSSSLDEDDVFSDELVHGFGRKTRGGIVTSTPAAGIPFIDIDSYFGNKSDENARQVEEPAQPTFAVPQGSAFNKMLRRKSQAPPALAPAPPPAPVLKAEPNSSIDCLSDNLGRRLSIGADEMKALDDTAEMTGCIQRRRSEIIRKGDINPWDESLRRKLMATVRSPVNMHEFQERAAKIQANRDYEVGGETLHIQTVIGQGGYAKVYKAVNEERKTVAVKFEVPSCSWEVYICDQMRNRLIKEGDERRVKMADWCIMQVMDAYVFSTASLLVNEYHEYGNLLECMNHMKDPNWHISAFLVAQIARILKEVHECKIIHGDVKPDNFMITRKIDSNWDREALMSSDTFVIKLIDWGRAIDMMQLKDQKFKGRAGTDGFDSPEMIDGRSWTYQADFYGFAVSMAVLCSPKYPKLTGSIGSYSLSCDIKRRNVLRDTVNEIATKLVNIPSCDELPDWNEIIDKFSDFWEENFDASAWRQAVAKFNDACDIAAANNK >CRE24265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:39230:40054:-1 gene:WBGene00071834 transcript:CRE24265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24265 MISYWFTILFPLSIYGQWGTPPPVVTNEQCQAEFDKIVGCFRLPVQFSQIDDIPFLDQAKDQEFVQEITHVLDCSGFLNCNSSRILQSYLFNQRWITDHYYDKLSHCLTPEGFYKIRTGCNKVSDRDCNGLISNFKCLSTNLKQQPNCEPKDVQPFRRWIFAYRAKCLMEHQLLLEMENYKIKTERPKH >CRE24266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:40269:45295:-1 gene:WBGene00071835 transcript:CRE24266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24266 MYLPRIPETIEISPNVLTFTNSENSQAEFKLRNNSDFYISFLSIPYINPQLKVIINPGNLETIRIPKPPNKSLSKVTVGWISVDYHSFPLVFPIRIVEQPQELESLECKICVRQYNDTDRIPRVIPVCGHTLCEDCAKNIIRGNTMKCPIDRRDVNVEGGASSLPRNFAILETIEERNTFLNIPMGPIDSEQIYPRIPCAENFRHESTSRCVICKANYCEPCFNKNHQGRVLSAHETIDITFPKCTNCPDKFAEMVCTCINYIFTDIVDVASEIVASETDRATQDTLEPADELPIRPSNLLVTSETIQSEETLPIDSLTPESVRFSMIDVAEVLDEVLSNLEVSNEDAPESSQRTIDSPVPESVRSSPIFPASETYDEKPVVETPILLSSSYHADSTIAEEVVEEQSPFAHPEELSQKTITDVTDVVPESSHRPIDSPAPEPVYPSMILSEPDSHPEEQPIEIPILSSCKLANSDARSIMTEEASEPEEQSPGEHSGEPSESIAPETGDSPPTQGVRSHIDHFNNIQQQTIGNKNYLKTKIAASLSAQDCTDSTPTTMVLVAQCSITAGFSENVDSAAETETCESPIDSATQEMVFESEDVDDMLSNLAPVSESRQFDRDDRSSQSSHVTDVVLESTDCPINSPTSESVRPSMISTDSETHDEEPVVETPILLFSSSDADSTMTEEVIDEQSTDKNSGEPSGLVPQETDDSSPNQGVRSRIEHLNKIEQQPIGNKNYIKTKIAASLPAQDCTDSTQTTIVLVAQCSETADFSENVDSAAEAESFESPIDTATEESVCSGAEVMDNVSEPDGGTSRSRHDVVPESSHCLNDSPAPESVRSSMIFTNSDHDEQPVEIPILSSLSSSSSGADSAIAEEVVEEQSPREHSEESSQGTTDSSPTQGVRSLIEQLNKIKQEPIGNKNYIKTKIAASLPAQDCTDNESAATNSIKTPTNDVSENGDSNRVTETESGDLETDGSVVSTSSDNSEGHHIANQLLDEPNFSPSENISKQMGTFSSSTPSEMVQEPALESNILISTQQPGADQSEALNSTPLANADTEENFKFENLLAGFKKRTQSDNGTTLNVPRPVMRAKFLVNADLAKTQNTSDSKTPDVDVNHDISETSTSSRSPQPAPQTKLVPEKSPSTVPPVLPVSSDEQTKLVSTRVAMFNNFE >CRE24267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:47570:50624:-1 gene:WBGene00071836 transcript:CRE24267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24267 MYLPRIPETIEITPKVLTFSNSKDSRAEFKLRNNSDFHISFLSKPSIIPPLKVTIDSGNLKTFEIPKPPDTSSSKVTVGWISVDYHSFPLVFPIRIVEQPQELESLECKICVRQYNETDRIPRIIPVCGHTICEDCARNIIRGNTLKCPIDRKDVNVEGGASSLPRNFAILETIEERNTLLNVPMGPIDSEPTYPRIPCAENFRHESTFRCVICEANYCESCFNNNHQGRVLSAHETIDITFPKCTNCPDKFAEIVCIQADCSSDHSPICLHCYGESHKKHRYETIRKNLEQNQIVLDNILGALAQKLRELEMIPHNLSVEEQEDLEYLKNMRTFGFQMKQCDYKKHKTGKSFAWWFQDATKLCFKKYGHDVVDIASKNVASETEDTPVPAGRASLTRPSNLEIAPETIHSEETLPIDSPTPESVRSSLIFGTDVLDEVLSNLPPDCKAWKIDGSEDTPEISQRTIDSPIPESVRSSMIFPASETHDEKPIVETPILLSSSSVASSTTTEEAIEPEEQPSGEHSGEPSGLVPQETNDSSPNQGVRSLIEQLNKNKQKPIGNKNYIKTKIAASLPSQNCGQSTINSIETVASQDFPENGDSHLVTETEHGEFGTPISKSSDNLSDRHIANQLFDEPGFSSSENLSTSPGMVQKPSPESLKLLTTRQPAAVETNETGIQNQSEALNSTPLADADTEENFKFKNLFARFEKATQSDNGTILNVPRPVMRAKLLINADLAKPQDTSNSKSPDFDVNPDISKTSTSSPPPQPAPRTKLIQTKLVSTRVAMFNNFDRC >CRE24268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:53068:54256:-1 gene:WBGene00071837 transcript:CRE24268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24268 MFKSLVFVSFLAVALASPIDSGYVSTIEEMFNPENESAICKEESEKFKKCFEVLKEEAQQYSDEPRFPTREEINQSIVEIRQAVECAGEVTCKKLKLTVYIMETAAYAMEQIFGEGYSCLDEQNLKAGLVFCFQSEARMEEVQAGGIEALASKMKPIAKCITGWQDCETKEKNAFYKGAMALADFAEVAMKVAHGVQTEQLGYIQTFDKKFNRADFDKLEL >CRE24255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:56493:57302:1 gene:WBGene00071838 transcript:CRE24255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24255 MMFNCSISISTLFVILLAHVAARKVSNLGKCSQEKVMLAECLKPHQIYISSTLSNVTGEEILDRIFMIEFFNFTKKVATCIGPNIACDRTRHYKYFLDALTFVGESLYDPTVFGCLQEIEPHLHSCFGYFYNYKEVMILTKLSFSTQGVIRCLSDSLQSHNSLCGKRATEKIKCAVIALRLIAKNYHNWNKGKMKVAVFNPKKISPKPYKDIICGL >CRE24258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:72012:73279:1 gene:WBGene00071839 transcript:CRE24258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24258 MFEKYQVDSNDAPLFVLLAYEERENNTKVVRFRSLICILADMGNMTLQNAIMLTCAFLMYKTITNDLKKTTLTAHSQVHKQFFKALLYQLAAPSLVVHLPAIPLFFTPFFDLKFSFRTRVVIYFFSVYPLLDTLILFIVVSEYKHAVWKIIANRAAQVVSVLNVPSVAPSTPRSNTAHNTRVAESIL >CRE24269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:74502:76183:-1 gene:WBGene00071840 transcript:CRE24269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24269 MFPILIFLSTLFIMSASTLKCYEGSRGMVNGEDSSNFVQNLCDDNMTYCFESYNSNLTEVTASCQNMGTDQKLLEVCKMDGKCKERTDIDVTVCCCTSDLCNLQDDLKPTPLIPEVDGSSESEQNNSTEISTTEILNKSVELNETLGLELELNGTRGGL >CRE23078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:28657:29686:-1 gene:WBGene00071841 transcript:CRE23078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23078 MAEVLAKDRHALKRCFLLGCLPRLSAMDTYRNIRETIEEDYDLHDKSHSGRPLLDIDDDITDALEDEPRSSVREVSSHAGPSFATIFRHQKESGRTAKYGQVISHELTDSQLKLNCDLSQSLFSRKRSFDWILDIVTGNEKWGLYVYHTEVEILPHPSYSPNLAPTDYHLFRSLQNSFAGQKFDDRMQVKSDLDRFFSSQPPEFYAAGIAELPQCWQDVISTHGPYITY >CRE24260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:91684:92278:1 gene:WBGene00071842 transcript:CRE24260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24260 MGAGVSGEPVYLTRSTMLISHTPMSHPPAGTQCTFRRQSTVITAPGSSGNGVSGSGISSQLAFPIQLYPNNVYNNHGYTAHVSGFEAHPTPPSSSHHHHHPNPPPPVPNRPSAPSEETPPPYSSIYPSLANRVN >CRE24261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:95565:96540:1 gene:WBGene00071843 transcript:CRE24261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24261 MSTTNPSRKRKRNCENRQVYVGNLPFDATEQELHDVFSVMGPIKNIWLAKRPPGFAFVTFKRTVHAYDAVKYLNGKKICNLEAKVEMCEVDFQEDLKRRTEENKKKLINQVN >CRE24262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:99236:100150:1 gene:WBGene00071844 transcript:CRE24262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24262 MSTTNPSRKRKRNCENRQVYVGNLPFDATEEELHDVFSVMGPIKNIWIAKRPPGFAFVTFKRTVHAYDAVKYLNGKKICNLEAKVEMCEVDFQEDLKRRTEENKKKLIDSNVQGTN >CRE21652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig992:2124:11285:-1 gene:WBGene00071845 transcript:CRE21652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21652 MTEEEDPYALSSSESEDEGPKKPIKIEGRSGDLKQLKSALFENKGTVKDEAREEELAALKQGNNELKKMKKDFETGAVHNIENEDEDAKIARLEERQKLTEIGQEKFSKFKTKFENIDSTMEEDLEQKLKRMQKEQIGGLGKDTLASAKERFEKGESDIIVEKTAVDIERSADLSKMKAAFQEVKQEEKKNCCICDKVVYPVEKVVANKNLYHVQCFKCCKCAKKLTPTNFNSHEGKLLCKVHMLEVFHPEVAKTMDPANTEEDEHAASDEEEFAVSSKPKQLQGVVKCKLLSLALNFPHLPISPIGNRKLLSFPFISKFGSFHRKMMFRKCCNHLV >CRE23170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:89088:90890:-1 gene:WBGene00071847 transcript:CRE23170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23170 MGKEFDNEHFKHLTKLLKIEHVMTKGYNSRANGAVERFNKTLMHIMSKKAAVPIEWDDQIAFAVYAYNSVAHSTTGKSPMFLMSGRDSKGPLDLAGEDAVGMSYANLDEYKHLMASELAKAHALVREHAMQEQEQYKYLFDKKHNTEKRRYPVPGSRVLVEIPSEKLGARCPKLVNKWKGPYRVIACSETSATVVPVLGKGKEVLKIPFDHLRVIPAEMEDVPIETVKSRAKMRVDSVHYENMQNTQVNLITFSDIYGCRCPTSCVFYPKDAMSARTTSPTQLQRMIALTKEAPDLLLRDNARELLLATQTPIPGLNSTPDAETFLALSNCPTVALVVKDLRGWEHEYLKFRHSLLEQFLGSGFERKETIQVILAPGVTREQFPLQTMHITALTTESILEDDVHEQCEKHSTSIVVVVVPVSKKDTDLDTWRSIVNAIPTKSVVYVIPTHMSDFDHSVMAVFINLFDRIKRDHGEIVHVSPEEIVEDKQHRMLYLASEIMKAPEYWLAVKEMLEKKNRPWPQFQLASITKSNENTPQKTVSTELQPSSSAAPTATRTEGWDRGWPPMRGRGNGRGSHRGGSRQHNNGHHPYRRDGTSHRN >CRE23169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:84895:86097:-1 gene:WBGene00071848 transcript:CRE23169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23169 MADLFRKPKRKIQKRKIDTEEEDIYEEESSRVSDIRDLQRSRERKNGLTELECAVGITKAAALEDGIQMTGGGMMMTAKKKAAMEAASIEHGLRDQFEKETMLRDEHEELRKYIDDGLTHYTKDNSSNSTQKTEKEPKIQSTSYKFSSLNADDRDVELLKEAAAKVRANQGKKETELLSEHMLAGIPEVDLGISTRITNILETEKKKRFLLQKSAAEAAGLPAPLEESGESKPKHRRRFNNQRRPF >CRE23168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:82489:84265:-1 gene:WBGene00071849 transcript:CRE23168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23168 MPSCTTPTFGVTSQLETQNAESPSRSSILTPTSLEDETSPRKRFPLIETNISASDRWPPAPRRDGWSSVRAPPPARLSLHTNHRSMLSPISSAYSQTPNSLLSPTMFHPKTRSIFSPTLPSTPMSYGKSSMDKSLFSPTTTEPVEAEATVEYLAELVKEKKHLTLFPHVFVNVERLLDDDVRVALFQTEFPRVDWPEPAGDMVSITEKIYVPNNDYPDYNFVGRILGPRGMTAKQLEQDTGCKIMVRGKESMRDKSKESAHRGKANWEHLEDDLHVLVHCEDTKNRVHLKLHTALEQVKKLLVPAPKGTDELKGKQLMELAIINGTYRPMKSPNPARMMTAVPLLSPTPIRSPGPVMLSPTGTGVPTSTFGGSILFSSFQSPTLAGSNGMLGSNIFDYNLPSMFDSFSSLQLTSDLAFPKYPTTTSFVNSFPGLFTSASSTNSQNTLNNTQNMSPIPSGDSQSASSVNNTSF >CRE23166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:78948:79280:-1 gene:WBGene00071850 transcript:CRE23166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23166 MASGSGRGRGRGLGSNNSGGKTQDYYGTIQPDLFVRQPGEPKVGSSGRPQRCFANFIPIEMEKADYSIYQFHVEFHPQVDSKHMRELHENVTEEIGRFHVLDGMILYLTE >CRE06939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:213884:215198:1 gene:WBGene00071851 transcript:CRE06939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06939 MLNNLGTRLFKSMTDKAGDLGDKFEEEFSRLSFNITTEVDSIVTEAISLSSYIKVALVILSILLVLLIIPRDWIHSMEEKPAPQVILLMPTEDGKYRKSSKMYTDEQTRQMLDKLRDDSIELFNNETKRSNSIESRRNRPPRLSERAAYKNWKVPEEPV >CRE23159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:71991:74163:1 gene:WBGene00071852 transcript:CRE23159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mce-1 description:CRE-MCE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NHU0] MASIRSVFALKNSAKLALSARSMSSHPLAGLLGKLNHVAIATPDLKKSSDFYKNLGAKVSEAVPQPEHGVYTVFVELPNSKIELLHPFGEKSPIQAFLNKNKDGGMHHICIEVRDIQEAVSAVRSKGIRTLGEKTKIGAHGKHVMFLHPKDCGGVLIELEQE >CRE23164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:67448:70361:-1 gene:WBGene00071853 transcript:CRE23164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23164 MGTKLSVSLEGANSPEVAPRVDRPPTFDPQYGFERPRKVREMKATWEEMEQWKLKPAQRDYCAHHLISLMKCQTQNAPFAGHACDGERGAWDKCEYEDHISRIKEFERERRLLQRQARKEAVSA >CRE23163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:58788:67336:-1 gene:WBGene00071854 transcript:CRE23163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23163 MSIDIQKIVQATLVGKPEHDEQTFIDCLKLVGSNRSPKEKELGFALLSRMVIKTSPQSLRLVQTRLANRFAQISDHFQFSGALFVREVLVRNPTAGDLHSVTVFKIILNSIDAGVQSMDPTVRSLAAELFGLRVSNQNLSLLLNTLDVLLTTKTKIKNVSNDVLQLSTLGVTSHRTSLTCLLFEVLAVSLGYAPRVDKSNVDKRLSVDRKDIIRVIEMGIRSQSTIRSAAFTALRSLCLNAKYSLIPMIGRIVSTLISELETPDVDLMKTLAFIAKTYGPITSTIHKHFYVIFTALKRPMHELDYGSHVADLLSTIIESSAGLIKPEVFVTVQKAVCEVNRMEMTVLKWKMRFSSIFEAEISAIMHPDESMYLQLLAAFLALNNEFVPSPLQIARHVVARTNSRCHHSHRLKALSDVTSRPRTTDLANVKTVKKTLILQKEETMIEVDSEEAPESPESPEDVDVEDVMEPEDVSPPPTPEVSRKKKSSESSTPVVVKKKKKRDVVVATNLLEGEASVDDILNLFDMS >CRE23158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:45609:58330:1 gene:WBGene00071855 transcript:CRE23158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23158 MHRSIGFIRLLTTKPPTTRGAEVFLANRCSCVTIRCSSSSSSGGGGNDNDGSGNNNNNNNNNNNGEGGGGDLTNAPPNKAIQSFGQCRHCSKPLKPLPTLTPSNRYIHCDSCNKLYFANYFEDSAKNMFSKQFIRKTPPYPTQIAEYLDKFVVGQKKAKKTLAVGVYQHYRRLEHNIESAENMSFMLENLFKKLEFLTGATSIYQTTPHKEGGGASMMGGGASDSSSKMPRGVFYQDEMRLGQMATGELRNSLMQQQNNQPPSTHHHQQHLHQQQQQTARLGSSSSPPSFRSLPEKEPTVRLEKSNILLVGPSGVGKTFLTQTLARVLDVPIALCDCTSMTQAGYVGEDVESVIQKLVQAAGGNVEKAQQGIVFLDEVDKIAAAHEGHSAAYRDVSGEGVQHALLKLVEGTVVNVKSGKKGMGSQQDQVQIDTSDILFIASGAFSNLDKIVGRRLDKKALGFGTSSGNVRISGDDSNSEVMRKRDELLGKADQGDLISFGMVPELVGRFPVLVPFHSFDKQMLVRVMTEPQNSLLAQLKLQFGIDNVDLSFSAEALEQVAQLALERKTGARALRSILEAALLEAKFTVPGSDIESVHVSREAILGEREVEYSRRNADHQQNVEEEDVSAAPVKKSAHA >CRE23162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:33535:40436:-1 gene:WBGene00071857 transcript:CRE23162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mans-1 description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:E3NHT6] MGLRSHEQLVVCVGVMFLLTVCITAFFFLPSGGTDLYFREENSVHVRDIYVREELRRKEQDALRRKAEEANPIPIPKPEIGAADDTEGRRIFVKQMIKFAWDGYRKYAWGENELRPNSLSGHSSSIFGYGKTGATIIDAIDTLFIVGLKEEYKEAREWIAQFNFKESAKGDLSVFETNIRFTGGLLSAYALTGDKMFLEKAEDVATLLLPAFDTPSGIPYSLIDLQTGRAKTYSWASGKAILSEYGSIQLEFDYLSNLTGNPVFAQKADKIRDVLTEMEKPEGLYPIYLSMDNPPRWGQHLFSMGAMADSWYEYLLKQWISTGKRDKRTKREYEEAIFAMEKRMLFKSEQSNLWYFAKMNGNRVEHSFEHLACFSGGMVVLHAMNEENSTISDHYLTLGKEIGHTCHESYARSATGIGPESFQFTSSIEAKTERRQDSYYILRPEVVETWFYLWRATKDEKYRQWAWDHVQNLEKYAKGTAGYSGIRNVYDERPEQDDVQQSFLFAELFKYLFLIFSEDDVLPLDQWVFNTEAHPFRIRH >CRE23157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:26657:32912:1 gene:WBGene00071858 transcript:CRE23157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23157 description:Glycerol-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3NHT5] MSWVRFTKTGVAVVATSAAAMLALDMTNEKRFQRACKDHFRTVHADRLAELNKRAPSALPTRKDILTSLNKGDEFDVLIIGGGATGAGVALDAQTRGLKTALVELDDFSSGTSSRSTKLIHGGVRYLQAAIMKLDLEQYRMVKEALFERHNLLEIAPHLSSPLPIMLPIYKLWQVPYYWSGIKAYDFVSGKRVLKNSFFISKSQALERFPMLKNESLKGALIYYDGQHNDARMNLAIILTAIRHGAACANHVRVERLNKDETGKVTGAHVRDMVTGAEWDIKAKAVINATGPFTDSIRLMGDPETARPICAPSSGVHITLPGYYSPSNTGLLDPDTSDGRVIFFLPWERMTIAGTTDAPSEVTLSPQPTDHDIEFILQEIRGYLSKDVSVRRGDVMSAWSGLRPLVRDPNKKDTKSLARNHIIEVGKSGLITIAGGKWTTYRHMAEETVDKVVEVHGLQTVSGCVTPGLLLEGAHDWNALQYIHLVQDYGMEVDVAQHLSSTYGDRAFVVARMCKMTGKRWPIVGQRLHPEFPYLDAEVRYAVKEYACTAIDVIARRMRLAFLNTYAAHEVLPDVVRVMGEELGWSSAEQRAQLEKARTFIDLEMGQMAKQTAVSNAPLNLTKEEMQRAKERFHQLDKDRKGHITVNDLRKHFREHNQKIDERVLHELLNEVDLNKNGEIEIAEFFQLYSGLKGGQLTSNRLVGYLDEIHGTPSVNRACGGI >CRE23156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:13482:21077:1 gene:WBGene00071859 transcript:CRE23156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23156 MQSLEIKQTVGLIACCECGVAIEPNPANMCSGCLRSRVDITEGIQRSCTIYMCKFCDRYFVPPSAWMRAELESKELLSICLKKLKPMLTKVRLTDACFVWTEAHSKRIKVKITIQKEVFTNTILQQAVVVEFTVHSQLCDDCRRAEAKDFWRACVQVRQRAEFKKTLFYLEQLLLKHSAHKECTGVKPVPTGIDFYFAKQQEARKFVDFLMTVLPCKYHYAQELVSHDTKNNTYDYKHTFCVEIVPICRDNIVCLPKKQAQQYGNMSQIVLCLRVSNVITLIDPNNLQLVDVQATNFWREPFDSLCGPKQLTEFYVLDVESIDNFERKAGHGYISKKHELADVWLVRSDQVGMSDAQSLSARTHLGHLLSPGDLVMAFDMKNCNVNNATFDAMSVDNVPDAIIVRKVFDRSRRVAKRQWKLKRLVVDGNIVGNETASVADEFQGFMEDIEEDALMREKINIYKDAEKAVAIDGDDDDAHPEAPSLAEMLDDLKFDDDEMKEETADADEGAEPMQEE >CRE23161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:272:7258:-1 gene:WBGene00071860 transcript:CRE23161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wdr-23 description:CRE-WDR-23 protein [Source:UniProtKB/TrEMBL;Acc:E3NHT3] MRKNVFFTRRNDSDTDFSDDDEEGGSTTSSGGCPQMTPQEEQRMFEREQKVAFSGRCFIGDPESCQQLRRDINSRCRPSTSSWNNQANLLNRDVQNKHSVMTSTTRAHLLNTHLPNQKRRVDELRTKNFCAQYVQNGRKMVVSSQDEKIRFYQKCPEKSKYRSKYVQSNELRVDHCSWSILDTAVNQNGDLICYCTWKDAVYIGRMEQEDTQNIIWFPIDWNEDAGQKY >CRE19516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:68091:70898:1 gene:WBGene00071861 transcript:CRE19516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19516 MSDSFDEFPLGATNLTHAEAMALCQNPADCSFDEFPQNATKLSRTDALTLCTPQNASTDDFGPPSKRMKIAGPSDIGTPLMTSTPIKNSESTLRKLPNQHGAGRPRKETDNPFTYISPNHFPTPKELRFFTKSVRMSKENKLTRKNAAFYALDTIRIKFNALDDIKNPALLHKHVAKCIDIFIRKQIQAAGGDLETTPYWLQMQHEGFREEAGFFISHKTHSAVGGGEIINTLARQMQSNKNLGLDGSFSVAMNVFKDGARRKLVGRGVEKKGTRSTEKMRETILLHHFGERRTRVLGNSHCMVKALCLGKLVSDSSNPRFSDVERKKLKKTLYNLTRMGCSEAFRAKEQLQMAKNFLEEAQMDTDQEEHGREELEVLAAYLEDYQITLWSLKGRDTVLTEEAHYNEKGQGFIGLFHHKGHYEYVTHTKSGKPSRFCYKCSTWGDTNHTKKCKAKCWWCGFSECKPEPAIKIHCDDCNIDFPGQDCFDRHLKCATGHALPNCKKFFFCSKCMKYDRTPEYRKGSHVCGVTHFCAICKAKKEKEHECSHPMPTEAGKKKKREKQEKWTIIVYDAECIVVKSGEYSDDPCRGPKHMPNMIVAHMFCNECRGKAGCPNCKEPIIFSYKDDEEEEEDGDDQHFAGEEEEEEAEEESDSDSECSYDESEPEEKSKTLTKFCKFLMTDPRANGAYVIAHNGGRYDHVMVMAEMDRLAGPEATPPSFIMNGKTFISAEFSYKKQRIHFRDSLQYLQMGLAKMPSAFGLTGEAKGYFPYLYNHPDNYDKVLTTLPPKEYYSPDFMGTSKREEFEEWYAENYNTPFDLYTEMERYCLSDVRILRLTLVAFIEVSKFFLNT >CRE16122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:837534:838721:-1 gene:WBGene00071862 transcript:CRE16122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16122 MKIDATSRFRQFLDEEIYRTVVRWNQEHQKHPYHFDFHGLTRKSAEWYIANPIKRASTQNSGEVEGQSSGDNATSGTLHDVAQARKDYLESKATGVELPTEESAKLDPKFAFGESTLIIHMCTKCRTASRILDCIDMGNGDNGLVINLCTICRALFNTQRRIKFFQHELACIKRRQIQ >CRE19515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:62589:63810:1 gene:WBGene00071863 transcript:CRE19515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19515 MTSSFSFFRLLFWIISVFFQTIKSLLIPNIPLPPPHFPLLHVPYLPLGRIIDFMDPDALVSLSFCSRKTHSVIKTQRRAPFDGRLCVSGYDNNLSFRTLQNRNCVLSVSSSYAFITSKEREEMEYVRMNRQCVGVYRSNGNLVLRWYGDAMGGLKETTDYVTNLFNIDVSEVCVFKDAIKMIEWVNRRQKTPLKKVVYTNCVIPSEEELIYLLSDCTTLSEISIHSEAPPSFRILGSFRRIDYLDIMYGSWVTIDNLLTMDGIEVALENSTLTNTDLNLFLKHWLSGGCPRLKLFWATTGFINIFRVLAGLLHNAVFVEDHRDYTSPYGHKWTLWDGYDIRRADGVTATVCHQQTGILVIAVWPDTAYNYN >CRE19513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:59411:60584:1 gene:WBGene00071864 transcript:CRE19513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19513 MDPPKPFPILRLPFLAIEEVFKTMHPNEIINFSMITKRTKGIAKQMSFYSIYSIDLYIRNTPEIRIDGGTKDVVSYVMTSNIEMEGEILEIDGLVRMIFKYSKDPVNEWKLLIKYVLEIFKKQSIGVLKMSMNTFVGQNVSIIDFLKANVKSVDECGLYQWDKEIDVDNHTAFLLDNITIISELNLYLCNNNDDFNAKIPKNLEKLYVESSQWIGYEKLLDIDCKRVILEKNLILDEQWYLFIKKWIAMETNQNLEYLELDYRDFEEFRHQVLHDIPHEVVDGGVKRTFKTRNETEEMSGGIDIRRIDGKTATFFVHREFEVKYFGMSVH >CRE19527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:56092:57301:-1 gene:WBGene00071865 transcript:CRE19527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19527 MDYPFPILRLPFVPLQEIFKAMDTFEIINFSLITKRTKTVTQNMIIYSGCSIQLDINKELEVWVVGPKNMTSCYYSFTLNEEMNGKIVENDWNDWNELQIWKYSNNPIEEWKLLCKHVLEIFKIQTIDYLSMTMDSFVDHNVSIIDFLKTNVKSVTECCLSQINKNINVDEHTAYLLDNIIINAELCFALSINNVNFNWKIPKNLKELCIHNSHWIGYERLFDIDCKSVILEKNRISNKVWNLFFKKWIAMETNQNLEYLQLDYREIEEFRALVLDDIPHEVVDEGVQRVLKTRHNETKEVKGGIDIRRIDGKTATFFMLGWNGSFLMCIH >CRE19525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:42727:43597:-1 gene:WBGene00071866 transcript:CRE19525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19525 MKIHGTNHQASCIYDVASDKRMDGTTVEDESDGYITRKILKYSKDPVKRWKVMCKYVLELFKKKTIDFLSMRIDESVDHNVSVIDFLKTNEILVGRCFLYQARDEKNVDEHVAYLLENVTVTAQLFSGLKIKNKNIDVRIPKNLNELCIYYSQWIGYERLLEIDSKHVTLENGGISYKEWNLFLKKWISMETNQNLAYLKLDYRSLDRFRDHVLHDIPYEVVSEEVSRIVPCRYKQTQKINGGIDIRRIDGKTATFFVLPGWYGSFFMCIH >CRE19511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:36698:38027:1 gene:WBGene00071867 transcript:CRE19511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19511 MKPLKELPILRLPFRAMEEVSKGMHSIIKMISDRRKKKFPILCLPFLAIEEIFKTMDPIEIINLSMTSKRAKAFTKNMTFYSKYSVQLCVDKTMGIAINGTDNLVSCLYLMTSYKQKLEKTAEDERDGFILRKVFKYSKDPVDEWKQLCKYVMEIFNRQAIDVLTVFIDVFVDQYFAIIDFLKTNVKSVNDCNVYQWEDENDVDEQAAYLLENITVTNELNFHLRIKNDNFDGKIPKNLRELFIKHAEWIEYEKLLETDSVQVIIGTHRISNNEWNLFFKKWIAMETHLNLELLAFDFKLIEEFKELVLYDIPHEVVDEGVKRTLITYREEKTEINGGIDIRRIDGKTATFIAHSNSFSMSVH >CRE09804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:110472:111448:1 gene:WBGene00071868 transcript:CRE09804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09804 MKPLKQLPILRLPFRAMEEVSKGMHSIMKMISNRRDNKFPILHLPFLAIEEIFKAMDPIEIINFSMISKRTRAVAKLMRFYSKYLVHLYVREFTLDIGLLGTKKMVTCTYVMTSDKKMNGKSEEKEQSGCIERNVYNYSKNPVEEWKQLIIHVLETFEKQSINYFAMQMDAFVDHISIINFFKATAKSVNDCFLYQLNHQNNVDKNVAYLLNSVTINNSLDTWLDIKNYYFDGRIPKNLKKLYINDSRWIAFEKLLEIDCKSVILRNYWISEKEWNLFFKKWIQCVTQV >CRE19523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:31935:33125:-1 gene:WBGene00071869 transcript:CRE19523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19523 MDSPKPFPILRLPFLAIEEVFKAMHPFEIINFSLITERTKAVTKNMTFRSKYTIRLCVHKQLGIEIYGTNHLVSCTYLMTSYKQKLEKTVEDEHSGYITRRVFNYSNDPVDEWKQLCKYVMEIFKRKSINMLSMTMDEFVDHNVSIIDFLKNYEILVDICMLYQTREENNVDEHVAYLLENVTVNVELLSGLNIKDKNFYGKIPKNLNDLFIYYSEWIGYERLLEIDSKHVTLENDEISDKEWNLFLKKWMAMETNLNLAYLRLSRKELGTFREHVLYDIPYEVVSEDVSRILPCRYKLTQKVNGGIDIRRIDGKTATFFVPRPGWNGSFLMCIH >CRE19522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:28782:31630:-1 gene:WBGene00071870 transcript:CRE19522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19522 MTQCHYVFTVTALVLHDIPYEIVDEGVKRVLNIIFSNIPPPPATTHPDSFILICFPPFSFFSSIDCSDRMKSLKELPILRLPFRAMEEVSKGMHSIIKMISNRRNNKFPILRLPFLAIEEVLKAMDPIEIINFSMISKRTKTVTKNMTFYSKYTISLYIEKTFGISIKGTNYLVTCTYEMTSDKQMDGKIEQSKYSRCITRRIFKYSKDPVDEWKQLCKYVLEIFKKQTINNLFMVLDAFADRNISIIDFLKTNVKSVNRCSLFQWDKENNVEENFAYLLNNITINNKLFSLLHIKNYYFDGKIPKHLKEIYIGNSQWIGYERLLEIDSKHVTLRDNQITNEEWNSFLKKWIAMETNQNLECLELSRKHLETFRALVLHDIPHEVVDGGVKRVLKNIHDATEEISGGIDIRRTDGKTATFFTQFDIFCMSVH >CRE19510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:21801:22971:1 gene:WBGene00071871 transcript:CRE19510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19510 MKMISNRRTNEFPILRLPFLAIEEIFKTMDRIKIINFSMISKRTKTVAKNMDFYSKYAICLYIDKTLGISINGTNYLVTCTYLMTSDKQMDGKIEQNEYNQCIIRSIFKYSMDPVEEWKQLCKHVLGIFKKQTINNLFMVLDAFADQNISIIDFLKTNVKSVNRCSLFQWDKENNVEENFAYFLNNITIKNELGSSLHIKNNNFDGKIPKHLKELYIGNSQWIGYERLLEIDSKHVILRSDRITNKEWNSFLKKWIAMETNQNLECLELSHKHLEEFRELVLHDIPHEMVDGGDKRVLKNFLNRRVINGGIDIRRIDGRTATFFTRFDNFWMSVH >CRE19509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:19557:21078:1 gene:WBGene00071872 transcript:CRE19509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19509 MNPLMQLPILRLPFRAMEEVSKGMRSIIKMISNRRNNKFPILRLPFLAIEEIFKTMHPNEIINFSMISKRAKAVAKLMSFYSKYSIHLSVDKLMLDIELHGTKNVVSYIMKPSDEAKDGKIWEKEKNGYIKREVYNYSKDPIEEWKQLCKFVLEIFKKQTIDVFSINMGSFVDQNDSIIDFLKTNVQSVNDCKIYQLDEEINVENFAYFLNNITIDNELASWLHIENYYFDGKIPKNLNELYIHNSRWVGYERLLEIDSKRVVLTSNQITNEEWNLFLKKWIAKETHLNLEYLQFNYREIEEFRALVLHDIPHEVVDEAVKRVLKIYRDEKREVNGGIDIRRTDGKTATFFAHHAYEMQFLAMSVQ >CRE19518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:3728:5770:-1 gene:WBGene00071873 transcript:CRE19518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19518 MPRSPSRYDKDRRDRDRDRHRSQKDHSSEVSKMKEKMRNNLETARSSGISDFSNFSVQKSQKFEEMTPAEHLARTKAIEEIEGGGFQVGTFKSGTTAKKDQKSKKETSHDAAIFGPAWKSAEQRKAIEKKESDISTITLPTAPTPPMNSMAPMVPSHMNSEHMTTRKAEWKAYWSTLRHQLITENY >CRE07114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig774:1401:5162:-1 gene:WBGene00071874 transcript:CRE07114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egrh-3 description:CRE-EGRH-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NN95] MLHTQSEPQTSFGSSSQHMQQQRQQQQQQHNPHQNQHQQLINHILHATYADGNGAGFLNPAQQKFDFLPNLQGHPPHLMQNGGGLNGNQQRNGGNQPNGGGTNNGVNTPKKNKKGQGPGRRPALDANGMPKERPFVCPRSDCQKRFCRNDHLQRHMRIHTGQRLFQCRTCLRSFSRSDHLAKHERTHSADKPYSCLTCARRFHKHEEKKKHEEVIMRK >CRE07115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig774:5432:12508:-1 gene:WBGene00071875 transcript:CRE07115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07115 MVFSLFSLDFSAKNPFFQVINLHFPSKAKLFVHRVGRVARAGRSGTAISLIANDELPYLTDLFMFLGKPINFASDSSEYKEDETLIGRVPDSIVSLETEFFNSIHDNNEEMLDLRQKATNAMMKYTRTRQPPSAESARRVKQDIRTDSVECAPHPFLKAEGDKQSHDILNRISQYKSRNTIFEMNKSQKSQALAVMQAKRKAHEPRISTVTEEKRKQKEEREQRDAEKSVEGEREQGDADIIGVDEGELEAVFGTVIGSTKHKETSEEKKKQKTSGGGKRVDRAEQMRHEREKHYVPYQSSDHVSERQLALDKVDFARQAEGASVDIIMDDDRGMYNQKHGQRWDRRLKKYVGLSGNEPANKKIRTEDGTWLPASYKTGKYEEWKQKQKIGFNQKGAEGEGDADEQDYGRKRKWKMETKGGPKHSELKNKDQILKGRRKQEKLENYMEHRRQSNIKKKASLGGASSRGGGASRGGGASRGGGFRGGRGGGMGGARGGGASRGGGKFGKRR >CRE21022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig554:5338:11368:1 gene:WBGene00071876 transcript:CRE21022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eri-1 description:CRE-ERI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NLZ8] MTLSPEDEKYLDSLKNLLKISEEHEKLRNLQKMETPDEEVTEDNLRTEGSEDPVEIPLEQMIEPAERVEPLQSMVEPDYVRKVIRQMDTMTTEQLKQALMRIKVSTGGNKKTLRKRVAQYYRKENALLNRKSEPNSDKTYRYFDYLIAADFECTCVEVIYDYPHEIIELPAVLIDVREMKIVSEFRSYVRPVKNPKLSEFCIQFTSRWPPLLVPSLIDFLPEIAQETVDEAPYFREALDRLIQWMRHFGLGEKNTRFAFVTDGPHDMWKFMQFQCLLSNIRMPHMFRNFINIKKTFKEKFNGLVKGNGKSGIENMLERLELSFIGNKHSGLDDARNIAQIAIQMMKLKIELRINQKCSWHEPSYHTLKEDEEIGDHVDLASIDVSRRDFQMWLRRLPLKLSSVTRREFLNEEYLDCESCDELTDDKNDAQSFEDKMANRAEIETIDEDEFNKFAEGAPANEPTPTPPPVRDNEDSESDEEEYRREFEMMDIVDSISSEPPSEAVELNEIWQRRGSESDGQEGVVSLGDYAYSTSRATSSLVSSTRVVSFDDILETSSVEDMELMAPPPKNSLASTNRSSRNDNY >CRE22193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig711:386:2787:-1 gene:WBGene00071877 transcript:CRE22193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daf-18 description:CRE-DAF-18 protein [Source:UniProtKB/TrEMBL;Acc:E3NMW7] MAGDDVPGSSNAPQQRPFMVEDLVREYGDPRVSRDHEANALDRMCHRLRKMISQKRHRTVYQGIDLDLAYITDRIIAVGYPSKGLESRIRNTMAQTREFLWRRHGANHVKVFNLRGGFLYKPEKFDNNVIYFDMTDHHPPKLELMAPFCREAALWLEADPENVIAVHCKAGKGRTGVMICAFLIYINFYNNPRQVLDYYSIVRTSNNKGVTIPSQRRYIYYYDCLRRQRLNYFPLRMELMAVYLERPPQTGRGSKIKIKVANGSTTLFTSGVLSISSEDYAKETGSWKDDDDLLIPECGLQFISKRAYCFKIPRNNRVFVEGDVRIDLLNSDKIFKVQSDKKIGHVWFNTMFVCEGMCREEFKYGDRKWPYHFCCTSIGKNGPAIPDHERMFDTSGLTPLDTNWKILKPPGLDRHVTEESLDKIYHAYGIAP >CRE22192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig711:3685:4640:1 gene:WBGene00071878 transcript:CRE22192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22192 MSFRGRGGGGGGFRGGRGGDRGGGGFRGGRGGDRGGGGFRGGRGGGGRGGFGGGGRGGYDQGPPDEVVIVGVFSHQCQDDIVCNNTSGKIPYFNAPIYFGNKEQVGKIDEIFGSPGENGFSVTLSQGVKASSFKTGSELYIDPGKLLPVERFLPQVGGGRGRGGRGRGGDRGGRGGDRGGRGGFGGGRGGGGFGGGGFRGGSRGGFGGGDRGGFRGGRGGGDFGGRGRGDFKRSYDGGGFGGGNNKRTKFD >CRE22194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig711:4706:5231:-1 gene:WBGene00071879 transcript:CRE22194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22194 MSDCEVFLFQQVSAESVSIFQIITVIHSEIQKTPEKFQNSIEFVSRAVGKCSIDSNAPVISEDNMNPAQCMLCFLVYDVLKYINYNILENPMLGGVAEAIDEACAFLNQDLCQALFQPHAFEAIIRGLQDSLGGFYDLIAVQGFGCVSYTDLFGACPGH >CRE22700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig311:1805:9846:-1 gene:WBGene00071880 transcript:CRE22700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hum-8 description:CRE-HUM-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NIX6] MLRTLDKRQKGGTASENSVKSKSVNASNVKKPDASSETTIKPTRPEITKSEPPREPPSQKSEIRSEVILTSSSSTPIASRRIIKRNSVPPDYGRIVWITDPDAGFQAARIVDLSKSGFTLTLLHSNETVNRKYEEVFSSEEDPNKSVEDNCALMHLNEATLLNNCRLRYKQKKIYSYVANILISINPYQTIDGFYSSQKIKEYRGKSLGQKEPHIYAIADKSFREMRRHRKSQSIIVSGESGAGKTESQKAILRYLCENWGADAGPIQQRLLETNPILEAFGNAKTLRNNNSSRFGKFVQIHFADNGNVAGGHVSHYLLETSRICRQTSGERNYHIFYQLIAGSSPELYKYLALGQPHCFNYLSKGFLGFFTHSVNSQTNILKSRWSDSRFSEDSMVDDYLDFQRLENALKLTGLNEKELMFIWSTVAGILHLGNIEFEENHGDSRGGCKVSQRSEGYVDQAARLLGLETIELRMGLCARIMQTTKGGARGTLIRVPLKSYEAIAGRDALSKSIYSKLFDWLVSRINRSIPFEESTHFIGVLDVAGFEYYAVNSFEQFCINFCNEKLQNFFNERILKEEQELYEKEALNVRRIEFMDNKDCIDLFEMKGKGLFDLLDEEARLPTPSYKNFTKRAHEENRKHFRLDTPRKSKVKTHREMRDDEGLLIRHYAGSVCYETKQFVEKNDDQLHNSLQILIEQSASPLLVSLFPSATSSRPSGNKLKSQSVGSKFKSQLTILLEKLQSTGTHFVRCIKPNNQMDAWNFDGAAILSQLQCAGMTSVLKLMQDGFPSRTSFGDLYSSYQRKLPPKLARLDPRLFAKCLFRALGLDPPDFQFGVTKVFFRAGKFAEFDSGSSF >CRE22695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig311:15697:17647:1 gene:WBGene00071881 transcript:CRE22695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22695 description:Purine nucleoside phosphorylase [Source:UniProtKB/TrEMBL;Acc:E3NIX7] MENNNAPTHAAEPHQKIDPRNFDDILAVVASIKEQVGEETACAELGIICGSGLGPIGDAVEEATILPYGKIPGFPATHVVGHKGNMIFGKLGGKKVVCLQGRFHPYEHNMDLALCTLPVRVMHQLGIKIMIVSNAAGGINTVLRHGDLMLIKDHIFLPALAGFSPLVGCNDPRFGARFVSVHDAYDKQLRQLAIEVGRKSNMTLYEGVYVMSGGPQYESPAEVSLFKTVGADALGMSTCHEVTVARQCGIKVLGFSLITNIANLDCDASVEVSHEEVLDIAEQAGQRASLFVSDIIKELNI >CRE22696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig311:18841:23763:1 gene:WBGene00071882 transcript:CRE22696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22696 MSSLLLISAYQIFLVLILYTEGNELGGFICRLPKNNGFGCGVTTPHSAYYFDVEIRECIEFMFEGCGGNQNRFSSRQECINGCKSLTSCGKGMPLMDFAGNIKRCDGERVPCPGSHECIGNGMSSVCCQKADRICQASVHPGTPCGVPPTTRYYFDSSSKTCRPFAFTGCGGNENNFKAKGECMMFCSTEIICPRGEPHADRYSINNIATCMEDKHCPRNYTCTAKVGRKGACCPSKDFVCGTPFAIKNNCRKPEPVSTYWFDYRKGECKRAEHSNCEEHFNSFANLEQCADYCVGTCPNGLEIHTNPRTGQPHLCDSSKNEGCPMGFECLKSSPYASICCKTHPVCPSAESILLVDEDNEAVRCSASRDTCPDQYLCQPAKNLEHICCTKPLNCPTGMDALRENGGRPRICSIGVDGNCPQDHMCVQGDGSSGAARHLCCKPRKKCVIPYVDPDKKRPIRCFPGDESCPLSTDCLPALENSETFSNLTNAIDVMFFCCHTVSIFSCPDGSTPFLDPNSGQPATCLPANPFSCPAEHSCTGLMDGTTACCPIQTPLCVEALISDDGSPKTCKGWDDNTTCPQGKCQKAMDGAYYCCRPPMLLLQNNAPTVPQVKGVSDRVGEYIARSLGILYTDFMKPPKRKRQQEYLKLLRNYRN >CRE22701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig311:23869:25078:-1 gene:WBGene00071883 transcript:CRE22701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22701 MIYSISTLITLFTISSSAFLTSDPSNFAPRHFNDPISMSAECNMFDEAHYCKMLSNGTCKSIDEFQITLDTALNAVAESHKCECPANYRCPADTDDTKLQIRCHYDSERQWNRCYLPCTPIDL >CRE22697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig311:34995:38675:1 gene:WBGene00071884 transcript:CRE22697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swt-1 description:Sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:E3NIY0] MLTSSHYLRVLQKVHRSRQQAFAFFCFVGREWSLTMVNICCINMGKREKKLTKVVNTTRKEPSKNREKGGSSPLSSQLDIQLSHFFTLFLSASPLFCFSPLPRQCFLVSYIFECGQIYPYISLLFASLLCPCVFSPNYFPFLKSIHFLQISKMLEVVLQVLSISAITTTIALFFCGIPICMQIRRQGAVGDISGVPFLMGVLGGSFWLRYGLLKMDYTMIIVNVVGVSFMAFYCVFFLVYSLPKKTFTFQLILVVSMISGMVVWMAVKPNLDYLGIICMTFNIMNFGAPLAGLGVVLKNREVSTLPLPMCVANFLVSSQWCLYGNLVADIYIIIPNGIGMFLAIVQLSLFVVLPIRENEKSPLEKLANWFTGRSKLEKKEKDLEGGECSTSPPPSPQKVANSIDDDAESEINERFEKLMAESSTSDSRRGSGDFMGRPPSYKSRSSSVPDISSL >CRE22698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig311:39603:46108:1 gene:WBGene00071885 transcript:CRE22698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dis-3 description:CRE-DIS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NIY1] MDLNVKQSGIHSCVLHTSYFKNRSGKVYKRAAERYLRTDLPCGLAQCEECKAYGSNPLLKTENPVKNSKIGRHVLIIDSTSLIRYYDLFDSELLRDIIVTQTVWEGVKAKAIPAYKKINSLCYEGEGKDRFHVFMNEFHFETFSDTPKFEEVSRGEELLLSTAHYLALHWEKLKVTPVLLVFDEDSKKRMEKHYGDVFHLKEYIQNMETPGKQALLDQMAAYESSASNKEKQVFEEHLSHDKIMEGIANGTIKRGTFNVSRENYREATVIIDEHLNSWFITGNHCNRSVNGDVVAVQLLPESQWTCPEKKIRLRDVEEYVKTADDMGNEDDEGDEEPKAKRSKKNTVPTAKVVGIIKRNWRQYCGMLLPSSVKGARRHLFCPAERLIPRIRIETEQADILSQQRIVVAIDHWPRDSFYPLGHYVRSIGEMGSRETENEVLLLEHDIPHAPFSDQVIDCLPRDEWEPDLNDNRGTLPRVDLRHLTICSVDPLGCTDIDDALHCKQIGDDLFEVGVHIADVTHFVRPGTAIDDEAALRGTTVYLCDRRIDMLPCLLSSNLCSLRGEEERYAFSCIWTMTSSADIQSVKYHKSLIKSKAALTYERAQEIIDDPSEQNDVAQGLRGLMKLSKVLNSKRAANGALTLASSEVRFDMDWESKTPKKVMEKQHLDTHSMVEEFMLLANIQVAQKILEEYPDCALLRRHPVPMKESYKPLVEIFCFFWHYEVSLLQTDLYLTSNGFSHFPVIMTFNSCNFAAFFESFDDFCDENLKIRSKIVELFQFSFGKLNFLQIFCILRDHSFV >CRE02604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:166662:168270:1 gene:WBGene00071887 transcript:CRE02604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mksr-2 description:CRE-MKSR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N9W0] MAEVFVSGIIASAKGFGDNRLSIRYQLSLGGGWRVVQGEAEGQTQTDCPSVFENAYFGHPLDLHLATSSIQGWPRLLLQVWHHDDYGRQEIAGYGTLLLPTSPGKHVLTSGCWRPKGSWREEMMHKLVGGGLQLTSLSALEDPSIREKIVSVSVGTVRFELNVVTKNFQRYGILC >CRE02603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:164011:166513:1 gene:WBGene00071888 transcript:CRE02603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-11 description:CRE-VHA-11 protein [Source:UniProtKB/TrEMBL;Acc:E3N9V9] MSSGAATSGEYWLISVPGEKGANDAWDKLNRATGNVSTNSKYLIPDLKVGTLDQLVGLSDDLSKLDTSAEGVIRKLVQYFTEVLEEDKSKVAENLVIGNKDMKTYVTKFQWEGAKYPLKQSLKVLSEIIGKQITQIDNDLKMKSLAYNNLKNALASMDRKTTGSLLTKDLADLVKADDFVLNSEYLQTVIVVVPKISVKEWESKYATLSSMVVPGSSKLLTEEGEHALYTVTLFKKVIDEFKNTARENKFIVRDFVYDEETLKAGRTERDKLQAEKQRQYAPLIRWLKINFGEIFSAYIHIKALRVFVESVLRYGLPVNFQAAVIEPAKGQQKKLRQELHKLYIHLDGSAAGPIDTLEDSPALMSLGVNEYYPYVFFKLNIDFSNK >CRE02602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:163238:164016:1 gene:WBGene00071889 transcript:CRE02602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-3 description:CRE-VHA-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N9V8] MSYDLETAERAAYAPFFGYMGAASAQIFTVLGAAYGTAKSAVGICSMGVMRPELIMKSVIPVIMAGIIGIYGLVVAMVLKGKVTSASQGYDLNKGFAHLAAGLTCGLCGLGAGYAIGIVGDAGVRGTAQQPRLFVGMILILIFSEVLGLYGMIVALILGTS >CRE02613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:150455:153205:-1 gene:WBGene00071890 transcript:CRE02613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nsy-4 description:CRE-NSY-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N9V7] MSDANDIGRKGSLFLSLLFSFVAAGLSIAAILTPSWQIVNLREYGSIHEHGLWLDCTRHSRDGNHILQRYATVTEPLHCVYKFDYDKYSGTFELEDDNSPVGEVNRHKFYGWHTSTMILLGFALLTTGLSTFIGACACCHSSLSLFFTIITLLTTLLSAIAEGIFFFYSHRADNRFIKGIVGTYEQRVGTAFFLEMAACFCHLISFLIAMLFTYFSFASAKDSDGFSIQRSSRTNVTNIGRSIEFDAPLMYPPQTPISGFRAASYLDEKPVIAESMPELGQRGAYPSNDFLSSRIRRKSETCV >CRE02600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:146253:147617:1 gene:WBGene00071891 transcript:CRE02600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02600 MQYLAPFFYFFSNVAVLAPNTYTPSPPKTAVGWEFGRTFSYYQLVFLAIMVSMGITRCLMTIEKEDTPERRVRPKRHMTFCLVFGIGCAVVQLAMLFWFEPPSQIRYTISTLLEFLQVLVFTWFGMEYCMTCSVEYNWLIIPTIITCSLTYYGFKWIGRVEYYSLYLIWNMCIFSGLLELWLMWRNGVVNSFIYDISMDNVRNSDEDDDERCINCSKFDF >CRE02598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:142905:143992:1 gene:WBGene00071892 transcript:CRE02598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02598 MRFPSIFTIALLVSIYSGNNFKENPFSKPDINFIIPPSPSQFLLFGLYFIIMAVKFLYAQEHDEEPGRERTVQRWTTISIVFGMIVSIYICCLPYLVDFRSTTFSCIFYFTLIFFTIFYGLMTTEYIIIQVYYDLWIFPVALLALLSCIYASLIVPKSLHFHISACICCVLFGIHEIVSIWKHGLNKRMWDCIYEDWEAENGPVQPVIFLK >CRE03528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig770:1928:4553:1 gene:WBGene00071893 transcript:CRE03528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03528 MSSSENTESSAEAVHHEIIVTYTKKTKPNDSIITQRVMLETEDAVAMETAFTCSELNRYKLVRLFALFYLIAATSASTTLSMLVSNGLMHSEFKNYRNIVWETSSSTLVDGIIRGVHVILFKFLLMCTEKRNTFFVKVVFVELICGVVAITLFSLDTHMISEYSQIVMINVVFLSLNEKSSKLLGIWKSVTAIAELLIGAWIALLVKKHLGMDGMRYTINITVILYFLLLPFEFYVWNRVRKLRKEQEKKQTITMPIHKRFKPSSNVSNDIEMQPIQSPYYKYMMDYDPAELVRALVFIDTINGETAETMKTKLEKTGIRITERALNMFIEEIFGGDPVSWF >CRE02612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:134444:135375:-1 gene:WBGene00071894 transcript:CRE02612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02612 MKNLAPYFYFFSNAAVLVPNKYTPSPPKVVGWEFGRTFSYYQLVFLAIMVSMGITRCLMTIEKEDTPERRVRPKRHMTFCLVFGIGCAVVQLAMLFWFEPPSQTRYTISTLLQFLQVLVITWFGMEYCMTCSVNYNWLIIPTIITCSLTIYGFDWIGRVEYSKLYLIWNMCIFSGLLELWLMWWNGVVNSYIYDISMDNIRNSDEDDDERCINCSKFSC >CRE15777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig547:2854:13066:1 gene:WBGene00071895 transcript:CRE15777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-epg-9 MNVRQNELRLTVELRQVTDAVECIFHSLLLHRTLGRFQYNDEKTFSIGSIGIKEVNCEQIDMTYVRVNSTELAMCVDEDIRQFKYEVEEATCSGSIPRRTPTVGSPTDSAVPLLSAQIGLQFYTKSKKPNSVIGTAVSWFGGATGATSAGSGLSIDEGTSWEEWKLILDVFRVESIDELQKMRQRVADDIGEKVLDICEHINHNHYTPKMPSRTEIPEVFETRFSDCQPYLFKIRRQAVPKMESKQTFTQAALSRWRDIVAA >CRE21052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig994:1450:4173:1 gene:WBGene00071896 transcript:CRE21052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vamp-7 MPGRVMSVVLCRPTVSGDMMPVAMGNYENIEEATKYNDVKPPNDSEVIKLIKSRVRTYFNNDGVIELDGGYHLYFVVVIETFSTMVYCCVSESGMELEEALDFLNSKIRSIMSSSNIQMVISQSNPYDLLGLLQPDILKFIVRNFGIFDKKSINCFQKQHNDSFPSAHQQRMIDIRRQVDDVRQVMADNVERIMERGERLENMENRTEALRTSATSFKSTARRVQRHFCQKNLKWTLILLLVVTVIVVAIVLTILHKNGVI >CRE20069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:2456:3882:-1 gene:WBGene00071898 transcript:CRE20069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20069 MSAEPSTPKQEPTEQQTPGKDEKQENKKGKTPVVVPLPHETPAAVRNRRHIQAELHIGSPSDNQLSPCTTKIFGKRAGISGPAAILRTKQQSTIPFKMDEE >CRE20066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:8693:16686:1 gene:WBGene00071899 transcript:CRE20066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smf-3 description:CRE-SMF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NIV9] MTDEKCGAIEECPSLDDTPKRREAQKTYEIQVEIDDAPDVVREQTRYGCESCAESLRIRNDASRDMSFARDSYFSFAHGPFMDVQFFYRLLGYEIAILSDFQIKKYGAGSSIFFDKLCRNNLLPSRFSTAFSWRKLWAFTGPGFLMSIAYLDPGNIESDLQAGAISYFKLIWVLLIAHIMGLLLQRLAARLGVVSGKHMAEIAYSYYPKIPRLILWLLVESAIVGSDMQEVIGTAISFYLLSNGAIPLWAGVLITICDTFTFLFLEKYGVRKFEAFFCFLITCMAVTFGYEFGVSKPDAGKMFTGMFVPWCTGCDNNMVMQGVAIIGAVIMPHNFYLHSALVKSRKVDRRRAEKVTEANKYFFIESAFALFVSFIINTLVISVFAQGMFGKTNNDIRDVCYNNTHNGMPDFYKVEFPANNDAAQSDIYHAGIFLGCTFGIFALYVWAVGILAAGQSSTMTGTYAGQFAMEGFIQIQLPQWKRILITRSLAILPTLAVVIFSGGIDNISSLNDFLNCLQLIQLPFALIPVLTFVSDKRIMHEYKLASVSKAVSIVISLIILFINFYFLYSWIGGQFGYNAISIPITVVFAVFYIIFIAYLTYYCLVAMEFIPPIKTKWLAEPIYYDFDAPWLETTKNSISSSEESQDYRY >CRE20071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:16775:20308:-1 gene:WBGene00071900 transcript:CRE20071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-daao-1 description:CRE-DAAO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NIW0] MPKIVVLGAGINGISTALAIQESIPKCQVIKQRMNLSVCVSSYPDVQFQIFPVTVISDRFSPNTTSDVAAGLIEPYLCDDDVDRIIKWTRTTILRIQEYMAGGHPGAEEQSGYYLSSVKSIPKWLEVMKNVHILSEKEMKEVAKRPEHKFGFFYTTWYLEPTAYIKWETEQFLKNGGQFLQQKINKIDDVSKMGYDVIVNCTGLGSREMVGDKEVYPTRGQVLRVECPRVKHFLIDDEYYALLNENTITLGGTQDRNQWNTTINPILSQKIFEENCRNIPSLRSARILSAHVDLRPTRGTVRLEAEPNGKVIHNYGHGGSGITLHWGCAMECVNLVKKMMLEEKKNSKI >CRE20072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:21250:24446:-1 gene:WBGene00071901 transcript:CRE20072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ngn-1 description:CRE-NGN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NIW1] MPRHLHQMPRHQMKQEQEPTVDEELETNSQESENPPAAAPVKREKRRYRCRKRSPATIERAKTVRRDKANARERRRMNSLNDALETLREILPAMPDEPKMTKIETLRKAQEYIATLSFQLSGGSPTSSTCSSNQCCETGSCGMCSSMSVSLQSTPFQSPCFPPPSTVIPHQLQYPSMGYSNPQQYFQHHHQHHLSQSPHFPSAN >CRE20073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:35214:45292:-1 gene:WBGene00071904 transcript:CRE20073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdf-2 description:CRE-MDF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NIW2] MARNSIFCWRVSRFAANNQFFEFVSSHFTHFSSFFRFFVSFSHEKPHFLPKNLHKFQEMTEVKTQNAISLKGSAQLVKEFFDFGLSSILYQRGLYPSDSFKREKKYGLALFVTNDKKLEAFMKPLLKQVEYWLAKKQLKRLVMVISEVKTKAVVERWQFDIHTEDVDEDGENAHRTKDQKTIQKEIGDVLRQITASVSFLPLLEEPVSFDVLIYTGKDTQAPEDWTESEAHLIQDAETVQLRSFSTAVHGVNTNVQYRSDY >CRE20067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:45753:46863:1 gene:WBGene00071905 transcript:CRE20067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20067 MNRLVVISARRMASAAPKTSTGIEHAEKARQVAGGKPDWSLYKCDDYLKFDKYSYAHAEITMNKSRVPQPTNRKADVLPKVRA >CRE20068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:47894:62627:1 gene:WBGene00071906 transcript:CRE20068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ric-8 description:CRE-RIC-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NIW4] MPGDHLNSDQITRIFSGSTARIEEFFSKWNFANANSTQFEMSAGDKNLLGDKIAAVIDRDELTAILLETVRLLSRERDGLESLLNNDLCDKILRHAALKWEDGYPKSVHALMEAQKCLVNTMFHSAKMRDRFYLDSQNSENILQFLSEFDESQRKESKIEWIREMNQVQSSEVWYFYHRIAFIATAMNRDFQKSWANNPQMIDHLLTAVRCVLGKTSVPQIDLLRANEALKSFFNVFCHFHGEVEAINKKKAMEACEVLRDTICSVDIVGDDVIQSAIHALSVPPLPMILSVICGDPTVPLDTTSSIDNQSIEEEREFKNMTMIEALLMALDKQLARAVALLNSTPNDQVSQEANTLTDLTGPYFQALARLCVESKHVRRYCRIRVLPPLVADEVKKRPEEHNSLRGRIVRIMMLPSATKDVAAEFLFIICKRSVNRMIKYVGFGHSAGHLANLGLLGQINQPKHASDSEDSETEDYNKVKDCVNPVTGAMYPPDHGSALAGMSDEQKEYEAMKLVDAMNKMMETGIVKPGTIGDDGKLREVSHVLELLKDAPEPKQENSDSD >CRE26910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1925:424:6912:1 gene:WBGene00071907 transcript:CRE26910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26910 MIDHLLTAVRCVLGKTSVPQIDLLRANEALKSFFNVFCHFHGEVEAINKEKSKKLKFHFYRFSVNRMIKYVGFGHSAGHLANLGLLGQINQPKHASDSEDSETEDYNKVKDCVNPVTGAMYPPDHGSALAGMSDEQKEYEAMKLVDAMNKMMETGE >CRE20074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:64684:66077:-1 gene:WBGene00071908 transcript:CRE20074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20074 MYPGLYMSIGYSQKDVELIRKAVPREILDKAGFSSSDASTDIASRMQIAFERLLPKSLQCRIVTMNELSEPGNALYRIEWYGRNEMFNHTRTKWHSDGAEKICSPYVRKLADHFSLNESPRFQLVLSTVKQVKVLDYLDGLPQSLAGGIKTGLVCYHQMFLIIMLSFFF >CRE15587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:480169:480525:-1 gene:WBGene00071909 transcript:CRE15587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15587 MPVHHDKMEHQEPQEPPGKDGQRGQGLPGAPGAPGPQGPAGNPGQDGAAGAPGQQGPAGPAGPDGQPGQAGQDGEAGPEGNAGQPGADAAYCPCPARTGAVENKPETSGYRRRVSKVV >CRE15523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:477722:479969:1 gene:WBGene00071910 transcript:CRE15523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15523 MPFPLLIFLFFHLLTTSNCSTIIFLNMFNSKSHALTMMPLAERLADDGHDVSMYTISANRLKIPSKKVKILESLVKMAGSTDDSAPDTSGVSRIFWNYEMTPYYGAWCYEIGLHYLEEGRDGEERN >CRE15586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:469616:470607:-1 gene:WBGene00071911 transcript:CRE15586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15586 MSYQHEVVQYAPTEDNEIHALIRGKPSFCKANIRVSTQQFQDRLSYLDKRYDHLRKMTHSLRKKVNELEDIMRQDNDEENMETIKKLLDEIKREKQLMRDEAHIIKGELSKTMYNEDLRSKILNFIDQFECFWYDDNERRLAGEWKKIEADRKSSAAESEASSSMIGDDDTPSTSSDGHKPYRETSM >CRE15522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:467881:469407:1 gene:WBGene00071912 transcript:CRE15522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acl-13 description:CRE-ACL-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MT34] MEIESEEKKREESNSIDHVISWILLLYAGIVTSFISPIVLIVLFYSASPLMLISTECRKIVMRILARASNLQFITCVRIAGIKGNRMEFGFRNLFLFPVMMSGENLDRLSDCRALLLPNHLSLFDHFIFMTAADSFGINAVGRWIFVIYNMWIYTPLGWLWSSYGNYFIDSVPIHKREETLSHLRRHFDRIYHDVDLRWVCLYPEGSRLFLIQKRNSEYEKKKGLPQLTHCAYPRLGAALSAIKVLGPDPKDPSKANNGRGPPLKYLVDVTLGYPDGKILPFKDIFMSALLNGGGKPYAIHYEIFDMDPKWSDEEELRKFLFDRYQQKVSIQNSFDKLLDSYYKTGSFSSDSKEAPLPSDVIIIGFQLLQIALFAIITKFLYSSIF >CRE15521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:466258:467613:1 gene:WBGene00071913 transcript:CRE15521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dsb-1 MQHHNSCHQWPILKYKEIKRSGREEYNNVRLVLDASKRLISVYIGNVEIEHIYCNMEVAKYPCLKMKSTHLYALVNRESQGFRVTFSAEQKAQFMSIIRRVAYISETPEKNHMNRTFTRTDRWGRSADNNTTISSSQPITPSERRDPRTGFHSMKTAARNLAQNFIPELYEGSQLSSPAISPMSFFSQPVHNPYSRPISSASSISSSISSVLSSLNDDGPYYNFSQVFIFFLQFLIPYFQSSSSSSYKNSPLSDNLRSPFHGSQSSDQTRPACSNLITNAPLSFSQQSSDPFTQLSPPARTANKCIQTDENTIDNMIEDPIFMSRFLERMIKNARIRDLVRAMRAQIRKMPIETLENFHNVRKQLDESSVIFLFQSTRPVGYRGSSSNQHQPAVTNQTSDLEYDVFH >CRE15520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:461741:465797:1 gene:WBGene00071914 transcript:CRE15520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gex-3 description:CRE-GEX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MT32] MAYKIEASQLKIAEKLVILNNRATGMMTRIYNIKKSSGGELENNTFSEFLFLDSKVKPYFLNDKKMEGAIKHIVRKFPVVDCRSNTSTFEHVQNMSGEITKSLSLYYYTFADLLDLKDHIMQVLTTMESCQCQLDITLNYDLTTGYLNLVVNLITMMILLSRIEDRKAVLGLFNAAYDLQHGQSEASFPRLGQMILDYENPLKKLNEDLGPLNRLIFSALSSVNLTYQRRNKTAEFWRTSNVFSLTAAPGQILYAAQTETIACEYLSLDVIDRWVIFCATVCHSTLLNDAHIFQMWKLALQMNFCIRLFRDETFIAHQEIQTFLESSKEKSKRLQDLKEAFHLASVSAVAVHADRRRFLRSSLRELSLLLRDQPGLLGPKILYVWMALTAGRDEVIWLLRHQVEMPSIVKKGNKIADELVDRQLPELLFYMLELRDLVVKYTGVIQRYYLQVSCILIFLPYSEIIKFFQYVSSYDSIVVSEEINHAMGLSNDEAMLLTEFANSIGNINSDSDFRSLRLDWFRFQAWVSVARSNFQLAKHKKLATFMNTSVFHLKMIDLQDEMLRETSDLSIYCFYPKLAERHWSNCLQLPAQARYVLSFARLAGHFTSALHDMCPEEKTFITEKALAQCNSVIEETCRQVSFVLDKVAEHEFGLAYQMTPSAVAVRVVAQVVQQKGSGKAAAAAAAAAKDYFIAGEESYRVDRQALTMPDKLQTTLLELCSALGVHRQIHVADHTFAPRTYLSQSLESKFVDLLHTMMWEGQAHASNPRRPSEMLLALQAYMTVLQNLDTAISVDISNTMQTILLQQTQSVDAKNKDTITALYTKWYLEVLLRRASSGHMVWSEHLRTMLAAGQDQLSFMPDHYSDPQELRALVQIIGPYGIKFMTERLIWHVASQINEMSKIVGSYKDALQVARSNFDNAEKMKEVLNLLSAEPKDKKVTNATCAADAILQRTIIIGQICAFRDALHDALRDVVDSKLPFLQSSFDMLYNNLDYVAKVKIGEMSAAMGVKGPVDMSLVNAIRAQNQNIHPQEHYVNSCLLMVAVAVCIPRIGMSDLSSYKPSIQASLNNSHCVPTAINTIGSALFHLHEQNDISMRMKEFLALASSGILRTIHERDSNRVVPDDVLRSHTTLYIILEQMVRKNEWISMNVLETCFPYNLVRTAYQQCYEADAQ >CRE15519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:459907:461380:1 gene:WBGene00071915 transcript:CRE15519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kca-1 description:CRE-KCA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MT31] MILTYFVQKAAYFQTEYILVLCFELIFSAIDIFRLYFEICRNRAAMLADSSGVMIGEDVLSTDSSYATSPLTISSSSPSTSISHSSTSGNQQETGILNFFRRRSWFGFGGTAEELKKKRDTDTVNEIMKCFRNNDVVGMEKFIQNETKNFESNSEALKTSDESSMWWDDEGVFPRKSELREVPFCKNTPHPKKGVSKLPPRVLKAVRFDVENTPEDRENRPFTPLFTSTPKHPDIGSDSEDELRPLRECDSNTVLPPTSVLNNTSSILLANTSVMMSLNKCRQETMDALCRAFDKNSYKICYDDTDEEEVEECKEGEEAPTSPRVTFVVEKEDSEPSNKTVGTRDQSFDTMDTSTDSSIILNLDCIDNYIQRLDDEIAAAHRFAREKDMERAKGALLQARNLQERFGQMNEAINDMCSKLPEILDHIEDHKSQQNISSVFEDSQWREHLGSEAGSFDLSVL >CRE15585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:451772:455169:-1 gene:WBGene00071916 transcript:CRE15585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15585 MTDRANCSTSTTNWRQPKPLATDVLEKPARLLPKMKLEELVTVMLLTVAAIDQYLPIGLVLAGVLLVYITVVHGDFIYRSYLTLNRDLTGLALIIDVKIDLWLRLHKNKGIHELFLDIVRKYPNKPAMIDIEKETTETFEEFNAHCNRYANYFQGLGYRSGDVVALYMENSVEFVAAWMGLAKIGVVTAWINSNLKREQLVHCITASKTKAIITSVTLQNMLIDAIDQKLFKVDGIDVYSVGEPKKNSGFKNLQKKLDAQAISEPKTLDTVDFKSVLCFIYTSGTTGMPKAAVMKHFRYYSIAVGAAKSFGIRSSDRMYVSMPIYHTAAGILGVGQALLGGSSCVIRKKFSASNFWRDCVKYDCTVSQYIGEICRYLLAQPVVKEESVHRMRLLVGNGLRAEIWQPFVDRFRVRIGELYGSTEGTSSLVNIDGHVGACGFLPISPLTKKMHPVRLIKVDDVTGEAIRTADGLCIACNPGESGAMVSTIRKNNPLLQFEGYLNKKETNKKIIRDVFAKGDSCFLTGDLLHWDRLGYVYFKDRTGDTFRWKGENVSTTEVEAILHPITGLSDATVYGVEVPKREGRVGMASVVRAVSKEENESEFVQRVGARLSSSLTSYAIPQFIRICQDVEKTGTFKLVKTNLQRIGITDIPSDSIYIFNSENRIFVPFDNDLRCKVSLGSYPF >CRE15584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:449703:450413:-1 gene:WBGene00071917 transcript:CRE15584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-23 description:CRE-RPS-23 protein [Source:UniProtKB/TrEMBL;Acc:E3MT28] MGKPKGLCTARKLKTHRQEQRWNDKRYKKAHIGTRWKSNPFGGASHAKGIVLEKIGVEAKQPNSAIRKCVRVQLIKNGKKITAFVPNDGCLNFVEENDEVLVSGFGRSGHAVGDIPGVRFKIVKVANTSLIALFKGKKERPRS >CRE15517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:444643:445395:1 gene:WBGene00071918 transcript:CRE15517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15517 MALVKLSVALLILTIAAESRKITIYNKCPFTIWPGILGPGNPAGGGFRLDAGQSRDIQVDDAWTAGRVWARTGCDGNFNCETGFCRNSEQCNGAGGVPPASLAEFTLKAWGGQDFYDVSLVDGYNLPVLIDPYGGSGCKRAGGCVKDINAECPAALAVKGHNGNTVACKSGCLGYNTDQECCRGAYGTPDKCHRSATAQMFKDACPTAYSYAYDDGSSTFTCQATATYVVQFC >CRE15581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:436754:437756:-1 gene:WBGene00071919 transcript:CRE15581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15581 MTASSASSDFLALQEQLKVRNEQFKVLQEKFLEQRKELFEAKNGDSNNDFMNTLREKLENALEKNAQIEKAFAEYQKKKESEVNEISIKLKDSQDEKSQKKEDSYWNFVQIENLLDIMTGIDRTDICEMSKEKIDMRIQQTKESVEECRLKDLMTGYFTEVKLIEKMRNLEVEELTKKLESSQKLVEEYKKKAEESVESLRFESLMTSKIQEKINNITESLEYHKNLVTELNAEKEKGKKIEEGLEDDEDDFDDEEEDFDDEEDIDHEEEDDQEDSSDDEEEISDEEESDYEENEEDNDN >CRE15515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:434642:436567:1 gene:WBGene00071920 transcript:CRE15515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15515 MAPIKKTRPNDGVKKISLAATDVTPARGVEEKMTWKEYKKMKKQIGNGMTSKSKKLKRPTPKEDEESGKKKPVKLTKKQKERHDTGADVIDPSAMRSKLQQEKMKMTKEKFEKRIEATAKAEKYRVENVGFVAPDDEDDNSLTYSIRQKDIVKAVDLAAATKHFELKLPRFGPYHIDYTDNGRHLVIGGRKGHLAAIDWQTKRLHFEQNVMEKVSDVKFLHTENFIAVAQKNYTYVYDNIGTELHCLKTMYDTARLEFLPRHFLLVGASRNSFLNYVDVSVGKQIASFATKSGTLDVMCQNPANAIIHTGHTNGTVSLWSPNSKEPLVKVLTHLSAVQGVAVDDQGNYMATTGLDRKCRIWDVRMFRQLHAYSLPFGAANVAISQKLDVACAVGNHVQVFRGMHNGTCKEPYLVHNCGGVVTDLKFVPWEDVLGIGHAHGFTSMLVPGAGDPNVDTFRSNPYETKSQRKEREIKQLLDKIQPDLISLDPDDINKVNEGLLELEEEERKKILYIRPMSVQYTPRHKMRSKKSGWKMEARKNTVKNQIRLERNMEKGAVEKEVFGGNEVQEPKKKHILDRLK >CRE15514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:429450:433684:1 gene:WBGene00071921 transcript:CRE15514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccr-4 description:CRE-CCR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MT21] MADSGEGESPSRRNSSGKRFVRRARRWAELQQKRQGRRNCEDPDVPVGQNETKFHMHHPSLAFLNSQHSKDQKYRPPEPPHVYDYVEMAAFGSGEMVVDDELNYDIVAHEENQLKQNLGHTRSFPITILQPRTHEIQMPTGFPVSYGYTDHRPCQRATSNAYFYPSYLESSCPHYQSSLSSFEQRQVRPISMMKSVDSALTLITNDLSTISISDASNTSPSSISNNSEEDIFSSAYKFASSLPKSSNFTIPVTIPHNFFVNTHPPPERNWVMIRHADPERPIATFTVLCYNVLCDKYATVNQYSYCPSWALNWEYRKTLIIKEIRTYEADVITLQEVETEQFRTLFLPELKALGYTGIFAAKTRAKTMSEEEKKYVDGCAIFWKVDKFDMDKQQVFEFSAVAMKKASSSGNILNRVMPRDNIALCAVLKIKDAVYANHPFIGRMTIPANDNVVGNPLVVCTAHVHWDPEFCDVKLVQTMLLANEVSRLLDEVSKSYKITQQQVPVLICGDLNSLPESGVFEYLSKGQISRSHADFKSFRDDTCLEMFSNSTDKNVISHPLRLDSACDITSIPFTNYTLDFKGMIDYIFATPQSLARLGILGPFDPQWVQSNKILGFPHPHVASDHIPIMAQYAIIPTTHQRQPPPPQQTGHPPPVGVIGGGYPAPPQHSFLR >CRE15513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:427116:428000:1 gene:WBGene00071922 transcript:CRE15513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15513 MSTGEMSSEYKDKQCGGAGQPLTKAENPDGRKMLPNGMNRVHKVLTDEELAKGMSTRWTELEIHGKVKNLSPSLWQLTHLSALFLNNNQLQRLPPEISQLSNLTMLDISNNKLRSLPTELGDMITLCHLYLNNNQLRVLPYELGKLFRIQTLGLNGNPLSPEISKIYHETNGAQKILQFLLDHLTSKFSFLNGALKYSIGSPLESYRKTDQTSRYFNLVLMTDQVVLFYPYRMT >CRE15580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:421715:425364:-1 gene:WBGene00071923 transcript:CRE15580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-24.2 description:CRE-SEC-24.2 protein [Source:UniProtKB/TrEMBL;Acc:E3MT19] MSSIPTAPGNVGFPQAYGQNQQYQHVQQFNQFAQPPAAAPYNAGPNYPANSFPFPSATTSHEPVKPSVPSQIPNGASKYPVNQVPNYAAAPPAFPAAPTGFPQQQPVFSPATHLGLNGHSAPTPPIPPPVLVNNFSSQFLLKIMFQPPSNPVNSPAASSQPPIPSAFASARPAPFPAARASPAPATASYQQQMAPRPNAPSGYQPPQQPGYPPAPQQPGYTPQPQSSGYPQQHQQQYGQSQVPQPQMQNLNQQFDQMKLGGAIGPQTQMSGYRNDIIDLIAERNIQQMGFEDIVVQIPQSVAEPNAHVDPNIFRSTLVQVPQTEELLKKSRLPFAITLHPFRDVKNLNIIQCANIVRCRYCRTYINPYVYLPDHRHWKCNLCNRNNDLPDDFCWDPTTKAFGDPRNRPEIQNATVEFIAPSEYMLRPPQPAVYVFVIDISAAAIQSGYLHTLSEQLLINLDQMPGDERAQICFIAVDQCLHFFSFSSNKRYPNEMIVDDIDDAFVPSVTSLLVPMKKYKDTIRSFIKQLPEFYSQVSPTSNGNCLGSALKLAQTMIQEIGGRISIFQVSLPNLGLGALKSREESTEGGQNLGPATDFYKALSLECTSFQICLDLFMFNTQYADLATLCKFSKVAKFSTGCVYHFPNYSYRNDIHQVKRFERTLTRYLTRKLGFEAVLRIRTSRGLALTGFYGNFFVRSPDLLALANVNPDSALAAQVTIEEKLAQYVCFQSALLYTSSKGDRRIRVHTMCLPTTGDLLQLYNNFDLKATVSYLAKIGVERSMTGSALADSREALVNAVVDSIGAYQKAVSRGSGMLVPRCGHLRLFPSYVLAMLKHPAFSSVRGIRLDERAGAMLMMRSSPLEQILSDIYPRLYRLNELATMPEDQLPSPLPLSFEHISRGGVYLMITGTIAFVYVAASADPGFLVNVFGTSIYNDIDEYSLLERDNDLSRRVHSFFKQVTQFRFYLGPMITIKEHSPLRDVFVRRLVDDRSESTHSYVEFLQHLKREISGN >CRE15063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig703:1510:2866:1 gene:WBGene00071928 transcript:CRE15063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15063 MGHPEKTVHDIRKKLVVVGDGACGKTSLLIVFSKNQFPEAYVPTVFDTDSIDIEVDGKYVQLDLWDTAGQEDYERLRPLSYPETHIILICFSIDFPDSLENVIEKWTPEIKHFCPKVPFLLVGNKKDLRDDEETVRELEKKRQVPVKYEQGVEVAQRIGAVGYFECSAKSKEGVKEVRVFWGKF >CRE15064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig703:8115:9359:-1 gene:WBGene00071929 transcript:CRE15064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15064 MESPGSSSSDASARPSSEAPKAPPVLLDSEIQGSLNRENGNRRENEENLPVTPQVPTTTPNPVAQNVIFIEDPLRRDTSTSGDAPAVNDPREPRSLRAVSPPNDAASSSSISSNNAEPETAPERMDAPEPMNTPEAVAQEPEEAADLLDVDDAPATAILAVLEITEAAKDAADRLDAVPASPTRMLHRARLQNTDPEPAPEPIGAIEAAAYEPEEAPAPVDKATPAPPTRRRLRSAGNGRAALDLTEPVQVFVPVNVAPAPRTRMHRQFAKKSAGAPSRRARVQVNIYEVMVSRVTIRDGKR >CRE29215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig279:1547:7520:1 gene:WBGene00071930 transcript:CRE29215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29215 MSPLPTSKKKIFFEGCHIFTFFRIKKLFFHKFHIFVENYIKFATIMCKALFLSNPIPNSNEKSTLSTVLKDAVNKYTPIVKGFIHPKLVGERPAGVREKVFAFRKKQKARLIDEDDKKQGVIVREDIGTGGWILEMTGRIYLGSEVKERSEMEGENCHHLYDGIKLGGDKEPICMSVWRTETVGKYIRRSCQPTCELRHLFGSELHLIVVARKPMKRGDEVTLALDSDCLDFQNQLKCIRHKWNPEDCPLEKQRLLKKAQKESPAAYTTVVTLTDSGDEMENIEPIAPRLAGFAGPSSSDASSRPSPALAAPPSGAILQGLQIQGPYNRRENEENLPVAQVPTTSPKLVDPPIICIHPLSLRDPIATSPPAPRLNFPLPSFNFNPMPSTSAKTQTLLFPSPPTVTRNKYSEETETILRPHFTIPPAHHQEIISNIKNNPRVTKQPFSCNLLLKDDVGKDELLFEMNGYFKKRSEENAERSKRHHIVIDGMPLSLETWQQDTLAKNMKRSCQPNCRLTFFYGEELHIFVTSNEAITKNSMITLPVEPDFWESDEKFCPEHMFQKKKCSVEDQWMQRMTSVAPVPQTPLEKSPIDKPDSEDGDQAEIDDPVENDDAPVMRAPQKARSPRAVNDVASSSSSGSNNADPESAQEPMDAPEAAAQEPEVAPAPVDVADVPSIARSTKRRRRTAGNGRAGNGRLHNVDPEPAPERMDAPEPIDAPEPMDAPEAAAQEPEEAAAPVDVATPAPPTRRRLQSASNGQPTMRIGRSAALDLTEPEQVDVPVNVAGPTRRTRHRSSKKTTGATVARVQKTQSRNSTAVTLPITDRHTRHTRPTVRSAPVLPTIHNAPVAPPAGRKNRKAQHREQAAYARSCKREQIDAGDAVNVDPAPAPPAAPMAPAVVDPSTTRRRRASQALSPVAPVSIRRRAASPLAQAIPHPARPAVPRRSAPAPSAPPAVPAPVPTPRAREVSVARQELIALIGQSMHPTRAAVKQLRDKNSNQGHGKK >CRE29332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:47929:53662:-1 gene:WBGene00071931 transcript:CRE29332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29332 MWSGTFYSIMVGTIIQLFGRDLLQLTKLTKDELADSFDIQKLVTQPHRVNMDLLTLVAWCLIIGILYILSLLALKFTTIRVVIVTLTFLPIAFMLAFFIFRIPQLRDESHKTEFFTKWKVGGHRGSSHDGIPENSLEAFSAVKAEGGQLAEMDIQITADGVPVICHDSNTVRVTGVDKDISTMNISEFRDLRFIGTNVSLPTFEEAVAHCVANGLMMIWDVKNVDENLLKQFVIQMKTHNLYSKVLVSGFNPIDTYKVKLADSKILTGFTWRSWELSTTDESATVPRFSGALNAIAQVLDVICFGLARSLLMPKFLGSDVIFYHVNDVSSFLKADAAANNIYLAAWTSNNAKEQGWLRDFLNVPFITDNVGLVPR >CRE29330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:44771:45930:-1 gene:WBGene00071932 transcript:CRE29330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29330 MSEFIRNDPIATRYAILYEFAKGKPIFESYQELCKTLKDDSFDYQEFEFWFMKFARNEFDVNYDRSLDPKCRSFSELPVDIFKKFGDYLSFDDRYNLRTMSKNIRNIVDSWKPNVVDLIFKNEMSDQDRLKYACLLKNPKLDLHTLEVLSDDKRSSELVVSILKQVKHIVRVRKFTITVALSDAPLIISKLDPRVLDDLEIRLKDEYIESLDTILQLEQIKGLKYFYILTKLDTHEFPLHCFLTYPVFSIVYDEATDLKPIVAFIRKLLKNSSNLKNCYFFFRNERFRQSYLRKSFSRLGMPVPFPGFRNYRHIPIPGSTDVYELEFSDRDICIERKKKEEVDYDSSSDSSDSDF >CRE29296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:42283:44353:1 gene:WBGene00071933 transcript:CRE29296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29296 MSGENEVEMHEEEPVEEVDDSDDSEESEDDDDVDTGDEDEETPENQRQAYIPGLSRPLKKGEELDFDPSAYKVFHSFNSDWPCLSFDVVKDGLGDNRTQFPAECYIVSGTQADKPRDNEIIVMGLKNLSTMRKQKENKGDDSDTSEDESDDEDEEQSKKREPKMHAVSIPHYGGINRIRADRLGDSTVCACWSDQGRVQVWNITDALNYSHGMSGESKTEVQKIDRPLFTNNGSGKEGYGLAWSPLKTGDLATGDIIKKIYLWQMKEGGQWAVGANPLTGHKKSVEDLAWSPTETGLLTSCSADGSIKLWDTRATPKDACVYTVQKAHESDVNVISWNRHENLIVSGGDDGELKIWSLKTIQYGQPVAVFKYHNGPITSVEWHPDETTTFMASGEDDQTTIWDIATEADGQTNIEGVPPQLMFVHMGQKEVKEVHWHPQIPGLAVNTSIDGFNVFKTINI >CRE29329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:39820:41896:-1 gene:WBGene00071934 transcript:CRE29329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-262 description:CRE-TAG-262 protein [Source:UniProtKB/TrEMBL;Acc:E3MXX9] MANLDDELALFESELAELEQTSSDATNTETHVGYDNATISAGPTSSESSAPPVSNPPVAPQPLLAPPPYVPLVASPFINPALGRLPTMPPVPPSLFMPPQLRGPPVMFAPRSLGIPAPATIEGAPALYETPAVNAIPQEFLRTQALQSDIDKMNFKKQTDPFKKKIKEQQAKKKFVRSGGGQVWEDPSLAEWDENDFRVFCGDLGNEVSDELLAKAFRKYPSFQKAKVVRESRTNKSKGYGFVSFRDSEDYVRAMREMDGKYVGNRPIKLRKSAWKERNIDVIKQKRKQKKELGIL >CRE29328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:10857:34589:-1 gene:WBGene00071936 transcript:CRE29328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29328 MADLEVNVDDLVELLSPNMALLVRRKTMEIVTQLGAPLDGSAGKYFQAKDFALGKAICQLCEATASDRTETLAALTNYTSGSIEAADFILKNSKCIEIAYTAVVANALYSSVASRLLVNVARHFPDRVDQKLRARSPDFITALLAEIKKAVSSGDEARAKFIGFTIVNLSILARVRQYIVGVGKTGDNNELAAPPSTTTPPLPIVYDLLASAAMPEIRECAADVLRNLAFDDALHATLLDSSDDYLCAIMAPLMDVDDGLDDEEMLKLPVRLQYYERHRDKNDIVRQKLIETLFQLCATKHGRETLRSKGVYPAMRELDKATEEAVSKKEHKLLSSQQEHTLHALIGILIRYESEMDVDPNLPSIRDLGFDDVSCEAIRH >CRE29327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:2196:9481:-1 gene:WBGene00071937 transcript:CRE29327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbx-2 description:CRE-TBX-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MXX7] MYIVYNYNNNNTYTIILYLLLVLLILLTFLIVSKSFKILYNMAFNPFSLARPDLLLPFMSAGASGGPAGPPPNLFFSMLQAGFPPGPVGSPPDDDGVVDNPKVDLDDSELWQKFSQCGTEMVITKSGRRIFPAYRVKLSGLDKKSQYFVMMDLIPADEHRYKFNNSRWMIAGKADPEMPKTLYIHPDSPSTGEHWMSKGANFHKLKLTNNISDKHGYTILNSMHKYQPRLHVVRCADRHNLMYSTFRTFVFRETEFIAVTAYQNEKVTELKIDHNPFAKGFRDAGAGKREKKRQLHRMNGDSTQSPPGKTASLPTHSPHPSESNSDDDEPTTKRVKSESTSSQNTPCTSSLSTSTTPTLSSHHPLRSPPFCIPASPIDMMYQNMPMELLAQWQMASLFPQFQLAMSNSPAASLLSKHLVAKNNVPPPPPSEDTEEDVVVVKKEIVDVKQEPTTPPKKGGFDVSDLLAKP >CRE29295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:1069:1785:1 gene:WBGene00071938 transcript:CRE29295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29295 MIKTTSVVSENIYTNYSNPPFFSRRTYGEEYGCCETVDVDVLLLLFCRSHSLKAWALVGASSEEITRQSELLREANAELASCLRRNAQSLQNLERVTPSMGSTTESFAQLPSSSDHYD >CRE13107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:40:711:-1 gene:WBGene00071939 transcript:CRE13107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13107 MGFKYHCANCQVYLADTAAIMSTNFNGVTGQAYLFKTVSNVSYGALTRREMMTGNHFVRDVFCGG >CRE13102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:7699:9182:1 gene:WBGene00071940 transcript:CRE13102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mai-2 description:CRE-MAI-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NEV3] MLSVSRAATRLTGVVARFSAGGHGDGAGRGGGSGGSIRDAGGAFGKMEAAREDEYFYKKQKAQLQELREHIQQEVDHHKNQLENHKKVLDRHQKRISEIEAEERALGKE >CRE13109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:12357:17107:-1 gene:WBGene00071941 transcript:CRE13109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-9 description:CRE-LGC-9 protein [Source:UniProtKB/TrEMBL;Acc:E3NEV4] MYFLQLISVLISMKVGHVRSSRLLQHNHNYNDDGYVKAEPTHLIETIQRRYDKRVRPFAETNRPVIVHMTIVLGILTEVRENQQVASFVISHVQKWRDPKLAWNPADHSGLTQVVMPRSLVWVPKLFIYNSMDTKDMLTDDRYDVRIQHTGHVKVNSPQFVSTLCRINIDLFPFDTQFCAIALASPLLSVEEMDVNATQPPVDSYFSGNAEWQVMNVTVKQMKFMEEGEYRAEVHYILHLNRRPTYYITVIVVPTFLISALSILGIFSPGSNDGPRNEKVSLGLGSLLAMTVLLDIVAGAMPKSDAIPLLGYYIMLVILLCAIGVAVSMAMLSMSRSCIQTSKMPPPHYYRLLFLNVCRVVKQNGVNSGVPMNEKPTTITPRFPDLISIYNQLIEVARAQRTYRERIEKQKWQHRVEIEWNKIFARVDFFFLFLFEMFNVLVLVLFLRYAFLPVPPLPDNFSI >CRE21131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:158261:158554:1 gene:WBGene00071942 transcript:CRE21131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21131 MMLCFFLFEFLVFIYDTKLLRDSTVVPFLSYLSISSTPLIKEKLRDEAAAEERESPVVLFIFLTDGKCFNLRRLKHLMALRGRSIFPKGEGCHGAKI >CRE31402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:138189:140258:1 gene:WBGene00071943 transcript:CRE31402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31402 MTTQSFFLLPVFLLSFVNAQCYNAEDSAIGDLCYSFPRFQMNFQDAQNYCHSQNQNLAVIHTSTQSSFLATIVRTRSNTTNAKFWIGLSRSSANSSYVWDDRTPLSWSNFNPNAPRDGRYVVESTANAKWQTETASSLLDFVCSYDPFTTGLASVTCLFMVDLQSAGIDQSAITTYRSFYNFAQLVGSKLNDASDFSGYLDTFGYSSYLGNHDMFSSLNYDDFKNIPFPIDGTDDDIDMDLKDVDSTLANAVWSPPSQDQTCLIFFSAAPVAEFGGTTIKSSYNSFTTVVGVLLGGATSIPGLTNSISVSSMTDADAQAVVLKLLESLQ >CRE12518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:445751:447793:1 gene:WBGene00071947 transcript:CRE12518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nprl-2 MLSPPAAVKYVSFSWAVFYVLAVLFGAPFFSDFIATAVLATTLTLVSAAPAVLLFDSEEQLLELLVSSFSCENIKTNKESIYLSTSTFAVLGAWAAAAVHPLDWDRWWQRYPLPSLIGCVCGALLGLLVGIVRVYIGKRISYNKAKKRPFATGDSSGGRFGHYTFDDAPKLLGILLAEFDNTEGPIIKHSVPRGNINVEATFSFTKGLIIPKPSMFRHSFSLTIKSLGCKVLMFPVGIDHTSYERGRFTFDMAFIVDMTSSAETMYEPIVQKCAEYLIELEMEYNFLTNSKYQKQVLEMMEKMFIELSSKGESVIEITLEDDKIVSLYFKLCPLYRGCEPPEIDYHMVPMFIREVDLTDRLIEKMDVLSQKIIPQIDGINTVREIAISLELDPSLVARCVRNLHFYECVSLVPMFLYYNTYVATERVHDFYKNQKEINECLDFVKIQSITTEDGSEIPMPTPEFSDVFRLYLSMKVCGKNIGDWTDIENPRSMGIDEHRLVQFGMHHQFLRKLSVYPICTVNPGNNSILKACNGKTSLDELSLRHNVEPRTMFEILSETEKFEFVMK >CRE12719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:443710:445438:-1 gene:WBGene00071948 transcript:CRE12719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12719 MGKNKKNSSSLQTPWKKIEVDFSGEKSLINGADVFEEIDVQELESKILHPLLKSGASFEAVLSRIIEWKGYLQPRSQCLYSELDSKIKEEFEHIRVTLPQPFDEYQWQELVTITEIDLNKTPISINGIPTSTITICNSLRILLKDLNVNVRSVEVFPFEVDSFGLPPINCKKIWNPLIWLRLEIEKKIKEFCQNDLPIINQLVTSLDLAPKEGYFEKLAVTSFFLEKTADNLDYSKSGNKDKFMSDLQMMFGTTKVDELTMVLPDILMAYSEELVERVMQVSYFIPFELFRLMFLCWQPKVLRLRLRSEACFSIEDAARIEWDDEDVFTSTTLFNCPLFDRCEPVSLGDESEILECSLIVETTGSRLFDPIYNEKNFDTVGRDFLILFPMHTILIKKTVTLKSGASVKTQLKQQIDFIVNTFFCNAEEHQERVVHYELVFANSREVQMSVVRKCIPQTANKRKIGVNYNVEQDTKSLFNKYGSSQEGKYLFVSFFDSENQVIVDVKIILTS >CRE12718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:442734:443344:-1 gene:WBGene00071949 transcript:CRE12718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12718 MPLFGSKKNDKKDKSNEAPSYPKQAAVPPPQVAHYPMTTTYPQGTFVQQNEQFYFTSAGHQLQSAPNATTVAFARSDLPGAYDNFCEGFLDGPDFSNRVPDRNIPPAPGSYYFYSHGAGPATSCVPPYTGSMPGPSSAPPPAPPTSSSGNLPPPPTYEQVLSQEVKEKL >CRE12717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:440835:441913:-1 gene:WBGene00071950 transcript:CRE12717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dif-1 description:CRE-DIF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7E8] MSDVLLNFIAGGVGGSCTVIVGHPFDTVKVRIQTMARPKPGEKPQFTGALDCAKRTVAKEGFFALYKGMAAPLVGVSPLFAVFFGGCAVGKYLQQTDPNQEMTFIQNANAGALAGVFTTIVMVPGERIKCLLQVQQAGSAPSGVHYDGPLDVVKKLYKQGGIASIYRGTGATLLRDIPASAAYLSVYEYLKKKFSGEGAQRTLSPGATLLAGGLAGIANWSVCIPADVLKSRLQTAPEGKYPDGVRGVLREVLREEGPRALFKTYSHFFQYVCTEIFQGFWPVMLRAFPANAACFFGLELTLAAFRFFGVGGHPTPSTEVVPVPHDE >CRE12517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:437972:440509:1 gene:WBGene00071951 transcript:CRE12517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12517 MPKVAGKKFVLISIDDEGEAKQNVRKLRRYLVNHKLKHVFSSDPDEIKEFLEMLNKNTLVLEENFDLQEEADQLLEENQLVMKTSSNQEILEKWARGTFSEDSDAIQLLFGSSLAVDQEKSAEENHQEPEEDENTIAIPPLFTVPSLELETLNETSIIENGKEENKEEDISNCLVCCNQVEVGRNRNTKIRRQAQHVIDCHIQEEFRGVEISKRYQCLQCFKTLPVSKSPCEHISDQHVKNETEVEFRDLWSKEHLSAFNLSLAKCFGRQLPVPRSRRIRNEHPEAPNSLTPKASYRIVQGDEVVQIDTVFEKSHTIVNKSPDNKDLAGPSTSLVPELEYLTDEEEIHLYELDSEDYDASMRDIEDPTEKINADSPLSNVNQQ >CRE12716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:436897:437685:-1 gene:WBGene00071952 transcript:CRE12716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cdd-2 description:CRE-CDD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M7E6] MAASTLPQDISDVELVHLARNAMKRAHCPYSKFPVGAALLTESGETILGCNVENASYGGTICAERSAIVSAVSQGHNKFRAIAVVTGLSEPASPCGLCRQFLVEFGDFKVVVGTASNSKILTTSTRDLLPYAFTPESLDIFEQEKSSTSKVSQDDVKEHDAVAT >CRE12715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:433870:436895:-1 gene:WBGene00071953 transcript:CRE12715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pam-1 description:CRE-PAM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7E5] MATCGNPAPAFKFERLPTNAEPTHYDVRLSPCLNQFSFEGLSTVDVTIKEATDVLKVHAQSLLIQSVSLITNPGDAAKKLDTTYDDKLNILSIKLPSVLQPQKVQLVFKFIGELNDKMRGFYRSQYKDKDGSEKFLASTQFESTYARLAFPCFDEPIYKATFDVTLEVDSHLTALSNMNVISETPSTDGKRKVVKFATTPKMSSYLVAFAVGELEYISTKTNSGVEMRVYTVPGKKEQGQYSLDLSAKCIDWYNEWFDIPYPLPKCDLIAIPDFSMGAMENWGLVTYREIALLVDPGVTSTRQKSRVALVVAHELAHLWFGNLVTMKWWTDLWLKEGFASFMEYMFVGANCPEFKIWLHFLNDELASGMGLDGLRNSHPIEVEIDNPNELDEIYDSITYAKSNSVNRMLCYYLSEPVFQKGLRIYLKKFQYSNAVTQDLWSALSEASGQNVNELMSGWTQQMGFPVLNVTQRQDGNNRVLTVEQRRFISDGGEDPKNSLWQVPITVSVGSAPSDVKARFLLKEKQQEFVVEGVAPGEWVKLNSGTTGFYRVEYSDEMLTAMLPDIASRKMPVLDRFGLINDLSALLNTGRVSIAQFVQVAASSANEDEYVVWGAIDEGMSKLLACSREMSEETLKSAKQLIVKMFEKTGADLGFAEQSGEDSQKMMLRALVQARLARAGHQPTIDKFNQMFTDFLEKGTPIHPDIRLATFGVVARSTGKEGFDKLMNLRETTAFQEIERQAMIAMSQTPEQPLLAQLFEYGFEKNKVRPQDQLYLFLGTGSTHMGQQYAWQYFCEHIKEFLEKYGGANSSLFQRCLKFAGESFGNEKRAVEFQDFFCNCKDLTDTDRQTLARPIGQTVEAIRLNARLLEANRSIIENLLKQSNL >CRE12516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:430560:432210:1 gene:WBGene00071954 transcript:CRE12516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12516 MNETYTLFFQKHLTVSTTAEKEAKRAKKEAKRQSQKSQKAAEKSSNAPDGMTNTGRRTSSVTAQITRQARRFSTAIAPTLTKIEAVHILQKLDEVRVKMNDVAQLQGAIEHYVLRNSAQFDPVELDIINKEGFRIMQASVYPDEIVLQEGSKKICEVTLIDTEDTSSLLKIKHPVSGMTVYELRELGGTILIQTNTDELKGARVMTQTSGLSSLFLNCGCAFSKETWSVLTQDKIMASVRPNRSFWSENEIKVSSKELEGFSNNQFQIDWDPNCENELRLISLVFGIGQMVRVAFPQLFHIVKEFRQRNQ >CRE12713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:415708:417630:-1 gene:WBGene00071955 transcript:CRE12713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12713 MISLTQQVNAVRLDTHKSDTFEQNDLVLDNSGAVLSGSRDALIRRLVPTRDFCPDESYIFSLLVNIRTFISPHELMQKIVQYCMFAQNADSHNFAKEGRGRMFAHILRLCSEWATNIPYDFKTEYMRTRLNELLRLCAVDKTYQQKTTDLQATLRSSLNKLDRYEKAVANLQKALSENTNLPEQSDSMTGLFLMCNDAKIVAQQLTHIEMERFSMVGVDEIVQSLASDPLSEIGRHSKNKEGTISSISFYIEWFNRLSAFAAIEVLKQTKKRNRVAVFEFMIDIAKECCEIGNFNSMMAIVAGLSLPAVSRLKKTWCRVEKAKLEILQHQLDPSGNFLSYRATIKAAQWRADSARGNQQKIVIPFFVLLLKDLFLIYHGHPRILPNAHLNFMAFNQLAEQLRDVIQWKSTICTFEKNPQVLQYLLITAVSGEKDSMLMSFECEPPESSSERDQHKKLKGGK >CRE12712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:413497:414814:-1 gene:WBGene00071956 transcript:CRE12712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12712 MICPKSLLLILSILIVSTEAGRYRRQGLPTLGGLGELNDLLPCNAFTRCSGKGLCLGTAKQSTCMCFLGWSGDSCDSVANLMDPFNIFSNSKNNQLFPNLFPTASSLNLLNNPFGLDDDALEMCTASDCNGNGVCVGSKKAPLCLCNLGKTGFKCESEISGLLNIDPSAPITFCSPSDCNNKGLCLGTKNSFSCACQIGYTGSRCEKTPVTLCDARDCSSNGLCIGSKDSNTCACYLGYSGERCEKITGTICESSDCNSNGICIGTKNLKSCICAPGYYGSRCESRFTLLPGTEALFCESKDCNGNGICLGNKLLPSCICAPGFTGLRCELEPLCTGALQCSGNGLCVGSLKSYSCTCNLGWTGPTCAQSTLLG >CRE12515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:412266:413343:1 gene:WBGene00071957 transcript:CRE12515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12515 description:Nitric oxide synthase-interacting protein homolog [Source:UniProtKB/TrEMBL;Acc:E3M7E0] MTRHGKNSTAASVYTYHERRRDAKASGYGTLHARLGADSIKEFHCCSLTLQPCRNPVISPTGYIFDREAILENILAQKKAYAKKLKEYEKQLAEEKASAKQAEGQAEVLTKRTQFSAIESTPSRTGAVSTPRNETGGLKRQGGVISSEIAAKVRAHGEEGTMSNMKGDKSTSLPSFWIPELNPTAAASKLEKPSSKILCPVSGKSIKMKELLDVKFTPMPGTESSAKPKFVCPVTRDELTNTTKCAYLKKSQAVVKYDVVEKLIKGDGVDPINGEQMTEDDIIELQRGGTGYAATNEVKAKLIRPQLELQ >CRE12711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:408915:411608:-1 gene:WBGene00071958 transcript:CRE12711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plc-4 description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:E3M7D9] MAKNVSPEEQAAAQAADEEKTKEELEWAEKGSLLCRIKNQKVKEMSLVTIKSKQFLNYYSSYWFNFVPNALKSVALSELLEVRSGYQTDNLQRASKKYEFQELAPESRCFSVIFSHAKFLHKSVDFCADSRETRDKWVSVLNHLISVAKHQRVVFNETAWLIDKFQQGDTNKNGLLTFDEVWNLLKRMNLQISERYAKAIFRESELENSRDNKLNEKEFLNFFERLTDRPDLRFVMTQASSDNVETLTVADLQRFLTVEQGFENVDMKKAEQILDTFEQTVQDKQKEKLMGLMGMRRLMQSRWGNVFKPGNESIFQDMDQPLTHYFVNSSHNTYLTGLQVKGEATVEGYISALRKGARLLELDLFDGEHGEPVITHKRTFIESITLRNSLEAIKRTAFETSPYPVILTLENHVGFVQQAVMAELFKEILGDSLYIPPKDSHRHPLPSPNKLKRKFLLRGKKIILEEEIEEPDEDDSPIDKDKHHVHPHPVAPELSALIGLPSVKLSHNIYQDVNKHPFDGSPSLSENKVYTMFEAAVPIFTYTAERLVKSYPKGLRQDSSNMHPIVSWLCGIQSVAMNFQTAGEELDLNAGLFRINGNCGYVLKPGCLLEGIDPRTMTKPKLKLGIGLFSAQYLPKSEPGKEIIDPYVSVQIFGIPRDETKAKTRIIKDNGFNPEWRDNFYFTLCCPELAIIRFCVKDFDSTSSNDFVGEFSIPVMSLRTGYSQIQLNTGYQHTLDPSASLFVRIAMEEEY >CRE12514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:407690:408749:1 gene:WBGene00071959 transcript:CRE12514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12514 MYRSEISKPQLENPNLVDRIIVIILPNYFYEYEGVLKHLRKENFGIIEKHVKHFKADDVMEWKSADLESQDIWELSDRLSDGPCMLLLCQRANAFIEMREVARYHNVIAHRMSSNDGIYFSKTTIAAYHDTLFFFPKYIDEKAILKHAKDYLSVEVWPKLSQGLARLAVERPDNPIVSCIPKFDNIYLCYFLQKWLAEHLQQMRS >CRE12710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:405431:406870:-1 gene:WBGene00071960 transcript:CRE12710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vdac-1 MAPPTFADLGKSAKDLFNKGYNFGFLKIDSTTRAGDNKEVEFKSAASHNIGSGKLGGNLDVKYKIPQYGITLTEKWNTENQLGTVIEVNEQFGRGVRVTLDSLYAPHAGKRSGKVKLDWALPTARITADVGVTAAPVINAAGVFARDGWLIGAAATFDSSSNKLAATSLAFGHATPQYTLHSFVINSNDFGASLYHKVAPNVEIGTQLGWKVGGNGADYALATKYSPSRDLAIRAKVNSSSQVAVAATHSLSPALKLTLSTQFNLSANDAHKFGLGLEFDPSN >CRE12513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:403195:405301:1 gene:WBGene00071961 transcript:CRE12513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glt-6 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3M7D6] MFIRFHTSSPFRLLPSLTPITCFRVFLPSHNLLSNHASGEEEMKSRRRDEVVQFCRENTLLVMTMFSVFLGVVLGFGLRPLNLSQETLQLINFPGEIFMQVLKMMILPLIFSSLISGEYFLFIVKSNFLFSALAQMDAKESGQMGASTVLYYLSTAILATLLGIFLVTVIHPGDPSIKGTEIAEAPSEGNVSPLDTFLDLVRNMFPENIIQATFERMQTTYVAIRPKIASKNGTNIIVKRSIGMTKGMNILGIIVFCTGFGIVISQLGERARIVVDFFVILDAVIMRWVVTLMWFAPLGITCLICGNLLELDDISDIASVLALYVFTVCAGLVLHTIITVPLMYFFITRENPLPIFKGMIQAVVTAFGTASGGATLPMSMQCLEDHCGVDRRISRFVLPLGSTINMDGNALYEAVAVIFIAQLNNVQLSLAEVLTVSITATIASIGLGSVPAGLVSILLILNTVGLPVRDVSMLFTVDWLLDRVRTAVNVLGDAYAASVVQHLLQKKLDKADARHDYKAEIKGEIELLKSAATSRRPSFTMSEASKELFLAQARSEGNSRIGSRIGSRRPSSTNLHLSWRNNPIEPPYTPLPNDENV >CRE30572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1008:2701:8403:1 gene:WBGene00071962 transcript:CRE30572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30572 MKVVIYKGYLSHQELCQRKELEGYWCPVIKRHYECNPASELHGTQKMWYYINKGLLSSSIISCASEFFPILLVTHWLACGGAEERAEDIEKRMKRKEGVRGMLREFMKDVSRVYVEHPALENKPLIVSKYLVAFFVLISPIVMIMSALKWLFYFYYTIDFDELVDEHYMTNDYINLAANVCQVFFFGALYAFSRTIPNERLDAHHKAHARGDISILFGCCVVLLIKLILQSVEIEYQRVDGFIIWSDAVIQNVDLVMVQLTQWLQYFAVRRLLALSDKDCIATKRFLPLACLAGLLLAWIHFGVTFFQTSLIKYQLTDEKFPFSSTTLICMIFTQTLFPADYLFAFTVSGCYLEFLQRYLNMGYFQLGEPRVNITHGHGHGHGHSSTDHEELHGEEDHKSKVASMLYAASVLHRKRFEERGNSTDTPYDCGAHGYCYPANRTTATTCSGCTCSDQSTQTSDTVCTGPTSCSPSPCTISNQQCNIVDDIPTCTCAAGYTGSDCTMLTSDPCSPQTCLQNGVCSSSGGTYTCACAAGFYGEQCQYSGDPCAGYCSNGGTCELIFSETTPYCQCPFDYYGDTCQTARSVQTSYVGCYDDSSATFTDYYVYSTTITKGNDCRDALVAYRETNPTSNYAYSTMSGTKGECLFSTTNTLTDPPQAGLLGGLLAALLATCSYSDMNSGSASVYSLNDVCTPGPCGDAAGNGKCIQTSATAYTCLCNPLKTGSTCQSDATLTPCASVDCGVGTCGITDDQIGSYCLCTSTNQTVPCVGDPCTSKPCLYGGTCTDLGNGSYSCACLNLYMDTNCETFNSCYINKCENGGTCIPTYDLLDSTFTCQCTPDWKGTLCEEERFYCDETPCQNGGECEDIIGPPNSYNCTCTPQWTGTNCTIDVDECAEDTTLCKTKDPDATCVNTNGSYYCVCGPNMFGKSCLFNKIIYQILNATYGNLGPDELDEVRLPFQIELNLFSMFQMAQELTNDPTLVRDIIPFLIGGYSLETRTALSWTAEDMFLWVAYEQQLIDLNSNFVMWNDKVLGNCFTFNHMNSSFQYKARESGYSGGLEMQMNVKQSEYLPWTETAAVMVFTSTKEEVVTSESVRINTAPHFESRIAINRKDYYRLGGRYGKCVKAIKEVKSYYYDGDYTTDGCLRSCYQDVVSESCQCMDPRYPMPSDGISCNISQKNCIDALVDSKGDPSTWPQCSCPLPCSQTVYTSKLSRLPYVNKIVDCENSSVNKTSCYEKYFDSVILRIALPKLDYMIYSETPAMDLTKFMSYLGGILSILIGVSIVSFVELFFLFVQLIVILLFNKRL >CRE30574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1008:8508:10579:-1 gene:WBGene00071963 transcript:CRE30574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30574 MATKSATTATAATTTTPTEINGSKSKRRRYFANGRSRTIELSTLAEIDEEEFAKAEGASSQPEGTQKVLITQDDMCIGTTGGSYKSLKRGSVKAQALKLSERLAQKVAADNLAKEREEKSEMEKQSCSKPIVVDVKLRRNLTEIRRDIRNFEVVYLLPYDHYLFSFSVASSPPNDDSFHCCSKLPALFFIHLFYCRIRVFALILPDMASTYSYAEFNKIFNETVPKTDHLPVVVRVIFKSHQVQIYLLQTTTDFSTSQDWPQQQQHEFQPNCSIPMQRHPISRSASFVAPTPLIVYGMPPQHGDRMSMIDGRCDSRIGEYAMPLYYMPAPPPPQPMFLPYPGASKMGMTHFDQPSMVMMPGHPLQYPEMQMRRKMKSVDPHRRKSWCSRICCAGFAQLLWTIVCIISFGIIASLILALCYM >CRE10681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig299:48828:53745:1 gene:WBGene00071964 transcript:CRE10681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10681 MFRGVNNISFTFSVHWFYSIAFSPSFTQDGSLWKSVRWELTMWILAFAVVQCLYRYLMTENQQRFFEYAAIHLNVLLVHIPLTFMLGFFVTIVVDRWRSVFTNIGFIENVALSVGTLVAGTDHAAKNIKEFRQSVEMLTKYDWVPIPIAYPQVVFLVVRVYFIICLISRQYLLSAPPTEAQSIVPIMTILQFVFFVGWMKVAEALLNPLGEDDDDFECNWLIDRNMSTGIEIVDTCHDSCPPLKLEEPDDEKGTMYWCHPTKNGSEAPPTAVAVSQHKNPFLKVLIMVHPTTSESSPPSYRSETINIYEDYCFICDCFIIRISQCINASQVRLSIKNL >CRE10680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig299:30600:33005:1 gene:WBGene00071965 transcript:CRE10680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10680 MWILAFAVVQCFYRYLMTENQQRFFEYAAIHLNVRLVHIPLTFMLGFFVTIVVDRWRSVFTNIGFIENVALSVGTLVAGTDHAAKVLRRTIIRYLVLSQVLVLRDISMRVRRRFPSMESLVTEGFLYRDELEKMYKCETMYNKYWLPIHWANQLVHKAMFETKNVDSVQSMNSVLMNIKDFRQSMEMLTKYDWVPIPIAYPQVVFLAVRVYFIICLISRKYLLSAPPTEAQSIVPIMTILQFVFFVGWMKVAEALLNPLGEDDDDFECNWLIDRNMSTGIEIVDTCHDSCPPLKLEEPDDEKGTMYWCHPTKNGSQAPPTAVAISQHKNPFAKVLNMVHPTTPESSPPSYRLDAPKQ >CRE18357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1723:525:1495:1 gene:WBGene00071966 transcript:CRE18357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18357 MARFDLEIRSMGAGNVDSCICSCTVLLSVFDDRESAKFVEYAAIHLNVSLVHIPLCRVAEALLNPLGEDDDDFECNWLIDRNKYVNFEIVDTCHDSCPPLKLEEPDDEKGTMYWCHPTKNGSQAPPTAVAISQHKNPFAKVLNMVHPTTPESSPPSYRLDVPKQ >CRE10683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig299:21268:22166:-1 gene:WBGene00071967 transcript:CRE10683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10683 MKSVKRGSGVEIGDKEIEDMADVEKDGETSKPVKKIGRKPKAKTTDGYEKYEKDKIIEFISLVEMRPELYDMSLNPSHRREISLKLFDHIEEECKGFMPRGKHAHGIYPDNLSVSFVDCSGSTAQKIWDELVKDYNKYVKRVEKIRTGSAACSSSANFEFAVYMSFLDGPRHKRQVKNSYMIGDDQSPVMEDLSDNENWEPFGCNSLSSSTPKTSGTQSFSIATPKTSGSESLLSSTSNISGNKYLTSSTPKRKMFDPLEPEDTPKR >CRE10682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig299:17786:21198:-1 gene:WBGene00071968 transcript:CRE10682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10682 MKQVMDDSKGKKCETEDICSMFAQKTAHWPEMDRILAKAKVVSFIGSLKLPGPEAIPSNYGQMNYERRQMDVVALFLSLFCYSCCCYKTVEKDKRNLYTLLTVGSNIISLQPSKKSILSMGLSQPTLSRLISSCIHDICKEAPKYIKFPKTKAEIQTMTRAFADKTYSNGTVKVVALFLSLFCYSCCCYKTVEKDKRNLYTLLTVGSNIISLQPSKKSILSMGLSQPTLSRLISSCIHDICKEAPKYIKFPKTKAEIQTMTRAFADKTYSNGTVKGVPCFAVVDGKHWRCDHPPNTGSLNRNYKSFFSFNSLFVCDNDTRIIYAQISELGVNSDAQLFRCGILDELMERAVRTVGYQKLGDSDVIMPPFILGDNGFGLSKHVITPYRQNQLASSGHIKFNEIISGSRVKIENCFGILTSKFQIFSRNLRLDPKTSRALIVSASVIHNISLGPLEVSPENDDDDECTDPYRTPEEQRSALREYLLNR >CRE10678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig299:7621:14330:1 gene:WBGene00071969 transcript:CRE10678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10678 MTWLFSRISWQLNSVLRDCNGSKWKYPNYQKTVSKSSKLQKSFLSLRSVQDRLSSRWYGSIWKSVRWELTMWVLAFAVVQCFYRYLMAENQQRFFEYAAIHLNVRLVHIPLTFMLGFLVTIVVDRWRSVFTNIGFIENDQSGYRVSEVEIWSQLRDRTQPIVMQLEAKNLSTKLVSSNSNVYIEVHRVDEDQKRMLYRSEVAKQTKLTWRPFTVQSDDLYGTDGMENDADSVQSMNTALMNIRQSMEMLTKCDWVPIPIAYRQVAFLAVRVYFIICLISRQYLLSAPPTEAQSIVPIMTILQFVFFVGWMKVAEALLNPLGEDDDDFECNWLIDRNMSTGIEIVDTCHDSCPPLKLEEPDDEKGTMYWCHPTKNGSQAPPTAVAISQHKNPFTKVLNMVHPTTPESSPPSYRLDVPKQ >CRE07899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig655:1131:2856:-1 gene:WBGene00071970 transcript:CRE07899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07899 MWILAFAVVQCFYRYLITENQQRFFEYAAIHLNVRLVHIPLTFMLGFFVTIVVDRWRSVFTNIGFIENVALSVGTLVAGTDHAAKVLRRTIIRYLVLSQVLVLRDISMRVRRRFPSMESLVTEGFLYRDELEKMYKCETMYNKYWLPIHWANQLVHKAMFETKNVDSVQSMNSVLMNINDFRQSMEMLTKYDWVPIPIAYPQAQSIVPIMTILQFVVFVGWMKVAEALLNPLGEDDDDFECNWLIDRNMSTGIEIVDTCHDSCPPLKLEEPDDEKGTMYWCHPTKNGSQAPPTAVAISQHKNPFAKVLNMVHPTTPEPSPPSYRLDVPKQ >CRE07900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig655:4351:5620:-1 gene:WBGene00071971 transcript:CRE07900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07900 MNMVRICHIMEMRRACKAPPLLPPKLRKEGSESTDRRGPKDVMDLTFRVNTLAPERYPSSSFYLHLYESQDSSDGPWNLITETDRLGTNETACLEDFLAIEFSFERLQWFKMEISELSENGVKVFKASEIFSIAQICAGPIIFPMVDQSGYRVSEVEI >CRE15701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:54873:66366:1 gene:WBGene00071972 transcript:CRE15701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clp-7 description:CRE-CLP-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NCA4] MSDEEEYYDEDGGGQDDQGGDDYGYSGDDFGGGGYEDGNQYDQNQGYDQNNQYGQGYGQDYGNQGYGNQQDYGNQQYGQGGGGYDQEYQQNDYQGGGYDDQEQYEEDPEEPEEEEDPEPEDQEVDESEEDVVETSEDVEEEEEEDDGYTQVAAHTNQASDGNGGDGNNADHGLGSLFKGAMDGFGGGGFDGVVGSIGSLISQGGGDSTGGLTNVFSSGGMESIVGNLISSASHQFFGINPSTGAIIGAIAGNIIFQMGGQNNSLSSIGKVVLDNIISGKFKRDIQPFTPGGTVPGLGFQQQFQTINFQQERQRCLEQRILFEDPQFPANDSSLYYKTRPDEPIVWKRPGEIYENPQLIVGEKSRFDVKQGALGDCWLLAAVANLTLRDELFYRVVPPDQSFTENYAGIFHFQFWRYGQWVDVVIDDRLPTVDGRLCYMRSQENNEFWSALLEKAYAKLYGGYEHLDGGTTAEALEDFTGGLTEFFDLEKGDKSTILAMLVRGMQMGSLFGCSIDADENVKEAQLTNGLVRGHAYSITAIQTVNTYSPSPLNQWNPTPPISLQFS >CRE15713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:38433:42783:-1 gene:WBGene00071973 transcript:CRE15713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15713 MIFGSFFSELFKFSDFPCYYFCLYPFLTPIFHIKSTKSVIFSHPFSPTQEMAALAISQCVSHVARPVSPMTQSNSSGSRQQLPIRSALILRKSTRWQWEKEQTGMTDAELKKHLQQKGTNVAELLENEKKQVQAVQQIVNELSKAGISARVVTRQQLVQYLPDTDLVISAGGDGTFLAAASAVSDQTPIIGINTDPIGSEGHLCVGGKTPPRNLIERLVSGNLNWVQRSRIRVTVSEKNSLFSMKKTAKKVTNLALNEVFIGEDEAAKVSTYNISIDDSQTVKQKSSGLIVSTGTGSTSWYLGMNRIDENATTSVLEALQSLGINVPITRNLVEKVVTTVNEKIPFEPDHPSFAFSIREPIFNATYKRTATRGFARKIRLESRCTNGYLVLDGSTKIPFPRGSIATIEINSNDALKTVIV >CRE30552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig608:3780:9315:-1 gene:WBGene00071974 transcript:CRE30552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30552 MQTCANQQEATILFLTLLNIDPTAAIRQLFKRTDIAARKAGQGLLVSQCRPVEPEEIYWNRRINDTCFDLVPMLVEGKIWFLLEGTDDLVADSGSIECKRPLVTGKVHVENGNWKNEQGTEIWVQTLHRPIRRTANQFLFQAPAVIGNDLLGPGTSSAANEELNKIYRRRVNNITFRLLEENIVKAKDYITTKVRVHKDKVANTLDELWENTGKRVFAAIKHVIFNVWTFTLLVIAPLLLVVILVIILYCYCKFRLSRSAASATANRLIEMATRQLRGVNHVDYDGGHHVYIAQDINEEYPIPGVYSVLHRKNRGHLPVIQIEINGRKVHALLDTGAGISYLPVSQIRPEELDVGKEQQARAANGSVIRFLGTTSQTIKIGEIEVDQTLLVSHDDDCPSEILLGVDFIRNMNKMGHPVSFDMLKKEVQIGTEISLVCNVELAPEREEIKVAVCHNCTVNPTSEAIIPVKLVNYRKEFGTEFMIADNKKESEQIYAIARSVVSTDQEGKALLQLVNPSATPIKLFAGQALATATIYEQIWEDSYTPPEADWTAKLPLMPQPTPPDYKPSNEIDLKNSIFTENQKSTLRNIIDRHPKAFVGPDGVLGCYNGTIRHRIDFVKDAKMPAPRNYRVPLERRHEVEKQVREMESQGIIRPSSSPFSAPIVMVRKADGESWRFCIDFRQINNITEPVQSILPNLQELLDVTAENAIYTTLDFASGFHQIPLEEEHCERTAFASFMGVYEYIRMPMGLKGSPGTFQRIMHKLIKPLRAKVFCYIDDIILTSPTPEQHLEDIEEILTMVEESGMKLKPSKAKFGQDKIKFLGFIVSAEGIHPDPEKTVAITNYPKPKNVTEVRAFLGLASFYRRFIKNFSKITTPLTELTKKDSEFHWEEEQEKAFEQLKFALVSSPVLAAPKLGFPFVIETDASGKGVGAVLMQARDRESTDFRVIAYASRVYNKHEKRYPAIELEALGLIFAVTKFRPYIDGAETTIITDHSPLKALLYRTDLQGRLAKYQIILQEYNVTIVYKPGKTNVVADALSRHHPLINAVIPLWVDLKAIKAEQQAAPELEKVRDTFGNFENRDGVIYKNTEEGIPVVLLPKNTQYGQTLAEMVHGSLEEGAHLGRDKTLHRIKEIAVWPGLEATVRNIVAACPTCQHTKDSTKTRTRAVLHELGEVSQPFERVHCDFIGPLAETERGNKYILVFTCAFSKFVIAEPTENQSSMSTIRMIADRLFARFGIPKVLVTDRGTNFLSKTTQLFLQSKNCEHRTSTPYHHSANGQVERANQTIEGLLRPHTADGCWDVYLQKVVHAYNTSLHATTRRTPFEIVHTFKPGSPVKNALNRKGGEVVAAKCLDDPRKEEGKQPDNHAEVIEYEAYKQEVLKKAHERVKDILLEKTSERNRKFNQKSTINDVKFEIGQKILLRKGKSDKLSPPFAGPFEITGIREPNLIIKGFGRATRSGEAKESVVHKNRMPIVKHLHPETPGENVTRFTAVVLKEYQGYTLMYSKKFGKQLVTMEGSAGPQQPGSVFHIIVFEKNYTPGKYHLNNANCTGWNIELAPEQSRIEAKYLPSLEAPGHGEVVLRILYSDPWMVTGRIGDFKNEIIPFEVISMDQRQKQWLLQEKDAGGMVAIEAQVALDGLLMIGGQRLNRGTRNPVGKAQRERGRIVNRTDIRFVEDATLRQILVTDHPFLFPHKERIMAELAPKDEEAAEGEVESVLDDLEIIALDELDD >CRE15712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:18410:20034:-1 gene:WBGene00071975 transcript:CRE15712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15712 MVFYAHLYATTANVRFDTKQEEDIEYHWDQQSLSDSLDSHKPNASLGYGDKSIGSNLYNVLKTFQKFLNNGKVSLCGALVFIAAKRYPDESDVSDIISQLRANHVMVHIAVDSIPSGGSNSASLYELSYQTNGYCAFATGSDLSGAFDWMTATLQHPYQFIAQNFVVSGSGRIEIPAFKTPISVGIREYLRFAITVQNHTLDNSFVSMNYTIASTDGSYVYEYSSNQSEHLYGTAQSDLFIVSGSLSYKWTIDYHYNTDAPQIIECRMYSRYYHDFVPLPDFK >CRE15711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:11491:15109:-1 gene:WBGene00071976 transcript:CRE15711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15711 MRAQTFLLIFCLASSIVYPVFGKRCRCTTTPKPYTNTQTFLLIIGISISYPEFGRRCRCVTTPKPTSKPTSVTPIPTPKPTPISTPDTLKPTPIPSIYTPPPACRPRQNTTVLFAYSTDIDYKTYSNGAAAVVGYGGLYAKTANVRFDTTQDEEIEYHTDRRNLNASLLAHPPNPSLGYGNKTTGSNLYKVLKKFLNNKKAPICGAIVYIAVKRYPDESDVSDIISQLRANHVIVHIFVDSIPSGGSNSATLYKMSLQTNGYCVFATGYDLSTAFGDRIWALKYPYQFLAQNFLVSGSGRIEIRAFKTPIPPGYSDWCEVAITVQNHSKHHFQVKLDHSTKFAALDDSFVSMNYTIESTDGSDVYEFPRIARYLYGTAQTAGLRFNGSLSYKWTIDYHYDTDAPQIIQLRMYSRYYHDFLPLPDFK >CRE15700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:8020:11164:1 gene:WBGene00071977 transcript:CRE15700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15700 MNFSVFLLLVLPSVIVLAQFGAGEEPTTQDTFDYSTPENPVDTEPPVVAEKFPDTEKLFGIPNNLWRCGSDFVTKMAIKQINNTCPLAAAEFNHCCAVHDDCYDGQRGQKHCDRQFCECLEYHVATDPNAANCGNLTKMVCPLLSYYGRFAYDDSRDSKNSSVTESPVAAESLKVPTQIPHLSEPYVEIYASCDEQHATIASCALNNDLCYRTPRAEPKEQCTVHLIRCLDDTRFNRKPSKTCDLAIDEYLWKLIRFGGGSEDTKEMETLQDEDKKKEIQMNVLMMQEILTNKTLVRNVYLQIVRHSSSLGLLSCLTFLFCVFSCCGIVIYAFSRCGEEEDIRRHDEVINVHVTSSASEVPSSSTMSSMKSSSSTRK >CRE15710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:5130:7612:-1 gene:WBGene00071978 transcript:CRE15710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15710 MIYLVSLCFKISVLVPPAACIPYQYKTVLYAYSTDIDYDTYNWGAGNMVQYGDRYTTMANVRFDTKVEEEIEYHTDEQSLSDSLSSHQPDLSLGYGDKTGSNLYNVLKKFLNNNKVPICGALVYIAVKRYPDESDVSDIISQLRANHVIVYVAVDSIPSGGSNSATLYEMSYQTNGYCAFATGSDLSNAFNWMTLILASPYQFIAQNFVVSGSGRIEIPAFKTLIPAGYSDWCVIAITVQNRTLDNSFVSMNYTIASTDGSYVYQFPGRDAQPLYGTAQSNWFSESGSLSYTWTIDYHYNTDAPQIIECRMYSFYYHDFVPLPDFK >CRE15699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:1575:4679:1 gene:WBGene00071979 transcript:CRE15699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15699 MVSLDEEPKQGLNFQNFSVFLLLVIPSVVVLAQFGSDKEPTTLETVPVDYSTPEDPVDDTEPPVVDSIPKVEEESVVVAEEFPDTEKLFGIPNNLWRCGSGDYVTKTVISRINGTCPLAAAEFNHCCAVHDDCYDGQRGQKHCDKQFCECLEYHVATDPNAANCGNLTKMVCPLLSTYGRFAYDDSRNAKNSSVTEATVAAESLKVPTQIPHLSEPYVGIYASCDEQHATFASCALNNDLCYRTPHAEPKEQCTVHLIRCLDDTRFNRKPSKTCDLAIDEYLWKLIRFGGGSEDTKEMETLQDEDKKKEIQMNVLMMQEILTNKTLVRNIYLQIVRHSSSLGWLSCLTFLFCVFSCCGILIYAFSRCGEEEEDIRRHDEVINVHVTSSASEAPSSSTMSSMKSSSSSTRK >CRE12381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig456:10399:20458:1 gene:WBGene00071980 transcript:CRE12381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12381 MEPDIEPWDRFRDWLHCICVVTFDLELGQALEVIYPGDAILSNTEKINICYLAFPDSNSSNARDTNFHFRIRRAISDVRGCQKSFVDKSPSSLPFDAHYLYGFVHFRQCKDATIHRGYYQKSIVLMSVLPLFSLFYSVTARIAEHFFENGEAAIEAACHHIDTWQQPQVGRTIEFPLLGTLISCRLPAACDLPYEFRLDGHVELSDHRDESLRLFRPDFTTPIVQHVHHLQLIWELVLLGEPLLIVAPNPSVTSSLVQSIVGLLSPLRIVNDFRPYFTIHDSEFREYSSKSRTPPRVILGVTNPFFIKALDHWPHILKVAEQGAEIGGDDMKKPKKTWSAASRTLDTPPGLYTQYKPFLSKDKSLAKKLLKSGNSLEVQHNILQRHFLELTQSFMIPMERYLSSLMPLKKEMSPFRGIPSTRPFSMDDFLASVETNGGPSLTCGTKGDWQGLYRRFITCSNFGGWLSMRSRDVNNQLKSHYVDALCSADFCPQTLSTKHNVEIVDLVLRIRERILEIASETEIRRNLVRQVVKILSNVDDDLKQLLMSNCSLREILA >CRE12380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig456:1014:9257:1 gene:WBGene00071981 transcript:CRE12380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12380 MKIDIFIGFLAEIDLDIALDDLLITSDPLVLSTGKQLNCDEELLKCMEIAQYFVSMRKILLWNENITAEQLRDDLHLGLDGFRRSTYKMIANLLETAIQEKEYEKVAHCNARLSEFPITEHTISELVRAFFVGNVDMSYKRDCKPRRPQEAGPSNAIPDEFEEDEEMESEDKIEEVEEPKTAEENDEMDPEDEDEEHRKQRILALFADPTHIYDWAPMAQLEVLYALKELVHGLPIIREWYLRDANSEQLTGLKAQANKITKKIDDFQQQLADLPTVEITEDMTRHQTREAEKVIKKRQDLEKSLDDLKDELEENRELAARERDDLERIYRVVSIGNDRHLRKYYWFAYSSDAGIWIQDFGQTSYEKWVLECSAQGYLDVESLEVENRPEYVDLPITSSGSTETWYKYDTEAAIRHLLENLKKNGKREKMLRKYISNNLNDILASLRKGKKEDEKKETSGDSDDVVVKMETKDSEDTEEEEDHQNETSDDTTSQNQRFVGLFGSLKQTMCELLNDWKQSGITKIGDVQVFETRLVDANTLDEMKRLVIELATSIPKECLIEKFPTVVAFAKKCHSHLKIPRFCRRVQEATNASCLHLLLAYFDARIDQHKTLPELPCHVCRRKNGTNRKLLCKQCATVYHHNCHRPVISRELFEEEGFKEGWWCSKCTKEDRRRQMVEAKEQRDQQSDGQQDGSGGESDSEEEEIVEEEVEITESRGRSAKRKANAAMRDVLEFEGVLRAPVAPPPPKRPKKTVVPEVRELFDSIEKANPRLYKVLQMIPSQSRSTRNSHHETRSLPEIEEDLDMYKEAESLMEHLKVFFQQARGYIETHNPRKLDELDDLISELNFV >CRE31518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:3439:4433:-1 gene:WBGene00071982 transcript:CRE31518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31518 MPPFPLLRLPQLVLCKVFRSLNIEEKIKLSICSKKISFQINNDRMYSQKVIVSLDMINKQIRVHSENDEDTFKVFIDLDIRKRNYSKQQFSIACRTVKVMSTRKKFKMYWKNYQEGFLSSIRHLLKMFQCKVSTSFICHGSDIFQPTISTLFDLQVEFETLCIHFKRSKDENLLWNQISNNLGLVENLTISSVPDIDFTPVFTSWPQQISIMNSAWFTRESLLTCPCTTITLWNSNLENKDLDEIFRKWRAGELPNLKYLKIHNLSFTDNGEHILGMNLRDLNGMVIQTDDGSKKATIELRFLWMIEMNVTPFE >CRE31519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:6620:7620:-1 gene:WBGene00071983 transcript:CRE31519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31519 MSSPFPLLRLPRLVLGEVFKSLNLGEKIKLSLCSKKVSTQINNNRLYSQKVIVDLDCSRQKIIRVLSENDKDTFQISIHPDSDICPYSTIQQCSMLCSTVRVMSIQTGIKTFWENNQKEGYLSFLRHLLKIFQCKFSTDLSLYYSDLFEPIISELFALQVEFKEFTLLPFKNHNLLWNQISSNLEMVEYLTIVPISDTGFRPVFNSWPQNITIWSSDWFTLESLLECTCTRITLWGSLLKNKELDEILREWRAGELPNLKHLTIASLNFKDNGEHILGMNPLELDGMVIQTDDGSKTATIKLGHRLIKMSVTPFQ >CRE31520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:9318:10335:-1 gene:WBGene00071984 transcript:CRE31520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31520 MSSPFPLLRLPRLVLGEVFKSLNLGEKIKLSFCSKKVSTQINNNRLYSQKVIVDLDMLNEKISVRSENGKDTFLVSFHLDFWKNHNSNTEQLSFKFCNVRVRSMRLRIRTFRTYHQEGFLSVIRHLLKMFQCKISTSESCYHSELFQPTISMLFDLQLEFKTLCILYISRSFLRSKDENLLFWNKMLSTNMGLIEDLRIMSIDDPFFIPVFTSWPREISILGYDWFTVESLLACTCTNITLNKSYMGNKDVDKILREWKAGGLPNLKHLGIISHNFKDNGEQIFGMNPMELDGMIIKTDDGSKTATIDLGQHWIEMSVTPFE >CRE31525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:22352:23286:-1 gene:WBGene00071985 transcript:CRE31525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31525 MPPLPLLRLPRLVLFDVFRSLNIEEKIKLSLCSTKISTQIYNDRFYSEKVIVNLDMINQGIRVHSENNKDAFKFFIDLDIWEMFYSNSGRNRQERFLSVIQHLLKMFQCKISSDLFFSTSDLFELIIFELFDLQVEFKALNIVLDGSKDQNLLWNQISRNFGLVEDLEVLSNTTNTGFRPVFTSWPQNISISTSAWFTLESLLACTCQRIILGGSHLENRDLDEVLKNWKAGGFPNLEYLYVKSKSITNSGTTILGMNSKELDGKVMQTDDGSKKATIELGLLWAIEIYVTPFE >CRE31526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:24341:25332:-1 gene:WBGene00071986 transcript:CRE31526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31526 MSYPFPLLRLPRLILCEVFKLMRIGEKIKLSLCSKKISTRINNARMYSQTVIVDLDCLSHKISVHFENDKETFDIFVYLDTWKGHNLDTQQFSITCCTVAVTSIQKGIQIFWKNRREGFLSVIQHLSQMFQCKISTSFNCHFSDLLQPTISMLFDLQLEFKMICICFDGSKDENLYNQISSNLGLVEYLNISSSFNTGFEPVFTSWPQKIMIMGSAWFTLEYLLACTSTTITLGWSHLGKKDLDEVLRNWKAGGFPNLERLKIQSRNITSFGATILGMNWMELNGMVIQTDDGSKKATIKLDFDTIKMSVTSF >CRE31510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:29461:30457:1 gene:WBGene00071987 transcript:CRE31510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31510 MSSPFPLLRLPGVVLCEVFKSLSIGEKIKLSFCSKKISTQINNARLYSQKVIVDLDMLNRKIRVRSENGKDTFLVSIHLDFWENHNSNTEQLSIKFCNVRVMSMRLRIRTFYENHQEEFLSVIRHLLKMFRCKISTSDSCFASDLFQPTISVLFDLQLEFKTLCIRLDGVKYRNLLWNQISRYLGFVECLNISSSFDIEFTLVFTSWPQEIIIMRSAWFTLKSLLACASFIITLYDSHLENKDMDEILKNWKNGGLPNLKRLAIDSLSFEDNGEQILGMNLNELDGMVLQSDDGLKMATIELGPHRIEMSVTSYE >CRE31529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:32630:33639:-1 gene:WBGene00071988 transcript:CRE31529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31529 MSSPFPLLRLPRLVLCEVFKSLGIGEKIKLSLCSKKISFQINDARLYSQKVIVNLDMIKQEIEVCSENDGDTFDIFICSDFGKTIISSNSQQASIAPTCYTVRLTSNQEEITTFWKNNQKEGYLSVIRHLLKMFQCKISTKSNCCNCDSFQPTISMLLDLQVEFETLYIHFKGSKDENLLWNQISRNLGLVECLIILSSFDTNFKLVFTSWPQNITIWDCYWFTLESLMACTSSTITLYYSHLENKDMDKVLKEWRAGELPNLKRLIIASWRFEENGEHILGMNLLEIDGMVIQTDDGSKTATIELGQHWIEMSVTPFQ >CRE31531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:37361:43316:-1 gene:WBGene00071989 transcript:CRE31531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31531 MQRKQVDYTTGAGGLDNWRRWTKEGRGDHNHAPNPTRARRRPIHSTIHSVTRHTNFALLPPTKLQSPTSKPRAPTSLLGYCIPVGTSVPCFGTSCRAKGTTSDHQTTTPKIGPFKRFNWRKPTGSSDRVLRSHSKVVQGRTSSPLPGHYPPPFNFEDDLNESKPEFTPNQTVLFTEETRRELQDKRERFQKTPTPENGEENLLRESPPNDTLFRTAIFNPQIGEKLGNGENLGDRTLTPGQIPKLQPESSEFTPDQTLLFNAETRREMANRRVPTWKEQGEMTKILDRRISKFNDGKSSELKEWLDQFSRALHRCHIAPEEAVELIPLYLSGPALLKYNRLDEKKIQSWEEAAKMLIEAHDCPAEKEVALQELTTISQGKKSLSAFGQQIRTLGNYAYDALNSESKEQLMATHFLTGTSKKIRTRLRRLQTIPKTLSGMQSEAEKIQRLLQIEEEEEEEDNLIAAVERLNLQQPQRNDRRENEKGNTSGNPQWNQNPNNQENPGNNWNQNCQCQCNPQNGGGNQNPNNQGNWSQNRNSNQGNSGRTQNSNQGRQLRWDQNTGRPYVNNIGHFLMGIATILTLIMAPTTEANPQICGFGEAGNVFIPPRPILCTFKQDIALKDHKVNVYTLRHEAIQMEAIKCFKHEVLAASISKKYNDLEMKEISPGIFRTEATQDIASNHTLWLGTSVFTTYEFTLEVGQIATIDGQHAISNLGDLESCNFSTGNCQDDSSTIIWQAVDTRKECQYEFLQSATALISQQQIAIEEMGIFSNIDGDLRRLQSAAEGCFVHQPYLTDDGYLVEFYEAPLTGWVPDMHVESSPERRRPRLWNRGPREVGSIGGHGGMEFQFELGENYSTPILKKLFGTNNWTEIPELKNPISEPALLREISRYNISNTLLQNRARFYAADRNARNPLLLMTLKAIRIGQYGARQLKELNEMVHPLTKGEEQFKTLLERQDAHVFNKLLEREFGISNPDWTQSDNNFDPPKILPESLAIYQEGLQPLLQEQLPPPPLSFRQQRLQSNGSPQVRSRPTKGTSTTTTTSPTKPSPSTKAKALETSPRPTVQTTKEKPQIQLEDRDPIPEENVNVVYEQPDIPQHKPHNEMQVLLEKPKEQIFREVCQEQWRTTSMFETVLQIDPTAAIRQLLRRSDISAKRIGETLLISKCQTVSPDRIHWDRKVNTTCFDLIPVTVKDKVWFFLPGSQDLVAEAMEIPCEERPPSVRWEHNRWVGLKNQEVQPQHLTRPNKREQQHFILKAPDTFYTILGEENGVSTGADKEQSRRLGKRLVTEGILKETIEKISNSTAAAGRSARKMYESAMGKLRDGLQMAVFEILVLILWIAIPLLTIVLILGVLYVYIKYKTIKRTRKLGRQTMRQARNALVEYAHNQIARVNNVELERSTRRPLRSYREEYTTFSVNSVKVKAVNAARLPHIDVELDGETLEALVDTGAAISYLPLSSVKSKINNRNTPSARAANGSSIHFLGTCKGTIKIGNFSIPHEWLVSKNSECPAPMLIGSDLIKKLNSLGHGLNINLHKNTIKIGESDIKINAITGGEKKIYLISPFEKRKEVEIQIQEILKQRIEQRSDSPFGTPIVLVRKTDNQKARAQKVHNRNKSILSFGASGRSSVKEGGCDAPRTSGQEEECHAL >CRE31535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:50596:51724:-1 gene:WBGene00071991 transcript:CRE31535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31535 MFSPFPLLRLPRLVLSEVFKLLSIGDKIMLSLCSKRISTQINNDRLYSQKVILDLHDFDGKIVVHFENDRDTFEIFISPETERTFRSNARQYSIAGCTVRVTKTRNGIRTLWKNYQDQKEGFRSVIRHLSNMFQCKIPTGSNCCDIVLFETRVSLLFDLQVEFESLCVRFTGSKDENLLFNQLSSGFRLVEDLCISSTPDPGFIPVFSSWPQEISIWSSAWFTLEHLLESTCTRITLWISLLKNKDLDEILKNWKAGRLPNLKHLSIDSLNITNNGEHILGMNLRELDGMVIQTDDGSKKATIKRRPHDISMFVTPLE >CRE29226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig359:2445:3962:-1 gene:WBGene00071993 transcript:CRE29226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29226 MVEEAQGMNVQISMDEVLKALADGRLKLTTKTTEGAGSGLVDSISRRIANFEYEPEEGQAFEDWYLRYGDTITGEGTDLSDEAKRRLILSKLNQRDYNYFTNRILPDKTSEFKFEEIVNKLKECFPSTRSTFARRMEFLKIIDKGGDLGEYTGRVKKAYADAKFNEMSSEQVQCLMWIKGLRNNGTVDIRARAMQIMETRPTTTLIQLEHEIMKLLEFREDAKNIGGFRTSEEVFAVRKMRTEKAKEQKRSSQRHLLRKEKQRENEGSSADENDSDEEKPTRNHNQRSKKCHRCGGEHNALNCWAKNKECFDCGRRGHISKKCREQQDDEKSDRSGHRVNYIVAKTPKRRRDIYKVNGIYVKESKPRKISTEVVKNGSMKRHVRRWPDEKFEKIQKNREETGNKRCKSFVTRSPNPGRKEKVGAFERHDRWEKKNPKENSRMQHELKVSFPETLGQHSQWNRGWKQQGSSTPSLPIPPQPSFAMYPWRNNFGCSEIMCGFQIVTS >CRE22109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:38057:40003:1 gene:WBGene00071996 transcript:CRE22109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22109 MAMANRQNRGAKLPPEVNRILYIKNLPYKITTEEMYEIFGKFGAVRQIRVGNTAETRGTAFVVYEDIFDAKTACEHLSGYNVSNRYLVVLYYQATKAWKRMDTEKARAKLEDIKERYGIGGDIDKEKEKMGLFTPRRN >CRE22120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:69738:74579:-1 gene:WBGene00071998 transcript:CRE22120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22120 MGTNKEEEFVEEWVQDSQNGSQVPLDHLVSLYGYALLGLEDGENQETVRLRKIEEPEQHYSENLVEEEKVEIVEEEEYEEPKRRMRTEMDRTMDRRDRDDNHSNYYIAEG >CRE22121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:77796:80747:-1 gene:WBGene00071999 transcript:CRE22121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abu-11 description:CRE-ABU-11 protein [Source:UniProtKB/TrEMBL;Acc:E3NFL8] MNTKYTILALLALSAVAADNVFLRARRQSSCGCAIPAAPSCNCQPAQSNSVQQSCSCAQAQQPSTCGCAQQYQQQQCAPTCQSSCEQSCVAQQQPAAQCQSSCASTCQSACAQPVQLQQPAQQQCQQDCQASCPQQPAQQPQCQQQCQTTCQSDDQYNQQLVQQMTYAQPGQIPAYTGGSVSQQTAAPVMQQQQQCNTCQNSCLNTCQQQQQPVQQCQSSCDQSCQPACGSTSSSASYNQVNYSQSQQQNNYQQPGAIPAYQATAPTYQGATSAPTYQPYRDTMSQCNTCQTQCNQGCQAQQTPQAQCAPQCDAQCQPACAPAAPVQQQQQVQLTINVPVSRQSAQCQPQCEQSCNTQCIQQQQPISQCQPACQQSCSTSCRK >CRE22110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:92128:92627:1 gene:WBGene00072000 transcript:CRE22110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22110 MCWCMIENDVQPISTDLKNAPKAHQTILDIAIELFCSIQIIDEDGCSVFLNILPDISYHGDLSAGIKVHAFALDVDTFELKTAKDVMLQTTASSK >CRE02669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:15239:15942:1 gene:WBGene00072002 transcript:CRE02669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02669 MSYMLPHLENGWQVDQAILAEEDRVVVVRFGHDWDPTCMQMDETLFKIAPKVKNFAVVYLVDITKVPDFNKMYELYDPCTVMFFFRNKHIMVDLGTGNNNKINWAVTDGQELIDIIETVYRGARKGRGLVISPKDYSTKYKY >CRE02679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:22872:27406:-1 gene:WBGene00072003 transcript:CRE02679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02679 MTVSYNLDVSSTSLVAFLKLQLRWRGSVWKSVMRELFIFSILFATVTSIYRTNYFLSEEQRVFWDNFSALFDQKLDYIPLTFMLGFFVTIIVGRWNDIFLNIGWVDNTALLIATYIRGNDEKSRILRRTTLRYMVLTQVIIFRDISMRVRKRFPTLETVVASGFMLESEREKYVELNLKYNKYFLPIQWCYSLLYEARAQGKIGADVMLNELIKSVGDFRRGLGQLCNFDWVPIPLVYPQVVFLAVRIYFFLCLIARQSVLIDGEPPKDASPVYPFVPFLMTSLQFIFYVGWMKVAESLMNPLGEDDDDFECNFLLDRNLATGLAIVDDCYNDSPPLQKDIFWSAETVEPLYSADTANMHLNPQIGSAAAYETRENEIIMKPHADAVDDFEDGGDDVEECNPRRLPRGISVVSVNRNCESRTSLVSRRNNQGPTIMERLRSQFGGSKVNRPGKLFGSSQFSINTAMGDNDIGSCASILGELVDDANKAAQAGLLTPEEMASSPRRTPIDVLTSVPEEDEDAQKTRTSVDLRKWKEMVDNERRKEEEEKRRKLSRKEEEKEEDLTDGDEKELK >CRE02670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:32596:38333:1 gene:WBGene00072004 transcript:CRE02670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02670 MRVDIQCLRGLAIFFVLIYHLFPLVFVNGYLGVDIFFVISGYLMARNLNHSKIYKLADIFQFYYRRFRRILPLYYLFIAISLIFVHLYLGEFWWKANRRYSLGALFLVPNQLFITDAADYFHQYLADGTSINAFIHTWSLGVELQFYLLVPFIFFGLQFLKTTVLRLTAVILITLIGMSLFLMINAQFAFNFMLLRLWQFAAGFTALFWKEFKNLKNLKKPEDLKTKTKNTIFEISSDDVATCTVTILFLCLVPAKIDEMWLRPLITFAAAFIIFMESENCQLLKSESLRYLGDISYSVYLVHWPIIALFTSTTVTSHIYCVVLTFVISIILHHFYEKQYLKFDFKSVSLLIFLLIVFNVSIQYSTRNHQFWKPKFLPKVQKIVDENQALLPFITSYEKTNESCVETAFQELYDNDYEFTYCRYPKAKGRLSVMIIGNSYTINLNEHIRTQFHHNYSEWRYLCIRDSNGFFWEPSAYHSKASLVTMRKQVETHKPDVLFIASRYSRGINEPILDEEKDEIVERMNNNIAFYEKYVQKIYILAPHPSYPLNFMNVFLQHVTRKPSELETLHLNKIEIDDFMTNARERFSKIKCNKCKVFDLGKVFVENDKYLSFDRKTMLSFMDNGVHLTGPAVSKCDHIFQEIAREAMEKTIIR >CRE02671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:46803:48756:1 gene:WBGene00072005 transcript:CRE02671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02671 MTRLLDRTSVAFTESAKKFFNKKFPNSTLNFKEKSGGTRSGIVVTVTCDRKDTTYFMKTFHQAGTSGSYSSMKRHPPDLREMFAYRLLQIIGVGPVVFFPYYEGSTTILTEKVKEFTEVDKIEDVALQKKVVVESYLLSLILGIRDLNIGNIGSNQQKDLAIVDFCVTNGVNFVERKIVNNFLNKNNFDVPDISHDTLANIGHEERMKIAKDALAKWSQISTITSDIIGDEKRELRKHGIVLGNATDDVESYIEDIKLNYDSIFLASR >CRE02680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:48918:50766:-1 gene:WBGene00072006 transcript:CRE02680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02680 MIIAFGFLLFLAISPCYSQSNSGSIKIVVVGKTGNANVTELFQETIDSIALFIAKNHQKAPQIYYHKEGDMCEYVGGIDRAKMRSDFILVVKIENTTKFDCDPEWGRQSFAVMNFHPSKFGNKIPFSGRLAFTSSESEADSDKDWQKVMSAKRLLSVLKPHFLSPQSRIPDEYPFKAEREERVKRRMLKAVIALMGLTVCSLLVIMFLGSLSRYNESKKLKEQELEKKKKHEQQAPLAIAPAADRHPEKSEKSEKSAATKTAISGKSGKSEKEPLLGNSSKSKKSEKEE >CRE02672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:52595:55952:1 gene:WBGene00072007 transcript:CRE02672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02672 MTKMRICFALGASIDSKFLEELQKDATVTIDSSMRILVYTANDGNLKLNGEQKTKSLKRNQKEEVTSSSSSESSSEEEDSESEMDFKESVSISGWSRLIESKLKLMKFVVKKTKGITRPLSIGCLATEYVRKGNTEKSHSAIHFCLRRIRDEVYKMKKLDVATRVRIIFGLSATVDEGFLNELRRNAKVKVDETGRITKYKANDGSLKLRGEHRQTHKREDKIDEKEWMLEVKQDEEEEIKPFDVFIENYEYEHEFKMETPSNYPQIGTTYSASFSSSSSTRSPPITYGELLKTVQKSTRCGNGIEFLSILSDVAINLKSPIMSSFVEDVKKRIQESEIKEMYIPEPLLRSSFDVGLRFILDHSKLMVSNDESNISLKEVLMVFRVALFNSNGYTGFKDELRRMSYSEKPELRVSYEKVRYGLEMAIEYAFP >CRE02681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:59242:64068:-1 gene:WBGene00072008 transcript:CRE02681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eak-7 description:CRE-EAK-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NHX7] MGAENSKRKDLKNISDEEYKKFMENWKKATNGKDKMTREQFVPTFPIFGEGSAQCYYRMVNDEPSGLLPSKSLLRIIDGGLGHFDTLANSLILCFGKEKDVLMRSVVKIFCEANKFTREDQVRLYDYFESENTKPIEELDHFFSTCPLFPYTASFIYQRLVERPGDSKMPTLNEKSQLMGNVDQLVLNSHLPFDRRKNWTLLYSNAKHGHSFSQLVKNINGQGPCFIVMRSMRGRRFGFFASHGFLAGPQYRGSAECFLFQLAPKIGTFSATGRTDNYVYLNYQQQQMPNGLGIGGYDNVWPFFIHETFDGGLSQKNSSAFEPCYLADEEHFQIKNIEAWRPGEKPQKTFEEMMVEAERDPERSIIDKDPEARAVMEMAGKTMHSDAYREKKPMLDEK >CRE02682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:65655:65897:-1 gene:WBGene00072009 transcript:CRE02682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsb-1 description:CRE-HSB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NHX8] MTDEKSITPTANLETTGDGNMTDLTSLIQGVLQQTQDRFQHMSDQIIRRIDDMTQRIDDLEKNISDLLQSNQVDPPATAQ >CRE02683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:68519:69157:-1 gene:WBGene00072010 transcript:CRE02683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02683 MAELLGEPRGEVPKLDFSSPKQKRFMLPKNPGPRSIPNPVPKPLFTSTPRSSLGAPRRMPPTTKTTMNTSLIVGGDMMTPHENRASRLRRLKNEETRKSFGGGVNSTLDTSLNSSFKW >CRE20980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig274:2428:7589:1 gene:WBGene00072012 transcript:CRE20980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-imb-3 description:CRE-IMB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NHM1] MCFWKFLVVFFLFLLIFCGKIVIFRENSRILQHFPYIIITGYSRMDVNQFAELIQRLQSSDNEIRKKAEEQYEQIDGPTKVALLFECYNQFANSAEVRSTVLVFLRRVLSRDWDAIWENLNDENKQRILAKVLEMIVHETDISIKKKIADLISEIASNLIDDAGDMSWQGVLELMDHCLKSDDLTANYIALLILRGCPIIFGNKLAHFLPSLKVVLEKCMATPDLQIKSTAVRAVIAFAVDNDEEKDVIRLMTALVPNVLQVCNETSDEDDSDGALGEFAELASSLPKCLNSHLPQVLQVTLQVSKKSTVKFAENVLAANKEKNEMCRQNAIEVICSYMESAPKGLKKYAPNAFSHILECLLACMTELDDEVLQEWLNEIEEEDDYEDIPIIAESAIDRVACCINGKTMLPVFLPLVEKLLTNDDWKMKHAALRAFSAVGEGCQRSMEPHIEQIMVHITRYVNDAHPRVQYAACNAIGQMSSDFAPTLQKKCHAAVIPALLESLDRTDVPRVCAHAASALVNFAEECPKSIIGQYLPYILQKLENVLSAVFNRLSDKRYQVVVENIVTAIASVAEAAEELFKEHHARLIPNLVHILQNVGELKELRGKTIECISLIGYAVGKEHFHATAIDILNLLGDGMKDLAIDDPQYSYMISSWTRFCSILGADFAPFLPVVMDPVLRAARYRPDFNIFNNEDIQDTEEGVEYHGIGGEKTVGIRTSGLEEKATACDMLVAFAKEMKEAFMPYVVDVYELAIKNLDFGLHDGVRTASAEIMPFLLVCVEKQGLADKRRLWCEFLKALTTSMEEEDDVEILASFMTAIGSCIEVMKTEGIAEEEVKLIISVLLKQLENYGKRMNDRPAEDEDDDDAEAKEELDYFMELEASCLGAISDLTHSLMKEFKESIFEGMINVFDCAVQLIEGSKQYFERQWGMCLLDDAIEYGVGHLPTRFPKLIPIMYKLLGDEYPEVRQAAAYGFGVMAVRYQHINDYRNEILSCLQPLAAMIEREDARATEESTVATENAISAFAKIIANVPLPDDVYRQVVEKFLSWLPTYSDTEESPYIYSALAQLFDKQDPALFGAENQNLSRIFTVCLLSIANEAFNDDEHGNHTKSRIATILKTIYSSFPQFAQQDGIDEHLQGVLQRVLTTGQ >CRE20981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig274:8284:19618:1 gene:WBGene00072013 transcript:CRE20981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20981 MTPEGEASSSNAPPPVQYYNTFPPGEYDGEDGNLPPEYGPFDEVEPLIEPEQPMKIRTLVTAVGLTVLVFTLLISTVAFASLYFHLLMQNSGRLPRWPGPSISPLGKYSKAAVAADNEICSEIGRNILLKGGNAVDSAIGSLFCIGVMDTHSAGIGGGHFMTIYNAGPVGGNRRHVSRQIGISRESDGRLSPCRESCTGLRTAFEKFGSGKVEWRHLLKPTIDLLVEGYPTSHALAKALNQNEAQIRAEPTMKNFINPETGRVFQPGEQIKTRQNLLETMRLLANSSDPIREFYEGKIMENLVKEFRENGGIITAEDFKNYRSIIHASENVIYTKLKNGRAVCGAPPPSGSAVAQAILNVMDGFEYDMKSFSDIATLYHHFLESSKFSYAARSWLGDPSFVANATAIARNITSKGWADWVRSKITDETHPDDYYGGSFEAPPVDHGTTHVSIIDALGNAVSVTSTINLYLGALVSSESTGILWNNEMDDFSTPGHPNFFGFPPSPANFIRPGKRPMSSQSPLPNYTWYEPNFPKAYVKSLIDRGHLMKAVNNLTVITAAERLADGQIYANSDFRKGEESAPAGY >CRE20985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig274:20627:36364:-1 gene:WBGene00072014 transcript:CRE20985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20985 MYSSSARRPFRRLVPLRMAHGRTATDYSGQDYDECYDRCEQECGEEAWRIACCSRVGKIALLVMSIVLLYLLISALTPSEAHTKLQDVNEPRPGINLTRANQVLTALCDAYERGDVSGDSCNRLCYDRNWLVTDFYEGHKTVVIVKDGGQSAVYKSTKPFMEQFSEPKEHLTDSEFSDRVVDVVNDELRLGWPKHYSRHLMETVWPTLLRTKGAGMSGADRRSLWALLNQPEFILFRVLPLTRVTPKLIGTCGHMYQTESLVAFKMKGYYTNLKAKILVHVMGTLKLLYEFLDEPLQWCDVRFDNLGLSADYPKRFVLMDGDMVYTKSKLDSLLKGRPCETDDDCKIGDCTARCTSSMVCSSRSNGNLEVFCDKLVNKLFANQWSKNNKYLVACRDTGRNITTRLNELRLTWSWNLPDV >CRE20982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig274:45792:50639:1 gene:WBGene00072015 transcript:CRE20982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-3 description:CRE-ZTF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NHM4] MGGYVGDAEFRKFLEATSYIQEFTRILLARSANNLPKVPDDLASVSQLTDLVKEFLREQQELAEKIEIVQNTQKTMNLLVKEKLSKCTCEMKEELKSTLSNGVNFQDMRRENGMDFTLKLPSTSSTNYMPLLNQQLEADLQQTFDLQTILGRIRNFSTPQLQPDPYQENGHFIFDSAPSQQHSTSSPPKKTVVKKRAAHHESINNGPYQCRDCDKTFRQKHGLSQHLLTHESNGAFECDGCGKRYSRQESVYRHQRSTQCCADKYHSLTNVRHHNGQDAGGGPSEDPKNIQLMSPSFIL >CRE20983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig274:60936:61923:1 gene:WBGene00072016 transcript:CRE20983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20983 MSLSKFSGISSLTDFDREFPTEQTLIHSMMEAGLLKGKRTCKKCAREMKLRVRKEQLEWRCRIGKKDCSCKSVKSGSWFQSSNLSLKTSMKMLIAWVNRYSGLQIEKELSIGHTTVVDWKNMFRGICAEIELMYPPIGGEGKIVEIDETCVHGRKYGRGKVMTEQLWVYEGVERGSRRSFMGIVPDRSARTLLPILKRHVDSRSTIISDQWKSYATIKNHFQRHETINHSNQFQRFADDGLDVNTNSIEALWTRLKDPLKKANGTSDELLPSYISEKVVREREGDNFFFRILEHIKVRQ >CRE05270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig332:30539:31621:-1 gene:WBGene00072017 transcript:CRE05270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-17 description:CRE-COL-17 protein [Source:UniProtKB/TrEMBL;Acc:E3NJF4] MLYNYMQHVQSSLQTEVDFCQHRTDGLWDEYKNFQTLHGVNERIRRAARRHTGEVTRSKKIRRQNEDEEVEIIYVDEEGNEVEDQTPTAAPTGAPAPVAQAQVPASSFAAAPPAHRSLASFASSSGSCCSCGVGPAGPPGQPGQDGAPGNDGNPGAPGHPGQDAADDSHAFADSFCFDCPAGPPGPSGAPGQKGPSGAPGAPGQSGGAARPGPPGPAGPPGPSGNPGSNGNPGAPGAPGQLVDVPGTPGPAGPPGPPGPAGAPGQPGQSGGASQPGQPGPQGDSGAPGQPGAPGQPGQPGQDGDSGSEGACDHCPPPRTAPGY >CRE05268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig332:25936:29097:1 gene:WBGene00072019 transcript:CRE05268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05268 MSDFGSGKPKLQSSRGKTQCEYISVSSDEETTEPPPKKHRIGTPPVVCLSDSDVDSDVESIIILDDSDVDSDASIICLGTDDVSDSDVEVRQVIWNIDLTADDMPEIVDLEDSDDDVQQMPIDVTPAPIENLENLKVRPGTPESESSNKENSEMIVEQISETRKTPKSRPSQGVYRNLRARPSISISSATKPKVQCSKRKSKRLSPQAPPPSDSRKGEDDYAIGDKYQAKIPLLLDTDPNDDYGDDNEYDEEIWTPKRFEIKDSEKRKEMEDSFNEQIRSVYWLAIWRQFKGRILFEDALQNLKKHGYDFAASLQTIDQALKKRPNLMKHPCMGQATRMAKHGLNEMVTMRELQKTLLPNFHLSEVHHYRYQFVRFFMFQHYWDRPCLCNDALCKPLDFEPRFGCSNCAKDWRHFEKGDPMCLICQTYKNLTGEMRPVKDTYFTKEEKELIVRWNEMQMDAGKVLKREEFEKLIEQEKVKRWMNLEITEEEKLMMNFQDPKNVERYSKIAAKEEYLVSKLKPFVLPLFPACKCDESEESKRMIEKENLIVPKIQNPVYVFKFEKKFNPWVDEEMYKKRRKTTNRRNRRK >CRE05267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig332:20146:23683:1 gene:WBGene00072020 transcript:CRE05267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05267 MQPMQPNRPPAMPHFRQGLRRAVPMNAPPRPGYDISILNAASARPNEKIFQRFLAPARPPGPPVCITLDSDGEEVEPVAKKARQSTDEVICLDSDTDSADSDSDDVIILDSDSDANLESDSDDVVHVQTITYSRVGDKSHEGLQVGVDAVKAEPKPEPAENPGTSHPTTPLGDESETAQSGLVQVAAVIEDERTDVLEGMPDSEPEPELMPEPELVEHSESSHEDTQDEAQAMPVQLAAENPSEMLEVVLEQEPETVEESESEDRPILPSPQTPETGAQQDKPTTLSTDGSKPADESQPGSGSCSEHGRQDDELATPTPDVSARTDDEPDRDVLVEIHQEILSPNASAISELGPAEQSESENKENQGADTSDTTPQRILRSQISKTSPSQPEVKESIYANKKIREGADYQTVMQPLLEDHEPPSVHYDKECEEKIWSPRIFDVRPPEMEDLFIEQTRVVYWKAIWRQFKGRILFEDALQHLMENDYDFAASLETIDRCLDKRPNLMKHPCMAQAARLVTHGLKETVSMRRLRKLALPNFQLPEVHHYSFKFLNLCLFSKFYESKCLCEEALCKPMDFEPRYGCSNCTKQPIEGNPLCLICQTYQQLTGEKRPARDVYFTDEEKELIEKWGQMEEQRLGRNLTREEFEKLLEKEKVKKWMKLEITEEEKLMLNFQHPKTAESYSRMSNKVKAKHFVKYLKPFVLPLFPACKCDQSKERQLMIEKEHLFVPEIPNEPEYIREEEFNPWVDG >CRE05269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig332:2397:7492:-1 gene:WBGene00072021 transcript:CRE05269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05269 MSQNKMMQYTTKNGKLRIRTIPNSTENNTLSTVLKNAVNEFTPMVKGFLHAKLVGERPAEVREKVLAFRKKQKAKLIDEDDKKQGVIVREDIGTGGWILEMTGRIYLESEAKERSEMEGENCHHLYDGIKLGGDKESICMSVWRTETVGKYIRRSCQPTCELRHLFGSELHLIVVARRPMKRGDEVTLALDSDCLDFQNQLKCLQHKWNPEDCPLEKQRLLKKAQKKSPAGYSTVVTLTDSGDEIENIEPIAPRLAGFAGPSSSDASSRPSPALAAPPSGVILQGLQIQGPYNRRENENRRENEENLPVAQAPTTSPKLVDPPIICIHPLPLRDPIATSPPAPRLNFPLPSFNFNPLPSTSANPSPPTVTRNKYSEQAEAILRPHFTIPPAHHQEIISNIKNNPRVTKQPFSCNLLLKEDVRKDELLFEMTGHFKKRSEEIAERSKRHHIVIDGMPLSLETWQQDTLAKNMKRSCQPNCRLTYFYGEELHIFVTSNEAIEKNSMVTLPVEPDFWESDEKFCPEHVFQKKKCSVEDQWLQRMTSVAPVPEAPLEKAPLEKPDSEDEIQPEIDDPVENDDAPREARSSGAVKDAASSSSAGSNNADPQPGSEPMDAPEAAPDLAEPEEAASPVDVVAIPATAIAPPTRRRRRSAGNGRAGNGRLQNVDPEPAPERMDAPEAAAHEPEEAEAPVDKATPAPPTRRRLRSAGNGRAALNLTEPEQVDVPVNVASKKTTGATLARVPNSRSGNSTAVTFPITDRHTRPTARSAPVPPTIHNAPVAPPAGRRNRKAQLKALAANARRCQREQNEALAATNVDSAPRAPAAPAVVDPSTTRRRRASQALSPVVPASTRRRAASPSALLSTPAPPPTAAPRRSAPGPSAPPAVPAPVAAPRAREVSVARQELIALIGQSMHPTRSAVKQLRDKNSNQGHGKK >CRE07055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:66772:68415:1 gene:WBGene00072024 transcript:CRE07055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07055 MSQPLQQVSSNSEDELLSEFSNILTPKIDSMGDSQEISDTELSGQFEKRIERTTSDSVTGEEDEKEQMQKLRSAINCHQDEFEVLHDRIQSLKSRVFDLEFEAQEYRNEIRENQEKIENLEIWNVENETYYLEAIELLEKAKNVDFIAYDGAKMKELEQENKELKEKIEELKEELSEERRRNLTVCRSKIQVKFDELSYYMKQCELYQEIENRDEKLREKDKEIVNLQLDVLDLKLKIQKPSSLVKKNTQLVKEIKEAKKTIQKLEDEAILSAEKVPDFSTDPTATEDSSCVSKAEYNRKVYELEYANEQIAMILGDQEEKKKREDDDEKKMLKAQIEELNETIRLMSQW >CRE07063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:56162:57226:-1 gene:WBGene00072025 transcript:CRE07063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07063 MPLGLSYPGLRCVLEHLDAVKRQVTFKTFKMYLTFFRIRITSRSAVLQQSEKTIPLRLNYLQIYKSVTSLDDFSFDLSAFNEELQFSCDDRKNNMETEYTTKLGYPNFTELDAKHCNFEYYLPIIDPISFPLKYLRCKLAGLHTYNHPVFRSAESLAFNIFEEQSEAEMICLHKLPCKTISFDHYSLDMIDMVSMIRYWMRNPKEIDTKYTFVEFDSKLVYSELIHLKREFEEIRHDLEGTTVKGDNYPRFLISLTPTSKLHVYAIDNNEPHLSNFIIKVIPESGAELPGTYGRSIVI >CRE07051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:35579:36391:1 gene:WBGene00072026 transcript:CRE07051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07051 MSSSSSSSPAPPVSFALSTPTKMPSSSLSRCSSSASLDSVTLSAFSSPRSSTFSSPWKGVELETVPMPSSSSTFSCFHSAFSTPTKIREFKIELGMEPADIQDLTEELEKAELENSILKQKMDIFGLEIGDISKNLEKCQVELEAKSLKFEQLSAGNRMANIVIKNQIKEIETYRMAEKIWKHQQDKLEKLRATQQQEKKNHKPWNYGESWRSHQTVNTNEKTCDKKEGSEDVKLLVNHGYKKAEAEHIMKQVMEIRKMQAANKNTVSHQ >CRE07052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:38295:39008:1 gene:WBGene00072027 transcript:CRE07052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07052 MSSSLSSRCSSSASLASTTLSVSSSPCPSIDSSLWKTVELETLPMPSPSASSSAFSTPTKIREFKIELEMEPAVIQNLTEELEKAERENSILKQKINILGLEIGDISKNLEKCQVEFEANSLKFKQLSAGNRMAKTVLKNQQKEIGSFRMAEKIWKHQQDQLEKLRATQQQEKKNHKPWNYGESWRTHQTVKTNETTCDKEEGSDDVKLLVNHGYKKAEAEHIMKQVMEFRKKQAEK >CRE07062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:42032:42778:-1 gene:WBGene00072028 transcript:CRE07062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07062 MPTSCSSRCSSSASLGSVTLSVSSIDSSLWKAVELETLPMPSSSSPSSHFHSAFSSPTKLCGLEIELEMEPAVIQNLTEELKKAELENSILKQKIDILGLEINDIAKKLEKCQVELKEKRLKFEQLSAGNRMANIVLKNQQKEIGSFRMAEKIWKHQQDQREKLRATQQQEKGNQKPWNYGESWRTHQTVKTNEKTCDDKEGSDDVKLLVNHGYKKTEAEHIMKQVMEIRKMQAANKNTVSHQCDRNL >CRE07060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:28773:31610:-1 gene:WBGene00072029 transcript:CRE07060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07060 MSSFPCPVAPTPSNPPPPPLFDPWSFRTRAYIYIKQFQNYLLFSESSRMSPGSVVVTGANRGIGLGLVQQLVKDKNIRYIIATARDVAKATELNIIKDPRLHVLPLAVTCDKSIDVFVSEVAEIVGADGLNLLVNNAGIAVKYDSKSEPNRAKITEQFDVNTTSVVILTQKLLPLLKQAASNVSGDDLSVSRVAVVTISSGLGSITENEIGSERFENLAYSMSKAAVNMFTKTFSIDMKDEHILAVNFCPGWVQTDMGGKQAVLTVEQSTSELMAAFNKLDNSHNGGYYNRDLTAIKF >CRE07059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:16616:18370:-1 gene:WBGene00072030 transcript:CRE07059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07059 MTSSLLLNLLRVTKKIPPPACLPYQNTTVLYAYSTDIDYDTYWYGVHNMVHYADMYATMANVRFDTKQEEEIEYHSDKKSLNNSLYSRKPDSSLGYGVKTIESNLYNVLKKFLNNKKTPICGAQVFIAVKRYPDESDVSDIISQLRANRVMVRIAVDSSPSGGSNFATLYEMSLQTNGYCVFASGRDLVNAFDEMTWILGRPYQFIARNFVVSRSSRIEIPLFKTSVLPSHTEYSLFAITIQNHSKNNFQVKTVSLITALDNSFVSMNYTIASTDGFYVTKFPGDQLYPLYGTAQSGGFPLNGSLLYKWTIDYHYDNDEPQVIQLRIYSQFYNDFLPLPNF >CRE07058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:10737:13743:-1 gene:WBGene00072031 transcript:CRE07058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07058 MSKFFRRAKNCSSDSSDFEIDSDYEQVPSQDSRESDSEQPGTSEKAAVSKKDQKKIENWLMEPTDESETVEERHRKEIESLQAELANLRYCIVEQDEKVYESLLKQSSLHQELLEVKHKNEDLEKEKRKNEEQHDEIIMLLEASKDKKINYIKEDADEKLMEENEKLKMKIMELKTDLVEEKTKNMSLMDSDDPVEVHLTYEVKNLKLELAEKNREVATLKKQLKKVKKSKKLRDPGNLEEELQLTRSELEQAKQELAFANEQIGMIFESNEMTRQQNIGGYEKQIRELKDRLIAREEEIWELKNK >CRE23225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig958:4531:5901:1 gene:WBGene00072032 transcript:CRE23225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23225 MSQDPQSRKSSVSSLDEDDYEKIFNSDDQDAPGNQNPDFKTPTKQGNRNEYVRNQCFKLKLNIRRSSKIQELEALVRQLQQKVSTQENEFFDISVENTLLGDQMKEKERESENVIYTLRNQISTQDTKILDLQQECEGYKEEWSRQYLKIMQLEDEKARNEKSHQFFVRDLNRKDLKVVYYQRNQFDALETENRKLNEKIGNLEGDLMMERMQMARICQQMIKEESESYESSNGNGNLETFKKQYNVADLQLKLTDKDASIKLLQRKIENLEMELANETRKVLRPSVVIQHNKILQEKLDRLKQKFQKKKQYVERILNNLKFSVQEKIERLEVVIEEAEEEEATVTRGTVVKSLEETIKEKEEYLEMLEGKKRMKFNS >CRE04379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig331:26776:30705:1 gene:WBGene00072033 transcript:CRE04379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04379 MCGSDDSFEDFVADSQMPTSSVRRTRETEESEVACSQRPDAAHDTMVEDLDDDVKPVRDEVDDVIKILVATDIHCGYGENKPNIHMDAVNTFEEVLQIATEQKVDMVLLGGDLYHENNPSRECQHRVTQLLRKYCLNENPISLEFLSDASVNFNQSVFDHVNYYDQNLNVGLPIFTIHGNHDDLSGKGLTALDLLHEAGLVNLFGKHESIQEFLISPILLRKGETRLALYGLGSQRDDRLVRAFKDENITFLRPNAGAEDWFNLFVLHQNRPRRAMHRSTGNYLPESLIPQFFDLLVWGHEHECKPDPQYVAASEAVGDGFYILQPGSTVATSLTPEEALQKNVFLIKIKGRKFASKPIPLQTVRPMICDELLLDKIPPGCRPATKLDRPRNRDGRYIDEMAIEAKLNEMISRAKAKRGPRQPELPLIRLKVIYDGEWLNITPANAKRIGLRYENTVANAVDMVTIKKNISSEARARRQRGQQNNELADELGHVSAANLQTMINDYFTHQPLDDQMTVLKPFGIGKALDQYSEIEEGGTAASANRNFDNCLLAQIGVVRNTLKKMPLPPIESLSDMESFRDLIEKDLFELKKADCERPVTAPEGEEDDDDERQFYMPQRAQRFDEQEEEDDDEPMNSDDEPVSTSSAKPTRGRGRGSRGGATTRGRKAAKAPTRAQIDSDDDGFVVLDDSDSPPPPARATRGRGRAKPTTTTRKRDMSFF >CRE04382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig331:22256:26367:-1 gene:WBGene00072034 transcript:CRE04382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wdr-5.3 description:CRE-SWD-3.3 protein [Source:UniProtKB/TrEMBL;Acc:E3NJE9] MNPNQPGAAHFQENLPIFLQQAQAAQEEKGLFPPGLLPALLQQSLQPQQRFPGLPPPGQGGLIPGFPTFPVGFPMPHGLTLPLAPIQNTILSGISEISMTESESPPPISPLKSKQDTTTEGCSNYTVIHTLKGHTKSISVVKFSPCGRYLGTASADKQIKIWETEKFNCERTLYGHKLGVNDISWTSNGAFLASASDDTTVKLFSVETGICLRTMKGHTSYVFSCDFNPQSSLVVSGGYDETIRVWDVLNGQCVRMLPAHTDPVTSVAFNHMGNLIASSSFEGCIRIWDLSDGRCLQTLVDLDHAPVTYASFTPNGKYLVSGELGSTIKIWSLEKEKAVKKYKGHVNEKYCIFANLATTKGQRIVCGSEDGRIIVWDVQKKTILQELICHTTPVLATDSHPTRNMMASGGLEPDHEVRIWFSNS >CRE04378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig331:19462:21870:1 gene:WBGene00072035 transcript:CRE04378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04378 MPKSFDISEILKPDLNPTQDLRLPVDVLPECPEPFPLYVPNFQFSIEPYKHLPVDANFIKLLDNLKPNTSPYQRPDSTMTEEEQKKWNASRMREYRRKSNEVLMKTQEMLDGVKGQCVVSGARLRNLMANTTVEDEVKLNASQFLRMTVEKLLAIPDMKNMFEKYHRRRKIASESGFEMRSLIYVERVVTLNNELLKHQNKPRRSPTQLMKKIKDAMWKAEAYEYFMDDKRIKKVIDELLKIDNEFKQSVGMWLIEQLKSFGTTVTLMSAVSQ >CRE04377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig331:16521:18374:1 gene:WBGene00072036 transcript:CRE04377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04377 MYINLAHFLIPKISALCSFAINPLTVYIVWNDKKLQLGNYRYLLLYFALFNISTSIMDMLVPMCVLNHRYAFSVFVSEGYFEEFSEFNQFFIAFRCGFISGAYAVLHAHFIYRFLVLFNNQFLTRWFMPQGILVAVLYCLFHIVYWTIYCYAYIGGDVDRRLYIRESMFEHHGVDVMNITIIIAQYFEGTPQAMYKSRVGIGCLSILSIISLALIFYFGYKICHKLSSQSLEMSEKTKKLQTQLMKALIVQALIPTCVSFAPCLFAWYQPVFGLDLGRWVQHAAGIAVATFPALDPLALIFFVPTFRRKFKETLLFMKSFRKSSKTTCSQISTGQSMSQSNR >CRE04381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig331:13790:15601:-1 gene:WBGene00072037 transcript:CRE04381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srj-21 description:CRE-SRJ-21 protein [Source:UniProtKB/TrEMBL;Acc:E3NJE6] MSCSLCDIFVPVGIFNYRYAFTIFVVDGIFGTPSDFGRYLLSFRCSYITGTYAILHSHFVYRYMTLVNQTKLNRYFLPHGLICSIIYCFVHMSFWTFVAGYLSRTDREKRLMYVREAFMNYYGQDPLGMNIIIAQYSETDDEYFVKSTWIAIGVLSVVSSASLSMIIYFGYLIIAELKRKSGFMSVNTKKLQTQLIKALVIQTITPTIACFSPCFFSWYQPVFGIDGGKWLQHSSSIVMSLFPVFDPLSTILILPSLRRQFKTTILQFVTKETTAQSNLRTTACF >CRE04376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig331:12055:13324:1 gene:WBGene00072038 transcript:CRE04376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04376 MSAWMTWLAGMEIKTMNDKDSSNLEECRERVFSSSEYFASCRFVMFSECADDFNNAPKSIFQNSITFSAEETKIETDIGGDSKIPVPIKSEIQDGMPTVKILYCVSCGYRKAFDQFSEFAREKYPDISIEGDNFSPVYWKSQLVTVIGILKVVLSVIIMSGSNPFESLGFGYPGFLQYAHSNKLASGFLIYLLVNMLESNLSATGAFEIYVGGVQVWSKIETGRVPSQEEFLEILGKMESTK >CRE04380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig331:10546:11760:-1 gene:WBGene00072039 transcript:CRE04380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04380 MARFNDKVAIITGSSNGIGQATAVLLASEGAKVTITGRNSDRLGETKKLILNAGVPEGNINVVIGDITQESVQEVLIKTTLEKFGKIDILVNNAGAGIPDAQGKSGVNQSIDTYHKTFELNVQSVIEMTQKARPHLAKSHGEIVNISSIGAGPAAQVANPYYSIAKAALDQYTRTAAIDLAPEDIRVNSVSPGAVATGFSTVCRGLDEQKSKAMYDYLGSQRECIPRGYCAGPEDIAKVIAFLADRNASNYIIGQTIVADGGTSLILGAHAHGSRMIEAIFK >CRE04375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig331:7600:9921:1 gene:WBGene00072040 transcript:CRE04375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04375 MLKLVTLFCVIYTAYGLVLPASMLASYTDAFARNQMLALASAAYSSNPQHCLTSKFTNAQLKRQIYVKNCAALNSDVCSGYTAALHADKAIVISFRGTQGFFQLISEANKSVFESQMSWIAGGKVSKYFGDAFSKVWAAGMKDDVAALLAANPGYEVWVVGHSLGGSLASLAASYIIGTKIVDGSRVKLVTYGEPRTGNKDYAHAHDGQLAYSYRVTHNRDVVPHVPNEDFMGYYHNKYEVYYRENMKAGAKFTICDGDEDSKCSDGLWVTTSIDDHLHYFEKDISAWGDKGCN >CRE04374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig331:4820:7260:1 gene:WBGene00072041 transcript:CRE04374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04374 MPDICSTRSKISILLFLAFLAILSVLYSIQVFDYDSTISGISSIYLENVRKFKESYPPMLIGAYESENRISVSVAALKPIGFTVYCRYFDKNGTEHEKPMKAFIYPLFVVICDKKSAETHRVAITDGSIGDVPEQFQVVVTRWNENYKRFLTHCSAPIFGKEPKWLHLVEMIEHYKLQGVSKFYFYVREIELNDMFLLKHYAIKREEVELIDIPSIYFDAVSQQLLAIADCHLRNRLSANWTIFSDIDERLVMTEEKETIREFLQESISEKYGAVMFAQRWIFKYEKLPEKFINYQQIMEEMPTRKWSLTTQPAVNCTDGKHCWGKMIINNQKVLQMLVHDVGEYENGYVPFILDPEVGYIRHYRDMNLGKWWERNRGAIERYQPFINTTYSPYFGSQLLSNVLQVLHEVYGNLR >CRE04373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig331:1715:4244:1 gene:WBGene00072042 transcript:CRE04373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irld-6 MYSSQPIIIKLPEFDVNMEQIIKDQAGGNGSFLREFVTENGKPKGIGNRPGFNDSYCRNIEEELIVHPKYADCIVLESLNENRSIVKLNAWSGFEIFKFAVNLKELKNIEVQLVYQNELTYLYFTEFRKCDNCLFNLTKNPALVGLRFHYLTETDDLNTIDKEYLPKLYIRGNSRLKFSHKQDYSKIKALRKICNEEMCVIQKNEECHFKNTIKSSKEYSNCRYVYGDMHFNYRFQESNPGDMNFKIDGCFVFNRTGIQYLRPILRAFTTCDREHIFEKNKYMCTDQLEELKRHWEPNKLKIDADPIKVRCYHGECRGGFISENVQARYSGCEVFRGDVYMSQESGNISERIHELSYAHKINGSFHVVNNTFITKLALPFLKKIDSAKCPALVIENMENLRDVIFHSSIEFKCNTTGPKVIIRNCSRLYLYKQTLRQMRQYGAVFEGFNSETDAYSENCTFFLLFGSLGVILIFEILWDLRRNLLLPNWTYRIFPMTIMRKPKQKQPRKKKPQ >CRE02697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig441:8970:9800:1 gene:WBGene00072044 transcript:CRE02697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02697 MCESTFNGWNPIVNGCTIAPYVMFVLKHKYIKKGDLGYFPENCYGVENNSMLALKYIQWLEKKDSTLHLKYKLRGGEVKIEANGSSYFADAFNEATKDIFENKYDQRLKPRVTLYGGRTQAFRSMAVAELIGLNSRPS >CRE19280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:15753:18190:1 gene:WBGene00072047 transcript:CRE19280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dom-3 description:CRE-DOM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MX51] MRAKQLYEAPLFSRPNTFSFDLNHGFETFDPKTGDDKLTALLEWIRQMSPEGGRLRKVCHEADFVCWRGLLTRISATIYSRDDGWRVRAIRRKGVVFLMEEKTEQARQRELNQTDMEKRMSYWGHKFEQYVTRDDSSESPDTSQPVTTKEEYGVVFRNDLTTDARLNPAKKSIGILYSGEVDCLDKYGGLIELKTQKGDLHAGFWKSPKSLKWWLQSSLVNVDTIIVGHRTHEGHVTSLSTVRTREMPQRATWNFRACFEFTSTIFTQILKFLGEREGAACVVEYRSEMGLQKGITMRRVPEDTCDDIVPEEFLKNYY >CRE19281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:21128:23038:1 gene:WBGene00072048 transcript:CRE19281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sst-20 description:CRE-SST-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MX52] MVTVFTTIGLAFGYPVASHYLMALLQVIVAIPGIVYVALQGNIWIAVYVSLQMITATCEIYWLVYMIFDQQPAGAWLGLAAITAVNILAIVVALWFRQTALKVPCKKKKKIEVETKKDGKPELKVPSTSMSEIEKSSKNGAKKSTSSSSMSNKKSPARSSEKSEMSEKSSKGSLKSRKVKKGSMPLDSRETTSLSRSSSTIHEFDEK >CRE19282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:26937:34709:1 gene:WBGene00072049 transcript:CRE19282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19282 MAPDAVGSQLDENGNNITWIQTYLYEFGYGEMPLPDHWNRMSIVGIDERANYLQENTGRLIWRQNVPFDFDRPFNIQGIKDFVVMLQRHQEYCTVMLDDPRYQRLRNENVSVAVLDHFLQECMGGLAHLLNASVIQFSNWPLSDGYVTSLNLPASPASVPKTGTRNSSNQMTFLERCQNVLFHIAILVTRFIQMNTLDAMFAKRNYPWIQVELNEAQRPIFASRAEMIFEPIRPINNRIKFFGAASTMSPENYISSAPFPATVTFSDAVILRSNMSNISSTDCATCHQSSKLRRRQWLFNKLHDRTVVYQRQEEMRSKYLSIDWDRVHREKFVLVTFGSVAQVDKIHFDLLKSLLETFSKQPGLIIWQSNLSIDEIKSIHNLTVPPNVMVSSWVPIKELLAHDNIEFLICHGGINTVNELALFGVPVLGVPLQGDQASNLARVVDLGAAELMTIIELNEGKLDEMMGKMRMNLERYWSRSEKLAKMLAHHRQFHTGYQKFWLNWVARHGKRIETKRFVRYQYLGDVDNRLWITIFGSIAVLIYLASF >CRE19283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:36308:38371:1 gene:WBGene00072050 transcript:CRE19283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pat-10 description:CRE-PAT-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MX54] MCLEEEGALCCEPAHPSAYSIPELLKTRHSQSTNTYSDNMAEDIEEILAEIDGSQIEEYQKFFDAFDRGKQGYIMATQIGQIMHGMEQDFDEKTLRKLIRKFDADGSGKLEFDEFCALVYTVANTVDKETLEKELREAFRLFDKEGNGYISRPTLKALLKEIADDLTDQQLEEAVDEIDEDGSGKIEFEEFWELMAGESD >CRE19284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:42005:45914:1 gene:WBGene00072051 transcript:CRE19284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19284 MSIDRGDRIIVMMVRSLCCCGRSSQVADGSSEEIIGKQQLKNVFIVCTQNVLTRALTGKLMAALEDRHLLPVHMKLLRPTQDVIDKSHLLKEEKRRQLDDVWMILVFRGREAQTRVAECIKQFKTQYSLHKNDIYWTDTEQQAKHEEALWFKNDELVSNGEMAAVVEVPVPEQNGNSVTELSVASLKIEGEHGIQSEVNSVVSTEGPHLSVNSSQIPTPTPPEDDHPTPHPHGGVHVDKEGITAEVISNTADILEPVEMSPAPTAPVIPLINQPTQIE >CRE19303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:46640:51861:-1 gene:WBGene00072052 transcript:CRE19303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19303 MWQKKSKQFLYQILICGVFVQLLIFLFVFRTTDVKVEGEKFGCAATRPDFSEPIFHDHDSTNNYMNVCSLPVYDYWHPKVMRYVDYDFDPTVSCDKNFKPFTRLVDGKWDVVEEKRGMNCSARCISGIDDWKLNITDWMAPGMPVDCEFLEAVCWEGSREVYGYIHTQVIPKKPTKPLKNPDAPNVFVFLFDSMSTGLAKRSFPKTLSALSSRLEAVEFPFVNKVGENSQPNGMALWFGMSVCLFVGESGCPRFLGKLIEPIMGKNYGGTDMKVDWEKEVYCRKHLNHSVFEDFQNEGFMTLQIDDWRNQMVNYPNCKGFAEAPTHHYMHPFQMVYERFGTNITKNHLKGALCREERHAAFEYFQQFVDAYKDTPKFTWMWINTVAHDHFNGFMRVDKEMAEIIDRNFEMFDNSFVLFLGDHGFRIGMRRFLQTEIGSLEMNNPYLSISIPKKLRQKSQEVLEIMRQNSRKLQTHFDTRATILDILKYQPADSFNNRTLLEISGERGHSYLRRQPSLPRTCGRLPIQPEYCICQVEKVTVVDENLRNRLGIILIDHIHDLLDAANFSSMCEKFEFRETTSLLHYGHTNHSETSHNYEITVMADAPSFAQFQTILKHNKKTSQVSFGNIVRLDRYGKTGDCTNNNKYEKMCFCKGMTTVQRWWYRFEKWCRYLDDEVQDWLAIP >CRE19304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:56989:58829:-1 gene:WBGene00072054 transcript:CRE19304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19304 description:Actin-related protein 2/3 complex subunit 5 [Source:UniProtKB/TrEMBL;Acc:E3MX57] MHNTEYRKYNVDDFDPAQFYDHHDNLDGCGPDEEKVHQLLEANQLQDALKVSLLSPPLGHQEQALKDNSTLLVAHVLHYSLKSSDLVSIQEIIDNQLSIDEADILMKYIYKAMQLSSESSTCQSLLTWHSLLVAKFGHGAIVRVLAGRQRL >CRE19305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:60879:79997:-1 gene:WBGene00072055 transcript:CRE19305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lfe-2 description:CRE-LFE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MX58] MLEAIYAKIMGVGSSRHDKRQGCWSWGESSNGEEKRMKRPKKLPSKLRLKISGNQRRRREDTFVVWEEIPTPSVCDHRDTMNSDASSTPSPPSAPTQERLMQIISCFPYPTTRPASPQCPPPKPQLPESCEHRAFCDAFGKLVQVSVETMAITALPMDVWLKERLKKWVQLSGHEGSIVPATPHTLYKKQCANCGEGRAYKNISKDAALNGFTPKYYNELEKNEEHFIEIEDLLQQFADPTKTAIMDIKIGTRTFLESEVSNTKKRADLYEKMVAIDNDEPTEEERKAGAITKLRYMQFRERESSTAQLGFRIEAAKRLEGALEKNFKKVRTVEDVTTTFMDFFGIQRSRVRAQLIERLKSMRKAIENSTFFNSHEVVGSSILIVFDTEKVGCWMIDFAKSSPVPNGRSLNHRTNWVPGNNEDGYLIGIDNLVKILEDLPEYGEHPDDQLMVTEEVIARMKNSK >CRE31538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig287:6876:29034:1 gene:WBGene00072061 transcript:CRE31538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31538 MFFLLLFISSSLVSEGWSRKFYEENYERAFQQAESEILPFRSQFSKDNSMMLNYSIAALAAIKVSKKIRDPSSPQKLPRHLCPLKKYKCSYGKYRHFDGRCTNVFSPLSGSTYSPFSRRLPANYDDGITLPRFHGYRNHLLPPVDVVEKQVVKIPAKFEIPLTPTFLDFSDFLYMDLARFVKLQDGVIGCCGVTGRSGGECLNIGEQYASLKSNYCIDRQFRSLNLKAHILKEKYELRAAHCDGGSCHEVEANTLNEYGSAVGLFHKFMTTGDKSQIFNPSLYSESSTSSSSGNLKLSEILLKGRDHGVATYAEWRKECGGGEVTSYEQLIGLIDDRILKSVRDLFPDIRDVDLILLGVAENPVYGSLLGPTFGCIMALQFQKTKFGDSYWYTNKLNDEQLEEVKKVSISGLMCRNQKTSIIQKDGFRAPDNFQCTISHDKMHKFSKFQENMFLHPKNFRKPNFKVKIAVNWRFQLISTDFSINFCSRNIPIFCNSSVFSQPDFSKWFRPEKQLRTAQFDSILGECIRDVSESRRRRQSSGNLREGLHNSVALKSYGSMMLAKDEAVNEANISFILLEATRKLITGDRNVVDLERIQGIYIGETFGKKSDELCNPKDFPCDPTNPYRTYTGWCNNLATPSLGNTFRELKRLLPPAYEDGIDLPRSKTTTGAPLPSPRVISNTVHHAKKIEHVKYSHFVMEFGQFIDHDITHSPVDQNPDGTPLNCSRCDSSHTVSPSCFPIAIPETDLHFAPFSCLSFVRSLPAQKTLGYRNQMNQVSAFLDGSVMYGSTKCEGDRLRTFQDGKMKTTQISNAKRHYGITLSQSDESEQDGCVSSPEAPCFIAGDDRNSQQTLLIAVHSVFHREHERVSSKFKDLNPHWDDERIYQETRKLISAQFAHIVYHEYLPIVIGQKLMDDFDLRPRQDGYYGGYDAKCDASILQPFATAAFRFGHSTVTRFTPMQETVLEPATRVVDLAADFLNMSKIYSETDAVEQILGGMHAKHQMMTDRFVDDAVRNFLFSDRGRRGTGLDLIAINIQRGRDHGIPPYNHYRTFCGLSRLTSFYSIFSDIDQDGLTAIGKVYESPDDIDLFTGIVAEKTVPGGIVGPTAACIIAEQFRRLKKCDRFYYENEKRFSVEQLKEIRTATTMSALICGNTKVSKIAKDVFSVPEPFGNPLIDCDLYPKLDLSKWRDAKDCVHKGKTIALHSTAEISPCTKCTCTSDGDPICFMQCAHQIRDT >CRE31544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig287:30019:31293:-1 gene:WBGene00072063 transcript:CRE31544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31544 MSDKTSSKQSTSKVDDNRMIVVETQMGVKERRKKVKKFTKLIASSVKVEDETRLQLGELTAKCSKQEADAILEPMRIFHRELLEKFEEIGGEEWPRSVIRVMREFKLESVEELREACAKAAQVQVEENLLTECWNEEKEMLGEQIREIQREKEMLGEQIRKMQRKKEVAEAQVRKLEKALTKLRKKLDQEERKPNGLRDEAQEIAVETVTGGEKTSSEEKKVFMVGNLGIRVENRNPYRETAEKSKEIAVDTGMEEKKEGMKPKKTVIRESKILITPRIGLKGKSIFEYRKSALDTWKNRFDFANVEAIVFLVNLTEDEETNQKLGALVLELAEEVKEITIIPYQMDCAKSGFVECWIRSWITAGNVEWIDSSASGKTVEKFKTWEQLLEFLEARTTENVVVAQLRKESVTSEPRTKENKWSHQ >CRE31540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig287:44786:50720:1 gene:WBGene00072064 transcript:CRE31540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31540 MLHFSDHIFLIFSIILLSNSAAFLVGNPPKKENGILSLAPRDQMTESKEWTRLAIQRLWAERELMGVTPIHVISPPGLPALKIVFKNESASTTGSLKHRYAWALMSWALLEGHVKNGTHVIEASSGNTACSLGYMCRLLGLKFTAVVPDTIELVKVKRIEEQGGSVVRVPISDRLIIAEQLAKSTGGFFMNQFKNAFYAEEFHESGSAPKASANLMHELLRQLNNTPPDVFVHPAGTGGTLSSIGRYAKKYGLKTEIVLADTQYSVYFDYVMNGTFSKNTGAHHWIAPGMAGIGYGAMGPARIAQTTSLDPAVIDRVLKMPDLASTAAMKVARDIGINGGTSTGVNFLAALHIGALLPSERPVTVATILADSGKYYETTYFNRKWIGAKFEFHGGLKVYDCWCEVIKKCFESGEDPLKIGQKACKNF >CRE31541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig287:52277:54111:1 gene:WBGene00072065 transcript:CRE31541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31541 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NI54] MFLLLLLLLLPFASECLLNPRQLGCERMNGEWGNYDTVFQKPMEIGDKYIVNGTVSPHPGIVRFNLASTMPGLVFVHFRCDICCEANVIWDTYFNGKWDRREYSPMPFRASQAYEVIMEYTGSTVEFTFNGAYLYSFRLRTGGQINQAQLYGTHTTNFQEMRCKNAPPTTRRPRPPPQNDDSHGHGHGHGHGHGHSRSSSDSESCER >CRE31542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig287:57361:60445:1 gene:WBGene00072066 transcript:CRE31542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31542 MYYFHNYFYSINVTDDTSWPQMEKWAEFPIWQTIFYMCLNIHHVLVLITIRKFINGSSFFFIYFVTGCFDVYYCYTLTTYNIFKNTMSGPIYIWLSQLNSTSLFFGLFNNLFGNMMMSINRFCATFLSYERYWRPMHICIYFSITATISLSCCTPYILRHRSFYILNGKWAYTNENLTLILQRSIAISIIGLYEIIGISMSILTVYRLKIHGIRSKKNEKNLILVTSLHILVDIVAMFIMICEFLEWQFPLALFAVRNVFPVTYTVVILNSVTIVVTNGRVRDAYLATCRFWKKRVEDGKNSSESRQHTTLPPTPQHGGKVAVF >CRE31545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig287:60653:64752:-1 gene:WBGene00072067 transcript:CRE31545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-28 MHTMQARPIAPLRVLLSDNITHLFFFIFALFQRFSDRFFSFFTDCFAEMASLAKNLKNLTPNVRKVAVTWDKAERIRRNEEIFKNPDSVVHRLPEHYKKRYWEMILSNKSPVHYKPPTSRAAYDSFKNVELELEDNPIVGIRTPEGDQGLWGGERVVKGWIESAPYTKKKILPRYWVPKLFFPTLKTAVLYSEVLDKYIKVTVTERAMRLIDEHFGLDYYILQSQEIDLDSKFANSLKREILLTLATESYYEGDDEKKAYIRQKYAEFVIPEAEAEWIGLDLNEAARKQQDIEDSTAPVPLKYSLEQSLLARLRDGTDDVSMEMENPEAPIRTESKFGDKLFGKYLNPIGKKLRSATQ >CRE06168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:2455:4055:-1 gene:WBGene00072068 transcript:CRE06168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06168 MERLIRYGSVDAIPYYNCSWKSSEEWNEMGLKRPVLGWFLVLFGGTVEILYLPILYIIFKTKLIRYACYRLMVFLALTDMSATVCSSIISGILYIQGAVFCTYPTFEYIAGGFAISDYSPRYEEIKIFIFPDTWCMACAINISLFTNRVCSIAFHEYIDVIEGKLTYFSMVISGIYGMYILFFTPIICFNSVALAWISEPLSEREESQEADEMVRTKQRTSIGMGMFDIFRTTGKSIKKRIFKFD >CRE06160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:15246:17127:1 gene:WBGene00072069 transcript:CRE06160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-44 description:CRE-SRT-44 protein [Source:UniProtKB/TrEMBL;Acc:E3NIF3] MNRLIQYGSTDAIPFYNCSWKPESEWWSNGVQRPWLGYPITIFGILIELLYIPIIWIIFKTKLIRHTCYKIIVLLAITDMCATACSCIITGILLIQGAVFCVYPTFIYIIGGFALCTWCGACAITLSLFLNRIVSIGLRDYADNIEKPMAYITMVLCVVYMFYISFFTTPACFNSLIMAWPSDPLSENEPSQEASNYYRNSSQAWNNWIFITCMVFLFTIYCALVNKLARGQNSKASRAIFIQCCIICFFNSCTAIFYNVLAFITPSPAILVFGQLCWSINHGCPALIYVTMNDTIRREFKKLIFRVTSTKVEEGTSSAGVKTMTQSRI >CRE06169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:22327:24245:-1 gene:WBGene00072072 transcript:CRE06169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-45 description:CRE-SRT-45 protein [Source:UniProtKB/TrEMBL;Acc:E3NIF5] MNRIIEYGSVEAIPYYNCCWKSFEEWETTGVKKPWFGWPLVVFGTFIEFLYIPIIYIIFKTNLIRHPCYKIIVMLAMIDMSATCCSCLITGPLLIIGSVYCMYPTFTYVAGGIALNTWCMACAATVSLFANRIISIGFREYADVIEKKLAYSSIAFVLFYGFYIYYFTPSLAYNSDIMAWLPDPLSEETPSKEAAAMYKNTIQAWNNWIFVTCMFVLFSVYFAMVKRLAKGQKSKASKAIFIQCSIICFFNTATALVYNTLAIVTPAPWILAFGQICWACNHACPAIIYVTMNDTIRREFYRLVFRLHLTQVGWN >CRE06162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:26332:27940:1 gene:WBGene00072073 transcript:CRE06162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06162 MESMEDFKSNDTVQSTRSDDSSDEEFMSKMGITNLVPSFVRDMCTKPQNIELRVCYDAPTHQSTYTYSITWEDDSEGKEKKILEEIKKTTARKDSFGKGVSAHLVPTTVTDSLIHQPLPYPPPVFAICDGPCKKSFPSNLLNTIGRCGHYICAACYGIVRNSDGTYGCSSAHCNWEGETRSDAKRFFREEICPKQRQRAREMKSLGIDVKSASTSSSSKSHSNSMKSSPISQNTCGYSDETDCILKSSKSSFKLIFPSKNEIIGVKLIILETMQFDGSQHLSRNVAELEFQSTTKIKKAISALLFQKFNKFPPPGLLYHVELQPNMKRKIRRIRSKEYDSMALFDFSQIAEYIVFLMDFGGFVKDGSEINYS >CRE06163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:28643:30040:1 gene:WBGene00072074 transcript:CRE06163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06163 MKSSCRMFHCSLLIILLKQSNSDSVFFSKSMIVTYGKPLNYSLNYETYPTNLWDSCVRYCYLLDSCVAAHSPVSPFNCEIFSANQLWSVKRMNSSQEQLMAIKIHSPSTICPVSMDSVLSYGEVTHYPYVTNIQSLPHQGSSSDPSSDYDYFITQNDPEDTWYISYQSKESDTFSRMKTTDCCFRGLKMSNGVANVSETTWLLVYSASSWNTLVSDIRKSQSILHSFTSRTTVLSTKCSIVWTGNTRRKNICDGNVTGGKVKSLTRLNLIRKPCINNREEHNKILHWEQLSKLSYGVYKKMLEGTKEMSSMISQGIPDT >CRE06170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:30353:32582:-1 gene:WBGene00072075 transcript:CRE06170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06170 MHWNTFISLETIVVPSEGNVSTAEVSEIFESEPPRKRQRGIDECFLEFGEDSVKTKKIDRAIMQLIASANLPISLVENTAFTNLMKIVSPSLKVKGRTHFTRKELQRVYDEYSNKLKAELSKHEHLSISFDCWSDHANKYQVLAVMCHFNVEKEFVYRLLGVIDVSKERHTGFYLSEKIKDLLEEYSISDKVKACLRDGAGNAASAAEKVCGTQFDCLGHKLNLAVREGTKKFGGLDSLMNKLRKICNKVRKSSISRRSWEKIWEKMEKPSLFLKKDVEVRSLLKNKRIFFLQTRWLSMYEVISRALEVRGELEMFLMEDESIACISLNEWEIAESVVKLLKPVVIAVKQVQDRQFTASSIIPLCRVIIGMLRDDNQEYKYASTAIADRLNLELQIYESIEFLQISTLLDNRFKNCFAEQEWSKKLLQLMNNCEKDCEGCDPVNEIEAGTDTDVFAKFSMRKQRPDASDASRNSKIAGEYARWFAEPVISKVSPMEFWNNRQNQASFQTLAKLVPIYLSTPATTCEVERLFSGARYLLADNRKQLSPENFSKLLFLRTNIPLIGFSE >CRE06164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:32930:35521:1 gene:WBGene00072076 transcript:CRE06164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06164 MPQKKAGAKLRDIQLAQARESAKNRREELSARGEEIVELRAEIVKLTKMIEDRDMTVENLKNELKEKEEEVKKVKIELDATKNTLRDNARRSEFKEDQLNHVKHKFMELENEKREVELKLQTEKIAFQQAERTLKENLVISNHEKEQDRKSYEARVLGMKKEIKNLVAASPTGGSTLKAFSINMKQQTKDFRCKKVLESIKTSVGDEAFDDFLTELCHHVAKDPKYSFSLILSDIDCFLATVKFKLSDGFLQKFKSFLKSRLGFDVFCARQKIHDLRKQHSGLDDYEITVKSVMRKTGSRNVFVDSAVIKAKNLSVLLSRRLERLHESGQLKFRTNNDKIILGVGGDKGGNSTKIAIVIGNVDKPNNPHGILLIGMYEGNDDYKSLKENMTSVFEQVNNMINITYQEGKTTVTRSLIIIPVGDCKFLSALVGHGGQSCGAPCFLCDLRWASRGEHARYICSSDLSQVGNPYDISNLKEALLNVPHNSIGPPALHTMLGVTQTYVLNWLIGLCNKVDCSEEIPEDLKGQKKVLKNLEEQQDEYESRCHNIKSSLYVLEQLSEILEKSVKLKKHQMSQVQPCGASHCLISSSKKKIFTKPDTFFCSSCNKHVHHLCSFVLDSDDDVSKCLDCSKPKQCSTIQGRLKLLQSMKSQFQGAFHNSNDVLLDIQKEIAIVKKTLTTCDGNLRTKLEEVLIEIGCDLRTWYQELTGNQVRNLLRPEAIKKIIAIFPPDCSDNLEVMEAVMMDLATIMSAANNEEKTDAQIDELETVLRRFERNLELAQPNATITPKLHLLCGHLIPFLREHRSWGHVTEQGLEHLHAVINSLNVRFSAVMNPTKKAELIVKSMSNFNVIFDSGASWFTSS >CRE06171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:37771:39400:-1 gene:WBGene00072077 transcript:CRE06171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06171 MESMEDFKSNDTVQSTRSDDSSDEEFMSKMGITNLVPSFVRDMCTKPQNIELRVCYDAPTHQSTYTYSITWEDDSEGKEKKLLEEIKKTTARKDSFGKGVSAHLVPTTVTDSLIHQPLPYPPPVFAICDGPCKKSFPSNLLNTIGRCGHYICAACYGIVRNSDGTYGCSSAHCNWQGETRSDAKRFFREEVSNGSVLLNTLKDLEFQICPKQRQRAREMKSLGIDVKSASTSSSSKSHSNSMKSSPISQNTCGYSDETDCILKSSKSSFKLIFPSKNEIIGVKLIILETIQFDGSQHLSRNVAELEFQSTTKIKKAISALLFQKFNKFPPPGLLYHVELQPNMKRKIRRIRSKEYDSMALFDFSQIAEYIVFLMDFGGFVKDGSVINYS >CRE06165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:41041:43417:1 gene:WBGene00072078 transcript:CRE06165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06165 MIVSWGRPLSFNSGNSSASTIITASSWTECVTSCWNSLYCVLAWSSIDSCVLYDFGTVLEGEKLDSSSNSKVAMKIGSTGSMCPSLSFELTSVEVVINDPLLEYTEFRYSITLASGRWSFLYNVTRSCPPTWTKFRRPDTEFCLKIIGSTDIFFTQVQAQVLCINSKGVLAGLESEEERNFATEEAHVINIQDTYLGNMFYISGDRKTTCSTWAQVQTPECAGLNAFSHSDKYLSTKSGYKWAPNNPDGVTVNGTTASCMILWSQKTETTVRGLVDDAPCGHKKTNYAAVRGGLCGRVAGI >CRE06166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:48200:50705:1 gene:WBGene00072079 transcript:CRE06166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06166 MVTYYDTLIVSEILKSTVAHCEYSIIVFWGKPTNYSSINSTTVTTSSWNDCVNYCFNEIYCVLAAGNDSSCITYDFDTVFEVVRLEQVDGLKVAMKINSISEICPESTSTSFSNLTISDPTYPYTVFSYSISYLDDSWKFDYNVTRTCPPDWTLYNRPLSDYCLKLFGNPNTTYNQQQATDFCDTQNATLAGLESYDERNAMTSQGLAINLQDPRGLPYSAFWVSGIRKSSCSTLAEMKTTACNGTSGFDYTDKYLSTLSGYIWAPGNPDGVNGSGAYQNCIMLRTQTNGTVLLGVVDDVQCTYTTGTAFDIHGFVCGKIPGI >CRE06167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:52685:56366:1 gene:WBGene00072080 transcript:CRE06167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06167 MGVVQNEHEIREELKNELTSLVNEVDLIPELDRMLDDFIENKTWNEDTQELFTRVVLKPFKTLRTALLVVDFQNDFVSGSLSIKEGDAEQDPLEALPHVNNLLQNLNWNVIVYSQDWHPSNHISFFEHARNPDRELAPEDKSRKLRPFDIVRFVKPVSTIQVLYPSHCIQGGWGSQLHLGLQRVEGAHYIKKGADVYVDAYSAFSDNCGIKQSELEMLLRKNDINAVIGCGLAYDICVMHTLKDASKHGFLTCIVKSGSKGLSSLKMDEANKMFQKRGVAIIDDEMAQLISRREAFPIEWIRLLVHQAHNELHGKK >CRE15756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig307:43244:51795:1 gene:WBGene00072081 transcript:CRE15756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15756 MIYKFLLVFAIIRPTFSQFSSQFDASQCEISKGCHIPEPSQSNGMGVAWNLLDDETLELELFVNAEQENGRYVAVGFSDDEQMGNEPVIECSAIGTQPASMKFSFDKTTGKGNQRIPGDHSAHFTDTTASFQNGVLYCRSKVKVSGSEADPNVFKFDPSKDYYLLLANGKTTAKGLGYHKEMSSVSRKVRLAENSPGFDNSECGKTKGCTIPHNCAYMNGETIGASYRVVDSTQIEFEIFGPANTTVNQNVYVALGFSDHEKMDRISVIECSQIGSEQSPTMKFSYNPDFYNARIAGEPAIRAKLIKQSIGRIADGQIYCKGVVNVGGDADNSQIFKWNQTQGYQLMFAAGFTNVTDLTPHTGSCPSGVTYLDQINGFDDSTCGDKKGCFMPTDCVNVTDCSGIRSSWAVLPNNQLHVEITGNVDSPNQYVAMGFSTSGKMGNTSLIECSSFNNGEYSMTFSYNYVTEHYQNIRPVTDVSGLFTNRRVQLLDGVLYCSADVKVQGIANEPSVFQYEPTTNYTIIMAKGVTRTNGTQKSLGYHRTVRSFTPYSQTLTAYAPPATPTPPSGTGSFDASECTHSKACYQPNANDAVSYRVISDSSIEFEFSSTQSSSTGVYLALGFSADGNMGPADVIECSSLGNQPLSMKFSSNSASTNSRIPGEEAIRATYITNTQISFVDGKIYCKGTVRSDGNANTQIFKYTPNQQYYLVVAKGQTSASGLSYHGNVNRFKSSQRLLTDMNAGNGTSSTTLLILHAIFMTIAWMTMVPTAVIFARVLRSSWPTVKPGGLLIWFHIHRGANLIGIALMIAGFVFILVHKDWKFTTAGWGGKHAIIGIIALCLAWLQPFISTLRCSPNDPRRPIFNYIHRGIGVIAMVLATTAICIAGYHFTPSRHVAQLILALIPISVIFALSLFFIIFNNVVDVDTKSFTKNNGNSARTENIPMRPTSQKDSETTWTITNSTSSPTTENSNQEVPAEKKKLWVNRFREFVVYGAVLIFVAVGTILAVFFGLAFTP >CRE15755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig307:32217:34689:1 gene:WBGene00072082 transcript:CRE15755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-usp-14 description:CRE-USP-14 protein [Source:UniProtKB/TrEMBL;Acc:E3NIU2] MRVSTSAPRFCHRLNLIFCVLPFFSNLFANYLNIFQRKMPIVNVKWQKEKYVVEVDTSAPPMVFKAQLFALTQVVPERQKVVIMGRTLGDDEWEGINVKENMMIMMMGSVGEIPKAPVVVEGQAQSSNQPTEETNTLYPCGLSNLGNTCYFNSCVQVLKELDELVLKPSDEIKIREQNDRLCHNLSTLLTQLRDKDRALRSQGDPIKPFTSVISLSEAFPQFEKFKQQDANECFVAILNNITRNYGRAGFPIEKLFKIQTETTSKCLETEEVADVKTESLNQLTCYVNQDVRFLQTGVKAGFEQDVERNSAQLARDAKWHNHTQISRLPKYLTVNINRFFFKESSKTNAKILKSVQFPIALDTYDLCTQELKDKLVARRGDIKLEEDAKLERELRKKMLDKEQGDKIFDDGVALPTEFENDPGSNNSGFYELKTNIFNRVNHNFSLIPRLQGIITHKGRSSQDGHYVAWIRSTEDNKWRLFDDEHVTIVDEEAVLKTSGGGDWHSAYVLIYEARVIKQFPQLPPAPVISAEAENTADEPMEVSSKP >CRE15758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig307:27956:31192:-1 gene:WBGene00072083 transcript:CRE15758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vnut-1 MLKRPGEYEPPLGKIWTRAESRMWTITMFSGTCVLYAARASLPICAAAVAKEFAWNKTDSGTVLSCFFWGYALTQVFAGRIADKYGAEKILPYSSLAWTMITFFTPHLFDFAYWTNYPLVVLLAIRVLTGVCQAFHIPSLASIVSKHLAAADKGRVFGIVLAGSHWGTVLAGAIGSILIEWIGWRSLFQFVGILSLIWCWAFRWVLDKNKGMTGGGGRSSPQPDEEVLLDKKHDTIESHLSANTPCPSVPWGTLFRHPAFWAAAVAQYTGGNSYSILFNWLPSYFHETFPTAKGVVYNVVPSLAIVVTSLVAPVMASRFLAEGKTVTYTRKLMEGASLIGIAFCLMLVPMTSSFWLSLLIFTMAMAARGLHHGGVSVNPHDFAPNHAGSVFGVFNACGAITGFVGVYIAGHILEATNNNWSYVFVVTAAQCVVGAMVYTLLGTGQKII >CRE15754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig307:25843:27655:1 gene:WBGene00072084 transcript:CRE15754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cysl-2 description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:E3NIU0] MTELSNGSFRFSISTRPLSILLIFSTSKSSTNSPKMSRELMVENGGELIGNTPLLKLNKIGKGLDASIAVKVEYMNPACSVKDRIAYNMIDSAEKAGLITPGKTVLIEPTSGNMGIALAYCGKLRGYKVILTMPASMSIERRCLLKAYGAEVILTDPATAVKGAVERAEQLRDVIPNAYILNQFGNPANPEAHYKTTGPEIWRQTQGKVDIVCFGVGSGGTCTGVGRFLKEKNPNVKVYPVEPFESSVINGLPHSPHKIQGMGTGMIPDVLDLKLFTEALRVHSDDAIAMAKRLADEESILGGISSGANVCAAVQLAKRPENKGKLIVTTVNSFGERYLSTALYAELRENAANMKQLNLDDSIKIVKAYLGL >CRE15753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig307:20572:23074:1 gene:WBGene00072085 transcript:CRE15753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15753 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3NIT9] MGGFLSHLKPEKNTEVLDATCGPIRGNIYKHQSTEEILQGEKIVDGYLGIPYAKPPVGNLRFKKPVAADKWSEPLNCHEYGPGCPQAGNFSVVSQNTSVTFISFNTLKLCHDWMVFDEEKCLNLNVFAPRWKSDEFPKGLPVMVYIHGGGFEVGYSAYSHDYSLTGTIPLRDVIVVSINYRLGPLGFLTTGDDVAVGNYGLWDQTLALQWVQDHISSFGGDRDNVTIAGTSAGGISVDLLALSPFSNKLFHRFYAMSGTAYCTFVFRSKHDEAHVCEVFAKYHGYTGNDSQSLLEWYQSQPVEIFKKTFEVPRDFSGFIYFGPNFDGDFFPKPMEELRKEAPKLEAMITIGEYEGLGMIMMNPACPDRHNHLKNLKTAIADAYSPEVTKNHEDVQKKLFDAYTKDVDVTDQGIVVKKLVEVSLSFYSTVQKDIHFEFFQLKLANFERFLGDYMFNSPALETAKSCSANGNNAYLASFDYLMKTESVVNPILGELPFKAATHGSDHPYILGDRITEKFNPTEEDFKVMEMMGTLVSNFVKYGNPNGKNKSGNWEKYNLKQPNKYFKIDYPKSEMRENFQNGRLEVFEEIKKDDIRYQKIILGNIN >CRE15752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig307:11931:17169:1 gene:WBGene00072086 transcript:CRE15752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15752 MSENLHTIIHHVDFFPLRIRVFRNGDMFDQGRILVVTRKQFKHWIVFLDALTALLRTATAVKRLFTIQGIPIHHFDELETNGEYVAVESGPFVNVPYGQSRFTLRGKRYNPIIRAPQLIPTFLNSAESMDIYLKKEGYGTITGLPYPFDGILSRSPSASNIQIKHLQSHIPPLKIGGSMEHLNELGTASWLGKNEKWMMGERVKVETQTTGTAQRQEEHIPRLEIKDTDGGSTTASEVVRKEGRGDKGSSTKLPRLLNSNRSFKRNFNQKPTSEDTRPPPENLPPIDQKPISQNNIQQQLPLQKDNDTISIKTEEEVISILKPEKTTTESVITSKISRKNAPKDDDEVRFHRSYQVTQTTYTTRNSDENNGGVQAEMMEKPQQQQPQKPSENSEVYEKPPPPKPAPKNLSRPSEKPEKKERAKWSESSLKREKSMDNLKKMKKEKSVDKMDKMENSEKTEASRKSEIRNKEEKMKGNLKKFDVDINEIPIRYEGAGIGGRSNIGGRSESKIVTSRSTMHLFIDDLVGEDELDDVIERAQLWDMNRRRQKMRQQCTQTSGSIYRSISAPRRRVVLFDPDFD >CRE15757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig307:5797:8889:-1 gene:WBGene00072087 transcript:CRE15757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15757 MILKLYILLGFTIILCQSTTTEEPTHNVEDVRTTEATTSDIPTSEAPVVTEAEEVVVNVPGKGEKLEEEIEKIGKDMQPETVTTKQTPEKVKETAEPKINEEMDLKKNLENLTINKTEETSTTTTTTTTTTEIPVVTTQETVADGEGLEHDEQGEVEDSDNDLTELEEKDRKTPTEDVEASEATTTEPNQETSEASETPKSTEKSPDGPIKTKQFKNQEPAKEESLKLSEVSDGMLIKTEENKVETDIAVVTDEPTTEEENDKWIAWDLIHFLLLLSPYDEDEITWWTIIYEAVKCSLRNCPNVHSHWYQRPVYLPRISRHRRQDSDFSPDDIPPTKSSQPCPCRDIEGYFEKLYASINEELKKKQLNSTM >CRE15750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig307:3520:5728:1 gene:WBGene00072088 transcript:CRE15750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15750 MHFPTLFEFSAKSIAEGVYNETTSITFLLDAKCSDAIYKELLRINPNYYFKILEDSKNKLSITKIDMRCSEDLDMRHLSAFNLVSLSLREIEMLYGQFSDNDSYALIDILSALKVALNENSRKGLAHLGISELLPSLQSIDISGRIFNKWSRFPIFCMHFPNIRVLDISSSTIQSLKGIKSLQNLEVLKMCNVDIGHSKGLNELSRLKKLRYLDVSNTEDLSDIERVMPRLGVMEKMLDAGFEMKQLLFIDCSQTAVTPMELQRFAQKHPNLETAVVMETLAQDVEIIGVNLFNYRTSYSLLKSLSHSISTKKPFFIQKCVRRLITSLDDNFDIYERDELDDCLKMMLSILDEHEFDDGLKGMAVRALSKMFKTENLDKWSFLEIEIVLRRLFKKCKAMKKTIYTNIINDSIIITDGVLNSITVGKLLPDSLLDVIFMETLSVVTENSEVFVNGYRIWEQILVHKMSWEQFKSACENVKYIDAVVEMMAVLLAESKWTYYGRSVDFLNFMLASEKSKWKIVDTDVHMKLMRQFKTLHTSNNELQSKLLRIVLFFHRYSFSYRNLL >CRE15749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig307:581:1900:1 gene:WBGene00072089 transcript:CRE15749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15749 MCNVYITCIDSYKELSELKKLTYLDISKTESSPNDRYNPFCKIIDKLLISDVLMDQLKCIDCSCTIVTRFQLLRFAERHPNLKTIVAMENTNEPTEVPNVNLLNFCETGDILKSLHYSISNRKSIFIRICLQELKSILRFNFNDMSRSELADSMKVMLYIMETHYIDSWTRDNAVGVLSLMFQTENLGKWSFLQIEIVLRRLFKQVNAMKRTMHMHLIQNLFGIVESIMNAVTARQQIPDALLSVIFLNITKAFTIAPGMCLFYLPVLTKLQTETMNWEQQCMSDDVKYVIAVFGMVDNVFAEKEYRHYGGCLKILQFILEKSEKSRKYVIEKGLHLKLIEHYNVFEGIGSPLRLEVLKILTFDLLISFC >CRE14344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:40459:42249:1 gene:WBGene00072090 transcript:CRE14344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14344 MTCRPVYTPYVRKGFVQFMEETNELLEFVIESTKNEKTPVKLRELCREFIKTSGNPNSALSLVKRLQTLKIHEMNNLTIDTKVRLIFVMSIPIDPDFFTELQHQANVKIDNHQRIVEYETIGGGLKLSGKHFPICPEIDRKNRIMLMFLARKTETVDYPLSPTIFMDEFEAKFLNPEKRRTAVKRYRELKDKIYWETEYDKVTRMKMMFISGGKVPECFLRELREDALVDVDEENRIILYQSIDGSLNLQGDHSLSIKMMMVADHRKRLHIEKLESNKELVEVKKREAEEEETIVDMPSIKRNERLTKYDVEWWPSMRTTAITKKRIKEEHNYAAFNNQYIDTIPVQESIPPNHNSDLIDEVKNEYD >CRE14351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:38403:39939:-1 gene:WBGene00072091 transcript:CRE14351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14351 MTYFYWEFPTDLSIDRRALVLYDISQWKTVEKSYESLEKLCITLGIEKMSFSDFEWWFDHFTKENYYRIIDGRSLSELNILRCVQSDVINGKSQEKSYNDLCEAFGDVDEKSHSYWYKEFERQKYQATFSKLPIDVVVKIVEKCDLRSYVKLRKVSQRLRIVVDRIKPPIREIEVKCMANDVFVCFNKESLMSTDSPPWNSLLALSIIHNKKYVRIDSADLVNALSHRKLRLNFFRFQTDFHYSQVNYFWTRENPWDIYWERDENQPYFLDVFEGLNIQIQVKHCSIGVIKEEYILEILRILRPGSLEKLTIEPDLGLPLIDQREDILHRQSIIEKMVQMDQWKQAKHVEIRRILPLPIEDFFHLTSFETHLPSISVEILTKMIDVPSLSTLSKSSNFEYCVISTKERMDSSSIKREFNLQDADDWGMHHIPNTNFFVEIFDHLHGFKLIKNV >CRE14349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:33806:36213:-1 gene:WBGene00072092 transcript:CRE14349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14349 MADSLINNPIALRGCILYDVLRGKSMKESYSDLCDAIGDVKFANFKYWFNRFSDGNFDLDFDRSSQESKTPEFSDFPENVIRIIGEKLDLKEQLILRKVCKRFRKIVDEQVTLTHHSLGVICQDGHIFCEFNHRSFVYAAEKWIQPGYDDRCRNSKIIRTNDYVNIACSDMKFILNNSKLDLKLLEYGYIDTENTEEESEIVRTNYEAVKSTFDSLNRKISTKNCMMETTELDVILDILPHLKPGTLERIEVDRADRYDNWDEDQWEEKIYIITSLEQWKQAVEIYTRCAFDYFEAEHFFHVKTLEIFEYSEISREALQIVRDLASKHSLTSCEFLSDMGFEIEELEAAGNLSEFKLSESGLKKHDEDVIYHYPIPNSTDYLEYHYTGDFIVTRRSRLDGRYIESVSKTFGFSNLSDDLIAKIFEKLDLKKQLTLRKVCKRFRNVADEKCIHSIKSIVISCLNSRIYCRFNGHEVVYSAKKWTKPNDDVQCQNAKIIRGNGYRNIACNDLNYVLSNPNLQLEYFEFEYKKLLFTEGSTTVKTNYKAVKSFLESFSHKISVKKCKISTTDLIVILDVLPFLQSKTLKRIEICRAEGLHDTWDDSPYRKKIDKLAELEQWKQAEELYAVHSFKYFQHRHLSHFKHLDVYENSIDIWSISAVFQMFSTKSFRVSQCHYYVRNGINIAELNAEGAIIGDPAPRHGRDGMVRHCSIPNSTDYLEFHYRPYWGDMIVTRKSDTDN >CRE14348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:25737:31056:-1 gene:WBGene00072093 transcript:CRE14348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14348 MADSLIKNPIALRGCILYDVLRGKSIRNSYSNLCDVIGRNNINFSDFVYWFNRFSDGNFDLSHTECITPKLFEFYDLPENIWRIIIEKLDLSERLILRSLSKRFRNIIDDEKISVNNSIEIYCQNDYIYCEFNDSSFVYAPENWIKDDDGRCLKSKIIRRSDYVNIACNDLKFVLNNSNLQLEYFNFGYIDDFDTSKTNYEAVKSTFDSLNHKISAKTCSMESSELDVFLDILPYLKPGTLQQISIDRYIHDDTWEYDLWEEKIDIITNLEQWKQAEKFYIKYAIEFFKSEHFAHAKSVYVHEFYINKESLLAVRDVSHSFMLSGQSDSICHFEMEYLYDIKEELEEYGSYLGLEVVISAPKQNMDDVIYHYPIPNSKDYLEFYYRSEDGIFIITNKQDRSGSSRSSLESRVPEVSGLSENDNELVVENLNPKERSEPKILEFSDLADDVVGHILEKLDLKEQLTVRKVCKRLRNIVDGQITPAYKSIDISCQDSRILCEFNNYDMVYASNEWNISKTFSDTGLHNVRTRRGNDFIETVCNELKIAFSNPKLRLEYFRFQYVDTGSSEKEFKAIRRSYEALKSVFESFKHKINIKSCYLEATEIDVILEILPFFKPGTLERINIERHRHHDSWEHDEWAEKIDKLTKLEQWKQAIELHTAYAFDYFKAEHFAHVMTVGVYDLGIFQPSLEKIRDVSDCFMFLAKSVTCCRFITKFGFEIEGLESAARRCGLLFSNFEPIHDRNGFFYHYPIPDSTDYIEFKLIPGMEEVTVTKRSFIDISSNRRTPEFSDLGDDLLKYIVDRSELREQLTLRKVCKRLRCIVDEKSIPAYKSVVIICQDARIYCQFNDYKIVYASEKWTKSNDDVQCENAKIIRGNGYRNIAFNDLNYVLSNPNLQLESIEFEYGKKKSSLSIISNIADVNYTIFKSLLESFSHKISVKKCKISTTDVNVILDILPLLQSKTLKRIEICRSEGVGDTWNDGSFREKIDKIANLKQWKQAEELYAILSFSHFKSHHFAHFKHLDVYENTIDHDSIYAVRDVSDLSFFFEILFEFQMFSTKSFEVAQCHYNVKTGIDIEKLESEGGIIGKKVGRRGRKGVFRHVSIPESPDYLEFRFRSYWGDMIVTRKIGVEKLPKPKKLEFSDLTDDIVGKIVENLNLKERLVYRAKLLQTKIILNYRLTLRKVSKHLRNVVDEHIIDAYNTITIGCHDDYIYCEFNNYKVVYAAKWDTPHNGCQCKHVTVVDRAYRRIACNDLKYVLSNPNLHLDYFEFEYRKAAYGSNGVRTNYKPVRALLKSLKHSITAKSCLIQIAETEVALEVLPRFESGTLEEVQIIILNTPGDIPLRVSEEEIDKLTNLDQWKQAKGLSISNIFYCLQPRHLSHFERIMILEFCIHAEDVVKIRNMFRRKSSRLMHCHFESRTQLNTDGLKIDGKLIGIPAPKNGRRGFFRHYHLPKSTDLLEFNFQLSYGEIIVERKAKD >CRE14342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:16918:21268:1 gene:WBGene00072094 transcript:CRE14342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14342 MTYFYWEFPTDLSIDRRALVLYDISQWKTVEKSYESFEKLCITLGIENISFSDFEWCVKLRKVSQGLRIVVDRIKPPITEIEVKCMANDVFVCFNKESLMSTDSPWKRLLALSIIHNKKYVRIDSADLVNALSHRKLRLNFFRFQTDFHYSQVNYFWTRENPWHIYWTRDENQPYFLDVFQGLNILIHVKHCSIGVLKEEYILEILRILRPGSLEKLTIEPDLGLPLIDQRADILYRQSIFEKVVKVDQWKEAKHVEIRKMLPLPIENFFHLTTFETHLSSISVKIFTKMIDAFSKSSNFEYCVIITEETVNFGSIKRELNLQDADDWGIHHIPNTNFFVEIFDHLHGFKLIKNVEPLIMLDPPSLSPIELHSLILYNIHQWKTAEKSYENYKKVCRWPVEKNMLSAEDFEYLVDQYSKEYYYLSRNGRNLPKYCSEICIHSDFVEGISKKDSYEKIKDALGENMMYRDVFEYFYDKFELKAASKHLKFSDLPFDVIRIVVEYGDLKSKLTLRKVSRDLRMIVDQQKPAFKSISIENENYESIYVVFNDRSIVYTNNQYHPMKYKTKVIVNYHFEEIAFDDFAFAFRNPALQLSSLLIRLTDLEYEQRLIDILNSLNHQIHVEYCLIEFGNEEYVINILKCLEPKTLNKLTVCYAPSDSNDGDEISFMSLEEVSTMDLWKQVKHVKILDIPIISIDPFLHLTTFEVEVESISMEDLLKLRDQAASESTNFVSCTINSEQFVIDEFIAISLQLESISDKFCIVDMYGRMKSEFCDAMEFSRLVLFFQILFIIVFIRNNSYKDSLRKTRRNTIHAYIVFISAMILSEWTFHREMSVAGGNYYESAVNWGTFFSCISSIIGGKIVALVSNLILDWFSVDIEFGNCGKCKRRSMIRRYDGNWRLFCDQCRDY >CRE14347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:14224:16518:-1 gene:WBGene00072095 transcript:CRE14347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14347 MTCRPKVRKGFMEETNELLAFVIESTKDMRSPVKLEKLCREFKETSGNPNRNIRCLAKKLQTLKIHEMNNLTIDTKVRLIFVLSIPIDPDFFTELQYQANVKIDNHQRIVEYETIGGGLKLSGKHYSRFPETDRKNKRMMMLLARMTETMDYPLPPYFFINEFIAICATSEAKNTAVKRYRELKDKIYWATEYDKVTRMKMMFISGGKVPECFLRELREDALVDVDEKNRIILYQSIDGSLNLQGDHSRSIKMNMFSAHRNSFDIAQLESDEESVEIEEKEETIVDLPSMKRNGRISTNDAEWCPSMRKRSMGDLDFSLLINNGEKSATRNDVMYEEDSILSKRIKEEHAYAVFNNQYIDTIPVQEFIPPNSDSDLIGEIKNEYD >CRE14346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:9925:11693:-1 gene:WBGene00072096 transcript:CRE14346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14346 MSCRPNVRKGFMEETNELLAFVIESTKNEKTPVKVRELCREFKVATDNPNSETSLMNRLQHQATVKIDNHQRIVEYETIGGGLKLSGKHFPIYQKTDGKNKIMMMFLAKMTQTVDYPLPPTLFIDEFKTKVVNSEAKGTALKRYSVVKNKIYWATEYDKVTRMKMMFISGGKVPECFLRELREDALVDVDKENRIILYQSIDRSLNLQGDHSLSTKMIIVAAHRKSSDTSRLELNEESVEIEERNEKEETIVDMSSMKSIERLSRNDAEWWPSIRKRNNGDPDDAETSAIRNDVMYEEDPILSKRIKEEHEYTEFNNQYIDIIPAQEFIPPNSDSDLIGEIKNEYD >CRE14345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:5418:7856:-1 gene:WBGene00072097 transcript:CRE14345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14345 MATTTTGLNFKRVTKDKILGDSSSEMYFRDVYKAMGKMGKMKTRLEDGEAYALYHKVGLSRSGYEEVRTILNERHVPNPFPSLRSIRQEEKLHASRNLFRAERIQKSDGGKTKDVVVVQIVDLEKFLVEKLENLAQKDKLIFDESTGNNIWICISGDKGGGEFKLCATIGNVVAPNSAYHIVPLGMFTDDEKVEAIKEYLADTIEQLNNLIELKLNIGGVTTSYPVEHDGRVKIGSYERGRCLKARTETNYLLDSANEKNTNSVIPGSSFVFNNVRLANIVPPSLHILMGVAHRYVFKFLLDLAMDIDNKSTMKIDKSKKKAMRNAKGDMNVRDVPMEALWALRKSKVCENAKREKMRGAQRHPGTYLMNVKEKEYNGLKQHLDSFGVVLQVMSRFKTSTIIPAQSHTSPCSAEWCLFRDNEMKKAGVFKSTPLRCATCSEVNHAVCSGLWSEDDWELLSQVEPDMDCLRCCGRKGAMIEEDARKVEREMREKLEEISRVGLCLEPVSSTGKLQDVDDGSEWGRRKKGRIGEGMGRLWSRYVSVAT >CRE14340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:1166:3299:1 gene:WBGene00072098 transcript:CRE14340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14340 MGKCEPVGACVDSALKTPAQLQEYFDGVHYGFRQPPPVISQAEFDEMGSWPMIRKVHTCIVDLAHYKSIKGLMAHLIDTHPPRITKNFMAQTDQKLLEYWVNLLRSMYGQETIEKLPTKSSNKSELLAFLEKYQVATAAGSTNKELWEEVQIVMEARGGRDALKRHLTDEFAATLGVLIVRLPPYHCQFSPIELVWSQLKSHLRTAGKTSDKLEVVAERAKTWLRNTNEAQMAWTYEHVLDFEEGIKLVMDEDDENWEWDDDDTNM >CRE29874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:136127:138035:1 gene:WBGene00072099 transcript:CRE29874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-4 description:CRE-NHR-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MLX7] MNERGMLVDPRLDAFFKMEQVDDYKYDGVGTSPPSTSNGIQDTSNGGRLICDVCGDVAFGKHYGINACNGCKGFFRRSVWSRRQYSCRFGGDCPVVKEHRNVCRSCRLKKCFEVGMNPDSVQNERDRNAKNGMGMGSLQSPIQNSASCKELTISNGQIKRKRMRPETIDKTTQTDGKMEIQDDYEFFEISQENPTPPGLLPVKVERISTPPSDLPVPTDGAIGSAVLEIEKAVFCNCPVAVDNSINATKTPITLPFEYVFRQPELVCNRYPMRFANTRVLTPEDLIDGWRRHFTYYSDWCHAMEEFRALCAEDQILLAKKKIILHGWLVHAYYSYRSGCNGICFANGAAHLAEGGHPSITEFYKECMPRYLNYVIYPMHNFQMDDTEMVLVKCIMFFSNESGLSPAGKSIVSAAREKYLSALYNYGRSLKCTTSAQATLRIAKFMIMLSAITSLTHLMNEGVHVTSLFNIIEFDELIQATHKTTPPQHSPPPPMS >CRE29967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:138280:139890:-1 gene:WBGene00072100 transcript:CRE29967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29967 MDFKAKLLAEMAKKRKAVSGLEVKEGGAKFVRGADLESKRTQEYEAKQEELAIKKRKADDEILQESTSRAKIVPEVPEAEFDEKTPMPEIHARLRQRGQPILLFGESELSVRKRLHQLEIEQPELNEGWENEMQTAMKFIGKEMDKAVVEGTADSATRHDIALPQGYEEDNWKSIEHASTLLGVGDEMKRDCDIILSICRYILARWARDLNDRPLDVKKTAQGMHEAAHHKQTTMHLKSLMTSMEKYNVNNDIRHHLAKICRLLVIERNYLEANNAYMEMAIGNAPWPVGVTRSGIHQRPGSAKAYVSNIAHVLNDETQRKYIQAFKRLMTKLQEYFPTDPSKSVEFVKKSV >CRE22213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1031:6039:8720:1 gene:WBGene00072101 transcript:CRE22213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22213 MLIIRRKKKKERERRIRKLLREGVGERDADKRRVNALFCEKKFYCPAFPPFCSFSSDLLFINWYNTNLIKHFENINKLNWFQMLRAVQKRCASTAPLIRPIQRVLVANRGEIAIRVQNTARKMGIETVAVFSDADRNSLFVKKADKAFHIGPPLAAESYLNMDKIINTAIRSGAQAIHPGYGFLSENAGFADKCAQAGLVFIGPPAQAIRDMGAKNVSKQIMEDAKVPVVKGFHGEDQSDANLKKKSQEIGYPVMLKAVYGGGGKGMRIAWNEAEFDEKLASARNEAKKSFGNDEMLVEKFVEKPRHVEVQVFGDHHGNYVHLWERDCSVQRRHQKIIEEAPAPNMAHETRLKLGESAVRAAAAVGYVGAGTVEFIMDPRGEFFFMEMNTRLQVEHPVSEAITGTDLVEWQLRVAQGEKLPLKQSEIPLNGHAFECRVYAEDTRKGAFMPTAGRLNYVDFPEDARIDTGVVSGDEVSIHYDPMIAKVVVWGKDRNVAAAKLESALARTRISGLPTNIDFVRRVLAHPEFAAGNVYTDFIPDHHEELFAESETPAEIYVESAIAHALSALKKSDAGVFQNLSFFRINVAPKYVFKIGKITSNFRTYSKCVISDGKDVTVRFDSENRLTVSYDGNSYETLLNDIEAIGDNEFKFTLEANGRRWSTVVKDLPETLMVNGVGQNEYATPAVYEAFDSLAGGAASHSAVAPMPGIIEKILVKPGDQVTTGQALVVMTAMKMEYIIRAPEDSTIEHIKCQAGKNVPKNAVLVQFA >CRE22214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1031:10971:14060:1 gene:WBGene00072102 transcript:CRE22214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22214 MSRWSAGSTPGSVKPVYGARYPPLHHASPTKGTDIPGRMNKFQDYENSVSDAWDTRVKVDESLASASAAKVLAAHAAGKTHRDEEIMPPVSAAPTKNSRQQALQRLAIQKEPLPSSVSATPQTANPIYPKLPEISDQPRSAAPMASAPPHGRKFILSIPLCNLVIEGGDRDQTRFARLRRLFSNGKSSGRSSPPVDMLKLDQADSFWCASALLDSIQDNYTFAQPGIQRKVLQLRHLMSRVDRPLHKHLESNGIEYLQFAFRWMNNLLMREIPLRATIRLWDTYLSEPDGFMQFHNYVCAAFLRTWSKQLQAEKDFQGVMILLQNLPTQSWGDREICELTADAFSLQSVFDGARRHLSAQAASP >CRE29877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:150338:151907:1 gene:WBGene00072103 transcript:CRE29877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29877 MTISYSGNVVRLLLRWKGSIWRTVWRELLIYLLFFYSIRVFYLKGIDLIDDDDDDRLKIRRMFETFCRQCDSYTRLIPLTFLLGFYVSNVVARWWRQFETLYWPEDILSVLCTVLHQHDDKSRRRRHTIARYLNLSNALAWRDISSKIRLRFPSVHSLIEGGLLTEKEYQILEAMHNENEASRWMTPIHWVQQIMRQVEEETKPTASLLNQFVAELRIFRQSLRKLYSYDWVCVPLVYTQVAALATYSFFFFSLFGRQPLLPEIESGKEIDLKVPIFTIVQFLFFVGWFKVGQDLMRPFGLDDDDIELNYILDRNVRISFAIVNQLQESPMPDFENDDDKMWEEMHPPTKDGETSPGVRMPQLPHSKYSSQLSEHPPRLHAYVPIDDDRSIKDMESHHGCIALKKDKKHLSW >CRE29878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:161348:166401:1 gene:WBGene00072104 transcript:CRE29878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bed-3 description:CRE-BED-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MLY2] MQTQSPFGPLLGLPPSMLPSPVTVPATAGANGHAPVSLATFPSAFAAFASQIRSTQLQSLLQSQLQALNRNMGSPGNGPGTPLSRNNYGHHLQNQQHPQHGGKIRGVSRERRTGFGSTTEYPLRKRVGGSTVKTAKVWRYFDELPTIEQAAECRICRKKIKATNSSTTGMIRHLRSCHVQEYQLVQEARQNSMIVKMEEKARAKLLREMNEKVINNGIENHPMVVVKKETQTSESQKSPSASSSASDTASSASSSHFSTNALIGIAAPVAIKPVPPSTPSSILNLSQSQNQCQNQNPLFKLQNIKNEGTEVEEEEVDQKCSSETLHRPTDLSGKMMLMSPKSMNLSSAFSTIPSFEEKKYEKKVENDHKIHMQIALMLLLDQQPSHVIDRPGFRSLFKFLLPDYHLPSGEIFQATIVPQLLDHMKLQIGAIFNNVNSSGSIPDQVMTSSSATSSYEDNSVNESQIAGPNIADEEEELMQEEIEEEEEENVEIEDDTSSASSSVDNDTCDAMASFIHYIGNDAFPHDELISLLSVVTNLFAYFSTRQHIQTHLQMASSQPTTQPLVQQVRFVASNLSIISDYIRHTPDMQLLPLAVNQETMLEKLVDHIDQL >CRE27940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig884:1394:2202:-1 gene:WBGene00072105 transcript:CRE27940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27940 MSGNGMRFVRENVAHGTGKLADGSKAAGGAVVDGAKAVGQGAADGATAVGENVAYGAGAVYGGAKFVGGKAINGTKDGMRFVGENVAHGAGVVVGGAKAAGEAVADGAVAAGGAVVDGAYVAGNKTKEGMRFVGENVAHGAGVVADGAS >CRE27941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig884:2410:6256:-1 gene:WBGene00072106 transcript:CRE27941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dur-1 description:CRE-DUR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NP06] MRTLFILVSLCAALCFSAPVSEQASGLRAKRNLEEAASTGAEAVAEKHTLRVAARLETQTDRLKRQQSAEERARAEADARRRERCRNRRPPQPTPGPPPPRNNCLPPPGPPPPCQQQYQQPPPCQQRPQPPQPQQPYPQRTGGCLPSPRGYENQPQPQQQPQQQQQYPQPQPQRGGCAYSQPQPQPQQQPQPPCYQPQPEPPRQTGGCLPGPYYPPNTMPPPQPQQPYPQQRTGGCRQRAPQPQPQQPQYPRTNEFRAPTVECHGNGPDPCHSQPQQEDPCVGKVNIVSVDAAGKVDHYLYETKKQAGDVIGERYLCHVMRRIRHLKMLNKECTGLEIELEKLDQLLMRLHMMDMSMDVMERPLHEKLIKQLMLQLKEPVKNAYEKTKEGAEHVAEKAKEGAAEGYEKTKEGAEAAYEKTKEGAETAVEKTKHGAGVVYDAAAEGASNMAQSMHDAGKSAGDAFVGGAEAAGEAVRDGAGWVGDKVSHGAAVVVDGASEFGIQSFNPLEMPLPMVLVSHFSILK >CRE29969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:174738:175836:-1 gene:WBGene00072107 transcript:CRE29969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29969 MSSEIEQAEVEELSEKVLENVEEPEQPQDEEDRKSFLKSIKKNVCTFSAVPDGMSKKQLRRQKYKQKWEEKKLIKRAAERIRKKEKRAALKESGDLSKLRKRKDFRTMAQSNSKQRIALDMSFDDLMIEKDQKRTVQQIGWCYTSNRHSPNPFQFHVVGFDGPSRKIYDGNEHNLNQDIFLHHEKLEIVFKPEEIVYLTSESENVLSELDDSKVYVIGGIVDHNSQKGLCYRIAREKGFGHARLPLDEHLLMKTRRVLTINQVYEILVHHSVHKDWKAALVSIVPERKNVQAKEEEVKEEEAVVVERSEEPFTESSSNN >CRE29879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:176038:177462:1 gene:WBGene00072108 transcript:CRE29879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29879 MSEDNVKIEDPDDFMTIDRTPVPLAFCAHPTPIATEIVKKEAGIAEQLFELVDPIIVGNPNEIRQAPSIKSYGCDTESEFEFSGDDSDFENIKDIRSGALDSDEEFDKIVKFSAKIIEKTHKSEYPENVETKKNRKSKNTHKTANVREYDDLPPLENLSIECKSNLLEFGFVSKVVDCQVVIVSTCNEVLDFDSYLFDQKGNAIGQIYDIFGQVKTPQYVIRFNSSEEVYSVILCATVQTVFQASLMPIDMKVFYAPAEEQYSKTPFKGLNLAAANREAIKSLNRRLDQQAAVEKAVDHIHVADVDSDVEFSDDEAEKEYRKNKQTVPMNQRHQNEANRGGRKRDRRGGQKVQFAAGNTATNPLAANTSAPKPYRRDHDGPAPDVRPPQTTDSNPYAEFGCHSGFNGRFGI >CRE29970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:178486:192529:-1 gene:WBGene00072109 transcript:CRE29970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gon-1 description:CRE-GON-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MLY6] MLCSPSQVLILQTPPLLILDDISETHRTNSDNSFRSSTIRRPSSSTTRRLLLILPSSSISPSHLHHNHHHHGAVGMRSIGGSFHLLQPFVAALLLLVVCLVYALQSGSGTTTESSPDVLFSRAKYSGVPVHHTRWRHDAGVHIIDTHHIVRRDSYGRRGKRDVTSSNSQRRLHGVARDCGHACHLRLRSDDAVYIVHLHRWNQIPDSHNKSIPHFANSNYQPMVFYLESKEEVRGGMARTEPDCIYRAHVKGVHQHSIVNLCDSDDGLYGMLALPSGIHTVEPIISGNESDDGASRHRQHLVRKFDPMHFKAFDHLNSTSVNETETTVATWQDKWEDVIERKARSRRAANSWDHYVEVLVVADTKMYEYHGRSLEDYVLTLFSTVASIYRHQSLRASINVVVVKLIVLKTENAGPRITQNAQQTLQDFCRWQQYYNDPDDSSVQHHDVAILLTRKDICRSQGKCDTLGLAELGTMCDLQKSCAIIEDNGLSAAFTIAHELGHVFSIPHDDERKCSSYMPVNKYSDPLNGVALIHQEFQFKSIPSSVITELAFVLHQNLSPKWMVNGVIGGLGENVVVLCGGGVQKALRDCDNPKPRNGGKYCVGQRERYRSCNTQECPWDTQPYRELQCAEFNNKDIGIQGVASTNTVWVPKYANVAPNERCKLYCRLSGSAAFYLLKDKVVDGTPCDRNGDDICVAGACMPAGCDHQLHSSLRRDKCGVCGGDDSSCRVVKGTFNEQGTFGYNEVMKIPAGSANIDIRQKGYNNMKEDDNYLSLRAANGEFLLNGHFQVSLARQQNXXXXRKARSRRAANSWDHYVEVLVVADTKMYEYHGRSLEDYVLTLFSTVASIYRHQSLRASINVVVVKLIVLKTENAGPRITQNAQQTLQDFCRWQQYYNDPDDSSVQHHDVAILLTRKDICRSQGKCDTLGLAELGTMCDLQKSCAIIEDNGLSAAFTIAHELGHVFSIPHDDERKCSSYMPVNKNNFHIMAPTLEYNTHPWSWSPCSAGMLERFLENNRGQTQCLFDQPVERRYYEDVFVRDEPGKKYDAHQQCKFVFGPASELCPYMPTCRRLWCATFYGSQMGCRTQHMPWADGTPCDESRSMFCHHGACVRLAPESLTKIDGQWGDWRSWGECSRTCGGGVQKALRDCDNPKPRNGGKYCVGQRERYRSCNTQECPWDTQPYRELQCAEFNNKDIGIQGVASTNTVWVPKYANVAPNERCKLYCRLSGSAAFYLLKDKVVDGTPCDRNGDDICVAGACMPAGCDHQLHSSLRRDKCGVCGGDDSSCRVVKGTFNEQGTFGYNEVMKIPAGSANIDIRQKGYNNMKEDDNYLSLRAANGEFLLNGHFQVSLARQQIAFQDTVLEYSGSDAIIERINGTGPIRSDIYVHVLSVGSHPPDISYEYMTASTPSEVTRPLSGGLYLWRPSDSWTDCDRACRGQQTQQLMCLDMSTHRQSNERNCHSVVKPKQATRMCNIDCSTRWMTEDISSCSVKCGSGQKRQRVTCVKMEGDRQTPVTEHLCDRNTKPNEVTSCYVDCSGRRWNYGEWTSCSETCGSNGKMHRKSYCVDGSNRRVEESLCGREQKEATERECNRIPCPRWVYGHWSECSRSCDGGVRMRHAQCLDAADRETHTSRCGPAHTHEACNEHACTWWQFGVWSECSVKCGDGVQYRDANCTDRHGTVLQEHRCHKMEKIITKPCHREACPKYKLGEWSQCSVSCEDGWSSRRVSCVAGNGSEVDMSLCGLATDRPASHQTCNLGTCPFWRSSDWSACSVSCGVGHRERTIECIYRDQSVDSSFCGDSKMPETRQACHLLPCTTWKPSHWSPCSVTCGSGIQTRSIACTRGSEGNVVDEYFCDRNSRPREKKSCEKDPCEGPRVLQKLQADVPPIRWATGPWTACSATCGNGTQRRLLKCRDHVRDLPDEYCSHLDKEVSSRNCHLRDCSYWKTAEWEECPATCGTHVQQSRNVTCVSAEDSGRTVLKDTDCDVQKRPTSTRNCRLEPCPKGEERIGSWVIGDWSKVSYDPRYIFNQSEFQCSASCGGGWRRRSVSCTSASCDESRKPKMFDKCNEELCPPLTNNSWQISPWTHCSVSCGGGVQRRRIWCEDVLSGRKQDDTECSEVKPREQRDCEMPPCRSHPMNKSSMTSSSNSTSSASASLLPILPPVVSWQSSAWSACSAKCGRGMKRRVVECVNPSLNVTIASTECDQSKKPIEEIRCRTKHCPRWKATTWSSCTVTCGRGVRRREVQCYRGRKKLVADSECNAKTKLHAVANCFPVACPAYKWNITPWSKCKDECARGQKQTRRVYCVSTSGKRAAPRMCDMARAPTSSRECDTSNCPYEWVPGDWQTVCYQWSNLSQSINLNFQCSKSCGEGVQTRDVRCRRKDNFNTTIPIIFMLEDEPAVPKEKCEMFPKPNESQVCELNPCDSEFKWSFGPWGECSKNCGQGIRRRRVKCVANDGRRVERVKCTTKKPRRTQYCFERNCLPSTCQELKSLSGKAKDGNYTVLLDGFTIEVYCHQMNSTIPKAYLNVNPRTNFAEVYGKKLIYPHTCPFNGERNDSCHCSDVGDASAGLTRFSKVRIDLLNRKFHLSDYTFARREYGVHVPYGTAGDCYSMKECPQGTFSIDLKNAGLKLVDDLNWEDQGHRTTSRIDRYYNNAKVIGRCGGFCGKCTPEQYKGLIFEVNTRLLNHVKNGGSIDDDLDDDEFSGDADFEDKLRF >CRE12335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:30349:44957:-1 gene:WBGene00072110 transcript:CRE12335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12335 description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3NIK9] MHKSIGLDFIMIKTGKMHLTFPEEKPTKFSKSCGGGRMFSWLPCCASTSKEKNAPTERVLRANDREYNAQFEYVVNKIVEEKQTKSSKSGGGGGMFSWLPCCASTSKEKNAPTERRLRANDREYNSQFKYADNLIKTSKYNIITFIPQNLFEQFQRIANFYFLVLMILQFIPQISSISWYSTAVPLVIVLAFSAIKDGYDDVQRHVSDRNVNGRKSYVVRNGSLCEEDWSNVKVGDVIRMMSNQFVAADLLLLSTSEPYGVCFIETMELDGETNLKNRGAMSCTQVMGDDLDGITRFDGEVVCEPPNNKLDKFQGKLIWNNQEYGITNDNILLRGCILKNTRWCYGVVVFAGKDTKLMMNSGKTKFKRTSLDRFLNILIVGIVLFLIAMCLICTILCAVWEYQTGRYFTVYLPWDDVVPNPEQRGGRQIALIAFLQFFSYVILLNTVVPISLYVSVEIIRFIHSLWINYDTKMYYENGEKSVPAKAHTTTLNEELGQVQYVFSDKTGTLTQNIMTFNKCTINGISYGDVYDNKGEIVEPSDRTPSLDFSWNSSSESTFKFYDKNLMDATKRQVQEIDLFWRLLALCHTVMPERDKGQLVYQAQSPDEHALTSAARNFGYVFRARTPQSITIEVMGKEETHDLLSILDFNNDRKRMSVIVRGSDGKIRLYCKGADMMIMQRIHVSPSTSQIMRTSTNTHLADFANIGLRTLCLAYKDIDPGYFSDWEERVKKAGTAMQNREAGIDALYEEMERDLILIGATAIEDKLQDGVPEAIARLSEANIKIWVLTGDKTETAINIAYSCRLLTDETKEIVVVDGQTESEVEVQLKDTRNTFEQILALKRGPKEFRRSEEVDTYINEIIHLLDSMEKSTTPSPGGVGSKPRIEIETIHEDSDIVSSARSMDRNIVTPDLKSAEMAEQDSGGVALVINGDSLAFALGPRLERTFLEVACMCNAVICCRVTPLQKAQVVDLVKRNKKAVTLSIGDGANDVSMIKTAHIGVVISGQEGMQAVLASDYSIGQFKYLERLLLVHGRWFYIRMAKFLRYFFYKNFAFTLTMFWYSFFCGYSAQTVFDAILIACYNLFFTALPVLAMGSLDQDVDDHYSLRYPKLYLPGQFNLFFNMRIFIYSVLHGMFSSLVIFFIPYGAFYNAAASSGKDLDDYSSLAFTTFTALVVVVTGQIAFDTAYWTAISHFVIWGSLALYFFVCFLLYEWLPVSWIVKTSSSISFGVVYRTMVTPHFWFSLLMVSVVLLLPVMLNRFFWLDTHPSFADRLRIRRKMGKKPSTKDDKKTAFKRTAATRRSVRGSLRSGYAFSHSQGFGELILKGKLFKNVENLRGKNNSGSKIHPTSDDYQPILVSSVPESSEGSSGTPSMHLPIGKHSENVPHTLNVNTEDWSHSDFRPSYAKEPSPLQGTVIRGDGRRQRAISRETQV >CRE12336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:46183:66137:-1 gene:WBGene00072111 transcript:CRE12336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12336 description:Phospholipid-translocating ATPase [Source:UniProtKB/TrEMBL;Acc:E3NIL0] MELDGETNLKNRGAMSCTQVMGDDLDGITRFDGEVVCEPPNNKLDKFQGKLIWNNQEYGITNDNILLRGCILKNTRWCYGVVVFAGKDTKLMMNSGKTKFKRTSLDRFLNILIVGIVLFLIAMCLICTILCAVWEYQTGRYFTVYLPWDDVVPNPEQRGGRQIALIAFLQFFSYVILLNTVVPISLYVSVEIIRFIHSLWINYDTKMYYENGEKSVPAKAHTTTLNEELGQVQYVFSDKTGTLTQNIMTFNKCTINGISYGDVYDNKGEIVEPSDRTPSLDFSWNSSSESTFKFYDKNLMDATKRQVQEIDLFWRLLALCHTVMPERDKGQLVYQAQSPDEHALTSAARNFGYVFRARTPQSITIEVMGKEETHDLLSILDFNNDRKRMSVIVRGSDGKIRLYCKGADMMIMQRIHPSTSQIMRTSTNTHLADFANIGLRTLCLAYKDIDPGYFSDWEERVKKAGTAMQNREAGIDALYEEMERDLILIGATAIEDKLQDGVPEAIARLSEANIKIWVLTGDKTETAINIAYSCRLLTDETKEIVVVDGQTESEVEVQLKDTRNTFEQILALPSPGGVGSKPRIEIETIHEDSDIVSSARSMDRNIVTPDLKSAEMAEQDSGGVALVINGDSLAFALGPRLERTFLEVACMCNAVICCRVTPLQKAQVVDLVKRNKKAVTLSIGDGANDVSMIKTAHIGVGISGQEGMQAVLASDYSIGQFKYLERLLLVHGRWSYIRMAKFLRYFFYKNFAFTLTMFWYSFFCGYSAQTVFDAILIACYNLFFTALPVLAMGSLDQDVDDHYSLRYPKLYLPGQFNLFFNMRIFIYSVLHGMFSSLVIFFIPYGAFYNAAASSGKDLDDYSSLAFTTFTALVVVVTGQIAFDTAYWTAISHFVIWGSLVLYFFVCFLLYEWLPVSWIVKTSSSISFGVVYRTMVTPHFWFSLLMVSVVLLLPVMLNRFFWLDTHPSFADRLRIRRKMGKKPSTKDDKKTAFKRTAATRRSVRGSLRSGYAFSHSQGFGELILKGKLFKNVENLRGKNSSGSKIHPTSDDYQPILVSSVPESSEGSSSGTPSMHLPIGKHPENVPHTLNVNTEDWKKPTKSSKSGDGGGMFSWLPCCAIKSKKKNAPTKRWLRPNDREYNAQFKYADNLIKTSKYNIITFIPQNLFEHIQRIANFYFLVLMILQVKEKPTKSSKSGGGGGMFSWLPCCASTSKEKNAPTERRLRANDREYNAQFKYASNFFLNFQLEATMVLYEKIIHISCTFLPYDNLIKTSKYNIITFIPQNLFEQFQRIANFYFLVLMILQFIPQISSISWYSTAVPLVIVLAFSAIKDGYDDVQRHVSDRNVNGRKSYVVRNGSLCEEDWSNVKVGDVIRMMSNQFVAADLLLLSTSEPYGVCFIETMELDGETNLKNRGAMSCTQVMGDDLDGITRFDGEVVCEPPNNKLDKFQGKLIWNNQEYGITNDNILLRGCILKNTRWCYGVVVFAGKDTKLMMNSGKTKFKRTSLDRFLNILIVGIVLFLIAMCLICTILCAVWEYQTGRYFTVYLPWDDVVPNPEQRGGRQIALIAFLQFFSYVILLNTVVPISLYVSVEIIRFIHSLWINYDTKMYYENGEKSVPAKAHTTTLNEELGQVQYVFSDKTGTLTQNIMTFNKCTINGISYGDVYDNKGEIVEPSDVSDFSFNLTFNHRTPSLDFSWNSSSESTFKFYDKNLMDATKRQVQEIDLFWRLLALCHTVMPERDKGQLVYQAQSPDEHALTSAARNFGYVFRARTPQSITIEVMGKEETHDLLSILDFNNDRKRMSVIVRGSDGKIRLYCKGADMMIMQRIHPSTSQIMRTSTNTHLADFANIGLRTLCLAYKDIDPGYFSDWEERVKKAGTGMQNREAGIDALYEEMERDLILIGATAIEDKLQDGVPEAIARLSEANIKIWVLTGDKTETAINIAYSCRLLTDETKEIVVVDGQTESEVEVQLKDTRNTFEQILALKRCPKEFRRSDEVDTYINEIIHLLDSMEKSTTPSPGGVGSKPRIEIETIHEDSDIVSSARSMDRNIVTPDLKSAEMAEQDSGGVALVINGDSLAFALGPRLERTFLEVACMCNAVICCRVTPLQKAQVVDLVKRNKKAVTLSIGDGANDVSMIKTAHIGVGISGQEGMQAVLASDYSIGQFKYLERLLLVHGRWSYIRMAKFLRYFFYKNFAFTLTMFWYSFFCGYSAQTVFDAILIACYNLFFTALPVLAMGSLDQDVDDHYSLRYPKLYLPGQFNLFFNMRIFIYSVLHGMFSSLVIFFIPYGAFYNAAASSGKDLDDYSSLAFTTFTALVVVVTGQIAFDTAYWTAISHFVIWGSLVLYFFVCFLLYEWLPVSWIVKTSSSISFGVVYRTMVTPHFWFSLLMVSVVLLLPVMLNRFFWLDTHPSFADRLRIRRKMGKKPSTKDDKKTAFKRTAATRRSVRGSLRSGYAFSHSQGFGELILKGKLFKNVENLRGKNNSGSKIHPTSDDYQPILVSSVPESSEGSSSGTPSMHLPIGKHPENVPHTLNVNTEDWSHSDFRPSYAKEPSPLQGTVIRGDGRRQRAISRETQV >CRE27977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1564:537:2968:1 gene:WBGene00072113 transcript:CRE27977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27977 MQAVLASDYSIGQFKYLERLLLVHGRWSYIRMAKFLRYFFYKNFAFTLTMFWYSFFCGYSAQTVFDAILIACYNLFFTALPVLAMGSLDQDVDDHYSLRYPKLYLPGQFNLFFNMRIFIYSVLHGMFSSLVIFFIPYGAFYNAAASSGKDLDDYSSLAFTTFTALVVVVTGQVSMIAFDTAYWTAISHFVIWGSLVLYFFVCFLLYEWLPVSWIVKTSSSISFGVVYRTMVTPHFWFSLLMVSVVLLLPVMLNRFFWLDTHPSFADRLRIRRKMGKKPSTKDDKKTAFKRTAATRRSVRGSLRSGYAFSHSQGFGELILKGKLFKNVENLRGKNNSGSKIHPTSDDYQPILVSSVPESSEGSSSGTPSMHLPIGKHPENVPHTLNVNTEDWSHSDFRPSYAKEPSPLQDTVIRGDGRRQRAISRETQV >CRE12327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:24596:27461:1 gene:WBGene00072114 transcript:CRE12327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12327 MSSVPFFSATPEPRIPQESIEMNDLSQGKSDSRLRIIEIISIYEEKYKTFSSMSMSDEKYIFHKIREDLSINLSIEIESLFVNDYNSFSMILAAFYRQKYALNIPKNKEDEKKLIAVGSDNVEVKSFTETDFLPYCQHPLHTVMVMCYAAHSMQKMISKDDFGQALKQEKYQLLKEQLEDLACEIVNNFNLSGPEGVIQIREALHADFKSEFPALERRMTPYEEYLYRKFDKSKEIMAVAYKAKAMKFLSQKPCLNLIRIRTECRKIDIGSCDGHEKKYKMRFQINKKEKLLVNMNKKLEFHAAFRGIYILMFAYMLCKFPVYDDFEALDRPWTQLIPFFYVLTVLIAQVSMTFIKAIDYLNFHGFKSKVLTLESDESTQKKNCLLKILQWFRTRITLLHPYFKSNKLALWRICLVIPLLALEATRFILLILGRNKKRAPDVKLWYGGWVLVPIVLEHLYCALFAIATISSLRFFHFVLPLGFFVHLFKKMRKTVGMFVLIFCTFWFVLAVIHVSISSKSSSIIHNSQIFDLGTFMSSRNTFWYTVASQGEFEIFGEVQDEDRVGNIFDCGHFNRTILDFFDMDYMEASCLFRSSMLPFVVFTYIFVTGTLLVNLLSAQLSKEYEKESDNSRYYEGYLKYELLAKIESKLYLPPPLSIIYVLIRILFALSTGICSIFNLIACYNCECLFPNSSNWENIIGNLEGYPWGAVRDSKDEHQTEEEIKNFLKKPPKPLWEKLKEMVNAYETFNVENLKLLKKKIDEFLDNETDAERERSQSRVGTDRDRREISKPRNLSYIRT >CRE12333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:17834:19278:-1 gene:WBGene00072115 transcript:CRE12333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12333 MEEKLKQLRRLVENSFPNIANFHEKVSVEFEKLENAKSFWSEIYVARLKVKEGVEGVPGDVFIKVPRISENVLRCEDEEAVDELQNVLVYYSKKENLFYKHFAYGTIPNFPFPKVYFTEDVAGEATGGIVAENLSEKVFAVEHIPGLNHEQILRLMEALAGFHSHLMKREDKSYVESFEEGAHGRETYAPGMQNMMFEEALTLESVNPEVFGNGRIQNIKWAFDYSKKTKATKEAISAIPGIICHADMNVTNMLWKKDSPNNEIGAIIDYQMLFIGSVAFDIIRILTLGMTRELRREKTESYLEYYHKTLAEFFDGQAPFSMEELHRQYNLIYPFASNFTLFGIALYIKMYSDGTLGNIEKKEENCEELVDRARGIVEDIEALKANF >CRE12326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:16764:17817:1 gene:WBGene00072116 transcript:CRE12326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12326 MPKNKGKGGKNRRRGKNENDFMKRELDLKEEGQEYGQVSKMLGNGRVQVFCFDGKQRVCHIRGKLRKKVWINVGDIILVGLRDYQDDKGDVILKYTPDEARRLKNEGLIPENAKLNENDEQDEGEVEFLDHVGEDAASGDEAKSDKSSSESDSDKSEDEEEGSDKEEESSSEEESDDDSSDSDNDNVREEDLAAGRGFKEDTRRTGNRGGKNKYGKRR >CRE12332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:14304:15881:-1 gene:WBGene00072117 transcript:CRE12332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubxn-6 description:CRE-UBXN-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NIK4] MNRFKKFLNKQRIKNHFNNSGEGVRLSSGQPSSSQPTAVSGAAQGGQIDRVAAADIAAQAALKRMQKNEPQQDASKRRIQMIAKRELEEERRQLEGLQVSDQANSSTSSQPAEVEEKELEHSSLISGVYYTSELLGEDHVRSKADLLEDIKNFLTEQISDSEDDNDKVIAAVLMIYSLNTTAVKELAIDTIYTVVNNILSQPTIPKYRTIRLSNKTYNEKIAAAVGGRKFMEAIGFVEKSNGEEQCLIFSKPNDDHLPEALDALRNGQGVPIKVARNLELFMLKEGQKPKAPALSADFYNLSPAEIKAEQKNKTLQVDRMLTLRTKDMKKKDETVSNYRYKYTLIRVRLPGNLLMQGVFGCYEPFSAVRQFVASVLSDSLAVSEFTLRDATGQSVEDETTNLAQLSLAPAALLHLTFSDNITGPEGIVADEYVELIRELD >CRE12331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:13546:14009:-1 gene:WBGene00072118 transcript:CRE12331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12331 MSSQEPTISNFLNGKPSIAPVEQSALLSRLRTFLPQLAQANENMPAESTADAFQIENVEEESSDDSSDEDSDAEDVTEESTEKAEVSQRIEIDLDVFKEKNSTVDGRDVSVQNVESLPVAFQSKPEELPKKPLIEEL >CRE12330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:10835:13325:-1 gene:WBGene00072119 transcript:CRE12330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpf-5 description:CRE-DPF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NIK2] MSTGTTSAAEYDVKALLTLKDLYGDLAQIPLPSQGRIDKKTGDDLIKVTTTWDNRAMQLNMPTRQQRVSLIGSKGPEVTDRYLMTSVSLPLCNYENQRSTYSHSNSRVAQLLTTGKGDDRKQYLKIYNLDEHVEELCTDLTTQKKHGIIHGGGCLPFGCLHFSYGEGHVMYVAERLAKASQYFDADIEWDNETKVFESKVGKKFELLESWGEQNQEVKRPVICTVDRSSGQVTVYDQIPSHISPCYAKWAPEDSGIVFFGLEEGDTPRLGRIYCNNRKGSVYYYDIKSGELTKISEGDISAEDLQFSPDGNTLVWFQRAADGPHQAVLEMVAVDWPMKGTVEKRVVVPIVTEKRSANEFQGFSFPQTVARSWSADSKRLILSTAWCSKLELISVNVASGEIEKLSNNGICLGSWSLLDVVDDEILATVSAPNRPPNVLLGRLPEAGKAEEMVWVRIDEAKAIDSRRHLFEFSWEFVNFERDGATYEGILMIPNEGNNLPMVVNPHGGPHGASWAVWPRRDLTTLLNSGYAVLQVNFRGSVGFGDDFIRALPGNCGDMDVKDCHNAVLSVLAKQPRISKDKIVLFGGSHGGFLVSHLIGQYPGFYKSCVALNPVVNVATMHDITDIPEWCFFEGTGELADWTKTTTAEQREKMFLSSPMAHVENAVTPYLLLIGEKDLRVVPHYRAFIRSLKARGVPCKVLTYPSSNHPLDEVNVEADYSINMVRWFEKSLSK >CRE12329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:5752:10600:-1 gene:WBGene00072120 transcript:CRE12329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12329 MAEAAAPENRESWDDTQIQFAFNTILHQHGQFRVNSARIVSPPGYQPKEVSVVWESLDPIECRQYIRTRGLVSKRRAWMPMSYENDKNWKYMTYFMSDDCKFCGFVSESIEMDHKRDVIQIESVKYNKAAFCIYLDSDYLHGPVIITGENCHFKMSGENGGRKLIYFAFPNEEQLKTKNRQDRLFVICIYDFETKGVTVIADENICEETINQIEWTPDGRGVIFHRRTELFWYRFGEQYVNKIYSNIPQPLRISFSPDEKRLAVFLPTSVFCEKSILLFHWPFVKAAVQFQHDVITLGSYKVWSVPDRPWSHGGSNLVFNANCQHHVIAYSLAIDTLSIHKLRFCRPSIVVDVNNDEMLFETVAANSHSRLWISSLSTGIDKTEFSATLLTGKRASDEQMFNFNVKPVDFDNGEYTGILYLPPGQFGSTWMQNSISRLPVCWGTAESHPSNAVVKVLSDHKRLDENYVCLYGYMYGSFVAANVIKRHPNFYKCAAFVHPILDYPYIPENEDEDDEEDQEPLDILDSREITIPTFLVLPKDDDHEDYQKYRQLAAKGVQCFGHSELEDEESHDYIVKILHFFKYPYDAFPRRVDDVQSTVEEAAAKN >CRE12328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:988:5238:-1 gene:WBGene00072121 transcript:CRE12328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12328 MLVASSENIGLCPMSFTDSSNQMIFERLQYQRSTGRFCDVELVVSTRSFAAHRNILAAHSPYFDAILKSCKVTKEQITIVSKYPQVFELCLNYMYSGSVVIDRASVSELLRFANDLMMVKLKNYCAEYLDRYLDAANCLSIRSLAQRYNLPGLIKSATDYFDSNLNRCLLESRDIIAYTYTQLTRLIGDPKYSDCITADTYLKLIVRWVGEEIGKREEIFRLLLESCEFREVSADTLEFLLDYSPLLSKSQKSRFLLLHTMESNEMLMEKYSTQLTNLRQKLVDLPVLHDPSQFEDDVFDSSDSELDECEEFIADGHPTPSQVIEYGNELTNRMKMKIAFQPPGGEKKRLKRPKGMKKRLQPIQTPTAAATENESIPWIDEDEIDGVYATCSLEGCHTYGPVDNLDPDDCEDEEDQPDEDGPSMCLFCGLRTANEEVNGFVLVLKRSVAICTVLVCTSSQH >CRE23767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig317:53045:55981:1 gene:WBGene00072123 transcript:CRE23767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23767 MIRELTPLSLQASRNFDYFIKIYFSSSSHSSNMYYSTITDPLIPESSTSLSGQRHRGDQERNQTWINMTLGDPCAVEQPPPPPIDFTRSLIAPTAMKQEQNMIPSAPGTPTAFQPPQQAHTPIPGIQPNIFNPALMAAQLNVFSNPQLMAMMQAARNPLMPHPGVVQSPMMPNILQQLNALRNVQVSRGFLGLKMEFKKVNL >CRE17552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:526:3884:1 gene:WBGene00072124 transcript:CRE17552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-41 description:CRE-NHR-41 protein [Source:UniProtKB/TrEMBL;Acc:E3NF18] MESPNICIKIIINPTLQLSNANTMAGLQRISSSPKISTNGSERSENCGELCVVCGDKASGRHYGAVSCEGCKGFFKRSIRKRIGYVCRSSKDCPVTKFHRNRCQYCRLRKCLSMGMRSESVQAERRPVQSANSTQSDSPPQNQNTTPRSMTPLNAGLVNGLLTLVKMDQENKINMDTIMKPGSPIIHSTNGHCSPEFMDIKRESIGEDESGLDAMTVIGLVPPSASPTSSGVGSSSSISDDSGPIYNSERSRFEISIPPSQQSGNQINISEVTTRLLFLSVHFVKDSRVTIRTSTMEPLLKSKWCDLYILALMQTADKINLGQLLDNLSSQMAMGLECGQFSTDKFEKMNEQMQRLMQLSHLFASRDLSSVEYAYLKLISFTAQDLPTAVSTSETRNVNQLASQELFEYVSSSKSTHLHQMSNEHINVDDSTSEDNDTHVTSQQTNTNLAAERFSRLLQLLPCLRWFDPQTIVDIFFSGLIGPMSIETIIPFVLQMNLLNFFDTNNGNGSSDSLSSSSLSEIMSNQ >CRE17553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:6092:8206:1 gene:WBGene00072125 transcript:CRE17553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17553 MATVRFDTKQEEEIKYNTDYTSLSNSLDSHQPNPSLGYGDKTTGSNLYTVLKKFLNNGKVSICGAQVFIAVKRYPDESDVSDIITQLRANHVFVYIAVDSVPSGGSNSGSLYEMSYQTNGYCLFGTGSDLSTGFIVMMNILDTNYQFLAQNYVVSGSGRIETPAFKTPTPVGWVEIGQLAITVQNHALDNSFVSMNYTFESTDGSHVFQFPSWEANLLYGTAETASVDLNPSVAYKWTIDYHYNTDAPQIIQLRMYSNFYHDFLPLPGF >CRE17590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:29385:30484:-1 gene:WBGene00072128 transcript:CRE17590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17590 MTSSLPLLCIPYLPLKKIIDFMEPSSLVSVSLCSQKCQSVIKTYTRKSIDGHLHVSGFEHFLLSFDKFCDYQIVMVASGLQKMTNRTRFVDMNGQNVALTVNEEKGYLVTHWEDEVNGVKILTDYVTQLFNIDVLGITFNRKNIWMIDWVNNRQQSHVRSVYCEDWKDTLTEDELTHILRDCPASFETVIYPSPPSNFQFQENFRQIDYLSISDGSWVTIDNLLTMDGREIMMFKSSLTSININTFLKHWLAGGCPRLKLFSAKTINFDLDALFADINVVFVEGLRQYTSPFQIKYRFNFGYDLRREDGVIATVFYRPDGEIIIAVWPEIICYH >CRE17556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:32568:33686:1 gene:WBGene00072129 transcript:CRE17556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17556 MTSSLPLLSIPYVPLNKIIDFMESSSLVSMSLCSQKSRSVIKTHRRNSIDGRLHISYNDERFHISFNTFLEQFPVLGVFNLSKMPSSVKEDCIKLNGKQVPVRLNSQRGFLLTYWEDEVEGLKTLTDYITSLFNIDVLEITFTKKSIWMIDWVNSRQQTPIATGICEHGKDILTEEEMLHILKECPASLETVIYPSPPPNFQFRENFRTIDCLILSHGLWVTVENLLTMDGIEILLKTSNLSCIDINVFLKHWLSGGCPRLKYFMANIDDEDFDSMFTDLWDNVVIVEDFRQYRSPFGCVNHLHYGYDLQRADGVTATVCHQENGHMIIVVWPESVYEHV >CRE08546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:127096:135740:-1 gene:WBGene00072130 transcript:CRE08546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08546 MPPIISNTTELTDSPKSNRSSQAVIDAKSDSSEKGSESPRSLRDYHRGGSGSLTGGGAIVLANAKPDMKKYKQKLDSRLGFVLLLCLVTMFVILGIYGTMHSSVHDELTVYVNRTHQIASELKNAMDENYPKENQTNVDKHGRVWMTVEELEDYAYLIDKTNSTVWNLFFWNLVLSIFLAPIVLAIHLEWIGGNGAKLAYRVILLIGLLFCIAQFLYLVHPVFWGAVRFPGMLDRLFLEAYPRDEYQIDSIQRRFACEFRPHETLVQLDLQQPCIPKMKNSLLPTYSTLLLIFIDLFPFLFGAFIYAWSAWIKNSNVVRTARQRVELNNSRRVPFPTHNIYSPPERNTKLVEV >CRE08547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:138607:139159:-1 gene:WBGene00072132 transcript:CRE08547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08547 MSESLLQTWWLSLVHLPLLTFLLSLISCSTSKESAQDTVPEEQAPPIQEAPPIQEAPPIKVAPPIQEAPPIPEESKQAKKSRHPRNRDIQSCKQPRRSKHPRKARDYMKLQEQSSSAMSARGLKKGKRRQ >CRE08548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:147499:148534:-1 gene:WBGene00072133 transcript:CRE08548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08548 MRTIATTLIICIFFASSVNAGITTRHTNATFESIAPPPTDMDTELEKISKGCITIADYKLLATKDVTWYIASMIGRELVRESVSTIGLLELRGALHFGPMAPWTKLNYTSLSKDELAPATLEQYYDLKEPKSLARSLHSLMIMEKDIPRGVAFMDERFPGIRKIFAQKFQKMMRKGGKMVMDRKMVDRMIEEYSAMDKKIRDAIEKTSYNNYCWDRSSLF >CRE08538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:156014:157675:1 gene:WBGene00072135 transcript:CRE08538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08538 MGTTTLIIICLFLANSINAGIIDRPSNATLSESEIPVIIALPARKVMTGSQSTTTRPTTSEPTAPPPMDPELETISKGCITISDYKLLTNNDVTWFIASMIGRALVEESVSTIGLMELRGAFHFGPMAPWTHRNYTEPSEQELASATLEQYYELKEPKSWMRSLDSWILMEKDIPRGVDFMDGRFPVIRMIFKGKFEKMMRKKGKMVMDRKMVDRMIKEYAAMDKKIRDAIEKTSHNNYCWDRSSSH >CRE08539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:159039:160598:1 gene:WBGene00072136 transcript:CRE08539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08539 MGTITTLIICLSLASSLNAGITRRSSNATSVSEIDMDTELEKISKSCITISDYKLLTNNDVTWFIASMIGRALVEESVSTIGLLELRGALHFGPMTPWRDRNFLRPPTEQELGSASSLEQYYELKEPKSITRSLDSLMIMEKDIPRGVAFMDGRFPVIRKMFREKFEKVMINGEKMVMDRKAVDRMIEEYQAMDKKIRDAIEKAKDSYECVVQSYP >CRE08549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:162732:167645:-1 gene:WBGene00072137 transcript:CRE08549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08549 MSIPLSTGTYSINGRKYRKTAYQLKVGGASATEITVDQFEDTPDVYEDEPSIGCIAPPPGLPALKQKIAKAAKKSETTVSMDPQPTEDERITVVGGKKWMATIQVPACFIGKLIGMNRRALNSLENDTQCRVKTPRREQKDKPCEITSIISLECVQRCLDRIEIFVDDARKSSRPTHFVAFSCDHSEIQQNFEVFKKLVMDSDEFHESTRNPQLFTKTSRLHLTISVVRLFDELDMKKMEEAFRVIHDEIKPLLDSAPLIADIQGIDMMNDDPSQVSVIYAKIKGEKVQKIANLVSRRLMELLGGNSGNSEDVVADSEDVKLHMTLMNSRYVTQQSDKKNSKNSFNAKKMLEELKELHFGTIQINEVIN >CRE08550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:168769:170832:-1 gene:WBGene00072138 transcript:CRE08550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08550 MDTLFAPGCTMGKFLYARCKVVMPLGIQVPSIRVTEHFSVPMDDVDMIMASLENGIASTGGFCVGRSFVVGHQRLSGLGYCFSASLPPLLTTAASEAIAIIDEQPERVQRVTQLSIDGQRKLENALKNTKFLVQACPESPMKHLYYCGDDETVADRKLNELVEKVFEENRLLVTRARYLDKEEIFKCRPSIRVMFQYDLTEEEIERAVEAIGAAARQL >CRE08540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:178647:182869:1 gene:WBGene00072139 transcript:CRE08540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tre-5 description:Trehalase [Source:UniProtKB/TrEMBL;Acc:E3NBA6] MRIAVLHLLLTTTVIPGTVGRPQKDPRGNDIIDERADFGTPEHDNRVHTELTELTNRDIARLIGADYFNGSVLPCDNETAPGQWMVYCSGRLLQTVMAVKLYPDSKTFVDQPMKVNQTGELIMEHFERRFPVPIEEISKKDVAEFVDEFFDKEGNELDVCNLPDWKPITEKLTQIQDDHYQAFAQRLHFIWIQLCRQMKPEVKEDPSRFSLIYVPHQFILPGGRFREFYYWDAYWIVKGLIASELYSTARMMILNFAHIIETYGFVPNGGRVYYLRRSQPPFFAPMVYEYYQATQDVQLVIDMIPVIEKEYVFWSQRRSVNITLESQDLNETVHMFQYHTEAETPRPESFREDVLSAEHFSTKSRKRQFFKDIGSAAESGWDFSSRWFKDHKNLSTIETTNIVPVDLNAFLCYNMNIMQFFYELTGNPLKHMEWSSRLTNFRQSFTKVFYVPSRKGWYDYNLRTGAHNTDFFPSNAAPLFAQCYDPLNSQLAVDVYNQMEASGAFGMSGGVPTSMHKETQQQWDYPNGWSPLNHMIIEGLRKSINPTLQQKAFVLAEKWLETNMQTFNVSNAMWEKYNVQEPQGKLATGGEYEVQVSDGDGNALYREKRGILSLESQKIRNEAHFPH >CRE19463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:20652:51860:-1 gene:WBGene00072141 transcript:CRE19463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pkg-2 MDFTREALLAELELKDDIIDQLRKELAEYRMQNSTRKTAISSEPDVQVKRPIIGKSDEAFETIGNALRLNTFLRNLDATQIEKISSAMYPVEVQAGAIIIRQGDLGSIMYVIQEGKVQVVKDNRFVRTMEEGALFGELAILHHCERTATVRAIESCHLWAIERNVFHAIMMESAREKTMTMKRYLKWSNRFCGYPEEVLLRIAEFCTEMRYEAREELVVKPQYVYLVCRGSIICDDQGEVSKIVAGQDFELRGGRKGRFERFFVLEGPALLIKMHVEQLCKALDTTDLDDEIRPKASHALEESDIELEDLQRVSTLGMGGFGRVELVRSAERTYALKIMNKAHIVETKQESHVVSERRILMNCDCDYIVGLYKTYRDSEKIYMLMEPLIGGEIWTILRKKGRFDNDLTRFYCAGAMEALEYLHRKNIVYRDLKPENMLLDRNGWPKLVDFGFAKKLRNGGRTWTFCGTAEYVAPEIVLNKGHDLSVDIWALGIFMCELLTGSPPFSSTDPMVTYNAILKGLEKWAWPRFVTKEAIDMMLSLCKYEPTERLGFGDIGEIRHHIWFDNFDFVGFRAHRIRPPYIPSVSNEVDTSNFDTFPAFDNFSSGVDESGWDEEF >CRE19462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:8115:13546:-1 gene:WBGene00072142 transcript:CRE19462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hyl-1 description:CRE-HYL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NDI0] MSYFWHEPYWLPRNVTWPEIPSKFADLLVPIYLAIPLVIIRILWESTVGVTYLYFRTNAYASRKNITLLGCMWEHLTGGFASLSRAKKILECFWRFSYYTFAFLYGLYVMIDASWLYDVKQCWIGYPFHPVPDTIWWYYMIETGFYYSLLIASSFDVRRSDFWQLMVHHVITIFLLSSSWTINFVRVGTLILLSHDISDVFLEGGKLVRYDAHNKNMTNFMFVLFFTSWVLTRLIYYPFIVIRSAVTEAAALIQPDYVIWDLGLSPPYAPRLIVFALIALFFLHIFWTFIILRIAYRTTTGGQAKDVRSDSDSDYDEEEMARREKRRLLKKKKKNKVSPSTDDDDEEEEEAKNDGKARHRRAPRRE >CRE27902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig284:4265:5332:-1 gene:WBGene00072143 transcript:CRE27902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27902 MFITSQWSLGKEVFTLIWSISFWSFLYSSRSLLSSIHYIPNWSFHSTFSVLVRLPLPTTLQMGKTSRGSLEEKRDSPQSIPDPKRYTKLQLSILNARFSKCALLNEQERIDLGKEIGLSPNQVMWWFARQRTNRKRVERKETTSKTGTFTKFQLTVLNKEFSKCPRISHGKKVELARITGLTETQIQNWFSKHNGINPVLRAQPSHPFPINFPLPPSMIGFTPIRTPLIPMNVMPMIYPFPPLSIPFFGAPNNNNSIMKTSENAFRNTENLKSQKLNESESKEPSKAEEEEEVDIIN >CRE27895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig284:9569:10803:1 gene:WBGene00072144 transcript:CRE27895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27895 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3NI16] MNHYYSLHFGIFFLVFNQVKCTGYLEVSFKSDFNLKSVLNVSSLNTNSSNSRLVPFLVSPNKTEKLSRIPIDFNETVIITVFVINQDRLDIDNATITATFTPRRGILSPLTVMYPFTGIKINIGCDTQYYGDQCNVFCCSETASRVGKECNSLGQLGCPVDKKGLDCKQPISKKWCKCKNKGSCISSFGKNLHERIQCSCPVGFTGIQCEKEVPSVEMMSVYGVDPKKFEIGTAKMLYESVVDNEMVEVTRPHSSHLLHNLKINDA >CRE27896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig284:18096:20650:1 gene:WBGene00072145 transcript:CRE27896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27896 description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NI17] MYRLLLLCVILCGVTDANKVEQVHLSLSGKMDEMVVTWLTQGPLPNVTPYVTYGLSKDSLRWTAKATTTSWKDQGSHGYIRYTHRATMTKMVAGDVYYYKVGSSQDMSDVYHFKQPDPSKELRAAIFGDLSVYKGMPTINQLIDATHNDHFDVIIHIGDIAYDLHDDEGDRGDAYMKAIQPFAAYVPYMVFAGNHESDTHFNQIVNRFTMPKNGVYDNNLFWSFDYGFVHFIALNSEYYAEKMTKEANAQYKWLQEDLSKNKLKWTIVMFHRPWYCSTRSSGGCDDPTDMLSRKGTNDLPGLEKLLKDYKVDMVFYGHKHTYERMWPIYDKVGYKSGDAGHIKNAKAPVYILTGSAGCHTHEGPSDTTPQSFSASRLGQYGYTRLKVYNSTHISTYFVDTDDKVGNFLDRFYLEKD >CRE27903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig284:36567:37318:-1 gene:WBGene00072146 transcript:CRE27903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27903 MSDSAQVAVAAAAPAAPAPKAKATKAAKPTKVAKAKVPAAHPPYINMIKEAIKELKDRKGASKQAILKFISSHFKLGDNVIQINAHLRQALKRGVSSKALVQAAGAGANGRFRVAEKAAAAAKKPAAEKKAATGEKKAKKPAAKKPAAKKAAATGEKKTKKPAAAKPKKAAGEKKAKAPKKVSKPVAKKAAKSPAKKAAPKKAAAVKPAAAAKKA >CRE27897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig284:42074:44742:1 gene:WBGene00072147 transcript:CRE27897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27897 MKAIGLYQLISLIPTLVNSCLVVKSPPCTCPITLLDRTNIDQMSENPWYDYVVQRPLVTPTVQYISNCVAWTKCQLNYTLYDIEYIHPTEIAMQRLKPGSLLMGNACIILLTGLQFVLWRYVWNRLLFNQHHVSSEKEFCTPKTNTTFLFAYSNDMDATKVQEIVEFLSVDDIRAPQFTTLANIRFDVLQEESIEYHKNYEDWITAMGSKLPDPSLSFTSPETGSDVLKVISKFINNTQVPICGSRIFILLKRFPNEQDITELVAQMKKYRVYVYIATSFPPSGGSHPETIRRLTTQTNGLDYYYEDTSFTLSIALLSPFFFDADLIYAANVNLSPNGLIALPEMIVPRHEYSFYFVTYPSLCTYIAQKNYENMVSKTIYFNASVYDMQLDYEIGDDIVYAVQIRIYTSGSDGYWIPYDD >CRE27898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig284:45019:50633:1 gene:WBGene00072148 transcript:CRE27898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27898 MLWNRTRERILLLLLLIGIGLCARGEENIGEETCCLEDVVIYLDSLPSVGFTKEWRTALSINKFFIIETVYLTFGRSCMSLQGSPTETTKTNCSWLSDPFNVTDKTGKVTFAYNGSCCSNVAAEMLKNSSYQKEIESNQNLLERMTGYNLSKDKLINTIIDAKQSALVKLLYCIEDACPGMDLVWEPCSETTTSSSTTKTTTSSVTTTKKLECYWLDEPFNSYYAVGPENLVSVEYTGGCCNEAAKSALENGPPDHLHLESYQEDYKAEALYNYLFCIQGACPYMIPIWTNCSDLTTTTEAQTTPTTTESTTVTSEVTTTKKRQGGFPSGDTTRTSTSTTSEAETSTEKKATRKTNNGEPSDDNELTTRLTTLESTTVKTTTTITLGDLLSGPKNDHSSTTSSTSSTQTTAPSSTTTSEAPKNEEPIENEVTEEHEESTTTKISSKVTTTTESKETEKALTATTSVTQGKTLNNLKITDEYIISDSTVTSTAVQQEETSSTVSKTRSFSDDAETTNTDKETPETTTEADLEPTFSTTFDDSETSKDPEETSESTTPSETSESSWSTESFTTPLINLISSLTGSTVSSSSPKTTEMPMDSDETGSSTTQTSLEMTAGSTTPESGSQSTDPAAFTTSGTHETGTTSSSTVSSSSSKTTEVPMESEGTESSASSGMTAASTTTGSENQSGTHVSSTTTRQGSSSTVSSSPPKTTTDSDQTDGPTSSGMSAGTTSSDQSTDPTAKTTPDTSETGSSEITTTSGSSRTDVTGTSADVTTTTGSSTLSSTHSESATAISSTGTSSRETVTGSTKSTAHGSTEKTTATGSEAVGSGTGTSTIATPGATVTGTQKTLSPSTSSTQGTGTVTTRNTEGSGSTSTAYPKPTISGHIIDYTGTVETTPTAKTSESTSGTTGKGDSSPMGSSTKSSSSSTTTKPTQETGSIGYTTSENKTSSTSIKPETGTSSDGSTVSTSGTNGTTKSSSPVKTSTTAPSNNVTTKGAEASKHDGTKTTTAKSTKASSTSEKSKTPDSQKVSTKTNTNSPVSSSSSVSTSTTTSTPPPTSATCPMPDIDRRFTNRPTDAELKPFYAPGERVIHLCIKYYKMEWAGQPLRIYQCGEDGKWIGTFQRCVPDVPAPKKEL >CRE25886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:98198:101315:1 gene:WBGene00072150 transcript:CRE25886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25886 MSQLRQVADPLRRFSFCYGSTDRSENKHIVPTISSVLAHREQFKQPENSSQHLKRAASMKQQHMEVFASRWAHETGSMIYVIVKTGSNYAIGSPLCVETGLYKEYEHLRSVSFNSSSKDVKNLKLRDRHHQPFSFASSLVIGDLVVVLKTGFVEHIERKTNQPSYVAKLTTHDVNNYMPYKPVPLIACCSLLNSLHECLSSREGFVTLNALKALRSAKLQSGVSNFQDCRFIDTTVAGWDITEGGSLHVELRTTAAISVATFGVGTIVKLHAVTTEPIIGKIVKNFLDEKEKILTVVVNECCGVMEGVEDDFNDNIMLKSQYEWRIVKNLITQVGKSIPLTKMDFHHSYDTLMSGEKRMVRNELSDFLVEGLVASSRAMFKKLDPPNLNPVVPLEQLYGADASTTETLSTYQLLPFNQALKRDSSHEERVFYQAFLNKNIMVHLHESPVGSGKTTVLAAAVKARLIVDQNSRIALTAMTNSAVIALLNAFEFPLDYCDEKLRPLVVQSKNWKHANGVSSHSFDWKFVMKKCFIEELVKFDIHKPVSDESRYSKIKSMLSYVRNNSIIALSSKLGKEKYDFISKLICPMLNKQELVNHFFHLYKPNLIIATMDSLVNFTSFLPVDHMPNLIAIDECTMIQPSDLCLFSSKMQSMSFESIEFVLIGDHKQLTPFNCIQPLSPLTITPNVLLMNNAAFTTRFTVVHRCHNNATELVSSVFYGGYLTSGKDPNQSYIQHRLKGVPFRNPKVKAYSFVGNNYASCAVAQSRCNSSEASAIAEYAQKLIDVDHINPSQISVITPFLAQAELLQKLVPGGITCSTSRKYQGLENDIVLFSCCHTGGKQGSIDSEDEMHSEGFRVQTSNTGIVKCKLIDDDAIILVSLTRSRHFTTIFGNETFLRTIPRWNAILNGILQ >CRE25902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:94194:96723:-1 gene:WBGene00072151 transcript:CRE25902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25902 MSLPTPAGRYLSAEDLEVVYDQKKFQDAAEIDDVHLLHFKHDRTLFVRVPVNSSDQNPHDGYIWGQTKYGKDRVVVFRHQPTRKIIELYGAKCTSLNCTELNQPHIRKQIFQYQDQIYCYYFTTTDEPISIPSEGLFPVKKKRLTKNRADILTRLVIPGRTVKQALSTAREMGMTDVTKKQVLNVSRRCVDCVFTKNGPRARTSLAMAEKIKQENPDLTWFEQTNNVLTEFTSIRVFKDACKIFYEGCPPLDEWEAYTELVEDMINDKHLRESELPKLYDHYPNGVFFPSRLHVDTTYRLSDMYITVVLGETGNFLTKPSGKARVIPLLYMLHSSRSRITHEKAALALKEALMEHSNPLSPKKFPCLLLDGEEALQVYGEVTFLFKSFNLNRYHYFQTLNYTESFDQRCIECPVGSLCATSSNTTDIFCIVRYKLNEIPVGNSCSIPLIVISLVVGLLLLLNTISIILIIRRRRIQVYDLPPTIDERSAVCRAEVDLMEKVNKWKTCQDDSLIEVKSGNPNMSKDVLDDVMNGMYSDDMICEENNGIPTGYCADDGKSEENWAEKTVDKVENRKIDE >CRE25901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:91297:92810:-1 gene:WBGene00072152 transcript:CRE25901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25901 MVDIDCVNDEQERAFKRIIKDAETSNKSNPLVLFVCGQAGTGKSWLIRKIVNYIGDAHVIVAATTGFAAKNIGGRTIHKALQLNASNSNCDANDTVIVSKFLKLVIIDEISMCNAVLFERAEARIRRIMGNPDVLFGGCTVVLFGDLLQLPPVAGSWVFKSKLWQNHVQYVELEQNMRQQEDPVFAERLQRWRLGFCTASDERFLNELASLLPNTKWDSCVNNYLEHYDEEKNMMMLAWSNSATRKLNRMVTHKLFKQEDLYDVVHQTIMTTGTSTKTNRNVSFQVAKKSRVMIEKNIDSQLVNGQIATVNKIFCNDYQATQLELILHENKSVRILERIDSWPSTTPSTKTHVVGLPIAPAYALTYHKSQGQTLDKVFLLAYPCIPPGMFYVGVSRVRKSEDLHIMNWNACLAIKADPEAKAEYKRLRISIGKSPLPIFF >CRE25900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:87926:90987:-1 gene:WBGene00072153 transcript:CRE25900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25900 MSDSFDEFPLGATNLTHAEAMALCQNPGDCSFDEFPLGATNLTHAEAMALCQNPGDCSFDEFPLGATNLTHAEAMALCQNPGECSFDEFPQNATRLSRVDARALCTPQIASTDDFGPPSKRMKISGPSDIGTPLMTSTPIKNSGSTLRKSPNQYGAGRPRKESDNPFTYISPNHFPTQKELQFFAKSVRMSKETKLVRKNSAFYALDTMRIKFNALDGIKNPALLHKHVAKCIDIFIRKQIQAAKGDLETTPYWLQFCYKCSTWAGKHHSEKCKAKCWWCGFTECKPEPAIKIHCDDCNIDFPGQDCFDRHLKCVTGHALPNCKKFFFCSTCMKYDRTPEYQKRSHVCGATHFCTVCKAKKEKEHECNHPMPTETGKKKKREKQEKWTIIVYDAECIVVKSGEYSDDPCRGPKHMPNMIVAHMFCNECRGKTGCPNCKEPIIFSYKDDEEEEEDDDDHHFAGEEEEEEEDSEVGSDSECSMDEPEPEERSKTLTKFSKFLMTDPRANGAYVIAHNGGRYDHVMVMAEMDRLAGPEATPPSFIMNGKTFISAEFSYKKQRIHFRDSLQYLQMGLAKMPSAFGLTGEAKGYFPYLYNHPDNYDKILATLPPKEYYSPDFMGASKKEEFEEWYAENYNTPFDLYTEMERYCLSDVRILRLTLVAFIEVS >CRE05295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig852:3792:5132:1 gene:WBGene00072154 transcript:CRE05295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05295 MKLVRKYHMIPYEEGAPLDSGRRFLEKILNDKSLDEVAKCRFFQDILYKIKHNMHLAIVNSDMFDIVRENFQRHTKTPKPTPYSSPKYKPNNDDYPNDDDDDLDKLMWDSYGMPEYSPPESYHPPSRHSSPLPPPPPPPPPPGPPPVLAPAPRGERVGGKMPKSGSHHRGVSEFQNIVRLAAERFVDRRNRQNIPMPPPPPPLPPRPIVPREEKTSGKMVKQPVRERGVSEFQNYVKLASARMAEKRERRMRLPDAPKMEVEEEIKEELPSKPGTHINRRDSKPETQQKHKREKSVAAERKQVNKIIAENTRGVPKVLQTSGSSNLGVKLEPHIKQERNIKQEPNIKQERNIKQERNIKQEPIKKEIKQEIKKEIKKEIKQEIKQEPVKRKIKTEIKQEPPERKIKTENKPGFRVKEEPSSGFRRRTKGEGVAPKGSRIYCRLWKM >CRE12168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:268231:269586:1 gene:WBGene00072155 transcript:CRE12168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12168 MTDFYVTLPSNVPNAPFHNTTSRYVTRLPEVLQLQRDEWVVALTDLVYPHSFVNVGRPLHYWIHFKGGRQPIRVTFPAADYSNLEGVISALNNQVHTRMKRSAVDNVIEENLKKAKREMPKEEKESILAILNEKEKMKSAQKTPVIQSTEAVKQSPVPTPTSVPTPPVPPPKKIEEEKKTTPAPKKIDEKKIDEKKVELKEEKQTEQTKIEPKQEKKVEEKKTEQKEEKKVDEKKPDVIKKPPLKKPEEPKPVIALSPEMKQSMAEYAAIANNVLNRPNDATTYRNMMEEFERIRSLVSVDKPDYDTNQYLGFTVQDGKVKINFLNPAEVLFVEFDKACGYFLGFEDTIVRESSVAPHKVDFFGDVSVIYLYSDLVDPIIVGNRKSNLLSVIPCTGKYGSIIYYTVPNPRYVPLINSNIDSIRIELLTDGGDPIPFSWGTTIAVLHFKKIK >CRE23108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:42575:43650:1 gene:WBGene00072156 transcript:CRE23108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23108 MLSRIDPKSQDFITEDLDFSTLPATQCGIINSRYTYIPLKNQLSENGPWELNLTNNSRSYLNPKKTYIVFTFQITDKDGNHVQMEPLTSGIQNPLLYGPINNIAHSIIQNYALHINGQMIFHNSTNYAYQAYMESVLMYGEEIKHSTLTAAGFYHDPKIGQADSPGFLKRCDTVFNNGTVQVACNISIDLMKQSKVLMNGCNVKLILYPNKSEFLIEGYNLGAHELKFKVVDVHAIVNEFDLAEGLSNEMEASLLEHKMIQYPLISGQVRSFYIEANRMDAPANTVFTSKLPRRIFVGQDHSIWTLKTVNSSNHTFNSRNL >CRE25898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:74379:76216:-1 gene:WBGene00072157 transcript:CRE25898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25898 MSPSSFNPTPMIPPPMTAAKRQGMVLGLLNNKLTRGAIYSPGAIADLLHFGGRYGFDGVIGGKIMNLIRTHSQRLNIEEPMYNTMEAVLLHDSDRAYHALRGEAQKVDGQIIYDELNYIDHHITCDFAEIMDIMRNEMRVASSWPLYLDTEGSYSELLNGSKLALITLFDVDSRTVYLFRVHRMSYDQLQTIQRELKIVAYITQELSLHRMSYDQLQTIQRELKIVANNRRIVSFGPETTIKCPTSNIQRHPRLSLQAAADQIRVPISKSETMSNWCGAQLRDDQIQYAAMDAIVLHNINIGTTLDWSYSPPRPSRPDISPRFFDPVAPTPTQMHKVAEIRFEMMEVVDWIWDVTIINILEATNTQLGLATGERSWEIEVSKQVHILEDVKEELGDQRKSRERIGWTIEALRGVLEHS >CRE25896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:62362:65275:-1 gene:WBGene00072158 transcript:CRE25896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25896 MPLLTFIECPSLEMRQLLSCSSTIHDSFCALFVLILCSLRNPFFAEITEQKMNEPDPTLPDEIREKLAELELELSEGDITKKGYDKKRDALLAPFKTAQNTVIEIRVEAVHQALAEYSDGRKLGQQPVKPHRRNGTSVSRSNTQKSKRSIFNGGGGGGRMMSADPNMQMASGCETSWLRNVVVAKCRSCELSRSHIFNCEKYLVLFFCTFSPRISRKSLAE >CRE25895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:33947:57666:-1 gene:WBGene00072161 transcript:CRE25895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25895 MIIAVTSVPASVARNPHKATPPLSPKFEANVGYPQPAQRSPSTPSAHRRVFPPPPSPTTRRPASQLPPSPKLSRSTPKMVARKAMGAGAPPTSPSMSSPQITRLKVNGRVVRVTAIRQSAYYSSSEDEDSINGGTLGKKDGTLTRPPQTAPRTSSLLSNKKKETPSSPSTTTAVPPPPISKNTAERRSGTRKERAVEDMLRLVQENRDRHDQQKKDYGEYVQARIRPKAPGGEVIRVSRQDYRNSKIIVTDGEAIRISPHREEIETTIEESTSLHDHHHDHDDEAVYVNTTIGGGNNGGSHGRIPDSPGDDYQNAVLPLKQTKVSSKIQNVVNALQTVSSTGPSLFSPASRKLSSENHKEYLAQLHSRKAVSLKEKKVKQQRSAEEGYASASPSTASLVPSRPPLPKYHHVCEPSYGDEDDILLDDGATVTSSVDFVPGFVRRATRSSEQIRRHRDFVSNSCSAPSTSSGEEYEWSFVRRQSTEQEEREMKNEEDEMTIGWTRRQHRFKKWKLNQTIHELARPRSKPLQDYYNDDDAELEAMAKIRDPEAPRPEGTIMNPVRGEAAHSNTNNTMPRSLDSAFHRFGTTAAKNVAAMVLDQSAKPSTQLTYGKLHSRAGKVAHMLLTKTVQINKDGTKNCMCKPGDRVALIYPNTQPLHFLAAFYGCLQAGVIPVPVEMPSSKREAGIAQLGFLLGNCGVKVALTSESCYKGLPKKVNTSSVFSAPSGSTSLTGAANEIVDFRGWPRLWWAVTEHMSKPARDWTAPPRLADETIAYIEYTTGNDGTVKGVCVTRQAVFAHCRALTTAMEYKEDETMVCVVDFKREVGLWHAILASVFNGMRVIFVPYSLMKMNPATWMHMVSKYQATTALVKSRDLHWALLATRDHKDISLSSLRTLLVADGANPWSLSSCDAFAAAFSPAPYNLRPDAMCPCAGSSETGTISIRRRGNAQLGSQSGRGVLSMSALSHCVVRVDQENSLTSLTLQDAGQIVAGAVVVVTAIDGSNRLCQADEIGEICVSANSTAQLYWALDGQTQHTFKVEPIGEYGKPIGAVRYVRSGLIGFMGPDGMVFVVARRQSLLAVSGRYHSADDIIATVLAVEPMKFVYRGRICVFSTSVLRDERIVIVAEQKPNCSEEEAFDWITRVLRAIDTIHQVGIYCCALVPANHLPKTPLGGVHVSETKQRFENGDLHPSTLLMCPHNCVLNLPKPRERQADVGPAAMFVGNIVQGVRIAVAKGRNIDDEPSLPLLECLRSRAQTSPDHRILTLVTAKNAEQDTATCATLLKRAERIAGLLTDRARLSRGDHVALIFPPSIDLVAAFFGCLSAGLVPVCIRPPVASDLNTTLGPIRMMVDMSKAVAILAPANVSKLLKSKEAAHSIDSNAWPMILDLEDAPSSWRRKNTVASDTTTSGSSGAASKDDICYLDFSINSSGQLQGSSMSEASAITVCKSVKVSSELYPSRHVVVCAPPYSGISLVLWCLSSVYSGHHTTLIPPSEVDAQPSLFLTTLSNLKVRDAFTTYSTINSCVTQLATSVESLKERGCNLSMLRSCVAIAEERPRIALMNSFCKLFAPLALNNRAISTSFSSRVNAAICMQGASGPEPSTVYVDARALRNDRISLVGKGAPHSVALIESGKLLPGVKIAIANPETRGQCADSHLGEIWVASVHNASPLNRTGGAAFTEEVNTDVYNARLTTGDTKTRWARTGYLGFLRQTQSITEHGELHDAVFVVGALNESLVLRGMRYHPFDVESTVSKAHRFVGNSAVFTWNHLVVIAAECTGTESDALDLVPAITSAVLEEHHLIVGVVVVVDPGSIRHGPGGEKLRSTIRSLFLEEKLNPIYVAYHM >CRE25884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:31218:32934:1 gene:WBGene00072162 transcript:CRE25884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25884 MPNVFKDTASYAQSQLDPEIPRPVTEYTIHVTIRSMQCGAFIGSMLGPMAVLLTQKERNRQKYVNSFTRGGQAGALVGAAMGPFLTYLDLRGLCDLVLYGKCYSLKFDEDALRRDRAAVFSGAVGLLSSGAPGFILGLDVSFVFSKMINFFRSTD >CRE25883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:27310:30150:1 gene:WBGene00072163 transcript:CRE25883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25883 MSTNWFKNFAGLRQSEFEMLQVPNPKLEFGIHVTIRSMQTGALIGSILGPISLFVSQKANNKQSYIDSFVSGGQNGAVLGAIMGPVLTLLSVREMNTIQLYDKCYRLRFNQDALREDRTAVFSAAVGLLSSGSTGLVVGLDLSLLISKLMSGCRW >CRE15073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig823:11747:12895:-1 gene:WBGene00072165 transcript:CRE15073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15073 MEEPYLDGIQYNCVAPGKRFRPMDNLSGGEKTIAALALLFAVHGRNPAPFFVLDEIDAALDNTNIGKVASYICDSAREHMQIIVISLKEEFYNKADSLIGIFPYPAACTTSGVLTFDLTRFKQLGINEMTENPPASSSAAASH >CRE22595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:214536:216185:-1 gene:WBGene00072167 transcript:CRE22595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22595 MVGNVYIGANVRQRAQLGENYLEGTDVIAVNGHKQIGLVAQILKDHGANVTEFRYDLRHLEEISRRIRQADILLDGVQQGGEIEEASASNSRLIVAECDCEDPFSSMSAVARISMALAARERSGHGQRLIASDCLDYWRSLNRYGRPMK >CRE22596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:216667:223495:-1 gene:WBGene00072168 transcript:CRE22596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22596 MSILAEPRRKQKISVDPQNLTWKNDDQKFSKKLMEKMGWSEGDGLGRNRQGNSDAVKLKANHSGRGLGADKLADYDSTWISHHDDFADLLIALNKNKEKEPEQTEEEKQQAAEKISIELKSKSIRRRIHYQKFTRAKDTTNYSDSHKKGILGYGRLKSDNAPEEEKTKTEEKSSDSEKSDSDDKKEGNNTVSTLSVGDYFAAKMAALKAKREAAATEIKTEIVEVKEEIVEEEEEESDEEKAKKKAEKKERKRLRREQREKEEKIEVKEEIKEEIKEELDEETERKRLKKVGNEKKRLKRLHEEQQQAAENGEIDEDEVPKKRKKHTEDEH >CRE22597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:223837:225760:-1 gene:WBGene00072169 transcript:CRE22597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22597 MYYAPAFLQWLLFDLTSISAGIWTNMIAFVCGFGLVNFFAGKMKMFQYTSWILDLIGKIPYFYDLMWKRRSQIKFTLVMTLLTSVTMFISRDWIVVKHKTIKIPNFTADGGHLRVAVISDLHAGASVYTTQIHQVVEKVLENQVDAVLIVGDMVDAPVADIEDRVRPVLQLPVHAPTYFVTGNHEYYYGDVHEWLRFYRNGHITVLENESAMLRGVCLAGVNDISSPKSGILNHEMDLPKAVQRCPKNTSQIVMSHNPASIREFQVDHPKELSKIDLILSGHTHAGQFYVVIPVVYWLLPYFYGLYEIPFGGQLMVTAGSLYQGPPMKMIGMSEVWVLDLIGE >CRE22580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:226365:229022:1 gene:WBGene00072170 transcript:CRE22580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpl-1 description:CRE-DPL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N3A7] MNPTYDQRHMQLSQNRPQTSGSTKRYVQMPTGMPRQPLYPADDHFNDTQSAWDEPSSNVGGGGGGGVVSSQQNDKPTGLRHFSTKVCEKVKEKGLTNYNEVADELVSDYFQNNLMKQIDVVKQEYDMKNIRRRVYDALNVLLAMNIITKNKKDIRWIGLPASASQEISRLEEEKARREASIKSKRETLKEMILQIVSYKNLVAKNREAQRKNGEPNADTILHLPFLMIHTHKDTNVECSVSADKSEFLFSFDRKFKIHDDFEVLKSEVSYFCNDFLTILISELNLTCSLNTSNPTDEEVKIAKSYLPSLHQNYVDEIISNNKKQEIEREENKKRLLAQQHSQLQLQYYEQDDSEMSQVPNAGRYNRQLQEHLMNDGSEDRSAADGIMEREDEMEKSVHQGNTAIRVGPLYSNYSPQKLLRQQANSSNTPLQAPQPTRRYYVQKNPTPQMRREMSPAIRTVARPYPAGGARMAAGGSVTGGVKYYVPGASTSLHPAPRYGQPSSSSTQQRVVYSSGSGIPTQLAPGQRIVTQRIVTPGGPHPPGTIVRKVIRKIVVNSGTNQAGGLKQSAAQQVIQKKMMEQEMIERKPEQPMTSAQAAALIQHPPPAEYDYFE >CRE22599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:230372:239193:-1 gene:WBGene00072171 transcript:CRE22599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sec-5 description:CRE-SEC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3N3A9] MEENSNFSERLPPTVTGLSPTEGVPGTQITIRGENLGCDQNDVIMLFICGIDSLWSMKWKSPSKIIARVGAASRGPGEVRIVTKSGGKGTSNVKFRVFITQIGPLEESAVWVDETRTVPGREAIRSVPQIQDERDALGLVPSQKRMDQIVLSRDFPDCSGNLRMENFSPQWYLLENHADATIEDLRTAIKNMELAKQNDAKRSEEMHKANLYSLINCVDTLANLHQALEKGENADHFAALGNISKLIKDSKTKAESVFADVLKRKDDADATRNALGVIVRFKFIFFLSSKIEESMKKGEYITILNDYTRAKSLYSDTDVPLFRECKSRRDLENLEKRVFFPVMTEIDAKMEVFKEEMKRKLIDTPVSYEEQSKLIKYLKILDPESDPTWDCITSYYVWLEKSLWDMQEKFLKKAIDEEEEDKMRYSSNTQNQHMILTKTNEIQNFVTTLVELLLSKLPSFWKLANTYHSSSASPDAIQRLEDINTMLTTLINVSSWLVLNALITKALPDSVLNQYGNQFAKWPAVSADIGRSNLQQSLKTTRSLIASLLENQFSLTHVQPLIELCMTVRLKLVSDFVDSGIERVALLAHRINWKQDILDRAQQTKTILPDYYENEICECLTRTRDALSTTGYPGEACLFSRELFRETIIDLFFRLITSIKICFDRLFIRQRPQQLETQKKGNEITTKKLLIAVGDIEFIIAKTLNNVGKKMQECGVKHVDQIQEKSRAKLSSFRTKMINDCIAMMSSAFQPLIASATYEYMPDDDDISDYAKEMILCSVLQQAELELYAPQLAIECLQQTVSTALEDLLTHFSRLNQDTSPLIVTQIVIDLTGLEEALSTYATLAIRVQINTYRAGLVGRFDNHRLQQCLKNMRTTMRMALQSLEQHADSLDDDANNTSNI >CRE22581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:239419:241417:1 gene:WBGene00072172 transcript:CRE22581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pir-1 description:CRE-PIR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N3B0] MSNNHYNYYRPRPHDRLPGKRFPDRWNIYDNVGRDIEGTRFVPFKTPLDSSFFDGKNMPEELQFGVKSLMNMAKQANKQIGLVVDLTNTDRYYKKTEWADHGVKYLKLNCPGHEVNEREDLVQDFIKAVKEFVEDPENEGKLVGVHCTHGLNRTGYLICRYMIDVDGYTAADAISMFEYYRGHPMEREHYKVSLYEAEVRKRTAKPSGEIQEKNEKEAPRKESGDVVSN >CRE22582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:243976:245991:1 gene:WBGene00072173 transcript:CRE22582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22582 MRLTIIKINISLCSQKSKSVAVSYRGPSKNVQLELYFGMGDSLEHYIEKNQYTLFEKYYLLRVEEMSKLPESHKLNTVIIGGFQNVPVEMGDKCLNTYWNDRVVGMTAIGDYGREIFNRDIHSLLLGNENEQNDHKRAVDWVMDTQQSIADLYCEFKPKTDRDLDYILENCKYIEDLTLFVKPTDAYCPAKMPNFSLNYLYISPSFWINSQHLLLMNCKTILLQDSKLTHRELNSFLKHWMNGGCFQLKDLKVFVEETLNYETALDGLSFTRREDEVDKEYVNHENSNYVIKNGFNIKRPIDDVTATIRDDGPDSERFSMLVWPDFSGNSYE >CRE22601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:249040:250792:-1 gene:WBGene00072174 transcript:CRE22601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22601 MTKFTGFPILKLPILCFEKILGQCEPFDQINISFLSKKCAWLLKSLRNKQLMCFDIELKNDYTKLAFILEANEIYGSWMFNFGEPRDWDWRFIQVTKDDMFIDNVKRLKYWPFLSVDPQQTLNSSVSYLTNLFDINVRNVLIAPDDFPETRTSIFPGFDCHFYCDPINFKKGEILFGNTSTGWITRDILFKFKNSRIQLYGCNTRNLNANDFDRFVDRWYRSDDTEFELLMINWKQYPGSLNISKFKPFAWNENRRSQNFRLLNTVALDCSQGYDILRHDGALATILTNRVNSLVFYVWNVRFPDTTGLEVQ >CRE22584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:262918:267676:1 gene:WBGene00072175 transcript:CRE22584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22584 MMDSKLHGSEEEMVQVGGANVRVLTKHAEDANGRFNGLVKTVTLSLELAPSMTSDPRGAELFADTLIELVQRNDPFKTPRTRAGFNFESSEFQESVGIPFQKINQMSVSKIVENMAKMSQSSRSPLELEDPKLNLRITYLNPPAGSGKRKFNTGDILELTAFEKKKRTEDMIDEPCCENKNMLGTKQTRSNIMPNEVLENCLVHALYQSLMYNEWKQNRTIVNLNKYRSSIRKTYKRPGICVEVYDAVNDMKERAGMTKSSDFDRLDIEQFQETVFASTHQIVVFVENSTTPYYMGPYVGPSKQLVLYLSNGHFSGVRSICALLKTEYYCFLCNTKYNNSSSHYNCPLIHRVCGQKSCTISNEDEEVRCNKCTIKFRSRLCFENHLKNGPKNGKSRCQHTQVCKKCGEAYYTNKNKEGHKCGEKWCYRCNCKRAKNHQCIMPTSTKNEKRLTRRRVFFDIESRADEKTGQQHAVLFVALRCCPECSSSIPKALQDAWKETCKKCAPDGRLKVIECVTIKNRKVDVASELTEWLFADHHKGSVLVAHNASGYDAQFILEKMIASNKAAPHLILDGTKLIFMEHNGVRLLDSMKFLTMSLSALGKAFEIDSVKGDFPVLFIKPEHYDYNGNMPEDKWYNLENKPSSVKKQIMNFLENERNANKTFNFVDEIMRYCYNDVYILAKAMNSFETEFEAMTNVCLLEVNHFPFNTKLNINIQESTTAASAAALVFRRNHLVSEKPIVLDAKPYVSINSSVISQKYLAWFGRKEGVQVNMSTTYGEQKIGEHRVDGFVSPCEKFPKGLIIEFFGCYWHAHSCTYTNSSMIGSENGQEIWDRDEKRLNSLKEKHPVKVVWECEVKRELLIDPEMAEFFDNYEPIGILHCEKSLVGGRTEVFKLYANNTAKVLRYLDVVSLYPTVMKHEAFPIGAPENVPQSTMKVPMKKPEDIKFRGFMSCRVLPPRQLKLPVLPIKYGGKLLFGLCRCCAKRLSNTSCNHSDEERAFNGTFTTVELQKALSLGYTIIEAYHGIKYNHWVQNDATGEGGLFTSYINQMMEEKIYSSGWPSNVITDAQKDAYCKAYFDKEQIRLTDYSRFVKNPGKRAVAKLMLNSLWGKFAQNVDRETTEIVIDPMEFWKLVYDTNVVITIVRCVNDVLVVKYRKQHETLQSLKTNAMQLASFTTSYARLRLYRFMELVGGENIIYTDTDSIIYSVPAGTEDPLKDEVGSYLGQLTDELNGEMTEFVSLGPKTYCYKDLLDNKEEKIVRKAKGIIMNSQVEKEVNFEKMKIMVDEAIENAEARTTLYLPQHTIRRDNSHKMYSQNIKKVFKYTFNKRRLLADGTTLPFGYCQL >CRE13762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig301:44140:45845:1 gene:WBGene00072176 transcript:CRE13762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13762 MSALLIWDVVNEVLLNNNSPKKRQLDRRASKLVQHLVSILHEYEQGELEMEESSEVDRVEDVEMDHNYDPSIDSDVRVLLPTDPVNPKMCLIGDQLVDINDAEKAVAYYGSFGDAKRNGKTRPSLNTVNKKFPFIKTEMHLRRLADLEKYGVEINANNAIQFMSEEMYKKVSQHLDDGHIIHDRDLRQIAMDIVAQNNLQIRFSASSSWIDAWKRAHRISSRRITKFVSRKRFVDAAEIQKKSEECVKQVKTLMTGYSNHQVYNADQSGFVFEMHTMRTLARTGVKDVPVVVRSESNMKRSYTVMPMINADGGFAPKMFVVLKEPGGKLPAKGHFPVPNLVVKAYTTHMMTKGLMLEFFQECVFDKDMPADLLLLLDSWTSWKDIAAIDSVKPASTSLKTVTIPPGCTGKIQPLDVGVFGQFKKIIKAINAYAQRNYPNFHVAVRDNILKVSPKVISLVFWQISHLSLKEWVKEAWFHSGYLDSHPLPYDTPFDLLFPLDVAGHCEIPSCKNSSFIRCLYCQKNICFSHFIEDYHYSKCK >CRE13765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig301:29984:31301:-1 gene:WBGene00072177 transcript:CRE13765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13765 MEDPKKVAAETESYRKIAFAGIAISTVATLTAILAVPMLYNYMQHVQSSLQTEVDFCQHRTDGLWDEYKNFQTLHGVNGRIRRAARRHTGEVTRSKKIRRQNEDEEVEIIYVDEEGNEVEDQTPTAAPTATPAPVAQAQVPASSFAAAPPAHRAPAPASSSSGSCCSCGVGPAGPPGQPGQDGAPGNDGNPGAPGHPGQDAAEDSHASADSFCFDCPAGPPGPSGAPGQKGPSGAPGAPGQSGGAARPGPPGPAGPPGPSGNPGSNGNPGAPGAPGQLVDVPGTPGPAGPPGPPGPAGAPGQPGQSGGASQPGQPGPQGDSGAPGQPGAPGQPGQPGQDGDSGSEGACDHCPPPRTAPGY >CRE13761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig301:25744:28885:1 gene:WBGene00072178 transcript:CRE13761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13761 MLATTPHRPRRHVQMSDFGFGRPRLQSSRAKTPREYISVSSDEETTEPPPKKHRVGSPLVVCLSDSDVDSDVESIIILDDSDVDSDASIICLGTDDGSDSDVEVRQVIWNVDLTADDMPEIVDLEGSFESCSSILGFFLSDSENDIQEMPIDVTSAPIENLENLKVPPGTPESESSNKENSEMVVKQVSENSKTPKPGLSQGVYRNLRARPSISISSATKPKAQCSKRKSKRLSPQAPPPSDSGAGKDDYAIGDEYQAKIPLLLDTDPNDDYGDDNEYEEEIWTPKRFEVKDSEKRKEMEDSFNEQIRSVYWLAIWRQFKGRILFEDALQNLKKHRYDFAASLQTIDQVMKKRPNLMKHPCMGQATRMAKHGLNEMVTMRELQKTLLPNFHLSEVHYYRYQFVRFFMFQHYWDRPCLCKDALCKPLDFEPRFGCSNCAKDWRHFEKGDPMCLICQTYKNLTGEMRPVKNTYFTKEEKELIVRWNEMQMESGKVLKREEFEKLIEEEKVKRWMNLEITEEEKLMMNFQDPKNVERYSKIAAKGEYLVSKLKPFVLPLFPACKCDESEESKLMIEKENLIVPKVNFFYNEKKKIGTFRSQIQNPVYVFKFEKKFNPWVDEEMYKKRRKTTNRRNRRK >CRE13764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig301:21757:24461:-1 gene:WBGene00072179 transcript:CRE13764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13764 MSTKRVRLTTRGLLLRIEKRIKLDTGCNEVDKDSELGLIDQENVSERCLKELSENVFSDTDEKFEQEEEHLDSLERRDYAWRMLSNQDLNRLYFLLTTTQSKSATNRYFNCEGLESEKYMKTILYDTSSISTITICARCGEDVICVNSKCLCGADSVTSEINFCNLEDRLLSMIEIYGKKMLKSHNDIISGRTSSSPMACGLLRDQLLKEYNEGMTKIHFITGFDGIKLHNRGKLKCWVHTMVPLDLEDKDRASPRATILTALYFSSSDPSFKVHDRITKWIQSLFSNGLVWNRKSLIFHLQTGVHDDQARRKLYNLRGHSSKGSCPYCLNQQTLCKINDNNAVLRQSIQNVPDDMEDGLTVRGYNTFFHSIAPMYECPIDLFHTFHEGAFEKIINEVYCNSKHDCSRLCEISSDANPCLPSRFRQLSGRIREITGSERSLVLAKVFYNQLKLVFQLFESTVVASSLISFPGVPSAIVFAIHVLYRLNIDPASVTDRRLSAKVEAVCKAIAPLIVQFAPNLINGSKLHVSGKLINNTKFMIPFQQIIYHLSESVKRYGSLAPVSTQGHEHLYHTLQRTLCTEITNGIGKCLLRNVAAVQELQSEFIRRTDENPGILYDCTHAQKLADYYGMSPRDVAVYPSVPPDDLYELVEDDDDFLNTQYINGVRYSSLTKGKTDDTNVMFRKTNSVGYGKILGFLRNRNGCDIRVIIKPYKLSEIHLRRMATNLMNASITREQTQSLIDVFCDPVFGAVVSGHADIIVITTREIIGHAILMTISNTSLVLPFSTRILSS >CRE13760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig301:14886:18350:1 gene:WBGene00072181 transcript:CRE13760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13760 MQPNRPPAMPHFRQGLRRAVPMNTAPSRPGYDISIRNAASARPNELIYQRFHAPARPPGPPVCITLDSDGEEVEPVAKKARQSTDEVICLDSDTDQADSDSDDVIILDSDSDANLESDSDDVIHVQTITYSRVGDKSHEGLQVGVDAVKAEPKPEPAENPGTSHPTTPLGDESETAQSGLVQVAAVIEDERTDVLEGMPDSEPEPELMPEPELVEHSESSHEDTQDEAQAMPVQLAAENPSEVLEVVLEQGPETFEESESEDRPILPSPQTPETGAQQDKPTTLSTDGSKPADESEPALSETSSELGRQGDELATPTPDVSARTDDEPDRDVLVEVHDLPNASAISELGPAEQSESENKENQGADTSDTTPQRILRSQISKTSPSQPEVKESIYANKKIREGADYQTVMQPLLEDHEPPSVHYDKECEERIWSPRIFDVEPPEMEDLFIEQTRVVYWKAIWRQFKGRILFEDALQHLMENDYDFAASLETIDRCLDKRPNLMKHPCIAQAARLVTHGLKETVSMRRLRKLALPNFQLPEVHHYSFKFLNLCLFSKFYESKCLCEEALCKPMDFEPRYGCSNCTKRPIEGNPLCLICQTYQELTGEKRPARNVYYTDEEKELIEKWGQMEEQRLGRNLTREEFEKLLEKEKVKKWMKLEITEEEKLMLNFQHPKTAESYSRMSNKVKAKHFVKYLKPFVLPLFPACKCDQSKERQLMIEKEHLFVPEIPNEPEYIREEEFNPWVDG >CRE19279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:1592:12315:1 gene:WBGene00072182 transcript:CRE19279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mes-3 description:CRE-MES-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MX50] MRNVMRGSLVVEKKAKCKRREMTHLEEIIKTLEEEMMKEKDLHDLCCININQVKCWNTQSDTENWATDHASVQTFVLIVDDNDRVTVIDTGLSSVSLATTSLKETISCDNWKNVKATSAEINNAKHIYYAVVARRMTDKQVAKRGASRKPITSDNTHISDPSTLNQMVSAGCIRMYEKGRPNRMDNGMSRVILVEKEDSPVLEVALSTDWMLNPRHLETLHEVNVTKQDSHLPRIVFYSNSPKMGETILATTPSTPVKKPESPRSLWFKGPNGLLPKDELNWLAKDEDEAHANRRVKSRFPCYSLYNGYFYGDTAYFTDTSLRYYDDFCLYRRSRDRGIVAGRRATGRVDTTARNVGVRRRQVFGLDFVTSGAGNYFESVIYQPRRDHEAIPPIFYEAPVRKAGKKSTKPVIVLSPRPNKESYPNNNAKLFTELHFQDTMTYDIPEELRVGTSDMAVVKIKPTENFIKVWNHKLENQPVIRKSKKNKKKVVVVPPRRPNPENRPDESDRDLDGRKIPTFSQMFFPSGKTEIYDMMLRFYLSMTGIRPGSFSADFKSRLLAGFISEYSDYVFQYNLGDMFEKQVQLIGLYTLDWKKHHYDLPRDKFFELKAEWEQKQQPKP >CRE20997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig314:56419:64894:-1 gene:WBGene00072183 transcript:CRE20997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyf-1 description:CRE-DYF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJ17] MNAMLNIKEGEFTSTIYGYIHEQKFNEAIRILQYQHERNPKNLAALSLLAYCYYYTQDFMNAADCYSQLSYNYPNHSQYKLYHAQALYNAFRPADALAVISMIQDETLLNESVKLEAAIKYQEDDLVNCRILVEQLPADDAAVLINTACIDYKESNYEEALKKFNEATEFSGYQSGLAYSIALCHYRRRDYESAFNLVDEIINRAVINNPEFNIGQGLEGHDGNFIQNSQKLHESAIIEAFNLKFAMHYRVKDFKAAKECLDDMPPRNEHDNDPITLHNTAICNANSDFGDSSAKLQFLLGINPFPQETFANLLFLYCKNDYFGLAADVLAENPSHTFYCLNEYQFNLLEALIYMPTNPEESLKKLEKLEKECLEKLRKIAIEIQVKKEQNKTEDDTSLEMRNLIASYDDSLELYLPVLMTYAKHYWDKRDYKVVEKIFRNSVDFCQNHDTWKLNVGHTIFMQEKKYHEATAFYEAIVKKKYDDILEVPAMILANLVVCYIMTNQTDDAEAMLKRVENEEEQAALVRPNEKFFHNSIISLVIGSLYCSKGNFEFGISRVVKALDPPEKKLGVDTWYYAKRCIVAAIEMMAKNLLVMRDSVVMDVIQFLSSCEVPGRNIYTVPDDLFEQAGESKVKCNVTYEARMLKAALLMVFND >CRE20992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig314:51114:55657:1 gene:WBGene00072184 transcript:CRE20992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20992 MQNQFNYGHERRNFGRFGQLKRFTTASTIPSEYVEAGPKTSDSLISIDSSLSSLGSHWKKMPSLSSMEIESIRSAAKSVTSTPVDSTTPVASTIVPSAFSSSSSSSYSSSTLSSKNSSSDTAEWRYNSEDLSESTTVTSSTVSTASFNTIDVREAKTCLGLQVQGLEELDKTLEKSSQQFRDSFLQQSSALKEFMKEGDAKLVEASKFCEKIEFIGNDMIEMLQERSEELVDTITKTETVFQKKSKMERFVRRAEESYTKNEKTLAMISDNEKLLSGIRQHLSPRANTNNIKTANKPEDKRLSVVFKGLIEMVGTLPLTELERLDFEHYVRKLGGEPKRAQCEKIFRHVMAVLNTWDSPPKSWMSSFDSDAWKSCRTLSSAGGSKVFEDSSFALSDDSNLNDLDGELRGARVRMAVEGPNGVLEGSPWSTASNYSGWSTDSSVRDSIQSLNDPMTLSSYEMKTSVGESTLSSLSTTSMPNQTFDDAHRQLIDSMKTALSVSDVIKARPPRSSNQSITDSAHFSSLSDLGDEGLHTAKELSNHLLGVSDFSSMSLSDTQSSHPSVH >CRE20991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig314:44973:50033:1 gene:WBGene00072185 transcript:CRE20991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20991 MGSSPSKRSDPVEELRKEKPGPVEKWAEHVDRNPTDDIKEEIPKKGGGKRNAKVVPTSDPPLPKGGKLKLTEGVVQDYINIEKAINRLERKNVLKKFESQTIYADNLKNTVEQLEAVLKELRKQTEREKTDLKNIEQPSVRDFLKQQGQWDERYQKEKSEYEDAMVRQETVQKELNIARVNYDNAVKIQEIYKQQTDNLNGLYEEQDKMLEAIFGTDYASEKENVLEGEVDDMVDWQQRVSLAMFKWANGRVLLVHALTQLTFGINRWQDVQKIEKENERGRYFAAAEARNNFVAAAQNVQSCRMYLNKVDFPYGTEKEIALLEDTVNKAFRNVDNDSEMKKALKVFQETQQKVASLIQWFDKVINDTIRKDLDQANNELIKKQKALREERLTLMKRLAKAQLDVDMKIEYDDVADDELERELKELERQALRDVEKLKEADVQGILDLSKQGGNVVTPLNKLAPLPSKDALFGDVKQKLDEYDETRKQFERRNNLQREKQSIALQEKLKLRQQKNRRNRKERRDSIGASGVVEQAVTKTGKKKATRDH >CRE20990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig314:41385:42950:1 gene:WBGene00072186 transcript:CRE20990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20990 MADIIEMVEVTGEAGMLGRPAFIEQHTREMKVELMDEEEQRIPVINLEDDDEEEDFEALIADWERRERRNPFFNFEVDLEAVAHGAGGLAAMLARRDEEVRIVREIRYIRAANRGIVEEREDEEAGGDDDHGGAPEPRPPIAAIRLPALNDNVYVNIPPPMDLQELLFGPHVEANEAPIDEIDDAEDSGEDSEVEEDSGEEDHVEFSGDDGSEVDEEDESMVVHVESESEKDSEDDDDEEEMEEDEGEAGNVGGRGEGGEYRYFIPPRLPSFQFPVADSGEESDVDEEDEEDEEMEEDSEAEVDVDSEAEAERDDEGENVEESGGDDDREAESDPENSMMIIDAEDYYGHDDFDADADPDADAESVNGGEGGECDANNKEMIIDDENPIRLPSFRYPRFVLPDSEVVGNGEEENNGHVELEDAGNDVDDSDVSTLVLAESESGEDSEDDDVMVIDQDDSDIEIIEAEDDDDIMIVDEIPPRPRPVLNIPYGRVQQNRPRPPVIDLEDFDDEGEEEIVVLRG >CRE20995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig314:4951:13213:-1 gene:WBGene00072187 transcript:CRE20995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snf-1 description:CRE-SNF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJ11] MWFEESSWSNLRLTDMGHVEEWRDLWPTKIEFIVSAMAYLFAMTNFLNLPKLILDNGGVAFAAAYAAVVGILCMPTMIMEMSIGQVTGRAPVLAFYHLFPVFKGIGVAQILFTLVVLACMTKFLSTLCLFLYYFTWTITVHREGLPWLNCKQFPEFVSHPCREAGSITNITQINNRLNTIQAESSMMQFLLTLERPSESIADFKDFQYSILISQGAIWLGVFICICFGVRWVGKPISVCLMLAFATLLVFFLRSATLGGVTEILEIYWKATDWERLYDYRVWRLAVEQAILGTGIGYGAFITMSSYMKRHNNLVTDSIFLSLWHLIITFVQTMSVICIVGFLSQKLGIHPSELLETGEDQMWYMLAYVSYLPRLWSAILLAVSIFTMFSVIVILALSVLSTVEDSFGANWSKCCRRFMLALFVCAFCYGLTVYFATQAGRHAYELATRSIKYCTIYFILTAELFATAWFYCAHKLGRDLHSMMKSKCCSCFGHFFLYFTYLLPILPAGVAFLNAMDYKFTSFSAPIHEWKYSEYVGIAIAMVPLLPIPLYFFMTILCACCCKGKDHQKTCKRIRGVFGSRLQNQHHQRNEKSQPIPRYTSNAPGYLLLPQAPLAEPEIYA >CRE20994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig314:3520:3888:-1 gene:WBGene00072188 transcript:CRE20994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20994 MTGQKNETDLLIMALLLVVFPPLGVLLKSNGFRPPVFASFFLYFLFIIPSYIFSVWYCFVEERKDSILPLSSGEYNNNINSISAIVTHKDIQVY >CRE24272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig316:4248:18608:1 gene:WBGene00072189 transcript:CRE24272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rrf-4.2 MSSITTSYVKLAVAGNNENGQNSHIDGQEKLLVQCFKEHRIEATIDGERLKVSGECEDPFFETTFQIKSGSYGFTAEFLNVISQLTFQMKQKFGGQSGTVILHHPALFDERLHQSHRNVPTESVLFGNFWNNEFYNHWNICFNNPAPRRPRNHLTIHTHFHFDSQSPVTVEITVKEAGQQVKYRISIEGDSIRQLVVDQNVDQNYGRSKKRIYFVLKTPVTVKRLVADKKTYPERVLKMSSVSSEKVLTENRVFCLQFGAKTFDLHQFHIILSRIRCRWAVPIEFGRFFENNFGFQAAGLYILDNPWHDLTGFQNFITTLFPTPAEGAESKLTDRVEERRFTYTYLIEALLSRGSAVKDQLLLDRQRWHDFLSIIKEHVNDDDANESFYQRHTLCESALEDLLNHVDSRPRLGDLLKVFKRLCLSRRESGLSNQVSEEEWSQGYRKVRKVILTPTRKIYLAPEKIMANRAIRGACHDGTRIIRATLRDDNLQKMRFNLLGNLQGEITLGHLRDGFGIMERAFGYLASSNSQMREGGAYFMERYDAEQLAEYKEEHPGEPVPHSFKPMIKEYWNVLGKFVDTGSIPKALARLGQCFTQARPIDNVSISPTDYKMIPDAIGGKNTEGKPYMYTDGVGCISAGLAEQIFGSLGIKHVPCAFQFRFRGFKGMLAIDPNIDHTANHWESLGLRYHRIKCLFRKSQLKFESCQEDEQMEMVKWSSPTPVTLNKPFINILDQVSGLQSLECHKRVSGRVEELLSMEMDNYSAAVVNEEKCREVLEGMPRRIHFASMERKNGFVLSTEPFFRSLVKATIDSAMSRLLRKLQIPIPGNLGRSMFGITDETGQLQYGQVFVRYTENVNEKHPSRHKKTITLTGKVLVTKFPSLCEGDVRMYEAVDIPELHHLQDVIVFPQTGPRSQPDEMAGSDLDGDEYAVIWDPQLFFERNQKAFEYSSEKPDQHFAAEEMDDGFHWFYTEYAKQEDVGVTSINHLHQSDQFGINSDVCRSIAIKNGMALDYAKSGVAPPPLTSVWSSEGPPERSERRPDYANNRYNGSPSYQSSRLLGQLYRELRCVNDVCSEDSKQVPVAIDPLLTWQGWEEFVDGAHLHMTRYNAEVRSIMDTYGVATEAEIFSGCYREIRNRHSEKEQDDMSIYDTESVIETQMTRVFRKYREQFFEEFAPGDNGYMRLTEVENPRDDEEEKDVLRRVCRQPTGRMMAKAVAYYRDCYTAAQNSVDCRLSFAWIAYDILNTVRGKNLLNSGDMAPVTRLPQFQAICDHRKTYIDDDKNTPNSKTKLGEFISRLISSENPIGEPLGEQEKQAKEIIMIYVRKYSKLHECLFIIEQWARAKGLLSDACLKWYHLSLLVIMVATNKLGSLIDDENQTDTDTTTIIDFIKPTEYMNTVTRKLSEGDLDRLTLSFFHYLSTREFRRLRILNFSTIGLKSVFMRGEWMGYHTAATQTYFNILLNLRLDELPISTESCVERRSEIREGDPFILELPFGFDRDNLLKKLRENSKCVEIQGRVDGKYIEGIERIVISCRGTIEAINELRDFAIIKLPRHQWQQNESMSKALAHIVYHKIMGEKFQKSVDEKSNRQPENQYFQRDLNSGGPRRQYSAPTQIGRRPRGVHHGHRFYSNL >CRE24271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig316:135:1664:1 gene:WBGene00072190 transcript:CRE24271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24271 MRAMHQLDNDTCVEEERDEDADVEEEPIGNGMDGEQEEEYDGDKEETLLEEDEDGDMPNLTLHEEYVATEESEVVDDAEMIETTAMSPAKPTLVV >CRE13116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig300:3319:9226:1 gene:WBGene00072191 transcript:CRE13116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-38 description:CRE-UNC-38 protein [Source:UniProtKB/TrEMBL;Acc:E3NIM0] MNWLRSDSVCRVVCRTRSTELPSTSPNKPLTIKLKLRLSQIIDVHEIDQIMTCSVWLKQTWIDRKLSWDPVNYGGVNVLYVPYEMIWVPDIVLYNNADSNYNITISTKATLHYTGEVTWEPPAIFKSMCQIDVRWFPFDEQQCHLKFGSWTFSENLLSVELNEPSLRFEEEIDDKGIVDNVTVADDGIDLSDYYPSVEWDIMSRVAKRRAKNYPSCCPQNAYIDVTYYLQLRRKPLFYTVNLVFPCVGISFLTILVFYLPSDSGEKVTLCISILVALTIFFLLLTEIIPATSITLPLIGKYLLFTMVMVTLSVVVTVVSLNLHFRTPTTHLMPNWVKKVFLKWLPKLLFMRRPIDDYEEKFDEKKKPKDGKIALNVHAHRVSKDVGHKLKNATIDDTIQKMYYSPPVVKAFENICFIAELLKKKDRDDKIDEDWKYVAMVLDRLFLLIFSIACFVGTVIILLRAPTLYDTRSPIDLQYRPANLSANPIINV >CRE13120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig300:9405:12759:-1 gene:WBGene00072192 transcript:CRE13120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13120 MASTVISVASFDIKTLKTYYLKVDMEFDNRKNAALAILNKLCRDFLKVAEQFGGCLALPRRDFCTILVILSPEFMKNEKIIDFLEKQHLTIKLECECDSSVIEESLPFAVFWFMERVKWFRIGDCFVQDGFLWWKQTNLPRIQIKTHCSETGNIYIKFTAEHIRIHPFEHWILDSDERFSDLTSGPISRQIRPRRVACLPKLGKGQIVKIHRKIPEAPFENYEQMMSYWKKSYGYDLPPAEPEVYYDILFHNGQTMLYPLYCVLTGPPDVLPLRSNSKVTKESLETFLKAFREADIEILGQKFNAGTEQDCQAAKCYMEVMSMRPNSKLPKLEKVHRKNSEEMPQIRKIYPKIPIGVKRSISSSTEPPVKRERRGFSIPVE >CRE13122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig300:23883:26371:-1 gene:WBGene00072194 transcript:CRE13122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13122 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3NIM3] MANKEKLPEEDINLPYYHGALMNQDADQLLVNDGDYMIVMKMSPELNKMQLFLTVRLKKGIRRYEIKRTPSSAKFANKSASNIGKLVDMLIKETVEVKGERVILKRAIPKGKFQLMHKDVDFKKKLGSGAYGTVYRGRLTKTNTKIAVKKLDTEGNDEEMLAEMMKEARVMQLYDHPNIVKFYGYILDDIPYLLVLEYCNGGSVEDRLVEKGAKLSTQTRSLYTYHAACGIDYLHKKKCIHRDIAARNCLIHKDIVKIADFGMCRATSIYKVDLNKPTNIRWLAPEVWDNGETRANTDIYAFAVMMWEFYEVPYDTPYSAWKGYVVKQKTRGGYRLPTPAGMPPEIEEIMKECWHVDPNKRPTASELKQKLEEAIIKGEGSAATGLEKSKSQTSNQN >CRE13123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig300:27026:36172:-1 gene:WBGene00072195 transcript:CRE13123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13123 MCGSLNRQCHLLKGHSEHRSNEWKEQEVLRSCVQALEGRCSRRSEYAHQQKMAVKQLVAKIEVILLNELSGYVERRNEPDRRLISRLNRELINIDSKDDRPSIYHVPSPSRPAWKTWNLDVSDPTKMQKVLDMTADIMRLPRHSVTESDIPEIKSSSDWDARLMSLFICESIGIVPVQVSDARSDIMSVTTEDRRRGCSVDSSVSRFVREGPYVSSFREKSIPLEETPRLGSVSSSSICTVDTRPSNVSSLPPLTDYNSHASSILSSSITPTPREEDETSSFCFGKSYLTRPICVEAFSTQTRPNKLAVTDSCGGVYITNSRGEIEQNILIKNSSASSVCVDEKNELMYVSVMQSKGRSIHVFDVAANCRKVEVIACPRDPKIEMSRTRWITVGPRGHLFMTSGDNIKSALWTYIRSKKAWKLLKESRKSRYQYLTVAEDQADYKAVVLLTCDAANNRLLLFVIDHSMSLINEYDLSKTYRLNEHVHSPASAIVDKNGNLLILDYASGKLWILLSGVKGVRRLKQVSLPEIVRPQEALGICSRGDVLYLALFNRREILCTRYLEDGVFKSAPATSSPIQQRRSSSLPRT >CRE13117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig300:42984:47064:1 gene:WBGene00072196 transcript:CRE13117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glc-2 description:CRE-GLC-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NIM5] MVLLFSVKEATEHNAIMTFLSYFIIHHQILLLLMFPVGIHGEYSMRSEQEILNTLLKNYDMRVRPPPANSSTEGAVNVRVNIMIRMLSKIDVVNMEYSIQLTFREQWIDPRLAYEHLGFYNPPAYLTVPHVKKSLWIPDTFFPTEKAAHRHLIDMENMFLRIYLNGTVLYSSRISLTSSCPMRLQLYPLDYQSCNFDLVSYAHTMNDIMYEWDPDKPVQLKPGVGLDLPNFVLQNITTNADCTSHTNTGSYGCLRMNLLLKRQFSYYLVQLYAPTTMIVIVSWVSFWIDLHSTAGRVALGVTTLLTMTTMQSAINAKLPPVSYVKVVDVWLGACQAFVFGALLEYAFVSYQDSARQNDRSREKAARKAQKRREKLEMVDAEVYQPPCTCHTVRFENARKKQKNTANTLTTKPNLRRVFEARETFRDKVRRYFTKPDYLPAKIDFYARFVVPLAFVAFNIIYWVSCLIMSANASTPESLV >CRE13118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig300:58650:62706:1 gene:WBGene00072198 transcript:CRE13118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ctg-1 description:CRE-CTG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NIM6] MLVSPTATATSIEQQTIHDLRSILPQIDNLNDGYVLRWLRAKGGRFDETAESLRKHVTFRNAWHLDTIHQWTPPECLEKYCGYGLLGDTEGRPILMSLLGNVDVEGLLRSVASLDYIKFSLAAIEKGMKLCEQKAAESGRPFEQMTLVFDLENITSAHFSCKQFASSFTTLVSLFQDHYPMVLRKILIIRAPEMARIAYASITAILQDPITRLVEMPSESDWKWSLAQIVNLEGWPMYWGGNLVENGDPKCPSRIKYGGGAVDESYFVDPKKAMADYDQLTTVYAGDKHLIQIKVKRPSRISWTYMTDEDDIGFEIHYDKTGACDKLTEMETVYPYIRLECTNVPITGHLDVTDVGNYVLDFDNYYSWFSAKQLRYNIEIEDL >CRE13119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig300:63167:64342:1 gene:WBGene00072199 transcript:CRE13119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13119 MRALIYLAILLIFDLSQSQNPPPSTVALKETRYLVHKHQPTIAPCKYGVQRAFDQPHFLVAEKDKSGRFETKGKCCEKCEMIRTVLPGTNTYGILCCLPDTPYKHGLIATTFEKTKGKKALTKLVHPLAIVFDSIVPKSLSPAKFREQQGAKKPRTGTGAYGAAFLGAAAAQLIILVLTVVFWHLTKQRIQLETVADADYTIEEEVRVFNPSKSAIMSDNMNSDLMSMSQALA >CRE28848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:168308:175405:1 gene:WBGene00072201 transcript:CRE28848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28848 MAEDGARPEIKLASVFYEGKPLVIPGYQLHVSIQVTQILHKMKKMIPHLFEKFPQKFHDEKGLKLQEVLDVVDLYNKVAKPHANTWSGSYNEGILGEWGNPKCSDAVAEDIVMWAYGMGIKRPLDLNNHYKSFSAETDVSLRQKTRKIRDLARRHARREVSKTDLRRGDHLIHQQFDVRREVDVPAEINPSRPETGHQSDHNEASVRYSTNRFVGSKSRRYHALSETNVLTSLVGGVSWTQKAVPFYLTIMRQDKLEKYFEQKKTSEETERKPKRRREKEDVDSSGEKRKKTKRRRQESEDSEDSEDVTMKARNSRLDSGDAVXXXXPEASEDIVKDSEDVETSEAPNSIRMTPEYSPEGPPPLQKRVTLDSLLEAQFSPQKPSESPQFDLESKIFEIQMRSEAPDDVMTWLQTPESSILILDDEKMNPETPEDVRILKSIDFDATIETMTSDDVTNVLKSLEDSEDVTTSEVVVVKDSEASGDVKDSEVQEIDVNMDSEAPEVVVTAPEAPGDVKTPEFPVLNVVNMDSEAPEVIVKDSEFPVLNVVNMSSEAPEVVVKDSESSVFNVEKMDSKAPESVDVMAPESPVLNVTMHSESPVFNVVNMDSEAPESVDVKVISMTSDDMILKTSEIQEAPDDVTMVLKTSKAPEVVVKDSESPVFNVVNMDSEAPESVDVKVISMTSDDMILKTSEIQEAPDDVTMVLKTSEAPEVVVKDSESPVFNVVNMDSEAPESVDVKVISMTSDDMILKTSEIQEAPDDVTMILKTSEMQEIDVKMDSESPVFNVTMDSEASGGEKIIQMDSEAPEVDVTAPXXXXFSRPFDPNFTIHELSDTTPSSMDREEAPWEQLGLNEEIPGAAGVPPEADDQFFDDVDYEMENFPMMAERNRTIDHGEEDRMDVEEMAPVPVGYPDEFQNKENNPEEEGSDPFGGSSMSYTNKRGCLESYGFGAQKPCEEDEGKWTKRANHILKKVSSEIEASGSAVFSTITESAKTRKQAAEQFYSLLSLAKSQAITVEQAEPYGEIVIRAGNQFHVALTSSGSSGAIGEETMPRTPMRPLDIV >CRE28897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:164270:166084:-1 gene:WBGene00072202 transcript:CRE28897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28897 MTEESRKGHGDSQGSNDVKEDENEATSTRLIDRFARNSRSRGGQRDFIEEWSHRNLLDGRKDHSKIELYDDIFSQGRTSEGRIEGPKSRMSQDSILENEVVTDEDIESIVYDETTLLETEPEITSESLIPIGESDVRASRREDDQSLKNSKDRNEMMAEAQKQEDVKEAVNAFMKSIDDRIESSNSKSSKKLKEAKKKLEEAADQYKAGESSEAEAKFLRNIIRYQSAAQQVLTETIDEELEDQSKLIDEVMTTSEELAKKNEELMLKLKEMKTGEQEKDRPACFFCESTKHSAIVCYQFRDYEERTNMLIADDKCLLCFRTGHQKKDCADSARYENCKRCKEEKHHVSICPKYATKERTEMKQARGPSQKKEDEQGDMSGRHKEEWKELDGPKQSSSEAKKQRQPILPP >CRE28896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:159090:163287:-1 gene:WBGene00072203 transcript:CRE28896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28896 MYKGLTDFKRKVTLQTNKGASEAAEAEVFLNELRDTATILEIVKRREAVLMKRDIIASFENEAETMLREDHVMNETEATVREFGREMRDHMEKHRCKDIVDQLTEYAERLQLLVEVRESEIVRRRDVSANDGAPPLYDLPSNQGESSNQGPTFGMEQGPPPYDDIAERAMPPSTVVRRPTVTTQPLFPRRQEEESTRNGNVLGRAQSMDITNARRIENHATDTRFTAIESAQQSLMETLNVIRDTITALNDNATRTHSATEERFQQIQQVLRGIGQNPAQSERDRCNIPLARSQVDLIPQLPTQPTQRGQTHREAISQMNGARRDLVTQPQYTEPSSRGSYASNSLHVMGSNPEEIKLNIKLDQMKASATTAVLATMKPFSGEVYEYTTFIGQFECLVQNNRSIDYPMKQAILIKLLPDHLALEHQTPQMNEDNYWIIRQNLDRQFNRQSNQVVAILQKIEETTFPQHDLDLLKSSLNSFANQASKLRPFGFNTNDPYFMFNFVRKLPEKIRRVTQTVLQRGNTTFNELMNIAQEWISTEQSLSFGGGRRLGGYDQSYTAAINQVSSSGGPGQQQLQDNNEHRAMQGRTRSESCGDDFNTAINQVSSSRGNGQQPHQRPESGKHTVSKYKRWTRFNPPSKTKPCRCFEETGLKPPNSKDLNFHTNTVSSCKSLNTSPGPHVKSFINTNLTNVSSLYQNKPKQEEVDEPSSTVTWDTLSKAEDFEMEMKSIKQINSNNELLEIEVFKITDAHSQLPFIQLQTPSKQRLLALVDSGAQTSIISTAAAERLQLEVVGKRMTAYTGFTGKSEPALCKFYKLEMTDLDGNKWATVTPSYPEMSTMFRAPNHSKEDIKFLKERGMDKEGITDLIQFDGTPVDMLLGNNIINKIRRMQKTEMVYLPSGRAIEKMFIGFINHPPILDDSLVPIGNRFNINVLDEPEQVWIHTVDSLDIDQNQMKTKDHSIISNRKLEQQLEQLWNLELLGIEPPPAKADKEALNNQLLQEQKALIIIGKDGILRIALPFNGKQKRLHNNLPVAKKRLISILGHELKEKKERDAYDKIIRKQLNEGIIEEVPADSEEDGPEYHVPHRGVVKNDSLTTNLRIVFDASSHMRNELSLNDTLYPGPSILQPILGILLRSRLSKYMMVADLEKAFHQVHVQEKFRNVTKFLWLRNPDKGYTEDNLVTYRFTRLPFGVTCSPFLLAITILRYMELDVQEINPRIIENLYVDNTMFTSNSKEDMERCYKQSKAVFKKMHMNLREFLCNVPDVMDIIDEKDRSPQQSCKLLGHKWNADTDKLTIKIAEPPEGIPTR >CRE28895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:156285:158813:-1 gene:WBGene00072204 transcript:CRE28895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28895 MYMRYGYEDGKFQSRLIFAKSKIRPSNSGPEFTIPRMELMSMKIATNAAVNITKELHIKLDNVVFWSDSTCCLYWILSKVNNDRGSIWVANRVNKIHKNLAELRDLSPQLRYVSTDKNPADIATRGCSLEELKVNRLWSHGPKFLEETEESWPKKLEGTLADPYAFREYAINLGIIKKTDHVKVNTPTPTIYESIVPYNRTNNISKMTIWMAKVMDFVCQLVKRRNKRSPKQPILFQGRMKEYNEAIEAREADVRLKLAKKFIIRDHYVDAERRLKAVPPTEFYPILHEDGTWRYQTRFHAAEDQRLTPEMKLPTIIISEHPLAKLLVMESHQKLKHQGVQDLVCDVQQRYWMKNLITIAREVRRTCATCRRKHAKPFKYDYTRILPQSRTTMIAPFKFIGLDYIGPLQYKRSSGCGKIWILLVTCLVTRAVHLEVVTDHTTLGFLNAVKRVFARRGVPSHILSDNAPEFKLGYTMINQDLRTLVNRDNNLTSFIAQNEITIKLITPLSPWQGGIYERLVALVKNILTKELGKEIRPFLEMETLVIEAEAIINSRPITPNKRDGNDTKAIRPVDFLNPDACLSLPESTEEIVTRFKTGETERITRQLLGNLGRVKEHLWDTFAKSYFQSLRDVHLTKMPHSKNYPKQGQLVFVDLPTTKSRQHWPIGRIISISRSLDNKPRSAMVKLGNKILEKPINQLYPLEDPGVFDEETDGKITVPEAESSRSTILQQEDKQPEQSTAPEKPKRGRPRKVVNAEVPEDVPKLNQETLNKKSRKINSRHPDEKPMKGGHQDISERTKRYLRRTTKEAGPSEKQTTSTSLHSVDLPPPECRGYTSLDISST >CRE28843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:106983:107929:1 gene:WBGene00072206 transcript:CRE28843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28843 MSLPIADLKRKATPGEVDCYRDSFNSKSSESKQKQIDDNKEVCKEWAATDSYSDKDSFGYGVCCDIFDLCGGMSGWVIFLIILIILLCLAGAAAAFYFFYYKRKMGGSDEEKEIESADTVNSKHDISVDSY >CRE28892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:134801:137012:-1 gene:WBGene00072207 transcript:CRE28892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28892 MLQGLELCTKETPFASTAYSGGPLIEQRTVLREGICRREREKERERERERERERERERERERERERETISVRLGSRLLPLPSPSLSLALIDFASFIIEAILAMQPMLSRFPLRKLPFVALKHVIKIMEIDEIIKIAITSRYMEFIVKFCYIRIRQTMVHFVGKFSFISLNDPAVMLFLCTKSTSEPKVTKRDLKPWLSKTSTTLENTRQVFTRIYKLFQCGPFKLSIFPSTQNAKEILETPEFRNFKALILYGGHFKKEQLDEVMEFEREDQNMHILESEIPEDYYHPNLFKYAGVHYCDARWIRLEHLLSIKNNGVIKLGKNNLTIPDINKFLHHWMNSEYDLFDCMTIDIVKGATIDLNVLFRGITVLIGYRFGRWQRLISVKSPMTRSLQIMSIVWTDSRIDMSTWFVNERPKQGDRDDEPYTPEFEVLQLLKRKKVLNLKLKRVGEDSLEKQELTRKVNETNNQLQLKGVEFNNGWPFLR >CRE18274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig310:12220:14866:-1 gene:WBGene00072208 transcript:CRE18274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18274 MGQQNSGFVVEIASPRLGRFQAVPQKDPDESNPEWRITVNPAAELVGSPRLRALIDRLYHHQKLLTDFDAKQILSALQCMDPTAELLLPLLTVLSNATAYPSNQLLMREFRLTNRVVEMLPDSKHWPRSTRVILLQCIANMAVSSDNMVSLSHYIDDVMKLALNHIVSRLTSEDEMEVVVAMQALTNLSINIRKEQIPKFVPVIPHCLNRLWIRGEVNLNALRLLVNLSCCPDMVPYLLGNKSVSGLLRILDTDREEVLIRAVTWILCTTSAVDALNLTYDRIAEHNLDPFHNPSHTLFFSIYGPKGREELELQARHLTNHSNKDVASKSVRLLETLANVPPFPMAGNHLNRL >CRE18269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig310:32639:34380:1 gene:WBGene00072209 transcript:CRE18269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18269 MSISIGKRIETSTSIQYILGSFTEARSTSEANETANFAEMSEASCSMSGGGALSPWDFEVMPNQLFVDQVSVFEMPGSSQINPCSACNSEGTIHCFHCRGYGTDKCSFCIGTGMKSEVAHPAVYTHPMIATFPPHSHMQICHVDMHHRRLVRHGGSGSESNSYGIGTPMHFMSKTGVPPPGIGTHDLCYMCHGRGIKECHHCKGGGKKPCTSCSGTGSVRNYTRIKVHFKNEKSEHYTECEIPEKLLLQAEGKRIFEEEQDYIIPISKYPQEDVNNMSKLFCAQHLQKCMGVCRVIRQRHYMNAIPISKVHFSLGNEKGIFYVYGTQKLCFFPNFPSKCVIL >CRE18275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig310:46228:50107:-1 gene:WBGene00072210 transcript:CRE18275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18275 MEAITFGMMNLNRQRHHHCVALRYNIRPWFLQNSTLTRLMSVVSYPKTRKNGPKHQQQVKCNTSTTSFAEFECREALEREVKRHRYWKTKAVKKMNFDRIETSTSIQYILESFTEARSTSEANEAANFAAMSEASCSMSGGGALSPWDFEVMPNQLFVDQVRVFEMPGSSQINPCSACNSEGTIHCFHCRGYGTDKGTGMKSGVAHPAVYTHPMIATFPHADLSRGYASSSTAMVRHGGSGSGSNSYEIGTPMHFMSKTGVPPPGIGTHDLCYMCHGRGIKECHHCKGCGKKPCTSCSGTGSVRNYTRINVYL >CRE18276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig310:54293:60797:-1 gene:WBGene00072211 transcript:CRE18276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ssu-2 description:CRE-SSU-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NIX4] MTDVFNSLQPPDEDLAKHKKFSTTATSKMQYFDDIIPFGEFECREALEREVKRHRYWKTKAVKKMNFDRIETSTSIQYILESFTEARSTSEANEAANFAAMSEASCSMSGGGALSPWDFEVMPNQLFVDQVRVFEMPGSSQINPCSACNSEGTIHCFHCRGYGTDKCSFCRGTGMKSGVAHPAVYTHPMIATFPHADLSRGYASSSTAMVRHGGSGSGSNSYGIGTPMHFMSKTGVPPPGIGTHDLCYMCHGRGIKECHHCKGGGKKPCTSCSGTGSVRNYTRIKVYFKNEKSEHYTECEIPEKLLFQAEGKRIFEEEQDYIIPISKYPQEDVNNMSKLFCAQHLQKCMGVCRVIRQRHYMNAIPISKVHFSLGNEKGIFYVYGTQKLCFFPNFPSKCVIL >CRE26823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig325:10937:21881:1 gene:WBGene00072212 transcript:CRE26823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-34 MSIEDGDDPSCYMVQETTFDYEYDSTFDWGNMTAGSCNCTHPLHKFLEVCITKCHVTDDTVFFSMTDEELFEIALPGFLYLTVFLVGTIGNSMVIFVVNRFKRMRNVTNVFLASLSTADLCLIWFCVPIMFMKYMSHTWSMGRFACYSVHYIQQFTCFCSVLTMTMISFERFLAIAYPMRNIWFSSIGRAKKVILLIWMLSALLAVPTAVRMDYETNLSLSGQRVHWCRRRFPTQFLGYPRTSLNKAYAMYQLLLLIIFPVLTMSICYARVSAIVYKSSKDRVILSQAMVAFSKAATDAVTFSGYSAIPMITTSRNLKTANTTIKSYSNHRNNRVAEANKKQIVQMLISIVCMYTVCWLPTIVDELLTSFGYICRTSNTQTLKHMRMGFNALTYCQSCINPILYAFISQNFRSTFKTAYSRMKHRLQGVEEMRSRMGSCSSASMMSTRNQHRIYGSSFNTLTVPGRSMITPNMSRDVSQLSLCRPTSQMSFCRPKSPIAADSPSTFTRHRPRSPTDVSHDSGRPRSPTDLSQSTKPSRRSSSSIRPRSPTSTSQLSAMVRSRSPTGASDTSSLFPSRTRSPTLQSNTSGQSVERTADRLSVRDAVRPKTPPAVAV >CRE26824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig325:34448:38473:1 gene:WBGene00072213 transcript:CRE26824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glna-1 description:CRE-GLNA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJA1] MSTTMSVPEKGGIFDALRVDSNDPNDSLREESSVTPKIDEKNLEYIGEVLERKKSVVPFLTQTINQLALVRNEKMERAADLICTLFRLPHGSTDCSFFRLIKVLRSFGIWENDPRLKQMFDRMKKHDEENEDCRQWAMSQEKFKEIIFPCTILISRTLRNQLIIPSWLEFTQMIETIFESCRASKEGEVASYIPQLARQSPHTWGMSICTIDGQRFSLGDSKKNFCLQSVSKAFNYAIVASEIGSHVLHQYVGHEPSGRLFNEICLDSNSEFPPNSVLIERNRAKICSKPEKMGKNWLKTSKN >CRE25981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig846:3518:8239:1 gene:WBGene00072214 transcript:CRE25981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25981 MTTQATEYGNSYFFFSCADINIVNDIPDGDTCVGNGNRMNGKCQCFDGFFGEECQFQDECKEDDDCGVQGYCRLGGDSPRRQCFCPTSRYGKNCELESDSIRSVADFDESLYQKREDQGNKIYWRLLKDEIEFVLRYPGQSWIALGWKSPDATCELTAKDFLSGAASTTSTTSSTIPSVITNATSHEQQDSGDQECGPNEQWSECPESSRECEHSCDWTHFPETTPNCPNSCGTPRCICKEGFVRMANDQEVCVPFDFCDKTVENEETCAANSTWAKCGTACEPTCANMYDTAPCPASCEKPGCTCADNYVRHNGKCIYWGDCPELRDEKTTTRRIEESSTQSTLDRNEIITVSASETTTKKTTTQVTECSLNETLNECGRVCERNQRLPKSLSSSNHPHSPPKPLHQLSWNYVEDSDEIEFSLETRVPDNSWTGVGFSKDGTMLDADMIIASSRNGKIQLNDMQSRGYDQPAMDAVQNVKSNSAAHANGVLRAQFTRKINTGDATDKQFNDGQCWKMLYPIAGGKLDQYGNVSIHLSTPLSSDKSVCIRSCSGEKKTSGAPQSSSEARTCTNEYRFPESCTGSDCDYIAKWNASSDAVTFEITAKTPGRWTGIGFSRDGQMTNADMYTGWFYDGKAYIVDRFAYGRQLPAIDPADRQDIYDMGGRVEDDVQTIWFTRKLISKDTKTDVSLDECHYFLFPVGGGRVLARKSSDFTNPKTPIGYHDQVQPQVSATKICLCDQGTGKRVSHETKAPISRRVRRAATRVTTSAMHCADMVVGMVANGRARIMDMYSPSKATPMEDSFFGGENSLTSAAAFQEDGVTTLVFRKKLEAEERWDNSFVNGEMTVIWAKGADPMNYVHTTGGAAIQAAPEFFANAMKYHGKNARGVMKINFFETSPTSSDSESAEVKPSSPTVHGMHLESTCEGSIRHPENCEADQCLYTISWISDGSVARFSIHGALQTSQWTALGFSTDGNMAAADAVVIGIQNNAVMVTDQFMPNYGRPVVDEQQDIFDVETTYVNGFLTANFSRELHSEDENDVDLQECVFLLYS >CRE29100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:38051:43502:1 gene:WBGene00072215 transcript:CRE29100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29100 MDFHVVTVLHRLLKKFEEYGDIPGNPEVSRKEAMFANRLAGLILEAENGLMDIEEEDVIEIEEDVDWEAPEFDALDSDDASPNQTFRFGDKWVNKEDVEKALSYYRDTSKGHRTAQAMYSRFRWLSTNYHLQKLRDIGNEGASYKGDRFRLLAILADRLFEEVKKRLNEGLTLHDRDLRSLTLDLNRKEFNVVGFLASHKWITRWKSSRRIVSRRITKFVTKRCMLNQDQLEKQSKEFVKTSRQEMPQFSPSMILNCDQTGIQKELHGARSLAFGGEKTVVRSVQAKSSLTHSFTFMPVLFADGTMGPKAYMVMSEPTGQFPKSQPIPHTPNLEVRAAKSHIMTKELMCDFLTSCVFIPSVPKKLFLLLDSWPPFKDRAKIRSCLPPGTDVTIRNIPPHCTSMIQPLDVYWNGPWKALLKRFNSHAVAFYPDYVIAQRNNQITMVSVLFHQISSKRFKDFTQYSWNRSGYIDVYTPFQSPLKYLCNGVELQICAVNGCDNNIAIVMTPIAKVRCFRRVPIPPRRFSPTPEEEFLLPTPPPTPPPPPLQQEEHEISSEALIALFVCMALILWFCLFPHVFNNV >CRE29096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:905:2306:1 gene:WBGene00072216 transcript:CRE29096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29096 MPSHSPIYKSTRHSSRVRRVINRDYSPPAAWGRRATSSLQALASTPPAATVAVQPLPIAAPVAIVAPAGSSTPRRSFRAAPRHIMRDYSPPGVVGRRSRVTGASPRQQNKGRSVSPQPATVVIQPPLVAAPPAAGAHTRRRSSRAPRPIMRNYSPPGAVARRQRVAAGALRRQNRGRSVSPRTARRALAARPRALSVGGRRRVTFAAQLTQIRLIPHRSDDEHQNDHQQIVCEAPIPMVRRPETTRSIGSVPPVPIIPDVFEIIGNFFNNLFGRH >CRE29098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:9893:11231:1 gene:WBGene00072217 transcript:CRE29098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29098 MHHAAAPFTSDRPIPVHYYANELNRFVQGIVPDATQEQIKTIESIAMNVKASQEYSQQKVSFQINELEEKMKQKENENEELKSKLNRLEKKFEHMKIEKDLYEYNAVCNDQYENYITQLKKDFKEKMEMERDNFKRSCSNASEKIKELKSQVSQLERKVKSVEKDCREGNSDSLAEDASEKKEDIGNGNPEVQKMQAENANLRRINQCAEKKIDMLNETIEVLKKKISRNH >CRE29130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:20343:22033:-1 gene:WBGene00072218 transcript:CRE29130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29130 MSCKFFNAKEWKEVVEKLPEDPGIVHCDEVRISHFENINLDRSMILCLPKTSENNPFDGFKWTRSENGRRIQPIYRKYRINDSSYQGLHCTESKIAFLRKDVVKTILHYETKTYLHYFLSDVELFELPSANELTKPRVSGSVSIDLKENILPQRTTSAAYEIAKQKGYQVSRKQINNLRRGRTDVIVGKSGRRAVTTLHHIEILEKNFPQNLTYFIDHNNELVYGFVKMFEDGIRIFTASCPNEIEFEEWTCFVKEVEEDDSETRRQKIKEKLKHHPSGLIFPSRVYVDTTFNLTDAYVTLILGETNSFQTKTSGKPRIIPLGYLLHSHKNETHHQFFADCLKEVVEPYLIDKKVPCVMMDGEPALNVYAEALSSNVVRCDWHILRGLSLKHRQKAAKQACRVLFGYKDTNHKWKCGLFGSFTEEQFERRLLKVKNLVDSRIFTWFVDASLIQHSLVFQVGKEQEVADDDVYCNS >CRE13808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig701:2405:4364:-1 gene:WBGene00072219 transcript:CRE13808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13808 MSSSPPPPADSTSVPAPTSSSSPPPAADSASAPAPTSSTGKTSKSELLSPPPVRSAEFIPDKAEYENEEEELVNLGAFQFNGSSCREKSQFLTLQKFLFSDRIKKMLGEGTYGKVALVASETRRLFAAKFFVKGNNEAEDNDEAIGVEERVYKHLGSSVHDSVAKIIGIGYVDWAPDGCNKKIMLMPLLGISIGDMVEQAQQMDSENRALLKENEIIPKVSFRIKKIQEIGRNILLGLKFLLDHNVFHLDLKPDNVLFSAQSTFKVILKGGMHSIVVPRDTKVQITDMGLAKVVSDLSGNSEIYQSPMYRAPEIFSGGIPNNKTDLWSFSIMLLQLYTCEDEYWPIGADHAHVQYFRNLQHALGQQMTPELWEEVSKIKGGKKVRGALKLYDNGQADPNTPPLMSLKRSYHADRLFDFLKYSLVVDWNVRPTVEDLLNHPFFQKK >CRE10688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig339:9535:11136:-1 gene:WBGene00072222 transcript:CRE10688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10688 MCRSKMIYSQSTIIISLLACILIINILSCFSVFRLGKYFHCFGFFLNLKSFEIFINFVIFSFFEQKFEADELIDIYNPNALKDLRAKYNLKADKYSLELSQLARGADHKRFFGKVKLEAFCAIKERIGDIDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITVQEDYERINGQLFVGMIPNEISISSMLKKAERREVELLKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQIMAKYNFRIFNVEPNPYCANCCEYSLIQDSCMDQYGVYPLVPIVPKVEF >CRE10687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig339:7148:8771:-1 gene:WBGene00072223 transcript:CRE10687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10687 MIYSQPTIFIIFLLCFLIINIVICSLIFRKEKQFEADKMIDIYNPNALKELRAQYNLKANKYSLELSQVARGADHKRFFGKVKLEAFCAIKERIGDIDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITIQEDYERINGQLFVGMIPNEISISSMLKKAERRKVELLKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQTMAKYNFRIFNVEPNPYCANCCEYSLIQDSCMDQYGVYPLVPIVPKVEF >CRE10686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig339:4803:6431:-1 gene:WBGene00072224 transcript:CRE10686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10686 MIYSQPTIFIIFLLCFLIINIVICSLIFRKEKQFEADKMIDIYNPNALKDLRAKYNLKADKYSLELSQVARGADHKRFFGKVKLEAFCAIKERIGDVDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITIQEDYERINGQLFVGMIPNEISISSMLKKAERRKVELLKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQTMAKYNFRIFNVEPNPYCVNCCEYSLIQDSCMDQYGVYPLVPIVPKVEF >CRE13498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:521670:522401:1 gene:WBGene00072225 transcript:CRE13498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-18 description:CRE-SPP-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MRB4] MMKTSAVAFLALVCISSAFVVPQKADQCGAVDITKMAKRYVPVQNSDATCEICLDLVLIAETYSECEEAIMEHHMENYCVEHIKNKASQAACKLMVDDIAHAVIEDTDENPTAVCKRVIHKTCPYNN >CRE13560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:519305:520992:-1 gene:WBGene00072226 transcript:CRE13560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13560 MDPIKGVLLTSVILTLVFLRRLFLLFSEMWPTESDLEPLDAIIDRMNPISGFPYPMKSAINQGFPRGVGDPWIGAYTKNGYWFKLSLGGEDEWKEVANEMWYRNEFLVDTNTFDLYQKAMSSSGEVERNENLKLLVAKNIRGEVLGIALLCKNENAKFSTIGPIFVQEDFRHVGIGTILMKEIIQNEGDIGFNSSKVDSIDILILKNNSFFLVSYLLPTTERFKLEPRNMRTFGRIRVKNPSGFASLKDDKPGVEIVYGTELTGEQWEKVVEFGESCTNEKRNWKAFIEERERNSLLVAAFEEGSDDCVGISVLREILNEDGRIPDLMVAPLYAKSTSIAEVLLQKTLKKYYNPEDDYDFDVDYLAIYRRSINFFIFSSCESVMFPLLKKLSGSDGKMEKDRLTFQTCSNFQLPAVNHDLIFCLSDPNIYMS >CRE13559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:518294:519057:-1 gene:WBGene00072227 transcript:CRE13559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13559 MPFIVIISTVFLIIIEIRAKSATTTTMNPIFLCKDGRMSSIGSLKDPKKLNSKHSECKKGWFGITSCENKTMVCEQKMFCCPKPVKTTMKPTSTGTTVDVGIAETAAATDTSEDPKCSTSEIAMPTETSEITESSTSEPIEISNCENCDLEARHSCFISLHIDSNATSESFNPDCVLCENCCDDAFPHICEIWKNHDFCDMEWYSRDTKLILCGKTCGLC >CRE13497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:515523:518057:1 gene:WBGene00072228 transcript:CRE13497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aat-1 description:CRE-AAT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MRB1] MAAENEPLKSKEIEEQPKNGEEEGGDGKGLAKSLTLFNGVSIIVGCIIGSGIFVSPTGVQEQAGSVGLSLLVWLISGIFTAIGAYCYAELGTLIKKSGGDYAYIMEAFGPFVAFIRLWIEAIVVRPCTVTIVALTFAIYGLRPFFPDCAPPDGVATLLAILLIVLMTAINCVSVRLATIVQDWFTIAKVVALVLIILTGLYLLIFGESQYKDSFENLFENTSQDFTKVSLAFYSGLFAYSGWNFLNFIVEELQNPKRNLPLAIAISITTCTVIYVLTNVALYTAISPDEMLESPAVAVLFANKLYGRFAFIMPLCVACSTIGSANGVIFTSSRLFYSGAREGQMPVVLTMINKHTRTPIPAVILTGALSIAYLLASKDVYQLINYIQISYWLAIGTAIAALFWLRKTMPDAPRPIKVPLIWPIIFILGCAALVFIPIFAAPRDTGIGLLIMLSAVPVYVIFIGWKNKPKFFNDAVDGTTIFIQKLFMVVDDEKED >CRE13490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:480126:482986:1 gene:WBGene00072230 transcript:CRE13490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mca-1 description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3MRA2] MMTVIPYVENGQNIGYRVYCKGASEIVLGRCAYLLGSDGKPHALTSDRLKEITSTIIHEMANSGLRTICVAYKTFIKKGTRDLEKTEIEFAEESVIDWDDEEAMYQNFTGIAICGIQDPVRPEVPNAIAKCKKAGITVRMVTGDNIMTARAIAMACKILEPGEDFLALEGKEFNERIRDENGKVSQAKLDEIWPRLRVLARAQPADKYTLVKGIIDSKATAQREIVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVRAVMWGRNVYDSISKFLQFQLTVNVVAVLTAFVGAVTVSDSPLKAVHMLWINLIMDTLASLALATEQPTDELLERKPYGRKKSLISRTMVKNILCHALYQLVIIFVIFFYGDTIFGIKSGLYAPLFAPPSQHFTLVFNAFVMMTVFNEINARKVHGERNVFKGLASNRVFCVIWITTFIAQIIIIQFGGAWFSTHPLTLQQWIVCLVLGFSTLIWGQIVATIPSKKLPKAWKVGKGDVQPAKLHINGDYNVRARSRALTLRRSGKSLWMRGMFIIGNHLRVLRAFGMEKSEKAAFGRTAPAMTAEAAERWRASYRKYRHQKHQEKKAAVETAESVKSADWAKEQKEKRKTFKQIKQVVRGKSVDKEGKKHHKKRKDETNVDMEDIELH >CRE29336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:88444:89525:-1 gene:WBGene00072231 transcript:CRE29336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29336 MTYSFPLFDLPPEAILHVLKSMDYGELIFISLLSERAKRAVESMNLYCIEASAVISNQISLVMDFDGTRVELRFTVDKERENQENGSLELPDKMELSISTSRSIEKWIGKGSCIKRWITHFNAGFHFSKFDCLQFDENASLFDIKELQIMFYSYDQLCILSDNGSDVKSILKHFPTRRLSFDNDVFNNLENPHPVLIQNYDELVIDPLFESPNTLQLDDLLIINSKIIEIYNMNWAEKELNRFLKHWMKGSNPRMERLSIYFFSQETLNNSKILKGIKYVEMPVEHTRWFKSCKGFEAVRGGHDFNRCDGTKATLTIRTNGICMLEMHVWYPHCVVEVREIEN >CRE29339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:96007:97090:-1 gene:WBGene00072232 transcript:CRE29339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29339 MTSSFPLFDLPSEAILQVLKSMDYGEFITVSFLSKRAKQYVESVNLYCRVSSAVLSDPFRLNMNFDKAQVDLRFTMNEIGENRTNDFLSLPKKVELIIYNTPVMVELSMKGLNLRKWINHLKAIFHFSKLYCLQFGENASRFDIKELRTMFNSYDQLCILSDNGSDVKSILEHFPARRLMFANDVFKRLENPYQVLIQNYDELAIDPELDLPNTLELDDLLTTNSKIIEIFDSNWAEKKLNRFLKHWMKGSNPRMERLSIYFFSQETLNNSEILKGIKGMEVPVEHMRWFKSYDGVVEPVTGGYDFYRCDGTKATIAIRAHGMNMVVMYVWYPHCVGEAEEMGN >CRE29344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:106681:107872:-1 gene:WBGene00072233 transcript:CRE29344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29344 MNILYSLLLQLPDSIIRKVIQKFDWPKLITFSLYSPKSRELVRSLNFKADSFKVHIGNKISIWIAYKYQKIDLDFFANRWENQEPRVELEPPEFTELSIPNGRLKIYKKEYEMKDWVDHFLYIFHTSKIMELQLSSNRYDINSLYKNFDKVTTLICSLEDRDIYNKEFIETFKNVENLYNAGRFLYEGRETECHQILMRNYTCLDFFHSTTLGLDELLMINSINCTFFYESFTEKEMNMFLKHWIKGSNPRLECLFTHSKRGVGIDEKCLLRGIEYTEAPASREKIFHINDVPPESYFVEGGVDIKRKDGKMATLIISYTETSVPVQMFVWQ >CRE29303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:111352:112287:1 gene:WBGene00072234 transcript:CRE29303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29303 MLLYRLEFSLISSRARQLVRSLNFTSEPIEVYIGDFEISIPIHGNQWNMGLSFYKRQYNSNNQIKRKLPPPRFADVIINNKRYKLHKEEFEMKDWLDHLLSVFNCSKIKKCKLATNQYDISSLEKTFNGVDTVALDFFEFSAEDVKGIWNAFRTCETLDINRFVYEGNEEKFQKILMRNYTTLRFFENTTLSLDDLLTINSSHAMFFPASFTEKVLNRFLKHWIKGSNPRLESIDFVCYLEHNFEIDLLLKGIDHKVEVINPLIFDDDEDEDEMEPDTEHVRIEIKRWNGAVATLAIDSVRKFASIWMTVR >CRE29305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:116154:117539:1 gene:WBGene00072235 transcript:CRE29305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29305 MGQPNMSLTISISFCSKSTKSLITSLHLHLQSFHVHIEDSIEISVRFTDHTDLTFEFYEDKPVEERRMIIDPPEVVEVIHDGPVYRREGYWQRPGYSMRQWVDHLFQVMHYSKFDEICFNVVEDHLELETIDAFVNGIDIKALNITRTFSPTYFLRILQKFPSVKQLHVSQNPFKLCPSEQQKILIQNYDILKLQSRRVDQIWENFTLDDLLIINSRRVLITTENFTNKNLNQFLKHWIKGSNPRLEFLHIQSRNVSMYDAEIALKGIRHEERKSPPRDKILDECIQLDPGEQLNGVQGIDIRRGTDESVATLQVIDNKEGIEFQFVVWHED >CRE29347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:118896:120257:-1 gene:WBGene00072236 transcript:CRE29347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29347 MNQKATMTSITPPPVAQAGANNGKILHRIEDIAYSDADTFPEFSNRDGSKETTTNDKHWQLIMIRVPEQPNDTHIKTINDAITNEMRATWKTPPTSFVYVAAPHISILNLAHGVAKKHFGEKTALRSSFFFSEPKNDKVGGRAHFHISDFQKLQFMIARDSELKSLKYEVILGAARFSWNKLETRGDMEKRIESTLFELMRVLPNLVLFLGPIVFDTLYEILEKQKRKSINTAKPGVPIVENFKRENNKWKRVQKHGATSISVPLC >CRE29348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:121344:123235:-1 gene:WBGene00072237 transcript:CRE29348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29348 MNFQLLHLPQKPLLDVLRCLENPELIAISMLSSKCRETVKSLNFSLKKFIVTVNRNMSIYMVDSVDSRWRLSVSGIEMSGFRLNELRVITIEASNNWKFEEIEIRKWIENLMNIYHCREITSIDFERTDLGELEDEYYLNLVRHALEGIKVSTLNLKKPMNPDGIAGKYAQNALELFSKRAKYLSLCCNPYQGDDRIKIQKVLMQNFNGIWSLGPFTIDDILVTNSRTLRLTRSNTGVKDLNRFIKHWMAGSNPRLRFFSVCQKEAEAETLLPKLLNGIPHITIKKRRLYKTIVMGGEIVNVHNDRAIKIRRKDGREATILYITNPHDVFILYMFIR >CRE29349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:125042:126653:-1 gene:WBGene00072238 transcript:CRE29349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29349 MDASSFPLFCLPDKAIQNSMRCMEPKDIINITFCSNSTKHHGKCLNIKPLECFICIDQVVQIQVLIKPCRIFHSFLIPDTLEIKSFLDHMVHLFGNPKIDIYIHSTLMDFDSMRVVFGELNVNCLYVHPEDMDYAFYKKILNQFSKSAKQLDLSSSQHPMRDFHDVLIQNYDYISIEPMADLKIDDLLIMNSLSIYVIDSQLTDKDINRFLKHWISGANTRLEHIYIEFQRRSNEEVFKGIKRRMVSPRRFEGFIGGARERRVEELTDGIEIWNEKRTKKATIKFKRTTVKMFVWH >CRE03489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig290:46675:51975:-1 gene:WBGene00072240 transcript:CRE03489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03489 MSATNMHYFSKNGKLRIRTIPNSNEKSTLSAVLKDAVNEYTPIVKGFLHAKLVGERPAGVREKVLAFRKKQKSRLIDEMEKESGVIVREDIGTGGWILEMTGRIYLQSEVKERSEMEGENCHHLYNGIKLGGDKEQICMSVWRTETVGKYIRRSCQPTCELRHLFGSELHLIVVARKPMKRGDEVALALESDCVDFKEQLKCIHHRWNPEDCPLEKQRLLKKAQKESPEIENIEPIAPRLAGFAGPSSSDASTRPSPLLANSPSGAVLQGLQIQGLFNRRENEENLPVAQVPTTSPKLVDPPIICIHPLPLRDPIATSPPAPRLNFPLPSFNFNPLPSTSANPSPPTVTRNKYSEQAEAILRPHFTIPPAHHQEIISNIKNNPRVTKQPFSCNLLLKEDVRKDELLFEMTGHFKTRSEEIAERSKRHHIVIDGMPLSLETWQQDTLAKNMKRSCQPNCRLTYFYGEELHIFVTSNEAIEKNSMVTLPVEPDFWESDEKFCPEHVFQKKKCSVEDQWLQRMTSVAPVPEAPLEKAPLEKPDSEDEIQAEIDDPVENDDAPREARSSGAVKDAASSSSAGSNNADPQPGSEPMDAPEAAPDLAEPEEAASPVDVVAIPATAIAPPTRRRRRSAGNGRAGNGRLQNVDPEPAPERMDAPEAAAHEPEEAAAPVDKATPAPPTRRRLRSAGNRRAALNLTEPEQVDVPVNVASKKTTGATLARVPNSRSGNSTAVTFPITDRHTRPTARSAPVPPTIHNAPVAPPAGRRNRKAQLKALAANARRCQQEQNEALAATNVDSAPRAPAAPAVVDPSTTRRRRASQALSPVVPASTRRRAASPSALLSTPAPPPTAAPRRSAPGPSAPPAVPAPVPAPRAREVSVARQELIALIGQSMHPTRAAVKQLTDKNSNEGHAGQGDRGGKK >CRE23013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:9744:11903:-1 gene:WBGene00072241 transcript:CRE23013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23013 MDPVNVNPETNDTSIRTLMELPADFLPKIAPYLGYVDRVNLSKVNRALQDEVKSWKPEVKNIEVQCNQNRSIMTLDGIQVHYENVVHPGGRPTTTCKVTHVGRRSKMLQNANQLDLILSDVETVLRNPRAQLENFDVFSTTGFEQKIIEKLGSLVPQIEAKSVNLTMHCSTGQETILSSFQSGVLESLVLNDMNCPGIECGSHINLVTGLEQCQRAKRVTIFTHSLRPANFQLENLTGVEILDLKFQREHLTMSIATAENWLNILRQETSDLPVFKVEVPFDFQLQDFVRHMGNVTGLAVQHHGNMCGLNIAAKPHDYLEMILQLTPHALYGTLRITRKLRVRA >CRE23015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:27084:28499:-1 gene:WBGene00072242 transcript:CRE23015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23015 MKIKASGEFFYDRQASLYSRTKLTAKDNIKLEFRAVFKLKAVAESYQATSNGIRSTVHQAPALADKTLVEAISIPQWTSIFQQECDHRWKLCPLPARYFGNQFEDDQECRYSALGASKVKSTLFHPDDMPIVDLMQSYPGFTTNFNVHSCFFNLF >CRE16478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig345:10793:23268:1 gene:WBGene00072243 transcript:CRE16478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16478 MDEGGGGGVLLQRITTTASEIIMRNEMMTTSTTTTSNPAVEEAYHIYYLTPTMKMLCILFYSILCVCCVYGNVLVILVIVYFKRLRTATNILILNLAVADLLISVFCIPFSYWQVLIFDDQRWLFGSMMCSLLAFLQAMAVFLSAWTLVVISFDRWMAIMFVLTPSIRITTRRALYLVAATWIFSILMALPLLFTTRSFEIQEGIENCGENWTYFGDAGEQVRKVYSSMVVILQYIVPQAVLIITYTHIGIKMWNSRVPGMQNGATKKMIVDRHESVKKLVPMVILISALFALCWLPLLILINVIPEFYPNINSWGYILYLWWFAHGLAMLHSIVNPVIYFIRNARFREGFCYFSSKLLPCIAFKEFRLLTDNNTSRSFRNRSRFSGVINPASSDDKSAVPPLVTPLLGYQRQSDGPLWFSPQN >CRE21007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig394:19323:20222:-1 gene:WBGene00072245 transcript:CRE21007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21007 MRFSILLKSTIEAEVDVKLFSRHRRIERKTQKVVFEKTDYDYFPVHLMNWNDLKRKCSVNGEVRIEVHIEISKMSEVLEKKKVDFGEAMKECSDVTLLVEDEKFHISKLFLSFHSTYFKTLFLGSFNESKKSEVILMDIDKWEFQKFMEVIYGANDIDDDYVVAILRLADMYDVPIVRKKCEQFLMEKSKKPMREKLYWSLKYKIEDLKCLNDIKTPEDVRLAVPENLQEIDPSLQAALFEKALDLLK >CRE21004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig394:12806:13853:1 gene:WBGene00072247 transcript:CRE21004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21004 METRHLRIELSILEKLRRGSTRLRENIALTALGADRLMELVTMLDRNIRDAISAGNTRLLVPCNDEIDIFEEEICEERATDATVIALNMIGLDTSSTRSFDLPGFG >CRE21003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig394:10057:11366:1 gene:WBGene00072248 transcript:CRE21003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21003 MEDHYEYSKFEVQWEEYETMFSAETKSQIVNRMGEIIMIQSLLEEILKKKKPLIMDTFWKNLVSEKQWIGKWENFRDHYRKTVSKRIEKLHFIDSKYRALLMYATSASVTEQFQQELADDCCHCLYDEHSRIIAIRSNDCEIDIKGKHRKMAVTRNRKTKKVDEKKDDDDDEDDEKKDDDDDEKEEAEVDEIEEVRMNADDEKDEVFEMEDEVKLESKEALTIGTLYTKLKQVLEIHFKSQQIGISLDLELEEEVDKKELSSEESQKLLEKIAKTIANSPNEMLDGIKIEKLQIMKTLDSIAFGMARYDNAEINRMMKLECDRKEVSKREQKQDFDNFLLQSISLSVLAPLMIELLDE >CRE21006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig394:3865:6005:-1 gene:WBGene00072249 transcript:CRE21006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21006 MYRNPTKSVPVAHVTPVAFREDSGDLECVSESRCRPHACIHCGKCSKEAGEDEKSRGRNETDQEFRS >CRE02677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:8779:11544:-1 gene:WBGene00072250 transcript:CRE02677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02677 MRLGDGSARTEGMRTMFAKSENLLREVFAHVVTRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFAHVVTRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFARVVTRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFAHVVTRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFAHVVTRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFAHVVTRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFARVVTRTAPDQRLLAVYCFMRLGNGEDDDKAEGMELMKQLETGGIQSDEHGDGSARTEGMRTMFAKSENLLREVFAHVVTRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFARVVTRTAPDQRLLAVYCFMRLGVGSTRTIRDDYIHSDDDKAEGMELMKQLETGGIQSDEHGGKEFRSTNEAIMKAYATRLMAQLENSCLAGASPLGRTSITQEFDGQLAALELACAKANFPLDSEKPRNYSSKMSSWYAKLTAPNTSDSLEYYLRLGPLFFIFNYIFNVVLTARKVKRTTDDQTLTVTNNYRVKMEKG >CRE02668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:7531:7932:1 gene:WBGene00072252 transcript:CRE02668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02668 MIALIDCYCRCEVNTRTVKMSTSTQSLGAPSNDDLFSGGNAVPKSGTIVGESIPMAMVCGIIAGVIFGILCLILQVCLVRCLVKARAKHIPKVRKVKVKKDKKGKKGGKKGKKGKKSDSSSKTGTSTGISIAM >CRE02676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:5942:6859:-1 gene:WBGene00072253 transcript:CRE02676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02676 MIHFRFFISTVSTKSKNLVASLKLRAECVNIRISRMIRLAVYVGRAHFNLFIYNDSKDQNGESPADITLPVAATYLGYQGSTIQLTTPLLSFHNWLNFIQSVFCCTLPPKLVFDQGCERFDFSSLKNAIGNVNWLILFSQSTDIQNKMILKYFNAPNHLYMRRNPFEEATCEIQKIFIQNFKTIGFQDAYTLDDMLLVNSEKVEFFIPTTQKQFNRFLKHWIRGSNPRLQEMSLPIDETDFVNGEIYLKGIRCMEMSEETKRDIRQKHRLLNGDMVQIRRQDGTTAVIATKGGYRVLNIYLIVLH >CRE02675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:4964:5308:-1 gene:WBGene00072254 transcript:CRE02675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02675 MSTSTQSPAAPSNDDLSSGGNAVPKSGTIVGESIPMAMVCGIIAGVIFGILCLILHVCLVRCLVKARAKHIPKVRKVKVKKDKKGKKGGKKGKKGKKSDSSSKTGTSTGISIAM >CRE13697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:641:970:1 gene:WBGene00072255 transcript:CRE13697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13697 MTAEYAEMRKTDDDLIEWNTLILELVNKTEQNISSPPKIARVEEKDPLMQEQEAKAAETAAFWEDDRIECDFDDASEENEDEEENQMEQDGDENLEEESTDIKTDQNPQ >CRE02667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:791:1594:1 gene:WBGene00072256 transcript:CRE02667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02667 MGAEIIAFCFSLSFPSEDLHGCQYTRQSHMQRNAWFVIIAVFSAIFSLFFKVLELINKRIENHSVRNDYVFSCRYQIRENVRTCRFAFSLLLLFFVFFFIFFLFDRNLESQNEISAARREYLFLIFPMFALIYSLHFLTANSSLFETAKRALQSFHHQEHGRMNCCHDKTHIV >CRE13713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:9105:13971:-1 gene:WBGene00072257 transcript:CRE13713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13713 MPRAKVPTITRRPRAPVGGAPMEMLRRPIPLWKHLKILADHAYAEDALLLYEITNQSTSSTHWTVPENPEEFEVFHLLGNFYDKTKRYAQANAIFKTIIQTFPNKTPFSRPSLSFPKGNEEDEVVETPREIEDRDFTWMCLYRTLQKTNKLREAYEALKHVRGKTYTPQKLSEMKRVKRQLKKEEPLSTAVPEQCDNELPDENSARICSSSLGPVMSVLLKDKGDATLVKRFSDQFLGTERSDVYWWLKSMEAVGKQNIYDAIACLKKMSHQNPRVLTAMARLNYRIDKRDEARRLLRTAHANDPLWIDGMDLLAFLCIGDFAQYETLIEELATTLNTEWPHRVETYVVNGFMIHQMDLDMAWAYANRAMKCAAPPSDQYVFAVHLKAYCLRTLEVKARGVDADKYRHQAHRFLEEAVNLMPSSTDLGLLYVDTLLEDEEGHRAAKIFATKFLHENQNNVHAKLLKVHVLVNGVSRNPEANHTKNTTHQFKELYQLLEEVIDEHPHILAAYCFLLSEYARLKNNEKCKEILDKLTAARQLISPVKTHKFHRMKADYMLKFRQVIPAYEHLMAAMATGAVVDQAQMAVYESEFMSSKAITHDYKNLAFLDPILPERPTSSSIGRSGTVSPALQEVVNDHENEQDSSEADDEQEEEDDE >CRE13714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:14079:14658:-1 gene:WBGene00072258 transcript:CRE13714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-28 description:CRE-RPS-28 protein [Source:UniProtKB/TrEMBL;Acc:E3NBQ7] MDKLTLARVTKVIGRTGSQGQCTQVRVEFINDQNNRSIIRNVKGPVREGDILTLLESEREARRLR >CRE13698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:20899:25080:1 gene:WBGene00072259 transcript:CRE13698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-274 description:CRE-NHR-274 protein [Source:UniProtKB/TrEMBL;Acc:E3NBQ8] MPPTSESHWFSASPIAPIMSSGGLMTYGGIGTSSSPELSPPGTSSTQFDDGCAVCGDRVNGKRYGAPACLGCIVFFRRAVTNDVTYKCLKGGNCVITNESRCICRYCRLQKCYTVGMKASAIQRRDVMGPRKPKTKETPIKQEIVEDNNTPNLKCISNPSSSSSMGDRSPFIHPHRHGLIDTLVRLQRFQIDKHREFFAAHETVNLDGDLYAHLHRKVGADATMPICRTSCPYLKLNGTNHRRARAQDVNSMLKLGLIDAATWGNQFEPFRRLSTEDKKHILTEFGFTFMLVDQGFKTAQKAEEGFWLLQNDTFMHEDYFLGLPEDDAKKENAATKAKLHPLFVNESLNCVGYPFRTLQIDEFECAVLKTVLLLTQQGIFKEHSDAARDISNRCMQELMDYAQRKHPDAAAERFGTVILLTSSIRCSINALYNQTRVSDVFDLMKFDPLVRDVLLSLYVP >CRE13715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:25427:28707:-1 gene:WBGene00072260 transcript:CRE13715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13715 MIQFFTALLLFSLVYEVSCSGYMEIRLKSEYRQEATIEISQGLSTTYLSVSTTYLSLPTILQAGKVRKLGKFPIEFNGTMYTLSINGGRVEELGINGSTHTAHFTPTRGVLSPKQLHLPLNGLELIFECDKNYRGSKCDRFCFYSCDEGAENNNLKVNTDYSVDLTKLPELVKRLKESTKVDNEIRTIETKEDDEEEEEEEISKPRKSVFQNLFKSIMALQDEIVHRESSPVSIGISFDNDSESKSSWDPKPKERKDPYPMSLVKSILLDKSAELDRKHEALTKDSGSKKSIMKHRESTPSRFAVDDGPVGGMDVSKMLGPLMSMGSPLPFLFGR >CRE13716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:29622:30937:-1 gene:WBGene00072261 transcript:CRE13716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13716 MWILVTLIAVLFSKIDAAGLLDIRLKSAHNQKATVILSNDVNPTYLVLPIKLVKGEETSFEDLFINFNETYKVTIELDETEELGLAKSVFRGKITPVLGTSSPKKLNLPLTGVRFDFKCEKNWTGEKCDLLSGHEIEGSGAELLENSNSLNDLELEVDYTMNTQKLDTIVGMLKKENEISNSFAEENLEVERLLEQEEIMEASGDGSGEK >CRE13717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:32571:34446:-1 gene:WBGene00072262 transcript:CRE13717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-146 description:CRE-NHR-146 protein [Source:UniProtKB/TrEMBL;Acc:E3NBR1] MTPSPPPCPVCEAPSNGFHFGIEVCSACSAFFRRTVFKNRKFRCWGEPINCCKVVSSARTSPEFVYPYRIPISAGSAFCKSCRFAKCLSVGMQKEIVQKGREGYGRREKMELAVVSSSQNLNNFKINRQGLPILDTIVETYKTLENVRICVHRGPNGEIFPKRSPKPVNYKETIAVFLKEYYLVGDWLSHCFPEFAAFPVDQKDLLHRSFYLQFVVLEGGFFSCNHKRSDIRYLPSGDYIDCVNPETYYYDPDGLQPMTPDEAVTMFASSFQSYRRNVTHPMLRENADRFEFLALTGLALFDADLENISEETASVCRKVRQRIQKEMLKYYISTMTPEDASLRLGNLISIIPSLHRATRRFLEDIELSHVLKAYAVDEKFYDNCLPKFGHL >CRE13718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:35514:39022:-1 gene:WBGene00072264 transcript:CRE13718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-92 description:CRE-NHR-92 protein [Source:UniProtKB/TrEMBL;Acc:E3NBR2] MTKLCEVCYDASTGTHFGVQVCSACTAFFRRTVSKNQRYRCKEKKACEILSTIRNICKSCRFAKCLAVGMKKDGVQKFRDVYGKRECPRVLTSIASTPILDSLVRNYTHLENVRQVIHRDETKSVFLEKSPRALNYKESINVFLKEYQLVEDWIVNSFKEFAMFPSDQKSVLLRNFYLQFVLLEGGHFACQRGRSDITYLPSGDYIDCVNPETYYNDPDGRQPISAGDAAKMFASSFGTYRRNVTHPMQRDHVDQFEFLALSALTLFDTGLEGQSEENIEICRRMRKSIQREVLLYCKLKRRTELDASIRLGNMLSILPNLQRAARRFHEDMTLSNVMNAYSVDQKFYELGKL >CRE13721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:42328:45386:-1 gene:WBGene00072265 transcript:CRE13721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-122 description:CRE-NHR-122 protein [Source:UniProtKB/TrEMBL;Acc:E3NBR5] MMKKDMKNLKTSCQICNSAGNGLHFGVEACRGCTSFFRRSIVQEKVYKCNEDYSCDIGNLSIDMSQKCRRCRFEKCLNVGMKKDAVQKNRDSYGSRKNLMAVVPSPSGSNRLKFLVPSLILEPIGNNYSHLENLRLVVHLEEGSSMFMKKVPRRHTFKETDKLMRIEFEIIKDWIFNSFPQISDLETDQKNILLRHFYIQFFILEGGFLACKKQRNDVWFLPNGDYIDCQNLGSFYTDHSKPQQSSTYSENAAKLSKGTCVGCRRMVTHPMLREKIDQFEFLALAALILFESGLEGQSKESSDICYKIRNTVQRELLQYYAARRVDEYSLRVGNILSILPSLQKATYKMLDDMELVHLLNNTPDVGSFYKYFSDPRC >CRE30179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:46765:52772:-1 gene:WBGene00072266 transcript:CRE30179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttx-7 description:CRE-TTX-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NE15] MVFEPVHEEEQVFVDFAIELVKKAGTLVRTAFDSAESKVETKLSNTDLVTETDQAVEKLLIQGLSDRFKGHRFIGEESVAGGAKIEWTDAPTWIIDPIDGTTNFVHRIPMIAICVGLAIGKKLRAGIVYNPITNELYLGQVGKGAFKNGFPIRASKNQLLSKAVLCQSLGLHNRVQFGDRWLDIAQGNMRNQVLAGVRGHRSFGSAAINMVMVAQGSCDGYVEYGIHAWDVAAPAVIVLEAGGVVTDPTGAPFDVMSRKVLCAGTPELGKDLSNCLTHVDFEPEA >CRE30178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:44095:45640:-1 gene:WBGene00072267 transcript:CRE30178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dylt-1 description:CRE-DYLT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NE14] MALPEEDVHMIIKQVLDETVGANATYTHKDSVQWNQKAVEQITKKLVAAGKPYKYVVTSSFLQISCGSGLNVSTISYWNKVTDFSYMYRWEAKTMLAIVYVFAIAI >CRE30177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:37286:43641:-1 gene:WBGene00072268 transcript:CRE30177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30177 MLPRIIPSILSVVFFFSFLFFVAALLLQFVRLDPDDLENQEVFHSAPYDVFVYSAFYYNTSKSLGDSSMVILATADFEVLEHVKQLELLVINDTNRVMAVAELERVTIHDACKWIAMTATAEVVLNPSLLFVSLGGNHAAIPFEVVSTDPVPVVMCISPLFAAENWQNLLVSLHVYKRFGAHAHLYIRSVVSPMFEILRVYEMEGYVTLKPWNRINLLNRDERDFNPNLNVEFRSQAAAQTDCLLRYKESAEFVAFVDLDDLIIPRMANNYVNEFRFLAAENPTVAYFTYSKENTRTRAYKRANVFSIEHVLRSIQFEQATETGKMIAIPSKVNNTWIHWTHKSLKKLDVEASFNSITHLKHIDMLDGMGVDHERVPQYNPTTGADAEKPLISNKNIKMIEKDFNRMSWKSSIRRHLRSLPINMTYSKMISDCYKESYYTFHSANENHGMLCPGPERCDISAHKTRCWNSVGEYHSTRDGKLINIHFAENSDFALNDDGCQV >CRE30161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:25721:28132:1 gene:WBGene00072269 transcript:CRE30161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-2 description:CRE-ZTF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NE10] MNCESLLTALDVSTLLSSLSSPDKELRRKRRRGEVANPSNTLDALVARKADDQPFEKRYLSEQEAIEGPDDEIEMKKMELDPDVSTRTCSTCGYQGKWVSEMIRHKRVHTSERPFKCRYCSRTSKWKADLIRHVAKTHGIRVVSKYSRSKVFDTNNTSIDCSSDSDRCSSLPEKRQVFYRCQLCSFEDERVSVLTHHITHVHNTSPCVCRCGAKFEDVQGALAHSNGNGTCGHVDMIYNVMPTYEKASPLSPCRSESSSDSGIQTDPEEELQTIPSALPTPQLGASPLLLSPTLPVLQSPQSFLPDIHTALLSLQPNPLMSLYLASLLQSSLLSGPPALQFPPMVPMVPQEEVIDVEM >CRE30159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:10470:13001:1 gene:WBGene00072270 transcript:CRE30159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hint-1 description:CRE-HINT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NE07] MSEVDKAQLAAINKDVQANDTIFGKIIRKEIPAKVIFEDDEALAFHDVTPQAPIHFLVIPKRRIDMLENAIDSDAALIGKLMITAAKVAKSLNMADGYRVVVNNGKDGCQSVFHLHLHVLGGRQLQWPPG >CRE30158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:1174:7349:1 gene:WBGene00072271 transcript:CRE30158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rde-2 description:CRE-RDE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NE06] MFRNTKNSENLKILILSQNLATSHYKLHTFLSRLVVQSPEFHGEFILDYTNCVGFEIRDTLPDPMYASAKFYPSRHDPNNGELIITARFSVHANHQTMKFDVHSYDIEFLHIIDDFQMIKGSDQFYDGRSFIVEAQASLGGWLVLRVHEDSNRQFSCDAVSINPGKRRLLYPIIDFNIQFLEDCCPALIPIMTGDGWPHPPQGAPPQQPVFHEEAGSYGQINSSSSTNSHLAPSQIELREITESMSATSISQQAPPTPMAPPTPVAPPPPPQPTVDVTGDSDEEIDDEDSEGTMGTSTIPAKEYMKDVAGKMYQRLIDERPLTGQQPQSALCVVVQQIDKCALLYTAKRDVQNVLLYEKKCEGLPDGRSPELGTIAFFEILPRLMETQDELLPRAPYSHIAVRMKPSSTPESLEKIARFQQKVRCFGGLIEMKVRIPLTQPNNVSIYHPKDEELVNGDDKTFYYLKATNGVIVSIPSERLEPHLDANFQAEFDLIAWVTYRKAIGKVQMHIGRNGEAIRKWQNGRIDELPPLSANNYLMNGTAEKLKKLNFSSKNTQNPSKFVKNRDF >CRE14283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:124877:127353:1 gene:WBGene00072272 transcript:CRE14283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14283 MENEQQQAQTAPSCRAGCGFFGASATEGYCSQCFKNTLKRQQDAVRLTSPGFSCRCGGLYCGDHRYDQAHNCQFDYKTMERETIRKNNPVVVSDKVQRI >CRE14284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:128396:134518:1 gene:WBGene00072273 transcript:CRE14284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kin-14 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3NBV0] MTTTTDSTSSTTEATTEKNIDWFHGVLQRQDADEILQKHGSFLLRASEVNGKMSMILSVRHDSKLHNFVVNCDGNGENFWFEGYHKEKTIEQLVHWHMTNGIPVTKVSGVKLRTPIGKPDWIIDHDSVVFIKKLGEGAFGEVSLAECEIGGQKVEAAVKTMRCQMTRETRAAFMKEARLMRKYQHPHIVRIIDGSLLSYLRKNKTKTTLSERLRFCIESADGLAYLEKKQCLHRDIAARNCLLSLTDQIKISDFGLSDDKRTEMQDDTLGKVPVKWLAPEVMQDKLYSLKSDVWAFGVLMWEIYADGADPYPGMTNLQTRAKIFCDDYRMPFPEITPPMIAEIALKSCWTKSPAERATMKSVLLKLKDFSAPASDVSFKERK >CRE14285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:139322:144506:1 gene:WBGene00072274 transcript:CRE14285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14285 MIVSEVFIYKFGVVAVPFMDFRHFGKTPSVHCGARTPFFDLTVYNDWPQFEDYVKGVAHDNPSFVQLKTIGRSREGRPLLGVRIGKPAPAGKRKIAVWLDGGNHAREWPAFHVAVYFIEKLVNGYLVDDKITKYVNTLDIYVFPVLNPDGFVYSRTSTRATIRQWRKNRAPENCTGTGPFSSDLCCEGVDLNRNYDIGFSHKNYPFNNPCSDEFQGPRPFSEPESRAVRDFVMSSEIYGRLYALVSMHTHGQLWILPYNYHKRTYPQDFKDLETLANRAADRVFAYRETKYRVGTAADMLGTATGGATDWIKKNTPTKYVYVLELPPDMKTWFAFQVKPHWLIPIGKETWLGIEVIFDQVIEETKNFQPTAETAVTNATDNNSRSPRFRQL >CRE14293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:145697:149045:-1 gene:WBGene00072275 transcript:CRE14293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14293 MSKKSSRKKSRKDNAGPSPEYSGHEAAGSSGGTEYAGSTTCVVLPVPPSTAKISKTLSEHSLYGSDEDVELKRKMSSRDGSSDNSGVQPMDIEDQRDTSDTDSFVEGIEQMVVTDELAGDEPPALEALDGDAEEPTEGAIEGENSFAEIPPGDAHSLDEVMTGPIHKFARCFDNDGKKEEWPKDSSRKAVAKESLASMQAFRRMTWEAKDEPYTPPEGIEEPKEETLFGVYPMSTPYACYQAVESYGSGVILTPCHREIVADDRPDLHALFMDDTALDARSNRRLDLTDIHLSDVFFVEKIGVKKYAQPENFIVDLAKAVDWSEHKFWQVKRVSRLQRITLRSEIAVLMPKIGTKKQQTFLVNNLPEAATAKTKIVGHLAKQEVYVAVDVTVPACQPGSWSRGYQPSFADEEAHLRTIDYLLNVPHMLPVITKVDSLDPRRKGIMAIAPNFVRPKSLYDGMRYRGEVTEVLHQNREELVIQLQLPKNHGFPQKKWKQGVKVSVRFEEESIGATVIAATVEKDKALVRVRPLRSGVTPQGWSGSVGLQVFVKHQVEDPQRGAVRMLGIKTIPKYADYLPGMKMLAALHMGPSVPEIPDFSNLKRFTISNLDLTQEQSNVIHLLSWKGFTACTLSCGPGSGKTTTIIGALVQHHYSSPEDFAVFVANSNSAVVQGAETLKRLDAEDSIHAVRLISSGNCSTIDEHQLSDIDYPRIWQRVIRQKVMQEDKSTRRPQDYVISGAQWLWRHHLLSKNDLHNEHLRRALHVPVVGKPEQPRHSLMEVFFRVYKPKVVFGTIDSVRQIFKREKFLENWTHHVKTVMVDESSQVGRHSIINLAYAFPSGRFLLVGDEHQLPPYGEHGYPEELYKLHNGPIFKDAVSLKLLPNLRLSTVYRCPKQSVDLLANLYYEGQLKPYKDAVDTCPILKDLGLPSGQPTLIINTKTMDTHVGTSWCNVKEAEYAVKIAKRFIASGHHPRRTIAVLGYFLPQVHETAARMPNGVFVSTVDASQGREFDVVVLMTTRSEDFKFSGFLCSPERFTVAVSRHKQALIVLSNTSRMRNVENWKALYDDVKPQSIVDAGQVAFLRESPK >CRE14294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:153053:156694:-1 gene:WBGene00072276 transcript:CRE14294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14294 MRVTTEDITVAWEQPPHPLDSQYGKWRMAVFQDVQESIDGSKLYFLYDSQADEQSGTSGQRKGYPGLVIFDTNFKCFVGEISIHTPGKMKFLFALKCPSPQGGSSFVLVTEEHIYGQIRLNVSRVDLSHDGLSIANVRPLIPEALTIGGEYICSMRDDLPEIVVMANPGLQIWRINCMTESPQPPVATFRVPGSADLNHFYDGFLNNGNIIFLSATPDGHFDNTRVHLLSLNNPQHITSQNCTGDPQRGMPIPRKQCGFDSVSNAILMAGGEVDRGNGFERLVDYWALNTQTFQWLQIPSQMPCPLIEPRLTACHSGNIFVWGDFDQPLPRMPPHGTHLRILRVSGLETVSRQPPVYSSFAPPAYPSVDNKSGGTNPPYPSDTYGNQGGGEPALPYPCYKGQPEFDPNQEGGYTQTSDGQNAYYPKKKKKSCSIQ >CRE14295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:158280:163566:-1 gene:WBGene00072277 transcript:CRE14295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nars-1 description:CRE-NARS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NBV4] MPTLYIDVDAGSDQNPGTEQEPLATLVQAMLISQNSAEYLIKKKSDEGVAWEPAAKAAIKKAVKKYEAEVKKAEKAGNQEQAAAEAHAAQLEAARAITFSRDETLPEAKCIKIGESVKHREQRVTIKAWVHRIRRQGKSLMFLVLRDGYGFIQCVLNDKLCQSYDAVTLSTESSIQVYGVIKALPDGKDAPDGHELTVDYWELIGAAPAGGIDNVLNKDAGVDVMLDNRHLVIRGENASRILRIRAAATRAMRDHFFAAGYTEVTPPTLVQTQVEGGSTLFGLDYYGEPAYLTQSSQLYLETCNAALGDVYCIAQSYRAEKSRTRRHLSEYQHVEAECAFITFDQLMDRIESLVCDTVDRLLADPVTKGLIEFVNPGYKPPSRPFKRMAYKEAIAWLQENDVRNEHGEKFVYGEDIAEAAERKMTDTIGVPILLNRFPAGIKAFYMPRCADDKELTESVDLLMPGVGEIVGGSMRIWQESEMLAAFERAGIDPKNYFWYMDQRKYGSVPHGGYGLGLERFICWLTDTHHIRDVCLYPRFVGRCAP >CRE14286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:164399:167003:1 gene:WBGene00072278 transcript:CRE14286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nduf-6 description:CRE-NDUF-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NBV5] MNRLLHQSVSRGAVAIRATSTVTTKPPAPNQITKQNAEFDKVTHTGQAWDQSDYRLQRFDISKKSVNPNVAMHLIDQRPPEDCGDKRVVFCDGGHPALGHPKVYINLDKPGVHACGYCGNRFYNSHATKGEDMKIQHLNC >CRE14296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:167510:173000:-1 gene:WBGene00072279 transcript:CRE14296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prpf-4 description:CRE-PRPF-4 protein [Source:UniProtKB/TrEMBL;Acc:E3NBV6] TRFGSEAPSGTSTPASFQSDADTPTDFFSGLRDKMVHMDGANEDTVDEVLKREKEEEQREDWHTKLKEIEEEKKKKEEAEQEAELKKKEAELAAKKLKQEDDGFDMFADNEELPQDITTIDGHSGAVHETLKDNWDDVEGYYRVRIGELLDTRYRVVGFTGAGVFGNVCRCNDQTKGNTVAVKIIRNNEVMYKTGLRELEVLRKLNEADKEDKYHCLRLFRTFKHHNHLCLVFENLSMNLRELLKKYGQKDGLHLKAVRSYAQQLLLALRLLKKLEFVHADIKPDNILVNESKLTLKLCDFGSAGRVNEQELAPYLVSRFYRAPEIMLGVRHDYAIDLWSVAVTLYEVYTGKIMFPGRSNNHMLKLFTDVKGKYPNKLVRKSQFKDTHFDVNCNLLYHEVDKVTERNKITVLANLKPTRDLETELIAGQRLGREQMEQVQAFRSLLDVMLVLDASKRITCNEALKHPFFTMPIK >CRE25928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:74212:79258:1 gene:WBGene00072280 transcript:CRE25928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25928 MSKIDEKRVVEEDGEVKSDGATSSDEKASDSDDQKRVRTDSERSGRDKKKKHKKEKKHKKDKKHKKEKKHKREKEREEEEPPVKRRVTEDDRRDSDRRRSPFDDRSGRDSERRRSPVRRARSESAERRDRELRERREAERRERSQERRETQRRRGDDTRRYDSRRRPSPERDARNVRDDRRRRRGDSEEFSRRREDRRRGEDDVEEKKIVEWTMDSDSDDEQKKIEEARKRRMQILKKIEGVENNGENTPREDNSSTPRSEAVGSKKTDESEDSSSDSDDVDDDDEDKQLAEARQLIKSRFFELFRAKRAPATKKC >CRE25933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:59962:72948:-1 gene:WBGene00072281 transcript:CRE25933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ekl-1 description:CRE-EKL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJB2] MLSVGLRLTEEVFPGPEEPIADLDTEKKDASGSIVKKDPKMHLDGIDVKTGVAKIKRIVLNRTANVEFLRAESPSRIWVRLENHITDNTLTFREPFELKPQKTFKVNDYAIAPIDERVYRRCRIVEPENERKLIKIFFIDDATVAWVKKECLAEMDEHWMFYPWQAIQISMFGVYPAVSDDIEVCLWTKTRLKKSNSCFFRKIVKIMTKFNFLEGFIMKRKDTKVMPKFSFFQTKPVWTPKICFKLAQILANYHKLKLDVVLSTVVFNDYARPIPCKLYGIEPDIVETNRTVCIAQMLESAVLLEDGTLSAKEEEDETGDQNDMESSQEEIPTDPSPPEIVCLDMYDAAFHEIFEVAEKDLIPENPLEIHRNLPGDWKQTNDLEEEERMDKENELFSKDSMSPQMEPDEWNPRLNQIPMLSIDELGEKYRFPSEDGKQRIMLAVEGRCTKSPYEWYARPIVKTGKNARDENVPWSSESGLAEVDATDWMLYGNDELTGFAEKLDTYYSMQKNRKPLMRDEIKIIMNEKRDVFAVCAVNEEKGSFTGEWQRVLIVDCEEFAEVRFLDSGGRDMVLTSSLYRIHSQHCIFPPMCLRFAMYGVVTSSGRVDKKWAAKETSRFRMCLREDTPIFINIEDIAHLPSSNAERRLPHVAKFVLMVKNVSYMDESRTLLDRFLSKDEEGHARQADGEPAIWPPAKTQFY >CRE07592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:544142:545101:1 gene:WBGene00072282 transcript:CRE07592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07592 MAEQLKIKNVVLNEDAKLKDVPSGVEAATLCDQSAAPEYTKNSINCKKMTWILEDTPRIELDFGEDHKKFKFQVASSDFDLFRTAKDFKFERTETDTIFTIKKTERNPSSRMSILEEYTRKYLNLLQVEKFEVRFNELLKYDFFECFIWKITRKFSSIYYSSHHDVTFTPGQLRFLLEDLTAENFRLPRIKYTDVIKAGEKNVEPIKHENMNIHAEFLPFDYFLKMESKIALIHTDNTKMSNLNGFIKTWIKGQQLKNLQSFSMRFWKLKENLTVDEVFQGIVPIEPVSE >CRE07661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:547060:547967:-1 gene:WBGene00072283 transcript:CRE07661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07661 MEFSPNELCVCLYKDVGYAAKIMKQKVIRGKIHYLVHFVNWNKRFDELIPNDSPRLVKGTLEDYNKPLPASSSDQEFSDESTSDTENMEFTNMEYDDDVFEKRSNSDAPSNFTLNVFIPKELKTDAIRSSSSKLQRNIDFTSEKLINKFKIALTKELIKAKDNNDKPKLHKLASAKNYIVKIIHYLYSTMEWIDASVLFNVENLIKVIQLVPSIQNFRPLPKQLAQHYAVVGTEFVHFLHSKLRRFRRFNNRLSFPRQHAHRRREQ >CRE07662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:550518:553935:-1 gene:WBGene00072284 transcript:CRE07662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07662 MSDFTIGENVSVFWEKQEKGPVTMSCRRSLGTQYEAKITDIKNVKGVPSVKIHYLNWNKRFDEWVPANSPRLVKIKNQNSPIAAVVKELKRASTMVENTDSKRAKSSVAVEKTEEAHYTRDQHGEFQNLIERLVHKILRETDIVDTDNADGRKLFNFVDTFFSYGLLTADGTYWRFISQFLPCVEQRMIIFECPNANDRVLSIEWLKTSFNKGTLYFLLFALTKQISKIDMHQFYHINSCLRNDGLVEAVTELMERLSTVQFAFKTSRQLRQEPLPSAVVEPCVVQDSSRAAARQRKLTGKEASQEVVPNRISTEVSSLLTQAIEQDILLDELVRTRNHRLNTDTIKQSFVRVKQEEPEMPECNLSLFQMSQRDALHLAINVFEKSSEKIITCFKVLEKFHSDCIKLRFFVMTNYNSYVFDFRHKTHLGSPGTTTNLSSEGFFIPMIRMAHDRIKAIKVGIDNLSFVLEANENGFSHFIENVERDDKTVFTYTAALAGLECGASFINTLGNIIEQSNPLLTERIMVDSKIGYMRMLQPNLKKLLSRAIDIRSAALCFWYEQSYAERVPSMTTKSGYLFKTIAGVGMRRSQIADERYCVIVRNSLHVFLDSNCQREDVVINLSTATMYSTGRVTFQLRGPEGHFEFESSCQKDYAEWMTVLGHVMENKATPENMTPCLTVLLEGSIAVVQEGETFWADGFLRLLNEIPGDSFQQVIVVYPSKYKGSFTANCAPAFCLVTGDQSIHYFFLRFTKELERFTNSIEVIYGLKHRKLDEPMMQTPVGKTIHNTCCLAKNLWPI >CRE07663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:559115:562654:-1 gene:WBGene00072285 transcript:CRE07663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07663 MDIWRRRKESKKFSLARSFKYERNRCPKKSSKIKQDPTDDMEGSAHSTPQPSKPESQGIPCGYCSTVCSSIKHLQMHTLNDHFDLKESAFHFDQQSTCQACGKTFANFAQFATHMKSHSPSLCETSPEFFQCPICSQDTTWRTKKCHMEHLTFDHFQIKTVQLICAACNAVYSTDEEFSNHFIEIHKKFKCASCDFEGANKSDFQTHAENHCKKTDTLECVLCRAKFSNQQNLVHHVQLAHLEVLEPLVTVSPILPAPKPPRKLRKIQCSICGDMLLGEDKLESHKLQMHCKIRYAEKCAGCQELLINKNTFMKHCIKHSRNERIYCPVCSQFMTTDRQVRAHCAFHMPPVSPPESSDSATTSASASSDETEKEFVCQICGEKIPDFLLFVEHSAHHNLIAKD >CRE14298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:12668:14887:1 gene:WBGene00072286 transcript:CRE14298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14298 MADINEDDATRRAEEEANRLAEEERIRLENELLEEEEPMEEGDEEKRVQEVRLAEIEKAINETCTDIKNQTKFSTKQCRVLLSPLIGKILEVEEQFRGKKKESEFWENTNVKLNKTVLSLSGRIGKDELEIKSPPQPPTPLEEPPTTSVLSGQSIQGNEERTKMVSLLEANEIHTEAELNKLFEKYEQLEYELSVKVEYLQRSQRQTDSFRSEICRLKMKCDQQQQKLLAEEEKVKKMSKELNVKHSTHSANSATRFGECNQQTGERKESTRYYNAETSEIIDTIPLQESLDSGRNWNQRIVEQDAQRSMIVHDGHEMSNMNAQWRMAQALPDPPVFSAGKSSVTAETFERAFYMKYRFFDIEAQKNFLETRFLSGNALTVYKGLPESDKNSVSRILDAIKRRLSQSEPEESRRAKSKFQALKLHKEQTVQDFCLVMDEVVRIGYKGVPEYQISSMKTTKLLDEMREHTVFEVLLQILGSQLRNCPVEEQYELCRVEATMFDEEWRSGKRKATQHEKRMNREHSDQYSNNVPRTGFTKNNASVSQQSSRVNRYTPIRQSNSYQTNSGSDSNSNQCTSTTSQQQNFSTSNDLNNQCAPKGYMYNEKSPECWKNLAHSSDSANNASSNALGFHKCSECNQTGCHAPTCSRAPGSNTSKNGPGCSDRKLKIRSANEVSYKMFKWTKQNDTDDQECKRRSLRRAXXXXSIS >CRE30490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:14828:18308:1 gene:WBGene00072287 transcript:CRE30490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30490 MLSPRERRDSETSTDSWSLVEEDRLDDDFSLNSTDNEGAELETAEPIVEEPITSDEDEDTASEKSLDSESEDSEDSDVSVDSESEEEEESDVEQEEDDIVEEGEIAIQEESEDDESEDSDLSDEEEIVEEIIAETDDMETEEAIRTCKEHDYWLDEEVQEDPIESRRVCRMLLAVIMFFMIFPLADIFTSWILTYQNHRLSHGVQSDLHMIDSLQSYPSMSEWITKIEEESAELRKWRRKQRNQQFQKNRSNHRHLTIGFRREANIVLNFGNVPKNFFFPNSEILKIIL >CRE15784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig346:2292:7427:-1 gene:WBGene00072288 transcript:CRE15784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atln-1 MRNSELPGAPATRLHRKHPFEIAKTGKNDTFYIYNLSQNIQEDDLQHLQLFTEYGRLALEDSAAKPFQSLLFLVRDWSFPYEAEFGFQGGQRVLDRRLEVSEKQHAELQQLRQHIRSCFEDIRCFLMPHPGLKVATNPNFDGKLVDIETEFQQQLGVMIPRLLDSHALVHKEINGQKMTCRELLEYFKAYMRIFKGQDLPEPKSMLMATAEANNLAAVASARAVYQREMEEVCGGDTPYMSTNELLEQHERCKSVAIREFRNARKMGGVEFSLQFLDRLESDLQESYENYLKVNNGKNLFKSMRTPTVLVTLMIIDYIFQEFFQLIGLDVIAGLCSSVLCIVIGALGVWAYSRYSGHLREAGGYVDDAVTYVWTNFISPNANHLGPLGGAIQMGEAMAGNRTANATSSDGIKKRQ >CRE15783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig346:17380:25754:1 gene:WBGene00072289 transcript:CRE15783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15783 MVITIIGRLLPWMVIFGLLLVNSDCAPLNHSLSSNNNSHAPSYLDAFKELIAQHVYGTSDDNNSSDSIENDDPFDLNSSREFLRAKRNAPTLDKLKELIDKSSTLARISNGIALQSGLMDGSIKIDDVVAELLNFGKLKVSDVVGFNVDLVKELAGKMEKLPTSLDKSTIELENAGLGWNELRKKSEAVKGVDGLPQKEEYFNALEKYEKSFDFDVFKNVDEVLDKIELKLTQIEELKIEEKPQLVDLKNIHTENFNPIPNVFEAALGKLIAAQKTVQIFAANKILIDSSSAFAPFGTMIELIKIRYELEATAVPSIHKALENNFQQLTDLISKPIEPEIKGLSSLIHSRTIPDFNKKIYTSGFHNGIRDLKKLALEIRDPWIEEFTGNAISTSRLADGIQTLLNVIYQVLHIDDKLKPVSTNNNPRSISYLKNVLQEVSKMPQKSAELVNIYSEIATCKEKSRKFGPANYEKGKKVIEKIVAVGKVFAELAAAVKRINIDQHQKDMNAFIKFLGFKNIENATTSPAEIPAVMKRIRTTDTLKKFKELIAGIKNGFAINKDALKADVKNITSQKIAISTDGFKEEGEMHACLQKLNDKFEKFEKAIGVTRKLSGIDSATIQNVESLASTVASVKNELKSLGSIPDSMKKYAKKITTEINKWPESLKSSEEIGQSVALLSHANDFKTLVSSGELDKFDAPVQTQIEAMKQGKEQTRIKTLWGNHKKFVSDLKAASNQTESIGTSLKLDEIKTFEDYGTVMKTNLEAMKDVKIDVKAKIEALDALISLTKKPAELEKIKKTLQHLESLDLAFSSHVSYFQKVPNALKSLYDFLVKFSIEPTRAPPPRSGKATPQVFYVDRDSSDNDNKRKELQREQEATTERLFYGVLIVMGFMLVCAVSALAYVMIRTSKDDLRHWINKQRYLSAKNGRFCHDGYLNEIAIETEKLIEQMKRQSYAYLPKRKHRNPEILCNPETALKKLMKDDKKMPIHANFVKSRNGKRFIACQAPTDKSKNHDDTTEDFWHMVVKERCNEVVMLCRCVEGGSVQSAQYYPVAIDKPKKCGRYEISLLAEPGIFMEFKEIIVRRMMIRDTTNKLKKRTITHYQHIGWKDQKCPPRGEHEALYKLMKKLESKWIPARFQSPVVVHCSSGIGRTMTFIGIHTVTEDVIKDTSTAWVNRLKHLRDARWHAIQTARQSYWLKMAVAHKLNWDYKLGMDKELKEQQAMFLAFNEQEELELAAERRKEKEKKAEGEATTQKASSILAQAVLQ >CRE15782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig346:8272:13979:1 gene:WBGene00072290 transcript:CRE15782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15782 MMITIIGRLLPCMVICGLLLVHSDCAPINHSLYSNNNSHVPSYLDAFKELIVQHVYGSSDDNNSPGPIENDYLFDLNSSREFLRPKRNAPIPDKLKELIDKSSTLARISNGIALQSGLMDGSIKIEDVVAELLNFGTLKVSDVVGFNVDLVKELAGKMEKLPTSLDKSTIELENAGLGWNELRKKSEAVKGVIDLPQKDAYFNALENYERIFKFDVFGKVDKALDNILVKLKEIEELEIEEKPEPIVLKSYHTGNFNPLPDYLEIAVSKLNEVQATSKSFEDNKILIDSHSAFAPFGTMIDLIKIRYELEAPAVSNIHKALGNNFQQLTDLISKPIEPEIKGLSSLIHSRTISDFNKKIYTSGFHNGVRDLKKLALEIRDPWIEEFTGNAISTSRLADGIQTFLNVIYQVLHIDDKLKPVSTNNNPRSISYLKNVLQEVSKMPQKSAELVNIFSDIATCMEKSEKIGPANYEKGKKVIEKIVAVGKVFAELAAAVKRINIDQHQKDMNAFIKFLGFKDIKNATTSPAEIPAVMKRIKTTDTLKKFKELIAGVKNGFNIDKKALKADVKNITSQKDAISTDGFKEEGEMHACLQKLNDKFEKFEKAIAVTRKLSGIDSATIQNVENLASTVASVKNELKSLGSIPGSMKKYAKKITTEINKWPESLKSSGAIGQSVALLSHANDFKTLMSSGELDKFDTPVQTQIEAMKQGNEQTRIKTLWGDHKKFVTDLQASSNQTDTIGTSLKLDEIKTFEDYGTAMKTSLESMKDVKIDVKAKIEALDALISLTKKPAELEKIKKTLQHLESLDLAFSSHVSYFQKVPNALKSLYDFLVKFSIEPTRAPPPRSGKATPQVFYEDRDSSDYDNKRSEDIFDEATKARILYGVLIVLIVALIFAVSAFAYVMIRTPKDHLRHWIKGFPKNGRVYHNERLNEIAIETEKLIEQMKRQSYAYLPKRKHRNPEILCNPETALKKLMKDDKKMPIHANFVKSRNGKRFIACQAPTDKSKNHDDTTEDFWHMVVKERCNEVVMLCRCVEGGSVQSAEYYPIAIDKPKKCGRYEISLLAEPGIFMEFKEIIVRRMMIRDTTNKLKKRTITHYQHIGWKDQKCPPRGEHEALYQLMKKFESKWIPARFQSPVVVHCSTGIGRAMTFIGIHTVTEDVIKDTSTAWVNRLKLLREARWHAIQTTRQSFWLEMAVAHKLNWDYKLGMDKELKEQQAMFLTFNEQEELELAAERRKEKDKKAEGEAKGEEPILGQAVLQ >CRE15781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig346:15:353:1 gene:WBGene00072291 transcript:CRE15781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15781 MDYIRKEFLEDPKTNMKLFWCRNVNHFLEWTCANEDLRHFSRQEQVGKRAKGAPADKFLFQTLLIAENFLSIACLTSFYGFLRIQREQFEKSSEDGTVPPPCSVEWFAQIST >CRE01406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig320:9151:9435:1 gene:WBGene00072292 transcript:CRE01406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01406 MFKRCYPSYMQDIMGDIFSMGFGPFRWVCTSGKPEDLRWTDLTACNIIDELKDTSNMSEILSKNILALLQFRCPLKTKTSLKTLRRPLKHLDVP >CRE01407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig320:15188:16260:-1 gene:WBGene00072293 transcript:CRE01407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01407 MPNLFTQVIHIEMVRSTRLSLEQQAQIDLMVELGLHFSEMSRRIGRSRDCIRRYVNDPLAYGTAKSPGRPRILNQREERAVVRCASNTVKSANDVIAELDLKASKSTVLRTFHRSGHLKRAVLKPVPKMTDAHKLKRLAFAKSNMAQDWSKIVWSDEKKFNLDGPDGAHSYWRDLRKDPMTFSRRNFGGGSLMVWGAFCNNKAVALKFVTCKMNSVDYQATLQSGIVPFFSRGNRKKTHIFQQDNAAIHKSGATMNWFATKKIKTLDWPANSPDLNPIENVWGLLARAVYRHGKQFQTVSDLKDTILDEWNKLQPSYLQSLTDSMSNRLCQVIQNFGGSTTY >CRE01408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig320:19688:20598:-1 gene:WBGene00072294 transcript:CRE01408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01408 MGHTSRGSLEEKRDSPQSIPDPKRFTKLQLSILNARFSKCALLNEQERIDLGKEIGLSPNQVMWWFARQRTNRKRAERKETTSKTGTFTKFQLTVLNKEFSKCPRISHGKKVELAKITGLTENQIQDWFSKHNGMNPVLRAQPFHPFPLNFPLPPSMVGFTPIRTPLIPMNFMPMIYPFSPHSISFFGVPNNNNFIMKTSENAVRNTENLKSQKLNELESKEPSAKAEEEEEVDIIN >CRE26922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2364:456:1154:-1 gene:WBGene00072296 transcript:CRE26922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26922 ISHPEKKLETIHVFKSEGEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVDNVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHWKSLDGLQKYLHNALPSHSVRCQWVKNADRRPQSGLKKYLGKGCPIAERRGKWLRRSSTFSKPHGITEVKGNEYSRGNRKT >CRE19537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:4555:6410:-1 gene:WBGene00072297 transcript:CRE19537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19537 MIHPQPKIEVNGYSLEDTELERRKQPERIVSTNPSVLGLTNEIQRSYYDDLINTKTSLDMSNRISLETMMKPSFTHLNELIKWARGRTEQLTKMKFPSDLKKLEFVAEQHKITIKDICDFGEKVEESDQYSDLILDLGADHRKLRDAWDKRKADLETLTAFVHAVQKEIDWIETKEKIEASRDWSQFNKLDLTQLANDHQQILKEIKLRKTQFVDVQKKGEDLIEKKHPAGDVIESYLDQLRTKWKWLSTLCKCFENHLKGALDLKTCLEEVADAEKWIGEQSAKLQNNFNETGFSVEEGLGYMRE >CRE19531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:8157:9656:1 gene:WBGene00072298 transcript:CRE19531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19531 MRGEATNRWSIRMLYRRRRSGGVPMLIMRRSKLSIFLKNHKIHCFSHIFSHFPGNLPNRPPSHSYYKITKNLNKGLVGFDVFICYKKSQGTAKRLAYKPAVLDYFPRSDHSDVMEDFKLAQNVAMFCLPMGALIECWPTKCAPSDTSFSTFVLTDEVSEIELSPAHFKWFQNGTKFLRIRCDVFIPAPEPFVNQETNEEMQFVYGVSSGHPDRQTNRILFSDTDGQCINFHFPEASRCSAVRTKPETRSSLLASTNAVKTNPLHWSKTLLFYSYSLWFMQLDSLLIAAPNKKKIIRLAFNVLDRMEKTEIFPPDQMCYRILNELCGKYNQPEMAVEVMRSMQRAGLEQVRNREIEEVFTVKNAKTVFNLDIALVSSYKSAKIVEI >CRE19532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:10071:14267:1 gene:WBGene00072299 transcript:CRE19532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19532 MADSPTQHGDQDTQAAILKLTEQVMNLMTIFTQNQTPPAAASSTTVTSTFDSSKLMDTISSRIPMFTYVPEEEKTFEVWFSRYEEIVTKDGAQLADDTKTRVILAKLSPTDYAHYTNRILPKVPNELSFNETIGLLKTTFKSTTSVFRKRQEFLRSEYSGGSLEEYTGSILRGYTSSEFKKMSDDQLCCLIWINGMKDSSYQDIRTRALQVLEQKPRITLLEMEAEVKRVLDIRADSKAVAPSNQSPEVQAVQKKPQNQHNQNKTEKQPPSPCYRCGGNHWSKDCKYQTATCRTCNKTGHIAKSCRSKPRDHPKVSHKVKTVFVGVAATHGSTRIYKSVTINGKPIKMLLDTGADVTLVNLTDWKRLGRPKLEPPSIRVRAANNQVITVKGSFNCNFELNGVRATGTAHVTETNTLLGIDWVAQDQTFWKLLHDAPTINSASTSSGSACSYLDGLRDGLKVDLSSEYPDVFQSGLGLCTKMRAKLKLKPNAQPVFRKSRPVPYASLEALSNEIDRLEATGVLKSLDHSDWAAPVVAVTKKNGSIRLCSDFSTGLNDAIEAHQHPLPTADDIFAKLNGGKFFSQIDLADAYLQIEVDDDSKKLLVINTHKGLLHYNRLPFGVKAAPGIFQQVMDTMLAGLDGVSCYLDDIIVTGCSIEEHNQRVKKVIERIASFGFRMRLEKCSFLMPEIQFLGFVINEQGRKPDPQKIADIKAMPAPKNAIEVRSFLGLIQFYGTFVRDLHRLRPPLDKLTNKDVEFKWNTECQHAFDQVKEMLQSDLLLTHYNPKLPIIVAADASQYGIGATISHRFPDGKEKAIYHVSKALNKAQRNYSQIEKEAFGLVTAVTKFHKFVHGRRFTLRTDHKPLLSIFGEKKGVPIYTANRLQRWATILMNYNFSIEYINTKNFGQVDALSRLISDQMQQREETEEVVIAHIEGDIICSLDRVCDQLPVTVDIIRSETHRDKLLMKVMECIRSGKWPVLDKAAPIWLFQQRQTELSIVQECVMIGERIVLPTSLKTKVLIMLHRGHPGIVRMKKLARSYVYWPAMDKDIENLVKCCDPCAGAAKNPVKNVLHSWPCSTKPWNRVHADYCGPLQGIYYLVIVDSYSKWPEVYATKSITTSATIHIFRQVFAQFGNPEILVTDNGSQFSSKQLEQFCKRNGITHVRSPPFHPQSNGQAERFVDTLKRALQKLRGEGNSDAAVTTFLQTYRATPCAASPNGLSPAENFLNRKLRTELDLLLPMDPNVGDRNRKMEEQFNNQHGARPRHFVVNQKVYVKDYRSPKATWIPGIIIRKLGGTIYDVRADDKTWRRHANQLRTRSSATACQEAADLLEMPIRFTQEPITEPVTPPSSTTPPLPTNPIVPSQPTSPSPPPLRRSSRNVHPPKRLCMDPKKKSYRR >CRE19533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:15691:17320:1 gene:WBGene00072300 transcript:CRE19533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19533 MIDSVRYLVENWEVIGNGLLYKFKDRRFLLAALKGLRNGLVYGARIRAPHALVMVFLFGEGTILQKLLTVLRLTKTHAVNLAKFVFSYKFLQGALTEVDGNKKPWHSAAAAFVMGYYVFGENNAVNMQINLYLLSRVVVGLTKLAANEEIIPQPNFPVFPWFAAAVWGLVLWLFEFHPTVLQGSLQKSMTYLYHDSNFWTDIKTFLLRNK >CRE19534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:18838:21441:1 gene:WBGene00072301 transcript:CRE19534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19534 MIAKNIARLASLAIIRELQFTACGKIPREATVGFYIFSFTFFPFSKQFVMFSQENKKKSPAWKLFRMINREKASCTICLETISTSGRNTTGITRHAEKLHPLEFINAKKEASKSMQIAQCTTSLLALSFATGGIPFQFMENRYFQMFLEKVGYEGIGADTMRKFILELADESLKILRKQIETESFVGCRNIQGKATAENVAATLAAIMDRVDCDFSRIHHVVTDGANNLVKLSDTMNIQSFAKVLCNTAKHPKTFSPTRWGGGFLLIRDFMESLDVLRSVPELVEFVPSREEKLMGNICVDLLDSIFDVMTELEGDDSTASCIIPNLVAIQKVLDLHQYKATRIGRMARSNFKSCAEVFLKDPFLRKSCFLDPRFAYFDEAIAPIKWSTVEKNFIKTYEKENPLNRLIVDKDPEPPAKKSKTSPLADLMFKQKEESGSSSLKVQKNNNTGVGQNYLQNEVATYKSQITGINGRPPLKSDPLDYWKTNQFMYPFLSNLARDYLSVPSSSASTERLFSKATGLVSNIKRNRMSPETLDAILQVSCRGQLISENSKIFQQEEYTSEDDEELVNQEEDGNDENNDESCTGIKDSNELDGIAELPPQLADKYGCGDHVIRSGEISLEENTEYSENDLEE >CRE19539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:22055:26431:-1 gene:WBGene00072302 transcript:CRE19539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19539 MRRGTSNRILYSLFILVVVTFFVLTINIYTGPFSETGHENEITPASPILPKSSEMRRRRDLSLKEAWLKKRIQKSTQSRSQFNGIYVREAYRVSDEEIRFVYLENQDNNMNLQAEIPKLGWQPVEWFCFNASCYDYLFCSMATRFGSIRLPQTSSHQPYIHLTTERSDEYTVVPVNDVRLRPSSHHYPHTLGVCLQPIFFFTDWTVIMQFFESWIAQGATKFYFYLHSYTWQTKKVLDFYKDSLGDGLELLDWSDLPVHARDKGMYDRDPNSRVFRHGATAFMHDCMMRARSVVKFVANTDLDDLPVSSTLNLPDALEKVSTRHPDAAQFKVDWILSHQPQHWDSIHNAKDVNFDLQSVRVLKIENIRWDYRVSKKMFHRPERVMHFDMHSVYRNELAGEKNQQYTTIEMFDNSDLYFLHLRQFERHLMNPLPVEYNNSFNHSLLMKMNTRMLDQYTKRIDGTEFAVEQV >CRE19540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:26685:31125:-1 gene:WBGene00072303 transcript:CRE19540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drl-1 MVLFSGTICERQDVCKTSKYVYTATMASYTVTEWKLRGITFTDNEKISRTIEDLCLLRHKRLAPIYGYHWKIDNELMVFRAHVPQGTVADLVKRSSLPQETALRYIVHVIDALAYLHERRHVHGKLNASNLLLTISNDILLADPYIEGLPCAQKRRALLASPPEAFNSWDSYPCLTPSSDIWSVGCVLVTMVTRYPPFLDHFMHFHGDGLHRELVTEWNTRRRLVYSSQNLLRDASKDICDLVDQIFIVDPANRPSAQSLLEMHSSKSRKTSIRNSLASLANHKEPEPPKPIDEFYVDRANDEEHRNLENLIEMAEKMHKDQEEGGFLPFIRWYFSRILIFSILLVKWVGMVLCAAMSLAVVAGSVFFAIFLIYNGIQIACQCSLNEGEIVRYMMGKGFIVLIALILLPIIILLTTLCCNNSLDRYHADVESGKIERSRYVMKTPSKDIIVGGYILVEGKPNNEVPEENSRKIGIAEGISQMNRGVDFLRDVARIA >CRE19535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:32623:33604:1 gene:WBGene00072304 transcript:CRE19535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-101 description:CRE-COL-101 protein [Source:UniProtKB/TrEMBL;Acc:E3NJ88] MSSEDPKQVSLETESLRKVAFFGIAVSTIATLTAIVAVPMLHNYMQHVQSSLQSEVEFCSHRSNGLWDEYKRFEGVAGVEGRIKRDAYHRRFQTKQRRQSYDDSGVSGGFSSGGGSCCSCGVGAAGPPGSPGQDGAPGNDGNPGAPGNPGQDAQDNQVADASQFCFDCPAGPPGPSGAPGQKGPSGAPGQPGRSGGAALPGPPGPAGPPGPSGQPGSNGNAGAPGAPGQVVDVPGTPGPAGPPGPPGPAGAPGQPGQAGHAQPGQPGPQGDAGAPGAPGQPGQAGAPGNDGSEGGQGACDHCPPPRTAPGY >CRE30473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:30636:32234:1 gene:WBGene00072305 transcript:CRE30473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30473 MTDGPITKKFRIWNPAVPEDQKTQDEETTDEKPLDCTSKTTQFQFPEVFQNYLQLAPLMLRLQQQRQMEELARRQFLTTLCHVAPPPQTAQNLNLKNSSSTSTSSNSSTSSKTSGTSQGLQMSNPLVATALGSSLVNENCCAVCGAVFRLTGDLVQHMRNNHRKSKFKRKADFRQ >CRE30485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:1354:4219:-1 gene:WBGene00072306 transcript:CRE30485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-klp-11 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3NGI8] MDIELVEIYILVYFSAHNIHFDASNIEFQASYAPARFGPLTSNRQMSSTILTGNRQWTFEVRIVHMRPQRGQIELKNPKEQDEPTKDFTFDAIYDENSTQSDLYEETFRDLVDSVLSGYNATIFAYGQTGTGKTHTMEGKTHDPEQRGVIYKCIDHIFDHITASHNQEYLVRASYLEIYQEELRDLLEAENSKKLEIKERPDGGVYVKDLTVGVPEDPKLRIYCFRVF >CRE13112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:117939:120920:-1 gene:WBGene00072307 transcript:CRE13112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13112 MTPEDSIDSLEAERDAFIKDWTATSQLLYYTVICVSVVTLPALIFMFIVLRKYKKSNYYHFLCSIMAGNFILLATIFSNVISDRNVMLFAGILPGVVVCKLSAFLVNTSSFFVHWAWVAMYLQRFLHVFFPLRAHRAGDKSKEIIGVLFAFSVISQLWTPILITELSVGADESSGTYCAEDSRIFGETTLKYLIFFECFMTFFLPFVLTVITDFSVLFMRNPCTTQNAFTMISADEIVQHQGEHDVTTDEKSPLKIVHKSKIMFDMRRRNEAIRRCLLLATVTLLLNLPNYSLQLIDEFYHFRESNDLSIRRKFVRADAIVYIIYLLQFPTVPLYMYCLKTDFDRTRS >CRE17739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1110:8962:10619:1 gene:WBGene00072308 transcript:CRE17739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17739 MPGFRTPGFRNVPGLVSKKLQRTCVCPFPPCYRVVDAIDGLKGKWQRVKRVSFLCCCPPFCCLIQRSAFWPPRREYYFFKPPPNDISFQVNEESEAILMRDRKKKLKKLKRAKACIPGEMYRFGLSHPCAEDVKHARGFALKTANGNTIACIHIPCPDVSSSPRFTLLYSHPNGSDLSDHLVGVPSLIDLARFYRCEVYSYDYSGYGISGGIASEHNLYADIRAIYQYITMEKHVDPSRIVLLGFSIGSAATVELLKEEKDRKPPAGVILQAPPTSLLRVFGNMIGRKKHLEKPTCCLDRFVTIDKIHEVTIPILVIHGKDDKTVPIEHGELICQRAVTKVTPEWVPDAAHDNIENCRVVWKRIRKFIRE >CRE13106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:101319:102230:1 gene:WBGene00072309 transcript:CRE13106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13106 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NEW0] MRSDLTINDLIHAYSLISKGISMDTPKVEENVDNSTETNSSKLEHVGSNSAQFPIVEHGHNFVYSTSLELRVGAEIAIDAIIQSRFLIVIYNHNRRLFRFDTYIHSNGTGNREEIHFLMSIREEFGSIVFNSFFRRTWDTEERRIYPALPNNFISIRIQVLQAGFQVISSLETHTYTQVHFQCSINNSWFKFFEHRLPLSSIEAITIRGSHLVRVHLDTEDYTEGEEMVEDEEHTEGEEMAEDEEYTEGEEMAEDEEYTEGEEMTADEDFTEKE >CRE13104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:85377:90762:1 gene:WBGene00072310 transcript:CRE13104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyf-3 description:CRE-DYF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NEV7] MSFRELRNFCEMTRTLRYPRLMSIENFRNPNFQLVAELLEWIVKKFEPDASLDAQMIQTEADRVNFIKNAVLLMLQNSRIKMNPKKLYQADGHAVQELLPALKILYMAKSEDPNMDSGPKWTQVKQKLSTKMQEVRITRQLSSQLPETGALLSELISKPEFISNQHERAASRAIPLGDAERTLQTTVQGIGAEAEQLSNKLNNVASDEAELDEKIERKKREYEQLQKRFAKLQSFRPQYMDEYERYEERLQKLYEVYVLNFRNLSYLRKVHDDLAKSERQRQEELEKAMRLAVEKMRLEQEKKDAIGLTEDDTDAPLVDRRQSVRKVYGNMMGGADSSESEDDGDDDIIRMEEVNNIKKEMEDDDEGVDNDYMVGITVTHADDTKDDLSSGDDF >CRE13110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:77934:85018:-1 gene:WBGene00072311 transcript:CRE13110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pdhb-1 MALRKCGNLFVARLAGNSSRAASTMTVRDALNQAMDEEIKRDDRVFLLGEEVAQYDGAYKISKGLWKKHGDKRIIDTPITEMGFAGIAVGAAFAGLRPICEFMTFNFSMQAIDQIINSAAKTYYMSAGRVPVPIVFRGPNGAAAGVAAQHSQDYSAWYAHCPGLKVVTPYSAEDAKGLLKASIRDDNPVVFLENEILYGQSFPVSDEVLSDDFVVPIGKAKIERSGDHVTIVSYSRGVEFSLEAAKQLESIGVSAEVINLRSLRPFDFESIRQSVHKTHHLISVETGWPFAGIGAEIAAQVMESDVFDQLDSPLLRVTGVDVPMPYAHTLEQAALPTTEHVVKAVKKSLNIQ >CRE15771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig467:5504:7374:1 gene:WBGene00072312 transcript:CRE15771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15771 MQTEKDAADLDDLKKAVQKIMYAVVFRYFSKKATTLARMFKELIESNKIMHKNILELVEMTRNRVNNMKSAIEAIQCILKSKKQLLFRQLNRLIKKIDGESNDDYVVRQIGLRRYHYKRVDENCDGYERMEYLLPQIHKEFMGYCTPHSRENKAEKEVSVEEKEEISSDEYEMKDVGEETRKEHIQKCTMIRKCDSQCPLVTPNEFRTNMITITDENVSEGVILSAFLKRSYNCFSGIRSLCKKVESIANTSQALVKLEISLESGTIEEQDEALVMPGLHLSTKIDFLRKKPMENKRLTQFDFMRSHGREIQEFHAQIGKDETYSCAVCHKLSLAENLIKWDSSKILAFLPEGASKTIQVDVCRYCRVAIRRNKMPADAVANQMEIDQTPDCLKDLNWIEKAMIQVVRPIQPVVHLKDNGGRKTPIKAAGGVMVLVKVPIQATFDHIVHTLPSAKHLKIIVDAGFQKRICSVPKMIKALKYLKINNSKYASITINEAFHLDKVR >CRE22146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:50271:51565:1 gene:WBGene00072315 transcript:CRE22146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22146 MSVDSQPQMPIVIRQSDGSKIHEIAGHKFKAVVLAQPTFCSFCTKFIYGVGKQGYKCLGCETVVHKRCHSFISARCTFGPSSRAPQLPEQPLTHRTRSASVDPSSNNHHFNKHFYTRPTFCDHCGSMLYGIMKQGVQCVDCHANVHYRCQEKALRNCGIQVQ >CRE14579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:716093:718691:1 gene:WBGene00072316 transcript:CRE14579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14579 MSICLLSREIKEESEFTLTILKTEDTVNREFIEAKLEETLPTESILFPQLTRVKTEPFEEGSGNAMVKNTKAVNVGGVKSEEEDEPVKTDKEESNDLSKNQAIEAQRVTKHARFRIFSKNTPDFGSQLHREVDPLLNNFLQVEPGKISYSDVGGLAEQIDKLREFAELPVINPELFRRIGISPFNSCLLFGPPGTGKTLLASALESQLDCAFIKVVSSAIVDKYIGESARIIREMFNYARDHQPCIVFMDEIDAIGGRRFPKGTSADREIQRTLVELLNQLDRIDSTVKVIMATNRPDTLDPALLRPGRLDRKIEIGLPNEQSRLEILKIHSNKITKHGEIDFQKLVKLSAGFSGAHLKNMCIEAGMFAIRADRESVTNEDFMKAVREVGDVWRLETKLDYKPV >CRE14696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:725898:728469:-1 gene:WBGene00072317 transcript:CRE14696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14696 MEHYEHSKFGINWAEYQTMFPGESKSVTINRMTEIVMIQSLMEKALKLLDRGIKIQKPIVLNPHWRGLVEEEKWKGNWLSYRDHQSLSHKIEKLNFIDLKYRVLLLFVTSRRVTAQFKKELVEALCTCRYDEHNRIKSCNEKKKGKKNEKIRKDEEQDDNAEVDDNEDDEMKAQDELLLTLKAETEMKTLTEQQMLSLLKNIAKTVVESEHEEGDVLKVNKYVIMDALDLMAGRVRSPVLNWYGMEDEADDEEAGNTEDIQNNSGNGKDKEPENDENMEDVDMNISHQDVQAIDRNQDRDHFDDYPRIESPLIFEPPHMEVVVDSEEGEDEYEEVDGMESPQEPESGVARLMSDSSDSGSNSNIDGENDLPPISVYRCPLNYTPTFNPHSRTEMSILSRIPKECVVRHLPGWNPMNYIAWQGNESSRSKMISKEIEMAINGLKRFVASDQGYLLIENHSANPECSLNTICNILFSCKEIRALFQDYCQNEILDLMTAIFRRDKQTTSDLRRILGETFENGVHDVRETFSNLIKKLKVDLTPIQFLEQYETNCEWCTKSDSKSTGEIKESSYL >CRE16452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:119994:123622:-1 gene:WBGene00072318 transcript:CRE16452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-18 description:CRE-CUTL-18 protein [Source:UniProtKB/TrEMBL;Acc:E3NF96] MSENCQYAYQSKDLNTCVISRKRKSLPLLAQKLCIDVDGLTDGSAILFDEIGGCKTPQTPQGHNELKDIELHECMQMCTTHPTRSCESISYTKSRDCYLHDGAVATEELNSECSVFTLNVITFEQEVETTTIPPGDSKVKKPHKAKTFSSGNKKDQKKVEEKKLYVKKSPKTSSSLADIKIHTQCNFGHISVKITPDTPGNPQMTSGEIYVRNGHSNCSETIDSRGEATLKIYHNDTSCPITKNGEIYETVVVVTQNIEGNATVITIDDQLFKVRCDYSNQKKAVAVAKTMNLRTTQYNKLDIYGKVNVKPMSMDLRGKREIVKAQTVKIGQSLDLVFTAENSTSARQVFVQKCTALDRDGDEKIVLIKNGCATQHAKEYVLRDEIKETKTGFILPFRAFRFKQGDAVKIECEVRYCEKCKKPNCSSRNRRFASTEDVDDDSLLTENLDIVHAELLVQTNSIDSEPLGQYCLGIGYWISLLLITVLIIGSQLIVVIYLCLQSRFAGKGF >CRE16435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:115774:119898:1 gene:WBGene00072319 transcript:CRE16435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16435 MIDEMIIAKKFSAEILDKAEKLKLAIPTVPEKLDSPFFFARFLNANNGDVEKTRQKILQLFEHRKLMGYDKISDLDIFTTVDIGKECFERFHISQLNYEVTSRNLHVFVQRMDGMDIKEILKIMPLSYVLHSYFMLQENFSRAMAHTERKTGKTSSVVCILDLKGLNLMDFMNPLSGPAQLARLVVQIWAEYFSEHLCKLLLINPPGIISVMWQVTKRLVDSNTAEKLAFLNNVEDLKKYLEPDSIPVEYGGTYRDDTGYADPPEGACKPPKKITKEEYKAQEYIWNENGMLKAPKGESFSVKAFKTVEYSVKTTTPGKLVWSYTASGDVDFEIVRRDAGKEIAIWPKITVTSLKLPEYGERCVTPGEYTLKFTNPSNTWFPVKINCAAEILNV >CRE16451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:105215:110380:-1 gene:WBGene00072320 transcript:CRE16451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-snf-11 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3NF94] MVEAAAVAEREQWSSWADFIMSCIGYAIGLGNVWRFPYLCYQNGGGAFLIPYCISLVFCGAPLFILETSWGQLLSVGGLGMFKICPIFKGVGIAAAVMAFWLNIYYIVVLSWAATYLYNSFTMSDVPWKNCDHEWNTPNCRSEYIKIPCDSNRTIADFFNVKVLTHDHIHEYKKQFFVGDKINWTVCSTADLSVVSPVKEFWNHRVLGISAGLDSPGGIRWDLAFFLLLVWIVCYLCIFKGVKWTGKVVYLTASFPYMMLFCLLIRGLTLEGAGVGLEFYLKPDFSKLLESKVWVDAVTQVFFSYGLGLGALVALGSYNKFNNNVYKQALTVCFVNSGTSVFAGFVIFSFIGFMATQQEKSVAEVAQAGPGLLFLAYPSGILQLPYTQFWSVLFFLMVLFLGVDSQFCTMEGFFTAIIDEFPQIRRKKYGREIFVGVICIISYLIGLTTVTEGGFYVFQLFDFYAASGWALLWLLFFECIAISWSLGIDRWYEHMKSMIGYYPSGWWKFCWVFATPSVCFGVLLFGLIKYQPLRIDAYNYDYPVWGHVFGWFLSLSSMLCIPGYAIWIWFRTPGTVQEKIKLLCRPDIEIKGAMENAENMELVEDFTRT >CRE16450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:102553:104828:-1 gene:WBGene00072321 transcript:CRE16450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-45 description:CRE-SRH-45 protein [Source:UniProtKB/TrEMBL;Acc:E3NF93] MATIPELQNYYLTYYLLQCPRKSNFFETEKFLQLSAATLFCITTPINFFGIYCIIFKTPPEMLTIKWCMLYLHSLATFSSIWLTGAMMPFVYFPACAGFTVGLLPYLNVSTEIQGYIGIGCLGMLALSLPILFENRQRYLVDNYKITHPISRCLFYTLNVLFVPLSLFGVFSIVPEQRTARQGVLQLLPCPTDNYFLHSVFVLSLNHIPIITYLSALSTVAVVQILFFGGHSLTYLKTDAKKISVATRKLQRRFFMVISVQLVVPCIILLIPVIYFIFSMLSGYYNQALNNISFVTMSLYGATATLTMLFLHKPYKKFVMGAFPTRDKTLVDVRKPRKWIRRNAVSVTTPVSF >CRE16448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:96388:98626:-1 gene:WBGene00072322 transcript:CRE16448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16448 MPTNSCIQETYLDTAEFLNLYSHLISIVALPVNILGIWLIYYKTPVRMKNMKGVMLNCHVWGLLGDVMLGTLTIPYVFFPVISGTPLGILTRFFDINAFLQTYVAVACIAEVGSSIVFMFENRQNQTVTSSWKITSEKGRKSFYTINLIFPWLSATISLLRIPDQVWAKLEMLKTIPCPTKEFFDLPIIIVTTEAKSIGLALMLLVLFYAVQILFFIVHSSLYLCISTKSYAVSEKTKRLKRKYFYAVCIQIMIPFAIMAAPVNYYVFSIVTDYYNQSANNLCFLIMSIHGFFSTIATIAIYENYRNYLLHVLRIRRNLKVTLIQSRSIAETTYI >CRE16447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:92472:94931:-1 gene:WBGene00072323 transcript:CRE16447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16447 MTTPVNFYGIYLILFKSEKTLKNAKWVMLNSQSWSTLTSLLLNTFLLPFLILPVVGGHPSGLFTHLGIPVTWQVFIGFGSIAQVGCSMVIVFENQQNHVVGNGIKLESKSHRRIFAVVNIILGFTFVLPVLLQVPDQEKARRNVMKWFICPFTEFSNLPLFILAEDSYVVSCAVSGMLAIYIFEGLFFIFHSAYHLAFKTRKLSNRTRTLQRKFFIVVCIQIFLPFSIIGLPVCYLVFSVSSGYHNQFLNNISIILMSLHSFLSTIFMIIMRNNMKKEGILCCSRNNGITSSYQST >CRE16446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:87597:89069:-1 gene:WBGene00072324 transcript:CRE16446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16446 MLWDYFLILQYLIIIFGIASQCLLIHYLVLKPALQSCARTCIAILSISMIYVLLFYGCETLVCLITGTMFVEKVCTEQLGKLLLYCIREYFQVVIVQSHLTFTIERLISIKSPELHKKPKFRLYFHRVLLMEQLFALFFTFAHYYYPEIFNGAWQIVFHFLIIVNWPIVIYTKKTCRSMYKVYNVEFYDLARKHELYKAYEITKSLVTALIANAIQQMLTFLFVGLQYQGLLFSKTDYYKTLFILHMLWNSNFAIFAWILLLSHRSSRLKILAASQPKISITSIDGTNITQMPTQQEYFRELRIAWS >CRE23584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:271379:272934:1 gene:WBGene00072325 transcript:CRE23584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23584 MSRRPPRKFPLLRLPRLALIEVVKCWNPIEIYLFSRVSRKTEKISQLIKIPLLKLWLRVSKCYEVHLGNPARCLSTHWYFRLYPGLRNYEKHKHERYLDIYSKNAGKSIGKFIDHCQKIFNCEFGAMTVIIDPVMKSSNLNVFINWLNQHEKLSKIPYLSVSSGEEGDQLHSNWFMQNLKKDIGVLSFYGNYYAAERAVLKVNGKVDSLELDSSEKLLDLDHLISMDCVYISGETSLTNRDLNSFLKNWKEMKTNERMGFYFIDAAENLDWSIILKGLDGEIRDVRTLRREYLSPWNGTQIFKVYGGVDITRTDGKIATIGMRLHIIDLKPLSREMIRDYQKIIVGQNMDGQEMDINFVHDPEYRLNAYCRKAFFVMVE >CRE23579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:239495:240613:1 gene:WBGene00072326 transcript:CRE23579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23579 MESTQEREERLPEAVLEYVKCALQGSTQSTEDQIDAAHFEKAANLRSITWNQWQFHIWKRENKVRETNRMTTSKLIQILKIFAKKQNSNCGLLKEIWREATYYEKHRDVRTEDAVMRLVSFKSMQRDTDNLVRAANEAESMLQDGGRDSHSIKELITQKSKLWKQLLEWDSSKEEKAWYAFQKLKQEADEIITGSEAFISQLRTRASLGREPGDKRVVHVSKLRTRGELQELECAMKSSFGDCIAMFPPQKTYPNPDYCFCRFISEKHAETAIKAGWIRAGSKRYRIKTNFRSTYKDRTHQYNSQKRSA >CRE23621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:233766:235506:-1 gene:WBGene00072327 transcript:CRE23621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23621 MSSKEKKTKKHHHHHHHKKASVVPPKNSKNRHNHKKKGTKKERSSTKLHGTASNGSLRKLKKQISTDDVSTDGTPFLPTITVSDTAVNLFSEVPSAPTKLTATAKVMTPTAMSPTPTAVPIMAPPPVLVTPPTVQTVTTPVKWKAEDVALGYIEKMDAAMARLEYIEACASTKPLVEKDCLLWKKNLHKNQTDAYPCLDSTIVKIPNQPDDYVNMSTITVPHCRYPILMGQMPKRGFEEEFWRAVFNESVVMMYVLMGAEDEKNDFFPTTTGAYIYYGSMFVNIRKVSEGSVSINNVLSRYSAKLRRRVEKMDEERTAYTIEALPNGLSNSNMINVYVHTGWEPSGVPVKYANTTRSVVDVMNFVKTSNGSEKMMVVSKNGCGRAGYFLSLGASFCCLNDGSEPRMGEIVKALRVQRPNSVDSMKQYASLYLCLLYYIKKKVSIPDRLKQKVEEVTKEFEGLIRQDVSIAY >CRE27773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:279632:281891:-1 gene:WBGene00072328 transcript:CRE27773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27773 MMTLVESKAEFEITKRSMEDESEIKDAVFYNVTVPFVETFVLKNPGDFCISRTIDGAYYLSIAANKKDKKGEVRVVNLRVDDLEKEVGIPGMMFARADTLPQLIYQLKHENMDILSGVLEEKLTMNRLLGPQVTAMHNILQTGKSVKVQRKLSQDTASPIYIGEMKFSDGKIKEGVFEEFPGGGQNPTELKTFFEKLVNSKSLRGKNLPIRIPIGAIMNPPTLIYENNKLEVGCNLEDFLFFHQNRLDLTQRIKICSSAVRVLSELHHADIYHGASQLEHFYVDFVGFKNEDIKNYELVFNGASGLIREGKSDNSVSVIDYDSTAPEVAFTRKLTKESGVFNLGRLFEQILKPDLFKSYSESNEGSPESLTEMRRLISRATHPNPTRRPTMHGIVMMIRDVLLKAPKSNSHICMVHFDQFTN >CRE30562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig768:3425:6938:-1 gene:WBGene00072329 transcript:CRE30562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30562 MQLATFLHPLQKLTDGPVEFVKFDEKMFDEIEKEIREVENKSQQQAFAQLVIMAKRASLLMSTPDSTPITVRLFEDGEERYVMKEELHHALNRLSTGFERFEIRNDGFIYNAMSFEEVKAEFGDRIMKIEFIRTPILRTKHRATPIRSHFPEQFVIPAVDCFFEFWRNVILGVKLFQKYQCSDWEKFAPSFHNIEEYFDIEKKQQYFVRADIRVESVVGNSLTEFEVSSINEVRNVNKDGFTARNLKNELKYLGLTVTFSEIQEYAEDVYEEIDKAKKERKLRTCDLFDAVESCQLICVLNRIPKLKMFLHNQKGCGRVIGYKCEHCGEEKKMPDALEISQQPAEVQKTSDIQDSMKNLRIKSSTEPIQNQCSQSALSTSKDCEKCTESSKTLKETDNELKISKDQSKETQQKITNTAKELSDLKKEDEKIVESEAKKTEELAEIKEALSEKKEQIQEKEEEIQKTILKLTPVYKPIPERFKTVSKPETEKFDIEATNSHENSIADVNEESKKSEAEVEILRQKLVEKEEEIVNFKRDALIHEKTVKEFKELKEKIAEYKAREKEIIEGREREALAHSKTVLENKRLMRENASFRSQLKDSNERIKSEIDTRDKEIEKLGKKIANYEKKRKNDESIRAEIKKENEKLKKKIEEEKQRNEATLEELSNLKMRLEVSEAQMSEARSQYEITIERLEKENQELKGSNELYGNNFNSINETIHRERQTFGTVQQLEQFNNSTTAGLSISSAPTPPPSGPSTSSWNHQNPTRDSELEECMICLIDIKQREKTIKCDQCRHRFHSKCASDWLKVKSECPACRGRLLDPQEFPAL >CRE31354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:2857:4011:-1 gene:WBGene00072330 transcript:CRE31354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31354 MATRHLIKGNEKAIHFTDLATIESNKAFSTFALHTTVVIVFLFGSRKLASWFRPSICDFLNEFIAMLAYCACFYSQGSSLSLKMYLFKISDVMLAHFGYTGLFFAIFLHMYICHSLNEGHGENMVILMDEGLKNTENDRYSSYLVSLIVPAHTATLIVYFLLLNLFDMIPTQPFCENSVLEPIVIVVIVCGFFCGAVLHAVLRRFHRDDKYHDVVSALIYAAVFTFSHAVIGIYAAHPFITLSRLLGCMKSININSWPIPFIIHMTLPFLGWIFASKMLRTPPSKYESIWQQRYDEELEDELNERIDLQQEQWNHGYNLRPRNNR >CRE31324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:7935:11104:1 gene:WBGene00072331 transcript:CRE31324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31324 MQDIKQEGELDERRNIGLALHLRGFDVSGAQRRTTVGLVVAELVDKVCELVDVVQVDVVQDPDSDLMNPSVSITLGSEAGESTHHEDDMDDIDFEGQHLEDYDYDDMFSSVGLNFSGNDHGDEDRRVLDSDDDWDAIVPTMSSRRREIEENDKDNHSKSEIDPKNRLHDQDPDDDSRDWYFTNTLATNLGSPVIIYFNQIYKPKEETVEGGIVARCALRFPTGDKQTCKGSIICSKTTGEVRLHETCVHESRRDDIAAQLRRKVFGKLGLQSTNTFPPVFDWDKIRGVRPLRDTSGNFELGDNVTLIWKVDGVDDHIRSAEVVNCDGKFSGVPSGFQQLYVISKKDPNSDAQIPLIFALTNSKTQMNYEYIFGVASQEGLQPFYVYSDFESGAINAAKAVWPGAEIWGCWRHWKVNLLKKLMKHYFKYLKDCYFGPRARFPREIWHCGERAQLQHDYTNNGSEHLFAQYKNLFSHTNYKVLRISIEKSVNWTARVYKDVATRRTWLNSRRSDRPAMYRNTDFLDVVAATYATFADFNAAMHPYMHNPKLFH >CRE31356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:12386:13541:-1 gene:WBGene00072332 transcript:CRE31356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31356 MATRHLIKGNEKAIHFTDLATIESNEAFSTFALHTTVVIVFLFGSRKLASWFRPSICDFLNEFIAMLAYCACFYSQGSSLSLKMYLFKISDVMLAHFGYTGLFFAIFLHMYICHSLNEGHGENMVILMDEGLKNTENDRYSSYLVSLIVPAHTATLIVYFLLLNLFDMIPTQPFCENSVLEPIVIVVIVCGFFCGAVLHAVLRRFHRDDKYHDVVSALIYAAVFTFSHAVIGIYAAHPFITLSRLLGCMKSININSWPIPFIIHMTLPFLGWIFASKMLRTPPSKYESIWQQRYDEELEDELNERIDLQQEQWNHGYNLRPRNNR >CRE01822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2413774:2415343:1 gene:WBGene00072333 transcript:CRE01822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01822 MTLRFERFRFFPESGKTITLFLGALLGIVLCFVDLHNPKESKFFWTSFWISFLFDVITILILLKDWDENVDWLRKAPYSVYHSLGSLLVSFLVFMAGIMFMSSESHSGDGVLYVAAFFCFLIFGVRIMCVVKSFPKMKHDIHFPGRPTNSDYDNDGTSIA >CRE31325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:17744:18634:1 gene:WBGene00072334 transcript:CRE31325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31325 MPLNVSRFKKLPELVKVMSLLTSLSLLVYLGTIKFRPPGMSVIWFTIGCSIVFDICTIAVLLKEYDVSVMAIRMLPYAAIECVGSILGLIFYVISMAISISSEEVTDDFGFMVVAVICFISALIHVSNLVVNIRRWNNGSHHLNPSGVYEYSNYGSEA >CRE31358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:18889:19629:-1 gene:WBGene00072335 transcript:CRE31358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31358 MAGRLREMRCELSFLKNADGSACFSQGSTCIWASCSGPGDGHASKANEEAMTLDISYRANCGDNKFSVLNNIIQSTISKAINLELFPHTTIAVTIHGIQDDGSIGAVAINGACFALLDNGMPFEAVFCGVLVVRLKDELIIDPTTKQEASSTGRVLFAVCNGADGHPEVCSMDAIGQWDFNQLEAAWSLAQPSATAIFEFYRSVMQRKHSIDEH >CRE31359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:19816:22594:-1 gene:WBGene00072336 transcript:CRE31359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31359 MSNLKEEDVQDEEMIRQRTLNMRKRVEEIMRNGATLVRESNGLPKAGADFELYNSFPTFNAFMKRSEERLNALMGKVTNSIGCAMRVPDVGASVEHYTECVIEAQDNIAERVATLHEALKKAEKDEIVKVPEFITKAGPTNNKTEAEISEAMKTFSANIGTVLAAKFRERREEAAQMIVHEKPQKTYNIIADNSVAPFVSVKHHAIEKRSGIVVVDDDESGRIGWTNAEAETEEEHPYIAEILNFKVPESQLESAEPKKFNALKNTQLTMVDTKEKLEALKDTLNSVTEFSVDLEHHEMRTYLGLTCLIQISTRDEDFIIDPFPMWDCIGILNEPFTNPKILKVFHGADNDVLWLQRDFGIHIVNLFDTYVAMKKLKYPKFSLAYLAFRFADVILDKQYQLADWRARPLRNAMINYAREDTHYLLYSYDMLREQLLKQDKKDLNVVYSECNDLCVRVYKKPVFKPKGYLTDLKLRFTFNSRQDHALTSLYKWRDVVARQEDESPQFVLPNHMLLALAEQLPRDVGGIYACCNPLPHFVKKLAGQILKIIVEAREVKLEKVKVTAKENNDAQEARGVMSDSMDHITSILKSKIDFTHTKFDEERGEIHIDKTEESSDVLRKDELQSLISVLLSSSIPSTEAMVVVEKGKKGDVKKIKKLVDELDKFVTPFECYQMMMITKQKQEEEERKEAERKRLEEGDKPKTLFSHHDAVVVRKPQFDSKLLNVDTVKVGDSDDTQQQRDSTMEQDKEPPVFDPSRFSDDQLMSKKAMKRKREHAKRNIDVSVVLGESSSSDPKKQKTDAELEEFNYEEADSSAFEKPVKDNNAEFDPFHQKYRLKNKSKTNKSMKKSSNRSGTINYKK >CRE02685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig321:1785:8999:-1 gene:WBGene00072337 transcript:CRE02685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rgef-1 description:CRE-RGEF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJ69] MEEEPQQELLTEDQLVARCVECFDIDADDEVDDIQFADALFLSHQWLSDSLSLITHFVNYYQETRDIEHREAVCRAVAFWIEKFPMHFDAQPQVCAQVVRLKTIAEDVNESIRNGLDVSSLPSFAWLRAVSVRNPLAKQTAFSLSFVQASASDISTSLSHIDYRVLSRISITELKQYVKDGHLKSCPMLERSISVFNNLSNWVQCMILNKTTPKERAEILVKFVHVAKHLRKINNFNTLMSVVGGITHSSVARLAKTYAVLSNDIKKELNQLTSLLSAQHNFSEYRQALAACNKKFRIPIIGVHLKDLVAINCSGANFEKTKCISSEKLVKLATLLSNFLVFNQKGHNLPEMNMDLINTLKVSLDIRYSDDDIYELSLRREPKTFMNSESRISAVTVVRSYCMWDHKSRRFQKYDKDYSVQSVVEIILHHYFHNWYRLTRRLYYVIIKIYNGELIVTLKG >CRE02686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig321:16558:19731:-1 gene:WBGene00072338 transcript:CRE02686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02686 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NJ70] MVMKGKPSFMKKKKSKNSKASKSKATVRSTVVSTRTKNNKTVLSEQDKKHVRWAYSILMRVLESGKHPDNELTRVIPPDECMNFLGQVRTVFWRQASMVELTAPINICGDIHGQFSDLLRLFDKNGFPHRANYLFLGDYVDRGKHCLETILLLFSYKMIFRNHFFLLRGNHECPNINKQYGFYDEVVRRYKKPGVWFAFQQVFTHMPLTALVGGKILCMHGGISKQASVKSILMNSLQDLRIIRRPFDNPQVGTLAMDVLWSDPSNFEKDFGPNSRGVSVVFGKHALWKTLKKLKIDMVVRAHQVVQDGYEFFANRRLVTIFSAPFYCGQFNNAAAVMQVSRQLVCSFVVLRPRKKVARRRLMPAK >CRE02688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig321:23630:27050:-1 gene:WBGene00072339 transcript:CRE02688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02688 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NJ72] MVMKGKPSFMKKKKSRNSKASKSKATVRSTVVSTRTKNNKTVLSEQDKKHVRWAYSILMRVLESGKHPDNELTRVIPPDECMNFLGQVRTVFWKQASMVELTAPVNICGDIHGQFSDLLRLFDKNGFPHRANYLFLGDYVDRGKHCLETILLLFSYKMIFRNHFFLLRGNHECPNINKQYGFYDEVVRRYKKPGVWFAFQQVFTHMPLTALVGGKILCMHGGISKQVSQLMNSLQDLRIIRRPFDNPQVGTLAMDVLWSDPSNFEKDFGPNSRGVSVVFGKHALWKTLKKLKIDMVVRAHQVVQDGYEFFANRRLVTIFSAPFYCGQFNNAAAVMQVSRQLVCSFVVLRPRKKVARRRLMPAK >CRE02689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig321:27356:29294:-1 gene:WBGene00072340 transcript:CRE02689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02689 MTPSTINRALQTMIEKHNAAPTPHRKRRVLSTSPLVPCPEIGVVFNGNHKKSSQFSPPFQFRPLEHAAYERRLEEIENQRMSRILEDLDQINEILPLDDLIQALQEQADRAEKLPMPIPLRVKLHPQIRRLKRGGRRHSKKHKQQKKSANGPKSGRLIPRVCKPRVHSELMPEHPPPKILTLLLITRLLDLPAEMAMNSTAGEIIAHRRPILYREEGAKWVHLFIPGVPEDDPRIPRAFRMFDNTDEGIPEEIIANRNLETAQEVYQLRVIAKRFLMSQEIDFEERFLLGEVIGMSEAEVNFAFLKLHLEQAISGKSEADLRNLVFSTYKEAFNANEVDGQGFEDMVKNYEDLVVPMLDDLFAE >CRE02684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig321:43090:45746:1 gene:WBGene00072341 transcript:CRE02684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02684 MLPIFLFFFLLVVSADLDYGYQTGHISMKDSEITILINSTFEIIEDFDVSCFTLGHPLIFWIQNDTEVTSTTTTGTTPAAKIPMRMKKLRPSNTGAPCQKYSEAEKYQLLESAGGRNQLFMADSVEEAAQNFADSFTISSPISATLEDAVFVDGVQQPSPNCDARCQMIKSALTQEITRRSNQSSTPSTSPLRQVFSRIRASVFSDAPPTGGCSLGNFIPVGTCTDLGESVDGGTHESLCSECRGLYMLSANCFPKIFNTVQCNSQEMGCIFDTFTDKAHGQCGVQTLSFKVLRNNGDENCEDWIVEQIQLPVACQCSLSKSSFLRAKPTKEL >CRE02690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig321:46316:49826:-1 gene:WBGene00072342 transcript:CRE02690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02690 MSSSESASSDEEKRPAPAPATSDSDSDSDTGGPTTQKRGGRSDSSGSGSDVEKPQAKPAKKKVLTKRKRKASGSSDDDQVDDSLFTDKEDKARWKGLSELEKEREIFERMEARESARAREEIAQQLAKKAKKNTEKGAKTEKRRKMNSDGGSPKAKGSSDSDSEMDAEFHHPSEINRKHKEKNAMDALKHKRKEIEKKNAKNAALSIDAVFGANSGSSSSSSSSESSRSSSSSRESSPERNADQEKVVKKEVETLAELRKARLSRHKLALMIHAPFFDSTVVGCYVRLGQGMISGSQSKYRIWKIIGVEQTNKVYDLEGKKTNKSIKCQFGRSERPFRMQFVSNSEFEQVEFDEWRNATKAQGSVPTVDIMEKKHSDIEKAINHKYSDKEVDLMIKEKSKFQKVTRNFAMTKAGLSKQKELAQQRGDIREAERVQKEIDEIERHADELDKERSKSIRAIAFINHRNRTQIKDQVLSGKLKIEENSQDDPFTRKKGGMRVVSGSKSKLDGKLSAASSNNNLSEAGKDAPSSAPKSTLPPPAKPMGMKKPPVMSSLHDFDLDIDLDKLKNVGEEQAARRAVIGGAAARAATNRPGNTAPSTSSSAI >CRE06173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig333:20021:21048:1 gene:WBGene00072343 transcript:CRE06173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06173 MYWSLLLLLPITILAATCPDCPVGGIWSDWATTETCDATCGACANRTYTRTCLSDAMTNCPTCVGPKIQSMPCNTQACNWPRFNSSRENCCFNKTTMTIKNWVHCVPLPDIYALPCCPDNGYWSTWSGWSKVANQASWQRTRVCLSGGHNCPCKGEAVDLKHCKFKTFQSERTKIRTFPACPCAPVDVITNVTNTCAINDDKNDPFSVRKPVFLGSRCLTQVVIEISSFRKAFWTQRSFVIFEGRIGWIDSTGQCLQATITREDVETTQGTGQFAKYYLECNLNTLMFSGTVHGVQISNVKAFAQYY >CRE06175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig333:21158:25975:-1 gene:WBGene00072344 transcript:CRE06175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06175 MLPESPLPSTPIASSPSNRENYSPDHSTWNLTPVNTSPSNCSMDSGDVEMGEPMEQKTLETPSNTDAPKISKRKSRRLHKEITSNDNEFRKGGRIGEPQTNIQNLVFVNSKDGLGVVERGTKIPIGLGHTPFVLKKKVSAKIQRQSNMLKIDMVPVFRKIESPGVSRSEKKTQSNYLKPGSNKIEIGPNHQADIPQFEEGRKDEPDREEVIWTPPEQSFDYEICRNGYWRAIWRQFEGQIPFETALQNLMKCGYSFGDSLETVDQNLKTLPQKLKPLCENQYKQFEKLLLDKNFERRTLQEKSMKNYHIAEVQNFYHDFKNAYLGAENTCDSHDPLCKELNFVPRWSCSNCTKIDRAPGDLCLICQSYEELTGNTRPATDVVFNDEDAKKIQDWNQMEIREGRTIPMAEFEKIKKEEVTNRWIKNELTEEEEMMIDNSQLTHRRRWNRLSEAEQKEIGRKIVEQLKPHPLPLFKKCECDNVENVQIPATSPVKSVMPREVVLSSLQKIDEDAQNQNEQPPAKKIKIQSFTIQSSSQLITISIFCHAITISLSPYYDFSFFYLSIRFIYVPFPVPHPEFRQIVSCFFRPSIFSSVPVSDSVSFFLRVYGIDFRNHAPVEFMSSIKPKMYGFLGVIRN >CRE06176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig333:34572:36202:-1 gene:WBGene00072345 transcript:CRE06176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06176 MLPESPLPSTPMASSPSNRENYCPDHSTWNLTPLNTSPSNCSIHSGDVEMEEPKEQETLETPQNDLTPEISKRKSRRLHKETTSIDDELLANYHNGLGVVERGTKIPIGLGHTPFVLKKKVSAKIQRQSNMLKIDMVPVFRKIESPGVSRSEKKTQSNYLKPGSNKIEIGPNHQADIPQFEEGREDEPDREEVIWTPPEQSFDYEICRNGYWRAIWRQFEGQIPFETALQNLMKSEYSFGESLETVDQNLKTLPQKFKPLCENQYKQFEKLLLDKNFERRTLQEKSMKNYHIAEVQNFYHDFKNAYLGAENTCDSHDPLCKELNFVPRWACSNCTKIDRAPGDLCLICQTYEELTGNTRPATDVVFNDEDAKKIQDWNQMEIREGRTIPMAEFEKIKKEEVTNRWIKNELTEEEEMMIDNSQLTHRRRWNRLSEAEQKEIGRKIVEQLKPHPLPLFKKCECDNVEIVPIPATSPVKSVISREVVLASFQKDDKDVQNQNEQPPAKKIKV >CRE06177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig333:41639:42871:-1 gene:WBGene00072346 transcript:CRE06177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06177 MIDTPAFLKSATDSQRKEYIELEGNPNLTLEMKQKALYNWAQRCGNPVNGLFTMYMAEKQTLQSQEDQRMSDIVSGLSAEAQQADKNVRGITNNLNQTKKEMDTNVAKQLSKLPKKVYYELTFATQ >CRE02704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig601:8011:9678:-1 gene:WBGene00072347 transcript:CRE02704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02704 MNRQPGITDENSIHVILQGTCNPVQNGPGIPRHGTASRQYDRETPRLRKSTECILLETCEGTTIPRLEPRRTQEKGKIMVSRYLGIHCFNDTELIRKPSGHLVESSVFMVLQWVNNSLKTSDEGKKFSKVKVQVCSETLNVADPKKIPDVGVCRSGTPTEGIGRKSNGTEPKLVPLFPTLDACRSGAPKERMVPPAPDSDSSEERSWREVPSLEACRSGAPKGRIVPPAPDSDSSEEGSWREVPTLDACRSGASKIRIGLKSSGLEQLPDSGSPRNANSLAFDGTPRSGTAPPAESATDMDACRSGAPRKRRLQSPEYVLIPESVPPRIANSLAFDGKPRSGTTVSSDTGSVMDVGRSGTSKGRIVPPAPDSDACRSGASGKGSWWEVPNLEASRSGASKAKVPPRAPDSDTCRSDASGKGPMRRVPNLDAKRHASSNDSAKMYSNPKAPRQRTSAKMDDWTESSGSAISHDDDGPTDIVNQCPGVSYPMVSPRPDPVDHAKARSLSHRVRSFNPRKSKANLACYACITQEAGTQTPRSVDSPLVPESGPCPTPN >CRE14247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:3334:5568:1 gene:WBGene00072349 transcript:CRE14247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14247 MPQNMYGATPSTTGAPPGAPGPHYVAHQATGGSFPAPQVPEDSMTIGEGCAHGGDSGAVKFEFAHSLSLLVFFNHFELMLGAQRHPATYRTQIVLCDVNPESLCHLTRVTGWELFSNQLEPFVKKIIEFAIDGFLNLPQETQIHLLKGSVFELCLIFAPMYHYKDTQVVCGERETPFRSPVVSLRTLLRFVRCTAECVDESRTRVKGTSVDLSQKIEANAHKIPKKMQSLEMKQTVGLMACCEYGVAIEPNPANMCSGCLRSRVDITEGIQRSCTIYMCKFCDRYFVPPSAWMRAELESRELLSICLKKLKPMLTKVRLTDACFVWSEAHSKHIKVKITIQKEVFTNTILQQAVVVEFTVHSQENKREMTGNYHHVYTM >CRE14264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:6429:7621:-1 gene:WBGene00072350 transcript:CRE14264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14264 MSKRGQSSSMSYKDKANLHFVEQEEPAFIKAMKSKMGYKEPAKLEDKFDEEAGPADFDDDETDLMRMKEEDRPQVVVLNEETDLTKEEMVKELDAKKKVEEDKLIAEGKITFKKPVKRTTDGDSVDEEAEKKKKKSTPTPTVKKGLLSFGDDDEEEEDDC >CRE14248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:11488:13216:1 gene:WBGene00072351 transcript:CRE14248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14248 MAIICCCPSRTTTHEKPKKVTFELVLKWGVSFEELLNHETGRRAFTAFLKSEYSDENILFWIACEEIKRERNSEKVEEKARIIYEDFVSILSSKEVSLDATVREKINENMKSPTSETFNIAQKQIYTLMQRDSYPRFIVSPIYRELRDSFGVIEEVVNIHLIQHYAARLFRPLLLISMSIFPPSHTSPKIGAKIQISSRLAFDGYLKDSLSPLTPRHLIRPQAARLFRPLLLISMLIFPLLLLVMVQARLDGLNNWRQNSKLFEFSRKMFTFFGEN >CRE14265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:14552:15878:-1 gene:WBGene00072352 transcript:CRE14265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14265 MPIPVLLFPYIVQKEIFKSMEYCELFIMSLCSKRMKYCVIRAKRKVPELWYGVNPDLKFIVLKKGESPVDVMIALDDKTDLIGIKPVELKMGEDFKTRGIVTTMQPTLEQYFCFIQLSKLDAKVTKALYEHVKSLFWYTVPCGLQVDVNSLTEELPKYENVSKILVEGKSVLELNDLNTFLSPYYPNLSTLMVNCPINGEVNDALKILEVSNIHLSKPGLVGASLLSKFTGRNIVFSHLVITEKELNLFIKKWMNSEGYQNLEMIFFNAPPDFDFDINLIIDQLETEEFDPTKRPQWYQIDFKLFYFSSSPADFSGDDCFDVIRESDGKRASILCFSNVFFFLVWN >CRE14266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:18006:20092:-1 gene:WBGene00072353 transcript:CRE14266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14266 MSIPLLHFPYVVQKEIFKSMEYCELFIMSLCSKRMKYCVIRAKRKVPELWYGVNPALKFIALQEGESPVDVIIAFDDQPELSGMKPMELEIGDNFKTRGIVKTVQSTLDQEFCSIRLPKLDAKVTKALHEHVKQLFLYTVPCGIEIDNNSLTEELPVYENVNRIHVTGGSILELNDLDTFLSQSYPNLGTLMINCPINGEVNDSSKILEVSNIYLSKPGLVGASLLSKFTGRNIVFSHLVIAEKELNLFIRKWMNSEAYHHSEMVFFSAPPDYNLNTGLIIDELETEEFDPTKRPQWYQIDFKLFNIPSSPADFSGDNCFDVIRESDGKRASILSFSNFFMFLVWN >CRE14250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:20377:21362:1 gene:WBGene00072354 transcript:CRE14250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14250 MPLPFLLFPYVVQKEIFKSMEYCEMFLMSLCSKRVKQCVIQARLKIAKVWYGVYPDIKFIAIQDWGIPVDMHIAFDDQPALSGMEPMEMKIGDDFKTRGIVKAKLTRLKQEYCLISVPKLDAKVTKSLHEHVKQLFRYTAPCGIEIHTNSLTEELPIYENVSKILVKGKSILDLNDLDTFLSQYYPNLTTLLICSPINGEATSKLLEIGNVHLSYPGQCGITLLSKFNGKKINLWGAVVTEKMLNEFIRKWMKSEGYQNLEFVNIELSLESDLLNRDLITDQLEIEAFDEMKRPGRYQSEFR >CRE26886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1245:2039:2620:-1 gene:WBGene00072355 transcript:CRE26886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26886 MVRFPQSTPRIKSGEEDRPTSQMQRPDGPGAPDFQDGPGAPDFQDGPGAPDFQDGPGAPDFQDGPGAPDFQDGPGAPDFQDGPGAPDFEDGPGAPDFEDGPGAPDFEDGPGAPDFEDGPGAPDFEDGPGAPDFEDGPGAPDFEDGPGAPDFEDGPGAPDFEDGPGAPDFEDGPGAPDFEDDQHQSRITKEGRR >CRE21098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2393:410:1777:-1 gene:WBGene00072356 transcript:CRE21098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21098 MSCKSKKEIRPSSSLNSTETSPIQEASKQPDQSETIIQPIIPSSNFNTSRVKLPDQSQCTVFMQSTVFVILISRMCLNCDLLCMTTISPIWNPAKNLSEQFSAMSQFDDGQQPFERVVNKLVLKAREFKEKFRIQQNAVEEAIFQKSRIVDQLRNKKETKDKLQLFQSLEDTRTKMDSALMRAQAAIRELRRQQRTAQLLVDTGVGEQELVDNKMADFGIDVAEERKSLEYLEQDVERERVEFSNLLKVGWKAVQQVSDTMELKIEEIQETSEAVEKKIDVVQNDIKKGFKNEQFFERMARLLEYRLSRVEEIQTEGASASTDNQVRIVFKETGTSPCQLDRSPSPVRRRSPSPVRRRSPSPVRVRSPSPQPTHSRRQHSPHEERNRHLGSQQGTGSSFPVRWVFCLEEHLSDTCRRYWTTAARRERLDQRSRCYRCLRPHPNAPNHRCPPVKPW >CRE22711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:8976:9582:-1 gene:WBGene00072357 transcript:CRE22711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22711 MPITRWDNPKAKAPEQDAIKNWSPTTEKTIDDFVKEAAMEPIEKPSFPQKSAYKRKREEKKVESPEDAHKRRVEWLHKRAKESAIPTEEGAVLKPSFIFKDIGPFIVNMCLNCRIFNSTQEPKTVADGMVQMPMALCTVCRAHFNHQRATKFFHFDLPSVKKNYNL >CRE22704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:10252:15150:1 gene:WBGene00072358 transcript:CRE22704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22704 MDQVELEIKELVNELVGKVEEMDRERIELEIKEVVNELVGKVVEMENNEAENEMQVGGSSLKVLSRAVTEGKRRFQGAVTRVKLDIEIPRLYTVDPNGPQLFGEDIIKIIKNNVPSGISHSNCRVGIMLESDEISEVIGLSFKPLNKLSSEDLVQSMEEMSQSNKSVLELDTPKLALRITYLNPPSGSGGKRKFDIASLLDLTAFGKRSKRDKERGEEQVASDLFDAAAKDTDGSEEDEETEAEELEDEVIRPQRSNIMKNDVVEDCLVHALYQAEMHFEWQKLDRCRNKYLNSTRKSHSRPNKFPEVYKAVRKMKKEANVIKSTDFDMLDVKQFQETIYAGKYQIIVFTQNSFTPYYAGPYVGKDKQLVLYLADGHYSGVRSLCALLKTKYYCGLCQTRYRDAASHYKCRLRHRLCGATDCHSSKDDVETTCTKCRVVFPSENCYQNHLRRGPRNGKSRCDFTKFCGKCETAYYYNKNNRGHVCGEKYCHRCQIPKDQDHKCTMTPCGKNEKKLTRKRVYFDIECRANPDNGEQHPVLFVALRCCPKCSHNIPKNLQDARNALCKKCAPNGRVKVIECVTPDNRNVNVGASLTKWLFGNHHRGYVAVAHNSSGYDGQFILENMISSNKAAPEVCLDGTKIIYMKYNNVRLIDSLKYLTMSLANVAKTFQIKSEKGDFPVKFIKPENYDYSGAIPDNDYYAIENKPAPVREKLVEFLDAERNAGKQFNFIDEIHKYCYNDVFILSSAMTEFEKEFEAMTNVCLLEESTTAASAAAKVFRRNHLHKDREIVLDAKPSVSISNSVLSQKYLAWIGESEGVQMNMSTTYGEEKIDGYRVDGYIPKCEKYPDGQVIEFFGCYWHGHTCTYSEESIIGERSAREIWKEDRKRLRTLRNTVPVKVVYECEVKKELRNNKEMAEFFENYEAVDLLECEKALVGGRTEVFKLHLRCEGKKGYYLDVVSLYPTVMKHESYPIGEPVNVSRSSMKVPMTTPEDLQFKGFLSCKIVAPNDLKTPLIPGKASGRLMFFLCKKCAKAGCQSKCEHTESERAFSGTYTTVELKKALELGYKIVQVYHGVEYKNWVENNADGEGGLFTSYINSMMAEKIVSCIQTFKNPFLFNFQYSSGWPSNVNTDEEKAEYCQGYWEKEHIRLDDWTRFVKNAGKRAVAKLLLNSLWGKFAQRVDREHTEIVIDPSKFWKLVNDTSIALLDVRPVNDVVVIKYRKKAETLSSLKTSAVHLAALTTSYARLRLYRLMEMAGPDNLVYSDTDSLIYTVPDGCDDPLASEIGPYLGQLTNELDGELEEFVSLGPKTYCYKDRLSSGEVKVVRKMKGVTINSEVDKRVTFGKMKNMITEVLEDVADRTTMNLPQFVINRDRDHHVFSRTINKRFRFTFNKRRILMDGSTLPFGYFE >CRE22706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:18828:19601:1 gene:WBGene00072359 transcript:CRE22706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22706 MTDFYITLVSNAQTDSTISNFKTHLPSTLNFNRPYEVALSSIIYPSSHDLLTKSLETDGSFENEFVVWFDKQSMRCSIPNCSFSSPVELVGILNYTLYNTMIRVTNDTKTKIELFSYEPLFKRVTIQKSAKVTKVELSDRLSYFLGLNKVSTAFPVVGQYSAFSGSDLMYIYSEGLVEPQTISHMKVPLLKVITLSTTNTGNIDQTFTNPLYVPVRSRQVDRIGIQIKNDRDHFIPFNSGKIVIVLHFRPISFSIDG >CRE22707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:20093:21919:1 gene:WBGene00072360 transcript:CRE22707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22707 MAKLINNNSAPSAPSNICLFDTPPSQVAFNKGKWMTFTPTSAIDSKGPYSFNVFDSAHFFQLNRTYISFKLNLKNVEAPTGTESPIKIEHTNFIGATFFNQVKLSFNNVMIYDSGDYNYKSYILTLLGENSDTKEGLLTAAGWHEYGDEDFRALNEKTYLDLCAPLLLEPFQTERLLVPHINIQLQLYRSDNAFCMKSSKDTRAELEITELKLHMRAIEVVSSATIAMENRLRSSPAQYPFTSTKVKLIAVPEGRLEMPFNTLYHDIIPRRVIIGILPPEESIITNSLDFGHYNVSEVQLDAGGTVYPPQPIRCDFENKNYAQAFARFHEELGGVSTNTTPRISYKMYRSGYTFFVFNLAPIVSSNAWELVQSGSTQLLMRFAKKTPKGGLNVIVLSQFDSMYEIDRFRNVNYVDTNLASYLATKFGSLDHLSINKIRNINLHLLDMNIPQIWNGCNGYNVDLISELSLDATPRNHSFVNMIIRVGNRGKRNYVSVLKYFQEKYNITLNYPHSPLLRDASGRMYPLEAIWFRMRVY >CRE22708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:30816:31986:1 gene:WBGene00072361 transcript:CRE22708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22708 MSESMLAIVVNGVVFKTKKEWLVRKEGYLKWLVENKKSTLTEGEERFEIKKNPTHFKYMLDFVRNGFIDFPKSTGVIKEILDAAKNYGYDDLVKKCEEKMLEEKREERRIQHLAKMVGMTSPTAPIDQKINGKSRIPEQKFNEFVFNRIKENPDTTMWAELQYKTPYEGSTCSLHTFLNFARNQKYEYSKWFDICKEIRDRIETLMKHNEDEERDSAENKPEEVTKQNENSQDTNLYSVHVRPVRADNREEVREIMKQNGTICRFYHRNTTRYPSADFAFITYTTEEAQKRALNARRVSDNQDGWLLIKTALKPENKCQPTSTNRQNFCNNKQKETKEEEWRRDNEANEEYLWMIF >CRE22709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:34557:35780:1 gene:WBGene00072362 transcript:CRE22709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22709 MLIKNTSAVTKYKRTHKETITHPSIYRSPKTIDSANEDENTPPDQVEFADKSNKKEMKSLRSEVTKIMKQHLNHGKKISGLETAVSVTASEVDAINATLSNTEEEVTILKEDLVSTKEDLEILSTRVRTDTVTEAAFAHLQTRVGHVEMLMATQKRRNQQLENSGPTKISKGEEPAEKSGNSSKPEAPACCLCDGQHPIKNCSLFPTSLSRLNEFKKAGRCLKCATLGCSGKSQCPNSIRTCSNCKDRQAPPSSFHLSAVCLYDEIFVKRQRDKRERERRTRTMETPVNNQLQQSAPQQTQQHQMQQPAPQQVQPQQTVMQPGQQQVKYLNTKVSETLIPISAPNQQQVYQPLQPAQPVFAMPRVTPQQMMPTQMMYQQPQQQQYPQANAQGYPERQQQQYGYYTQY >CRE01420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig400:2289:3735:-1 gene:WBGene00072363 transcript:CRE01420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01420 MNTLLDFIPQIRIIFGNGDVPQRPSINHEKILEDQRKAYQESMERLESMRRDAAYAYEQAEIAAREERKLIRRENNETIELLRKKINNDDENHKILVQELNNGLAEKLKILREAGQEERKNAQEEFEEKMKNLKKQHEARQEALQEELKNCKKDGKKKIRKVEKESEKLKEKRENQLVKEQKDIEEMTQQYANERKKLIDDQFRMRKEISEEKRELPIDKAQEHVRLELDEINKMKSMFKFQASKIQQHFMNESSANPDAVKICKNYLRQLEEAMRSKQLIAICALLQFDLAKGNIRKIQSYGNDADSLAQKLETIQTDVAFGGICMKDLKSVMDQKQLKN >CRE01418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig400:4526:6129:1 gene:WBGene00072364 transcript:CRE01418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01418 MLDHWSNVPRIIWASTLGSLGFLLNLLLGYIIFKYTPDSMKTYSTMIMVMTISDAVNGFCSIMTSVRLISTGSQLFVIFGGICESAFEEKLTKSRFCMYWYALQIQCAMLNSIIMIFSYVFRVYVITMPFDTINQKKIQLVTYGYSLCHFSYFTWGYFMSWSSLESMDAEISKIYPEIIGSNYTYSGVLDVNAPSQIVMNMMSMLASVFVVTVALICKCRIERYLKKFTFTENKKRQHRSLVNVLLYQTVSPFLSLVTITLYVTIGQTGGSTALLENLMPFSLVLLTVVSPTASCILIGPYRTAFLKLWNSSVSKILGSDSRLLKNQDPPLRSTISVTNPV >CRE01419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig400:6922:9123:1 gene:WBGene00072365 transcript:CRE01419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01419 MVFRKLLICISIITFVNSCIRTIPPEEVYISSTDASIPTGEPGAPGTDGPVTGEPVTGEPTTNPTSCEFPFRILFMLFFLFSVCNTCKIDSIKPTLTDPATVFTQTDVPGECVTTDVKCARTDTQVCTDVKMTANGIEIGTVSNNKEVTATLKCGEDGSYSNGVVTGITQLGCNFMACAEPTPCSKCNIDALFPQMEPGTSLTYKSFDVENLCKYYEFLCKRDDGKVCRSIELQVIFNSTRDGQTTLGSRKVEDEDIDGELRCNLNGEYIFQSQEITQLSCKFDDCVDPTPCTACNFAALTPQPADIGGKLVAIESIDRFGCTEAQVICFREDTKVCASVKLIANLASGPMILQEDTNTGTVQTTVVCRNNIVYPYGEREPVQSFSCEFTTCA >CRE01422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig400:13981:15561:-1 gene:WBGene00072366 transcript:CRE01422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01422 MNVLRGFIPTIKLSGRGIEIKIPIFGGPDKPERPPIDHEQILEQQRRAHEESMERLESMRRDAAYAYEKAENAAREECRVIRRENNETIELLRHKIENDDENLKILVQELNDGLSEKLKNLREAGQEERKNVQEEFEEKMTNLKKEHETRKEALQEELKNVKKEGKKKIRKMEKESKKLKEKRENQLAKEQKDMDEMAENYENERKKIIEHQFNMRKEMGEEKRKNCELEQIQNLQQLNDLTSGFNALISNDATKRVLEETNIITDIVQKTRESLMKLNIYCASDSPKEHQGNVRLELDEINKMKSMFEFHAFKVQQHIMNESSANPEAVKVCKNYLHQLKEPMGSKQLFAICALLLSDLANGNIKKIQSYGNDAGSLAQKLETIQVERDVALVDICMRNVQSAMNSKELKN >CRE15982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:812489:813847:1 gene:WBGene00072367 transcript:CRE15982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15982 MIDHWSNVPRIIWASTLGPLGFSLNLLLGYIIFKYTPDSMKTYSTMIMVMTITDAVNGFCSIMTSVRLISTGSQLFVIFGGICESAFEEKLTKPRFCMYWYALLVQCHLLNDIIMIFSYVYRAYVITMPFDTINQKKIQLVTYGYSLCHFSYLTWGYFMSWSSLEAMDAEISKIYPELIGSNYTYSGVLDVNAPSQIVMNMMSVSLPVFVVAVALICKCIIERYLNKFTFTDAKKRQHRSLVNVLLYQTISPLLSLVTVALYVTVGQTGGSTAILENLMPFSLVLLTIVSPTASYILIGPYRTAFIKLWNPCVSMIFGADSRLLKNQEPPFRSTISVTNPV >CRE20042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:11808:12521:1 gene:WBGene00072370 transcript:CRE20042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20042 MVNGQKRPSRFDYPLDARPGAGPSHRPILAQDSDIEEVAFYKTTPQHTFNLARHSTMFNAMFFGRFEESRRDEIKVGDVAEKSLQRFLELTVGVHKVLTDENVEEVLVLADYWDAQIVKKICEESKTMGIPKKLEGATTGSTNSSNLECTKTSSSPKESTIRQKWNFGFEGSQQLQ >CRE14363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig462:4274:6858:-1 gene:WBGene00072371 transcript:CRE14363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14363 MSSLANDFEKVLRAFIPKELIPATWKFDEKKRRVENIQSLMKCGQLGIVKSAEQLNRDIGSYMGFPGSTQHFEIDPVVAPSPDDYTSLKNTMYIYKRDLFLLFDFKDISSLRECQREEVEWVLNDCLQQMDFGSHHEMIVKPDIKTIIKDGEEVLKNVMPFLELSKDCEKIYEDSKTRFMETLHVDIRETVSECLEDIIVDHSTEKYHFELLLIINWIGFIMMGIENFVKKESIHLPPLNSVSLTKPVIRLFSIDKNHFVMADELHKTLKNCNIDVSGFEKEVFGMKELSTFTFREVFQKVDKDVMKNLEFVKMDDFRLIFAQTPIPTCDGGYCNLAVDVLRDVLMDIIVAKKVFQTIEEKNWIYIKKFFKSIEKHFDRTRGVYFIDLKNVKTIKELWENEYNSHLKHSLSSSKLMTTSKKNLAVDLAVVQCQINSLVRKVPMLLEFIHKQGACARLSIVGCELCDGKTLTEESVPITEPKGSEKKEDALQKKMKPQSLKETQSEPEKLNSEEAKSDVTTEIPTIEDKPSQKKKMSKKTKQPNPSEEAKPEPKESNACPKCERAGKFTREANQKLRISKIEVKQLKKGLIRNQLENEEIKQKVMDKDERIRMLERLLEEKDDVIKEQEEKMREQATIIQGFQTIEKEEDTQTVYDEKIEKIKSVQSNLLAIKKTLEVENPVFKCAETMHRLIMNTKNEETKKMAGMEMRRFEKKATEYTEAVEDRLAMIQCNQFDAAEEIPELPEFPVFSQEFRSTFENIMKSRPPVICLQLLSSSENASDELEDTECVICLNNMDIENDTTKCVYCKRRYHNRCIQDWLKVKMICPTCDSRLLDEEEFPVLV >CRE18754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:29423:31510:-1 gene:WBGene00072372 transcript:CRE18754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18754 MSFIKLIGFILFIAIKSFDCSDSLSAVLLRNTGPINVINNTDATPFFKSETNIVKSNGIETETNEYQIEEEFERRTQNRKITQFAEQKNIISDQFLAGDTTPEPCLNSTSPVTPTAECETTPEPCLNSTSPVTPTEPCETTVEPGETTPEPCLNSTSPVTPTEPCETTVEPCETTPEPCKNSTSSDTPTEPCETTPEPCLNSTSPVTPTEPCETTVELCETTPEPCLNSTSPATSTEPCETTPEQCENSTSPVTPTEPCETTVGPSETTPEPCLNSTSPATPTEPCETPPCETTPEPCLNSTSPVTPTAPCETTPEPCLNSTSPVTPTEPCETTVEPCETTPEPCLNSTSPATPTEPCETTVEPCETTPEPCLNSTSPATPTEPCETTVEPCEMTPEPCLNSTSPVTPTAPCETTPEQCENSTSPVTPTEPCETTVEPCETTPEPCLNSTSPATPTEPCETTPEQCENSTSPVTPTEPCETTVESCETTPEPCLNSTSPATPTEPCETTPEQCENSTSPVTPTEPCETTVEPCETSPEPCENSTSPVTPTRKCETTVEPGETSPEPCLNSTSSKLARRPDQTSKFNYSEVRNERNENEIEPYETITLRPLTSSRENETD >CRE14364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig462:18132:18605:-1 gene:WBGene00072373 transcript:CRE14364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14364 MNNQSPQQNESDTHSLSPQYLIGFIYGAEIMKKHMEMMSSPPQRPASLVPTAGFSYSMASTDSQSPPSSVDLFTNSLNSSADQSKSTDNLEDIQLSPSPIECLIGSSAPRTTKLKSCSHCKVTQSCCWRKVRSETGILCNACFVYERKYKKSRPLSA >CRE14958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:77327:77653:-1 gene:WBGene00072374 transcript:CRE14958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14958 MSTDEMNRYLHYSSRFITLFCRCMNERVDVSMEETVECYWKRKEAEYPQLFEVAATIFSTVPSESICETCFSLAGYILDKRRTRQQYSRAELIVVGSQLASKYPQWLE >CRE01431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig520:2578:3580:1 gene:WBGene00072375 transcript:CRE01431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01431 MPHGRIIENKYLLGDKINTGSFATVFQIKTIGEKEFTKVVKIARSTETNEKYNNEVLILKCCLGENCFPQIFDHYSIKKFKYIVMSDSGEAVADILSRNPRKMFRNSNVLRLTTSIFRALDILHRLGFYHRDIQGYNLMMKLTNGHLVFKLIDFGNSATKKSCLKCHYNSTNTSLNVMKTKVYGPIDDYISTVYYMNVVAGFQPFDTRNQTMIEAKEKYHLDPCSLYDPKQQWMGHVLSRLVKIQKDQSKDHKSVRMILDSAIPDCHPTSPIVFKIIEKKVIIE >CRE22061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:26425:26765:1 gene:WBGene00072377 transcript:CRE22061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22061 MSTGIEIVDTCHDSCPPLKLEEPDDEKGTMYWCHPTKNGSQAPPTAVAISQHKNPFAKVLNMVHPTSPESSPPSYRLDVPQQ >CRE04477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2491:258:1373:1 gene:WBGene00072378 transcript:CRE04477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04477 MFETKNVDSVQSMNSVLMNIKDFRQSMEMLTKYDWVPIPIAYPQVVFLAVRVYFIICLISRQYLLSAPPTEAQSIVRIMTILQFVFFVGWMKVAEALLNPLGEDDDDFECNWLIDRNMSTGIEIVDTLS >CRE29220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig319:6941:9957:1 gene:WBGene00072380 transcript:CRE29220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29220 MNMVRIRHIMEMRRACKAPPLLPPKLRKEGSESTDRRGPKDVMDLTFRVNTLAPERYPSSSFYLHLYESQDSSEGPWNLITETDRLGTNDTAVLEDFLAIEFSFERLQWFKMEIDQSGYRVSEVEIWSQLRDRTQPIVMQLEAKNLSTMLVSSNSNVYIEVYRVDEDQKRMLYRSEVAKQTKLTWRPFTVQSDDLYGTKYEHGVDGKGSDMVQRMNRRFQNIKEFRQSMEMLTKYDWVPIPIAYPQVAFLAVRVYFIICLISRQYLLSAPQTEVQSIVPIMTILQFVFFVGWMKAAEALLNPLGEDDDDFECNWLIDRNMSTGIEIGVTCDSCPLVVHPTTPESSPPSSYRLDVPKQ >CRE18095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:431332:435157:-1 gene:WBGene00072381 transcript:CRE18095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18095 FRNALKFVVDSNDPRSDLTDYKQIGEGSTGVVDAAYKISTKQIVAVKRMNLKKQQRRELLFNEVSILRQYQHPNIVRFFSSHLVDEELWVVMEFMEGGSLTDIVTATRMTEPQIATISRQVLGALDFLHARKVIHRDIKSDSILLKRDGTVKLTDFGFCGQLSEEVPRRRSLVGTPYWTAAEVIAREPYDTRADIWSFGVMLIEMVEGEPPFFNDQPFQAMKRIRDEPEARFSRHAKVTPELSDLLNHCIVKDVNQRWSSRDLLHHPFFVKSQHPSSIAPLLLQLQGNTINGNTTQQLQQHSQITTVIQ >CRE18093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:415529:420724:-1 gene:WBGene00072382 transcript:CRE18093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pptr-2 description:CRE-PPTR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MTZ6] MIPADAPPPTNIGRVSNYGGGPMIPRRERRQSSSMFNISQNRELVKLPTIKDAVPDERETLFIQKLRQCCVVFDFANDALSDLKFKEVKRAALNELVDHVSGAAKGSLSDAVYPEAIHMFSTNLFRPLSPPTNPIGAEFDPDEDEPTLEAAWPHLQILKRTFSRLKPKNSLIFQLVYEFFLRFLECPDFQSQIAKRYIDQNFILRLLMIMDSEDPRERDFLKTTLHRIYGKFLGHRAYIRKQINNIFYSFIYETERHNGIAELLEILGSIINGFALPLKEEHKTFLLRVLLPLHKVKSLSVYHPQLAYCVVQFIEKDSSLTEPVINGMLRFWPKQHSPKEVMFLNELEEVLDVIEPNEFQKIMVPLFSQIARCVSSPHFQVAERALYYWNNEYVMSLVADNARVIIPIMFPVLFKNSKSHWNKTIHGLIYNALKMFMEMNQKLFDECSQAYQKDRGNEKTQNEEKERIWMTIEKRARGNPQYLEVKELFARFNPDDIICTRQATDERAEKTELSKDEILKNAVGVSSLKDDREIGPNHKQSDFPPDEQTSKALGEYKRHDPFLKKVTSSDEQ >CRE18092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:407258:411108:-1 gene:WBGene00072383 transcript:CRE18092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hot-6 description:CRE-HOT-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MTZ5] MKNQLLVISIFWLFGDCFATNCFHCVSPDKQYNATVRRQLATQTDIFFYPLGVKSHYCSESIDPEYPHIIEGEICSKYSMCITLFPNLQDSTFMVRGCFESILRHSRRTEEQLHQDGCYLLRSLPMYSNTVTMDYVVCTCHGDYCNTMGMPDVVPKPYSFGKSNILKLTMAEERNALQLATSATSTFNVSLVSSFLMILIMPFC >CRE23721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:101690:108150:1 gene:WBGene00072384 transcript:CRE23721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23721 MADIPPEEQYETDTIFSQEGDLPDSYLQTKLRDVESDSEVFSDGESNLKSEQFLTVDLPSPTTKVDSDSFKKLVENSKFVWGSGNNATPSSEFDRIVFQDGEYDITQDSFRYGRVTAGPSYNLVTGKMPQEILEKVKEKDDEMIETVTEDETTHLITTEVKISLNSGDGDCSEERFSVFDGLYDSQMPKFFKYRDAFPEIHGIWTLLFDQEHYGDMSTFELQASICAALNSKHHLIICIGVDNYNTVTGVEMSATERVVFRMALTRAVAGEFQPPLIKVPPKQLTGVSPMKRDVSEMTSNIDVMFIPVLGGGSKGSGDSAPSRFLVVVRVKELTEKLYQLSSGRIYVEENGRVVELANVNEAFHNLIINKETPSVGSLFMIEPEPFIEDTQLEIDEYDSSEEDEIEDIVVKDSEGVPSKIEAVVQEIVIPEESPATNNKIVARLSSATESILSRLDSPRVQNFGWLLFATAVVYSVYQAARTKF >CRE26939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8084:1119:1932:-1 gene:WBGene00072385 transcript:CRE26939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26939 IAIIFRSSLWLRYSIFLRDTKLILLQTYAVSMQLFFVVALIFYRTKRRKLIRLMTGIAAAMSLLFLYIDNLNDEDGKEFTGRIASGAQIAGSLVCPYLIYKAVTSKCIDFVPLAPVVFTWVMELHAIVYSIGIDDFYMLLANVIFFCMDGSLLSMFFVYPTEKKKKNLKSPIPTVM >CRE23724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:126377:130371:1 gene:WBGene00072386 transcript:CRE23724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-haao-1 description:3-hydroxyanthranilate 3,4-dioxygenase [Source:UniProtKB/TrEMBL;Acc:E3ND05] MSCFTSTKLFVSHQEQLVRTTSFVSSEEHQKKIPEAPEAPKPLSVDQTVDHKMAGVTAVEIPQWIKDNQGDFVPPVCNKCMFSDQLKVFYVGGPNQRKDFHLEEGEEFFFQRKGDMVLKVIEKGQVRDLVIKQGEMFMLPARVEHSPQRFANSIGLVVERERKNTEFDCVRFLVGSSNVTLFERWFFLTDVVKDLPPLIKEFYNSNEFKTGKPGKGTFACNAPYEARWTDLPVPINRKEFIYDHISEVKNGPVKIYGAPEYKTEVMLLGEGSYDLEAGAVELLIWLQENTFAVVEESGFTYALKSETMVRIKPSTKCLLNVKGGFAITIRMPG >CRE23725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:133317:138372:1 gene:WBGene00072387 transcript:CRE23725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23725 MPHESPYAPVKIPERPYHELLLDAIDKTIAEGKNKIAFISGDNPNYTLSYEQLRKDAFAIAMYLHSIGFKKDVAAVVLPNVFHYASFFIGCAINGGAVSGASALFTDSCFLLIDTSDELQRQFVDSRAKVVLTYEDFLPKVLLAVKQSPNIQKIIVIPKPTGSNLPAGVVSWTEVVSTPVTAPPQVPINVHNDLLVLPYSSGTTGPPKGVMLSHYNFTSMISMYLAIDKSHNLDVLDPNWDCYKEKALLFLPFYHVYGFGLLNHCILKGMTGVVMSHFEPNNFLTAIQNYKIRVLCLVPPIMVFLAKHPICAKFDLSSVQMIMAGAAPAGKDLIEELKRKYANLRYIQQGYGMTECSMASHLPDLRNDQPFGSWCIVGGASWHEFQIVEPGTDREQPVNQRGEICVRGPTVMLGYLGRPEATASTIIDGWLHTGDIGYINEDGNLFIVDRLKELIKVKGLQVPPAELEDLLLSHPKIRDCAVIGIPDAKAGELPKAFVVRADNTLTEQEVKDFVKPKVSPYKQLEGGVEFIEEIPKSAAGKILRRFLRDRSNAKL >CRE23726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:139795:150682:1 gene:WBGene00072388 transcript:CRE23726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23726 MSEKSKEKKHPADKMKETFDKLNNEIKTLGMILGVKNNENPAPPAASGAPKASDEAPKSEYHKEVTAEDKKARKAAKAAEKKARAEKSQANKAAAASGAPAQKQEKPQKSAPKPSENTEKELIEERDRLKKETEQLDAQMEKLIIVEDNKVNGDLKSAIKKSNGVEKNVGHVHNVIMPSAVTFDLPKNQVKIVASASDSDEGVASEIESTAVSQLDDATCLAHIHPAFLTLLATAEMEKIPDVETVCIKFIQAFKEFLRDWSTEREKTHSDVSTYGHDLDLAIRPQLAHLTQNGHWPLPFALGNTVRLLKRTIKRVEEETTADCEEALQQYLEDTLAINFSHAYKAISQLLVRKIRQFKKVVVFDWCPVVNYVLLDAKTQISDMQLSVIDANNGGRGTRHVQSFVERGYNVKYVTMKGASWASQDGAVLILGCSAIFANGAVAAQKGALAAVLCANHYNIPVIVVAEHFKFIDKGHVYQRVALLGRQNIEVIQSDLVSAVVTDLRILGPTSAPAVLKAKALTDMA >CRE23732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:151797:154079:-1 gene:WBGene00072389 transcript:CRE23732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23732 MKKCQMGGILNQNKKTSIFKIFFTFHFRTPIMKTAALLGLLLILSTTAFAFDPQATHTLDPAIPTVAPHTTDSSADSCAGQCAFQFMDNIRSQIGQDRASSLMQLNFNDFLTSFSNTTFFEKFCKIYHNFQSCESKCTPGFLHQLLMRSSEIIDHYCVYNFDKIREKFPCLIKMKPNKDCVKTCTPHHDAVSSMTENFKNLVLSGDTTRAEQYLAQGCEYITCTLHCDVPTIAHECDSETAQLVIDLTRRSFASMEKMALDTNAVAKWPQVCADVKTYRMPTPSTPPKGEDDNVVAQSNIVADHPITHPKLVQAPLVRAGSVLSIFLATVIPVIFLF >CRE23733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:157754:160820:-1 gene:WBGene00072390 transcript:CRE23733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23733 MKLLLTLILLSIITIASCSYVDDSRMEEIECSIIAILSDQIEESVACNLKHLLKNDPLFKPLIQTNLHKKRSAYEVIGVHTCEENEPCRVGRTRSCLCEEDHVCDVSAANKGVHLCVKLGYFKMFGGE >CRE23727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:162438:167787:1 gene:WBGene00072391 transcript:CRE23727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23727 MLRWRSALFTLVNTTMHISNLVVRELGLTNVTELEPFATINGVTVVELDAAEGHFRVHLVRDSQYMNVKLEEGSKLAAYYNSLFWHEISTAEKISVPSGHFINRFRVSDGDVQHSVFITKTDGKGSVREKLGMEELKQVATQIAHLHAINSRVVNEQFAINVEENYRNIKSFRKKIQKDVVEVLQLALANEVSQFFINPAAILQKVGILTHHLENIHEDEREIWNRHRVITHGRLSAETCKFDEEGNLVEITEWENIHLGNPVEDLTNLLVTSADVEIRRKKFMKIFQVYFYALVDLQPPNYQLLDLKKWFKEYEAEAVLNGIEALLLTLSENPDDKIKRAAAHRWETSLDDTVDFLTGNYVSDDEHTFFAHKEDE >CRE11392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:8692:11602:-1 gene:WBGene00072392 transcript:CRE11392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11392 MRKRCLKCNHTDLCHNHERPPAMTRKMCAKSAFHINNETINIWSHLLGFIYFTYQQYYTNYIVLPSVGSHKADHFVFTLSIFGMQMCMLLSASYHTFGCTSIEMRQKWLKMDIFGISAGLLRMYLNGIYTAFFFSQDHLTFYIYILLGIFVITAYVPTRQDFFERKIVGSRVGLLHIIYCIIITFGICPTVHWVFLHGGFDSDHVVKWFPNVIVLYSLIAAAFMFYVTMVPERLWPGKFDVVGCSHQWWHIFILGAMIYWQQSGNQLLTEYRSFSDSCHRFIPQQNFSEISHSISNYSHPSM >CRE11373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:16657:17593:1 gene:WBGene00072393 transcript:CRE11373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11373 MLPDSKHWPRSTRVILLQCIANMAVSSDNMDVMKLALNHIVSRLTSEDEMEDVVAMQALTNLSINIRKKQIPKFVPVIPHCLNRLWIRGEVNLNALRLLVNLSCCPDMVPYLLGNKSVSGLLRILDTDREEVLIRAVTWILCTTSAVDALNLTYDRIAEHNLDPFHNPSHTLFFSIYGPKGREELELQARHLTNHSNKDVASKSVRLLETLANVPPFPMAGNHLNRL >CRE11395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:22680:24251:-1 gene:WBGene00072394 transcript:CRE11395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11395 MSAIIMRGIDSSASSRVGLLHIIYCIIITFGICPTVHWVFLHGGFDSDHVVKWFPNVIVLYSLIAAAFMFYVTMVPERLWPGKFDVIGCSHQWWHIFILGAMIYWQQSGNQLLTEYRSFSDSCHRFIPQQNFSEISHSISNYSHPSM >CRE11396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:24730:26348:-1 gene:WBGene00072395 transcript:CRE11396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11396 MRKRCLKCNHTDVCHNHERYRLVSKHKLHKTLWQNDYVINHYRPPAMTRKMCAKSLLRFHLPHFSAFHINNETINIWSHLLGFIYFTYQQYYTNYIVLPSVGSHKADHFVFTLSIFGMQMCMLLSASYHTFGCTSIEMRQKWLKMDIFGISAGLLGMYLNGIYTAFFCFQDHLTSYIYILLGIFVITAYVPTRQDFFERKIVGSRVGLLHIIYCIIITFGICPTVHWVFLHGGFDSDHVVKWFPNVIVLYSLIAAAFMFYVTMVPERLWPGKFDVVGCSHQWWHIFILGAMIYWQQSGNQLLTEYRSFSDSCHRFIPQQNFSEISHSISNYSHPSM >CRE22115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:12849:17924:-1 gene:WBGene00072396 transcript:CRE22115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22115 MAHFQMLRPPQLLSRVSTRLIRRKPGPQEPGILDEGVTFSDVHNVILTPTTKLPYPERELREHLEKTSTEIMKERNRLRYGIRKKNDSGRIDFSHLPIEEHVVVLFPGQGAQFVGMGQKIIDVPAAKRIFDEASEVLGYDMLKICQEGPKQKLEATLFCQSAVVTSSVAAFEALKASDASIEENLTDVAGFSVGEYSALVAGKILSFGDAIKIVKTRAEAMSECGKLVKSGMVTVRVKATSKLEKAMADARKAAVENRELDICEIANYLYCGVRVIGGSETCLKFLEENQDKYNIQVLKRLAVSAAFHTRLMETAVEKVAKSFQNVEMSRPVCNVYSNYTGKVMSTKKGDVRGAVAKQVNSPVRWEQIQQFLFRKHQNEVFPRFYEVGPGRQLGAMLFQTSKKAHKNYTHFSC >CRE22116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:18972:25198:-1 gene:WBGene00072397 transcript:CRE22116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22116 MFSETFVPSIFSYKHRLLHLSVLFFIVPYWYSHYNEQHRLSSYSVETAMFLSWERAIIKPGAMFKKAVIGFNCNVDLIVSGVRVVEALNTTCSEGKDQETLESLADLHQTFAHFFQRGAAAERYMSSEDQFNLLVAESEASTRSHHHIGGNAALMADRIAANFPSTEVYLVGPIGPRSSALIHPSVKRTNSTRILKDELHVILEYKQGEILGDWVAPSSSRFITSHDHFSGSMVVMEMFFKAIAQFRPDLVIITGVHLLEFQSKEMRQEKMRLIKRNLLQINQKTPIHLELGSLADEVFSSDVINKILPYVDSLGINEQELTFLSHIANGPHMEEYPVQAGTVHVHKVVEMLHWLLKTYGRDPTGQNPNKIGYRLSRIHFHCLTYHIMVSSGTDWSNLAAGLAAGARIAGRLSCNIGANTMDSELLEIRTAANFVLDKKMEKSYQFEAHNPIASWMREDVLFVFTPVLVCRLPSKTVGIDDAISATGLLYSQFYRLGRPTHW >CRE22117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:27707:37054:-1 gene:WBGene00072399 transcript:CRE22117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22117 MSARDVMAAMLDELMGPKRNVELGDDMKVTFDDPNICPYYLVGFCPHEMFVNTKADLGACLLVHDDNLRRMYPESPEYGQLGFEKRLLRFLVQLDEDNLRRMGKNKDKLEKRAAAAADSSDSIDNRESSDRRVDRRRVDRSRSPHRTSRDSRDSRESRDSSRRDSPRRDHRRHRDDRGGYDHRDRRHHHRDHRDRRY >CRE07176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1934:87:6378:-1 gene:WBGene00072400 transcript:CRE07176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07176 MDEDQCARRNAQKYLKVIDPDYYEFEAHIFFDDAFTQNEYGEPEINKFVKKIVDVIDQAASAVHQTQMRLKPPKKTKTPYGGKLTYIMPGKNKLYIHLKDNKKIRNKKRWSQVMYLYYLLGYRLMMKVDDPSRKEIISENTFILTLDGDVDFTPQSVYLLVDLMKKNRRLGAACGRIHPRGDGAMVWYQKFEYAIGHWLQKATEHMIGCVMCSPGCFSLFRAYALMDDNVARRYALTSEEPKHFIQYDQGEDRWLCTLILQRGYRVEYCAASDAQTFAPEGFNEFFNQRRRWIPSTIFNIMDLLKDYRNVVRVNESISIWYIIYQLVMLVSSILGPGTIFVMIIGAISISFTIDTMISLVIVSIPVIIFIVVCLVCKPEHQLICAQTIGAIFAMLMTAVIVGTSLQLQKDGLLSPHSMFTVAVATSFLTAAILHPLEFTCIIPGTIYFLAIPCMYMLLPIYSVCNMHTVSWGTREDPIITTDKTGKGGKNAGNLEAGGTMQRSGNWCTRLLCCGRGSVDPMAVLINDKLNDVLKKVERLDRKHHPSLNRRASILSSTGGTIQIDKCSEADEDEQAEIEDALEMSNQSHAARKNQKWKQAQSEVWLADKALKRAEREYLEPEEESFWNDVIEKYLAPIDMEKNNKERLEAGLLGEF >CRE08604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:1539:3181:-1 gene:WBGene00072402 transcript:CRE08604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08604 MIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQISEAKSPVDEKYYDEGNITEMDDTQKSYTSYEDESDSDMSTTLSPAIPTPEQPSTDTPLSSPIATKPITRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVVVALEKIISKFKSPPIYVYSDFGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVDVLSKVVDGINRSVNRSIKKAPIDVKNGDFSFRRRERRVRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEVIDGKFYYHEMIRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSAEFDSWVNESEILDIQQ >CRE08597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:5002:6228:1 gene:WBGene00072403 transcript:CRE08597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08597 MEDFYLTLPSSTETPYFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSVPDKSPGKDSDNASHDEVLVGALTGGSAPDKSPEKDSETSSDNTSHDRPLVGALTGGEQGSEDGPEKDSEASSDNTSHDEVLVGTLKSGKQGSENTDAQTQDSTSESKTSSGNSEDTPHDRPLVGALTGGEQGSEDGPERDSDNTSDANSLVKALKGGQTDSEILAFLLTFILLTIWEKKERDQIVEALKSAETGGVKENDEKKEDGNDVLKKILEKHFVELEKLHGVDSSLQEAIRKMTDNQTAFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDSSVVKKSN >CRE08598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:7675:9033:1 gene:WBGene00072404 transcript:CRE08598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08598 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIPLHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVTDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYFENLLMYSNEQKSSTLSISGYSPDSVIDSKEDSAFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE08609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:11575:16507:-1 gene:WBGene00072405 transcript:CRE08609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08609 MATYPRNSPRPAPPPQVRWAPMVQITAHVSLYPGNPLVRVTLDLTPFERETYGTRPLQTFEMIDYRLVPCRPRAPAIVHPANAGVRAETFTGSTQTWVRRRAAENHGLRCCCDAMYSHPERRHDSKGMCTAWAVGLLLADDPRKRFLFFFSLHSEPPFTPSLITLFSLSSFFSFLFSSLLFSLYTPYSVHSALAHRATSRNNEDKISENTHLHMQSNESPPPLERSLSEILLERTPSETEQEEPMDTEAVLEHLMDLEEDPVSPVAKKMRQANEEPEAGSTSEMTESGVQSDSRASSRAPSPAASEVQNPVHNPKEANEEPTTGSTSEMTESRVQSDSGASSRAPGPAVLTISASEPDLVLPERKLPKNLVEKEHHPWENNYNKDLGHVPKNVAKAIATSTTIEDVQEFRNKSVQWARAVTPSGGPTLKGRFVEEMKTIKSRPQTPGVIYILKSSNGNLWLATPLNLEVSHPDRPDLHGAYLSTFSEDLLNGTQLHHNNFVLGDAVYVTEMRSRPKRETEDIATHWKDVHAKETKGFWDISKFYILERTYKDALTSRMPHNKKNSKNTMVTASGVNVPISVRIELYHAAKIPNVPNAKARGRIYLPSLQPGQPLSSIIPGKPRNMVLARTCDKETFTTVYPSVLSFQHVTAEEAESLRHPDVFKDWNPQKPDPLRAMIRSTFLAFSGTLAVANQDKDLQPKVTIVDNVSWVRGRPVVSCDIRSPYGQPHPEKWTRGTKLVMETADRPFDVEIESSTPDLGKITLTLRPMSSKPTVCDSARNWKGQQVILAQQLENNKRSFQTFPLVRDFQEMRKDAPIRLLLEAVLGGNKIARQEVRDQEVKVALEGGPLTAEQKNYVNGFVRSNHPAVVADSPFGTGKTYLIIVALRLAALELEKDKLHMATAVTNGAVAALVSTFLKFPEDKKIRALRVISPSNHDQIEEKVRTPIDYPTLAISTLLDYVKKVDSETGEDRVPCHLARTAVQHLVRDNANALDGWCPKNKELQSVFQTKEEPSSSCWSTFLRIYKPNVLFGTAASMIEFLYDGPLKNHRNTIITNQIDEASQFPMHQLITLGSLCPNARYALIGDVRQLAPYAHSGLSNEFKKIAVGALLESAAKSIPVFSIMTVRRCPQKLTQVCSDLFYGGKLKSARSSTEPNPYTECLGIESKFPIQIITTEGEDQLAGTSRLNVEEAGIAAAIVKKIQGAHPSKTVAVLTFYKAQCGHLSRMDALNNSFVGTIDASQGLEFDVTIVLTTKSSDFNSKDAREDQTSFVEDVRRINVALSRAKGQVFVLLNRKAAGTSKIWNLFFRKVPKGATHRGSRFVQH >CRE08613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:43256:44554:-1 gene:WBGene00072406 transcript:CRE08613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08613 MKLIRKYHIVPYENGSAVESAKRFLENVLNDNTLDPSEKCRFYQDLLYRIRNHRDMPIVNEEMVSIVQDNLIHHGGPPIPPPPQNKLLYNTPPPQKKVLRNPTVLPTIREEEENDMQKKEEEKRDYDFGNYEQHELTPKLKKSLMKERFVPFENPTTPQIPVHPLPPSPQFALRYNGGPMLNYRGHPELQFHPYLSRKPKPIPRLKRSKKKKTIKKKAAPKRKYKADDDEEPDFDPLEKKFRHTIEKKKAVRKIKSDIKSDVKSDVKSELKLDSDIKPQMKRKYKMDDAEEPDFDPLEKKFRHTIEKRKVERKIKPDIKPDIKPDIKPDIKPDIKLTASMKRKYNLDPKEEPDFDPLEKKFRHTVVKSKKKKSKKSKKILPNLKKRKLSTTLPDFPHSSDPYVNNGPAKRRVMRGSGPAPPGSRIYCRLWKM >CRE08600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:46420:48129:1 gene:WBGene00072407 transcript:CRE08600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08600 MDDFYITLPSSVKNPQFENTSSRYVTRLPQVLHLEKDKYVVAVTDIIYPYSFVNVGRELNYWIHFKNKEPVNVTFPAAQYSKIEQVIDALNGKTPRMKRRAPPDLEYEMKRAKREMSEAEKLARIAASNAAFAAEAKRQQVLEEAKNEAIRLSNHVFADAANKRRLKEEAEQAAQRASQGAPVTIPATNEKEEEELKKEKEAQEAKKAEDARKEAKRREDAKKAQDAKNEEDARKEAKRQEDAKKAQDAKNEEDVRKEAKRQEDAKKAEDAKKAEEVRREAKKAEDAKKAEDARIEAKRQEDAKKAEEEKKEEEKKKKQEEDKKIIDHHLSVVDDYLKPFTEIPNQIIKASNKAFEDEEKRRQAAIDLHYSVEKDALRRIEIGKEYNEVVLSVLDRPIDRHEYINVKERLERIRSKMETWIVQSGNEYLHFKTVDDRIAIEFKDPDQILFVEFEPSCAYFLGFHDTIVRKSCTAPSNLDYFGNVSTLYLYCDIIDPIIVGDQKNQLLSVIPCKGKYGEMIHHTIPQPRYLPIMNSTINSIKVELLSEFAEPINFNWGSTILVLHFKKIK >CRE08601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:50591:51913:1 gene:WBGene00072408 transcript:CRE08601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08601 MISRMDPKSHDVIIDELDFTSMPGTQSSVQNTRWSVVNLKNAFQPDGPWEFILTNNSRSYLNLKRTYLIFTFDITDTDGNVVTIPARETGKELIYGPINNIAHSIVKNFTLHLNSQLVYHNSDNYAYKAYMENLLMHSKDIKDSTLSAAGFFHEEDVGSETCDGFVKRCGTGSTQVAANISIDLMNQPRVLLNGCNVKLTVYPNKSEFLIQGYNLGTKKLKFNVRDVYALVNEFDLTDGLSNAIESAVLEHKQIQYPMICSQVRSFYIDSNRYDAPANTLFTTKLPRRLFLGLVSSDAYNGTFGTSPFCFKPYGLSNAYIDVCGMSIPGRPMNLDFKKNKFIEAYVLLQEALGHSRNNFSSCAIDRQMFAEKGYTILGFELSAVATDNSLFDLIKQTNVSIRLEFSDLVPSGGLYCIVYAEFDGLLSMDPLRNPQIDMSV >CRE31547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig327:19086:22278:-1 gene:WBGene00072409 transcript:CRE31547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31547 MENIFQTETYPKKHEKRKMDDLADIAEGGDCSSERSELVPKDKGRMVYWIILLNGIGVLLPWNMFITIAPEYYVDYWFTVNGTKTSYADSFMSAMGIVAQVPNCIIAVINVMNLIRGPLIYRVLAPLAFNSLLIIVILALVIFQQPSDDARNWFYIVSLIIIMAMNGSNGLYQNSFFGLAADFPAQYSNAVVIGTNICGTFTSVLAIVATLAFSNQPKTVALIYFAISLSILIICLISWWFCKKQEFYNYFVSKGNRARAAQAQSSFDYRQYLETFKYCWLQCLCVFLVYFVTLSVFPTVLVEFSPTTKNGDWNSVIPKNVYNGITTFLNFNLLAAIGNVCATFVTIPGPRLLIVPCLIRLIFIPFFMFGNCLPDTRSMPVLYSNEWVFFFGNTIMAFTSGYFSSLGMMYAPRVCPPEYSKLAGQVSALSLVLGITAGVGFTYAINAMMNNF >CRE30180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:54516:64595:-1 gene:WBGene00072410 transcript:CRE30180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30180 MYEFDVSVIIPARNAEKFLRETLNGLLAQTAVENARIEICLADDGSVDDTVRILENSRLEFEELGMKVVVSHVSQPGGVGAAKDCAVRSSRGRYLCFNDADDVSTPDRIRCQLEMARRLSKSSDDLVFVGGQFHRFPENSTSRYTKWANSIKGEQIKRTTRQSVGKYSFTTYSFTTDSSFTTLLIYYHHSFTTTYSFTTDSSFTTFTHLLPYYSFTTVCSFTTFTHLLPFAHLLPTMLRNPARIFSQSAFIFILSVFFSFKIKFCYNFRNKFLRNMTKKWKTPSELEELMNANKFDTVDEVGMTELARLKSDGELFVKLIASKCGKMPYDPHIWSKTGRLGGDVKVRHQPKYVKVNVHGTAVTSIRCTAVDNEYLKKQIFPYENHVYVYYFLIEDPEDYDVPTASSTRKRRLEGIDGLFFKSRKNAKISAHVSKVLKEDIIPFRNLDGARRVALEKGLTVTTKQVCLGIRNFTNNHYFQIQNLTRSQNTAIVGRSGPKSKSTIRTIERIELEYPGQTVYSIDNSNLLQFSAWHSFPTAIAMYLKSCPKKEKLVKWQEEVERIVKLNREERREAVNSILKKHKGGLVFPSRLHCDTTFNLSDVYVTFLLGECNKFRTKTSGKPRVLPLGFMMHSDKKSETHREFAKFLARSFSGDGDVSIPCMLADGETTLEEYSEVGNKWMTGLLGALDECELDRRLRCMDGKIEKRVYDWLLKNKTMLMKSASAAAKLRAGHVLQYSTNNSCETFNKIVKTQLNKRLPADQLMNQLIRICEEKIEDCWISALNGSDTVVLKEFPSTQFSLIERKLFFCDLGLYAPTLLALDVPLRMVKDFNMNRCSKEDKETEFLELIYSSEDVFGLKYIEFEETPSFHQVIRSDGILRCSTCSDTLPSFLCKHVLVINENNFGNFNFSRIFSLSLLSENERCLQFHNMNKISSKQLQSHPQLPSRSGYKLSDRIGHRMTANNYTRKVNEVSELSVFQSADTSEATMESSSLQNSDESNMDLTNSDDFTPVTLNSRNDESGMNDETEFLKGSNSSITFSPVLSSTLISNRRSHRHKRSIRRFSPSPRSSPFASFNSRSILPIKVDVRLLSFRHSGSTETLGITNTTDSEIYYSCSLSNKKDFSVDPPEGKIGPHKLIEILVTKNQSGNGTGLLDIFYKSQKTDHFSTNPIRTQVYTSHGPPLIAPTWFISRALFDRVGGFRTDVSNGFPEDLDFFYKCLDVDECIFDKVPEDVVMYRYHPECASHSVTEQTIWNFRLKRLKEQYIAKWDKFTIWSAGKQGKRLFKCLDDDEKLKVREFCDIDESKIGRGIHEEFDEKQRIVTHKVPIVNIETAKPPLIVCVKLDLTHGDLERIIERKKWREHVDFVYFG >CRE30164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:67049:72832:1 gene:WBGene00072411 transcript:CRE30164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30164 MLQMVSSEMDTAIEARSIATSPLSSSSLSSPLCKIQKDKLLVIQWEHLNLYKFYPMALCSSWTIRCMLYPMSVVKSRLQLQRQNNEYNGMRDAFVKIIKHEGIGALYKVLLRHQSESMGALHILLSHPQDMLDILPGLDISLFPPHTRQFLVKDLPNLSNGKKRSVLTETQKLAMVDAAKQGFWMTLPQLSASFLYSSAYERVRDLLQTHLHITNHSVVSALAGGIASPCAQLIFVPTDIVAQHMMVHNNPAAFGGGKKNIPVADAIRKDGLEGKRTLGLRVIRAVYKVDGISGFYRGFLSAIMLYIPSTMVFWSTYYNSLSVFRMIREKVTELEYGVKPMSPSEVDDRNLFLDQAVSGSIGGVASAMVTNPLEMLRIRLQVHRTTYRETIVRLWKYEKAQVFTKGLAPRMVNNALYSSLVMLAYESVKRFSVLPEFKHKIVW >CRE30165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:74108:76239:1 gene:WBGene00072412 transcript:CRE30165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-13 MSYRRVASRFDRVNQWLQFSRQWHVIDANQQDAELLGDKIARYLAGKHKPIYHPETDCGDHVVVTNCKDIAMHAFDWKHTVYKFNMEYPKSKADIPAWQIHEYDPCRIAFLSVYRSLGNNLLRRRLIQRLHLFPDSEMPEFVRQNVGSQLRQVQEVVKRADEYTSEERARFPKVVKFAEGHVVDWEKSIQNPGRHSKPVPGQKEK >CRE17634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:57073:57276:1 gene:WBGene00072413 transcript:CRE17634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17634 MASEPMEEDDSFNQPVPRSTPYIPPQQSKKEDSDPESDDSFETFAPTQTTNGGSLKSSASSTQSTGIS >CRE17633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:53712:55939:1 gene:WBGene00072414 transcript:CRE17633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqv-1 description:CRE-SQV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJQ4] MLSPRRVRNYSLSMATRGACGVLLVFLFIFVLISNTSNKSISSDRVEQRERMVNGLEEEGQGDEPIIEANKNAISDDTVSSLLERIKLLEDELSSMRTRMDDAENREGNVENGDEIVAPLPTTFFFRKSFPSVRYRNEETRKRILITGGAGFVGSHLVDKLMLDGHEIIALDNYFTGRKKNIEHWIGHPNFEMVHHDVVNPYFVEVDQIYHLASPASPPHYMYNPVKTIKTNTLGTINMLGLAKRVKATVLLASTSEVYGDPEVHPQPETYWGHVNTIGPRACYDEGKRVAESLMVAYNKQENVQIRIARIFNTFGPRMHMNDGRVVSNFIIQALQDKPITVSYYNVLFVLFYFLQIYGNGTQTRSFQYVTDLVDGLIKLMNSNYSLPVNIGNPEEHTIGQFAAIIRDLVPGSQSEIINQESQQDDPQQRRPDIRRAAEQIQWRPQVLMKDGLLKTIEYFRAEIDRNKRGGKPPPEPVRHGGLESRR >CRE17637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:37487:38684:-1 gene:WBGene00072415 transcript:CRE17637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17637 MPHIEIFREPVYRTYRSSECSWAAFYIKLINIFRYFLPILIIFLTDGLWKKTNTFREVPDVSVTGDFIVYAFGHDRSIISSSYSVLNSAASPDQLSTSQILHHFSDSDSENTALYQKPNRNLNIQFQMSTQNLSINTVIYAFSLKMKLDYHSIIDSELFLTDTIQLPSFPTSQILTTARLTVDQSVPFQSREKFRIIDRRRHDVEHYQIHSVLRRISESPISWKMERKSSILLSAPSPPPTLSLSIFLSISEMEFTYRTGFWELMKWFWIQYFAVFYIIDYLFTSITSYLFRNHVFYVNDVVR >CRE17630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:24145:34888:1 gene:WBGene00072416 transcript:CRE17630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17630 MHQTLILLLFLVSVYSAVHADSVRYARELRNSTVFSGGPEHGKLNLTKMEHKVKPKRKLVRKLSSGPALTDEDERTLVDKTVTNLLKSYEHCQTSTKPKKDMETCIDKAQNKEKDKIETQLTKGNKCGGGTELEKCKDRILKKAVDATPLSIPIDDSYYGVVTSSKYGNVKIGLILAKNFDNCYDKNDKSDEFLKCLKAGGEKSPLIVAYGLFLMTGFCKHSNLKRSDEIAKQVGDFCDAKGDATCRAGAVGSIKNDAEAAINVILEIFCNEKDKDPNCQTKMTTTISEVIEGTQLDKESDKCKKETNIDKYIDCLKNISLCSFHSFISETFATKVADHCGMNRYQEKNCRKTGKNEAETLLKKVVEALFTNQCGETEKRERGYQLCLKTKLDKISIDFQLPAPKIQFVTITPLNDIVADAQYGKIAIGSTVGDKVKGCQKTNKNWKINDFINCLGTHEKAPLKTAMEEIGNQLTSHCAKVPSNERECRRDGEVNVELEIERFSLPFKLLDEVDLSKFSRKDENGEIKIGEILKKEAESCKNFKTRVEFFNCLKAAPLKTAKKALDAILTNFCREVFQKSEKEYTNCLNFDGSELETLSKLDNIPATIYALTDISKYKTTEEYGGIKIGEMLQKVLISCDGKKTVNEYFSCVSGPKGATTSPWIDITEKIGKELADVCGQKEMISDCRNDGKTEIDTKMREMAVDLIMNFCGKNAAASQQTYSDCISNVARKVDKLKKVALPISLRKEVSLPSLVIPKYGDIRIGDIVQNQAGMCKNMKKLVEVVDCLKTSKGVEPSPTKAARDSISDKLADYALMKKFGDDDWKQGVEEVRKEFKKAIQALISNFCRDNVSNKQYSYITCLNEGLINSEVLSQGYTPTDVFLQCSLKTTESDFNQCINTVTSQRLSSWTTSCATNQECTDAAKKSSAETATVAKVAAKLVSCDDSTCIQTEKQKVIDAFAENDGKYCNTPCKDTKVKVTAAVNKGLPNCSTDPSNLKCQAGFSLALKDSHCQSLPDDYNTCGKVCDAMTKAKANDGKTNWKIYFIVSGILLIVPIVYLIWFCVWCLNVFNAKCKILDMRFRRKMRKKDIHYVTRVQDGVKTKVPLYYPLPGYHNVMTLDKEAEKLGSRLTKTEKEEVESSLTSERTRRPKKLTKSQKKYRDAAFAKWLLKEHVERCKKEHDIELARFPLMGPIYIPSSPEVPPAVDTPAQNISLKNVKLNPDLNELWLVGSDVDDIELDPDFVLGPDDVSSEEDDDGAGGGAKGGAGGAREGAGAAAGGASGGGAQGGAAGGGAAGPEGAGAAEAVAGGASGGGGAAAAVGEGTVAAGTGAGPEVGAARQEEARAGARVAPGAPGAVEGTNQAGGALSGLLPCLHETPPAPQTTTTTTSLTVVQQQPGSATPAGAPTASTSNATQSSAPRK >CRE17636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:21731:23283:-1 gene:WBGene00072417 transcript:CRE17636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17636 MKLFKNWKEKRSHESRAQLCFVVEITDIQTGKLEKRPVYRTYRSSECSWAAFYIKSINIFRYFLPILIIFLTDGLWKKTNAFREVPDVSVTGDFIVYAFGYERSIISSSYSVLNSAASPDQLSTSQILHHFSDSNSENSALYQKPNRNLNIQFQMSTQNLSINTVIYAFSLKMKLDYHSIIDSELFLTDTIQLPSFPTSQIQTTARLTVDQSVPFQSREKSLLIIFNRSVCLFICCMS >CRE17629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:4668:18980:1 gene:WBGene00072419 transcript:CRE17629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17629 MRKLAGFIVFLVVFNSFITEHVKAAESSGQSSRDVRSKWVEPMKTEENATPKTKDSEDNIGDTEEVKQYARTLRKKTIPEIEKDIASRNDRDKTFLTYSSRVKRQLKRKPVRKNLSSGPTLTADDVKEVQTTLENFLIKEYKTCQTKSAHEVDKCITKAQASTEKVLGYVPFALPVSLSLSKVTANNYGGHKIGFILAKHFDNCYVKNDKIDQFVKCFKSGNEKSPLNVARDKIAMLVGNFCKNDAKDKVECREKVVGYIEQLLDAAAANIVSIFCANKDKNLTCDNAKASIQDEVSKDFDIFSQYKAVPLSTFKTDKKYGEVDVGTEIGSAAEKCKNQQSIDKFVDCLKGELDSGVIRKAISAVLENYCGGNYKKEKAYQSCLTATGNKISAGSIVEANLKGCLEKSKGKMTDDFTSCLGSSQTDPLKTAMKEISNQLTNHCAKNQLKERICRKEGEEEVQGLIRNSIDSLILTFCEGESSKYKWQEDYDECQKTSKAKKLSGGEILKKEADSCKTANSKSRDEYLNCLKGKPLATVKVDVAQQLADSCGKVERPKVNDCRINGQTEVEKQLKDSLIAILMNFCREFNANPEDTYNECLNFDGDKLGDEAKREILQTGTNACNDKKTSNEYYKCLKGDDGAQTSPLKTAIEKVKMKFADVCGQKDSITDCRNGEPLSNIKNKLKEATEAIFLNYCGLKAKNNQQEYTTCVGDGFKETLPYLNDKTLPISLRNEISLSSLVTTKYGNIPVGEILEGQTGKCKNIKALDEVVSCLKASKDAEPSPLKSARDSTSDKFADYALEQNLVESDWNQGVEEMKKEFKQTIQAFLSNFCRENVNKNQDEYLSCLTEGLKLSESLSQGYTPIDIFLQCSSKKTEIEYNKCVDTVNGERLNAWTNTCSSSGLTLRKECRDSATKMASESAQVAKVAAKLVACVTPSCIKTERPKVIDEFVKADEKYCKMPCKDSKIKIEAAVKKGVPDCSTDKTSSKCRADLLSADNMSCDSFVKKLSADYESCDEACEAAKNVEDSGKPLPPQLQRSQYSHAPPPSITSTYIQSSQQMSQRVVVQPFRPVINQQNTNKIGVHSAVTNTKKNGDVTTQQQKPTSSQAPASPNSLARHRSANSGLRNSPFQTPALRTGNIFIPRTTPPSDPSSRPATPSGDVLMLRDQLENEKRKVMRLQTEFQKDAKKKEDEHQRNLAAKDLIIDKQKKDLEMFKSTIACRKTDIPTSSSFSAPSPILKTPKIPNTIRPMATSTPTLSSTSEIKKVYPKIPAAEPKVKTPRRRAPMTNFGHISAFRHPLKDDEEDDTFQMNASFEPSATSTPKMSGLVRTGLRRPLDLGDDGDENIRGAPTPKRKPVVFKEKRKKRTRKEVQEKIQKIWEGIDKDSTRLSTILNRKDVEKPVEKPPESMETEYIGFGEKYDWVDRILTKKMESVELRKTQPVQTERFLSARVRNRVMNEAEQRKTITKNCANCHLDVEISKPEETPKTEPGALSRMQSRNTESVDEEKKEEKIDDAAVQEEPPALKINSPFERMRERLKKIQDNLRLLRTHTNKCAEGHKKDLANNFTPMGPIFIPKEPEVPPPADIPPSNITLTNVTWKDGLNELWEVGSDVDDIELDQDFVLNPDSVTEDEDEPGERSGKSKSSTKSKSKNSKKSKKSKKSKESQKSHEDMKDGGMRTPKLDGASVPSTSGTGPSTSGTGPSTSGTGPSTSGTGLSTSGTGPSTSGFPSVSAPAPTAPTAEKPNASETNKKQNKSKSKSRESSAEPRESSAS >CRE17635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:2230:3262:-1 gene:WBGene00072420 transcript:CRE17635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17635 MFNMNSMLLMLSSLFILQGVSGDKTCSGNEVQNLDNGCTKIDKNPLVFRGNLDEKIVREKVQNIKYIVSGIEVIETELETFDYLKHVERIQNSNGPAMLFKKNKNLKRLNFTSLGELKGKDKQKVRNSNIFNQNLLFQDIVFENDHFAQAFSKEADSFDDFMKLELIARKSNLRSKACSNEFYQFINQKESSDSKKTWTIIMIIAGVIFTIMDLFFTWIFVKECCARRKNKAKKRNKVKENVPVDTTTPSASTTPSGSTTPSATSTPSGSTTSIASTAPPATIETPRDK >CRE17628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:168:1383:1 gene:WBGene00072421 transcript:CRE17628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17628 MVNIDTKRNKKSKCIFQIQLAIESPPYPANCPSDSLFLTGNVASAEETTSSFVKTFASFGVIIYIVSYILCCLPCGLKPDVKVKKLETDSVVGLGMRLRILIAATQTIIGFVQQLKHFHSSSQPSDFSEHILVCVFVMVTSLIIVFAGRRIGSIEAVIISFLFTAIGELILLFASTSQFGMILSICGLYSLWISLFLVVEIFLSVRSTVQLAYFLIAWIVGRAFACLFALEPVFNGLDHIFTFILTVSIGILLFYATRMLQKSKRLKEILDNSSGPLTTGISNSAGGEYISLTAQDVLDDDDLIDEDEMDRSSMDLDFELEQLGLEPVGASSSSRA >CRE08596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:69937:73723:-1 gene:WBGene00072422 transcript:CRE08596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08596 MLLAGQIAIVTGASRGIGRGIALQLGSAGATVYITGRKPTESLNSKVGLSGLEETAAEITRRGGKGIAKYVDHQNMEEVKNFFEIVEKDQNGQLDILVNNAYQGVTAIADNIGTPFYETDPYIWDTINNVGLRNHYFCTVYASRLMAARNQGLIVNVSSGGGLQYIFNVAYGVGKQALDRLSADCAVELMKKNVCVVSLWPGAVRTELADKKFKIDDREPSSDVINAMVFANGETVEYPGKAIVSLATDSKRMKKSGKILITEDLGDEYEFVDIDGKKPPNLRSVSFILQHFGWKTTASLIPNWVKIPGWAIWAGTSRL >CRE08586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:61943:69392:1 gene:WBGene00072423 transcript:CRE08586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08586 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NIJ7] MISNKQSYFLPSMPFRRDINTGCPVGTVIEVAGKPYEDLKKSIFLVLTTNNNDMALRVELVLGQPSKLKIDCTVSQKTSTAIENLVVCPFNQRAVLKIVTLQHSFQIFFNDAKVADFVHRVDPSLVKSILLHGPLITEEVIITPATSVPLPTYEQATSPPVELMANMNLNNNQKIPLREANDFPPPPPAGTILPTAPAATIPMGNGTVPTTATAPDVRDSTATTSSSFYFTGGSQGFSNHPYPLPGLIDNGTYPSQQNNVGHLPPQTSMPSAPPITQAPPQRPPAPVAAASHYASTPITAPTSTIQNTYTPAPTPSVPYSVTYPQSAAATPAMPQPMTQPNHLYQNQPQYPPGYNPYPQQAQQPQVPLYPVPQPSAAAIPMSAMQQYPQQYMYPQAAPMVQPYPVYQQYPMGYGYAPEVIYYEGGHHHHRHHFFGHHHHHCD >CRE08595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:51505:61495:-1 gene:WBGene00072424 transcript:CRE08595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08595 MEVPLNLIKTENARRAAPNMKCMADFVVEKEVGEGSFSTVYCAREKEGAKREVALKVCLKRLILKNKMVEYIHREKEALAELSKKENSHPGVVILYATFQDSDSLYFVLSYAKYGDLWSLIQKQPNNRLTVNDARYYSASLLDLLTAELMSPASDIWSFAVTLYQFLDGKFPFEDVSEYLIFRRIQAVLYQFSDDFPDENAKDLIERVLIKEPSARLTNRWVYRPDNKWTRYLPRRNDGIKEFDYEKGGATLRHYADEISEDARPKQASKLWMAWLYRDLAGEPKWTKKHVENLFGADFKIGRMEVFRNTELMNEELWKVKHLIELRPVEFTNGIEPTDDDVFSTRLQPNGRCEVIQGGHVATENDLKLADDGKQWSRKELGRDLNKKYHQYQSVFEQNVYTPNNITVLK >CRE08584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:22986:28759:1 gene:WBGene00072426 transcript:CRE08584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08584 MSINMNANFLKLLTHFRRHDLTNFKSEHDELYEKALESGDHLEVTSHYYSVMSTVIDEYFGGNFHFVPPKFEGQPLEEALKSLHQFTLTKTPFLKNFIVIPRMKRAIVCTTGIPDVFEHIASKLELKEDVKCLDIGCGIGGVMLDIADFGANLTGVTIAPNEAEIGNEKFANLGLSDRCKIVAADCHEMPFEDATFDVAYAIYSLKYIPNLETVMKEIQRVLKPGGKFIVYDLIKTNDYDEDNEEHFKTLHHLEYACGMPRLHTQYEIEKSAENCGMPLIEQENLEETYGNRAFHYCFSSSPLFMWLVTSPVIDHTIRMAEIMRILPAGFKQFNRTFLCGTVNSIVEGGRMGILSGADILLFEKKME >CRE08589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:15779:22320:-1 gene:WBGene00072427 transcript:CRE08589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cic-1 description:CRE-CIC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NII8] MAMNFWKSSHAQQWMFDKTEIWKQRAEDMKTYSEDEYNRLNIFWANFITAVATECAHSQANVGCKLRQQVIATAIVYFKRFYLRQSFRDMCPFLVASTALFLACKVEEHTTLSVSSFLKNTALVLPKRWGVTFETTSAKNGVLYDSEFILVEILDCCLVVYHPQRPMVELLDDFRLYTNSSASPTSPLKDFESIEAQCQKVINDTLRCDVGLIYAPHIIAISSILVAMDLMGRGEELEGWMVEVDVDMEKVADCTDQIYKMYTLWRSFDEKEEVKKLMAKLPKPNQQQMTQQQQHHHHHQQQSSSGYHM >CRE21577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig273:70334:75407:1 gene:WBGene00072428 transcript:CRE21577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21577 MYISFFQKNLYNFLFPAKYHFHNTWQHNFDDYLLILAPLFSPIDIHMYYCDSYVFILFIGTLFYSNSFVNFFSCFLTKHDQNIINFSNFSFFQIMGSTATQKLLLALSIVLILVGICLTSAGCFSPAWQVVDIREFRAEHQHGLWWDCIRAEKHVVSVGDFYDETPLHCMYKFDNSAELVIQNTLNNIDEDGAAGESEHHRFWAWHKAILFFIITSEFLAFISICSGVCAPCVPSTAFAFSISLFIAMLCSLLADGVFFLAANRVDNRFVQGMVGTYEQRIGYAFYLHLMGTLCWIGAFVCTLLTTYKFVAGDQDDGRNELPYRREEPLLHDKFAAPVGYRPSPQVPYRTTSITQYRETSA >CRE21582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig273:75866:81640:-1 gene:WBGene00072429 transcript:CRE21582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-49 description:CRE-LGC-49 protein [Source:UniProtKB/TrEMBL;Acc:E3NHM0] MHTRNPSSSFIYLILVLLLFQVVACAVIIDSDYNVSSDDEPVTEASSPRKRNCTRQPAIIDKLLNGTGYNKFRIPNDDGVHVQVEFWVQAITAINEITNDFEMDIYINEMWLDPALKFDHLNPCKQNLSVSHQVLERLWTPNSCFINSKFAEIHDSPFKNVFLMIYPNGTVWVNYRVNVKGPCDLSLELFPLDIQECHLIYESFNYNNQEVRMRWNEKTAVPVSVTNRIRLPDFELIKIEPTRVSAPYPAGMWDELHVKLVFERRYIWYFMQAYLPTYLTIFISWISFSLGTKAMPARTMLGVNALLAMIFQFGNIMRNLPRVSYVKAIDVWMLVSMTFIFLSLLELAIVGYKTKNDEGAKKKCPHKKLIDNFEASPAGLCRYEKRFMLPVERRSARWGGFVRFQVFHDFWNWSPEKIDRVSAILFPACFAIFNIVYWSYYYNKKLEKAAAMKLDEDRQSFP >CRE18233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:65973:70621:1 gene:WBGene00072430 transcript:CRE18233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-adr-2 description:CRE-ADR-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NFH7] MAEEMETESINSNGDQPQAPPTPRIKEVPEGTPDFVKETVERSGKNPMSIFSELYVQLTGNQPLFEYYVRNQPGGGIKFVCITMLDGQKIEGEQMNKKKDAKLSTAWKGLEILTRHASDSMTPEVQFQMETTFFELLREHTYAKFYDLCKSNASLYGYEKVIASIFIQNNENLQVISLSTGNKGLRGDKIVNDGSALIDCHAEILARRGLLRFLYSEVIKFSTSPELSIFERGTEKLVLKKGILFHLFINTAPCGTARVDRRMKSGTAEEIQATSQLRFKIDKGMGTVLGGADEFADPQTFDGIMMGERMRTMSCSDKLLRANVLGVQGALLSHFIEPVGGYYSTIYYTSIAVAEQNNFDRLNKAVFARAANYQPPAPFKVQQVIIGECQIEDIDQSSSVAARSSVGSMNWNLADGTTEVIRTNDGLVHEKKENGVEVETPSRLSKKMLAELMMATCKLTQTAVDSPITYDELKAGSLEYLNAKQSFIKWLRQQDLGIWQRKPREFQMFRVN >CRE16360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:165771:166337:-1 gene:WBGene00072431 transcript:CRE16360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16360 MGKRHDESIRWLLNELNLNDVVTPEEYSHQYDAILIEMFKKSPAMPGAERLVRHLIQKGIPVALCTGSCSRTFPTKLDNHRDWIELIKLQVLSGDDPEVKFGKPHPDPFLVTMRRFPKVPEHAGRVLVFEDSYNGVLSALEAGMQCVMVPERTIYDPETDTEFKSRVTLVLDSLEHFKPEDFGLPAYD >CRE18249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:71627:73684:-1 gene:WBGene00072432 transcript:CRE18249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18249 MSFSTERTKKRSSSVTSFEKSWAVQMNEVRLKERRKLVRGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCQELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERRDSGAKIKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYEKLKEGCRDWEKEVEVFGKPTFTVLNASNSKMRVREQIKIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSGIQCKSVAVRGERGRRASTGDVGEFKSEGEEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKKAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVDKVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNTDRRPLSGLKKDLGKGCPIAERRGKWLRRSSTFSKPHGITEVKGNEYSRGNRKT >CRE16359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:158115:164777:-1 gene:WBGene00072433 transcript:CRE16359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16359 MADDDPDHFIDSDDVDLPSTSSGSSRRRLAFKQPHPVLRDRPPFAPPVIMGMSLPDVDATPPDSTSNGSLIDNRPKLRKAWSYDEVCVFYEGMKIHGKDFDTIVRYMAKRKIEKSREHVKTFFFNSVKAYRALLGLAEDEFNNVQRDARELFILINACEWKRKTGNMKANAEKMKELLFEGQVTVKAGRKYVTVRTPPCPALCRYFSIKKSDKIPHDLYIHLEPTSNGDHLFMRNRDQNPFLRVRLNANDRIQKLLEFLHKKWSSTGDTSAVSVTLWPDSSCELASLSVHSVESSPFISVSINKLIKNIEEVKEKSEATKKLETGELKMAVEANTTCASIPLQTFIYPRPFNLTDTVINEGITMKNIKSSIIAELYCVCGRKNPIKLRYQIVSEQAPRVPPVEPWKVMCGLLGRGYGDILKKKMSIEPPSNKRKKMDENSKSPPPAPEEPGNPECDIVRQENEDFATQLASLRKMSRKKTVAVKKNTKKPQSIVTTTPQLSIRPLPDTAPPTEEPHEFTAPICTVIPRRTTSSEVATEETEKTNESGKSKMPIDFSDVVFSPAKKRFVDMELQKKRTEEFLSSLKTPQATPPQTPGETTMQQLFGDELSMSPNSTRHAALIGDGANTSVVDFTDMMYEQLPNHNDSLSTNPALDFSVTEVHRHYNDMLSSSQNSHDYVLGQFQRKARKTPKKK >CRE16358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:146701:157450:-1 gene:WBGene00072435 transcript:CRE16358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsp-75 MSRILSTRIWRAASRLHLASSAHFTPVTTSHAPSSQKRWMASEPQRHEFQAETKNLMDIVAKSLYSHSEVFVRELISNASDALEKRRYAELKGDVAEGPSEIRITTDKEKRMNKDFIENNKENAEAVIGQFGVGFYSAFMVADSVVVTTRKVGSKSEEGLQWTWNGDNSYEIAETDGLPTGTRIEIRLKVGDSATYSEEDRIKEVINKYSYFVSSPILVNGERVNNLNAIWTMQAREVNKEMHETFFKQLVKTQGKQEMFSRPQYTIHFQTDTPVSLRSVIYIPQSQFNQLNFMAQQTMCGLSLYARRVLIKPDAQELIPNYLRFVIGVVDSEDIPLNLSREMLQNNPVLRKLRKIITDKILGSLQSEMKKDPVKYSEFFKNYSIYFKEGVVTEQDQTIKEDVAKLLLFESSSKKANELTSLGDYVKRMQEGQNQIYYMYANKRQLAESSPYYEGIQSQNRDVLFWYDPADEVDFLGNHRPSEHPVMVTVLDMGAARHFLRTGEIKDMEHLVYFKPHVHVNLTHPLVKAMYKMRKTDKETAAILAEQIYDNALITAGLIKDTSRMVGRLNKLLTSLAGNKGTSTILTP >CRE01428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig480:9235:10358:1 gene:WBGene00072436 transcript:CRE01428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01428 MSTDTPIVVSMTSEALWKKCYELENEEIVAKSGRKIFPELKYSIAGLDPDRLYSMTVHFERTDNHMLKWNKKRYIKYKEVPSTVSREDSRGYKSREHLGSFWMKNDVDATDVLITNDKTIENKQRNYVSFENPLYRFHCNPIFKIFLNPNHRYMPVLTVHEGNDVVYVAKLDYTKFIAVCRYHKDAIREIKQNQRSRRNRFRNIIYGNPPPSSTNRPQHVTFNELPRPQPIFQLRPQILNINTIMALLHIPIVPLTNLPNHILLNPVILTLSQMIRVSPMNLKANHILQNFSLFKTLISYQASIDMLVSNFLSLPPPTAILSPAAFLSDNSVPIQISTDSAAVNRDDDVDVDVIN >CRE01430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig480:10971:11972:-1 gene:WBGene00072437 transcript:CRE01430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01430 MLTGILVYLYFILQAVIVFIVAIDDDSKWSHDFFIKDVAGMFLLALITLGIYQLSRCLDTVQKWCKIKILQRCKNTMMFGFILIFALSLFGKLAALKMKTKPEDSFVALATMPLIIAVYFGFCFSANRKFKPSLAADKSWTMISVIISSHAYFLYYTIEKALTVEEPMCTAVVLLQVFISLFGAGSTLDIYIYLKEKFVDQNEEEVSLESVKIMPRELEMKSQNAEEKPIEVIFIGPLSDNEDSDFDVDDNSLYCEICHLGRYTRIPRVLVLGGHIICEECFEKLLRKDRERDVSCQ >CRE13147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig420:2905:4166:-1 gene:WBGene00072438 transcript:CRE13147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13147 MTFLFSLLLCWIISVFFQTIKSFLIPNIPLPSPHLPPPQLFSTRQPDVPVPSSPFRLFRIPYLPLGRIIDFMDPDALISLSFCSRKSHSVIKTHRKAPFNGRLCVSGYDNNLSFRTFQNRNCLLSVSSSYAYITSKERKEMEHVRMNWMYVGVYRSNGNLVLRWYGSTTGGLKETTHYVTGLFNIDVSEVCVFKDAIKMIKWVNSSQKTPLKKMVYMDWGVISSEEMIYILRNCTTLSEICILSKSPPNFRFSKKFRNIDCLDICYGQWVTIKNLLTMDGIVINLKRSTLTNNDLNVFLKHWLSGGCPRLKLFRARTGSVDIFRVLAGLLHKAVFVEDRRDYTSPFGHKWLLWDGYDIRRADGVTATVHHHPPDTVVIAVWPETTDNYN >CRE13148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig420:4651:5478:-1 gene:WBGene00072439 transcript:CRE13148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13148 MKKIAKYLMTYWEDELTGLEAITDYVMDLFNIDVSEVYISKDSFKMIEWVNNRQKTQLKKVAYVDWNRIPSEEEMNYILKDCQCSSEILIYSEAPPNFRFLSNFRRIDCFDITDSKWVTIDNLLTMDGVDIVLGTCSLSSTDLNVFLKHWLSGGCPRLKFFLAMIDTVNILQLLDGLMDNAVVVENDRNYSSPFGYSETLPFGVDIQRADGVTATVCEQMNETLVIAVWPETTYNYI >CRE13149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig420:18872:19875:-1 gene:WBGene00072440 transcript:CRE13149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13149 MELNASMELPEGFTDKSDICIDEIPSGFEKEDPQQDHFSLVQGRTSTSHKPVFYHVTTDEVNRRINGIEKLNSSSMACNLKKSKVKNGGEILRKKLEERGVEVNLNKRQNVTPNTIIPLTEAEAVHFGKDLGKSIDEAYPRDELAEEFASEALSNDSAQLESLVELDAFRECMASLKNVFSSVVPPCTGLRPKASNNVDLNLDMERFSQATHGMGIITNHLWLQELTTLGTDMAKEIKEKVESKKENNAK >CRE31293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:464167:467409:-1 gene:WBGene00072441 transcript:CRE31293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31293 MKTILILVVLFSLFDEVVPQKWRRLTSEENQELQGFCGSQPMIRSMKRKLTNSKIISRTEAPWAVMIHTPGWVCSGTLISQRHILTSRVCILTNLAQTKDHEDDVFMGECIDDDFVVYFSGHSLQITLTKPIVIKDSKIILFDKCKENDDRQFDMALIELEDDLQFTNEIHPACSVSRQVSPVVIGDLLEINSYGSTDYESKIRKNIGKLQKGRVVGDNGGSVMSTTLKLHTLIGVLKSNRRDYNSGVQVSSVAYASKKICQYSGIC >CRE31292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:457042:458253:-1 gene:WBGene00072442 transcript:CRE31292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31292 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MLP3] MENYTVYMENVTIDTETTIVAITLFVFGVISTCLMIFFMLLFCLGKKYKKNSFYRLVQLDLGTNIACHINTWIAIRFQMYPGLVFIVKFIETTIPGLLKWLKFFCIWFFHVHFWTAELLALHRMSSILFPYSYQTFWNRFYLRIFIVIWVVSGLPKFLWTGFISEVYIVDGKLMSIVFPTTRRTAGDVAAIFSIIYIALLIATGVVNYILLCRLPYVDITSKASIKRMLRNTATVYALAYIGEVVWSVLNAADSHFHIFSPWFIEHNTSLLLIVSTIFTLSLPYILLAFDKNVREDVWGRCKKRVAQIRGAPAVVSRSILI >CRE31226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:448078:449897:1 gene:WBGene00072443 transcript:CRE31226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31226 MSIIPDFHELSKTQYMLFRDAKHPQLAEQYPDLTDEELVELVASLWEEATPEEKAFYSSEAARLKALQKKFYGHLKRPRSAYTIWSNENCIDLFNQNPTANARVITKKLGIMWNDMSDEEKTPYFQQEEMEKAVFNAAVESIKNNNKTEEKKPIKGPKTSYNIFYSFKKNELEKENLSIEKTKMAEEINKTWRNMSDAEKAPFRVQAMQLKKEYNKISANQNSQSLRMAKKRKADVANQDAITLSPSLSTDSEVEREDNQTPSVRLLECSFSNLGIDDGELEQLENIEPTVKMPDDFPWEKKKTDLIFQERIVDYADYQDSQSHLLLENYFFF >CRE31224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:433636:440860:1 gene:WBGene00072445 transcript:CRE31224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31224 MCVPQPSAHEKTVRYSDTVYGKIYCAIRKLADDVPKFAHFSNILVVLLEDFDIPNITAHLEKTKEDFCSVVTKCYCKLVIHWLLMKPALKFKDLLDFVNGDTICKELRLFLIQHNAMKQSSEPLVKRVKRVCRQEVFFEGAIEDYQNFTTHEKTICYTDIYSTILKLAANKQKFANFSALLAVFLENFEVSVSSETYRHLASPIEHLEKARESTCVVLTQCYCKLVKYWLQIKPDLPSEDIKNFVSGAMECSRLRQFLIQHDGMKKLSKPSSNFVSDQETSIETDTEDNMSFKKLINPENSQPTMLQWSEKTKLDEKKNRDGELVSDYSQAIRNCKGKLKVSSPNSSTDMNRATVLSVSKAPIQHLALSVLPEDEDNFQYSNVAPKNGNNDVSCSRDVENLEQQLGQMPIKKPDNLPSTSLFNREMQARREGIYSYTKHIENRAQRLEENGLILVPIHENQEKCLMSGHSISTSTPMQCFGTTIINDAMEKPKSLRAINAIQNSEQPTSSCGSVDHEQVWEEYRKDDDNTDLFNAKLWNSSEHQETNRQASQAFYQHQYGVQTQAILWESSPMDWQHQQESSYNNQMDYSNGYTSSQNHNEVTDAIPPNDSQQFPPLAPYSHNLGILSQQSIQPTFFAPGLQLGMIQQLSQPHLFPTLPSNIMQHQDQPSRTPIKQENFPLTDITEIIKFQGFLGEEKLILNDYLTMLPNWFSTRMVRFAVAKIMETVVQPERIQWLDSIFEAIGSKIFEDYRKEKLCQIEARIQDKCWTLLDHRPTLKRRNLFNKELLIGSFIYEKHFMLFAIRNPNGAITEESETENSPSPMCSVYFLDPMGDFIGYRNRRALLMVSIFMECHFTALKKNKILPEATVFHKTRVVLKRIANLPLQSNFCDCGPSVVSYVETLLDNRWGLLQLNIDGEVDWYQMDQNGENSIDMSRRKVRSMMKLYILPQYRRGVLELEKLQERRQMKVTASSSRRSRSLERKTQRNLSVNTPIVIRDRFVLNKCIGQGAFGQVFSAYDMETGNHAIVMKFTLDAESFNNENNFLDACHGARGFPKKVSDFNFNLRDQTCYSIVQSYEGFSFNKVFAMPNVLQQPSNILKIGYRLFLLLEKMHQMGFVHRDVHASNITVDNNWEGELEISLIDFGRTRPSIPPPESVDEGGRHLSLNVLLGERYEPHDDLISMVFSLMTFFRMRTFTDEELVAQKQAFVSSLFFIKHLRNVFFQHEDPMSYFPTEDTQWVGRLYMYINSQRNQPYDREGIVDIFRSAIDGVKPTDPISFRYSDRLFWID >CRE26829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig365:4947:10471:-1 gene:WBGene00072446 transcript:CRE26829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26829 MASDLQQQLAASSLYDAESLKAFNQMYNSSQNPYGNGFDKNYPASALPFFQHFAPYTNPNATASSFSPSGSSTSSTSSQQHQHPTKKKPVPVPAEQKDETYFERRRRNNEAARKSREARRKQDNDNGTRVIQLEQLAAETIVNVAEAAGDADLTQKQGFMSIPTQKSHPHDIT >CRE26826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig365:38290:43142:1 gene:WBGene00072447 transcript:CRE26826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26826 MSDSFREVFCPREVSVDFQTSEXXXXFSEAQDDVPLPPHPHLLLLIIDEVSMCDSKLLRRIEKRMRQIMQNDHPFGGCTVALFGDLLQIPPVSTKKDRNGKSLPPDWVFKSPLWKHLVEYEELEIAMRQEHDIEFAEMLKRWRIGIQYPEDRIFLDEKAKLCSRDCVVGAVSEFQKRFDEEKTMMILAHTNEMIGKLNRTITLNLFDRKELFTIAQSTYSRKEEDVMSKVDHIPMFLVADGSRVLINKNVDTRFVNGELCIVRNIQSIDNRVVSLDLTLTSTNETVHFQPTRTCVINNSDCESWSEAYNIQPAYAVTYHKAQGQTLDEVFLAATKQLPPALFYVGASRVRTREGLHILNIDTADSICADPEALEEYKRLRVSIEDSFNRFPTGAIRVPYNLLMERVRNPAGYVPFAQVPTSSSPILVSDSLAPVSTTPTDAPQTLSRKTYAMVASTPRVVQHVFPTNSPSNPRTLALIRPPIHLGLVPIRAASCPPDLAPSQDSYDDDFPKLLAPVATSTPLKNTSTLAIENPLVLNASEVMILKEMVNNYKKTKKSKKVKKVKKIKSTEKDDGHKKSKKVKKVKRRNEDGDVSKPSRKTKKLAAKNKRILKRQRELSFDAPSSPKKQHVQIPVPHGQFGGGAPQFVQKLHFPTQEEKDFLAKNVEFSNDVTLKRENSVYMALKPLRMKFKNLETVGSDALHLHVANLLDIFIRMRIQEADGDLQKTLYWFQLTHPGYREKDGFFVTHKTYSAADGGVIMNKIADQMQSNKNLVLDESFSVVMNVFKEKERRLSGKGAKNKEKIKNTLLKMNFGGKQNRVLGDSHCLPKALAMGKLECDKRCAADEEEKKNLSKQFSNLTCHRVTHEHREKKQLELARKMLVEAQMDPNQEEHGFDDLVKLAAHLPDYQIFLWGIEGRQTVASEIEHFNPEGSKFIGIFYQNGHYEFVNHTVGTKASRFCFKCSKFDDANHWRRCDARCRRCGTFDCAPGNAKIHCNDCNINFKSQKCFDAHLLPTTGHALPYCQKYFYCKECLMIDRTDKYRGEPHVCGATSFCHTCLEKVTDDHECSFKVPTEKEKNKTREAQKFSKYIIYDVETVTVESGEYAGYVERGPKHQPNLICCQMFCTECYGGDCATCKKPWDYRDEDPNERMRIENEEDEDEDNDDDEYFDFNAIFEVEKRNTPLTLFAKFLLHDPIANGAYVFAHNGGRYDHVMLLAELDRLAGSEAEEPKVILNGMTIISANFKFKKQKLYFRDSLQYLQMPLAKMILSASLQSPDNYAKDLLTLPDKKFYSPEFMSPAKFVEFEEWYEKSYHDGFKLQDELLKYCQSDVRILARTLVSFIKVSFFVQNEKYLPKT >CRE26830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig365:45855:48569:-1 gene:WBGene00072448 transcript:CRE26830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26830 MAANLILHHDFLYKVMKNNRAALRNATNKQVIILVEIVYNILNSRNIPLSSKELSILRPIHSKLLALSDFFIHYPRSSGHKAQIMAKLVRKYHMIPYDEGGSIESGKRFLEKILNDPNLDAVAKCRFYQDVLYKIRQHMNLPIVNDDMLNIIRENFELHMPNAPRSTPVPLAPATYQPPPTFPSLPISQMTHPEASPVSQPVAVAPPQFHQINHSPVQPESYESLPEPMDVPKDVEEKGVPVQKMKKKEKKKAKKKEKLKTFSRIVTKQEPNPETKLEPKLEPKLEPEPMEAEDMKPPKTKGDPVKRVVKGETKIEVKKREMKEDKWLGKKDEMKNEIRKAVKKEVKREIKTEPVKKEVKTELVKKEIKTEPVKKEIVEKELIRPENIKQETVDRKPIKRELIKIENIKKETPDNMDTIKSVKKEMIESRGSKRKKPMIESLPSQNRPKQHTSGAYRIATKRSGEPSIFDDVTKKNINYGQIVARKAIAAIKDAWTNPKHPCAFTSVANIHNYLKPRFKSLKYDEVEKVLEEVESFTLHRPTQKRFPRLKTNAAGLFTDLQIDLADMSKYKESNDDVTFLLTIIDIYSRRLFVKTLKSKSGKEVAEALSQVFQEMGTSPMSVYSDEGKEFYNSEVSKLFKENGVTHISPKSDLKCAVVERANRTLKTRLAKYMTQKYDYKYINVLQKVVKGINNSVNRGIGKKPVDVKNGDFIIPLPDRSLTGRVKFNVGDHVRISAKRGTFDKGYEQGWTTEVYVVSRVIRGKPVVYNLVDTNGEEIEGVFYTRELTKCTYDPSAVYRIEKVLDTRTHKGKKQSLVKWEGYPISFASWINSDSMISV >CRE20076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig349:10969:11891:-1 gene:WBGene00072450 transcript:CRE20076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zig-7 description:CRE-ZIG-7 protein [Source:UniProtKB/TrEMBL;Acc:E3NJS5] MRNKEMNYLILIGLLPLALAQVTVIGNLAVVAVPESHVGTPNKTLYADDSQFCECAAQKTWKNMSDVEYGEFTRLSDGKVFPGTVNQGKVYLEIGKVSVKVAGRYRCEVRTLDKEIHSGNLIIYCESLSVKLPSNFWTSVPPVLDFPTAVRVSEVLNARPPHVIGAERKGLHGERMVLECPILANPEPMVRWDKNGEPLGNSENIEYDGNNLILTELTEEHAGKYRCTGDNSFPLFVDGPSIPHQLYFDQDIKVV >CRE20077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig349:13734:18819:-1 gene:WBGene00072451 transcript:CRE20077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gnrr-1 description:CRE-GNRR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJS6] MNIAHLMVTLVVMPKEILHNYMVAWFAGDIMCRVCKFFDVFGISLSMNVLICLTLDRFYSIFFPLYAMRARKSVQLMVLIAWTVSLVTSAPQLYLFKTAPHPCFEWYTQCVSKNFIGEMSNEAVFYFSILNIIQVYIAPLIVTLVCYSLILWKISRKTKKGDKEKESEKSSSELLLRRNGQNNLERARSRTLKMTFVIVLAFIFCWTPYSILMFLHFMGRTDWIPQNIRKFIYAFAVLNSAISPYLYGYFSFDIKKELQLLFACSRATAADRHLSCSANISRQVRECGNGVHRHATLTEPSPRPPPPPQTTRCRPGHPVDTV >CRE15056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig543:3150:4001:-1 gene:WBGene00072452 transcript:CRE15056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15056 MEEHIQFSEQNLVHEEEIGHGAFGTTFIAENISNNMKVAVKRMSNQRKEIQKYAMITENRINTLSNNKNIAPLFGYYQADEFFHIVMPYYVNGSLANFLDKVGSIDRIESARISSEILNALVFLHMKKVVHRNLKPGNILIGENGEMRLTDIAMEEFQKRVEGKFDTLNYMAPELIKARKLTYAVDIWSFGCIVYELLSGDLAFDHEDDGSLKKMICAGAYKMHGKVPTSAATIIVECVQKQASKRPSSQDLFFHKWISESAEESEKN >CRE17692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1424:183:1271:1 gene:WBGene00072453 transcript:CRE17692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17692 MDSKLLETSLSYAPINNIASSILKTITLHINSQLVYSNSTNHAYKSYFENLLNYSQNIKDSTLSAAGFFHDTAVEEFSSLGYQKRCEMVYNNKPVQVAANISIDLMNQSRVLLNSCNASLTVYPNHSDFLIEGRNLGDKKLKLNVRDVYALVHEYELTDGITNELEAALIEHKLVQYPMISTQVRSFYIGPNRLDAPANTIFTSKMPRRIFLGLVSAEAYNGSQTTSPFNFKPYGLKNVHIDYCGKTIPGRPLELDFENNKFIEAYVQMQEAMGHSRNNSTCNSISAEMFKEKDFTIFGFELSPVALDSSLFELVIQTNVSIRLDFKEKTPEYGLYCVVAAEFDSLVGFDQLRNPLLDAVAH >CRE23775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig357:21588:23997:-1 gene:WBGene00072454 transcript:CRE23775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23775 MLEILRKPAEINESYIAYRYPKYASVPKQNSLLIFADKKTMRVSIFSCIAISGGLITCYITLSILIFLEVRNRVNSMSATVQKYHLKVLKDIIQQIIIKLITFIFYPLLTCCLYFVTPEYNSINITMLIYNIFVAAPIPGTIALIIQTPAYRQFLLKTFSKRVQSF >CRE23773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig357:19324:21303:1 gene:WBGene00072456 transcript:CRE23773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23773 MKVFVFLSIIALASSRYVVKRNAYGDEQVTPAPAAPAASEAPVEQAPVAVPAPAPAAAPAPDCGAAAPAPAAPAATDSGYRSKRNAYGDEQVTPAPAAPAASEAPVEQAPVAVPAPAPAAAPAPDCGAAAAAAPAPAPAATDSGYRNKRNAYGDEQVTPAPAAPAASEAPVEQAPVAVPAPAAAPAPDCGSAAPAAPAPAATDSGYRNKRNAYGDEQVTPAPAAPAASEAPVEQAPVAVPAPAPAAAPTPDCGSAAPAPAAPAATDSGYRSKRNAYGDEQVTPAPAAPAASEAPVEQAPVAVPAPAAAPAPDCGAAAAAPAPAAASGYRNKRNAYGDEQVTPAPAAPAASEAPVEQAPVAVPAPAPAAAPTPDCGSAAPAPAAPAATDSGYRSKRNAYGDEQVTPAPAASAASEAPVEQAPVAVPAPAAAPAPDCGSAAPAAPAAPAATDSGYRSKRNAYGDEQVTPAPAAPAASEAPVEQAPVAVPAPAPAATPDCGSAAPAAPAPAAASGYRNKRNAYGDEQVTPAPAAPAASEAPVEQAPVAVPAPAPAAAPAPDCGSAAPAAPAAPAATDSGYRSKRNAYGDEQVTPAPAAPAASEAPVEQAPVAVPAPAPAAAPAPDCGSAAPAAPAPAATDSGYRS >CRE23772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig357:6400:12056:1 gene:WBGene00072457 transcript:CRE23772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kgb-1 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3NJW6] MEVDPPAQQYDASKFHQVTIRDPIASADSTFTIPRRYSNLNFLNAGAQGTVVMADDAVSGERVAIKKMQQPFVMTMSAKRAYREFILLTTIKHPNIIRLLNAFTPDTSINNFTEVYLVMELMTHNLHEVIHRLRLDHKTLSFFVYQSLCAIKHLHTSGVIHRDLKPSNIVVNDRCVLKVLDFGLARKKNVDTSMRMSDYVVTRYYRAPEVILGLPYSEKVDIWSVGCIFAEMINHTVLFPGKDRIDQWTKIYNVMGTPNDDFINQLGQSAAMYVRSLRRSSPKSLAEIVPDSNFLPETENPRVNLTSTSARDLLANMLKINPDERYSVEDALNHPYVRLWFKEEEVNAPASENRYDQDIDFADKTLQEWKGLIFQEVQRYQNDHDIFNG >CRE20040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:119425:130216:-1 gene:WBGene00072458 transcript:CRE20040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hcp-6 description:CRE-HCP-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NFG0] MTTDSGNELADCLSTYLRPLNDIDDNVATSSAEINYEDFEEFKNSTDLTFFEQDCKEILKELKKKAEKVVASGEKCDIRNVFEESGIGIMKFNLFIWYFLENGRKSDSSEDSVENGVYAASCYVAMCACVGASTDLYQVGLYNLCLKITRNCCHTVRIGEMVGGAKKVGGAKKKKGVAAKKAEPEPEETDAPVIGPPRISIDSAERFLNHLSTQFFGFLHGNTFSIDAQTLMMTMEMIEDLGRIDLDLRTISRACRANSVREFRGMERFTDRYSAFVNVLIESKYQTRAEMAYGRLIRPRLALMPYPDESSKTSKISTERKRAGELAVNILLFRLSNRHPNQKEMHLINTIIIMIYSQCPDLSEFRQNIAQFIWKCLEAMPYAYAYDFVQLMSVMSKTRGGGVKALSNELASVLLGMDWGRDDPGPLEGAEPEEGAEPEEEEPEEEEPEQEESDDDEDMEIRPKKTRKTQKSTKKPSKTPEIGRKSAISILYNIVYLACIDKSPSMRLHGANSLAKILDSEVHRIDFQKFCREANQEMDKMFGEEEEELTMEGAEPTEEPKDPKEPTKGSKMVLVLEDNIIVHKYKKLKKETLGSKWLHSLISMLNDTDNVVTEHARILIMKVLTPLLETPSDLTWTLLDTIESVTNHRQYLMTTLKDAVREKLVKKTVMESMKTHIKSTGNDQKLNGAWMVFSQLCVQFEANVDFAIAELSRVDLTQASNLVQYMVHIIENNIERIDAETKKTLVETLKDTFRDFCLHSSHARSLYHCLGKLMDGIGDKAQYPAEFQSFGDILLTKCFDTIVESFEMFKDKDEWKRESERQERLLIVCLNVATEVFSYSPKLIPAHERLGKTLALIVNSTDCSGGSDAGIAEINPDMPSDHHTRPPTAVSEVASSQKTTSGGIMSHEGVLFSDQVRAVSVVTLANMILAHDRLLKLMPMLVKQLQHNPSHQIRSNIVVAMGDICASFKTDRYAPMLAASICDPSVLVRRHAINQIARLISYGIFRFKDEIMIRMMLATLDADEDVRNDAKLYISEVLQSEDPKFFKDNFVQYMLAVTQARRLIGCNENEMDDKVIDVAIGGGISLAKSSRIAIYTFMIESLDDRMRFDVKISICERIFTRIVNGEYDFASENTQNLLDDALMVRNN >CRE20028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:130488:133294:1 gene:WBGene00072459 transcript:CRE20028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-let-630 MIGRIGLSRVLTRGLSSGEAAKLTIQPAIERSPTDLLNALSETVGPDTTAPHFAYIDDPITIPSTQSAKKTYFMAKEFGKRAARELATEWPTLFAFDRDQPRLPAFRPQHLADPFQVDPTEKSLLKMIKSREVQDSCVLYERLRSENVEVSEKVQLELFRLVAYYNSSNIPFSEWQEWAGIRNFGEESNGKWKTGGVADLLFETLQKTDETVSIMIAALCKFHDSQSVERAKELYKEHQTGKIHLEAFNGLIGASSFNAAKKLAAEMNSLKISPNISTFNALLFSAAKISKYEEKIKAFSEIIAEIRELDMEPALSCYYIIVKNLIDSKLLDKEKRGENEEQSYNKQLTLAVSWISEILNEIEGDIVFFKKRLKIRIFHIKIDFLQQNVISEPIFRSKFFKMYDFSHRFCQNQGISDENYKNLAPVTSQCHLFFVEAMGVLYRASNEKLAEQLRNIYESSQNSIKMPVFTVESTFYNRYLQLAIEQTPSLNRIQELYFSMVPRLVGVNNSLTSLVFRKISASSERNWPLLRRAIVDGITAGQLNGVLGEEMRKQLSNVQLHTLGTSEREQYTTLVQKLVAVWIEFSQFTEERMRRLQRKLSPSQISECALLLTRIGEQQKAYELLELLLDENASSGEEATVYPKGHARPWAMAELFEDALRKKDTYGAALCLEILSLTANRAKLEPLVNRMVEKCNVNQEQARILQGFVRLRPQ >CRE20029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:135015:138756:1 gene:WBGene00072460 transcript:CRE20029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ppw-2 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3NFG2] MPPMPPYPLPPVTAPPGAFPPPPMPPGPTPALPITSEHKTANDACIKRLQDLNVLPAPKLYPLPKDPGTAGHPLDILTNVFGIEVHKSVELFQYNISIKADLTPTKEVVFTKKAKEDFVVTDRHEKCCAVLFHAIERNQDFFHAPENSLIYDGQNMLYSTFNLFGDLPMGSTKFFNNETLNFQTKVIQVNGAEMGHKDLDRLPLIKLEVYTTKNPSVRFSQDDLGRRTSDTNIESINRAYHNILELALNQFCIRDFSRCVVFEHGKVFFFKPLEEGYERRDCIEVGDGKMMYPGIKKTVQFIEGPYGRGSNNPSIVIDSMKVAFHKDQTVMEKINDINLKPCGDGLSDFERDKCQAVIKGLDCYTSYTGRVRHLKIEGIHHDSALKARFQLKTGGTSTVHEYFQQRWQIRLQHPQANLISCIERGKQNFYPMEVIRVSPKQRVKITQQTGKQSQITTKAGAVPPADRQRLIMTGKNAAKINQENKILSDLGLQVYDDPLLVPARQLPRVKIAEHKTGPELPERGNKWRFNHFARPATPPEKWAIYAVGLQNSKFDRGNLAAITDRFLHACKFKGLNMPEQIDQDLVNGNNIEERLAMAAKGGCKYVLVITDDNIVNLHQKYKVIESLRGMIVQDLRMSVALDICSNSRNETIENIVQKTNVKLGGSNYIFSDMKNLLSGVLCIGIGISNPPPNTKFAYEGRGLLNPMVLGFAYNGMAEQEFYGDFVLSPAGQDTIAPIEDIINQCILGYKKWHDGNPPKAIIVYRSGVSEGNHGNIISYEIPLARTAISKSTKLIYIAVSKDHTFRFFKNEDVTSSASASKSQSQTSVGSRSSTSSRGPKVSEQNIAPGLVVDSVVTNPACKQFFLNSHITLQGSAKTPLYTVLADDSYASMDRLEELTYSLCHLHQIVGLPTSLPTPLYVANEYAKRGRNLWNEANVKDPAIRDADSERTRLQQLTDSINYKSAGDLVDRRVNA >CRE20030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:140773:149245:1 gene:WBGene00072461 transcript:CRE20030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-63 description:CRE-UNC-63 protein [Source:UniProtKB/TrEMBL;Acc:E3NFG3] MGPNEHGIVYLLIFLLLSPSTQTNRDANRLFEDLIADYNKLVRPVSENGETLVVTFKLKLSQLLDVHEKNQIMTTNVWLQHSWMDYKLRWDPAEYGGVEVLYVPSDTIWLPDVVLYNNADGNYQVTIMTKAKLTYNGTVEWAPPAIYKSMCQIDVEFFPFDRQQCEMKFGSWTYGGLEVDLQHRDKHLEKEIEEDVEGVDGPTKEIVWVVDRGIDLSDYYPSVEWDILNVPGKRHSKRYPCCESPFIDITYEIHLRRKTLFYTVNLIFPSVGISFLTALVFYLPSDGGEKISLCISILISLTVFFLLLVEIIPSTSLVIPLIGKYLLFTMVLVTLSVVVTVVTLNVHYRSPTTHTMPKWMKRLFVDFLPKYLLMTRPQPPGHHSKPNRKFDPRASTFSIGVNHILGQNSELLSPGLNSNKEESSFTLPRDNSPVRSAVESVAYIADHLKNEEDDKQVIEDWKYISVVMDRIFLILFTMACAFGTVVIIARAPSIYDNTPALA >CRE15079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig983:1297:7537:1 gene:WBGene00072462 transcript:CRE15079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15079 METPILSTSAATVENPEITPRPILVQSVPMKASAKSFSNPPTPLDSPFMAGAVSRPRTSTRSMEVSIPIHVEEENLGLGEKLGHGHRADQTGYDCPFKEHGCHKKGEKNEVKRHVRDDRNLHLMLLCQSLNPIRSKINIQQSGYVDRYVGMLQYMQLAESSFEKFGSQHTFRIPNIGLTVVKATKNKKWRTIFSQPFYSHGYGYKMMAVAAPYGDGLAFREFFSIFVCLMMGEWDDIVEWPFRCDVNFSILSNDKKELLTKTMYVSKMPEIWDFLERPTGLRNGTIGFQNFLPLAKITEFSADGDIFIQIKVLLDHDKIYQAKEIDSLECQGDAVVKKTKNE >CRE15765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig387:1050:1833:1 gene:WBGene00072463 transcript:CRE15765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15765 MTAQQIEFSMERACCLVVANLIPRGNNPSLKSLKDESSSKQAPPTAQTPPSRTPVERSGTPEEDTLANVKSLPPEKSDAPLSGKKRKH >CRE24282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig356:12296:13100:-1 gene:WBGene00072466 transcript:CRE24282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24282 MSRHRCCFGSCRLRVGACVIGIACILISILSLCSLLSVSTTMSSDAQSFLTTPIVLSLVQFATSMIMIVAVLTSFHWLLAPFIMSCAANLLALVVLCSWGVLRRHELSTTIVTILLLGATGIAVVYFWFLTIISMTFVLIRDRKIMGYDDEYDIENRQFDRASSSIV >CRE24281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig356:9439:10811:-1 gene:WBGene00072467 transcript:CRE24281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24281 MLIVTLILSAFLIYSVEGQCSSTEDSYIGDLCYTIYNQQLSFQNAQSYCYGLNKNLGVIHTTLQSNFLASLVRTKTGSNEALFWIGLSRPSMNSRFQWDDGTTMSWNNFDSNFPKDNLNVAESVLNGKWRTMNGQEALPFVCSYDPRKVTPGTAGPTTTGYYTDGSTTSTDWPASTQTQSSSSGSTSDYITDSSTQSSSFSSTSDYPTDSTTSSDYTSSSPSSSFGTSVPFETSTQSTSTEWFADSTPTESSTMSSTTTWG >CRE24280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig356:7713:8859:1 gene:WBGene00072468 transcript:CRE24280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-85 description:CRE-CLEC-85 protein [Source:UniProtKB/TrEMBL;Acc:E3NJW1] MLRFILALSLIHIVAGQCNQNDRLIGDLCYSVSTQKVSAENAGSLCLLYNQYLAVIHTTLQANFLACKFFSSFLIHSFIPKITAIVRSQTGADKFWIGLRRASLNSRFQWSDGTTMTWSNFDSSLPKNDYYVAESTTNGKWQTVNGGQQLYYVCSYTPGSVTGIPVSYPPSETPAVSYPPSGAPVSYPASGAPVSYPPTGVPVSYPASEGPVSYPPSGAPVSYQPTGEPVSYPASGAPVSYPASGAPVSYPPSGAPVSYPASGAPVSYPASEMPVSYPASGAPVSYPASGAPVSYPASGAPVSYPPSGAPVSYPASGAPVSYPASGAPVSYPAYTPDNYPSYAPTVM >CRE24278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig356:4390:5863:1 gene:WBGene00072469 transcript:CRE24278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24278 MLRFILALSLIHSVIGQCNQNDRLIGDLCYTVSTSKQTPEESEGICLVSGRYLAVIHTSIQANFLATLVKSQTGADKFWIGLHRVSGTSNFRWTDGTPMTWSNFDSSRVFQRAFYKSTARPTTVPPTTVPTTRPQVTRSPTTGKCFDNQDIEIQGECYKFVPLKLTFEDARKWCHYQNPVTASYLAYVPNQTVDKSLAAYARSEFETDDGNFWIGLSRSSGSSWKWDNGFPVGFTNFGTRTGQNYAAESIVNGKWDTFNDEEKNFFVCSYNPVSPQT >CRE24277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig356:1023:3287:1 gene:WBGene00072470 transcript:CRE24277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24277 MHFSPKKAICSQKLTLFDQKYSIFRKKCLIFSQKSTIFSHNLVTRTEKCGFQSTKTFIFSQKKLTVSVENLPFSAKSRHCHSKFDHFQPKITPRPTEGIDVEKIVEPRTENSIVVERARSENDTIQKLSRHSNAIVGRLEEGIKNVNDTLNLIRNRIDGIEARIDTSSPENVEAVVTQLVESLESVITGYYEDIEKLRTVQNKEAKELCLRVDGLHQRKTGYLDRLTIRLRNLRNNLEKQRQVLFRDLEECMRYVRVQTEKLSAIMDIPEKSNDLKEVIEKLKKQKEADDKEKAKGEYHVYDANSFPKVTKSVHDEHFRNRNPKYYQRNAPKFSNEFPKRSVGKRKMCMRKKSPERRDDTQRGLFDSPEPKQRKQRMLKN >CRE22156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig352:1847:4471:1 gene:WBGene00072471 transcript:CRE22156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22156 MSDSNSSEVFDESLSSKVFDNPHLLEIIVSNLTWNCESNLSTRLINKSFNSEFLRIIRRNHRKMKIEFIGKPERSVETAKDWIYINYRKIKKSIIPGYFNFLNKVVGVKVQEIITKNLWHPEEKFARNLHKSIHLDLIGRNRESVRRLIGLEEVCEGCVKCANMAKRCVEYGPLRFRVLKRIKNPMHYRKLHISDKLIECIADYCTLKSTTKKKCFKRLNNIIRSSISCDTLVLWISETRECYINGVLKSAHFPMPREVLDVMIRKWNVKSVKLNMIGRTTGVQCSEKWVERGYFTKIKVNDPYWKTGQSNLKIHHISVRVLDSCDCARGLMQTNPETEEEKIYENYIANLRRLFQMDKISIDFGHWRHKYSASLEEFMKNILRVIQLEKQRKLEVNIQFFTEICSFKVGNSEELAEIPSEYSLLSDRVECIRMFVPFEIVESGPERLNQIKWVGRRFQVKDMDNHFTLNLNIYVKETELRELDNGLMDTHPNSLIGVFLQLAT >CRE22157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig352:6361:8451:1 gene:WBGene00072472 transcript:CRE22157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22157 MSDSNSSEVFDESLSSKVFDNPHLLEIIVSNLTWNCESNLSTRLINQSFNYQFLRIISRNHRKMKIEFIGLAERCEETAKDWIFINYRKIKKSIIPGYFNFLNKVVGVKVEEIITNNLWYPEEMFAQNLHNIIYSDLIGGNRESVRKLIGLEDVCEGCVDCMDMAKRCEEYGPIRFQVFKEVKNPIHYRKLHISDSLLEDIANDCTLKSTTKEECFKQLDDIIQPFISCDTLVLWICELREHYVDDVIMNSHFAMPREVLDVMIKKWNVKTIRMNMFACTSEKICEEEWIDGGYFTKITLDDPCWKSGQSGDLKFQHVSVRLANSYDCAGGLMYSNPRTGYEKNFENYIANLRRLFQMDKISIDFSHWRHKYSASLEEFMKNILRVIQLEKQQKLEVNIQFFTEICSFKVGNSEELAEIPSEYLLLSDRVECIRMSVPLDVVESGPERLNMIKWVGRRFQVKDMDNHFTLNLNIYVKEIELRELDNGLIETHPNSLIGVFLQLVT >CRE22158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig352:10152:13171:1 gene:WBGene00072473 transcript:CRE22158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22158 MSDFNSSEVFDESLSSKVFDNPHLLEIIVSNLTWNCESNLSTRLINKTFNYQFLRIIRRNHRKMKIEFIGNAERSVETAKDWIFINYRKIKKSIIPGYFNFLNKVVGVKVQEIITKNLWKPEEKFAQNLHNIIQFVLIGAYRKSVRRLIGLEEVCEGCWNCINMARQCVEYGPLRFHVLKQIKVSIHYRKLHISDKLLDDIADECTLKSTTKEECFKKLDDIIQPFISCDTLVLWICELREYYINGVRENAHFPMPREVLDVMIRKWKVKTIRMNMIACTSEKICYEEWIDGGYFTKIKFDDPYWKTGQSGDLKLQHISVKVSNSYDCAGGLMYSNPRTGYEKNFENYIANLRRLFQMDKISIDFTHWRHKYSGSLEEFMKNILRVIQLEKQRKLEVNIQFFTEICSFKVGNSEELAEIPSEYSLLSDRVECIRMFVPFEIVESGPERLNMIKWVGRRFQVKDMDNHFTLNLNIYVKETELRELDNGLMQTHPNSLIGVFLQLAT >CRE22160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig352:17572:19504:1 gene:WBGene00072474 transcript:CRE22160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22160 MSDSNSSEVFDESLSSKVFDNPHLLEIIVSNLTWNCESNLSTRLINKSFNSEFLRIIRRNHRKMKIEFIGKPERSVETAKDWIYINYRKIKKSIIPGYFNFLNKVVGVKVEEIITKDLWLSEEMYAQNLHNIIYSDLIGRNRESVRRLIGLEDVCLGCVDCMDMAKRCVEYGPLRFQVLKGIKKPIHYRKLHISDKLIESVADYCTMKSKTKTKCLKKLNNIIRRSISCDTLVLWISETRKFFINGVRIRAHFPMPREVLDVMIRKWNVNSVKLNMTCRHSGIKCSEIWIEGGYFTKIKVNDPFWKTDKSNLKIHHISVRVLDSYDCARGLMQRNPETEEEKIYENYIANLRRLFQMDKISIDFGHWRHKYSASLEEFMKNILRVIQLEKQRKLEVNIQFFTEICSFKVGNSEELAEIPSEYSLLSDRVECIRMFVPFEIVESGPERLNQIKWVGRRFQVKDMDNHFTLNLNIYVKETELRELDNGLMQTHPNSLIGVFLQLAT >CRE22161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig352:20686:22666:1 gene:WBGene00072475 transcript:CRE22161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22161 MSDSNSSEVFHESLSSKVFDNPHLLEIIVINLTWNCESNLSTRLINKSFNYQFLRIIRRNHRKMKIEFIGLAERCEKTAKDWIYINYRKIKKSIIPGYFNFLNKVVGVKVEEIITKDLWEPEKMFARNLHNIIYSNLIGGNRGSVRRFIGLEEVCEGCVDCLDMARRCEEYGPLRFRVFKEVKNSIHYRKLHISDELIESVADYCTLKSTTKEECFKQLDDVIRHSISCDTLVLWISETRECYINGVLIAAHFPMPREVLDVMIRKWNVKSVKMNMISRHRGVECSEKWVDAGYFTRVKVNDPYWKTGQSDLKIHHISVRVLDSYDCARGLMQTNPETEEEKIYENYIANLRRLFQMDKISIDFGHWRHKYSASLEEFMKNILRVIQLEKQRKLEVTIQFFTEICSFKVGNSEELAEIPSEYSLLSDRVECIRMSVPFEIVESGPERLNMIKWVGRRFQVKDMDNHFTLNLNIYVKETELRELDNGLMQTHPNSLIGVFLQHNIP >CRE22162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig352:26361:32113:1 gene:WBGene00072476 transcript:CRE22162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22162 MVENHPKNGFAMCHTFVGTLPTQYDTPKFRMGSWRETLFFEFSTHFQRVFSVFLKFLSENIDFSGKMTTIKGDLVVLTPLKQHAEHNIVKIHREETAKSDKVLLIGGGQHAGLIVNRFDEKIGYDFAESNADVSLSFSVHLTDKEQKQVQEKRSLYFKLRKNVDLDVGRSVVHKFFRNLLSNLPADYASFMKRAMSLLHKEYTEIAEVIIDYKIVDEDEQVAIPDATQYDSGSELEEVTIGHVQEILEHAYPNGLPTSTISECLRCSNEETLEFLEELKTNGIVRIVGDEWIRVDTKKVDESIEAHRNANAASAASTSGQGDQPTVAIISCLFVEKQAVDALIEESSTIHKYKSGGESNVYTLGRIGSHRVVATKLALIGDSREAITSAGSITTRLLGNFQNIEHVFIVGVGGAVPHFTDASLHARLGDVIVSASRPHQYVYAHDLLFDRITEQITGFAIRNWDSEDKTIERIVETGGQELVDSWNSATEEAIRLLTSSAGDVEWKAPPESTDVLAMAVSKGNVVVMPHPNENRQGGAEIHLGTVGAMSAMKKYENAIGGEEDTLGQVIDD >CRE19310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:97275:97922:-1 gene:WBGene00072478 transcript:CRE19310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19310 MEMYPLLNGGTLLGWYRECTVIPHTLDLDFSVFKENYKPEYAEKVLRNETNFILRRKFGMLEDSHEITVVSKEEGRPTIDLFVMYDYVEDGKLAYRYISGLNDGRKFRYTHLLLEPSCAAEMHGHLFWILCNPIEQLKHEYGPLWYRDHPTDKYIWSSSGKNVKTAGKFSNEEMKKYYLEYK >CRE19309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:94860:96658:-1 gene:WBGene00072479 transcript:CRE19309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19309 MSSINVWSRGAKPAFVRFLIFGIILQAVLLVLIYKNSKPSRYSLPNNQSITANISCDDLLNEWDPEVPVLLIDLDFLENLKNEDCRWDETKRVKIGVHVKDKDGSIIDTTRFGVVFYDSQDNKDFLEFNEDGKRIIPKRFETRRIGNFKVPANIQRFIEFYKRSKFVECLGLEMNRNKSEEAYQNGTTSANILARFRDELIDMEMYPLLNGGTLLGWYRECTVIPHTLDLDFSVFKENYKPEYTEKVLRGETDFILRRKFGRLEDSHEITVVSKEEGRPTIDLFVMYDYVEDGKLAYRYISGLSGEKILEFSNKRNPEFSDDGRKFRYTHLLLDPSCAAEMHGHLFWILCNPIDQLKHEYGPLWYLDHPTGKYDWSSSGKNVKSAGRFTWEEMQKYYLEYK >CRE19286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:99553:101342:1 gene:WBGene00072480 transcript:CRE19286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19286 MSSINVWSRGVKPALVRFLIFGIILQAVLLVLIYKNSKPSRYSLPKNQSITANISCDDLLNERDPKVPVLLIDLDFLEYLKTEDCRWDETKRVKIGVHVKDKDGSIIDTNRFDVVLYDSPDNKDFLEFNEDGKRIIPKRFETRQIGNFEIPTNIQRFIEFYKRSKFVECLGLEMNRNKSEKASQNGPESAGILARFRDELIDMEMYPLLNGGTLLGWYRECSVIPHTRDLDFSVFKEMYNPEYAEKVLRNESAFRMSRMLGKLEDSYEITVYSKKEGRPAIDLFVMYDYVEDGEVIYRYIPGLNGDGTKYRFTHLLLDPSCAAEMHGHLFWILCNPIEQLKHEYGPLWYLDHPTGKYYWNSSGKNVKSAGKFNKEEMKKYYLHFKG >CRE19285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:83703:86140:1 gene:WBGene00072482 transcript:CRE19285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19285 MTTNKIFVLFLVFAFIFQAMLLILTYKYPRRTAQTRKLLENVTCNDLLDEWDTDTPVLLIDLDFLEKLNQEECKWNGTAKIKIGIDVTYEVDKSIFNYSPFEVVFYSNNGSKDFLEFHEEPRRIIPKNFERRWVGNFEIPTNTQRFAEFWKRSKYVECLGLEMNRNKSEKAYQNGPYSATKLAELRDELIDIGMYPFLNGGTFLGWYRECTVIPHTYDMDLAVFKENYNPEYAEKVLNGESDFVLRRKFGMLEDSLELTLYPYWDQGLLIDLFVMYDGVENGTLTHHYVSGVNSDGTKYRYTYPMYDPWCAAVLHDHIFWVSCSPMKQVKHEYGPLWYLDHPTDRYGWNSSGKNVKIVGKFSKEEMEKYYLYY >CRE19549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig443:11244:13817:1 gene:WBGene00072483 transcript:CRE19549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19549 MPCPSKCHGGPGYASPQDAIKGPKEEVLFVTAPHADYGPDAIFTVDVNPESDNFCQVISRVDVPNIGDEVHHTGWNACSSCHDKPTEKRSHLIVPCLNSDRIYVINVENEKEIYLEKTIEPSKLHSLNLSFPHTSHCLADGNIMISTLGEADGTPSGNFLLLDGKTFEPKGTWPADGKVVKFNYDFWYQPRRNLMISTEWGSPSHIKKGFNPAHVSEGLYGNSVHIFEWNSKKHLQTIDLPMPLGALPLEVRFLHEPTNENAFVGCALGSAIFRVHPDKKNPAVQTATLSASIPSKRVSGWSLPEMPALITDILISMDDRFLYVSCWLHGDIRQYDITDPSNIKLNSQVYIGGSIHSESNIQVLDQDHSEIPALYVKGRKIEGGPQMLQLSLDGKRLYVTTSLYKQWDQQFYPENVRSGATMLQVDIDPETGKMEINQNFLIDFGKVSGGPYLAHEMRYPGGDCTSDIWI >CRE12392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig776:5585:6875:1 gene:WBGene00072484 transcript:CRE12392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12392 MYGLLSTYSSASQVMIEFSGNDASADYTTVTWLDPCYREFYSNAANKYVVFWYKEDTDTVYCEAVSMSKSKTPRFPVENLMRVERLGDRCA >CRE12485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:121522:122161:1 gene:WBGene00072486 transcript:CRE12485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12485 MTNFTHLITLLATFAISYGADDICHVDQLLMLPAPEDVYHKQCAKEVFPNATILPSIFVNAPVPVFFENITIPSVLDTPKLLKTFEYNEEDFSENMDDSQDSDDVIHMRCMKIAGFVAQCIIFLFVFTIVTMDVEHFDYPEEAQIFVVHKLHKAQEIQTCPLPTIQNVQIIKRELESEC >CRE12657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:115986:117185:-1 gene:WBGene00072487 transcript:CRE12657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12657 MFSTIPIHKTLGYINIFSSSISLFFNGFLIYLILAKSPKEFGVYKYLLAFISVFELFYSVLEIVLVPFEGSFRIIQIHYTVRAYSVVMVNLSDKRFSRFSIRVLNSIYWAFFGSSLAIFALQFIYRYLTISMSKLIKTFYSWKIIFWLMVPFFNGIVWALMGMLLCSPTQEATEILRPFVLRDFKMDIDNFDYLGGPLYTKSENGTIQPYFKTLFTISTMSVVVVSSFTFSFAVILYCGTKCYLAISELNDITTSSAKSQSIQSQLFYALVIQTLIPTLLLHFPVSIMFGFVFADNGLGIYSSIVSITISLYPAIDPLPNFFIITPYRKAVFRCINKNYQLSDNHAPPNSKNAVAPAPGAPIPAI >CRE12484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:113808:114504:1 gene:WBGene00072488 transcript:CRE12484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-skr-16 description:CRE-SKR-16 protein [Source:UniProtKB/TrEMBL;Acc:E3M753] MIIFIILFFDTSSSMTYCFIISIHTRFSRCHHLFNKLFQMVKSPKSSKKGGKGAKPKQAVHMVHVNEHLNTSFTILSCDGVEFKTDGHTIKQSKILNLASKNLDQPTTPIQVDKVKGDTMKLLLEWMDQHKYDGPYISKSGPGLRLPTWDFRWLKELDNQQLFDLITATNDLQIKQLMDYSCKTVANMAKGKNPDELRQIFGILSDEEEAEIALYEPGPSNAK >CRE16590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3384:1499:2376:1 gene:WBGene00072489 transcript:CRE16590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16590 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3NW46] MISIFSIIVFIAQITFFAIGCAGGSNNNGNEKRSRFGFRSGAKTKFHVKGDTEVATKGPVSMASTASKVTENADEKKISHQDTVRTAKDKTIVKSKDKTSEKENLKSQKTERKGEKKPLISVEPSGDSLTFKLESESQIRLTFKNISDEKIMFKMRVSDHSYNMNPVFGTLEIGESSDVIVTHTPSQCKEAKLVIVNSKYAGDVDLAKSFRNRKPTGGPITINLVAT >CRE12482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:107307:108309:1 gene:WBGene00072490 transcript:CRE12482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12482 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3M750] MTFGISTLILIVQLVVFFVGCGGKKKQAPTVKPGTPKNENRSSVAGPPPPDDAAAKAKEEEEKKKKEEEEAKKKKEEEEAEEKKKKEEEEKKKKEEEEEKKKEEEKKKEDEEKKKKEEDEKKEKEEAEKKKKQEEEEEEKKKKEEETKKNESQRNGPKPHLTIEPSGDLVFKAESTSQTRVTFKNVHTKKIMFKIKFSDNAYQSNPVFGTIEPGKTVDIVVTHNKSPHKEAKMVIVNSNFVGEMDLAKSFKSVRPTGGQITVKLVAN >CRE12654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:101978:106794:-1 gene:WBGene00072492 transcript:CRE12654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12654 description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3M749] MGKEQFREADKALKVVGVKFAPGDCEFMRQTAHVPIFNNKLYEDVGGKLQPANYGPLDPRMGVSTKTGTCATCGLGLTDCVGHFGYFDLDVPVFHIGFFKLTIQLLQCICKQRNFQNCSSILLNPEQHRVFSRQVMNPNIDYLHRKALHKRIVAACKKSSTCTQCGLKNGTVKKAVGTVLKIAFASPVPADELGKYATMFNANQEVGDHVKKMKFTLLNPLFVQKLFANVKQADIPVLMVRSGEEKHPNDLLLTRMPVPPVCIRPSVVSEVKSGTTEDDVTMKLMEIMLTNDVLKKHKRDGAPSKTLFETWEHLQIQCALYINSEMSGLPPDMQPKKAMRSFTQRLKGKQGRFRGNLCGKRVDFSGRTVISPDPNLRIDQVGVPIHVAMTLTFPEIVNASNIEKMRQLVINGSDIHPGANYLVDKKTGNKKLLKYGKRDELAKLLRLGDTVERHLDDNDVVLFNRQPSLHKISIMSHRAKVMPGRTFRFNECACTPYNADFDGDEMNLHLPQTYEAKAEASELMNVKNNLITPRSGEPLVAAIQDFITGGYLLTHKDTFLPRAEVYRFAAALIDASAKKQTKIRIPPPAIRKPVELWTGKQLIELIIRPDKGSQISLNLTAKNKSYSGNLELCSKDSYVIIRNSVLLAGVLDKSLLGSSSKVNIFYMLMRDYGEDAAVDAMWRLARMAPVFLSNRGFSIGIGDVRPSERLLQEKGQLVDTGYEQCAQYIRELEEGKLKAQPGCTEEETLEAIILRELSTIRDHAGQVCLRNLSKYNAPLTMAVCGSKGSFINISQMIACVGQQAISGHRPPDGFEERSLPHFERKKKTPEAKGFVANSFYSGLTPTEFFFHTMGGREGLVDTAVKTAETGYMQRRLVKCLEDLCASYDGTVRSSVGDVIEFVFGEDGLDPAMMEAKDGSVVDFTHVLEHAKNIQTKKETPIPADKLEDVLKNEVQKQFKGKYPHFAEQLKDFLQTEIRKSKKWQNGKTHCSQHETAEENIREKCAKCKNMAEYKSLLLTNSCLTKAQLHSFIQLCYYKVARAITEPGTAVGAIAATSIGEPSTQMTLKTFHFAGVASMNITQGVPRIKEIINAVKTISTPIITAALLDPYDESLARRVKARIEKTTLGEICDYIEEVYLPDDYFLLVKLNSKRIRLLQLEVSLESIAFAISTSKVCPMMRGCKIVAHGKTMMAIRPPSTSKLSKTMTMQMLKYSLGNVVIKGISSVNRCVIHADEKKGDFYSLLVEGTDFRSVLSSVGVDPRKTNFNNALVVAEVLGIEAARTCIINEIIATMDAHGIGLDRRHVMLLADVMTYRGEVLGITRNGLVKMKDSVLLLASFEKTMDHLFEAAFFSQRDVIHGVSECIIMGTPMTVGTGTFKLMQKHEKKAILKQNSPIFQRPETTITL >CRE12477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:90685:91902:1 gene:WBGene00072493 transcript:CRE12477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12477 MGDYQLHQSRNLSVNQTLDEILGITRKTESTSSSSNSNSIEKNALNSPIRPPTRHGRESAGSNEENKKDE >CRE12476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:88659:90111:1 gene:WBGene00072494 transcript:CRE12476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12476 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M742] MQGRQDSNRANRTLDQSLRSDGVVRKKHQDMDWYHGLLPRADINTLLENDGDFLVRTSHIAGNDAAKTVLSVKWKGKCHHWQLQEKEDVTSGSIVIEDRKFDNVLDMVTTLRMKRLPVSIKVPALLLNPINKQDWELRHDQIKLGKMLGEGAFGGVYKAVFYCKGEKRMVAVKVNKGNEKISTRNMIEDVCKEARIMRQYQHPNVVCFFGVCVERVSHFKRFLTIYLSIQEPIMLVMELANQGALDSFLRNEKNTVSLRDKLKYSFDASKGLEYLHKNGCIHRDVAARNFLMHKNVVKITDFGLSKQLSDLAHKYKLKDIQAKLPIRWLAPEVIVTATYTFKSDVYSFGILLWEIFMDGAIPYPDLNLAEVKQKVKTGYRMEAPDRMPTFVRNIMIGMCWPQVPEDRGNMTEIRTAMESVLDGKVGASNNRSVYYRA >CRE12652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:86406:87856:-1 gene:WBGene00072495 transcript:CRE12652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12652 MKSLSTQRAGFTACVFSGGAILVCLVYVPSLVTKIQNINDQLKVDSEEFRAMADYTWRELITMRRGKRQAYGDSPKKTYPLHTAYVKEDAFVEGASTCSCNQNNGCPAGQPGTPGKPGLDGEPGKSGEPGAPGLAGIAPPVTIDPTKGCRVCPNGPRGPTGPPGETGPSGPEGPPGNPGRIGEHGREGYPGQQGIPGEPGKPGKLGEVGPNGRDGVRGQKGPLGPKGDSGPPGQKGPAGYPGRDGQRGNDGESGPPPPAEQPGYEPQPSTSSQPATYAPATPGPDAAVEAPRSPYRKWKWLQ >CRE12475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:85700:86143:1 gene:WBGene00072496 transcript:CRE12475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12475 description:Golgi apparatus membrane protein TVP23 homolog [Source:UniProtKB/TrEMBL;Acc:E3M740] MTRFPAIDRRVFWLGLVVGPAVWIFFVVTAFLTLKFEWMIVALLGALMNLANLWGYLRCRWNNTEQMTSYFQKWAFLNVLRRAQQPPQEYQNPVFSA >CRE26021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2285:948:2234:-1 gene:WBGene00072500 transcript:CRE26021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26021 MGLKTQKATLQEQCEYLNTKKAITKGGYSLFSRMNEAIRTLSVNLGAPLKSMQFIPENGEIALEVQASENSQIKVFSKADSMKLVTKLKDLVKSAMLKNFLENKKVKSKVVQVLQHHPQSNKFVNDGKNCSISCQKFVHPARLSQLICNGNSYNKDLPKNCRWCGYECESQAHILQHCTYSLSSGITQRHDRVLNRILQEVIRGGKDNNHYDIMVDTEPGPTRERPDIIMIQKDGPEVLLADVTVPYENGVVAIEAAWDWKMQKYSHFIDYFARLGKRAVILPLVVGSLGTYWPDTSNSLRMLGLSDGQIRNLIPDISMIALESSKQIYWRHIFGDSYRIVSDLYCRKDQQEIRFGDEPMENVQVSDRFQPFKTREREKKSEEEKKRRSKSKKGKTWRGSKKQTDSRQSGKSNQNQGFQRSVGQGVSR >CRE17639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig384:509:4302:1 gene:WBGene00072501 transcript:CRE17639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17639 MPKVTHFCVILDILACALLDQSFSPALPIGHLVPVTFIHYSNQLPGLLAWCLPRHVIIPESNVRRSLAAGLEQCINPEQSVAQRIREKREEYAKASREAAAKRRSSLAMKPARLPDKENEITLQETKKIDDPIIIDLEKEGILTTVLKVPRNQFNSWCLEHETTIDAWLTDEVIHMYMCTITENRKYFTAIDPVLWPVYVRNGAEDLLRRTSGPGTCFFPICESNHWVLLVIEHDVYWYLDPKGEEPKGNVEILLESMKRKRQYYEFPPPPQRDNVNCGVHVCLMAKSIVDECGYNWYSEEDVRSFRTNMKNILKSKGYELCPEPYNRQNLLKTEKQKEVILEEMIDSFVVEDDMTFTVHRDSDHGDDEVEHLKTIEQEPENEISEIENLEGSVDSVIPKLMEMRVQTPPAINEKRSKKRVSVNEKPRKQKEKEQKVPTGKPDELVKRVRVWFEKEFKSYVEDGKSFQRLEWMTDVLTAAIQKASAGDEKAVELIEKRCPPLECEEGEMCTQTEKKMKPKSGKGNGGQESMKSLMASYSENRAKTYNRIIGKHSKQCEIPIAKVQKFFEGTTAETNVPKETLKEMCSRLPKVEVGTWIEGEFSESEVTEALKKTKDTAPGVDGLRYHHLKWFDPELKMLSQIYNECREHRKIPKHWKEAETILLYKGGDESKPDNWRPISLMPTIYKLYSSLWNRRIRAVKGVMSKCQRGFQEREGCNESIGILRTAIDVAKGKKRNIAVAWLDLTNAFGSVPHELIKETLESYGFPEIVVDVVEDMYREASIRVTTRTEKSDQIMIKSGVKQGDPISPTLFNMCLESVIRRHLDRSVGHRCLKTKIKVLAFADDMAVLAESSEQLQKELTAMDADCSALNLLFKPAKCASLILEKGKVNRLNEVVLRGKPIRNLMENETYKYLGVQTGTETRVSIMDHITEVSREIDLVNMSQLAMHQKLDILKAFILPKMTYMYQNTTPKLSELKVFANLVMRSVKEFHNIPLKGSPLEYVQLPVGKGGLGVACPKNTALLTFLVSIMKKLWSSDSYIRKLYTDYLEEVAKVEIGKFEVNLNDLAEFLSDERAVDSKLFGFNAFTRVREVVRSLCKNKDSPLHSLKIIEREGKLAISVQATEESIEKIFTEDQEKKLMYLLKGS >CRE17641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig384:6871:12924:1 gene:WBGene00072502 transcript:CRE17641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17641 MTKTEWSWRHRSRSRSVGIVVKIDTSDYANVRVHVAADLSNEDGHTSHNNGIILPIPMKPSVDRFCQIQYPPRGYYVPHPQSQKGHDAKPSRHWNEEAQPPYYHNNNHGRRGRSAKPSGRRPPRKPILQEESLAAHPQIPGDTASAVPLYSDVVNNENKSQGKPPQGSHRRSGRPGTKPSVPVGEAEQETNSRPIAPEPIVKFKHDKHGWTTVQGSHSSGRPVPKPSVPVVSEANRFQLLQEGDFPPLTTSESSQEEIKVPNYQRIVSPIPLPSEEDSKLPTKSNYRAPKGRKSRNYKKPQQQNPKKYQQRLPYQPKVNNAPTDRMAPEQLKGGGGKTAHNDIEEMEIEEDTDEKIIQVKRIKIVNKLTPHHFVCMMTYPTDNIYRCFVKGCTATSQGGWGAEDLKYLTVHIRQEHKIKVEWTYECGICGDLSGGAGKHISKWIKPHMRKKHNRDAPTNFKMGSRSSGKPKITELLEESAPSCSNPRRKTLNQKKTAIITQVTPEKLKTGYQTRSVTKALSVLKESRQKELEVLREEEKANAKQKSKLHPFFTKAPHIDGVKPTVRRELSKMITPGGEHKGTKIPMVHTKRGLIQKINRKAKKAKPMHLDESTIIEASQLDVITIDDDDEDDNMTPMRRRFNTWCLDHETTQEAWLTDDVINWYLKDLCFGNEQYMLVDPLVWLIYKMGGMAGVEQRFKSKKTCLFPICEADHWILLVFDETNLCYANSLGSQPNGQVKNFIQQLNRKLCSFEKEVPLQKDSVNCGVHVCLIAKSIVNGQFWYDDSDVRTFRTNAKAALKAQGYELFSEAPKQIENPDSSHREDIKENSMEMCSESLMIVATPQRSEAPMELVDTEPSDLESPKSDRVVYEDCITALSDVSEPRMTPEKSETPEVPVVEERDLDWPKLESPKSDRVVYEDCITDLSDVSEQRMTPEKCETPEAPLVVECVELERLPKDLPVTDRSTVVAIPEAVKLEEKSEVVIPRLMELSYTVPPEPSPVVEYTQPYTHTHTKPKVKATCQMGKKRKVPTGKPDELIQIVRQWFEKEFNDYVTEGRNFQRLEWLTNLLTAAIQKASAGDEETIEKIRKRCPPPEVRENEMSTQTSQRQKPTTTNQKKRSRNTTQSDTQANTYWRNRAKTYNQIIGQDFKQCDIPIAILEEFYKKTTSVTNVPQETLVKVTSRLPRLDIGKWIEDPFTEQEVFGALKKTKDTAPGTDGLRYYHLQWFDPDCKMLSSIYNECQHHLKIPAQWKEAETILLFKSGDESKPDNWRPISLMPTIYKLYSSLWNRRIRTVKGIMSKCQRGFQEREGCNESIGILRSAIDVAKGKRSHLSVAWLDLTNAFGSVPHELIESTLSAYGFPEMVVHIVKDMYKDASIRVKNRTEKSEQIMIKSGVKQGDPISPTLFNMCLETVIRRHLKESSGHKCIDTRIKLLAFADDMAVLAESKEQLQKELTEMDEDCTPLNLIFKPAKCASLIIEFGKVRTHEQIMLKREPIRNLNDDGTYKYLGVHTGADARTSEEELIISVTKEVDLVNRSALTPPQKLDCLKTFTLPKMTYMYANAIPKLTELSAFANMVMRGVKIIHYIPVRGSPLEYIQIPTGKGGLGVPCPRITALITFLVSTMKKLWSDDEYIRKLYNSYLKKVVEAETGIVEVSTKDLAEYLSNKVPSRKHEFGYNCYSRIREVCNGLALNQAAPLYKLEFIEQDNELAVVVQPTEESKERIFTKDHVKKLQSLLKASVNDALLHRFLTTKPVKSEVVQVLQQHPQSNSFVRMGGKVSISVHVWIHRSRLNQLTCNYNIFDPKQPKNCRRCGYKNETQWHILQDCTYGWAKLIRERHDAVHHKVVTMICAGAKKNWGRKIDQELPGFTSLRPDICLTSPDGKEVIFADVCVPYSRTRNIEFAWKEKIRKYTEGYSHLVAQGIKVTVLPIAIGSLGTWWTPTNESLYQLGISKSDIRSAIPLLCSTVMEYSKNAYWNHIYGNSYTSVPLRYGHQKPDGDDWKKELSCEPVLALQQ >CRE03494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig330:32595:39962:-1 gene:WBGene00072503 transcript:CRE03494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03494 MEFSCQSHCEKTTALNPYDSEFREEPDSESISKKVIPTFDFPGKIEKSDGESQKSDFSSEKISDSNSELILVIPTSVSSHRIRKSDQKLHSNVEKERNFEFEAFCIENGSEKSIFQAEIQIISVKNHFSAVLIPLALPFVHKQRYFSEKSLKIAARNAAKSVSLTAVSASLHSLHTNDKDTLLHFNDSDGSRTSICTYRMVESDGMEGIGYGDDSESSKNRSEVATDAKTSETGHEKWLKRRPRRPPKDSKRIAEKEKIIDRIDKNGVNQKLLIFAENQCVLSENNRSEPEISREFLENAAKTWFLWENRAKKLENIDFVFENANFVSGSWKLAPAEREAVSMRDVANESIDRVTIRREEREEGFAGGKKVRDSEFCSDISQVHREVAEEGLYLSSVLSVCLATSTESFYAFEKIEKDKKEKLVFSVELFESFAEEQVSNSSELVGRGKMPVFSVFVPLPCQIIDRKCHKKENGAKIAKNEPNMGNSKRKMQFSMKRPPAIVIRGGAKIAKSEIEKADRIVLVETRGENVVVCQVSIPATRYRCYYKNCFGTSAGGAGAADLQHLTRHLSSVHQKKVEWTYKCSICGEEAAGKSTKATRWVSSHMLEKHGAQHRPRIRSAPTTNQKVSDVLKKAAPSLQRPERAVRKGYTAPPVEETTPEKILRVEAMEKMPQTRAVTKSLSVLKESVKKSVKKTEEKQMGKKVFSIFSKNGETSSPASRRLSVAPVRTNSLGSVVDLSNLQGPERVKAAKLNAQISARMETKRRRSSLSVLKPQKVSGKSGKEETNRISEIIPEDSIVSRENDWNESGVLNLTFESDGSNGYVGKKFNTWCLDHEDSREAWLSDEVIMWYLERICSKSEKYKVLDPLTWEIWKIEGIQMVESKLWSSKTYLFPVCEENHWILLIIDSQSVWYANSLAYEPAGNVAKFLKELKRERKYFEIPTPYQKDKVNCGVHVCLIARSIESGVYWYDIKDVQSFRSDMKRMLRRRGYELFSAPYHQIIPQKMSNDVDDCEIIDDVFYDDSEKERDESEDGKKNITGISIENITPLEKTEKVEECKRIDSILYGESEKGENETVDVKETRSDLSIEKGEIIDKLPADVIENVVKESEGLLEVFRSEISTENTTIPAQNRENENDFGIISNILSKLVETVVYNVEGIREIPKLMDIKLATPEKVCQVKQKRREKPKKQMGKIQKVPAGKADELIQKVRVWFEKEFNSYLQDGKSFQRLEWLADSLTAAIHKASVGDEGAVKKIEKRCPPLEMKEGEMSTQTTVTKSARNTSQKSGEKSKGARESLGKSYWQNRAKTYNRLIGKESKQCEIPIGVLEKFFTETTSVTNVPKEVLEAKSSKLPNVQVGEWIEGEFTQKELADALKKTKDTAPGVDGLKYHHLSWFDPDSRLLALLYNECRVHRRIPAHWKEAETILLYKGGDELKPDNWRPISLMPTIYKLYSSLWNRRIRSVNGVLSKCQRGFQEREGCNESIGILRTAIDVAKGKKRDLSVAWLDLTNAFGSVPHELIEHTLIRNGFPEVVVHVVKDMYKGASIRVKSKTEKSEQIQIKSGVKQGDPISPTLFNMCLENVIRRHLDTAAGHKCLNTKIKVLAFADDMAILSESQDQLQRELTKLDRDCTPLNLIFKPAKCASLVLEKGKVNAAAVINLKGVPIRNMACSDTYKYLGIQTGVETRTSEMDLIESVVKEFELLVRDEELTLPQKLDCIKSFMLPKMTYMYGNSIPKLTELKYFAGETMKAVKSIHEIPHSGSPVEYCQLPISKGGLGVACPKITALITYLVSTMKKLWSDDEYIKKLYSDYLKEVVVAETGRQDVTLSDMAAYLSNETPSKKKNFGYTTFTRIREVCRGLSSIKDAPLHKIKIVEHEGKLAILVQAIEDGPEAVYTESHVKKLQLLLKKEANTGILHRFITQKPVKSQVVQVVLQHPQSNSFVRNGGQMSFSAHKIVHKARLNMLVCNANTWDATSTKQCRRCVKEKETQMHILQVCTYNKSGLITERHNAVHNKVSELIKKGSKRNWKLVDDSVIAGPSVKRPDIMLRSPDGKEIILADVTCPYECGLKGMQRAWDYKVEKYTKAYKYLEARGIKVTVLPIVVGSLGTWWKPTTNSLVQLGIDRKTINEWIPKLCAATAEYSKNIYWRHIKGDRYQSIPMKFGLDKPPGNSWKRGKRRKPPKPAKN >CRE13699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:45877:47502:1 gene:WBGene00072504 transcript:CRE13699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13699 MNVNSVKTGLRTFVXXXXNHTPPTPEEVRLYGIDPTSVERNRVYIVGNVADTNARRKIRKQVADREAAAKRLEEQKKAELQKQQNEATIKAAQEAYARAISSGAISGGAISQRSPMAAAASLLQSASTATAPINPHALLLAQLPYLNQGMARNEIPGMHNPAFMASNMSMPSGSPPIPPPNVYSSPLVIQSEVADAPAAAPVSNQPSTSNRPLDPMMPDPDMLAHPLFEPTFQIARQLRKMWSGVPKRYPRPADTPTDHFDFYVAKYDGGLEHLYNLIRLERRDEAHMKNALERFFNEEFVGPLLFKVSPKICIAFNKPMLDTWENNQFFLVDTRIPSCWKMMYVDDQCD >CRE13700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:47766:49283:1 gene:WBGene00072505 transcript:CRE13700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13700 MDIKKKLVDSEEDELRKVAFVAIVVSAAAGIMCIILIPGLYTYLQYIQSSVQSDVGFCVDGAKNLENMYQSTKDSDSKLVKRQSGYGSAPNRSSGSLRLHLLTTLLPRRLPASSDSCCSCGTSSSSSYDSCCSCGIGLAGPQGFPGSPGRDGQDGPAGRPGQDGRDLDGGASDGSEFEIDCPAGPPGAPGNQGPPGSAGRPGMDGVPGRNGRCGRPGEQGERGPNGEDGRPGRRGDDGQPGEVRDVPAPAGPPGLRGAPGGPGPMGPRGNDGRPGNKGPAGPPGDQGFDGAPGGPGADGEPGAQGPLGAAGGCSHCPPPRTAPGY >CRE13701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:50247:50874:1 gene:WBGene00072506 transcript:CRE13701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13701 MVTKKTVTELSLFAGLIVSMHVAYYTIQNNPSLVAPHQRQELFYVRWLKEKIPALRPYGVTDEPPKADH >CRE13722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:55360:59265:-1 gene:WBGene00072507 transcript:CRE13722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13722 MDLLNTTISSHLEMESTSGNVTDVVEDYCHYEVLQPHMLVMRFWMVSIFGSTISFISMIENIFLFWLFVTSRRHRRENLFMMLLAFFDIFVSMAYIMLMSVNVLSDVLMSPVLVSVWYTYMIPILTVSHIAMTSSSFLIVAASFERYCATLNSPYLRFAQRNRSFLALCAVFLGVVSKGTISIEFELVHHEQCIGQMMATTMKFRPFVFETQYHYLFRFWYRNFVTVFAPFFILLYLNIRIVKALTTYTTATVCLVTNGNSEDLQKRKASARAATRTLVMVGCCYIVSNIINVILTSLEQTNNELADDHPDIYIVLIDLVSLLTTMACAARLPIYLYCQPVLRREIFLRFKRLCVPCSSSSPEKLGERLKSVETDTSFLSRGEATNSECIPISEVTGAKKSPTKCCLHSPYETLL >CRE07075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig334:48033:50000:-1 gene:WBGene00072509 transcript:CRE07075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07075 MVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDDELVAILEERYLKGAAKSLFKSLGDRQERPIAEVFVEFERKLRKRQGDAKAEALHEFDRLQRAPEQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKERMGRLRDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCISKRVDKVQIQEGTVENAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKVPMVVRGRKVRVVFQLVENWVEKILIGTNAFESIGVELKWKDPYGLVNAEENSAEGQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRSASTGDVGEVFKSEGEKERKKVKIRVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVDKVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLNGLQKYLHNALPSHSVRCQWEKNTDRRPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPHGITEVKGNEYSRGNRKT >CRE07068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig334:43945:44971:1 gene:WBGene00072510 transcript:CRE07068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07068 MWSSTSYTNAMPLPLLCFPYLVLKNILLQMSPHDMVALSFCSKKASGYVKSAIRRQYSLSIEFDSDNEFDITIYRKACPEVKGIISVGAMNERSRKNSLCRWSNNVLFDGFEIANQLMDLCSIQSIGRLDLNLEKQEEVEYVTKWLSNILVEKCSISTKNPVKSFSVTRFLESVQVSKALSVDLETLDELVYERVFDLDEIIIPGTLRNLLDMNCANIHLYGVISNEDMNQFLRRWYDGCFDKLRRIEFYVNLQWQKLLAGMAVYEDRECKIPIKPLYRMKTIYIENRNGVKASIERIKQVEDMYMCMTIR >CRE07067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig334:39811:40415:1 gene:WBGene00072511 transcript:CRE07067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07067 MTIKNVITVGNCVHYLLDTSGINLKMVSYDQGCRYSALGASKGVKSLQGTNKEPSFYMVTEMKSTLFHPDDMPIVDLMQSYPGFTSNFNVHSCFFFSFVLTSPLFFMHLKTLKQ >CRE16093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:694359:695802:-1 gene:WBGene00072512 transcript:CRE16093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16093 MAERLIIHLDFLNKVLKKRSLIAIATDKEVSILVEIIANLMKTKYVPLGKVDADCNKAKMIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNILKTFDELVKNYEKTPNLKQISEAKSPVDEKYYDEGNITEMDDTQKSYTSYEDESDSDMSTTISPAIPTPEQPSTDTPLSSPIATKPITRQTVKQTTGQQYIVPVKPRIKPTRLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVVVALEKIISKFKSPPIYVYSDFGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMXXXXKQDIFKSRNP >CRE19546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig363:12593:14377:-1 gene:WBGene00072513 transcript:CRE19546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19546 MEDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSVPDVSPGKDSTNSDKASHDEVLVGALTGGSAPDKSPEKDSETSSDNTSHDEVLVGTLKSGKQGPEDGPEKASEASSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSTSESKTSSGNSEDTPHDKPLVGALTGGEQGFEDGPERDSDNTSDANSLVKALKGGQDSEILAFLLTFILLTIWEKKERDQIVEALKSAETGGVKETEDDKIVLKRILEKHFVELEKLHGVDSSLQEAIRKMTDNQTAFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDLSVVKKAIDALKSQLINNSKYEYKKLIGAIEESRLDELTSLRNAMKPKNVPFIGNLINFKVENDVLKVQFKDSNVLFIEFDKECAYFLGFHNCIVKNNETASSSIDFFGNISTLYVYCDVVDQTIVGNSKSSLLTVIPCKGKYGEMVQHTFPVPRYLPLMNGTIDSIKVQILSEFGDRIHFNWGSTIITLHFRKIA >CRE19545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig363:10359:11717:-1 gene:WBGene00072514 transcript:CRE19545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19545 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIPLHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVTDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYFENLLMYSNEQKRSTLSISGYSPDSVIDDKDDSAFKTRSAWVKDGKKIQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCAEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE27914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig364:7467:14250:1 gene:WBGene00072515 transcript:CRE27914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27914 MTTTLKDRLRGAINAHRHYRQKTKNSRRQLQSLQGKTLPLATPTKCNTGNFHISIGSDRYALRTCEAALVFCDDTLENGFGRFSRNIGFIGGPTLREHLTNLRNMLKNNKLFPGQTVDTGVFGYTNNSLKRIFHGHISKSNIDTVYDEQELRLCYFNAMVQAARQGYTSLALPLHPLSAMEDCHKIPSLVAIQSICIFLDMFPNTRLNRFHLVIENNNAVNYLLRKLKNFKQEKPIGKCVKLRRSLESKISTGKLDFKIFEAKCFTNDNMLKAVINLAKGDIDRAKKLSKVMYDDIVQLVKLEGGADELDSNNKRKHEGSESETAEKRPREDDIDNNDDNISLSSTPTSRSQAATLARSESRKRKQQAKQRRSDATHSHRSTPSRNSIRSDSISNVSIDDNSIFNAPTPDIIAPSPSPSEAVPVTPSPSEIVLAASSPMPLSGITATPKRNAKLVQLGKRNTQLLKLTKARNLKKLRSKSSFEFDPRKFDELNNLIAAIQELQKFIVGKFGTVRHHIKNQLEFPIAGPKNMSKAEEKFRQMCRINLHVYTEKCKGDGHNYESILTLYERQWHDFMGYYNPACVQTLAQLKKTAPAAAPIPTANPTINGNPEIQPVVNDPQEDDLMENDDDDMVASNNISIYSESDEDSDTEEQEDPIAMEVDEPEEQQEEDALAARARIATEAAQRSPPPDAPPPVPDVEMMGDLVEDLFGNHVIDALNGDEGVAGLVLGEYTRARLCGVDCQEIDHDEAEVVYDTLMRNMQVVHGEPDMFKLDHIQYVFDGKIQSVQKWMDTFLDCYQGLRSLQKRYTELAQLVDIAAVCERLLILKNPAEMKAYLSLLDLPESLRLEFMEKHMARQSQEIHEQRRVENLYHIHLQSFLAATRQQETSICEVCGQYALPRYVHSRPKSTFQGWLTDDVLNNGNLNINICEMCRKCNSLPPAALKNNLVPVQCPPELTCLNVLERMLIERARSNMKIFYLTSITNKKTPMKGTKGVLVVFPTQIDATMNHVLETLPSGSGLHLQVRTAWEKKYIVSMPKVIAALKWLKANNELYHDVQIDENFSFQIDENVVFERRNATQADADALIVRDATTADDGHLLTQDNVEEQPVQPVHQRNDAITAYDKYVLKRHQYAPLSVETPNLDAMIFPSLHPTGRDGYHGRRSTEATITKYIRTRLMNADRRWAQNANWLIYMFGRKQQINLTNVQGIQARVRPGTTFGELDMSDDKVKKSILSSYSKIRGYPQYWQSVKYQLRSHVGAFGTPTWFLTLNPNIKGWTELHDLYTERLETDVDETNIEEAIAQDPVVFSRFWKQRVTAFFKNVLLRKEGPLGNVTHYFYRTEYQHRGTQHVHCVLWTDKRPTKDADPSEVAEFIDNHITARMPDPVKEKELHDLVEQHQKHWDKHSKTCRRKVRMGRRVLTTRCRFGFPRPALRRTAVWNNEKKQKIPGIVNRAYSLARKPEETMVNDYNAAILLGWKANIDVQYISANAKDVVNYITAYTTKGEKAKKMYDSNLTKYALQGLTRSKILFKLGLDICDQREVGLLEIVDDLLGHANFEFDMAHVFIPLDATGDRTRIVRPTNKRGADEEATETNWLDTYYRNRHQYFKDFSLYNLMTNFEIVTPSADSKTKRNKPIDDKKKKKQPSTDDNDDGDDWNEEGDEINGFQDRDDVGTDRYHLFEPRSPFFNHFDIESGKKLEIPNVPTKCMRKVKQLVGRFFLPRLVWEDLKSVEDYYRRIVLLFVPWNNEEEILESHGEELYSELWRKYMKNLKKESYIAWLDITTFLEGYTKLLNEEAELGERMERIKKAKEALQVDEEVQEQLEIQNREVDETKHEQNIGRLNGEQRRIFDEVMERVEEQDEVKTQNKQIGEENAELVRNGKPRNPLIPMPDPIQFFVSGTAGTGKSFLINTLADELTLRFSNIEDSGTMPAVLLSAPTGIAALAINGNTIHSLLGIEVVQADHKSEEPFEELPPKKFDELKLLFSNVKLIIVDEVSMVSNIMLSKIHRRLGEIGGKPTMPFGGYNIMFLGDLLQLPPVKAPFVFKTMHGKVMDRIFGAMNSNINMWHFLQYRELRENMRQGEGGDLARMFERIRTGLQTEDDVEIMRSRCIPAANGKEPTVKEIAEYFVNDILSMDPRGMALFPLTDEVDEMNNEVLRLIKAKT >CRE27915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig364:4541:6180:-1 gene:WBGene00072516 transcript:CRE27915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27915 MRRKFSTFLIILIHLYLAPCVRTLNVVKEKGYGFIEDPAYDQEKCVSTPIKLGLQERHPFALSLRNSCRPPETVEMNEHGEKVRQKRSPIAVGVAIVAFVSSAVVGAFTAWNYICDWSRAAHQSAELKKLEEILNLAHSNSNLTFQLIKEDRDEHNDEYFEQIRIAIFQPGQVENILSFFQINKEKLIKEMGFKNNIGNGVLRKMEHNLFCGKNSNNYVLEICAWTNPTRVYGNVALIAPVGNFINNGELYSYYDTPRLAVFTDTNGTLSANGCEILGKQWSCRLATKGCNIGSYKSCTPTLVHTPDHVYTLEIADMTFVATTVTHYSLYENGSTTAHKTLDVPQSGHFLFTAPDDSFVQIGSRRFAGRHNVHNMIQLHVNESMNDLSHAQLRELKENLAKEGVALNELEEMRLHDSVGFGGGIYDTVTHFVREHWLISAVIGIPLLLAAVVLVIAILKWAYRKVKCNKKKKNNINLNFHVA >CRE24283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig396:9258:13380:1 gene:WBGene00072517 transcript:CRE24283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24283 MTFGLNTTLTSSYFCISISPHPVILRRLLSSSLHFHRNIFSTDLYYSALGCLYSPAADEMEVMGTTAKRKTTPVRLNVYDMYWLNDYASNIGVGIFHSGIEIFGVEYAYGGHPYQFSGVFENSPQDAEELGDTFKFKESIVVGETDQSSSEIRRLIKQLGDEFRGDRYHLISRNCNHFSAVLARKLTGKEIPGWINRLANLSGSIPFLEKCIPQEWLTPIVLQASVDEKKRGSVDSAEEATEKLVVRSLNDSRTTIIDNRTANGAIIMSTSSSSNSDRICMSPSSSSSSSSSAASSCDTLEYDDRLIMRTSSSQYPNEKKSRSNSPPIFRFWNTIKNTINGGAAPLPTGTATVIPASAASSIGKASSPSNGTGNGLGGGGGKYSSDC >CRE24284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig396:15060:15963:-1 gene:WBGene00072518 transcript:CRE24284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24284 MAASSSSSSSSSAHSDCSSKSSIESISLPQYTREDSVEKRMKRIGDTVKVDEKRLAEWTDTLGPLYGSVPLCESIMDLHFQLIPAIETLKKAREHSSDKLKEEISKLIVDYTIFAVFLEKMVDQMHHDPDFLRAHNAFPTRRKRDKLCETPKE >CRE27922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig484:17506:21947:-1 gene:WBGene00072520 transcript:CRE27922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27922 MATTAVFLCGHLGALSVILNLFVIIALLRHRRRVLSNVFYVIVLHCAVLDVARGVCLIVCYLSILRTIRKFKDEGCLNNDESHRIHNRSLHRPINGQGSNCSNGSRGSKWRSVQAMSRHKYIYVIGSVLIVDLLFLCPYSGIQMVSFLHINNFLQITHGSTLIRWWLQVLIGVHSVCQPLCYFRMTEFRRLACCNPRRPWNRTKSFSQMNKSFGRSASAL >CRE31555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig407:23226:26957:1 gene:WBGene00072525 transcript:CRE31555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31555 MLQKCSILFQMTPKKPPESQSKQVPTLSESSLQNALSEEENETNREIIERFEQEIQLKDERELMMRETQTISAPVFEEARRLKRETGYPRYRLIDYSCGELSNSNLSEPPSPSNPHPFDPSDLPPVHSLTLVPFVNHSPLLRFLVDIGVDLAEIENTTSIGRHLLRLEMEDVRLKIELMQKEIGFENEEIGSYLTRNPFFLIQDVNDMRTRLNYLEMKKFTKPERRKIVKEYRYWLNCNVELIDSRLGWLQQQFKLNAKTTREIIVKEPRIIMFGTGPLERLVKMFTKELNFSQTQLKTLVTVDPRLFMMDAKLVSRTYKYVRDVMRINNETILEHPFILRCSLSVIKSRHDFLNRLGRAHYQLAVKKESRKDASEIIVEDSDDSHVDLVKLEHFLHASDAGFAMLAAKTFPVAYDKFLRNS >CRE31554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig407:16144:21766:1 gene:WBGene00072526 transcript:CRE31554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31554 MTSSSSSSPKISDVSYISEFVSKYQKGMEMSFVIDGKPRVCEFNQKMGSVAILLFHKERNQFLLVRQFRPAIFTSHIANLPENHGKEFSDIEWTSYDTEVGFTIELCAGLIDKDGLTPREIASEEISEECGYRVDSDDLIHIVTFIVGAHQSGNSQHLFYAEIDETMKISEGGGNILDGEVITKVFYSLEDAMRIARPGRGEHAEVKGPPGVIFAFQWWFFILDPTKKGLIARPPTDYEWKPYNPKPIHSIEFSTDFDKKKYGFNPKRMTFTMNGITRNWDLALCPNTTTCILVDMAKKHLVLLQKMRAPVFIGRSRAMPENTGKSLEEIQFWKYDANMAYTLELVVNRVPDYEDPRKFVRIAVKQLGYDLPEDSFHLQAKCIPGIGQSGDTQFIYTADVSKARVCEKEEDEDVEEIRIPFNDLASLYKQHLVGPPNTYYAIGFVLDQVLDRDVL >CRE31553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig407:10253:14717:1 gene:WBGene00072527 transcript:CRE31553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mdf-1 description:CRE-MDF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NKM0] MNSDDDMIEEEDSYEESEATMIFAAEMKKQFKKEFPLKAETEKRRKEEAFRRHAPKEARKLNFDITLSPEPSELIKAKSDKENAQRQLNSLREEHERLKEMLKAKEAKLAEKSIDSEANRERILDLQERCELLKQEKDETAQEYNQSLEIWTQFGKKYYTWFNLASSQLDAVKTYVKSDGKFDSEEEIHRLNSMPRNMLRTLENFDFEEETVEMLGAGSVQEYDGVEFEVRRRAESPEAVVEAVRRADVSAANFTIPHSNQTMLDDTANNTLMQEDQTMMTDGCPANRSLIDYEKDDKIQRLLLENSVLKDRLNVSMGRAEKSMLMEEKNRTLEFEKKTLQSQLDNSFGEIEALRIGRARDIFKIDEKVSTESDNSAKQMQLLDRITELIKKNNQLEKDFEAATSRMTKIMRESTDCERRNERLEDAFSNERVKNQELERERDELADRIAEQNEEVERLKEQLEDVMNRTVKVAEEPTFSSTTLGAPGDKETDAGDNTKIFHMAMNPFQAARAEYQESKKRKLTDAPDGAAQLREEQMAELEDRLELVTREKKVLEDSYNLHKDLASKFRQACIALTGLQIKLKDADEGICTVQSEYEGGSDNHFVFKYFYGTPRIDMLDVKSDASEEMIQKWEPLMKK >CRE31552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig407:7509:8048:1 gene:WBGene00072528 transcript:CRE31552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-51 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3NKL9] MRRHCRDLDIRNLSIGKFRFRSTFHISSPSTNQSIMSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTAGDKE >CRE31558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig407:5933:6684:-1 gene:WBGene00072529 transcript:CRE31558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31558 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3NKL8] MAPPKPSAKGAKKAAKTQKPKTDKKRKHARKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE31557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig407:5134:5462:-1 gene:WBGene00072530 transcript:CRE31557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31557 MPKNTKKSQGSNKKLQLSNKQFEDLLKEIGGGLPEGMALDPALLATLREATNTEFNKVAKEKYGDVQVESDDDEEEQKPSEPKNGGEGSSNKA >CRE31556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig407:3713:4515:-1 gene:WBGene00072531 transcript:CRE31556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31556 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE31551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig407:670:3506:1 gene:WBGene00072532 transcript:CRE31551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nstp-10 MRNRENPTKWESYKQVITAVSAYWVFSIGLVFLNKYLLSSVKLDAPLFITWYQCLVTVFLCLALSKISKNYGIFKFPSMPIDAKISREVLPLSVVFVAMISFNNLCLKYVGVSFYYVGRSLTTVFNVVCTYLILGQKTSGQAISCCALIIFGFFLGVDQEGATGTLSYTGVIFGVLASLSVALNAIYTRKVLSSVGDCLWRLTMYNNLNALVLFLPLMLFNGEFGAVFYFDSLFDTTFWILMTFGGIFGFMMGYVTGWQIQATSPLTHNISGTAKAAAQTVMAVMWYSEVKTMLWWTSNFVVLFGSAAYTYVQKRVMDKKNSGASPASQAKSDEIKLLGRDGEAEESV >CRE15859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3145:891:1235:-1 gene:WBGene00072533 transcript:CRE15859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15859 WTIVIEELNSKGKRKSIAAVPINVRLFILDLPDHKSELKLKLRPLSPHLKSCTLIILLGSTLISEVSAGDDVSITSSAANSSFVEKTPVTDETDATSDVRGNLSYFEAKCVNFR >CRE15769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig427:1100:16950:-1 gene:WBGene00072534 transcript:CRE15769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hum-2 description:CRE-HUM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NKU6] MTIFKNFRLKLRFKKYLELSLKIPVFNEKYRYSHNFSSKISDISMKNCEFSHFSHQNSNFRSKRLSFQTVEYDITSLEQLPFLRNPAFLVGKDDLTLLSYLHEPAVLHNLQVRFVNSQSIYTYCGIVLVAINPYADCSHIYREEIIQVYQGAGKSAREMDPHIFAVAEEAHFDMGAFGKSQSIIVSGESGAGKTVSAKFVMRYLASVAASRSRSDQGRTTIEARVLASNPIMESIGNAKTIRNDNSSRFGKFIQINFCERGRRIIGAEMKTYLLEKSRLVFQAGGERNYHVFYQMCAARNHPVLKDLHLGPCEAYGYLTQGGDSRIPGVDDRADFEELLKALQMLGFDGKQISEVFRLLAGLLLLGNVHFENGESSSAVSPESAQEISRLCREMWEISEGDLRVWLTRREIRAVNEVVTKPLTKNEAVRSRDALTKMLYAHLFGWLVEKINEALNDKEKAPSPSKKRSDRFIGVLDIYGFETFDINSFEQFSINYANEKLQQQFNQHVFKLEQEEYVREEIEWVRVDFHDNQPAIDLIEGPVGMINLLDEQCKRLNGSDADWLSQLKNSTELKRNPQLAYPKVRSNDFIVRHFAADVTYSTDGFVEKNRDAIGEQLLDVVVASRFQMMRTVIGPAAVPSGANGATGTPGKRSTKKTVASQFRDSLKELMQVLCSTRPHYVRCIKPNDSKIEFEFEPKRAIQQLRACGVLETVRISAAGFPSRYPYEEFARRYRVLYTKEAAIWRDSPKRFAELACQQCLEEGKYAVGKTKIFLRTGQVAVLERVRLDTLAVAATMIQKTWKGFVARRKYETMRKSLLIVQASLKAFLAFRRIKYLQMHRAVITMQSATRGFLERRNYERIRNATIGIQAAFKAQRVRRYVEKLRYEKSAITIQSAWRGYAARREQIAKRRKVVMVQCAVRKWLAKRRLRELKVRIEARSVGHLQKLNTGLENKIIELQMRLDIANARTKEETEKLTTKSKDLEKIKAELAMMEAERLTLLEARHRVEVLQEEVERLETECDLKEAQRGGMETKVVDLQSRLEQMQSESGQAVAELTEQLEKARVDRQQWESERQKMEAELQTERAARHALDSEVVAMREQLMKNVDLFESSTFQKRSSPKKLRDEDGCSRTTSNLSQLTGSFTAETAPRGSPESLLDNMAITFEQLRMINDLRQRNEHCQRETERMKAILEASTLIETLDKKTSLKAFESIRVGELEGAYNRLKNDMERIVSGQNGATHSVFERIMEENERLRDEAVELRSMLSSHFERQSVAGSSGYRRSPRPDSGHCSGADSEDGSSAADLEEDLCIERQCRHLKNLAENLTRMLTNQNLEIERLQQQLRFSESQTVFVSFSGNFSDSKVENILKASTSSISFRLSSRYFCYSQID >CRE27920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig484:4602:11692:1 gene:WBGene00072535 transcript:CRE27920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27920 MLEFDEVGTSTPQPSLQSRMASAAASSDYYCMTFDEPEEMDDVTLNSSSAATSKRPSDCSLDEAVRGAHKQTQLLAQQNMDLNDKLTRQSEELTEARAQLRGYSGPLGLENTSDEEIIRLEAFEKGSVTHSGFLEVYNVPEFARIIVCELKPSLARLLTKNLPAYLLLAAFRSHDEKRDETALTGLFSSVHLVLKDTITRSHDLDLLSLWLVNLWRLFNLLRQYSGEDKHAEWHVANTETQNSYRFKAYDVAPIRDQLKLRIEECYSSLMKKAIEHVFSPKIVPGILQHESSSDLMTAGQERRDRVESQRKSLDELIAFLDTVHTKLKTYGADEVVIRQVIGQMANWMCSLALNHMMFRRELCNFEKAIQIKHNVTVIQNWLNGKGLSECRDHLEPLVQACHLLQSRKDASNLDTLCGEMTSRLKPRQVVAILQHYDPSDEMEDASLSPEFLVQIQKKLNERAIANGDPIEDKDTLIMMGTYLPPFNTQPFSYSDFPLETLSLPSCLHMQSVCRLV >CRE27921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig484:12257:16815:1 gene:WBGene00072537 transcript:CRE27921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trpp-4 MANHIQHLFIINRAGSLIYSWEARIETPEVEKTYEWPIDVHLEEVDQKAVVVFGEKEGVKLRYYVKSVNGEAVTGTRLQQGAQQVNVLEFLQEEDNYPVTVRFAAPTVSTNEKIILSSMFHSLFTIAVQLSPCPKSSGVEVLETTQFKLFCLQSRTGVKFVVITSAASNIAADSLLSKMYELYTDFALKNPFYSIDMPIRAQKFDEAIKILLEKAEKSNGAVTF >CRE01319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:119085:120629:-1 gene:WBGene00072538 transcript:CRE01319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01319 MSNVEVESWFSKCQVVCPEELGKEILLEIAKLEEEMASHEPFTAHKHKTLTKFYETNPTPDYDQREIIRKSVEMTNAEVDLWFFMCRKMGPDAVWQEFEKEAEIEKEKDQKEQLETMLQSNSKNKLEEQVENGKKENEELRKIIAQQAEELKESKNLIAEKNAEIKSLIKNSVKDQVNGQQVQAANLTTMANIQQSIPARLLNVEKELAQVSSKLEEAELKKENERLKEQKKELEAMLQSKRKLEKQLENAKKENEELSKNHAQQAAELTESKNLIAEKNAEIQNLIKNSAKNDQAEVKIASKAAEIQQLKSWITNLTTMSHVQSDPVRLLNMENEMARVSLQLNAFEEAELKKEIQRLKDQKEDLETMLQTKKKLEEQVKEAYKMIAELGLYLKEVNDKVETMTQRNQEQYAEIEEQVKNGKKEKKLSKIIAQQAAGLKVSKNILAGIQNLTSIQNNVKNAVIALQDPLAKLVNEITL >CRE01267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:113434:115862:1 gene:WBGene00072539 transcript:CRE01267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01267 MSHDDFLDTDEYQLMNNMLKVDRGRIRQLEAKVNDHQKELADQEARIKELETKDSKLEGKIEEQQHTISTLQANLEHVMKRLKSLEIEAIEKKEDSETLRLEKLFANFFSDIQICKKEAGLNNPKIGILKKWAELQYSEEKDPELKDSEGKEAELKGAELEDSETSKLENTPDVLEPYRKYLASLICKGRKEAALNNPKIGILEKSAELQDSKAKEDLRVPSAEYQRLQNQSLTRQNSQLNQENQKLAEELKNSQMETTLVTCQLADLKQGFGKKLNEMQNRYDDLNNEVATLESMNLKESFDRKRAEIEVEEFSKELEVVNLKNEDLEAELAELKGKMAEKKEEEESLNLKNRGLEAELTDLKSKLAENKERQKKDQKIMEAELAELKEKLTKKKVSLKHVFEFRAVNQKNQELEAELTELKSKFAEIQEEQISVNLKNDELEAELTDLKTKLVEKDEHIVKYFFGN >CRE01266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:108861:109680:1 gene:WBGene00072540 transcript:CRE01266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-33 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3N9N4] MFDFPLFHHLPPRRPPARCNLTDRPWEVLLNWVALLKQYGFYLKKKKIDGAKKNALAQSVPPGDIETQPATKIVFKGPYNEESTYHQRIKVINSSARRIAYCIKTTKRLRVNAPCGVIDPKEEVILVVSFIKIFGQDDHITVEWTNTPDGAGEFRREWFQGDGLVRRNNLPIEFDLSL >CRE01317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:100881:106323:-1 gene:WBGene00072541 transcript:CRE01317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01317 MTDESEALMSVDHVKYRKAGAGKSPVGRLAVFSDRIEWRDNASPEVFTWELTRIKGQRISPPHKSKVQLQLVLTNDEQAIFVFLNPGSSTEELVKERDGVKHKLMHALILNRRREKEHLRNLSAHNKNDDEVEDLYDCSSEDSVQHHANDREDTLIKQDATIKDLETKLAAADQKLVEQELKLQKELAERDAKIRKLEIELEATYIVAKLPRDDRCTEELIKCNAQILSISDHLESANSTIDELNSAMKKIRLFSKKKYADVEAANENHQDTIRIQQSRIDKFQEALKEAGAEYDEMKDRMEEGEMECARHLTDYEIKIQKLENTLEIQKLEIQKLEKEKIPEPTHLYIELGKLYMELQEAKRPHDDQLAVKDKEIEYFMKKLEAKDLEIQNLKDSVQMKMDGKDHGSEVMEKKAAIQDPVRLGVNYGNGDPDQDW >CRE01316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:98449:99525:-1 gene:WBGene00072542 transcript:CRE01316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01316 MDPSKILGFLQNPLVQLQDECARHNATKLRNVELTEDLENKMAKIYELFAQRDDLMEDIGATQTANQKLEKQNVELMEQLKKTNVAMQEVIAERDSYKMEIQATKEVVAERNWKEDYLKRILELQEQHDIALCDKDRMIHNQGVRLNSEVQKYADKLKVVQEQHVEQIEGMDREIQTLEEKLAACQEQHVKELNDKDLAIQELKARLSAAEQNKKEVVTEPTQLQTELGKLYLELQEAKKPQEALMARLAAKNKGIEYLTKQYEAKLAAKDSEIERLTEIVKDGEEKKKIRMEMVANLRKKIFAKESEIMKKFNAMRQAAPQGPKLTEPEPSIEYEDSEEEW >CRE01263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:93894:95123:1 gene:WBGene00072543 transcript:CRE01263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01263 MNPQELFILNFLQKHPAEAQALNDYVAKAKLDGKMGKAMLDRIVEKVALSGKPATSHANEPNDKDLEIQALKTQLAASQEESTRKSMKLLEQHAKELNDKNLVIGDLETRLSAAEQQTIDKLRVLQEEHVKQIKGKDLEIQTLQEKLAAGQEQNAKELAEKDLVIQELKAHLPAAELRTVDKVMELTNENNRLSMRIQKLEEEESIRAERYLPFGGLPFGGMLTGDLQKQVHQKTKEVAPQLYLPVSKEERGFLTERRLQGVFKAQEALCQEKKKVVYKPTVASYQPCIKALYRPEALYQSRQESGSQKVGSEPTFQLHTELHIELGKLYMKLQEAKKPQKALMAQLAVKDKEIECLMEQLEAKLAAKDSEIQNLKEMIQGGDEKVVMAVGQSE >CRE01309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:70816:71495:-1 gene:WBGene00072544 transcript:CRE01309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01309 MNPTCSFQDPLVQALQAERQTHNATKIENKELIQQLKIMNVAMREMLEEREAIQKKNWELHKQLAKENLECSQKILQLTEQLKKVTEERDWLAGSRILELQEQAKEDILRIQKLQEESQRNAQCLQGLVLELQKTKKPKADPATLTTTEIESIYYETLDSMKIREKERLEDNVYAIDLMAEYSKRRFEDMNQIESAGGAGAGVEDEA >CRE01256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:69204:70073:1 gene:WBGene00072545 transcript:CRE01256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01256 MDASSGLDFLFQQFNEKNSRIHELTAERYDLVEELSATEAAKEGLETQNAELIGQLKKMDTAMQELVEEKDAYKRHIQVINEDADRNQAQYLQELNDKDRTIQMLMTQLGASEEESAKKEEELQEYHAQESSDKSQLIYNLNIRLCLEEQQYTEKLTVLRKEHAKQIEGMNLELQTLRNHQEQHVKELNEKDLVIQDLAIRLSAAEQQTIDKMMELTKELKKKDEVVHVELGKLYMDLQEAKKPQEALMARLAAKNKGIEYLTKQHEAKLAAKDSEIERLTKMVREEKI >CRE24851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig435:330:1788:-1 gene:WBGene00072546 transcript:CRE24851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24851 MLLPPNLTTSTMMTSSSSESYDADNPILPPEPILGDYVEMFTLVLNFIVGAPLNLAAYTQVVFFSLEKFDRDYFYSWVVQRTKIFQFLYVPQFRLPNAKVPKKRVRQGSDQPGTAHATASRIKEEPIAEESSRRNNRERSHQAHSSGARIKAEPAEEAPELDRPPCEYTPRGSVAPSDRNFPIRAAVPSQFKPPRLDSHSLDDVAPQIEDPLFSAHKRLESQQQEIDELKEQLKKKEEEWSVKFDMEQKKQKEKEKELALKFEEQLKQQQNELTLKHENELLSQKFMFSEQMRLAEQQSHQKFIELMQNQMQQNVQAPQYMMPAPPLFMPAPPTSSVIKFTIPVPDSIHPNVPVSILL >CRE16266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:23:5186:-1 gene:WBGene00072547 transcript:CRE16266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16266 MIITPYLNPRLTRPLKWLAAILLVYFLYFSTFPLSQKPSKPRKPAKQVKNYTCPFENPENPSFADYFSKNPPKSLEFHKNNGTDQKILVILDSMFSRHGKAIIQMLNSQKFAFKAEAVSKNLPVLTTSRKGRYSLIIIENYYKYLNMARWNRQLLDKYCKEYRVPMFSFIASKPNDQLKRIKIKGSSLWMWQNQRIQRLTVSPSPIHKISKIGSFRNLSSEESDWILFETSESFESILTGTVKSGYERAVVVRDLGREDGVERVIFGRNLTDFQVKMTFLDALWWAMGTEKTFGLERFVQIDIDDIFVGAAGTRIVEEDVNRLISSQSHFQKSVENFKFLLGFSGSYFRNGDDLEDRGDEFLVENSEKFVWFPHMWRHNHAHEHNFTYLEAIMIQNKIFAQNMHLPVDYPYAIAPQHDGVFPVHEQMFEAWKKVWNVSVTATEEYPHFKPSTGRKGFIHSGIHVLPRQTCGLYTHTQLFDEYPDGFDKIIHSIQGGDLFFTILLNPISIFMTHQQNYSHDRLALYTFENLFRFLKCWTNIQLKWQSPIESANLYFQKFPEERIPLWTNPCTDPRHQAILPPSINCTLKKLPDLLILGPQKTGSTALGSFLSLHPDVSQNSPVPGSFEEIQFFGGKNYLKGVEWYMSNFPNESTVIYEKSATYFDNPLAPKQAASLIPHAKLVVILQNPAQRAYSWFQ >CRE16239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:5803:13362:1 gene:WBGene00072549 transcript:CRE16239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16239 MPCFFFILDTSGSMSTRSHPQFSFFDLAKNYIETFIKVRTRHDSRIAIGRENDRYFLMTTQTRYPENVKVVSEKLGGIVVDELKKLTLPYGSAQLHHTILDAFRVLHVNRAQTGIDGVGTGRAIQNTEQVVMILLTDGSGISGIPIDFRLFFDPPFLGSEMTKEAFRWDQKLYTVVFRIPSTPYRPTQSQLTTIDIDLPVIEKLCSRTSGRSFSIISTRQIQISIDYILAMASQHKVGVRFDCLPAIPGNVSPDEIARIKAKFKKVIDKKPVTNLISRLNPQGRPVSCHWPIPESYFPIRAMDQLPQRTAHPVILCAPMALPLTIRTEIPVDKLELEPSGVSDIIMEILQGRKDMTVWTYIEGSSNGPTAPFGCLRMNTMGTGITLILLPFNFPLFYPLIEEVIKDPILTTSQVWRQRLDSYFQTVPYYYFTQMRICLDKIRVKVEYNSSMSNIYAGALLSHLNRLKVKAKEEFDQVGIATKLNESRAPRLTNPSIRIERITSRTSIIGLGTEDDNIEDENLDGVEPTPIYSGDFQIPLYPPLISEAHGDTSYRNPYSSTIEDLVSKLNKIEANVEMLFNPHKTTLLDMAKLGVKPRFNTLEELHNMPQKTMGEYEAYQAARQQFYGPPMRKIDEERDRTHAFGNPYKLKGMGAGIDEVMDSAVVEGNSPQGQGGKRYGEIRQSVGGGPPKRRRGPLGIDAFDIYRTRRSMRGSSVASSEFDRRDSIDDLPGSMTPGTSGGSTPVNEFEDLQLQEMDSDEQLQKNLDEVTEMMNKRKREETGEKMEQKVPKRLEILEPPAPPAEIISDQEIITRKIRIGSIVRKPANHRAYEEIMTLVTGITNETCSKLIKYAVRESQRFKLKQLTQKLEDRLKVI >CRE16267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:13595:14512:-1 gene:WBGene00072550 transcript:CRE16267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16267 MNFATAAAKEIGTAILQADVEMKKRIRKMMKHRTLEDVAVLHTVLERVSESSNQQLKIDFEKHRIPERLKKVASLVTNTHYHSFAGTQGDDKEFTLFTCLRNEDYWIEKQRLACAGGAVEGIIYFFGSTLPTLWSHAPGTTCSTVAMPMPMVPPDIPVRMGFLIADMQKHLGETEEDLKFVVEEIESIIDQSKLLMLANIV >CRE16240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:16052:17145:1 gene:WBGene00072551 transcript:CRE16240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16240 MENKFNVAQTQNGKSAVKQVKQEFGNENSMSPRKIRPSYSQLLENLRKTKLALRKSENQRKSEKEKMEKQLLEAYASNSFLKSLHAKKLKEVEDKLDKTEKELEKAKEVVSNMRNNLFQQQKSTRELIVKQNLISGKLLMDLQRQKEEVERVEKSQLRDKKTLNALWRDLKDMKNEDNKKQHEIEKLEKELTTQKENMEVSQQELVDRHHEEIREAQRGANILQSLLQQKEEVLEFNSIKINKLEQELKTRNEEFVEKVRRINAINAILNNGTVL >CRE16241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:18296:18795:1 gene:WBGene00072552 transcript:CRE16241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16241 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE16268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:19651:20506:-1 gene:WBGene00072553 transcript:CRE16268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16268 MDSSSLINCEIENRGQKRRCEENQEISIYDYYSDSAQIENLEFQRLKEENEAFRMENQMLKDSERKLKESQKCYELMADRNRTNSEKDEQIENLKKEMEELKSAFWKSLAEQIRMKKDLEESEETRKDAERAILMLHKQLAKERARSASLEQELEEEIEDLVEKLEKSDEALKEMNKKMNELKDNSYRFKNRQVKRKLFKEMDDALEFGDEEEEVKKKIKSLEILFENRGSDDEL >CRE16269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:21991:28364:-1 gene:WBGene00072554 transcript:CRE16269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pole-2 description:DNA polymerase epsilon subunit 2 [Source:UniProtKB/TrEMBL;Acc:E3N2G6] MDQTAEENALRRELIKTFQIHAFELKKEAVKLCVKLFIEHDKETRKKWTNKMIELLKKQTLQSSLISEELIRDTFRQCKSKGTQDAGKLLNVFDAFSLQPYDFDPELRKMVVRKGTTTLAADSSSFSHATRQRFLLVKQRAARCASLKSFKFTTCEILCNSTKTLKSVVVLGMLTQQKADCYHIEDLSGSIEVEFKEDTKFHHALFHEHSIAIFEGNFENSVLKVNEVAMVPVESAEMTRKELSSNENWFGGEDKIAFRCSERLRSALAKQEDTSMIFLSDVFLDDVKTMRALNKLLIGYKDQPPVAIILCGNFCSQPRQTDTIDLLDRGFRYLANQLMQMKKEYEKTQFIFVPGPDDPFVDSVLPRPHLPSLLFKHVSPIISCTFASNPCRIQFASQEIVVFRNDLIKKMCRHSINAITVESIPSRYARSVLSQAHLCPLPQHITPILPDFSSSLSLHPLPDLLVTADRFETFNEKVTGSDTIVSNPGSFARSNYTFHVYYPSQNRIEASQIPTGEAAD >CRE16270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:28669:29539:-1 gene:WBGene00072555 transcript:CRE16270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16270 description:U1 small nuclear ribonucleoprotein C [Source:UniProtKB/TrEMBL;Acc:E3N2G7] MPKYYCDYCDTFLTHDSPSVRKTHNGGRKHKDNVRMFYQKWMEDQAQKLVDQTARAFATNRMQGAVPRTTMGMAPIPPVGHHPMMGGPPGMPLMAPRPFPGPPVGFPGAPGMAPFPGGPMLAGPPGMPPMMPRGPPQQFRPM >CRE27919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig444:867:10430:-1 gene:WBGene00072556 transcript:CRE27919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27919 MVRVIRTFDVVNAANKVTSSSRPQTEDVNELCDDCRRAEAKDFWRACVQVRQRAEFKKTLFYLEQLLLKHSAHKECTGVKPVPTGIDFYFAKQQEARKFVDFLMTVLPCKYHYAQELVSHDTKNNTYDYKHTFCVEIVPICRDNIVCLPKKQAQQYGNMSQIVLCLRVSNVITLIDPNNLQLVDVQATNFWREPFDSLCGPKQLTEFYVLDVESIDNFERKAGHGYISKKHELADVWLVRSDQVGMSDAQSLSARTHLGHLLSPGDLVMAFDMKNCNVNNATFDAMSVDNVPDAIIVRKVFDRSRRVAERQWKLKKLVVGGNIVGNETASVADEFQGFMEDIEEDALMRARMCAWRRFGRCAQRHPATYRTQIVLCDVNPESLCHLTRVTGWELFSNQLEPFVKKIIEFAIDGFLNLPQETQIHLLKGSVFELCLIFAPMYHYKDTQVVCGERETPFRSPVVSLRTLLRFVLDKTRF >CRE11529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig578:7599:10252:1 gene:WBGene00072557 transcript:CRE11529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11529 MNTILNKCNHECCVINLPEIDGTLTKSLYEHVKSLYRYTEPCGLEVHMETFTKVVPIYENVNKIFVRGETFLDRKDVNTLLAKYPDVNNLMIEPTTNWELMNTSKSSLKIENVHISFANQFAASLLSKFTGRSISFSEAVITETELNQFIGKWIRSEAYHNLETVNLGLTPSENGLNIDLVFDQLETEAFDATKRPQWYQFSNKLFNMTFSPIDFSGDNCFDVIRESDGKRASILFDVNVSIIVFTFLVWS >CRE11530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig578:13548:17805:1 gene:WBGene00072558 transcript:CRE11530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11530 MTLPVEVPPDDELVDQTAEGQKNVTENVGVGNKDKEDKGSVPQPMEVEENVSLSVVKKTREMFLKLAKEDTGKMLKTYAKANASTHESIMKPFIDLIVSTFQTLDAKEEDCEELAKENKELEKENEEVINKNEILQSLLEGMQDRELGGTSNSKQAMEYQMVSMLASEGINTLEDLEEVFGNTEKLQKLIKMQNDVYQASQQKAQQMEAEIRRLKKALKDSESRVKSLKGTQAEKEEQTRFIELNQKSNSFSRIGECTSCMSLKEMNSQIKENASQPTKSTGTTTLTSGMPQKVQKRTVQEQTHTDKELEEVIEAARGQMDSESDSERADETIGNGRYYTNWDTGKQKEMSPVEMMNKMLVQQNLPEPPKFSAEENSIKLESFRKSFALKFESCSDENQIILLETKYLSGRALRIFRGLPEHEKKSINGVMQAMAIRLRISPEDETRRAKSRWESLRKKPEQNIEDFCLSIDELARVAFKRVNASELSSFKTAKLLDAIAENETLSCLIDNRLLGMPERDHYDTCRMLATRYEQGIRDRNLRNKSQNSEKKKSPSPQSSNTSSANNTSVQSNPNGQRTNTYSQNRNNFTNKTDNAGSPINQNWRQRTGENSDNNGKTKGFIECSECKLVGCHDPKCSKAPGNAKTYSRPVVTCYRCQEQGHIATYCTMQSQNKVNDNPVKENTVNAVEDKQGLTIPSDAHKTDNTTEKPLIKVEKGRIGNTEVDLMLDSGACISIIPQKIWKKIVGENGEEWVKKVKEEKPELAQVFTANNQPLKLLCTVEVETSMQTRTRLIKYYIAAIDRENIILGMDQFNKLGVEVRIEEQPRAESSSESETEVWNVIQSFQNIFAIEDSELGRTNATTCEIELLDGAEPIRQKPRPIPLAIRPEIRKILQKMVMQGVIRISKSPWSSPVVIVKKKDGSVRMCVDYRKVNKVVKNNAHPLPHIEATLQSLSGKRIFTTLDLLAGYWQIPLDEKSKEITAFAIGSELYEYNVLPFGLVTSPAVFQATMEAVVGDLLGKTAYVYVDDLLIASETMEQHVQDLKAVLVRLEKSGMKLRASKCHIAQKEVEYLGHRITPEGVKTEETKVNKMKNFKRPENAEQMRSFLGLTSYYRKFMLNYAQ >CRE19933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:1289:5463:1 gene:WBGene00072559 transcript:CRE19933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19933 MRKKVKPTQLFGMGRMLSIRLLPSLKNINRSRICVPKSWRHVSPLLQTRNTLKLTGISMMEFRVRTLSSGDQFLLGFKGKSLHFWDRTIDESTVIRFLNEWKTNQGFQNLETVDFFIHHNNFDTYEILESVGLQQFDSPKQFSWDQKGNHETIEVFFTCQQKIFKFIEFDNLLVLSFCSKRTRYLIQSLQKSRWKNIKFVRYSFDEKGTIYVRVRSETSIGFFSLLPITLGELVVTPTEVFGMGPEIPICFHSKRNYIYDREQKHLVVHGIHDYLYQFFGSSSIDYEIKTENELPPSLEHISKTSIKVPENTTAEELEAFFTVSPNQEYIEIDGNFNGILSTNSVIYGAKHLRVIFKGNRGDEILLRFRGNRLQFYTTKFHDSTISQFLKDWKSNRGFQNLKSLSIGSYECKNYDAAELFKDLDVKHLDRPEDILHITWSMSLSYRSLLMFLFSPLNSFPSEVTMKLLKFPSLVQQKIIKFIEFDHLLVLSFCSKQTRYLIQSLQRYQWMNIKFVKYSFEWEDKIWVWVRLGNTIEGFSLSPTTLEQSVITPMEVFGMGPEISICFHSEGNYIYDKEQKHLVVHGIHDYLYQFFGSSSIDYEIKTENELPPSLKNINKTSIKVPENTTAEELEACFTASPNQEYIQVDGDFNGILSTISVIYGAKHLRVILKGDHGDEILLRFKGNRLQFHSTNFNDSTIS >CRE19958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:13668:15094:-1 gene:WBGene00072560 transcript:CRE19958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19958 MSLQRIQVKTKPAPLFIWQQPPRLPLPELPQRDPSRQLSFSQYVRIEHNSDIVIQDWHQSELIRGRDKEMIKLVILDIISTMPKLLPQYGQGKHRLYAHVAIDVYKRTGCYLSSKAVSTCFRMEKENLRHKLKKLVKRRMLSVEKVEDKLWKEYPNYGSIRFFRAETWKWETKTRKNATVDKKGEPIIFDVSDDEEEVEGDDETVVGDITEDTFDNDETGFEPAVDNTNQSSDVHADDEQEPVDGYFDDRTGLWFTDPPMYENRPSEAHPLLFDNNVPSTSMQNWPIQDAHSPLAIYQTSAIQPIADFDDQVDHGDNSGIEPPPFGVPSLPGRHVAQSTNRTPARLEDVEQFRRDIGQIKDQAERVAKKHPEKAEMMRQALLEIVQICERSDTTDLGQMFAQLARRNDKNLFK >CRE19937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:25214:26432:1 gene:WBGene00072561 transcript:CRE19937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19937 MRVIAYDLPSVLLFCHLSSISTIERSMENVPDYDETPKTSFTLFCDTKRLQIKEELSAEEYLKVFVSLWENTSPEEKAVFKKEAARLKAAQKKFYGHITRPVKAFDIWSAGKRTQISVQDPTASVRVIKRRMEIIWKNMSDKEKLPFYAEEESQKADFKAAIAAVKNEFKNNKEIPKAKRPRNAYMIYYNIKKDELSRDNAPIESGTMNEEIRRIWKNMSNAEKSPFRLEANRLKTEYDKKYPDFKYQPWLKRQHNLKEESVEAQIKYSPEDAISLSTEADSNRSNTQSSSTPPTYSLECSFSNLGIDYDEPEHLENIEPTIKVPNDFPWLEAIRSII >CRE21586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig353:29301:33326:1 gene:WBGene00072562 transcript:CRE21586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21586 MIGEKNYSFPFDYQHADRGTHTDPKNSQAEGQKGSSPGWKVAIAIISVLGIILIVAFIVYYMRNRFPRIKTHVRPPFGERVENEYGMGHMPGSLTQVVTNGDSYVKVFRSFPHDLKVDFKRLRVDKLDPDWSRTLWCCIQCNFILHPKVSRAAVEETNNRCQSNVDMPTNCHIVSLFVVSVIPVRQIPLGVLSFWEIGPNAAKKTPPLTR >CRE21590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig353:25182:26342:-1 gene:WBGene00072563 transcript:CRE21590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21590 MSEQARQNLTQSHQCDKITSQSTSMTFGGSAERTETQSIDKVSLKKATSHDYTQIVTLVSNGETSSAHDDEFPWSFDAVSELMNIRPWSSSFLAYMLSKARKAIAKGKITISTSKCHVCFTIMDDCYETHKFEEYHQMILCRWSMQSYSKHASLESPYLKNL >CRE21588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig353:11288:20065:-1 gene:WBGene00072564 transcript:CRE21588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21588 MHHPPSQFGSKNTAEPDPSHYPPQHASHNQNHPDSYDSYEEPNTFSSKGNVAALRNVIHGQLDMKTPTGNSSRYQKPAPPPVDPTPSWAKNVKVYEPNGYVDPHQNKHNMGRVLDGPVNPSKFFQGVPPPSYSLVKSDSKPPAPPSKPVQQTPAQALQAQVLQNENSNYSSSKPPSDPYRPPSQKTSYRIPYDLAMDPRHHTGEFDIDDSASIISSSCTFGESSEIAAFSAAAEQRHLYEQYRKKLMEEKNELKEGSETPCVSLSEKIPENTGNPEIPVSRSLFDTELTPFGHVAKPAHRPEDLPKTINQNTNNPFATPSPNPFNYSPSERELKRSVDLESSQLLVKPKSPAPYSTSSSDHFGTIRRKHKPVAIDLSEVPKSSPKDKSPHFFGNNYEEKSNNRSPRTPSYKDLSGKSESLNESLNQAFEIASSIESTKNNYEAPPTPKSISNDRSISDTYPVSSQQHHRPSPANPQLPIEPPPTTTNQKEQEPMSTSMSSIMSTSVPNMDESIVYSQKQSSPDSSPERNEDMSQWYRKMFKQMHKKGDEHERFDNNNRTIDTNLGRSQENLHSSPKPKDTQFFEHFEHGESFFENLGYFLKKGVTCSVLITPCVPICLSGLLKRVLSPIIQAIQTKYKYFHGISIYQLYGLRFNNEI >CRE21587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig353:4459:9688:-1 gene:WBGene00072565 transcript:CRE21587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-208 description:CRE-TAG-208 protein [Source:UniProtKB/TrEMBL;Acc:E3NJU9] MHLLETLFLPHGVPSSSTATTTIPKRYIPRPPHFHLPTYRFKDEDSRPIRCSFNNCLMKTNKYGTPCMMCDRPKKDVEFKDVDVIYENMKRMKSNQRQRSQNSLGPSEPQNYGQERVKQTNEDELLRLKAEKLAEELKKEKERKHGFVPSAAPSLQNNMDRLNSLLYDFSNDTPEQNYAPQAVITATAVYKFEPRSSRELPLNRGDIVRIIRDVDAYWMEGERNGRCGIFPNTYVQINSTNQSDTQKMRAIYPFTARSDTELSLKRGEIVTRRRQIDSNWLEGSNQIGIVGIFPSSYVEPLEPIQVPEVIPTTAVFPNRPKTPKMEDQVYNQMYSKPMDQQQQHQPVATVVPNNKVRFDLPSGSDSNSQLSLNPHPIHNQNQQQPSYGMKKIEYEREPKVEEEPDQYRKLNDEPKVAATTKKDTNILMNAASLIPKGSEMYRAIYPYQPQKDDELQLFTNDIIFVVEKCDDGWYIGTSLRTGDFGIFPGNYVKRH >CRE15021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig343:11253:16061:1 gene:WBGene00072566 transcript:CRE15021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15021 MAELTVIRLFVLLSSATLGFTCPTNPITTATLTGKLSLGVTNVPPGTNCTFMFKIPNDFVLQIKLSVTSGYKEDIVSIFDSNNAPIFVSSDNPMPDFHFPPIWIPAGSAKIEVIGVSGQSQFLVSYTYESLDNYQRIRKITGEHFSLKSIADNTYVTITSSSPEEKVILTPGMKQGEIDNTLQNYFVYDGDNINTARFLGRLSEFNSSMKKSTGQGVSIISFSDTKSNSYVLGNDASTLQKFEKYSVILTSNKSEMHGVMNGKASAYTFICTDCSTYSWTQLALDFVGNIQYGGHITLQILTPTHRKKKLAKYDMMTFTNQYFTEILHTEVFTINLHLAKAEYNVYSTQDDNESRNLISGRSSPNLYTTNSKNNIGDILKYQNSTASDHRSATIAGTGIRLSFEIQKRCPTAPITKDAGLTGVLPAGATGQVQVPANTTCTYTFQIPKGFALRVDTTADYEVSMENSINFDNIFISPPAVNVVDYAMNTEVLFEIVSKTGDLAFITKYSYIDLSNYKQVIVPTGTHLNTTLDSNKYYTVKASNDNDQVNLQYGSRQSSVADLTLSEVFMFDGNDIFNSKYIGRVPSVYEKQNLFYSTSNTVTLINLYGNPSSSLFLGNDASVSVIKTLNNYGVLVMDSDKEYDDWMYLLKGADVVTDSWYTVICKDCTTFSIDYMLFDTDKDYSNANGFVEVQGMTPSHKLQTMLHYQYSSSNNQSFPQIIPAPMATFHLYNSSFHFKLRPGTLQKDFDTSSGATRYVSSPQLWNPDAKSSFDYTFSDFNKNFNFSINLQSLKLENDGDSLNVEVGSSDGDNSLDKKYTKTSVENQQIAGIGSYLKLVYTGTKNSTVLLNFEMIDMTETTVSMETTTKESVPSLPLWVLIVMTLFVM >CRE15025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig343:5479:7241:-1 gene:WBGene00072567 transcript:CRE15025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15025 MLKFLFLFCSIAGFIGAVDFTCYTQPITSVMLGGNLPLGANNLTDVPNGTNCTFTFVIPTNYVLLLKFSSFLASEDDSVIIFDNNGHNRFSLNQLSYPVYDRPLWMPAKSTKVQVVGKSGNSKFFMSYVYQPLDKYTKVSKTTGEPISLRSMADNTFTTVTSPTAGEKVILTPGTRAGTSDSPLIHYFVYDGDNITGANFLGRLSELKSQMVKSTGQSVSIVRFSDELSASYVLGNDASALNGFGEYNVFVTSKGNTMTKTLNDVTTSAKGTANTFICVDCSTFYLSKLIFYNIGNVGNGAYITLQGQTPTHKREQLIKYDPNTLTANQFPQMLPTSIFTLNIYLGKADYNLNTINDDTAWKRPYVGRKGYIFSPSLWTSAVNNFTYEFRDNSQNFNFALNMQKMSFPASSDQMTLKIGSGSGTPAVNKQYPRDQTSNSQVMANGNYMQVGLSASVAADVRLSFEIQKGNSAKAVGVLISVVLTLFYSF >CRE02496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:214542:215975:1 gene:WBGene00072568 transcript:CRE02496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02496 MKPRAKALIFYGALSTIMLVVLVFQSDKVSYSPTLPLNLFSASNFELWNRFGRTEENFAEKRENVSIDKNCECISKKTQKSYDFCYKNPKNSSLIGRRFNCSYLKILEDLKLVDAPDQSLVNLANPDRNETIFVSAISDNHFGNFKEMYKLIKQHWPNQKVILYSLELSAIYIKKLKTERNVEVREFDTSKYPKHVKNWAEYRFKALILAEAMRDFPNVWWTDSHNRWNQPKPLAQFYGEIAECMGDIDCDKKSSIFMFVNATHSNYAVLTDGLLDYFPTYGVNTLKYNDKGLQLSAAFVYLARTPLTLDILKWYVGQTQNLKKNLDYFRHTLCALEERCMNPPSAKLKCDRVPQWDRYAGCFRYDQSSLNLLMFNSFRDHNHYFMDAGEVSRTYSHY >CRE12338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig337:32467:34375:1 gene:WBGene00072571 transcript:CRE12338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12338 MKLRLFEKETKYVRQNGSPTTSTTPHHRRQNSSSALEPARKRPKLSPPVLTAMPLDLSDTILPSESQACTSTLKGVVTAAGAAQMSSAERLAASIVAPPICIVPRSVEFISPKTEDGIMEIPNTMGNMQQSG >CRE19068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2107884:2108810:-1 gene:WBGene00072572 transcript:CRE19068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19068 MNSFQNVSDSKEIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLCIAAFADDIKLYSHDPLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKSYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE27930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig604:12474:13289:1 gene:WBGene00072573 transcript:CRE27930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27930 MLRQFIACLALLILPQLTLATAGTPICTNGFTLINGKCWRFFATGASHRSAERTCMNYGATLVTVKNAIDNRAVQTIVGTSTYSIWMGLYCFGNDVTKCLWDDASGSSELYDNFLSAYPQIETGKCVVYSLQSSMVGRWYSADCQNETRAFVCELPTSYAGWLDNFVLAESRFSPSLLFGTYRKYCIITAPVIERHLYYNGTPSILRIHEYEYLRVFFLFLIRSSVIDNVLISEKNVQKRFFVQI >CRE27929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig604:8242:10475:1 gene:WBGene00072574 transcript:CRE27929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27929 MIAPDEVSISSTAATLPTEETEETEEPTTAMATMATTGPVTGQSTTPATETMCYECDINDIAPILDMPGTVFSSEPRDPVDGRTRTYTGCFRTDDFLCDSTEMFAVNRTGEHPIGDDITSNDVFTTFTCSCDGTYSWMDVLITLSPFEHDLEVHSGKQVASGIELDFMMTIYEPKPRSQFPSSTTASSSSLARTVQTRR >CRE30300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1049:638:3639:1 gene:WBGene00072576 transcript:CRE30300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30300 MYLRKNVDNFQKNILVKNFKNSNPGRPDRAGPDRNFACMIFTEYSIITIPTLQPSDVFLFPETCTTTTSSTTSTTRTTTGLSSTATTTGPSTTILTTELPTSSSTTLSSSSTSTTQPSTSSTSTTDVSSTISSTDTSSTFASTGSSSTATPTKPSTTSTTLTSTTSETTPSTAGTTILDASTTTSATATEPITSTATTTPTTHSASSSSTPKDFTDATHPSSTIESTVATEFITSTIIAESLKSSSTIPTAVETIPMLASDPSTEILTTQTTPTASSLVSSTSAKPSTTTGPVTSTSFTSSETTTVPTTLSSSESTSSPSTLSTSSSTMSGSTPDSVTSPPSTSNSLSTPTETTSPTTTDFDLSSSSQQSTTVVSSETTTNTENLFGPTTSESSSPITSQPSSTAETTATTTVKILDSENLTTEQSSSSPSSSTTRSTEIPSTSSSETLEVTTAETSSTSVPTTAKNWQIVDVENVITRANEQSSSSPSHQTNIPSSSSTTTSTTKHQQINDSENVITTTTSRVSSSSLQPTETSKVTTIKVTSTSKSTTTDSEDLTTPETMQSSSTTPQPTTSSSTFLTSTSKSTTTETEKTSTSTETTTTLQSSPSSSAGTSSKTSTKTAGKPWNTPNWPPKMPMASTAGLTPSEGWVPPESASSEHVTTTETLQSTLSSSAGTSSMTSTGTTVEWPLKPPMTSTADLTPSEGSVPSSTPTQKCYTYGYRKCMNSEPERFLLCNQPPYTKLMSRHHMYDGHYKIFDHAFMKMFTKEEYDTRYGMWWLIQNARQLGIFLVYSGDQVAVLSTTDYCDEDLGNCLPESFQDIESFSVLICYREGTDGQTVNNVEAFIDHLKS >CRE09548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:66409:67339:-1 gene:WBGene00072577 transcript:CRE09548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09548 MLRIFLISLFMLIFVKACVRMIPPDDVSISSTAATLPTEEPTEEPTEEPTTGATTEEAESTTAPAETMCDQCNIDDIAPTMLETGTDFVTQPRDPVDGCIRTYTTCGRTDSLVCDAAKMFATTPTGEQEVVDDTTGNAVYVTFTCANDGTYSWMGVTEISALRCQWIDCV >CRE21010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig434:11811:13613:-1 gene:WBGene00072578 transcript:CRE21010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21010 MEGQREDRGFPIQKLPCLVTSQIIRLMETWEQIRLCLTSKKTEMITRSVNLPPMVYRCCFKDNNSAISIGAGNPCAFLTCGSEAPEVIVENYVIKEELSKWLKPTDSNELETIMSLLEKVILFIPQSYMEIHLELAEMRTMSIQDIFSHPIIQNCEAVMITGGEEIPSEDLNCILDTASCLRRLKLTNTNPPYGYCHEKIFQIDDFESPSYEWMTIEFLFTLKNGVKTNIEKNPFSYADLNRFLKYWVHSEIDMFDDILCIKMEEDIPENVLFDGITRLNSMRFVPRSYQIKADSNHQQRSKLILCVWYEEGTLKLSSWTPDERLDDEAEAELFRQEYDALIAVERRMEMKQTLKENYDDEEIQNEIRKLNEQLEKLQEQLNFTIAE >CRE11532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig618:8585:8959:1 gene:WBGene00072579 transcript:CRE11532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11532 MLIRAVFALLLASTATAHIKLVYPPARAPALDFYSSSNSQPPCGVPKPAAGEGVRTFMKAGSTIEMSWFVAVPHMVSIEGLGGSLTSKTFLNLFPYLKIVAKSKSTPTKTYIPQKESNSGPLEP >CRE22717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig391:18499:19776:1 gene:WBGene00072580 transcript:CRE22717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22717 MQASPADRGSTYKRVSIFNIYEEKGIEEGDRGIIDNDVKLDDIVIKEELGSGTFGQVRVCESKKSGKLFAVKILPNTKAIIAEKHLLEKEIAIQVKLLHENVVQLITSLDTPANLNIIFELMKFSLREKMEQVEVFNEKTTFHIMLDLSAVLDFCHSENVIHRDIKPENYLYGFDGLWKLADFGLSISTKGMTKVGTENYQPPEILDGKPH >CRE22715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig391:14764:16166:1 gene:WBGene00072581 transcript:CRE22715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22715 MVHMDNLLKKGRLSTIEDTVNTYGTETGILSIGERSGINIVDVFEAKTQTHKYKLPPSTLVKDSAGFSNHRIFCLLVFDEEDDTSAVRLVDLRTSMKQSTMIKNTDKTLNSIELVNKDGKIAVSQADGSIKTYDIRNSSKPIDVNKIDDSLTHLNIVSPPKTWISSFLPGTDTNPLYAVNGKHKSSYVSLIEYLSTSQVHTKQSDDELVYKSPRPDVSAYSSEFFLAATGAHLCQQVCNFTLDLKKEYKRLKDANRKQTKKQDSDCQEQTRQRYEMAGIAEGDRGIIDNDVKLDDIVIKEEIGFGTFGQVRVCESKKTGKLFAVKILPNTKAIIAEKHLLEREIAI >CRE22714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig391:9346:12395:1 gene:WBGene00072582 transcript:CRE22714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22714 MTDHILSVQRLLEVGQGYQIPIAMIFIDFRKVSDAIKPTDLWETLKTQGFKEQEYLAGFDCRNGLLVVENIYKQLYRTFKSGASKNFPYFFIYVLSAAEIVNFRLEFTLKTLLRYSV >CRE22719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig391:4093:6731:-1 gene:WBGene00072583 transcript:CRE22719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22719 MVHMNNLLKKGRLSTIEDTVNTYGTESGILSIGERSGINVVDVFESKTQIHKYKFSSSSVVKDTATFCENRVLSLLVYDEDVKKSSVNLVDLRTPTKYSTTIKSTDEAINSIRMTKKDEKFALSTKNGIIQMYDVRNSSKPIDVAKVDDDLNHMSLVNEKLICSSITSHFIFSVQNGISFEQSIVTQRKKCISGFLPGTTVCPLYAVNERKKSCYLDDVVLKDELGSGTFGQVRVCESKKSLKLFAIKILRNTKTIVAKKHLLEKEIEIQAKLLHENIVHIVSPKKTWISSFLPGTSTSTLFAVNGKDNSSYIQFTLANEVHTKESDHALVYKGVNPVVSAFSPEYFLAGSGSQLCQQVCNFSGIEEGDRGIIDNDVKLDDIVINEELGSGTFGQVRVCESKKSGKLFAVKILPNTKAIVAEKHLLEKEIAIEVKLLHENVVQLITSFDTPANSSGMTKVGTESYQPPEILDGKIHFFPVDIWSLGCLFYECLEAQSPFPKASTKAMIDAIMSGKVRRCTFMSEDSLMCTKQMLTVDPWLRE >CRE22713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig391:16:650:1 gene:WBGene00072584 transcript:CRE22713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22713 MLIQAEMQHKNVVSLITTFATSAQIHMIMELMSHSLRQKMISEGALCEKDASWILHDATNGIAFCHLHGVLHRDLKPENITISDHGTAKITDFGLSTNTKGLTACGTEQYKAPEIWAHEEQTTSVDMWSLGCIMFEALTKRLTFPQAKTSDMIAAIDSAKVSYPHSLSNVSKDLIQKLIVRKGGSRLTASQVRHT >CRE24632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:372923:373150:-1 gene:WBGene00072587 transcript:CRE24632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24632 MFSFSKIVSFVFLALFLSSGSVSAGLIRVRRQSYWNSNGVVNNVVTDNMAGGPTSLGWAQVPHVYSPMFSPVFGK >CRE24571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:373720:373941:1 gene:WBGene00072588 transcript:CRE24571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24571 MFSFSKIATFVFLALFLSSVSAGLIRVRRQSYWTSNGVVNNVVTDNMAGGPTSLGWAQVPHVYSPMFSPVFGK >CRE24572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:374816:375824:1 gene:WBGene00072589 transcript:CRE24572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24572 MPLPLSYPGLRCVLEHLEAVKRAHIIARSPGYRRVDKLITLYPESLNIGRGYQLAINKLLITCDKDEVKFYMNMKTFSRQKAETQGEKMGKLINFYIRGRSKIRVHKLNWVHSSLPDFLPADLKFRVNSLEARFREDFEAAIPLIDPCSFPLKTVVTIPNLLNFDIQIVQLAETLILDFVIDEIVTVEDLKKLNNRKVVFECFNSKIDLVSLIKYQVNTRKVVETTFVISTGAKSFINDMLRQFELAFGEYRSDLDGINERFIPALSKFSISINNESRIQVYAIKDPDEDFPYKLIVKPVPEISGL >CRE24633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:377971:378207:-1 gene:WBGene00072590 transcript:CRE24633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nspe-5 description:CRE-NSPE-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MV80] MLSFSSKATFVFLFLALLLSTVSAGLMHRDRRAIEDYWYSNGVVNNMVSDNMIGGSTSLGWAQVPHIYSPMFSPVFGK >CRE24573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:379526:381210:1 gene:WBGene00072591 transcript:CRE24573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24573 MQVKNQIFCQECTKVFHDNYDILNVLGHGSFGVVFHSQRKRDEQQFAVKRLDLDAATLPLAAREVNNISSIGRHPGYIIFEEVFWNEHHTQPAGHLYIVMELCEQITLREWLKESNTAQSRPWNLIKDWIKQLACALDHLHQKGFIHRDLKPANVFFAIGMDLKKLKIGDFGLAVRAMQNGGLKNEETEQNHTAGAGTPYYMAPEQTSKVYDEKVDIFALGLISAELIILDSPTQGFCTNDIIRSGQWPREWMDYPDAVGSWLCLFILVYLQLQFLSELTSLNPVERPTAAQILIHPFIQ >CRE24634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:382280:383286:-1 gene:WBGene00072592 transcript:CRE24634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24634 MASLLKVVLLVVLASTVSADFSTSFRDFINATYGQTVLTALARTDLGADGSYGGGSHDGLSATNKRPLVLVHGITNTAGTFNPQRNFFKANGWSEETVYATTYGAGPAVNVINVKMECAFVQQIRNMIIAVNAFTQQKVDVVGYSLGSPIARKAILGGTCVDNTSINVGLPLTSIVETYVSVAGANRGSGTCVLPLFNACNTNNGLYCTSTFLQNINPTSTTSQRYEGANIFSIYGPSDDKVKWTNNCGTLNSQILGADAEKNDMIGNHDAILANVTVQKQVLDNHSF >CRE24637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:390340:393326:-1 gene:WBGene00072593 transcript:CRE24637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24637 MQLFIVAIFSFCIAFVSCSTPVCMHGFTLANNKCLKLYTNETTYKLAEQSCKTFGATLVTPKNLNDNRAITTFLGSSASLVWMGLYCFDSNPSKCLWDDASGSADSYNSFASGFPLVDIGKCVYFSAQGALAGKWLSGDCEKETRAYVCELPHTYADSCQFNYNGHCYTIHDSTTFVQAQSICEQECGNLASITSANENRYLQTLTNKLVMDSNLIGGMWPSLNVFNWIDGSPTSYNNIDRSATYNANCMAVSNSASLSVPPGYWYSVSCNSPRNFICKRPAGVKCSGTPPPVTMTPVPSNPSLCNSTLLLAPGVITSPNYPQNYANNQSCSYQLATLGSYQILLKFDGFITEATYDVVNVYDGDFTNKPLLGSYSGNLGSFHVDSTGNAMYVTFKSDRSNVAQGFSARFLSYSSP >CRE24574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:393744:395083:1 gene:WBGene00072594 transcript:CRE24574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24574 MQLAVIPFFLHSFFYTSFAASTPVCTNGFTLINNKCLKLYTTLASYSVAEESCRNVGATLMTAKNANENQAFTTIVGSTVSLVWMGLYCMDSDPSKCLWDDTTGAAGMYSNFASGFPLVDIGKCVYYSVQEALAGKWISGDCDNDPKAYVCELPYTFAGGYISFHFCEIFKEMFQITVLTIKTDFVIPFIARGLHLYVATIATRGTSSDWVYIGAMYPSSNAFAWIDGSVWSYNNIDPFHTPRSGYSATIGNSKRSNNGFWTSVPFNWAHSFICKRPAETQCPPNQPTVTITSVPNQPSYCNSSLILAPGVITSPNYPQNYDINLFCSYQLATLGSYKILLQFTGFITESNDDIVNVYDEKKTSFGIIQWQLRVIQFSFDWKYGVCHIQD >CRE30523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig328:20458:21021:-1 gene:WBGene00072595 transcript:CRE30523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30523 MTINDEVLRNFTAKGKRQKLPSNKELAKVTGAKNKEEDDEDMEIPEDGDEEKGPSEPQESQRQLVIDDDGWTTITKRQ >CRE03369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:151291:152321:1 gene:WBGene00072596 transcript:CRE03369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03369 MGLNMNSDTTKYKCEQCQPRRLPVTKSKTIRTLKKILEKLRRVAERERRNKRKSESVEPVKPVVQQPRKSAPMPLQPQPSPQRSPQRSQCNKPPAPINFIEESIRQNKAFRMFVEKNVEALVTTELVGICQVILEVNGYVAISNEMKRQPGEGNRIFMYDGLMKDTAGDMCSGHDLVCRIENF >CRE00004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:85245:87773:1 gene:WBGene00072597 transcript:CRE00004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdpn-1 description:CRE-SDPN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LC98] MAADVLAPGFWEVGSYKHNVKRFKDGVEQLDDYCKMSRERAEIEAKYSKMLSQHADKWRAHVDRTVSAGSVKTVWHELIGEAAALSRVHNNLKDCLIDDVCDDADNIVNETSTYRKNSLHTSVFKGPKEIREIEDHFEKAQKPWKKLFDKMEDKRSKYYNVCRQEKSALVNLQNSQTDTSVSQDAATKFRERHEKLKEEVQRTKVDYQKTLTNLNEYKNVYMEAMAHVFKMCQEKEMDRSQFLIGVSXFDDFSECXGLPPNNLKQSIRSADSEAIKRDLAQWSSVNGVDASSDWPNFVEYSPEIRNIAARGGSTKETTGGVILTRQINRSEDIPSTHSNTLPSVAVSTASGHDDVGKSSPKSSSDSDTSTFDSRKHNSTTNKYKSQPQTHTQTLPPAWHPSEGPDSPPLSADTPDSAKYADFEEFTTSKPAVVLYDYAPAEGDEIALRKGETIEVLTEPDSLGWCTGRVNGKVGLFPASYVQCPGEKA >CRE00488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:88202:90193:-1 gene:WBGene00072598 transcript:CRE00488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00488 MFAVRTGTRLASVAATKNALAKTQWRWSSAVSTGYRKTRELASLGGADYEAPSLVIFDKDGTLLCFHTMWIPWIQHAAQSIESSTGLVLFPQIAKALGLCLIENKVKPGLLAEGTTGQIAHEISTLLMDNGIKSFEARELTNNSLTTSNDQIIANELVKELSDTVSLFTRLKHHGTKIAVCTADNRKSSLLALKRMNVDHMVDMVVCGDDKNTVPKPSPHNALKICQHLNIEPSKAIMVGDTRVDMEMAHNAELGAAVGVLSGIGCKDHLHRADILLDHVGFLVNTFYETRL >CRE12664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:147486:148064:-1 gene:WBGene00072599 transcript:CRE12664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12664 MMFYVLPLALLLGSFSVDAFRGLPSLPGYLTAFGADVSSEDQGNGRFYSRGLRVKTYPRVYGGLENLAEPKDKDPVLAQIRQSVQMDIQKISDEVGLVSRKISAIYNNQKLSNEERNNQIQLLTEKHPKIVPAVLNLIGKLHKINSTDRYNRF >CRE12489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:146271:147162:1 gene:WBGene00072600 transcript:CRE12489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12489 MKTLFLVFSLLAVGLVLVNGADQDSERDSSEDIAISDKKDVKPWKKHDFKKREPEFLKDMTQDAKTAFFGIKFNPELTKAEKNQKLKEWADQYDVEDEMQKFLDAKKSDCDKKKQERKENYERLGELIDKADDILDDQSNTWTGANDKLDALIKEENREVQKAFQTFYPFVGRDNEGPRHGHGHGHRFHHGRRFGRNIGHGAAPKHGGIGSGISYNDPPRINENYNAYGQRNEPYGNERHHKKHHHNN >CRE12663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:144376:145018:-1 gene:WBGene00072601 transcript:CRE12663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12663 MKSFSILFLLVSIPHIICQQPLPLSQSPSYYGEFHGTNLGYPPVPNLLPQFQAMNLYGATTEPRRAIPKQADGTDCPMPPECGCLCGRSGGRKKREAVEFWKNTEEPESEIRCTSGKLRRVVELAISNADQAGIQFDLGVNTLQNYVLFCQAEKFKNLQFSTDSTKYCHVSRGPVNCLIIQH >CRE15141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1682:4214:8385:1 gene:WBGene00072602 transcript:CRE15141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15141 MRPFIGIGCMLAANFVSIMVILLYGYKCYIHVKTVLPTTSQSSQFKNLQTQLFYALFFQTLIPIILMHTPAFFIFIATFMDRSCELLGQIPSITIVLYPSLDPLPNIFIIRNYRDATVYYVKSVISLVFRIPGSKIGTKDYKNERNADLSRYNNQSTTLFSWTNVLVIVGTKDKLFGPAGLTVLNSFYWGCFGASMAVFAVHFVYRWLVVSENPLLGTFNGWKIWIWFSIPLWYGLTWVCTGYFLSAPNDSTSKFIRENVKEIFELEFDEYIYLGPFLYERMENGTVILHIVPFIVVGIIPIIPDLINNYRSFVWMPLLCPNQQYRCDDSEFSQNEKSSETIVLCSRHSNSCSFHFDAYSCCNHVLFVFLDIDLGVYSAVVSITIAIYPAVDPIPTLVIVENYRKTILKFFGCFKKKSLLVTTTIQPTIFIPRTEATMHL >CRE12660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:134831:136155:-1 gene:WBGene00072603 transcript:CRE12660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12660 MLGKQWSELLKLIQDVSAVFSITINSFLILLVLTKSPKSLGAYKWLMIYISVFEIFYSILDVVLVPQHYSHGPTFLVIVGIQHKLFGPAGLTVLNSCYWGFFGASMAVFAVHFVYRWLVVTENPLLQSFNGWKIWIWFSIPLWYELTWVCTGYFLSAPNDSTTKFIRENVKEIFELEFDEYIYLGPFLYEKMDDGSLKLHVMPFIGLGVISATIVSSIIIVLVFGYLCYDRINRLVATTSASAKFQKLQRQLFYALVIQTLIPFVLMHIPAAIMFLFVFLDIDLGVYSAVVSMTIAIYPAVDPIPTLVIVENYRKTILKYFTCVTKTKPLTSSTGELSKP >CRE12488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:132577:134692:1 gene:WBGene00072604 transcript:CRE12488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12488 MHIFVSFFPLFFLVFCSSVVDSTVISTSFGQLDGDEIGEFHLFKKVPFAKPPLGELRFQRAEPANSWTGIRNAKEYGPACFSNSSVTKTKQKWLDEDCLHINIFTSENCLVRNQGGSSLFFCFQKSKDCPVVDYIHGGALHYDSAVMFNDTFILNTFVSQDVVFVIPAFRLGIFSHFVVENQEIAPNNLALYDILLAMEFIKSEIHNFGGDSNRVTLLGHSFGGTVAAMLSFSTEVNKDLSLFQQYISMSAPSNFEPLEFQKEKTIRFAEHANCIPKKSKHHLTKKQTELYMRNCLQKIDAMDLLRIQRSLEDAKYPTYGGAILRGPIFQDVPDKNFMDSPKNVSALIGCIKYEVLVFGSYDDIGKSLLFENAEEINKKYQADKKNGKIEFFGKSFGNVRDETQEMIVQTRTRVDRLLENGVPAYQYEFTYPKHADHTDDLFFIMGVHPFEEDENEKNIGNVYRESFINFVKTGKPGNGFEMSDMKTSSYFEIYWNETSGERPKMKTNFEEGIMDYWTREMVEFDENITKFKRENHVILPSVRALPIEYSVFPFSYFLFFLAPFLGGFLVAKYCCSGNERNLYIQLNGNDYPVKS >CRE12487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:131330:132321:1 gene:WBGene00072605 transcript:CRE12487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12487 MSSSNYTNNMTSSISSILFVVSCFAALASISEACFASGICGGGCPPPPPPMCSGGCGGGYSCGSYGCYRARARGSKTLSFKKKSEMNPDERFMRCCEERNLPDSCLSKCSYRSYTKSALQSMYFKQDSCPMQAAADIQFCAAQGEDHTACCARNAVGTTLAGNKCFTFCDQRPGNVTQLDMSYLACYDRFENMKACFYQKASETSDPNVSFNNDHEFAVDTETAEFRRPSQEFRAPASPQLPQNPLFAVQNLLARTFGQ >CRE12486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:123016:130645:1 gene:WBGene00072606 transcript:CRE12486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12486 MHFGLNSDEFIYLGAYIYERNSVTDKISIHYKPLVGLVLFDVFVASSLIAVVYLGCKCYFCLKKQVEYPRTFSSPSYSKLQCQLFIALIIQTIIPVFLVHLPAAISLTGILFEWELSNFNGIIVISIAFFPILDPLPTLFIIKSYREALFDRFDLTVLIIQRICTSLAFLIHFPLTLLILYNSPTSLGAYKYLLIYISIFELVYAVLDVLVSPELYTYKSSFMLVLDSNKTFLPFWMLYPINLLFCGMLGCSMAIFTINIIYRYLVMKGGKSSKIQIRPGILSELIKSFESEKLFCWIAAPIVYSAIWMIITGLTLQGNPQTDKILEEQFLRKQNVSLSEIVYTGPNYYPQKGVIGGIPIFGMTILTLMIAEDGSVRWHNLNFLLAGVAILGLQYLIIIYCGVRMHTILQKELSQQSVVNRKLQKQFFRALVVQTVVPTFLFVLPIAPFLIGPLVVPFIGIQMNFPTGWMYVILCLYPPIDTVAFMLIVSEYKKVTLEMFKPVLPKRIKVISEVSTSTAAAITK >CRE01294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:40142:40739:-1 gene:WBGene00072608 transcript:CRE01294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01294 MKMTVQEQKIHRLVEELQREKRAHQETHDNTFLKGLDKLKHIYSFPAASSWNPPNPASNNWNRSIYMELQEVKKPQEALIAQLADKNKEIEYLMEQHRAKLAAKDSEIQNLKEMIQGGDEMNEKAVVADGQSE >CRE13126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:11648:12682:1 gene:WBGene00072609 transcript:CRE13126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13126 MAPPSPHRSSILNLFKAGVAPVDIIKRLGVPSKTVYDSISRFKKLGTFLDRRGRGRKATVVTPDRIKAVKERIRRNAHRSIRKMAEGMKISRRSLGRVVKDKLKLTCYRVRKTAILSEATTKKRLERSKKLLQRTRNDEHLVTVFSNEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQTHFNGRHWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKKTLLKEWDALSPDYLRATIDAYPRRLRAVIQKRGGRMEHN >CRE13132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:13496:14740:-1 gene:WBGene00072610 transcript:CRE13132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13132 MPTSFLRLVSIAQTVGTFSFLISSFFGIIVIYLTVFGVRKIFGTYKYLIVTFTTIGIGFTCLEAVFHPNFHFYNNGFVYFTLSSPFGLSKKTLKVIICKLAIKKNYLIIILAMYTGVYTVTISLLAVQFIYRYWELFSLDHLSYFRGCKSFLWAVYCIFFGGIWSMGVYNLLEMDDVAEKYFEQEILIRYSVSIKEIPVKTFLAYEPEDGSIRWKNASDTILMNSIMTFQYGLMIFCGWNMHSKMEEKIANFSVTRKHHNRQLFKALVFQISTPSIFLFSPLILFVNLPYFQIELSLPAGAIMSLFNMYPAMDSIIILIIVTEYRIAARSGIDKMLNAVIRATSAVFRAKSSSTSQTTGQIELPTIRTIL >CRE13133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:15921:17542:-1 gene:WBGene00072611 transcript:CRE13133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13133 MLRNCHEMISVMSNTEESDFYHEEDFEIDIKKLKFLEVIEKGLFTSVRKAELTKGCSRLPVVLKSASKQEDAKQQRMILDELQVLTNIKKHPSILSFVGVILSTENYVSIVSEYAENGCLLEFLRNHQDSFKDQLESEEDSGFVILHPGMSNNEESLKKYTVCTSDLLSFAYQIANGMNYLSGLNYVHRQLALRSIYLTTDKTIRIGDLGLARRNDEKHYYRIVHKDLPLPFHWLAPETLGSHKFTEKSDVWSFAVCLYELFTLGKMPYDGVEDVLKYLKRGRRLPKPEYCHQEIYNFMLTYWNMDPEKRPTFSDCVNFFENHLQKFATGVLETVKEKMESAKEHQKQLEALAQ >CRE31608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1327:727:2065:1 gene:WBGene00072612 transcript:CRE31608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31608 MVIMLLFFVLTFVIGVLSINLWRARRKLPKGPTPLPLIGNFHQLAYTCWKAGGTVAGYNEFRKKFGKVFTIWLGPLPTVHIADVEVAHETHVKRANIFGVRYSNGGINYIREDKGVISSNGEYWLEHRRFALTTLRNFGLGRNIMEEKIMEKYRYRFQDYKRTNFKNGGIEVHASSCFDLLVGSIINTMLVSERFEQGDEEFDYMLESVERSLGRLSIFDSFTPPWILKSDWWQWRTKYVFGGLEFLLGMAKRQIERRYLNEAQSMDKDKRDGIVDSSFNLDSLAIDLFDLWLAGQETTSTTMVWACVCLLNHPEVVAELRRELLEVTGGTRCLTLRDKPNTPYLNATINEIQRIASIFNTNIFRIP >CRE13134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:20655:21743:-1 gene:WBGene00072613 transcript:CRE13134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13134 MLIVTYILALILQVICALVNGVILCLFVKLDSLLKNKHLRLVLYLSVAHFLDAILGFPYIIYMTKNWDPIYFELDPLFILVSEIPVPIGFKFSATATIGVALSRCMAVFSPGTFRKIEKICFSEIVSITGFILGMFDACLSLALSPITRIPNCGTAGCFLSNQFLYYWGISNMIFGFIVIILSITLLVKIQLMDGLKALGSVVSTSQEKRFQQASKTTTYILLSSLLFLTTPSVCVGVVELMGFSMFELIGPFYYSSLLLTGISNGVIFLVCNREARQLLSRGSKNPSTAPCVVLKRVAGVR >CRE31609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1327:3017:3742:-1 gene:WBGene00072614 transcript:CRE31609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31609 MLIVTYILALILQVICALVNGVILCLFVKLDSLLKNKHLRLVLYLSVAHFLDAILGFPYIIYMTKNWDPIYFELDPLFILVSEIPVPIGFKFSATATIGVALSRCMAVFSPGTFRKIEKICFSEIVSITGFLLGIFDACLSLALSPITRIPNCGTAGCFLSNQFLYYWGISNMIFGFIVIILSITLLVKIKLMDDGKALGSVVSTSQEKRFHR >CRE13129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:24132:24878:1 gene:WBGene00072615 transcript:CRE13129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13129 MLIVTYILALILQVICALVNGVILCLFVKLDSLLKNKHLRLVLYLSVAHFLDAILGFPYIIYMTKNWDPIYFELDPLFILVSEIPVPIGFKFSATATIGVALSRCMAVFSPGTFRKIEKICFSEIVSITGFLLGIFDACLSLALSPITRIPNCGTAGCFLSNQFLYYWGISNMIFGFIVIILSITLLVKIKLMDDGKALGSVVSTSQEKRFQQVIFRTNY >CRE13135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:24984:26403:-1 gene:WBGene00072616 transcript:CRE13135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13135 MLLFFVLTFVIGVLSINLWRARRKLSKGPTPLPLIGNFHQLAYTCWKAGGTVAGYNEFRKKFGKVFTIWLGPLPTVHIADVEVAHETHVKRANIFGVRYSNGGTNYIREDKGVISSNGEYWLEHRRFALTTLRNFGLGRNIMEEKIMEKYRYRFQDYKRTNFKNGGIEVHASSCFDLLVGSIINTMLVSERFEQGDEEFDYMLESVERSLGRLSIFDSFTPPWILKSDWWQWRTKYVFGGLEFLLGMAKRQIERRYLNEAQSDAEDFLDAFLIKMDKDKRDGIVDSSFNLDSLAIDLFDLWLAGQETTSTTMVWACVCLLNHPEVVAELRRELLEVTGGTRCLTLRDKPNTPYLNATINEIQRIASIFNTNIFRILEEDALIDGQIVSAGAGQNRREPLKCFQVVK >CRE02861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:601790:606295:1 gene:WBGene00072617 transcript:CRE02861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-83 description:CRE-PQN-83 protein [Source:UniProtKB/TrEMBL;Acc:E3LWA7] MGMNYTNKGPNMVMVFRPGTGLGSQSSSGTFKKALIGGALGAAGGILAYEAGKAIIKSATEPFNYNGRNYNWDNHGQVKNGEFQCSMPLNQLTQQQSTTTSTTTTTTTGAPDASTTVNPVSTTPTPDQVLQNIQYPDGSRPKTIVWACKQGREVCCGTDCCPAPVQNQNNGGAGGSHGSTGSSAGTIALVVLLILLLLCCGCCIGAYFCCRSIFDCGDDKHDNQQYHDDYSQQQQYQMQNYPPQQQQQQGGYYQQPQGQYQQGYPQGGNYYPQQNNYPSHPTY >CRE03049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:587165:593175:-1 gene:WBGene00072618 transcript:CRE03049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhhc-8 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LWA6] MCKRISALLPAAIAWILILGCSASFFYFIAPQIWGKWDLLGPLLIVLDVLLFMMVASNLLMAMLLDPAVHPYAIGSEEPTQVDDLRAPLYKNVDINGITVRMKWCVTCKFYRPPRSSHCSVCNRCIETFDHHCPWVHNCVGKRNYRYFFFFLCSLSIHMLYVFGLCFTYVWSGSDTQNREHILSPPYLCAIVLLALCAILCVPVIGLTVFHLVLVARGRTTNEQVTGKFTSGYNPFTIGCWGNCKRTLCHTQLPTFKSHVMAFRRERKAEQARLANRLHGPIEDRNAVIDGERDATAVLYVPDGRQDGKMIAVVEMGGTKSSLIRNQNATSRSDSQSRIVESNSQSVSIGTVDDDSRLGNGTVEEADGSTCNLFEVEGGGTPRPNSSLRSARVHDETSTPRTTTHQSYEEALEEALHSSPSKETVESSPNRTTTPSGRTSSRSTATSPTSPTGGPGGTSTTATASASSMILNGSTSKPRGFTDAVRLADMLARNQQQPV >CRE14352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig342:5465:15202:1 gene:WBGene00072619 transcript:CRE14352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14352 MTKSYIICFQPTATTTTAPSGALAPPSATVTTDDVITNNTKNNSNTKSSTTENEKMYLNGNIPYYGGYYPNRYLPFATSSAQTTSTSGGVGGSSDSAHPPPPPSSNGNLRNSHQNLQNQNHQNSQQHHLNRHAISDTVAMAGDDLSNVPAAVIIQLEKANRTIASQTLEIERLKSYQNQHMETHLLKKQLQDIEKEMRSSRSRFLEQQELLAEMSREMDNLLREKLQMQQNFQDLEKKYKKAKFASRELAKILENDLCGTPSDGSGGFNHRGAPESDDEDSSLFQRSRSLSRAQKEQELRQKAEKIVEKSENRKSEELIDRLINENESLMIDLERERKMTESLQDDLEKNRRMVIEREETLEELKMKLNKAETKAQQCESDLTRTSTDLAMERLRSEALTAELHEIEGIFRNTHATIQAYAAENEQLEDRCRDAHRQIVTLNSKLEAQGVDLVTTKRTLRTLRETNETRSGPF >CRE14354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig342:20081:23736:1 gene:WBGene00072620 transcript:CRE14354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14354 MPQFLGVEWVDIFSSLERKKTYFGVVYHFILTYPLGLFVTILPFFLLFTFQWYLLALYGCWYLYDINSPKRGGYASEWVRRWRVNDWFAQYFPINLHKTAELSPENNYLIGCHPHGIIAMAAWANFATNGTGIYEKFPNIRWNLCTLALNFKMAIRRELLLLTGLIDCSRESIEYVLDKSGEKGRAVVLVIGGAEEALDAHPGYHVLTLASRKGFVREALLTGAHLVPVYSFGENDVFEQMDNPIGSKLRNFQEWSKRIFGISYPIFHGRGFLQMTFGYLPFRKPIDTVVGAPIHVKKVENPTKEQIDELHSIYIMKLNELFDEHKGRFGVAEDTKLVLK >CRE14355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig342:26422:30062:1 gene:WBGene00072621 transcript:CRE14355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14355 MPQLLGIEWIDIFSSVERKITYLGMVAHSIYTYPVGNMVLVAPLFWLFPFHWFFLILLYTAWVYYDRDTPSKGGYGLDFIRKWRVNRWNADYFPARVHKTADLDPEKNYILAYHPHGIISMGGWVNFASNGSGVMDMYPGITFNLCTLGLNFKIPIRREILLLFGCIDCSKESIEYVLCPKTNKGRAIVLVVGGAAESLDAHPGKHELTLLSRKGFIREALLTGAQLVPVYSFGENDVFEQAANPPGSRLRKWQDTLRKSMGMSLPIVKGRGYLQYSFGFLPLRRPINTVIGAPIHVEKMENPTKERIDELHEEYVKKLTELFEKYKGQFGVKKDVKLVLK >CRE20599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig388:20067:21472:1 gene:WBGene00072623 transcript:CRE20599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20599 MPLSTGSIDSGFFGCTTDDSSKKGEDLLKMAPLSMTDEEETLSTSSSGFSQNSAPVGDSSSSSGPPSIYFSMNSGLSPRKHRTRVRRSNRKLKRPPRQISPRSDSPPSHSDSPSAGTSSCCLSVAGSSNSSVSSPTTSVTTSIDELALRLYFYFKSLLLAINRQKSICENIAYLVKDFDARWGNSGVRKKPCDPKGCLAPIYSSDRNGKLVHPAKFPLCWAVPQPAIFYQLMAIDVLEYIKFLEGVSPQVKTKQHPSGPPIHNEREASLSMEALKALQYQQQQHWQQQLARGAVFPYNLTKLLVRVRSVFDYPYTNFQLPRHPRNRSSKFHFHVISTFLEKSL >CRE20598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig388:13591:15573:1 gene:WBGene00072624 transcript:CRE20598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20598 MHRQNCCYNPDSRGIPTVPPPFAFFVAHFEGAYPRTVNGSRLELRSFPGSPIGDFRRRYPSSFATNMMLTEQDKNAEHNDENTRQSRSPSVDSVSRLHQQSGGFASQNQQQNSQSQQPTQQRRGSFSKDGGGNGGYYQQQNQNGYRRQSFSQRGNRSNNQRSGSSYQGEQLGNNYQQGYQLKQQRAYQNYPQHQSKYFYQPRPIFNSTQEFGPFSARRQSPSSPSSPTPSATNSSSNRNQIPPPPILLRHVESLPHEKGFSGSGSEQEQHHDAKIHQYRSAGTAPGGFSNNSSPFKQQTPTTPSSSEKRGEPEEWPTRFQHPPPGLQNPFRRTNQSNFHFFLQWNLGPHDNIPPPIELQRKNPVASLPIDIPPNSQPSTPSSFERNAQFRAAIKEGVSVDSVDAKHACFANERMHSAIYG >CRE20597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig388:12022:13354:1 gene:WBGene00072625 transcript:CRE20597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20597 MSDIPIIYRYPSFTACFKHLNYTKRVELSRYSVQVRKVHSRTRYSLNSIFFSQNYGPVDNEGVAAEVGSGHLRIESIDYNFTHNETTGQVTIECSMAPGRQRFSNDITTARRYFQYYLTTSVEAIPNVEVYESDIPFRDLALRIPSLKWTLNGMREFPLLIFPADHTFDKLEFINYGVVLFGDHHIRKCRHLILQKREIQPEFEFGNEDRNIESYCLLTNKKIEVYIGLNYVESFVILCTRLLNAAVHCTGSSFTGIFRVAAFRKEYLWHLLREKHQNAVLGHLKGKECLVLRLTNEDIIAVFENYFNEDTAQMESFTVIKLERIMETDEVEELTEEVETL >CRE20596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig388:9718:11481:1 gene:WBGene00072626 transcript:CRE20596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20596 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3NKD7] MNSEYSRVKSIRRPVSLGNRSQRSKRTKKKKNDEEKTVGSGNQKTLFFRRQKNESNPEETEAFANICRCLIEHRWYHGVMPRGEISTLLEDEGDFCVRKTTEKSKPIVCISVKCQKEVRHFPLVFENGQWTLKNLIKTRRFFEVVELLNALVTEKISLSGAILVRAVPRPDYYIPHSDISLICKLGEGAFGEVWKGSLKRHEDEKVKKMEEKSAPGLPTGRQEGLSEKGRSKLYVAVKKMKGNATKAMTEEFVMEAKLMRQLVHPNIVTVFGVAPSEEPLMIVLELAANGCLKSYVSKYQCPMDQLMQFTADAARGMAYLSSKLVIHRDLAARNLLLGSSVEVKISDFGLSSSGKTEIKVKQMKVPIRWLAPETLEEGVFSTKTDVWAYAVTLWEIFTRCQSDPYPGLTNQQAKDLIRGDGLPINPPEGTPATVVKIMEDCFAKNPDDRPSFPAILKRLCPDEDLAAYEPKSQCSQSQSSPAKKSSGPSAEPLSARSKRPARK >CRE20595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig388:7739:9366:1 gene:WBGene00072627 transcript:CRE20595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20595 MPEPILKKCFNCRIFLPDDAVNTSQCQKCQKNEAKYGVPGTCQYCKLNAAFHDQKCVWCSHAERKYGTPFSCQSCKLQCGFAKKESEKYEGAPLLCRLCILQARHTGQTSVAGIPIPPEKPEKNGDGSSAEQKAEKEREKERHRSSRHHKDKDHRRDDKRHREHREHRSGHKRRHEESNNNGTSSSSSGVPPLTINNENGHGFPPFGERDHGENMEKQHRMEDEIRRLKAAISEKDQLLFDKDKQISNLKADQYNLEKKHRERVQQLIKEKEDSIRAIEHMRSSKSSKKN >CRE20594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig388:4851:6311:1 gene:WBGene00072628 transcript:CRE20594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20594 MNMNFVPPSNQMLNPDLIQLLLANQAANANLYNPLLAPPMMLAPLPTPLIQAPLPPPPQPFQFLQPLPLPIPSAPPILPPISTPLPPTPLLFPTTPKVEEPTIKTEPCDHQPSTSGIESSIPFEMGSIPTSTYYPTHFMRGTQLHVPNGGIKKVENLSSDDFLKCAPDSDDAIVNASIVRDIRIHCGSVTIVFEVGKEKQQLCAFKDDDLGGAARAETLGNHN >CRE20600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig388:6552:6993:-1 gene:WBGene00072629 transcript:CRE20600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-50 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LKF8] MAQSVPPGDIQTQPGTKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE20593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig388:1023:3780:1 gene:WBGene00072630 transcript:CRE20593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20593 MIIHVSVVFQIRLLSTWGDEFYIGLNGIELYNRKGELIKVRENNLAAFPESVNILPNIKNDLRTSNNLITPPNDTDIAKHMWLTALLPNRCARVFFVFDVQTYISKIVIYNYRKTPDRGVRHISVTVDDLIIFSGEVPESTETITGKLEINLMDL >CRE22122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:124621:127990:-1 gene:WBGene00072633 transcript:CRE22122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22122 MVGEVVGRETREVEKKQDTVACGELREEYVRVCTGQNTKRREQKDKDFCNAFENICFSISEGEPDKEKEKPQDSETHNHRTAAISPEAAAGPQNHKKKNFARFCKEFKNRYLYVCPDPFRFGEKNSHGFRILNLTPPPFQAIVFCPVYSEKCQVPLPDKPVVPQRKSSRKRNGGGAVNQLCRSYQGFAMNYCNNPLLTAQGQYRAACDKYWRYCGKFHQQG >CRE03500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig370:40568:43558:-1 gene:WBGene00072634 transcript:CRE03500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03500 MVIHHKVNGKPEKFNGFLFNLIDSPGHVDFSSEVTAALRVTDGAMVVVDCVSGVCVQTETVLRQAIAERIKPILFMNKMDRALLELQLGAEEMYQTFRRIVENVNVIIATYLDDDGPMGPVMVDPSIGNVGFGSGLHGWAFTLKQFAEMYADKFGVQVDKLMKNLWGDRFFNATTKKWSYTKTDDSSKRGCNQFVLDPILMVFDAIMNVKKEKIQELVKKLSIKLDYDEEDLEGKPLLKAFMRRWLPAGDTMLQMIAFHLPSPVAAQKYRMEMLYEGPHDDDAALAIKNCDPNGPLMMYISKMVPTSDKGRFYAFGRVFSGKVATGMKARIQGPNYVPGKKDDLYEKTIQRTIIMMGKYVECIEDIPCGNIAGLVGVDQYLVKGGTITTFKDAHNLRVMKFSVSPVVRVAVEAKNPADLPKLVEGLKRLAKSDPMVQCIVESSGEHIIAGAGELHLEICLKDLEEDHACIPLKISDPVVSYRETVQAESSQICLAKSANKLNRLHCSAQPMPDGLADDIEGGVINARDEFKSRAKTLSEKYNYDVTEARKIWCFGPDGTGPNLLFDVTKGVQYLNDIKDPMMAGFSWATREGVLCEETLRGVRFNIHDVTVHSDSMHRGGAQIIPAARRVFYASQLTAEPRILEPVYLVEIQCPEPVIGGIYGVINKRRGLVIEESQVIGTPMFIVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQVLPGDPLEIGSKPYQIVTDIRKRKGLKEGIPALDNYLDKM >CRE03497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig370:35512:36398:1 gene:WBGene00072635 transcript:CRE03497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03497 MYRNHIHQYPSEALTDQMRMNQQVMWNNGQYGYPHNGFQNTHQYASHNQDWSQQGPAQVFQGAQLTPKVLNDPNNIRVTLRDEKEWKELHELGNEMLVLSVGRMLFPQLNYLVTGLNIHENYTFGLKLKQLNNNILKRVEGGWEERKIKVKASWESNEIFLDTCKGSVWMKDGVSFKTAKIYSEKKRTRIVTETEEKKQEGLLIHTRCRYILVLIIYSQISEIASRQFLKSFEFEETQFVAVACVNVSFSCSLAKSHSLVCLYPICLFYQKFPSILVLSE >CRE03496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig370:26762:29521:1 gene:WBGene00072636 transcript:CRE03496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-6 description:CRE-SRU-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NK32] MSSNSTLWPNTIHFNETYMNYRIQWNGWPQICAVLPWIYILPSFHVICKIFSVYLSANWTRPEPGLNQHVFLVISLSQLTVFIFFLFDWFMVRLPSTGLFTSWCASIEPNHFLKFIFMMAYYTNYCAMIFPFLMPVVRLVVVSFPRNHFKINSILLRVSVPVIWLYPLCFTFFLIPAVGVCRQISSPYPLGAIHIYYANAAFGLRNSYFYLYNTIAWLTLAILANILLFLKVAKARAQLISFQKSAVSYKAELSITITTVVMILFYVINGGFIIIYVLYYGTSSYFSFLVIVKAFANDAETCVVPWIFYLTHPVFKKKAISSDLVFSTSSFKRRINNS >CRE24854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig475:2:8776:1 gene:WBGene00072637 transcript:CRE24854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24854 MIQKQEKMDAQMVMNGDFSVASEVTISSMKYQGRPSGTTPQMRQKVAYNQDSLQRVSNFTGETLNLKSSDLSIPNKAAHFLYFRCYEEHEVQKEFPLTIDENRKGPQNFLVEPRVGLKNTDYYIDSYLWNRGKSTSSKKKIFVEIEGNHVKTSRRSCETAHYVLNWYYSNMENRICKKVCWLETVADCVRASPVLVQYHVNYLSDNPIELRKTPKLYSDTRSVAQELLRSDSPLQTVQRFVETGETDPREIINKKQAYEMRRYVMANARKRGQRKFEDMYFDDEMFEEIYYNEHGEPINVRNTTNREQQSDAGRAAQLAVRMEGRRIENRIARMLDNRLPAPKNYADILSEWIGALESTSQDNFHMVIHDVVNSMRKQMDDMLGGGDHEHEFLVDEPTTSGNSGNAAKRMKLMGEEEDLNHDVVEEEEDEDGHTTTRRVETTTKRFEGERYIFDGQEYVEEEVIAEEIEEVVVPSDTVVVDETTVVVGRGERGDADETNGEEGEEVVHEEVVVEETVPEEH >CRE24855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig475:10939:11651:1 gene:WBGene00072638 transcript:CRE24855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpc-11 description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:E3NLB5] MLTFCPECGCVLQVEAGDQCMRFSCSACPYVCPITSTISSRIYPKLKDIDDVLGGPGAWENAQITDERCPLCAHERAYFMQLQTRSADEPMTIFYRCADNSCAHRWKE >CRE24856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig475:13930:15891:1 gene:WBGene00072639 transcript:CRE24856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24856 MAGIDKRRIKTVNIGGHLVPSYMKREHRRGSECLVTYWVDQKLGMRMIIDYSSKLFNNYEISTVLMGKDDFYIIDYLMSRQKSVPDVEFSGHKEIVTEDQYLYLLRNAIVTKHLSIASSPPENFQYSGTFQNYGTLILGFGFWVTLDNLVAMNSTVVIIRGSKLTSSEFNRYLKNWLSGGGSSEIKYLSVEVKSLDLNLVFKDLENQVVLVEKRRQYTWMEEGVLEVGHSYDLTRDDGVTATVNQAAGRDGTRMFEMVVWPDFYGSQL >CRE23769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig317:12640:12945:-1 gene:WBGene00072640 transcript:CRE23769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23769 MAVKDQGDFLYREMTGGDFKPIKPCFIMKECKRLQSPLPEDFQPDNSTYLDTSTISELILTTTTNSSESLDTVTTPTTNAPSVLD >CRE16487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig385:13126:14047:-1 gene:WBGene00072642 transcript:CRE16487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16487 MLGLLVFGLIAHVQTAPVGPNYDNIQYLHNFTLTYANNSLEGMGAIDNLTDVNPQMAQNLKSAINLALPGYLASSRTSSSTPSSSTSSASSSGASSTSTGSTGSSGSSAMPMASTTTSMPGRKRRAADPTSTTYPGVMATTTPSIFRDPASSSASSSTPSSLQPSTTQPYGTDEEFYARTDLVHFHVDINDLEGSGAI >CRE16483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig385:16937:17811:1 gene:WBGene00072643 transcript:CRE16483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16483 MGIDINHKHDRVARRTAPKSENPYLRLLSKLYAFLARRTGEKFNAIVLKRLRMSRRNRQPLSIAKVARIVKKAGNENKTVVSLSTVTDDARLYEVPKISLAALHVTEGARARILANGGEIITLDQLALRSPKGENTVFLQGPRSAREAEKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRASRAYKN >CRE16484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig385:18466:21320:1 gene:WBGene00072644 transcript:CRE16484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-10 description:Polypeptide N-acetylgalactosaminyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NKC2] MGLSRYLSRRHHWVIQYCGLLLFLYFIYSYVATSNDAPVLNDEVPVFQNPANNRPNPPAALGDEALNPFEKYRGHEKIKWEDEVTYEREKAREGPGEWGKPVKVPDDKETEKEALSLYKANGYNAYVSDMISLNRSIKDIRHKDCKKMMYSAKLPTVSVIFPFHEEHNSTLLRSVYSVINRSPPELLKEIILVDDFSEKPALRQPLEDFLKKNKIDHIVKVLRTKKREGLIRGRQLGAQEATGEILIFLDAHSECNYNWLPPLLDPIAEDYRTVVCPFVDVIDCETYEIRPQDEGARGSFDWAFNYKRLPLTKKDRENPTTPFNSPVMAGGYFAISAKWFWELGGYDEGLDIWGGEQYELSFKVWQCHGRMVDAPCSRVAHIYRCKYAPFKNAGMGDFVSRNYKRVAEVWMDEYKETLYKHRPGVGSADAGDLKLMKGVREKLQCKSFDWFMKEIAFDQDKYYPAVEPKASAQGEIRHGASNLCIDTQFKEQNQRFGLRKCTSDDKDGGGEQDLRLTRWHDVRPKGRKICFDVSTSVDKAPIILFDCHSMKGNQLFKYRVSQKQIYHPVSGQCLSADENGKGFLYMKKCDSTSDLQKWTWQTIDNELLESRQNNEAKELE >CRE16488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig385:21630:23090:-1 gene:WBGene00072645 transcript:CRE16488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lact-9 description:CRE-LACT-9 protein [Source:UniProtKB/TrEMBL;Acc:E3NKC3] MIAQIVLRRFVHISKKSGSLEDPSNNNTETAEFLSNKPYTNSIEALEIFKNDDLVVKPGSKFSYTTYGLTLAGAVLEKCSGRSYRQLANGLFSDLGMRHTQLDTKKKIIPGRVNYYHRNSETNELENCPEVDCSYKYAGGGIISNVTDLVIFANAILHSYQLDTKKNLIKRETIRKFISEQIPIDNSVKSTTSAGLGWFLVDGKGVKSLNGNVANSPFFYHTGAAVGASSVLLIKPGFSESQTSSGVCVAILCNLQNCSVYNLGRDIGDLFYNSM >CRE16485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig385:23990:24792:1 gene:WBGene00072646 transcript:CRE16485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16485 MSLQISSAAKSLLHKFALPAATSVAQYHEKVIDHYENPRNVGSLNKNDKNVGTGIVGAPACGDVMKLQIRVDDNGKIVEAKFKTFGCGSAIASSSLATEWINGKTIEYASKIKNDEIAKELCLPPVKLHCSMLAQDAIQAALKDYQKKQNKEA >CRE04869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1288751:1289731:-1 gene:WBGene00072649 transcript:CRE04869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04869 MSSCKAIGIDLGTTYSCVGIYQNGKVSVEIIANSEGNKTTPSYVAFTDTERLVGDAAKDQAARNPENTVFDAKRLIGRRFDESTVQSDCKHWPFGVKGKQGKPVIEVEMKGEKRQFNPEEISAMVLQKMKETAETYVGHAIKDAVITVPAYFNDSQRQATKDAATIAGLNAIRIINEPTAAALAYGLDKGISEEKNVLIFDLGGGTFDVSILSISEGSIFEVKSTAGDTHLGGEDFDQRMLQHFMNEFKRKTGKDISPNPRAIRRLRTAFERAKRTLSSSSEATIEVDSLFERIDLCSKITRARFEELCADL >CRE04665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1277696:1280648:1 gene:WBGene00072650 transcript:CRE04665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-15 description:CRE-FLP-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LYK6] MLTEKVGGVLNLAARPPSSCSRELPVASLIFGRRRSLFSFHSHHFSHLVMQFSTLFRVVFLAVLAIVALADYDDNSVGTIPIAVDLDYFSNYVKKGGPQGPLRFGKRRGPSGPLRFGKRSSFHAAPAPEDVVSWYQ >CRE04867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1272747:1273927:-1 gene:WBGene00072651 transcript:CRE04867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-11 description:CRE-AQP-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LYK5] MEVPGPLLDAFIYYITVIAVCEGARHMADRLFDKKGNVHLFIIEFLGTLQVTTTIYENAVIDIHLGRQAFAFTLFSMGIVFALCNRTAFCSPLAPIEQFLFGRLRLSELIQTLVAQFSAGYFAFSFARTIWLRAYSTTDAHSNILGLMESCGFNHPYPIYYHLAFELIGTFIVRHVLTRATSESRDSRIRFVFPALFMAAVFTGTVTFVGDQALDPLVASTLFYGCRGLSFENFMFVYWIAPTIGWMASAYWDSLGEEDAKKKAAKEKKAEKKRVKKNE >CRE17272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1071342:1072737:-1 gene:WBGene00072653 transcript:CRE17272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17272 MSGIEEVTGQEVENVQVGGALLKVKRAFVAKSGGRFKNSVETVSLDVDIPTVFTASPNGPDLFSEAVVKLIDSHIPAGMTPANLKVGVKFESEELVESVGLSFKKLANVLPRDIADCMEDMAQSNKNLLELEEPRITIHITYLNTPTGSGEEASNKGGEVSTPTEREKSGRKRKSFGIEDILGLPSKKQRDVEEESENDEDSEESDVDDMVEEVKPKKQNLMANHVTEDCLPHALVQALRYDVWKNDKEDSSKWNSYQRSLRKRSDRRNACNDVFAEVKQLKKVGKYLKAGVTKTQHFDSLDCQQFQEKCFASKFQLIVFVKNSTIPYYAGPFIGKGKQLVLYLADSHYCGLRSVSTLLKTSYYCFLCLSRSRTADSHYACRLLHRLCGKPNCPPKTDDDVAKRCPACCVTFETETCYKNHLQKG >CRE13138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig380:13501:14494:1 gene:WBGene00072654 transcript:CRE13138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13138 METLNTKLLEKLYNDPKKGFRGVSNLLAAARKVDRRITRKEVVAYLHTNNAYTRHFPKARHIQHNPWVATGPDSHHMADLAMLPTLKRYKKGFCYILVVVDVFSRYVFARPLKNKECATVTMAYEDILHSSWRIPARLYTDKGTEFMGKTFQKFVRSLGICHMNPKNTNVKACYAENAIMRIKNKLEKWFTATDSFEWTRVLSDIVEGLNDTYMDSIGTSPNKVTSNNSQKIWNRLYGTVQSRSPKYKIGDPVRVLLENSPFAKGTRAKWTSEVFKVVKIIEYDIPVYILADVEENEVDGIWYEEEMVLYRKPDV >CRE13140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig380:16110:17946:1 gene:WBGene00072655 transcript:CRE13140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13140 MAKLMSNKSAPSAPSSLCIFDTPPSQVAFNKGQWMTYTPTNPVDSGTSYTFNVHDSAHFFQLNKTYVSFKLSLKNVEAATNGVDSNVISHTNFIGATFFNQVKLFFNNVIVYDSNNYDYKSYIHTLFGENGDTKGGLLTAAGWCDPDGSQDGRILSSTKELDVCAPVLLEVFQTEKLLVPHVNITLTFYRNSDEFCLEAAKATKAQLEISDLKLHMRAIDVVSSAAIALENRLRTTPALYPFTISKVKIISIPEGRLDLPFNTIYHDIIPRRVIIGLLNPENSVTKDSLNFDHYHVSEIQLDAGGVMYPPQPINCDFSNKNYAEAFTRMYEELGCVSNKSCPKISYKMFRTGYTFFVFNISPIDTSNAWELQKSGSTQLKMRFSQKTPKGGLNAIVLSQFDAAYEVDGFRNVVCVDVNLANHLMEKFGRLAFSTPNQTRTMNYHLLDLNILKMWNGCNGLSVDLTSELSLDATPRNHYFVNMIIPKPPAKRRFMCVFNAILKKNTKYL >CRE19561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig523:11312:13767:1 gene:WBGene00072657 transcript:CRE19561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phf-5 description:CRE-PHF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NLS9] MAKHHPDLIFCRKQPGVAIGRLCEKCDGRCVICDSHVRPATLVRICDECNYGSYQGRCVICGGAGVSDAYYCKECTILEKDRDGCPKIVNLGSAKTDLFYERKKFGPKKA >CRE19560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig523:266:8355:1 gene:WBGene00072658 transcript:CRE19560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19560 MHISINLFFAEEWPYTASGFPSTAGTTVSCVFIRNGKLYTGHVGDSAIYLGTVENGGLRSRPLTIDHKPESAHEQIRIARAGGETAVKSGVTRVVWKRPSRLNSFQPKRPDAPIILDSIPFLSVARSLGDLWSYNEETNMFIVSPEPDLGVHRLTGNDFCLVLASDGMTNVLTGDQAISIVFKEEELVEIHEEINRNHSRCVLRSALQKWRSLRADNITVATVIFDIDPLSYTENEMLMKVGKYINASQVITVLTDRPEAMLKISKTDNILLTTQRTPILYNGSRDEQHFAARGVLYRGPGFRTHDEELQHERQRIGLKLGGGASSTIAIAPKGSIDSKRGPAIPSASSSDLGLRCRREEDDLVDYDYDEDEDDDEDDDEEDEEEDDGPFDPSEEISLKFTEKTITVTHASPNRPSRPHAPRPVFLDDSIVDEDSDGVQQSTSSDSSSSMTPSRTSEGVRRIKAEAVRRLRTPRIVTEDSEGSRRITRSASKASVMSPSKDSGAVTMTLLKTPTSSLIGLATSLTPDAAFGPPQTPRRSSRLLNYGVTTTPSKLTMSRKRGRTSDSDAPPTTSMMPMMTGVTPVVAGLSLQEHHHHQLSHQLGLSIQPLPSETPRLHFQSIFGCHSMEFPSQDTPITRSAPSTPKKSSQLKATTTKMTSLSALDEKKKKQKKKNQKIQKEDSEDDGVIRVSEVADEEEEDVMREPPSKIRRFYGYVRKIFWGK >CRE31586.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig927:613:8254:1 gene:WBGene00072661 transcript:CRE31586.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-iffb-1 description:CRE-IFFB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NP95] RKEAQKEAKRLEIERQKAAGTYVTAAQKRQQALALEKLRAAGYTVPAPRESGEDGDSEGVTKKSFVYVDEKTKAKQEEKKRRRLEKLGQLPVASEAPETSPEAPEAVVVAASEAPPTPSESIATTPEAAAAPEPLDDWEMADSGDEIKAPAAPQPAKKAGAVVKADSKDSEDDEESDEDSDDDDDSDEDSEEESESEEEEHRGQRETKEQVMERVKARIQKRKETASAKRSTDDLRSPEGEAGGITQQIGATEVPAEAIKERCKQVRGFLMEQMKIPGFLIIDTPGHESFSNLRTRGSSLCDFAILVVDIMHGLEPQTIESLKLLIKGKTPFVIALNKIDRLYEYDSNPRKDVYELLKSQKPRVQSEFKERMEKIVVEFAEQEINVTLSNSKNATDPDYVCMVPTSAMEGDGIGNLMAFIVNQTQTKYAQKLAYSEELDATVMEVKQIPGLGTTIDVILVNGTMRAGDVLVLTGTDGAIVTQVRELLMPKPLKEMRVKNDYVHYKEVKGARGVKVLAKNLEKVLAGLPVYITDREDEIDYLRQEADNQLANALHAIKKKPEGVYVQASTLGSLEALLDFLKSQKIPYSNVNIGPVHKKDVQKASAMKEHKAEYACILAFDVKIEREAQIFADHEGVKVFQADIIYHLQDAFLNYRKELKEKARRENEHLAIFPCKLRVLPNHVYNTRNPIVFGVSIEAGQVKRGTPICVPSKEGILLGTISSVQRNNEEVPLAKQGEECCIKIENTTGEAPRLYGRHFTHEDPLVSKITRESIDVCKTYFRDDLTKADWQLVVQLKKLLDIM >CRE31586.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig927:613:8247:1 gene:WBGene00072661 transcript:CRE31586.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-iffb-1 description:CRE-IFFB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NP95] RKEAQKEAKRLEIERQKAAGTYVTAAQKRQQALALEKLRAAGYTVPAPRESGEDGDSEGVTKKSFVYVDEKTKAKQEEKKRRRLEKLGQLPVASEAPETSPEAPEAVVVAASEAPPTPSESIATTPEAAAAPEPLDDWEMADSGDEIKAPAAPQPAKKAGAVVKADSKDSEDDEESDEDSDDDDDSDEDSEEESESEEEEHRGQRETKEQVMERVKARIQKRKETASAKRSTDDLRSPEGEAGGITQQIGATEVPAEAIKERCKQVRGFLMEQMKIPGFLIIDTPGHESFSNLRTRGSSLCDFAILVVDIMHGLEPQTIESLKLLIKGKTPFVIALNKIDRLYEYDSNPRKDVYELLKSQKPRVQSEFKERMEKIVVEFAEQEINVTLSNSKNATDPDYVCMVPTSAMEGDGIGNLMAFIVNQTQTKYAQKLAYSEELDATVMEVKQIPGLGTTIDVILVNGTMRAGDVLVLTGTDGAIVTQVRELLMPKPLKEMRVKNDYVHYKEVKGARGVKVLAKNLEKVLAGLPVYITDREDEIDYLRQEADNQLANALHAIKKKPEGVYVQASTLGSLEALLDFLKSQKIPYSNVNIGPVHKKDVQKASAMKEHKAEYACILAFDVKIEREAQIFADHEGVKVFQADIIYHLQDAFLNYRKELKEKARRENEHLAIFPCKLRVLPNHVYNTRNPIVFGVSIEAGQVKRGTPICVPSKEGILLGTISSVQRNNEEVPLAKQGEECCIKIENTTGEAPRLYGRHFTHEDPLVSKITRESIDVCKTYFRDDLTKADWQLVVQLKKLLDIM >CRE05271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig372:931:2609:1 gene:WBGene00072662 transcript:CRE05271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05271 MILQKKYTSTAPQAKATIDQTRHIYSLQNWLKLRKVCHAFRNFIDVIKLDNELIEVNIEVTPSAIYATIDFASQSRESVNLYYIRYGKNSLFKIEEGIMKKSKLIKNQDFVDAFFNDFGFILRNQSKPLKYMNVEEWPYNWYEGYHYDIDLINSHRATYSIYGCCTSPRPFECSFEAHQHLRKINNKHTLQPIAVKFHDRFVCILKSRKYLIPIQNLEIDVLRPSNLWNMTRLIDMKQLKGIWIKKTPEESDRKDGKPLILDEIVELDVFNHIQVLSILDFKVTVPLETFLHIPHLTVTISTPTIEDVLLIKKESEFTFCTNMLTSPTAKSRRVYCDHIEDADILLNTLGHANPYYIKLCWYFKIPESDQALKISKEWCYPYCFSFTWIETSWISKDAVVN >CRE05272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig372:3137:3620:1 gene:WBGene00072663 transcript:CRE05272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05272 MRFPLLLILLSALTTATIYRKNHSIRDVPPNYNCTGNNLMNCVAVESQLDMIRHAIYVKNWKLLEQLSEVPMTGEDDFPGNTLKSYYEITTVYTIPISVSKSMTAVVTVEQAGKRPRDAKIYMGKSKESLTGWNIYDFEWIP >CRE05276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig372:3772:7372:-1 gene:WBGene00072664 transcript:CRE05276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05276 MAIEPPKPASYTDITVIALTAITSILATVGTIYLIFAPVVPANFITSGAILATFLLIFGVLGLVKWVMDYCHVKYETGRLDRVRHGMTVGLIGVLTCLTIPRVAIYFALDDYHTVCYLLIVSLSTACAFHWLFNYGFNQWCKINYRPDNEEHLISTIIFIVTCLLIGINFRINGIFVNEDLLPEFFGFYILQIFLAFFSGCVSYDLLMVLDGDLLLAGRVKQPLKKTYRNTCTHSIIPFFSMIFGIFSMAFVGFTVTNYFSTVDWIIFFVTIGASLAAVLTLWGFKRVMVFINKKLGAKIRTMCQSEAFIGFTGIMICGFVPRVVVFFVGFDVAILSFTIILSSVAALTFFLLFITWNRAQWRLDTKNNGWLIFIVFVINVILFIGSIRLAVSFEDRKDIEVIIWTQFFFSFLTIPSMMDLGIILLGNEMIMNNENEEEIKMSSVKVQKSKKNAGNSSGPECKICLLPFNETTQIPRMLKECGHTVCGGCAELLLKKKSWVNIVCPYCQKSTVVNGSANLLPKNYEVLEMME >CRE05273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig372:8559:12389:1 gene:WBGene00072665 transcript:CRE05273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05273 MTLKPPKPSSVTDFVVITYAVITTFLIISAIFFLIFAPVVPANWILAAAILGSVLLIFGVLRLVKYVMDLCHEYYGTGRIERTRNEMMVGLSGVWICSTIPRIAAYFMGTNYHLIIYAVTVSLLTSFIFHFLFTYGFNQWCKLNYANSVMSEYALIPFHIVLLGFSVRISVSPENTENQNAALFLGQVAMTILPLISSWDLSVVFQNGLIWQGHKGKINKSVDQMTYMLIPCFTAILAILSMVFIGFSTVSTVSNYCPTVGWTTVAVTVVVCLLVGVVLGLFKILIVFIHEKLKKRTYDLVLMETIIGFSGIAIFAFLPRIVVFVVGCDVPIYLSSVIISIFTVLTFYRLFISPNQHLWRLDFSKNCNLILIIVGIHIIILVAAIRFAITYENPKNIEVIIWTQSVLSFLCLACVIDLVVVLKGGLVMINEGNGDVEMGPMKVKKEKKEKPTGPECKICILPFNPSTVIPRMLKECGHTVCGGCADKLIGKQQLNQIVCPFCQVATVVGGVTKTLPKNFELLELI >CRE05274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig372:14319:17995:1 gene:WBGene00072666 transcript:CRE05274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05274 MAIEPPKPTSVTDSIVIALTAITSILATVGIIYLIFAPVVPANFISAGAILATFLLIFGVLGLVKWVMDYCHVKYEIGRLDRVRHEMTVGLVGVLTCLTIPRVAIYFALDDYHTVCYLLIVSLSTACTFHWLFNYGFNQWCKINYRPDNEEDPIAALIIIFTFLLIGIDIRINVIFVNEDLLPEFLVFSILQILLAFFSGFVSYDLFMVLDGDLMLAGRVKQPLKETYQNTCTHSIIPFFSMIFGIFSMAFVGFTVTNYFPTVDWIIFFVTIGASLAAVLTLWGFKRIVVFINKKLGAKIRTMCQSEAFIGFTGIMICGFVPRVVVFFVGFDVAILSFTIILSSVAALTFFFLFITWNGRRLDTKNNGWLIFIVFVINVIIFIGSIRFAVSYEDGKDIEVIIWTQFFFSFLTIPSMMDLGIILIGNGMIMNNENEEEIKMSSVKVQKSKKTAGNSSGPECKICLLPFNPSTVIPKILKECGHTVCGECADKLYGKQKQYRIICPICQKATVVIGGAKYLPKNFELLELI >CRE05275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig372:19039:23142:1 gene:WBGene00072667 transcript:CRE05275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05275 MAHKPPKPSSATDFVVIAFAGITSILLIGAIFFLIFAPVVAANWILAAAIVGSVLLIFGVLRLVKYVMDLCHEYYGTGRIERTRNEMMVGLSGVWICSTIPRIAAYFMGTNYHLFIYTLTVSLLTCFMFHFLFNYRFNQWCKLNYDNGMVSELVLIPFHIVLLGFLVSINVDLGVTKKQETALFLGQLVMTVLPFISSWELSVVFKKGLIWQGHKRVINNSVDQLTSLLIPSFSILLALLSMVFIGFSTSTVSNYSPTVDWTTVGITVGACLLVVIVLGLFKVLIVFINEKLKKRTYDLVLTETMIGFSGIAIFAFLPRIVVFVVGCDVPIYLSSVIISIFTVLTFYRLFISPNQHLWRLDFSKNCNLILIIVGIHIIILVAAIRFAITYENPKDIEVIIWTQSVFSFLCLACVIDLAVVLKGGLVMINEGNGDVEMGPMKVKKEKKEKPTGNHSRPECKICILLFNPSTVIPRMLKECGHTVCGGCADKLIGKQQLNQIVCPFCQVATVVGEEEIDEGVFHRSESDGRVELGEIDELEDTTQRSAKKNGKKKTSGEAIRTRYCQDSIANVVDLTAERDEMNISTDISSDSDVSVELNRIDEIEGTQLMT >CRE30538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig448:7118:19019:1 gene:WBGene00072668 transcript:CRE30538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30538 MTSRRPGLSHFFASLDTDSALYESNLLRPYAGDPMHAATLPRNYGLHDTSATWNDRILYNNMGASTVGRGGQNGHIVHGGRNFSAMSRQLTAAQKEAKKERQRRNRERDKSENLDKEKEAQKRLDDMSQEEIREYMATKQRACREKQTFLSSSQHSVTDLSSTGCSQKQSSKLQKDMTEAELREYKKENKRKNRQKVKEAISSQVTDASSFYCMDSPMEISEITEDQGDRMSFCSAASDVLTHPSGFFALESNRKRRRGTNESDGESSSLSSWQPDLNSQGSEQFQPVKRSYPTRSKRALITSPAPSSPNGSQHSNSIGKMLNIANLPELPEREHSCKVRIGLADLLQLETDCIVIPLRGEMDYANRAMLYNQFKRKIYTDSDERDEYQEFMEEQCEGLEKGDIAGHCFERLGKIKMAFHIEEPVVLKNKYTVVIEATLRSTYFKCLYQADLNGHSSIAFPILGHDVHRPKAAAIALQSIYAYFQVVRESNLKLVYLVTSDVEEYDVIGAHTCYIREIDLSKWSRDQYFQFETMLFDKIKKPVIYSTIPGTDMVLRGFKKKFENRNVKEQKEKLRQIHVSMCDKTGVIASPFELSDIGPRRLPRQYIKDVDITVQNMPQLPISELFPIDNLCGSLAVLRKLWIISFHYMYYENEIPGYFDFSDESEETRKRKEIFNKLKFLHREVLTQWDRTIKNVPFKCKCLKLGGYHENFFVFTTQLSHPDVVFDKWILFKKQLVIGDKDIMNLEQLELNTVPKQSIDNCRSKVILIQEDLSQELMIDKIIDWFTDVKEYAWKQTRNFQSILDNLSINDWKTGLDDDFQAFHDIEVFDEYLDNEFLIGDPEKSVNRSETSENRSMSQRLEPIEESESKVRQSLRESASKRYQRHIENEEILERSIRNRMYRKYEVSMNPNISDLWETENSGFRHVDQFLGAKLEFFGLRQMEIPDDVTTVYGEEYSTPSEYPMGVDIGDRTEKCYFCGALSFPAERLKSCCKNGAVWIPPLKLLPAEIQQIFQEKYRKCLISANAAFSMASINFTRQEQKPHGVQSLKIRGVVSFLPSAIHPHDTSRPRYANFIVLEHGNEEIASMRFDTLRVKNVSLKKIFEDVQKYLDENNALYQCYKNMAEMEKEMLIERGLDQNESSNENIRFKLISPHELDRQDVLAAHHKVYGREKQIGKDFLSVAFSLNPEDSTILPRGLTIYPKNPSNRNPQESISIFSDLCDALSYPLLFPDGRGAYALHKYRRWAAKTPKPSYEDRIRSDIKEMRKNGEDPAEYYILDPGFEEMSENQEAEEKTKSGDVSMEQTPELLHQRDEFSEEEESEEEEEDPTNLNNEQILQYGILEDEQDAPLDSCIGQQTNVTMVERNGEMYAMVDRTNATVDIPYVSGNPFGSDDSGELSEEEPPYNRYNDGTDNYDDYEPDSFANVDVDRESEVASEMVKSIQDEILSSIQQEENVEASETDTEEVFYGDEYDAEPSALGRKNDGVKVQNVGQREFASIAECGNFLFQHREEIPCRFQGASRILGQLFVIDYACRMIEGRMRAIVMNRAEFKRFSKRSRVFQFLQKLISEKLKGLKKLGLLVTIPSSVPGTAKYQRELVMSAVTLANNLGKPDLFLTFTGNPKWPEIQRECKRLGVTWADLPTLVNTVFRTKFEMLLEDVIGLKKKNSGRKGKHSNLKGMFGEVLWWVYSVEFQQRGMPHVHMLLSLKEHITNAAQVDKIISAEVPEFPISSDPDYEEKLRYYELVKSLMVHFPCKDDPAAYCKDGAKSHWNKCTKGFPKKFSDSTVLTDNQYPDYKRTKKNQFTLYRNGKEVKAGSDCVVAHPKGLLMKFGSHINMEVVSSVRFMKYIFKYIFKGNDRMLLEAFENMENGVKDKNAMTLRGNVFAPANLVEGKLRSRQREADKMMDAARVDIPKDERIAVNDCSYMMDLQAMTACEAAWKIGSFPMHGSSHTVHKGFIHEENNDTFFFERGLSAAQAEKMLKRSPKGMMTAWFEANRNPRVLHDKKRKTTDLTLDEMFCFYRFDTKKQEFVYRKRDYSDRIMGRIQAPQPRYLELTATRLLARTVRGPRNWEELRSFNGVVYDTCLEAARARRLMNGEQEWMDVLEEVGRLDSPVECRRLFASILTHCAPANPKELWEERWEVLVQNKPSWSDAQKKSHALRHIGFLLEKHGMCLGNFELESEYEKEDLPIIDTNEDYDNPNAVQCSPDEHEVAGKRMYDQLNADQKKFVDSVLEMDQKTDGSRVVYVGGAGGTGKTFSYETIFHLLRARRRTVIPVSHSGVAANLLPNGCTAHRKFGIPIEVSDVMKCLISPDSAEGIALASVDCVIWDEISMSDKRIVHAVDNLFKDLKNNDLPFGGILIIFGGDWRQILPIVEGAKNEGVIPYTLKNTEMWRLMKKFELTKNQRAEEDSEYAKLILSIGNGANYVNTKRQMIAIPEKYVERGEEKDLINWVFPDVNDIKLTESAAILTTDNKTALRVNDEIIDRLDGDFREFLSIDTADRDNALSAEAAVFATETPSGLPPHRLRLKIGAQIVLLRNLSVEHGLCNGTRLTITSFGNDVSLNITFSLLKLSFQIIYCTRNNDKADPKKIVFLHRMLMAPTGKGAKSCGFHRRQYPIRLAYATTINKAQGQTLSKCGLLLHSAVFSHGQLYVAMSRVKRGEDFRLWHYKRGGKDDWNFGGGILVRNVVYRDVIRNDLIGN >CRE17623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig304:16613:20382:1 gene:WBGene00072670 transcript:CRE17623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17623 MTRVNEKDLLAVIMLETAKATEPYPNKDNFKKFARETGTKASVSSYIKTFRRIVDTLDTVRNYSLEEKARMLFMTSTPVDENMLEEMREIAVIEVNEDNQIMSYKSKQGPEAFQGQPWKLRKRRNGHKGKKSRPQAADESLEESDEEEVLGAVVGRNEDLNDLQEEPESDQVVEKSGDTEDVEDPLNEHVEDSEVQEGADNTINVNCSDDCQLPKEDHQVDTGMKDSEGKKEIFSKIYEGLDGIVTELIPEDLNEIVEKSDMIPGETDVAVDEIDMDFFRKQYYEDLEDMESLDQHMLDVDEEGVNENTLEIQKKYFGDLEEPIAQHMEIDEILEEAINENNQNPEREDSSTPSVFSDFPSDDATGDSEGSIQEDMDSLSESRIEYVDSLDKEHLNESEDMDIDFSLDDDIDLLSSLSDETNEDSGKNEQLNHQVVSNPPKKNLKRKLESQGDGPIAKKIPGGEHESWTTFRSLVKNYGGNENPEVKAILSNEAFLKSISPEFPYSERSIRDMLSKKVHVEVQTDRAPTSVPSFMRLSGFHNAWLKAQEHRKKALEAVDAPPAPEPAPSNLLKASEAQSKTVDSESAHPAGTTKPERRDLGYKEPGVRSTHPQPSFKPKLFDQAIPHEPLVSSVAPPAIVNPELQEETIATSPAEFFQSEIAPPAANTETTPDVKAPVPRVSTKQKLPDPRPTVRLEILDQGIPHELHVNVSAPNVIIKQERQDAEYIEEEEPVERVPEKLLTNRFFISLESFLNEMESNELRGLLQRIKEVRENGETRGLKFNAETLSVVVDVLLASLVKKSMNDEICKRSTLLPLMNEVLDKFLFQMIAIGCSKMTLDVTMLVESEKKRIKKEVTRYYEVVTTPYLPTSSSENASDELEDTQCVICLNNMNLEDETTKCGYCKRRYHNGCIQNLLKVKMTCPTCDSGFLDEEEFPVLV >CRE03501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig370:43734:45158:-1 gene:WBGene00072671 transcript:CRE03501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03501 MSCKSKKEVRPPSSLNSTETSPIQKVSKQPDQSETIIQPIIPSSNFNTSRVKLPDQSQCTVFMLSTVFVILISRMCLNCDFLCMTTNSPIWNPTKQLSEQFSEMRQFDDGQQPFERVVNKLVSKAVESKEKFRIQKNAVEEAIFQKSRIVDQLRNKKETKDKLQLFQSLEDTRTKMDSALMRAQAAIRELRRQQRTAQLLVDTGVGEQELVDNKMADFGIDVAEERKSLEYLEQDVERERVEFSNLLKVGWKAVQQVSDTMELKIGEIQETSEAVEKKIDVVQNDIKKGFKNEQFFERMARLLEYRLSRVEEIQTAGASASTDNQVRIVFKETGTSPCQLDRSPSPVRRRSPSPVRRRSPSPVRVRSPSPQPTHSRRRHSPNEERNRHLGSQQGTGSSFPVRCVFCLEEHLSDTCRRYWTTAARRERLDQRSRCYRCLRPHPNAPNHRCPPVKQCYYCNSSGRHHRSVCRQRPQ >CRE29231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig399:16233:17737:1 gene:WBGene00072672 transcript:CRE29231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29231 MGQSVEKKFRKSENRNIPTFRKSENPKFGQFRNSEIPKIRNSEYSDIPKIRISDNSEIPKFRNSEILKLGHFLVSEIPKFPVRTPLIWTSAVVLNVFVLVQLEMEPNALDLTKTLTRHPDGFFVARVVVGYLKKGSVEETMILIDPCLAASDDKESVFHFAISENRMEYREDGEFKKIARARPAETTGCAHLVQVAVGTWEQRASVGAACLCSCHPLFYDDSAAHDQVQHCELRYLAAHIAVQLRTNADLRELTLPARPACTLY >CRE29230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig399:13824:15687:1 gene:WBGene00072673 transcript:CRE29230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29230 MDHVDSQSTDTESVLLGFIQDCGMDDKVLDELHRDAQSAYNISIGDALNAQFENYQAQETTVKIGEVVAEKMIPVAVEEELPLTMSNQSGSNKKSRKRKTAVPSKDKKMKLSTDSTSYGRAQDTDLHNKDRFCERVFVPLKFWMNAEKRGRLVRGFDAQKIRTMSGLSVFEVWKERASSFPVIFVKDQNDMLRVIVCEGNHRVQSTITRTLTEEELTTLVPVYCIHLEEDFDALWSVAIPTNEDSMDACSTLQNLKKSLSREVMLIVADAQKIFLGKYTDFETVLFYTKILQSECSPDEYRTMQKDVTSRNKWIDRLTSEGLFPPTNAHGKHLQAPAVYFMEPLTRFSYLNLIRDGEDFGTNHCFQIIQRASVEDDNLTASVLDQVKNAQRGKNGLTRRNYLRQFEAIANGSADMEKIQKNEAITQVEVVQRADEIAEGDTLVFGRRVPEYIDALLSKNCCIFIIGPLPCVIKRAYSADVKMRTGTMSGSCFGLGGTVEYLCMGTLVVEDEGDEINTRTSTRIFNALCTVLNVLKKKKPVKGFVVKTIRGFEVKSV >CRE29229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig399:9889:11968:1 gene:WBGene00072674 transcript:CRE29229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29229 MADPNNRNPHHVFHPLDPRITNENYRPFPLNGVIVDAQNNVANPRHFVSCPNYVRTTVQDLFGGPEDNPRINALAQLDITLRAIGYIAPVGARDRGSLMIHLRLQNLPDPLVHWDQCVVRWPALDSEARKRWFLLRARATFQEADPGRFTSKCSKCSNQLHGYPLANHGIFECIDTVSPDWSLDDYHFFLAINLESMCQLCSAKGRGTHQCHESKRRACRKCGSNWHQSWMVKSCEAFVDNDDLVVSMQRMREQHYRNCLTAAEVGLLRYPMFTDAPEESYELDGTDPMIIGTYKFGVHGLRQFQKIPAELYTTNSLYRFVRCDSPGHETLPMYFVNNAQWEQFEDVIRTRRRLFDAQLLAQNRPQEALRAPNNADAQPEVQMSDEENSVDDEEWSGTGSDEQSTVSDEETNTDQDTSVTGDDRGSEGDAQHDDVLQVDFEQEVAPVDLQWLPDAQRVAYSASETEAQQALRKAYPLSTVNPTCAHHHIALIREIATMAELRDRADVMSRVRFVQEVLTSVAEPQQAIEIWETVDSYLKYLEMVCRFIAHTMATAEVCPRTILTSRVNWITEEIRTGLYFPIPDIKCFHQRTLQYWKELARDCWPALVERMARVAALDSDEEPW >CRE29227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig399:3029:6009:1 gene:WBGene00072675 transcript:CRE29227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29227 MVDTRITNEHYRPFPANGVIVDAQNNVANPREYVTAPNFVRTNLHDLFGGPEDYPIDALAQINITLRTLGYIAPIGAQERGSLMIHLRLQNLPDPLVHWEECVNRWAALDSEARKRWFLLRARGTFQPPEVGRFTSKCSKCANQLYGYPLANHGYSECIDTVSDDWSLDDFHFFIATNLESVCQYCSAKGRGRHRCLPEMQRACRKCGAKWHQSWLVKSCDAFRDLDDLVVSFQRKRETHYRNVRDAARLGQLRYPLYTDAPEDYYETDGTDTAIIGTYKFEEHGLMQFETIPAQMYTTTNQYHFVRCDSAGQDTLPIYFIDNRQWELFEDRIRNRRRQLDAQLLDQNRLLDALPAPNNANAQPVQQSDEENSVDDEELSETGSDEQSTVSDEETDTNQDTSVTGDDGGDSVDVHAQQRADDVTPDEQERANQESAEQQIAQWFPDAKRSAYDVSEPEAQQALRAAYRLSKVQPTFTERGNIVSRIRFIQEALTSVSESNEAIEVFDVAGCYLQFLEMVCRFVANTMENTDVCPRTILSSRVHWITEEIRNGLYFPIPDCRCFYTRDREFWNKMARASWPALVERLVRVAELDEEEEPW >CRE07151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1454:4211:4961:-1 gene:WBGene00072676 transcript:CRE07151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07151 MALLPTNDEVKDFNDAVIKSLQFAVVRVESTETEEKTSKNIQNQENVILRPYQRSEFSYEKVISKEVQKDQSAVDKLKKISASEARSHGGLERVLMLAEGSRIMLKRNVDITSGLVNGARGVLKKIEMNREGAPVTLHILFDGETNLRAISKVTAVYDGRGKKKYSRTQFPVSICYAASIHKSQGLTLNNVADFPWILLFTRRTSRLSG >CRE28675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:208568:214216:1 gene:WBGene00072677 transcript:CRE28675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-slc-17.4 MALDTKPPVKRAVSRPDVKKFPFFHPFSRRLHICLLCMAGFCCTTFMRMHIAITMTCMINSTALALENTVFPEAIDSNTSSIFEEVELVSNGACSSANDNNKKVVVDYGGQLVWNSQEQNLIFSGTFWGSIITVLPSMFFINRYSPRYVLQAAVGCYILMTAITPYVATHFGPYPVFISRVIMGLGEGFILPANNAILANWFPSAERSTAISLFTTGNQMAGAGGNPVAASLCASSFGWPSIFYFASIVSTIWSVCWFLTASNQPSKCKVMTKMERDYLDANVVRRSNKTNRSLVVPYAKILKSPAFLAQLLCQFVINSIVTLLQIYLPNYFKDVLHLGVIANGTYTSIPNIVNFALKIVWGIAIDKAKENKTISGTFAVKLSQSIANFGGALFMILITFYVDCTNPTLGFLFFCMMYGCMGTLVSGFYTSLLSLAPKYTATMSSISVFCAMMGRLSTPAIVGLIKKENTLSEWQTLFLVCAAANIVCGVVFLLFGSGELQEWGEEDEVKETKAFGEKTPLASIQNLRADSEDNDVFQSALDMDIPEIQLNEITLTTEERQRFQRRIREDSMCL >CRE26836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig405:16481:22216:1 gene:WBGene00072678 transcript:CRE26836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26836 MGDITTLQGIKSSITKKAKDANKIYLEVREFYQPPLNDDAKALLRANLPKLLEKITVLDGLKKEYEKHLGEHKALSSTPDVFKANKKEMEKHLMETNHYEEYRENILQLIEKIQEFDGIPAEQRHARRLRAPADNTLIPSSSNAALAAQAVAAPPVAPAPLAVDAPAVAPAAPTTTANNATKRTQVVSSYNTRSSSAAPQDRRKSIEILQGNTSNNGSMDPSIQYQQEIAQRINEIEGKYQRIDQMMVNFQQEHMDYTRANTESLRTAVYQVMDKLEGIQLPTVNQSTTNTTGMQGQNQDSSNGNDNSNRRLTHGQVLGYQHRPQYSNDGRNNYQRFEQEQSPEMSPVVANEILAIKEVVKKFAGEAHEYPLFITNFRKMVHENPRISTHLKQGILLSLLEGQAAEDLRSAQMSEEAYEALLLNMERQYNNNSLQKDTMLDKLKVIKFDDRDYQQMERTLNTYCNTAQHLKCLGIEINDDHFRSAFVDKMPECIRSQVYRRYTKGEKTFAHVSNAAYEAIREKKNDERLRKRLDQPHSDEIFVNTVQHQKNYQRTSYQQSSGNRGQNKPAWGRRNRRNFVPPSRDTPCSYCASQEHSACECTIPVSKKIEAVNKKKLCNNCLSDKHMIRECNSRYRCFHCHMRHYSGHCDKVEAVNVNTFRQFVDLYDDEPLEEHNNSSHSILPALPNSTSTSFSTSECSQPVKSSKGTTPHRPNSASMKIGESFAPVDQAEESLDRILQESRLDNMPDEPEAETLQIVVHHLKDKEAKLPFVQLITPSGDKLIALVDSGAESSIISTTAATKLNLPAIGRRRIKFTGFVSESPPDWSTYYRLVVTDLHGNEWAMRLPSYGDMNTIFKSPEHTSDDIQYLEGHQMNIDRITKLQSYDDKPIDLLLGNNVLNKIKKLEKITTYDLPSGKTVEKMLIGYVNYPPVNEEAFVPAIDEVNIQVVGDLEEIHIHTLETEDYQLELKEHHVHNAISNAKLHKLVEQNSSLEVVGIENPTIVKSREDHDNELIERQKSETTWDADGIASTKFPLNGREKYLRGNFPLARKRLTGLYNNKLKSKKLRDDYHQKIQEQLQSGIIEEVTSDMTNDGGPHFYMPSSVVFKEDSATTKMRIVQDASAHMKGELSINDCMHPGPALLNAILGILLRARLGLYLMISDIEKAFHQVRIQKEHRNMLKILWLRDPELGPVDGNIVTYRFTRLPFGVTSSPFLLAVIILMYLDKHPAEINKKITENIYVDNILFVTNNKEDLIGYYEESNAAYQRMRMNLREFLTNDPETMARIPAEDRAATSVVKLLGHIWDSEKDTITIKVPAPPEGIPTKRELLQFCASIYDPCGNIAPLLVDTKGLIADVWDKGLGWDKEITADLVPKWEAIKKKFTSTSFVIPRQLVTNYDFNRVELVLFADASKSHYGIAAYLRYGYKEGHFVTKLILAKSRIKPHKGGKEHTIPRMELTAQELASNTAVMLLKELHMDIKRVTFFSDSICTIFWTTSRVTNSVGSVWVANRVKAFHENLDTIRNKYNCEATIRYVPTDVNPADIATRGCSMQELQDNKIWNEGPEFLIKSEEHWPSKLDGTAADPHAFREEAIQKGLIEEETSDQKAERIRINAISTSSSYESVIPYHRSNSFMTLTSRVSKAMQWIHHIVDKRNRRYPTKKIEFKGHAMKSFDEATWNNDEIAKLNIAKEVILADHYKDSEAKKISDVPAQFHSASCENGIWKYQSRLDKATDSRITEEMKRPIIIMHKHRLAKLIASDSHINLKHQGIQDMMEDVQRRYTIKGLSTIVKEVRRRCFKCQMRHARPYAYPYSRILPAVRTTLEGPFKHIGLDYLGPLSYHIGPNTEGKFWVLLITCLVTRAIHLECVTSNHTTGFVNAFRRFTSRRGIPKSILSDNAT >CRE14373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig542:10138:13957:1 gene:WBGene00072680 transcript:CRE14373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-egl-38 description:CRE-EGL-38 protein [Source:UniProtKB/TrEMBL;Acc:E3NLY0] MEPLAPIWRTYPYSSYPTHPQFQFDPLISDGSHTGVNQLGGVFVNGRPLPDTIRAQIVDMSQRGTRPCDISRQLKVSHGCVSKILGRFYSTGSVRPGVIGGSKPKVATPRVVECIAGYKRVNPTMFAWEIRQKLIEDQICGEDNVPSVSSINRIVRNKDFQTFMSQSGSPPSTTTSSTSSNSQGSTRSSQNQNRQNQIQLPPPIPPPPSQTQQQQLTATVLPTPPTFAMPGTYSINGLLGSLPHPSLLDDKSFHLSHADMSLVYPTSGSIGEHDWTMRAPICILPPNYCGGQL >CRE14372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig542:5211:5998:1 gene:WBGene00072681 transcript:CRE14372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14372 MNYLSAFRLLVHFSLLLFLLISCKPKNQTRHVQKSKLHSKKSGIEKKPKEVVTPAIQSDPAGTPKPSNEDGQAPGNAAMKSNRNKNKEKTTRADPIQRDSNIQPPPSSATFQLCTTQEEEVQSKKKPVLKEEYFEDQNDDDTLVCVKSIEN >CRE14371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig542:3171:4586:1 gene:WBGene00072682 transcript:CRE14371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14371 MYKRLGPSINKYYLLSKQDKKLYNQLGVKRLAVYTPRPGKSPINFKHTTSGVTARISLASLIGLYNSFDSYYAALANDQKEVLFRNFFFQFVVLEAGFRAGQHRRNDVWFMPSMDYIDCVNLDTFFHDPDKTQPMSSEEAVKVFGSSFGVYHRNVSIPMINYQIDTVELLVLATLILFSTGIDGQSEECYELCRQMRERVQKEMLDYYKITKTTEEAPLRMGCVLSMLPCSIKNIGSGYGSGIQDRSGAQNNPKFMEMMFRAKKSGYRIGEVPITFVDRFFGVSKLGSQEIVDYAKGLLHLFAFVW >CRE14376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig582:11510:12472:1 gene:WBGene00072683 transcript:CRE14376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-55 description:CRE-TAG-313 protein [Source:UniProtKB/TrEMBL;Acc:E3NM70] MSSQFCARNLLTSCSKVFTAERNNAWRASLGKISRRDYLHRYQVKFIRPDGSTIMVPASEPRQTFQSAIDLKQLSEDERRQRLAARKPKAKITKTEVIDDTFDESEYMKFWSASGAQKTSKN >CRE14375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig582:7999:11090:1 gene:WBGene00072684 transcript:CRE14375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14375 MADNTHAPPPPKRSRIEGDSITFTNSTNANNRCENSVNSTSSSDSSQATVSLIYYSRLFTNFFQIQPEMLSTTGKYFVLKRVVKSVKEIEEKRIVTEAEEHFGFHWVMVRKMEGDRVRFLVHCFRWPNTENWSIEVDTKLVVRTNRIRAVRDGYQTLTTELIILPTSGRSLSIEWEELKQYLLVDEVTVEIHVKIKKTTRIYKDNLRSFDDETMKEFSDVVLVVKDKKFFLTKQLLAVHSSYFKALFLGPNRESRRTEIKLTGIDPADIQNYLELLCGDNSIDEITIEGILLVADKYDTPLAARKCEEFLLKKSVKDLKKKLQMSVKYALDKLKKHCLDEIKSIADVRSLLPVNVGELDPSIMQELFKKSLALHIAH >CRE14378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig582:3109:5500:-1 gene:WBGene00072685 transcript:CRE14378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14378 MTSMYNFKRITVVPNASELKDVVLSKTQRKTPTVVHRQYSIGRIRAFYARKIKFLQQTLHDKLTQIINEFPKMEEIHPFYSDLMNILYDRDHYKIALGQMNTARHLIDGIAREYVRLMKYADSLYRCKMLKRAALGRMVKLLKRQKSSFEYLEQVRQHLSRLPSIDPSTRTLILCGFPNVGKSSFINNVTRADVEVQPYAFTTKALYVGHLDYRFLRWQVIDTPGILDQPLEDRNTIEMQAVTALAHLKASVLFMMDVSEQCDRTIEEQLHLFESIRPLFANKPVLIGLNKVDIRHRGDLPAEKAALLDQLEKEGIPIVETSTLTQEGVMSLRDRACDELLAQRVEAKIQAKKITNIEDSVLNRVFVAYPAPRDEKVRAPFVPPGLAAKRAAKKLAAENAMDVDDVETVGASAGAVSEFRDENMRRLEREIELAMEDDYILDLKKHYMLKNPDEKYDIVPEIWEGHNLADFVDPEIQQKLESLLKEEELLEQAGEYESDLDSDDEETKEKMALALQIREKEKLLTLEHTVNKRIAGRVGSRVHTRKRERSMSRLEHELGELGVDIDTKKMKNLQGQCAKPQLGKKVCSRRSSISSPPLLQPRPIANERMKVGRARSLSAVRPAPRDELAFPDEEKRAHVDKLRTKAMRGLRREAKKGEADRHVYDLKPKHLFCGKRGNGKTDWR >CRE31364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:46885:47100:-1 gene:WBGene00072688 transcript:CRE31364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31364 MAKKRKIDDEKSAEGAPAEKKEKIEKWLKVDSEAATTTEKKKKRPWRNKVRELAAKKAAAEKKTTKIRKNR >CRE13778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:25737:26721:1 gene:WBGene00072689 transcript:CRE13778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13778 MSFPFPLLRLPRLVLCEVFKSLNIGEKIKLSICSKKVSTQINYCRLYSQKVHVHLDMYSNKIEVSENGEDKFKIFNWSVSVIKTNRQQYQIEGRTVSVISYNKGINAFWENDQKGFLSVLRYLSKIFQCKFLISDNYKYDSYEKTISELFDLQVEFKILTICLNGSKDENLLWNLISSNFGLVEDLRISSSFNSGFRPVFTSWPQKIYIMSSAWFTPKYLLTCTTCTTISLEGSLLENNDLDETLWKWQTGGFPNLEFMKILSHNITNNGTTILGMKLRELNRLVIQTNDGSKKATIKTGYGRIEMSVTPF >CRE13777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:22616:23649:1 gene:WBGene00072690 transcript:CRE13777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13777 MPPFPLLRLPRLVLFDVFKSLNIGEKIKLSICSKKLSTQINNARLYSQKVIVDLDCINYKIRVHSENKKDTFEISIYSDSWRSHNSNDQQFSIAGCTIRVIPIPTRIKTFWKNYREGYLSAIQHLLKMFQCKISTDIENRELLWNQISSSLESVEDLNISTTVNAGFKPVFTSWSQKIYISDSYWLTLESLLACTCTTIRLEESRLGNKDLDVILRNWKVGGFPNLEYLKIHGESITNNRTKILGMNLEELNGSGIQTDDGSKKAIIITDYGSIEMSVISFGNPRHTLRGIYFTIRIY >CRE13783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:16834:17812:-1 gene:WBGene00072691 transcript:CRE13783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13783 MSSSFPLLRLPRLVLFNVFKSLSIGEKIQLSLCSKRTSAQFNNARLYSQKVHVHLDMTIERIQVYPEDFKDCFQIGIHVDREIDNPTIQFFGRRIVPMYKTIKTYWKNLGEGFLWVTRHLLKMFHCEISTGKDCWRTDFFKPIISELFELQVKFKTLTIRLNGKRMNTCSGTKYPINLDCPVFTSWPQKITIMNSDWFTLETLLTCPCSFINLENSTLKHKDLNEILRKWKSGGFPNLDLLKIHTLRFRDYREDILGMDWMELSVMVIQTDDGSKKATFKTDHQSIEMSVSPFE >CRE13775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:13333:14331:1 gene:WBGene00072692 transcript:CRE13775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13775 MSSPFPLLRLPGVVLCDVFRSLSIGEKFKLSICSKKISTQINNCRLYSQKVIVDLSCLSHKIRVHSENNRDTFEISIYSDSWKSHNSNTQQFSIACCSVKVIPIPKGITTLWKNHREGFLSVIQLLLKVFQCKISINISYYNSDLYQPTIAMLFDLRMEFKTIIFELKGSEDRILLWNQISNKLELVEDLSIFSSFDPGFTPVFASWPQKISIESSVWFSLESLLACTCITITHRRSHMGNKDLDVILKNWKAGGLPNLKCLRLDSLMITDDGGHILGTTFRELNGMVIQTDDGSKKATIKTYAQSIEMSVTPIT >CRE13774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:5345:6332:1 gene:WBGene00072693 transcript:CRE13774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13774 MPPLPLLRLPQLVLCEIFKSFNIGEKIKLSFCSKKLSTQINNAQFYSQKVIVDLDILNQNIRVHSENNKDSFEIYTYPGSWNSHNSNTHQYPIAGCSAPVITTPTGIKIFWKNYPEGFLSVIQYLLKIFYCKISTTIDHHNSDIYQPVISELFHLQLEFKKITISLDGSEQRNLLWNKIASNLRLVEELRIISVENPHVRPVVTYWPEKISVTSFYWFQSLLASTCTRITLDWLHLDNTDLDEILRKWKAGGFPNLEHLKIHGQGIKDIGATILEMHLWELAGMVIEADDGSKNATIRIDTGSVEMSVTSFQ >CRE30244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig369:16764:17009:-1 gene:WBGene00072695 transcript:CRE30244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30244 MTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRAAWAAFNNIKSTTDALSCPKIRAQLFDTTVLPALTYGSETHRDAKRKVA >CRE30246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig369:23703:27825:-1 gene:WBGene00072696 transcript:CRE30246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30246 MTELKGYNNIGEFGIFEKFRKSVTRSIFVWSAKRCAPGCLFYRIYGKEKNNGTLEIFQCAEWRERLVIEMSITRLNGDRHQKTETMTLSISFPGSLQDITVTAAWINKPVSPILENWLIKNDKSQVALWQPYRFPSIQCKKKEGDETCQLNERCTCEPAEDSMKRLPVREGHWTLKAENDSVVATINDEVTFGLVLTLEDNVTTSILILSDKCYIKAKQIQGCYNCASGGQAEIKCTSSMKEVIGNIICDKDMFTVPCSPNGKSTNITFFAQYAGFRKVCSINCGGKYTEYFKITGTLKFTGSMWTSIYRIIEGKTTLMNEIAWPDLSHLAPNFGFWLLNQIIPFLLLPHTQSFLSLPMEPVVCCLSAAFSSF >CRE05278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig412:9599:16916:1 gene:WBGene00072697 transcript:CRE05278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-inx-19 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3NKP3] METNWTHCLLFLSNTRSPLFLFNCLRQDRQMFFHATLARSFINALSVRGDDDSVDRLNYYYTPLILAVCCLVISAKQYGGTPIECWVNPHSRESMEEYIESYCWIQNTYWIPMYENVPDDHTAREEKQIGYYQWVPFILIAEALMFSLPCIFWRLCSFQSGLNIQTLINAACDAQALLDYSDRQKAIEAITCNFVDNLDLQSPNGRIKARGWIARIKFSRFLSGQCISIFHSFTKLLYCINVVAQFCILNACLKSTEFMFFGFQVLSDIWAGRPWTETGHFPRVTLCDFEVRYLANLNRYTVQCALLINIINEKVFAFLWCWYMILAIITTCSFIYWLANSFIHSEKVDYVMKFIQIAESSEFKKLQKFEKDATVERLYTVIAFAPHLLDSFVSDFLKSDGILMLRMISNHAGDMIVVQLVRNLWQEYRERNWREFEEHEEMKDSELRRIQGTRERIVIANVGQTKSFL >CRE05277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig412:579:911:1 gene:WBGene00072699 transcript:CRE05277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05277 MVENHGGRVFAKVRPPTFFAFSRHFSSFFLDFCSISGDIFLFPGARLWLMAAFVVSFASLVAATWILFSDYVLRQGEHTVWPGVALFLTNFIIFASSCVYKFGRTEEMWA >CRE16366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:112959:117435:1 gene:WBGene00072700 transcript:CRE16366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16366 MTYISIPVSSEKHTTTQKRSDLQGIRGMAILSVLGFHFLSNQFPNGYLGVDQFFVLSGFLMCVLLTRTPVCSDNFSIFQYIFEFYYRRLKRILPLYLLVIAGSLVALFQLFPDTAYETNLKSGEKALVFMSNRWKTEAEDYFSMILRYIFYGFNYNICIVLFLALTAQVLSYFSTSSSSPPPSTSSPTPGPSPLKSNSISSSPSYIFLLRKFFNKKIYSFVFLAIVSYTYSTLFCTENEAFISLFARVWQFMIGMIVGLISGLALAGKSNNDKCVESIVKYTSLVLMVVIILCPIELPSWILRPLFTISTGILILLSSGDTILSFPILTYIGDISYSLYLIHWPLYAHWKLVLSHGEAVNQELLTVFLISVLLAISSYEWFERWYLKLTDKPLLALCFFLFTVNICFLEFDKFRDYLTAPAIGSRLDGLNENQTISFGKSIPFTLKTLKIPEEVARMHREWEMHDFRNLHAPSCDYGENTGPLGGCKHKGLDEKNGKLKLVIIGNSWAANHARIIYDECGKKAKSIVQFSLTGCEPLVSFRYNTELCIPTLKTFVDIVEKEKPDYLFLLSRMIDTGDSLSSNTTELEDDPVFQAMRLNMNRLVKHVKRKMFMLNALPMIWEKAVPEILKKVKNEENLLEFDKSLISIDPSLARSRYSKLVSECPKCSLIDYKPLFYNNSTGTWRFYDVENSGLTYFTPQNHLSFHGLERVRKVYTGICDNFGE >CRE02733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1121:939:1634:1 gene:WBGene00072704 transcript:CRE02733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02733 MSEEGLVEGRSPKAVFTRNRTVRRKMQPTNSSSNFTSRHHAAGTPTDPRAFWTLIDDPFNQGQLDKERTSSEKRKQHFVTRRTEWSWVQTQLFLYSFRRPVAPPIGRQSRPTKKMEHKVPFFQKNTITSSTGQRRPLMEQQLRTTISSHHHHLLICKREETGHRSGQRSVTSKVRDIKDPQPNHFCGREPLSSQRDIQFNDTARTEENNWTKVNYIHFVVGMAQPQDNSSL >CRE05303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig932:51:2244:-1 gene:WBGene00072705 transcript:CRE05303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05303 MFEHYKMTEKDKKSNFSLKVFVILLVWIISVYAAITFIRSSDEPPCKSESLPVRHKGVCKSPECITLAHQLHNWRDVSVDPCENFFKAACGKYNEHNLDPETRFNTKTGIVARLIEEFLDKNLPSTSNSENAMHFLYQKCQESNKLNATVIAEQEAMSQLELIRRIGSAPIFERNWNESDFNLNDMMAGIAELGRDQLGFFQFTVINQYLVISRDPIQSEDLATGIKKILNETLRLTDEKPDADQIGRDIQDVMKLNKELQEPNTNISGAIHLSTLQEHISLDLTRIIKSRFDPRRKEEVWRRIQNKTVVLIDALYYSNGKKNLETILQSSSNRTLVNYLMIRFLISLEKQKTSGKDDCTGKVVIKLPLAALRMFARNHFDKENLQIASDMVEDVIESFVETIQESSWLHETTKQRAIKKVKNMKKVIGYPKELEAPGTLDRFFESLNLSDINMTYFETKIEIERFRTIQSMNHFATLFPFESNDRYVVANAFYHPTLNKLSEYSSQFSK >CRE20512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:246600:249444:1 gene:WBGene00072706 transcript:CRE20512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20512 MFPHPMFEHYKTNEKDKKSNFSLKVFVILLVWIISVYAAITFIRSSEEPPCKSESLSVKHRGVCKSPECITLAHQLHNWRDVSVDPCENFFEAACGKYNENNLESKTRLSTKTGIVARLIKEFLDKKLPSTSNSENAMHFLYQKCQESNKLNATEIAEQEAKSQLELITRIGSAPVFGRNWNEADFDLNGRVLRVGSIQNLKNYSDMMAAMAKLGRDQFGFLKFVLHNQYLVIIRDPITSEALESGIKKVVSSILFSVFYILIKFQILNETLRLIDERPDADQIGRDVKDVIKLNDELQEPNTNVSGGIYSSEFQENVPSLDFTRIIKSLIDPRRKEEVWERIQNKTVGLNDALYYSDGKKTLETILQSSSNRTLANYLMIRFLISLEKQKTFGEDDCTEEVMRKLPLAALRMFARNHFDKENLQIASDMVEDVIESFVETIQESSWLRETTKQRAIKKVKNMKKVIGYPKELEVPGTLDRFFESLNLSDINMTYFQTKIEIERFRTIQSMNHFAALFPFESSSDYLITNAFYHPTINKLTLNVAFLDDPFFDSTYPKYAKVASIGEVIGHEIGHGYDPFGRQRDENGEENNWWTPEDSAEYDRRTRCLINQYNEYDDPDFGRNLNGTTTIKEIASDMIGVETSWRTYKKVDFANEPSIFGFEDEKPDKLFFHLTALVSTKYIHYAFENSKSFQNWCSSRSEVSLAEQLTRTHPTYSFRINGVFSNMKSFAEAFNCPVGSPMNPEKKCHVF >CRE05304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig932:7931:10476:-1 gene:WBGene00072707 transcript:CRE05304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05304 MVENIPRKDRMWIQNSITWSPNLILFPFVAWLCHDWRTMSVVIAAASIATFFAVFLLEEFPRWLIQKGRLDEARKSLIKIRKTDRLYDETFEKQLDEVLHVESEKHARSSKKSKKYTFIHLFCTWKMMAQSLTFVSGIMCTTFIVYSLMYNMEKLSGSLYWNLAIMGASRWIINILVSIADYRLPWFGRKMINQIAMVATLLALFIMAVYLFFGYEGQVMAIGTVAAVAMCSQLFIAKYMMVNELYPTAVRNLAVSLVSTMSRIGSMFSPQLFYLSDYAEWIPYAVLLGCQFYDFIVLSIFLPETKGVVLENHLPPKNKRIFGKRA >CRE13156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig540:144:299:1 gene:WBGene00072708 transcript:CRE13156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13156 SDYAEWIPYAVLLGCQFYDFIVLSIFLPETKGVVLENHLPPKNKRIFGKRA >CRE04389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig451:5211:8269:-1 gene:WBGene00072709 transcript:CRE04389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04389 MGKSSRKNKNKIAPVNSTDGELKAFNYAEDPEEMARNELVDNMEKLDSKSSKDRTDTLITINSLLRSRPTLTFVVRYHDVMSTVLTLKNKPDPEAEQLVTLMCLFVLHGGKLITDLIFEPMSQMRSILQDESRSVALRMACSNTLAIITSICCEDGFANGMACKSVWSSKTEGDGKLIATALTSWCFIILADAETIEEAESSQPKIVTLLSHKDLEVRLAAARTLAYLQECMHEAQEDFAGFPNEDHVLNVLREMMKNEKRTSKKDRKEQRKGVRDVLEYLETKEDVAVEYVENNGQETLHLNSFRMKMAYGLCCDVLKGGVQVHLKSNPVMRYLFGWDPVPLGA >CRE11659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5496:1549:1975:1 gene:WBGene00072710 transcript:CRE11659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11659 MSIFAALQPSDPPAASPNSRSMRRNQRRVTRDEDRYHSEIRVEAVHQALAEYSDGRKLGQQPVKPHRRNGTSVSRSNTQKSKRSILNGGGRMMSGKNNLGYYEKNREFGGKMGGLFGILMQFPKF >CRE06217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1093:5691:11439:1 gene:WBGene00072711 transcript:CRE06217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06217 MIIAVTSVPAAATRNPHKATPPLSPKFEANVGYPPAQRSPSTPSAHRRVFPPPPSPTTRRPASQLPPSPKLSRTPKMMARKAMPTSPSMSSPQITRLRVNGRVVRVTAIRQSAYYSSSEDEDSINGGTLGKKDGTLTRPPQTAPRTSSLLSNKKKDTPSSPSTTTAVPPPPISKNTAERRSGTRKERAVEDMLRLVQENRDRHDQQKMDYGEYVQARIPPKAPSGEVIRVSRQDYRNSKIIVTDGEAIRISPHREEIETTIEESTSLHDHHHDHDDEAVYVNTTIGGGNNGGSHGRIPDSPSDDYQNAVLPLKQTKVSSKIQNVVNALQVSGRGLNFENFQI >CRE15803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig746:1425:12799:-1 gene:WBGene00072712 transcript:CRE15803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15803 MSTEHPSRVYFPSMALRKTRYLRQTVSSTGPSLFSPASRKLSSENHKEYLAQLHSRKAVSLKEKKVKQQRSAEEGYASASPSTASLVPSRPPLPKYHHVCEPSYGDEDDILLDDAATVTSSVDFVPGFVRSATRSSEQIRRHRDFVSNSCSAPSTSSGEEYEWSFVRRQSTEQEVREMKNEEDEMTIGWTRRQHRFKKWKLNQTIHELARPRSKPLQDYYNDDDAELEAMAKIRDPEAPRPEGTIMNPVRGEAAHSNTNNTMPRSLDSAFHRFGTTAAKNVAAMVLDQSAKPSTQLTYGKLHSRAGKVAHMLLTKTVQINKDGTKNCMCKPGDRVALIYPNTQPLHFLAAFYGCLQAGVIPVPVEMPSSKREAGIAQLGFLLGNCGVKVALTSESCYKGLPKKVNTSSVFSAPSGSTSLAGTANEIVDFRGWPRLWWAVTEHMSKPARDWTAPPRLADETIAYIEYTTGNDGTVKGVCVTRQAVFAHCRALTTAMEYKEDETMVCVVDFKREVGLWHAILASVFNGMRVIFVPYSLMKMNPATWMHMVSKYQATTALVKSRDLHWALLATRDHKDISLSSLRTLLVADGANPWSLSSCDAFAAAFSPAPYNLRPDAMCPCAGSSETGTISIRRRGNAQLGSQSGRGVLSMSALSHCVVRVDQENSLTSLTLQDAGQIVAGAVVVVTAIDGSNRLCQADEIGEICVSANSTAQLYWALDGQTQHTFKVEPIGEYGKPIGAVRYVRSGLIGFMGPDGMVFVVARRQSLLAVSGRYHSADDIIATVLAVEPMKFVYRGRICVFSTSVLRDERIVIVAEQKPNCSEEEAFDVSFEARFSPEKCEKNAKTDQKPRWPNLLGHRSEKLGHRSEKLGHRSEKLGHRSEKLGHRSES >CRE20621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig788:3824:5034:1 gene:WBGene00072713 transcript:CRE20621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20621 MSAIPLLKLPVLCINEILINTDIISLVSLSLISRRSKRLVRTTKTSLTGFNIKFYKDVTEVQFVTSEKKEVRSWFFKIEEKESSGGQVMEMSFIDDVIHSYHSADDIQQSVKLGVEYLRDFFAKPILEVFLFPDDIPYPFYIGFKECNNLYMKGEKAMRNEDLKNVLENVLIKRVCIIQLPINADFKCNKNALKFTEFSSLSNACGHWITREVLLSLKCSRIHLHHTLLEAHDFMAFFERWYYSNDKHFEVMILRTDKTFNGLRLDGFHQIPWDTQLRGQHFLYAHKLAFDCSEGTNIMREDGTLCTVHFRETIVFFCVWHNRFPDISRATIFI >CRE20624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig788:6481:7631:-1 gene:WBGene00072714 transcript:CRE20624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20624 MPVIPLFKLPVLCINNVLINMDTISLVSLSLTSRRSKRLVRTSKTSLAGFNIEIKNDVTQVQFVIPENVEVGNWFFKIEEKESSGGQVMEMRYAHFVSSSGCPFFSFKDDVIHSYHSANDIQQSVKLGVEYLRDLFKKPVIKVYLFPNVFKASKRPFHIGFNECNNLFIEGEKEIKNEDLKSILETLTIKTRLILNIPVNSSFECNTNLLEFKRLTCSYKVVSCRWITREVLMSLKCTHMQFHHTLLTAEDIMPFFERWYHSDDTEFTILLVKTDESFAGMNLDRFNPTQWNPEQRGPKFLYSPDIAFECTAGVDIMRRDGLLCTVDNRINVLIFAVWHNRFHDVSGVSRMM >CRE20622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig788:7882:8819:1 gene:WBGene00072715 transcript:CRE20622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20622 MSTLPLLKLPVLCINEILINTDIISLVSLSLISRRSKRLVRTTKTSLTGFNIKFYKDVTEVQFVTSEKKEVRSWFFKIEEKESSGGQVMEMSFKDDVIHSYHSAMDIQQSVKLGVEYLRDLFAKPILEVFLFPDDIPYPFYIGFKECNNLYMKGEKPIRNEDLKNVLENVLIKSVCIIQLPVNADFKCNNNALKFNEFSSLAKEAHWITREVFLNLKCSRIYLQHTLLDAHDFMAYFERWYYSNDKHFEVMLLETDKTFNGLNLERFNPIPWNTQLRGQHFL >CRE24733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:2792:8735:1 gene:WBGene00072716 transcript:CRE24733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24733 MGYIKQEKHPLEYEYLQPEHLKGFDSYKYNCVDNSPLSVYVSHPFWNWLVEVCTKKILKTFNFLKTFIFQFYPRTWVPNVLTLVGWGFVMTGFFIEGFLDYRIDRNSDGSVNPIPDWFWFAAALCTFLGHTLDGTDGKQSRRIGASGPTGELFDHGLDSWSTVPFTITIFSIFGRGRYSISSVELLCVLISTQIVFFTTHWEKYNTGIMFLSWAYDVSQFGLVIVYMWTYFVGYQWFHFDLFGVNFAFTFEIGFYLCCLMSLIASAYNVHKAEKLKQPSLLAGVRPAWPVLILFGSSIYWAVASPTNVIDADPRFFFFCMGTVFSNITCRLIIAQMASTVCDIYNLTLGIYLSTIALSFYSPAHELLILRLSTLVITSLHLHYGICVVRQLCRHFKIYAFDVSYLQNRKE >CRE29147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:187409:194964:-1 gene:WBGene00072718 transcript:CRE29147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29147 MEFSCQSHCEKTTALNPYDSEFREEPDSESISKKVIPTFDFPGKIEKSDGESQKSDFSSEKASDSNSELILVIPTSVSRHRIRKSDQKLHSNVEKERNFEFEAFCVENGSEKSIFQAEIQIISVKNHFSAVLIPLALPFVHKQRYFSEKSLKIAARNAAKSVSLTAVSASLHSLHTNDKDTLLHFNDSDASRTSICTYRMVESDGMEGIGYGDDSESSKNRSEVPMDAKTSETGHERWLERKPRRPPKDLKKTAEKEVIIDRIDKNGVNQKLLIFAENQCVLSENNRSEPEISREFLENAAKTWFLWENRAKKLENIDFVFENANFVSGSWKLAPAEREAVSMRDVANESIDRVTIRREELEEGFAGGKKVRDSEFCSDISQVHREVAEEGLYLSSVLSVCLATSTESFYAFEKIEKDKKEKLVFSVELFESFAEEQVSNSSELVGRGKMPVFSVFVSLPCQIIDRKCHKKENGAKIAKNEPNMGNLKRKMQFSMKRPPAMVIRGGAKIAKSEIEKADRIVLVETRGENVVVCQVSIPATRYRCYYKNCFGTSAGGAGAADLQHLTRHLSSVHQKKVEWTYKCSICGEEAAGKSTKATRWVSSHMLEKHGAQHRPRIRSAPTTNQKISDVLKKAAPSLQRPERAVRKGYTAPPVEETTPEKILRVEAMEKMPQTRAVTKSLSVLKESVKKSVKKTEEKQMGKKVFSIFSNNGETSSPASRRLSVAPVRTNSLGSVVDLSNLQGPERVKAAKQNAQITARMETKRRRSSLSVLKPQKVSGKSGKEETNKISEIIPEDSVVSRENDWNESGVLNLTFESDGSNGYVGKRFNTWCLDHEDSREAWLSDEVIMWYLERICSKSEKYKVLDPLTWEIWKIEGIQMVESKLWSSKTYLFPVCEENHWILLIIDSQSVWYANSLAYEPAGNVAKFLKELKRERKYFEIPTPYQKDKVNCGVHVCLIARSIESGVYWYDIKDVQSFRSDMKRMLRRKGYELFSAPYHQIIPQKMSIDVDDCEIIDDVFYDDSEKERDESEDVKKNITEISIENITPLEKTEKVEECKRIDSILYGESEKGENETVDVKETRSDLSIEKGEIIDKLPADVIENVVKESEGLLEVFRSEISTENTTIPVQNRENENDFGIISNILSKLVETVVYNVEGIREIPKLMDIKLATPEKVCQVKQKRREKPKKQMGKIQKVPAGKADELIQKVRVWFEKEFNSYLQDGKSFQRLEWLADSLTAAIHKASVGDEGAVKKIEKRCPPLEMKEGEMSTQTTVTKSARNTSQKSGEKSKGARESLGKSYWQNRAKTYNRLIGKESKQCEIPIGVLEKFFTETTSVTNVPKEVLESNCKKKLPVYLHCMYHQFHQVCPFTDHLITLQSNLFMILEAMSRKHQDRWRGQPAVYSSWNWNDRVYGRNGYVPTGNGNWEKPHIPFFGDEISKVPQSEVSNSESHHRVQEETEENVNKDETKEEIKQEENPKDDVLEEVQQMVANLKILNTTIDNLSKKIDSNQHLRSNVNYNMNHYFHGSRFVMPHSNYGDHHRPVHHPQYGTPNHIGQYGYRHQYWNRGSQNVKQMKKNHVAAEKQKNKKQNGSGKKGKLASRETATSQQESKQKDKLSKSTAKDQNVAK >CRE17738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1110:3055:3949:1 gene:WBGene00072720 transcript:CRE17738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17738 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NQF1] MDDLIHAYSLISKGISMDTPKVEENVDNSTETNSSKLEHVGSNSAQFPIVEHGHNFVYSTSLELRVGAEIAIDAIIQSRFLIVIYNHNRRLFRFDTYIHSNGTGNREEIHFLMSIREEFGSIVFNSFFRRTWDTEERRIYPALPNNFISIRIQVLQAGFQVISSLETHTYTQVHFQCSINNSWFKFFEHRLPLSSIEAITIRGSHLVRVHLDTEDYTEGEEMVEDEEHTEGEEMAEDEEYTEGEEMAEDEEYTEGEEMTADEDFTEEE >CRE03523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig690:12889:13782:-1 gene:WBGene00072722 transcript:CRE03523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03523 MEIEVRRFYSNLFATKQSKATTIIPQQTKALPPFLPEEIQHSLNSFQNGKAAGEDKLSADFLKSCHSSVHKLLANKFSRYLQEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPILYKVFTKCILNRIRKSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQCDPISPNLFSACLESAFRRMSWPHIKDDKMTTTLPWYQIRAESNTPTIRRRYHLISKLHK >CRE15767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig387:15118:26379:-1 gene:WBGene00072723 transcript:CRE15767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mtm-1 description:CRE-MTM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NKD1] MSKNFKKFQKNRYSAAASTSILQQEPIDLKLLTAESVIWTEKNVAYIGPFGKFQGKIVITRYRLVFLVGDGGKSYEQWKLDIPLGQISRIEKVGGRSTSAAMMRGDDNYGFIVFCKDYRVYKFALVPGSNRKNICDSLNRYSFPISHNFPMFAFVHAAESPRLLKDGWKVYSAEREYERLGIPKSRLWEIVDINKDYKFSETYPRIFVIPKASSEKGKPFIKKLGEFRSKERIPVLSWIDQTTLASITRCSQPMTGIAGKRSADDETHLTNIMNANANCRELLILDARPAVNAKLNKAKGGGYEENYLNASLTFLNIHNIHVVRDSLKRMTNALIPRVDEKNYYKILDESKWLNHVQSILEGAVKAVFNVDTEKQSVLIHCSDGWDRTAQLTSLAMIQLDPFYRTIDGFIVLIEKEWCSFGHKFGERIGHGDDAHSDGERSPVFVQFCDCLWQIIRQFPWAFEFTQDLLIHMLDELFSCRYGTFLFNSEKIRLHDNKCAETTISLWSYVLENRKKFTNPMYRVSKKTKKVLYANPSFCVLQVWTDYYARSNPHVITPNHEDIQQPAAQFVNEKKQLMDEIMALDEAAQTMDS >CRE15768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig387:28342:35137:-1 gene:WBGene00072724 transcript:CRE15768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfkb-1.1 MSLRWLVCRRVERRISRINCVGISIGLALRLKILDATNTTRDRRKLLMEFCKNLMHEPPFRVFFVESVCDDPVIINSNITHGESEYNQIGRLGGDSPLSANGLKYAGKLREYFENEDLDDFRVWSSQKIRAAQTAAHLKDLAGHTEFWKCLDEIDAGICEGLTYEDFESRYPKQFAERDKDKYHYRYPSGESYEDLVARLEPVIMELERQSNVLVISHQAVLRCILAYFTNKNRDDLPYLKVPLHTVIKLTPKAYSCEVELFKFDINAVDTYREKPK >CRE07943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1655:3804:5697:1 gene:WBGene00072728 transcript:CRE07943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07943 MISLIFYFLIFQLSVSYVPELSENLKMVEVYGTPKESSGIFRMPTQENWLDCLYKCLATWNCVLVSQLPDGCEYFNTQEIKSVTRLTSSHGRVVAFKISLTDCPPVPQTPPLFGNVSSTLIITDGSDNYYKSEITETSDTWNFNYSIHKCLTDIPENYTPMVHHGEPYSMRLTSPFAPGETFFMTGKTPAYGNRFSISFYREGTGGGNNAYALRYRISNGFEDQTNTEISTWFNQTTEIKRDGNLRNPYAPLQDFEIRVNSTDTVANIYLNSTLLQYTLDPRVPLTDMTQIVINFGGYAGLNVTLYYIGWTGDCWYEPLV >CRE21595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig473:3053:4500:1 gene:WBGene00072729 transcript:CRE21595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21595 MIAKYAEVLKTRGILPEYFLVHEAKSSQYIDEDGDVANEFYQETMSDGEKRRLCRLIKNLRPKGKERYAIPRLKHDIPVVIWEVQKQQES >CRE21596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig473:22329:26140:1 gene:WBGene00072730 transcript:CRE21596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21596 MLSREQLTDLNENFPTVSESGNGFLPRSDVQTALKILGIDVPGYKMRELMDQHFGNEAQIDVDKFAKLFDTLDAQRNEEANRWKQQTKQVSGAYQTHSSKQENVQHTIRVEEEVAFSNWIN >CRE24286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig436:7801:9995:1 gene:WBGene00072731 transcript:CRE24286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24286 MYKFLVFLLAASLVSAATKCPSNAFCFSAKDDTNITVSCNKDSVLSLKWKTSELRSTVVINNGLNLLQFTCGFPVGDSNLDVKLYKFENVRAENLTSCQIDFHLNHMFKKVSFSTKHRKSCFQNAPSLLQWNEEKSEVFKFEEICSPIIEAGLSKEFKRFLVKAHAIFMLLGWLFFVPTGFLFARFGKQLFQNQKLVGMPVWFQIHRSFTFLGVWCICTSIVCIFVSTNFTWKGTGSGAWYWTQWHADLGTISTVLAVSQPLNSLLR >CRE26704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:29956:34955:1 gene:WBGene00072732 transcript:CRE26704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mak-2 description:CRE-MAK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MXS0] MSMSPNPLRFNSNPLFSDIPLTHSLTEIQSENTHTNYSRIGCCLSSEKSPAEKSKPPDEIMAFHEYPVTQDYRISRKVRVTVAVGVVLGVGINGKVVECENRATQEKYALKVLRDTEKARREVELHVMASGHPHIVSIHDVYKNSYNGVDCLLVVMENMKGGELFARIQERGQKAFTEREAAGIVNEICSAVAHLHRMSIAHRDLKPENLLYCTPAASAALKLTDFGFAKKTDESEPQGLKTACFTPYYCAPEVLGTEKYDKSCDLWSVGVIMYILLCGYPPFYSQHGQPMSPGMKAKIKSGQYTFPSPEWDCVSEAAKDLIRKLLRTEPTERITIEQTMEHKWISHYRKVPDTPLFTSSNLCEQKEQWVDVQDEMEATLASMRVGPENIQIKSLGDSNNKLLAKRRKGGASPKDEPMDDIKEEKDEEEERMLS >CRE26736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:13128:27000:-1 gene:WBGene00072733 transcript:CRE26736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26736 MTAFEEFGIIPELSEAISSLNWTLPTAVQSEAIPAILGGADALIAAETGSGKTGAFCLPIAQIVWERRKSPESLTSSDSSESQHAHLLNREDRDASLQIDKSGLNCDARVGKEWHGARGKAGIHGGGAYYYEVTITRDGLCRVGWATMAGSLKIGTDFESFGYGGTGKKSYGKKFDDYGKSFTTNDVLGCFLDLDNLKIWWSKNGEQFPMAYSIDRKFKSPATCLFPAVLCQNSGLAVNFGSAPFKYPPTDGGRTVGVAATPAGNLKWWSAEEKQSVDSTTPLCIILEPTRELVQQTFQNIQTFAGVLNEPKIRCVTLAAGENMNQILRTLETGCDIIVGTPSRIVDLIQTGKLATKSLQFIVIDEVDQFLADKNGGARQIDTLFRALPLVAQDGTRRQVIACSATLHNYEVARFADRHMTFPQWIDLKGTDCVSSDVHHVVCHVDASEDKQWIRAKYAPIHLEDDHVHDGDQIRAGTNDRDTISLGTKILKGIYVVKAIQALHMDKAIIFCRTKQQCDHMEHFLRQNNFTAACLHGDRSAEERQSSLEGFKKGEMRFLVCTDVVARGLDVQGVPFVINVTLPDDKSMYVHRIGRVGRAERMGLSISLVSTNEEKVWYHKCRTRGVGCQNTKDIGKGGCTIWFDEKKMLGEIEEHLGATISTVDSDFAVPIDEFDGKVIYGEKRSGGANFATHVLSLASSASQLADLETKMQLEYLRNNRHVFMAFNAL >CRE05111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:15352:18419:1 gene:WBGene00072734 transcript:CRE05111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05111 MRATPVRDRLKAKAEKRQDDQAHHIRCCLANEKMCKNPFWRKFCYQVSNAYLEFTDADCCPFNEGSKKGVAPCAPIPAPRTVFKPVATSAPTPAPNPLPTHPPLQKHKSTQSETKDKDMEEEVKKMRGLVEKMEKEVEKMTKKMEKQKTFHEECQKKWNEECEGLKSRASARSQKFESQVKEMADDIAKIKDNNNLTFINIKDEQNALKNQLLVTTQEVSAGKMQIQQQEKENAHLHQQLEADNLQESESAKTISRQCDEILELKNKLAASINSEEGTRDVLNMTNARLQYKIDEIQVLQNQLRLKTLLVQATETESAEVEKLQKEVQMLKLEIQHHRTETAVRGDKTGASLLSISTTNARISLQTPIRWAQSSLAESPRSRPTSVGVATTHSAEPWNQPPPSILALRSMTGGGLESSGSASLDLHQLSTSNADSTSTRIQQPFAMFSSLLSHSDATRSTLLVPSQFPASTAHSSSFSNATQLNQPPAYHSSNLDECAMCGSPMTSDLKSIRCGTCFNLFHEICSVVYKEQIGITCEGCKRLFM >CRE05109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:6335:9630:1 gene:WBGene00072735 transcript:CRE05109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05109 MNSNCSVLLAYSSSILLKLSISYSLLFSCIGLPLFMWASVKLWTGFYTRRFHRNFRLIIQVHLFGFMLHCSGRIVLHSLDLFNYIFLQPCDMIPNIYRCFIFRLMYNWGFWITHCTAISLIIERYAATRLMGKYENKFNWLGICLVLAQPCLAGVPLFFAYINIRFDGVFMPYCSVYNPGSPTIANFNSGVAIGCQIVARILFGFLFTVNKNRRIRMQTSSLSTRFQLEENKMTMQCLSIYANLSSVFLFVQIFSFSYLLSISPDMPTENYLAWMEFNQQFPAYGIFSVLLIVYKIRLLRSKINTNLNQQVHLNETETYFGILEMQIRNIERH >CRE30537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig408:22617:24475:-1 gene:WBGene00072736 transcript:CRE30537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30537 MIGTQYQKRGAPAVCFPQKNNTLLYAYSTDIDYYTFWYGARSIVSYASTYATTANVRFDTKQEEEIEYHTDWNSLNISIYSHQPDPSLGYGDNTTGSNLFKILKKFLNNGKVSLCGAQIIVLVKRYPDESDVSDIITQLRANHVLVHIGVDSIPSGGSNSATLYEMSFQTNGYCAFATGGDLFSAFGDMAWILGNPYQFIAQNFVVSGSGRIKIPAFKSPIPPGIHADFCYFAITVQNHTLDNSFVSMNHTIESTTGYDVKTFPSNGINLL >CRE17577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:94419:95677:1 gene:WBGene00072737 transcript:CRE17577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17577 MTFLFALLLCWIISVFFKAIESLLIPNIPLPPPHFPPSQTVSIRPPDVPIPSSPFPLFLVPFVPLRRIIDFMKADALVSLSFCSRKSHSVIKTQRRAPFDGRLCVSGPNRNVMFYSVKNLTRVLRAANYLHCSNSDKNNYVKMNGQYVPVEVHRYSGYLISYSDNTMNGLKAITEYVTDLFNLDVAVLKINRESFHLIEWMNSRQKTPLKKVVHLGWRSRSTEDEMYYILRHCRCSSEIRIHSEAPPNFRLSEKFRQIDCLVIWHGQWVTLDNLLTMDGINIILEKSTLTNTDLNVFLKHWLTGGCPRLKLFWANTGSVDLFQVLADLLHNTVYMENRRNYTSPFGYTLTLSDGYDIQRSDGVTATVHYHPPRTFVIAVWPETTHNFY >CRE30533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig408:10748:13243:-1 gene:WBGene00072738 transcript:CRE30533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30533 MKGFPGDFPTDNCDKNFKPWTELENGAWMIIKDGGANCSARCFEGVRASSKVVVGEWLEPGYVDCEFLEAVCWENGGEEVYGYIHTQIKPNSTTPPPSLFPNPPNVFVFTIDSMSFGSAKRSLPKFLIYFQSEFQAVEFPFVNKVGENSKPNGIPLWFGKSISGGRKVTWEEIVPDWNRTEFCDRYLDNETHIFKQFKQHGYTTLQMEDWEDTMIDSFPSCQGFYERPADHIFRPFSAFRAKYGMNITRKHLNGKLCRQNHHAIMDLLQQAITAYSDRPLFSWIWLIDIGHDYSNGPYRMDQYLIDYFENNRQVFDDSFVFFVSDHGFRMGVMTEIGLFERNNPYLAISIPKKYRDEKNGMLEMMRVNSRQLQTHFDTRATMLDILMYQPYTNFMDRKLISIPNEKGHSLLRKQPESPRTCGTLPIPKQYCICQVEKYKVLEESLMTRFGQKVIDHVHELLDESNFTSICHHYELNEVCILELTVFFKLEIRYVPSLIEYGYSTLWNTYEIEVKTAEPSAVHFQTMVTYDPKTETMNFEKVVRLDKYGDTAKCTASVRLEPLCHCKK >CRE30530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig408:5975:8199:1 gene:WBGene00072739 transcript:CRE30530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30530 MNFSVFLLLVLPSVVVLAQYSSGEEPTTQETVPVDYSTPEDPVDDTEPPVVDSIPKVEEESVVVAEEFPDTEKLFGIPNNLWRCGSGDFVTKTVISHINNTCPLAAAEFNHCCAVHDDCYDGQRGQKHCDRQFCECLEYHVATDPNAANCGNLTKMVCPLLSQYGRFAYDDSRDAKNSSVTESPVAAESLKVPTQIPHLSEPYVGIYARCDEQHATIASCALNNDLCYRTPHAEPKEQCTVHLIRCLDDTRFNRKPSKTCDLAIDEYLWKLIRFGGGSEDTKEMETLQEKEKQMNVLMMQEILTNKTLVRNIYLQIVRHSSSLGWLSCLTFLFCVFSCCGIVIYAFSRRGEEEEDIRRHDEVINVHVTSSASEAPSSSTMSSMKSSSSTRK >CRE30531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig408:2928:4834:-1 gene:WBGene00072740 transcript:CRE30531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30531 MANVRFDTKQEEEIEYHTDTKSLNDSLYSHQPDPSLGYGDKTTGSNLYNVLKKFLNNGKVSLCGAQVLVLAKRYPDESDVSDIISQLRANHVMVHIAVDSIPSGGSNSATLYKMSFQTNGYCLFATGSDLYYGFHCITWILQYPYQFIAQNFVVSGSGRIEIPAFKTPGPPGYPEDCSFAITVQNHTLDNSFVSMNYTIESTDGSYVYEFPSGIAKPFYGTAQSAWWDLNGSLSYKWTIDYHYNTDAPQIIECRMYSYFYHDFLPLPPF >CRE13159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig620:1536:8250:-1 gene:WBGene00072742 transcript:CRE13159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-64 description:CRE-TAG-64 protein [Source:UniProtKB/TrEMBL;Acc:E3NME2] MNMVRIRHIMEMRRACKAPPLLPPKLRKEGSESTDRRGPKDVMDLTFRVNTLAPERYPSSSFYLHLYESQDSSEGPWNLITETDRLGTNDTAVLEDFLAIEFSFERLQWFKMEISELSENGVKVFKASEIFSIAQICAGPIILPMVDQSGYRVSEVEIWSQLRDRTQPIVMQLEAKNLSTKLVSSNSNVYIEVYRVDEDQKRMLYRSEVAKQTKLTWRPFTVQSDDLYGTDEMDSQIEIVCISEEDKEGVIGQALVSMEVAKAMEAIPIFNETYKQGRKPIGEVRICRYQQLRVCSFLDYIRGGTSLKFAIAIDFSIRDPQNLTHNDYQQYSNDIEFVVRCLGETLEPFNPNNSWLSYGFGAKIPPHYRDSNNFCLSLDVDATCQGVNGVLNAFGKSHQHVHPLPGAKFSQIIYHLAKQAQNNFNRASEPPSYFVLFVITRGSIEDLKETVQAAIFASKAPISIVFIGVGCEGLDEIERMGNAGKRLEFQGRKSERDNLQFVNATKTRLECDNYSDMSTTFLEKALQQIPWQCSTFYMQNHVLPGKSSEEATGGLMAPSSSRRPSSAHGQGEEEELRMRTSSSGEQTRNRRHRLSNEMRSMTLDDSR >CRE23188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig438:6299:19756:1 gene:WBGene00072743 transcript:CRE23188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23188 MPTSSASEVTRREEVEEEGEMFRVGDDKDDEYFHGELVEEEVEVETEEQVGGGEDGEEDGESVDMEKIKQIQQQKMLLVTPIAQKRQRGRPRRQSTSSQLMLGGAEEEEDVDHNNEQEMTMQQVIQDSESQSGPLESTSSTTEPSGRGTRQRHAPKRLNDFVIGGQPPQQGKEKIENTVEQGAEPVEGVEKGAEPEQVGGGAQEQETLKPITNATETQPPTIEPNIPTTTTTTPPDDVIDDTPAGPPPAPRHLANKANGRGRPANPIPPHRRPLPKDLVPPGASILKRHGARDGAGLAAKRKDLKTDGGLQNLDANDAPPVLHPPRGPGAGAMSQMALLEELNDGGKMEVKMESAPEAIEEVVIGDDEGAAPELLEQEGGAKKKEREAGKDLPSEHFPKEKARHVSTRGLHPFGADKAALGYDLLEEEEDPAAAPHQRVLTLQGGSFIEDRIGRADMMEDEEMKGLMKNQYIMEEDGVVYEVDPNDVIEEEEAEPVGVEEEEEQYLIQQESSQQQQQQLKTELLQEDDDDDDDLPPNLIPEGVPEDVGTDVVGEDKGMPVDEDGNFDFNMMDDGETIRLQSPGGRSHDVRLVRDEQGNTVFLDENGHIVELVTDSGALVEPSGMGGAQQEHMVVEEEEEQEKKQIVGGGAGGGGEVGGARDGGGLTNPFFTVTVSNERANIEFMNSCSFLDTNNICCGLCGEIVLYEKLVSEHLPNAHPEYLAPGIQLEEVPYNSWLKSRLKHESKMMENGFRHYDEHDGGGFGGAMRLYSKGLRQMRKVSQIRINANEMTFDDLEKALKRKIIEKMGRKVPVSLVDRLHARCDICQAVVSLNKKFEVVHLVRHFNAWHPAEHRCSQEWKDQPTVSSNSARRPLSLHDFAVVSTETDQNNLQCIWCGMMMDRKALGMHFSEIHSQQIIVPNCSLCLQEMVATARLMEKYGEDYGISLPDEFHLFSGKLNVKCSTEKAMDKAIDKYLKRASKHANENNEDEDADDDTTISITNSQQSFGRRNRLKRKFVKPCFRQICPTNSEYFEAKSACEWKCRLCSRAVYGAVISAGAIKHFKEFHPAEIDRMQYELVKARLERIGDGSMEFVHPQLVECLICSLTYALHKPFNICRAIRHLRLKHPEVMPETSGKSISGAEIAETTNIRKPAVKKPVIRFGDLITDPIELEKFRKENFDQQFDKIQVVYGIKKNNEPAYILLMENETMDHKTAMEMAEKLRADEPPQEAEPELRTEVKPIVMEYQEEEEIMQEENDVVGEVETEFMPTYDANNPDHVLIEENEIMAEGNYEMIQEGDYQEIEEDNRTVRYLTEEEIREAQARGEILEFEEEEVFEEDYQQQ >CRE21597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig513:9889:13775:1 gene:WBGene00072744 transcript:CRE21597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21597 MPSISKTKSGERYRAPTDQARKQDRKKENKRNKRDRQQIRQAMAKFCNLDETTSKLLALERQILGLDPQPFHIDVLRKKQKVLQDSINKRRLTLQQGKEENELKKFNEKINAYHADCQKLALLAQQARLAREADPDMIPLPMGEVSMAGPDRNSQLLAPAMIKRKVDFQLPPRPARAGQKPPGPPCGLAPNFSDSEEEDEGINDDEQYDDGDLAPVPIPEFDNHYPPMHRNCEFLEGKIAENR >CRE21598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig513:6354:8388:-1 gene:WBGene00072745 transcript:CRE21598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21598 MTNEEPVPSTSCVLSAAEKNVKNATPPSSSKKRKGLDIDALWRKKLKDVENQVSPEKSDTTSEGGTSSESVVVKVVKTTVSEDEEVKSTTLVEEVKKEGVEDVV >CRE11493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:10599:12076:1 gene:WBGene00072746 transcript:CRE11493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11493 description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NH32] MTTVEGIPNVTPYVTYGLSKDSLRWTAKATTTSWKDQGSHGYIRYTHRATMTKMVAGDVYYYKVGSSQDMSDVYHFKQPDPSKELRAAIFGDLSVYKGMPTINQLIDATHNDHFDVIIHIGDIAYDLHDDEGDRGDAYMKAIQPFAAYVPYMVFAGNHESDTHFNQIVNRFTMPKNGVYDNNLFWSFDYGFVHFVGLNSEYYAGKMTKEANAQYKWLQEDLSKNKLKWTIVMFHRPWYCSTRSSGGCDDPTDMLSRKGTNDLPGLEKLLKDYKVDMVFYGHKHTYERMWPIYDKVGYKSGDAGHIKNAKAPVYILTGSAGCHTHEGPSDTTPQSFSASRLGQYGYTRLKVYNSTHISTYFVDTDDKVGNFLDRFYLEKD >CRE11500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:8822:9961:-1 gene:WBGene00072747 transcript:CRE11500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11500 MVVSDGWSSYGGISLIQANFTHRWVNHKLNFVNPTDRRVHTQSIEATWGAFKRELKSKFGIPDENLGEYMAVYMFRRFFRRERLLNNLLIEMKTFGRRRNQLETTSQSPSDDSSQDDEEPIEDPEDANGTYRSENEHSDQNLIDVDNLPSDDEEIYPASDDSLPHNPSTMESDSDSDNSRPPPEKKSKRKLSGSSTQVTGKQTRRGRKPGASRRESVNGGGGTSGNTHVRGQQRRGTGAGRVQSTSGQRRTDGNTPPLRRGQSSDRGQTQRRGRQEQLSDTQDRGDVTIRRGTLRVRVNSGSVMGDRRGQSPTETGGRRPGRAQRARGRGQNLRRGHSSRVQTSRADAVTRTQQSGGARGRGRSSRGSRSGRSKGGRGK >CRE23784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig557:2819:5765:-1 gene:WBGene00072749 transcript:CRE23784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23784 MIPKSLLAVLLVTLVSCNNTTIDCSVEEHSCFGTPANCQPDTDCNVMFHFDPQGNLDVKMRDVVDYNRYIAVAVSVRADLATEYVICIPHQQRRMRGVAKQGEPIQIVEQHMARYVRDMSDDEFVCTFLKSELPNDFSNQDVFFFADGIYSENMIVPSVEQLHLLTPTYFYSYPVAARSFERSDSVLSVDESKRTSDLLGRMRRISGGDDDEELGALLGKKHRKSEESEDEGEEEEDLDDMLDSGKKYSTRRRQEQKRRREEEEAEEEGPRRKHNSRTRGSRNEEDEEKEENPRKKSRRPTSRRENSDEEGEDVFDDDKDVKPKKKNNKKEKGPDEEEYHDDDFEDSSNGFHLFNLLALIAALVFFIIDN >CRE11613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1697:5517:5973:-1 gene:WBGene00072751 transcript:CRE11613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11613 MMSLGLNDQIQFDEEIQSMTENRCNIIGADMARQNATTREKYDKIRGQLFVGNVPDTLKLYRMMIDSGSKEVEILKIDIESSEHTALDVRFVAILKIVCQILIEIHRYPARQLEMLTKLSRLVVMVKILRKVR >CRE17751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1430:1139:2089:-1 gene:WBGene00072752 transcript:CRE17751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17751 MDVILIDFRKAFDSVPHDLLLFKLMHFGLDSSLCDWFRSFLSNRESRVKIDDYISDNSFNNVSGALQGTVTGPFLFLIYIIDLIQSLPSDMYSIAFADDLKIYSENPASLQETLNVISDWCDQWKLQLAKNKTVVLHLGVSNPHKDYFIGNAKLASANAARDLGLLVDCDLKFEAHIAKIVNNAKFNCRRILNSFRSNNIKFYFKLFNSFIRPTLEYACELFHPSNSLSTSQLESPLRFFSRQVFHRCNISFQPTSSNAHLSPYERRLEISSQMSMYHRRIILILKTYFKIVTHQCHFPNLALYVKPALSPGSHIE >CRE19939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:36227:38104:1 gene:WBGene00072753 transcript:CRE19939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19939 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3N8E1] MDNSNNSSNQLARAEPSASGTRQRGRPRRTVVPKTVFDATPAQCKSSAAIIASDTQNKRGPKRKEQVVDGRDSTEERDSTEEDKSQKRNKLIPTPSAPSTLSSAALPSGTPLRLTPLPLLQASTSGQSISNSSLARNSTSKAGTTHKPAIDVRARSRPFEVLAALRGSPLPDLQVTSTTSDLLAPKVCTTEHPARPAPRPAAPSTATPSNFQFASGVGTRGTSAQASSTTVAVAHRFGLQKQENQTGLQQKQRFATKHLTEYVAKNASQGVAVMSMKKKAEKQGNHRNVSLEQHGTDNMEFPPYNTEEGEMRRVDEVQLNHNVKCDVDDEDVMVIEEKLATGNVSTHNVEPVTAQVKQQYLRRSYPVTQSSHQLMPLLGYHQETQHENKQAQSSRVTNFIQNTSEAPRFEKMYLTQEDLMDVKLGKIETQPLQAIKFNCPFDLPKENYFKVKNISEHRIGIMINVSSGDRIDLDTQLACLRPLKSITIKVTTRSFDLKEFTKDFIAIEWMNVLDNTVLTNEWFNGDGPRNKKTLIVEYSL >CRE19960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:38858:44931:-1 gene:WBGene00072754 transcript:CRE19960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19960 MIGRKRFKKEIPVPLTPEDRMNKSKAPCVMFDCPPKSAPPVYGYSLNRKARDGSKFECLSPHSDSRHSLGNNFFFSRPNSPYDQYFSQNIFDSDRIDYAVRQNKNSEVVGRLDTVKSVGSGKNRSTLEKKLSNTPRKVYQFGTPPRDGFKTFEMFVPRSPDQPHSFAGYSKLLYSGYPKILAAKRLQDMSALGINGHFENLFTTPSKKNEPSTARSSTHKEQTIGSGSTLNSTYVEDDQLANANSQIMDLLRKKETRSALETAKLSRKHQGQLQSSQHKKKELGNAEKRLQMILALDQAASLVKRNQNMTILNSATLIDIDQEKEDIIGLDKFSESDFSKSELEKSNVGTGIVLPKENQDSSIHAKPSAVVDNDICSASAVQTSPAKEDALIEAEKRMQAYLMAKSAQTTPMTSGTAFNRFTSDMSTKADKVPHITSEGIETLETVSNKLPMPYVMDQLNQNTTDDSRKPETGDSLLCSPVKDDEFADAHRRMMEFLKTKGNKNGGKTFRTSSTGNEVKNMIRPIFQEPAVVLSEKNSEEPQLGQAEDSELEKAAERMQKFLVSKQTGPAGKPVRQNFLSAGTSLCENNTIMMNNKVTSETSEAIGCASLETGLSTSAGISLSGNNRKTAIIQGTLQTSPAKEDALLEAEKRMQAFLATKQARLADKKILEPTTVDLVRNTGTSISQQTDTFPECNPKQPQVNQGDSSIDVDNTAKQVPCKTTNATSAPLTSISLRGINTNQPKLNQAEDSELEEAAKRMQIFLASKQPIPAVTRNIILAASAQSQTSNAKIGLQETPDSETRDFLSAGGTLCKNNTIVMNCKVTSETSPAITEASLEVGSSVLAKTPMVGSNRKTAIIQGTSQTSPAKEDAILEAEKRMRAFLAAKQAKSADKKLSEPTTGDLVRNANTSISQQSVRFSECSSKHLKGNQDANLNDVDNTVKQEPCKTINVTSAPLTALNQQEINTNQPKLNQAEDSELAEAAKRMQAFLASKQATPVGKQDINVTKLIPTKPIHTEPAAVDTVIKANEQLESVIAKPSTDKTELELAKITDNKTHGSSAFAGKSQDGNYQKTAVIQGTSRSSPAKEDAILEAAKRMQAFLAAKQTKSAEMKLLEPVKLAVNCLPADKAEKTAKPLDTGMTVKNKLPLPLVLDHNNENSTTEGKNLDIEDSLLCSPVKDDEFANAHKRMMEFLKTKGNKNGGKTFQTSSTGNEVRTVDAPTFQEPAGGSNRETAIIQGTFQTSPAKEDAICEAEKRMQAFFAAKQSKSADMKLTEPTTEDLVTNTETPISQQTDTFLDCNPKQLTGNQGENVDDVDSTAKQKLCKTTNVTSAPLSAISLQGISTNQPKLNQAEDSELEKAAKRMQKFLASKQPIPADTRNIIVAASVQSQTCSVATDIQSDKVEKSAKLLGAGVTTSPAKNTEIEEASKKMLEFLVKKKTAAIMTPVPVVTGITPKSCATPSALMPIVPILLNPVPLLETVKNTTIATPEKLKTVSYIIKLHFISIENLYYQNVAEENDQMTPIGKGESERDARVSTPTRFISSPELSIPSTPIQSPEKPVAAEIEVKQVVVVQPPPSSPPIVMPGDPMWLAQQMAMLFGHPMLIQPCIEDKPKVVEAVVPLLSLPVNCEAVSNALTKIEVTKRLNEIQSHTSCQLTIHHSTPKKSCLRKPDLFDNVPDYISHAKTPCQTPMKLQFEKKTRPPKPIYNRRERLRRQPINLIFPGRAKKSVKFQCEANLISKEPTYDEVVNGGGSGFKILSIVDYNTNTVCYPKYIPPSDFCRK >CRE19940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:47326:48303:1 gene:WBGene00072755 transcript:CRE19940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19940 MTAKISYPGLKCIIEFLEVSPRFRMTCRSSDLQKIDNRIPLRVNSLKIWKNKVSLDDMSYCTDQSGLNEQKRMKVDKSKEKLLRKYFEGRTNIHVNHVVFHETPVYRRILVTLQLNVNKLEIPSSSFVDYLDNIDTNCLPLKNLILSLYEPFDVNHPVIDSAQNVILRLIGHQDKLNGVEKLQREKLHIENTGFEQVDVVGIIRNWMENGKKIGTKYLLSGDIVCIYNTFLGIEREFGDFTKTLEEVNEPILDSLPQFSIPMSSTANILVYGTEKLVPRIVYRLVLRVVAVEE >CRE13162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig700:1286:2540:1 gene:WBGene00072756 transcript:CRE13162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13162 MVNVMNISRKINYSSKNHDLMALVCFSGFERLCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGKLKMCDALFRGYQKIRKKKERGYLKMCDALFRGILKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGKLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGYLKSENVRRTFSRISEDQKKERKRKTCPSPYAPSHHHLPNRFV >CRE30389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:120490:122100:-1 gene:WBGene00072757 transcript:CRE30389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30389 MLAKKVIGKCVACKITNGYPFAYPFTATLPSCRTTPSKPFSKVRLDYYGNIVYHRDDGKSYGKAYVRIYTCLATRGALLRLVPNANSETYVKTLKMIFTEVGVPSEINSDNAGTFKLGAAIINKDIDHFVYSNTLTQFLATTSITCRYITPLAPWQGGIYERIVQLVNRQVLKECGSRVYEYHDLSYVISGAQGMINNRPLIPHARSPGDLIALRPFDFSNPGVITEIPSDHDEPPNPTGVTEASVRAHLNNLEETLERVWKLWSIGYLTFMREAMHQNRRCSTLVPEVGQLVIISVNLLKRHKWPLGVITKVNKSARDGQVRSATVMCRGTLVERPVCQLIPLELTSLNHQCNKDMSEDIKSNDAGISEKVAPGLIEDTNPKTALPTPATLESLDITYAPELFPANVFPNIAAKSANHPAEKGTAEDEPEDHKQSNKTQLGTSTNPENIILEDTYSSEDGVYQDPQNTLPDIARDYGAENLPEGRSRDYHPRRAKATHINYVHTADIKILSRPSPPECCQLYHAMHSFDNLKALY >CRE28673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:182269:183466:1 gene:WBGene00072759 transcript:CRE28673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28673 MSPINDASIKKNTESNRMQKKKPNPRCVKCRRQEKEGTKKPTPPSSRPQNATDGPANKNANKNANYGQEDEDGDRPLSIKFLIPSSTVGAIIGVSGVVINTLRKDHKCQIQINKNETYPGTTEYICFMKGRLNDILAVIESIQDKIRKKCADQVGNDEFDFDTPRGSEMKIVVPNTSAKMIRAKDKTYMKLIRKRFACQLEIYPKGMSVAVKRERVVTVAHEESATLLKAVRRVLRKVALDPHHCSEIKDEDFKDNKKSQIVRSPEKVEEIEEMKPFLCTESGKMCFNRLKEKYMLTGEIGGCPTPVSDHPTADDIRQWAIGYEEIRKY >CRE28772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:184152:191498:-1 gene:WBGene00072760 transcript:CRE28772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28772 MVHCHALGKALLTMIPVKGCRSRSEINEIEEDTDAIAFANFVDYYSAGTVELLFVPSTSQYFFLELNPRLQVEHPCTESVCDVNVPALQFQIAMGRALKDIPCVRRFKEREEKGENGKMHCMAARVTCEDPNDRFLPSTGTVRSLKFNSTSKAWAYFSLSDGSTVHEFADSQIGHVFARGRDRSEAITNLKHALHNLKIDATFPTQSDYLIDLLSLEKFKSNQYDTQWLDQRIAKREQQKLTLPVDHLIAISSAAIGRSKIRGIFEKYEKQLRSGKIVLPVELSRSTEVELQFNNMKYLIHVYEETPFKYHLRIGDSQQQTTVEMLKYGSQNLAIHQGKSTDYVLEETEEFYFIKIGGNKLKFSKMDTNDASCLRSPYTGKFLEYKVQTGEFLEVGQTYAQIESMKMVFDVVTKVAPGRLIPIAKEGDLINPGTILGRLEIDKAIQDQLTTSEKFDGEMKGWRVEEKTDFEKAQLILEGRGPMDYPIDSVVNGLFQESSSESTCDKISELIQFFMETDRFFDSRNGFDESVQQLLEKEKMNYERVVDLIHSNTHMKTKEKLILTILGRIIESGIDFGGSLRELLKYSQMKQLKSISSLTARVIYRYFRKLEYSTTDCKVDMKKSSFSTNVASMIFKNDNLFTSSAEFSSVIDGALLEFECLKSEFKTIQFVANRIELSIDFSVSDAENIILENEKRLEEAGVKEIILATSSSEVLRFCLEIEGLISKESSSTVIPEFVAPDRRDLKRYVARLNRTTYIYDFPRLFCHVVAAPDVSQHPQEIMDLQELYIDENHQLQMISDSEELEKRAANGLNTCSVVAWLVKLKTENDREFVLIGNDVTYQVGSFAQPEHELFEMASKLAREKKIPRINISCNSGARIGLARDVLDILKVKLKPNGHDFDYLYIDSSEKERIGDQIVYEQHDEELKLIAVKGKKNEFIGVENLMGSGAIGGETSRAYREIPTYCYVTGRSVGIGAYTARLARRIIQHKRSQLILTGATALNTLLGKKVYASNNRLGGVEIMANNGIAHSVVDSDVDGVRKLVKWLRYLPVKQKMFPFFKCFETTPEDVKLEDVEVNKDEMQTDVRRVIDGFDGRQGIFDAQSFDEICTSWAASIVTGRATLKGLPVGVIASQWKSYEKRQLADESVEKSEETIVARAGQVWYPESAFKTSQAISDFNRESLPLVMIASLRGFSGGRKDMSDQVLTFGAHIIDELSQYQQPVIVYIPAGGELRGGAWAVVDSNVNSGFVHVIADESCRGGILEPNAVVGIKIRDAQLGRIMERSGVRPDGVEDSVKSAFKKACVDFADMHDRWQRMEHVGAIRHVTSLQNTRDVFWRLLRREMILIEMANQYRSAPILPKPTNSEALEWVKQKVGDDLRKYFNDGFYGDVTQEIQESRRRFEKECATWHHTSQ >CRE10113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:985354:987680:1 gene:WBGene00072761 transcript:CRE10113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10113 MANCLMQINQCGFPNCAQHFANQYDLTAHIEYTHIPVIEEDVKRKKQLVASNTNSDQPVQSPAMNLPLSYYSRVFRTAYRPNPIKPEPLKVSFNHFKRRAQRERVIQPNQMAARILRDMMARQGRHLSIEEAEKKLMDIDFEECKPENNEVRYRCAIVDCNKRYKSMFALRVHMKIVHNVVVSEEAKSLTNYPSEDVKPNLAALQQAMLQQSQGNVVSADSSTSGVPTTVSSNAPGTPRTQGSPHGGSTPTFGNPATNTTSFKCSYCSKRYKTSSGLSNHMMASHQKISDVQDAPSPQVVEQLISQVRMQRQQQEQNSEQNQQRKVPILNTHGPTVASSAANARTFSVTTTQQPIRFTQPHYQVNLDNQASTGQVLQMQMQHQKRLEQIQKMKQQEQQQAAQTISTAVQQQQNHHQHQQNIPMQQGQFNSQMNQIGQNSMQNSPQAHQMGQTQQQYHQMPHQQTQQQQQQHHHQQQQHQQQQQHQHQLQQQQQQQQQQQQQQQQQQQQQQQQLQQQQQHQQHSPYPQQMHQMAQHSPHSYPKYQHQQSPQFPQYQQPSPQQHSSGPPPQQSPIPLSQSLAPLNSMTQQQRAPVQQMPPPTVSTTPKVFLLYNFQATGMQFSPPNSQGFNMMPSPTNPTSSQHISSQPPPYNN >CRE10114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:988088:990695:1 gene:WBGene00072762 transcript:CRE10114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpsf-3 description:CRE-CPSF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M6A3] MEENNDNSDSLSFTPLGSGQEVGRSCHLLEYKGKRVMLDCGVHPGLHGVDALPFVDFVEIENIDLLLITHFHLDHCGALPWLLQKTAFRGKCFMTHATKAIYRMLLGDYVRISKYGGADRNQLYTEDDLEKSMAKIETIDFREQKEVNGIRFWPYVAGHVLGACQFMIEIAGVRVLYTGDFSCLEDRHLCAAEIPPITPQVLITESTYGTQTHEERSVREKRFTQMVHDIVTRGGRCLIPAFAIGPAQELMLILDEYWESHQELHDIPVYYASSLAKKCMSVYQTFVNGMNSRIQKQIAVKNPFIFKHVSTLRGMDQFEDAGPCVVLATPGMLQSGFSRELFENWCSDSKNGCIIAGYCVEGTLARHILTEPEEIVSLSGEKLPMRMQVGYVSFSAHTDFNQTSNFVKALKPPHLVLVHGELHEMSRLKAGIERQFQDANIPIEVHNPRNTERLDLQFRGEKTAKVIGKLAQKMPENGEIISGVLVKNNFSYSLMVYEELGSCTSLRTSSLEQKMSVNYSNSVRLLLFNLNQLNDDATLLQNAKLKEMSKKGTITHAISIFQVGLALSEIMNHLSSGKSYCFILRKRSCFSDMYADSVTAAILHAQANPVPEKYLPASSSFPPFNTALEGMVKHICGDDVSLVMSDRGLLAQFEEDGRRLPVEGNPDGPVTMGGDDPMDDPTTSHILQGLTEKMRQIVTTNNETDLIDDMEY >CRE10115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:991277:995270:1 gene:WBGene00072763 transcript:CRE10115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-26 description:CRE-UNC-26 protein [Source:UniProtKB/TrEMBL;Acc:E3M6A4] MSVRGIRIWRRNDARFQPSILVEKNGLDGSLLFQGGAIATLDSDSTEVERRSYQKIVDAYGILGVLAITKDESVLVAVTGVLSVGQLYGADILKITNVEFISLRTFGSVENVDSRIVDLQRLLSSQMFYFSSLQSYDLTRSAQHRDSHDGSDARFFWNRSLHFSFQRYGIDTDNWLLKCMAGSVLVRVVYVGANTGRVALISRLSCERVGTRFNVRGANYLGNVANFVETEQLLLFDEKECSLLQIRGSIPLFWEQPGVNVGSHKVKLRAFETSLPAYHRHLSQLKHRYGEFAIVNLLGRKEGERVLSDAFKTQHKNSHFAPLVDFIDFDYHAQMKLSKEAIMHLKKKMAPHMERHGFFYSMGNDIVKRQSGVIRTNCLDCLDRTNSVQTAIGLQMSHDQVAFLNLNAGKVNVEQRVEEILKDLWQKNGDQCSTIYAGTGALDGKSKLKDASRSLARTIQNNLMDGAKQESFDLFLTGAAYDPRLFDRACNILPPSLIQEYADAVSQLVERSPEIAEPQSIKIFVGTWNVNGGKNIHNVAFRNESSLSHWIFANSMTRLVSVEEEQLADIVAIGVEELVDLNASNMVKASTTNQRMWCESIRKTLAEKAPFVLIGSEQLVGVCLFLFARPKISPYLKDFAVASVKTGMGGATGNKGSVAFRIVVFSTSICFICSHFAAGQNEVKDRNEDFATTLKKIRFPLGREIDSHDVIFWFGDFNYRISLSGEEVKTAVRNGDLSKLVEHDQLTQQKALGQTFAGFNEGQLCFAPTYKYDTFSDDYDTSEKCRAPAWTDRVLWKDQRKKGNTLLLSYDRSELKTSDHRPVGAVFKVETFKVDGKKCVELIEDVVESMGPPDGTIVVNIVGKQRFPPQMFPPIHEKLKELGAMVQLSKFDNGDLWIVLNSGEMALAALSMDGLKIGTDQISVKLRSPDWAYALKPHLSDFDLESFEVSPDEETLIGRADGAVFEFIFQISDEDEDSISVTNMTLTGSAPDRPRPPSARSEAISMANLEWPTERQDVLSTSMPTRASSASLANSSWYEHVPPLAPPTTRPTPPQSNNNKTPQQACLFNPFTQSSPAPPPPTIPLPPTRGASVGPGPPAVPMRKAPPPPPRPVIPPRPKNM >CRE10283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:997110:998684:-1 gene:WBGene00072764 transcript:CRE10283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10283 MRVVKSAAGRSMITFVFATVFCSLMAKIMVTRYFFDYPVVILMMQSAATLFVIEVARVLGILKVAPYCFEKGRHIVIPSILYTISQWITVASFEGIAMPNFDSVKRFTPILILIGLAARCRQQKVDQNRTFIIIGLSIASAFAVNLDFSIDRYSLMYGMVGAVLQAAALILFEEHLQTYNYTEVLYMHSFNSLVFYLLADMVRDELRDAFMYMITSAHPLFIIVFAISMLSGVLFHFTAFSCLEKNGSLNMQIVSNIRAVAETFFAYYLSIYLFYDVYPGVLNWAFLAITLAAARALYSRDSEPEIVKGPWMTKA >CRE10284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:999201:999882:-1 gene:WBGene00072765 transcript:CRE10284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10284 MFFVRIMLLLLLFLFLLLITNILTPNDKKQLSTILSSNYKHFPESSFSFFSLHSVGQIFPCLKYFLISDYIMRPFLLLILLIGVVAAMRDQSIAVKGKLVCGPKPASQVRVKLWEEDSGPDPDDLLDQGYTDGNGEFNLKGGTAELTDIDPVFKVYHACDDSKLKPGLRKIRFKLPKSYITSGKVPKKTFDIGTLNLETIFAEEEREILPK >CRE10116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1000803:1001313:1 gene:WBGene00072766 transcript:CRE10116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10116 MKFTFLLLCAIFGCAIAMRQQSVAVSGRLVCGNKPASGVKVKLWDEDDGPDPDDVLDEGFTNNDGTFRLEGSERELTNIDPVFKVYHDCDDGILPGQRKVKFRIPNSYISAGGRAQKTFDIGVLNLETIFPKEERDLL >CRE10286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1003047:1003968:-1 gene:WBGene00072767 transcript:CRE10286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cox-11 MSLSRLWGAARSCCSVISVSISPFSTLASLQRKTSVVHVQIVRQFSKKPNRKIESADTKNYTYYVMSLVIVAIGCTFAAIPAYRIFCEQTSFGGLTQVAKDFDKIANMKKCEDRLIRVQFNSDVPSSMRWEFKPQQHEVNNSIESVFFNSFFQIYVHPGETALAFYTARNPTNKPIIGISSYNLTPFQAAYYFNKIQCFCFEEQILNPGEQVDLPVFFYIDPDYVNDPALEYLDSILLSYTFFEAKSGLKLPDPFDPKNRPSIAPSPDKVPEATK >CRE10288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1004861:1006644:-1 gene:WBGene00072768 transcript:CRE10288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-54 description:CRE-LIN-54 protein [Source:UniProtKB/TrEMBL;Acc:E3M6B1] MNEEEIVYQGEDDYYEESEIYGNYEEDGAEFIEVDGRLVPHNPDQRNRPGPSAPQHSRHGEVSGNFGNWNFLFNIFQTAIKEEPLENASHRLYMPPPRSVQRKPGPSSGGTYDPLRNIPTVPPMYSRPSAHPRPAGLDMGGTDQRKAFNEMKEQVNQVRLKTKKKVYAPGQRKPCNCTKSQCLKLYCDCFANGEFCRDCNCKDCHNNIDYDSQRSKAIRQSLERNPNAFKPKIGIARGGTADIERLHQKGCHCKKSGCLKNYCECYEAKVPCTDRCKCKCCQNTEAYRMTRFKNSGSLPSTNALMSLTNASSTATPDSGPGSVMTDEAGDDYDDMMLPQKPKAEMDPRRFPWYYMTDEVVEAATMCMVAQAEEALNASFSEKMQTEDDKIINMEKLVLREFGRCLEQMITNTTEATIGLESSTTEAGPSTSSS >CRE05353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1812:135:6690:-1 gene:WBGene00072769 transcript:CRE05353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abtm-1 description:CRE-ABTM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NTI0] ASGQLTGYQLVQRLLKYVWPKDKPEIKRRVLVALSLLIAAKLANVSVPFLLRDVVNYYNGKSPELLKLTFDGTPSQTIFTAGIATCIAYGMARATSSAFNELRNAVFAKVAQNSVRSISRSIFLHLHSLDLSFHLNRQTGALSKAIDRGTRGMSFVLSSLIFNLIPTAVEIGMVSALFTGTLGPEFAYMTMGSIGMYGIATLGITSWRTKFRHQMNQADNDAGNKAIDSLINYETVKYFNNEKFEADRYDHYLKKYEGASLKTTSSLALLNFSQNAIFSGALIGVMCMAGTRIAAGDFTIGDLILANTLLFQLSIPLNFLGSVYREVRQGLVDMNQMFSLLTLKPKIVEAPDARQLKITGNDITLKFEDVHFGYLPEKPILKGLNLEIPAGKKVAIVGGSGSGKSTIVRLLYRLYDTEHGTVRINGAETRELTLESLRQAISIVPQDSVLFHDTIFYNLAYGRPTATKDEVIQAAKMADLHESVMRMPNNAPFVIYDEATSSLDAITESNIMKALKGAVEKRTSLFIAHRLATIVDADIIYVLEEGRVAEKGSHFELLAHDGLYAQLWKSQNIHGVAVSLSSWKWAELD >CRE11537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig738:2673:3152:1 gene:WBGene00072770 transcript:CRE11537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11537 MSPTSLVLLITAIAVTPIYSASAQSSGAVKVGDDCDLGTRHRIGKGSYYEECVSKYETAGCFASWETDESAQFVKHSETIDRMYKNNAVGFRYKCEMSNGYISFAPIGCVLNKKDGLELLELNASGQLDNGQNVKCKQNNEGEFSFEFGSEAAAGANSQ >CRE11538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig738:6182:8885:1 gene:WBGene00072771 transcript:CRE11538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-3.I description:CRE-EIF-3.I protein [Source:UniProtKB/TrEMBL;Acc:E3NN26] MRPLSLKGHERALTRVRFNREGDLTFSCAKDKKPCVWYTENGERIGSYDGHNGAVWDIDVAWDTTKCVTASGDLTVKIWDAELGSCLYTINHQTPMKSCGFSYSGNLVCYTTQKMTKNLSTVQVRDLRDGNQMVEGAESFFCSTFDVNATTALFTQMDDLITIGFESGLLQQYDLRNPDSPIHTNESVHRVSLAENWWKIGDFRWRSTEKRGVFNTGIANFQLRI >CRE31571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig567:2542:10733:1 gene:WBGene00072772 transcript:CRE31571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31571 MPHTNGKNGGQHSWKGGKRKRKFDGEHDAGIQKILKEKRLQKKRKQDLREQEETMLLELQTKYKEMEKKSSRTFLRFTDFPLSWRTQEGLKENEYTKPTEIQRDTIAHSLSGADVVGAAKTGSGKTLALVIPILEALWRARWSPEYGLGALVISPTRELALQTFSTINAVGKHHGFSCGLVIGGSEVSYEKNRISGINIIVCTPGRLLQHMDENEQMNCDSLQILVLDEADRMLDMGFAKQLNSIVNNLPSERQTLLFSATQTRNVKDLCRVCTNDPVFVSVHENASAATPDNLKQSYVIVEEESKINTLWSFIEAHKKKKSLIFVSSCKQARFLTEVFSQLRPGLPVMGLWGTMNQKKRIETFTKFDEAKAAVLIATDVASRGLDFEHIDWVIQMDCPAQIDDYIHRVGRSARMDDSGNSLLMVTSSQESPMIAKLEKHNIPIEELKIHPDAVTDVRLKLRAMLAESPELKEWAQKSIVAYLRAVHTMRDKRVFDVNSINVAAFSDSFGLVSVPRLRFLKGQKTKEAPEVVEDSEDPKTTESLIGQFAIDEDDDEIFTLKAPKTGENPEKPEENAEIPAENAEKITLKKGKPLKKALTKVGAAKKILNKKLRVNTKKTFDEEEEGTVEGPSTITSYGLDIEKARQELKSGDKADRKRFKELREQRRQEKLAKKNKKKDEEEEGEYDMESDDDEPDISWLPDPDAVRRKYGQTEEESDDGDEWAEPMDTEDLEKQALAMLGNRRK >CRE29333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:57425:59702:-1 gene:WBGene00072773 transcript:CRE29333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cutl-19 description:CRE-SYX-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MXY4] MNQKIGVRVEMLNLIYENETTITSTGHPRCRLTLHKSGWDRDTCSSPQFKLNDTLSWSTRVCYKWVCDTTKYAMRVESCWIGTPKMPVFIVRDDGCTIEKAILTSPVYTSFNRAAAIGWMAVRQKNMKYMHVGCTIRLCHLCDPACQEITPPRTCNDSRANDYEAMWNSSSKVKNLCYPSPSTTENPDGESSCAYFLNFVNVIILILVLLFR >CRE29335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:68674:69684:-1 gene:WBGene00072774 transcript:CRE29335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29335 MELAFPLFRLPENVIVQVLQNMNPDRLLIISLVSTKTKSLVTSLGLKARNIYIAFYHEVSINVNIERFGWNLMLRNDPNDQNAEFDITRPVSASCLCLDKPFQPSTPFNFNDWLDHILTVFCYTKPIDVEFEPGSEQFEMEWLKNTLKNVDFATIGGVTGIRTKEILKNFKDLNELFLRNNPFEDSCEVQKLFIENFKAIIFNDVYSLDDMLLANSEIVNFRRPTTHKQFNRFVKHWIRGSNPRLQCMSHRINNSDSVSREVLLKGINCFDVAEEEQQEICQTHGIISDDMVKIRRKDGTPAVIATKDFENILHIRFLVFY >CRE29297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:71058:72107:1 gene:WBGene00072775 transcript:CRE29297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29297 MDPTFPLLRLPDNAIITVLKNLRLGQLFDFSLISSKTKNFVTSSGFRARNVDINIFSRPIYVTVYTQTSQLTFAFYNDQNRIDMNRPIAAGFEYEYTKIRSSTLFNFSDWMNHIRTIFCYTSPPNICFYGCAQYEIELLRETIGNVNDLYVSSLLTDVMSREVLKEFNTPNKLVLDNNPFEEVSEIQQIFIQNFKTIVFYDFFSLDDMLLINIERANLYHPISQKQFNQFLKHWIRGSNPRLQHMLLIIDRADFVNGEVYLNGIRCTAMKEEANQDICRKHGLLKGGMVQIRRKDGTPAVIATKFLDDRLYIHLIVLH >CRE29298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:74194:79196:1 gene:WBGene00072776 transcript:CRE29298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29298 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MXY8] MDPYDVQYVPGVPANHSTMQSPAQQPQMKEEPKSNRVRWRYEIPPRDPALPPRTRKPKAEIFIDYSTRRSSKGGGKDTETKRRKNRKKPRRRVTEVTKSSGTQENVAENSHGHHTRPEDEDETAGPPADGFPTGNLSSDGPRFRDFVPELMESRTRSAGSSSSGDPPPPPRRQRAQKRKQAAQGRGAKRNDRVEEDPDGAPTPAPSQPSGVVVARLGGPEGIVLIPRDENMGPVSANENGPIEQRLGGNAENGQDAPNNDQNAQEPAPSQRNRNQEEDSHDVELTDAPYDDQNAQYDPRLDFEQHLQHENQVMEQAVNEDAAQHIRKPAQPVQVAENQVAENQVAGNEQKQPSRAFRYRDPHLEEEAEEDDQYYDQHIQEPVPLQLPHTVQTAENEAPGQGARNDNELADRIHALAPPPQQNWNQVKLEPNVDIKVEVEEFFDMEHLALGNVVGEVGEVDEEDVVLEDVPPRNMHDDDEIIVVLDEHVSADRKLTDAQIQDSKRAVPGELRTDPDEIIKSNAPFEISQAFNIKLWNKSNRRIAFKLITDSNLLEFHPPFGILEGQGGRTFVKIETKVFKFRRGFSDRILIEWMNGPRHGDVEPNYFMEGGMKNSKPLTVEYSR >CRE06180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig373:15014:17223:-1 gene:WBGene00072777 transcript:CRE06180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06180 MLSELPHPSTPMVSSPPDCENFSPPQNHTEWNLTPTNTSPSNCSTDSGDVEMEQPEEQKKTPNRKSRRLHKEINSDDNELLANYHNGLGVVERGTQIPIGLGRTPFILKKKDSAEVARQKNKLKIDMVPVFNKMGSFPEEESSQSSSKYLQASLSVIQIGSNHQAEIPPMEEGRETGPDREEAMWIPPKEHIDYNICRNGYWRAVWRQFEGQIPFETALQNLMKRGYSFGESLETIDQNLKTLPQKFKPLGENQFKVFEKLLLDKNLSRRKLQEKSMKNHHIAEVSKFYHNFKNFYLGADSTEECNCRDPVCMDLNFVPRWACSNCTKALRKPVVQGDLCLICQTYQQLTGKIRPASNVVFNDEDFQKIQDWNQMENSEGRTIPMAEFEKIQEEKLTNRWMRNQLTEEEEDMIDIPHRGRWNKLTETEKMEIGAKIVEQLKPHPLPLFKKCQCEDVENVPIRAPSPVRVMMSREMILESFRKIGEEVKKLAAKRRKM >CRE06178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig373:7546:12200:1 gene:WBGene00072778 transcript:CRE06178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06178 MSPSKTTLSKVPTTKSFEPPMNVPGTSSNRSYMNSVSASPISGTSNSSPNYPLFENTPERAVGAEQQKNQTSSSTQTEELNSSERALRAQTCPVDIPGPSLQSNDASPKQAAPSQPVRMDSPAVNKPGSSQAGQKFAVPANPANNGLMDPIADESQPVQAGPAVAPSRPAFKRPYPAQGAANSPKIGKWTREFNLFTLKIILIYLSGAGQLQMMSQAGQTGQTQMMAPSTSTQKNSLRTAPAGTSQMTQVTSSNVVKSTSQTGPIKQFPTTPARLPGSVSMSSTTPVLKSNDSQARKKKSTRVVPARPKQDVLMAPSSDRSQSGEVGPTQAGVSGQTASSQSTSADLPPAPETGSSEVEQKRATQIVHPQPQETQAEKSQSAPSSSEAVMPPPRAALKRSCRSKPTVAQQNEEWQRVRMSTPIPDNSLYKIRIGQEFQTNIPEECLSNSDLDRDEAIWRSPESEESQCNPATIRKEYWCTIWRQFEGRILYEDALQHLMKNEYSIEKAFESIEVCLGNLRPRMLLRQAHIEHYKELLQEQAFDDVYYRKFDNKTEKQKDKIRKNAQKYSFAEVKEFYDIFHSRDVAPPEMTKNLCVCKEYFCRKLSFEPRWACANCTGKLRQMAAPRLCLICQAYKEKTGRVRPISDSYWSGDEVRKILAWKSLEEKLQKEVPREDFEKFLKEKERKRIEEERFTEEEERLVQSLPKGSKKPMEHWMKEIDNPFLKNCHPSTTKIDTIVKKRKDDVMNEKAEPRPKRSCVKNSSQGSSRAKENGEAAA >CRE11219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:565930:566790:1 gene:WBGene00072779 transcript:CRE11219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11219 MSSLGFQKRCLSVHGQGDVQVAANISIDLMNQPRVLLNSCNVKLTVYPNDSKFLIEAFNRDPDTEFRFKIKDVYALVNEFDLADGLSNALEAAVIEHKLIQYPMISSQVRSFYIEPNRLDAPANTLFTSKMPRRIFLGLVDAEAYNGSYEKSPFNFQPHGITDIHVDYCGMSVPGRPFSLDFTKNKFIEAYIQLQETLGHTRNNFSCNSISTKMFKEDGYTIFGFELSPVAQDNSLFELVRQTNISVRLNFRDKTPQGGLYCVVYAEFDQIFSLDALRNPIIDSIV >CRE11221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:571897:576270:1 gene:WBGene00072781 transcript:CRE11221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11221 MLILHLFHYIGFTGAQIFNAFLVLLILKRAGKLFGAYRHVMLVFTFCSMLYSGVEIVTQPVLHMKGPMFVVYLDGTAFPESLGSFIACLHCGTFGFLISVLAAQFFYRYVALCRSNLLRFLDGNRIFLIFLPCIFIYASWFQLVRWGMSATIEKRFYLKFVREKVRSTEHSELFRDDLGGADSMTASFIAPLYWQIGENGEKIWRFPDVTSAMICTFIIMTLSSLIFFFALKIFFHMKSEKDHMSRTTLELNRQLFIMLTAQTIVPVVTMYIPVGLFIALPFFNIGLGSFVNYPSASMALYPCIEPLIAIYCIKDFRMVVIKLLPRCKTRVTETVRSVYSSKTVVQ >CRE11222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:578752:584806:1 gene:WBGene00072783 transcript:CRE11222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11222 MEENPLRCTICKNEFEEPILLSCQHTTCRKCSNGSPSCKTCSPGPSTSRSHTPQPDRLAAFLLDASKEEMEECANCEEISLPMFYCETCQQSLCLSCRNVTHQARMFSTHKIISSDERSKVYSSALCKDHNEPYILYCSDVRKLVCIQCFNGRPLEERHSFISIEQGHRMCLEKIEQSAAKLRFYQSERQEELNVRQRILDENASNFDDAKTALYQLCQQIMDTVMTTRETLAKELVKQQEQSDEQCKRQIKEIEAVMGPVRLCLFSAQILCTSASKLDVLQLCPQLQKRISILLDKTVDKLPVSSTPDSIEVRSDLAKSLEPYLGMSAAWCPISVSREGSSSNSYKRGSGSHKGPMPMLSKFQATIDLAGAFGQLFGKVEHPLRQLVVELSSISQQVLETQRDLTIRRCIIEKEDVEKLVKICKKIEASLGMHSAALDGMQSEMQEIWQEQLDRVRRQQIIYREKVEEILNLRETARQILTAAKQLVPYVSCILNMNAMIDPKRCHPPDPAPMESICLEITGIEPNSQNRILAIEKEEENRRLNQEAKKKEELAGQSAVMKTLKHGKIKRKEMHHRMMLNTNRERSPGGTDTALTSPCIRRLTTTAVKEETASELDAEEFFDEIFELSGEQYEEVVDGTLTEEDRCSSALLLSLEDSISPLPSLEQLLGRMPLATRVTSDIGSSRGAMLQSLNDVFALQKPPTPENISVSEERNVLASAVRNAEKRKSLPTMLEEKIEKEEIEMEKSQQDSQKEKEKKKVIRRRVKKPNEQSEESEVVTFTFSAPPDCPFVPQDIFENNDNEKLGTFEAKERVLQSLKQKMNQKNGSVEE >CRE06221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1173:1274:3123:1 gene:WBGene00072784 transcript:CRE06221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06221 MDRLNSLLYDFSNDTPEQNYAPQAVITATAVYKFEPRSSRELPLNRGDIVRIIRDVDAYWMEGERNGRCGIFPNTYVQINSTNQSDTQKMRAIYPFTARSDTELSLKRGEIVTRLRQIDSNWLEGSNQIGIVGIFPSSYVEPLEPIQVPEVIPTTAVFPNRPKTPKMEDQVYNQMYSKPMDQPQQQYHQPVATVVPNNKVRFDLPSGSDSNSQLSLNPHPIHNQNQQQPSYGMKKIEYEREPKVEEEPDQYRKLNDEPKVAATTKKDTNILMNAASLIPKGSEMYRAIYPYQPQKDDELQLFTNDIIFVVEKCDDGWYIGTSLRTGDFGIFPGNYVKRH >CRE20611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig508:5809:6133:-1 gene:WBGene00072785 transcript:CRE20611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20611 MPLASTTTSMPGRKRRAADPTSTIYPGVIATTTASIFRDPASGSASSTSSTPSSLQPSTTQPYGTDEEFYARTDLVHFHVDINDLEGSGAI >CRE16482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig385:6518:9430:1 gene:WBGene00072786 transcript:CRE16482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16482 MKTNKYGTPCMMCDRPKKDVEFKDVDVIYENMKRMKSNQRQRSQNSLDLIRITNNLDETTKNLSVFLEQIDARWKRSKSQPTMRLTKGPSEPQNYGQERVKQTNEDELLRLKAEKLAEELKKRKGEETRPPAAPSLQNNMDRLNSLLYDFSNDTPEQNYAPQAVITATAVYKFEPRSSRELPLNRGDIVRIIRDVDAYWMEGERNGRCGIFPNTYVQINSTNQSDTQKIRAIYPFTARSDTELSLKRGEIVTRRRQIDSNWLEGSNQIGIVGIFPSSYVEPLEPLQVPEVIPTTAVFPNRPKTPKMEDQVYNQMYSKPMDQPQQQYHQPVATVVPNNKVRFDLPSGSDSNSQLLECLLFQSNTISINFRSLNPHPIHNQNQQQPSYGMKKIEYEREPKVEEEPDQYRKLNDEPKVATTAKKDTNILMNAASLIPKGSEMYRAIYPYQPQKDDELQLFTNDIIFVVEKCDDGWYIGTSLRTGDFGIFPGNYVKRH >CRE16486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig385:5129:5438:-1 gene:WBGene00072787 transcript:CRE16486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16486 MPLASTTTSMPGRKRRAADPTSTIYPGVMATTTPSIFRDPASASSTPSSLQPSTTQPYGTDEEFYARTDLVHFHVNINDLEGSGAI >CRE22080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:8907:11687:-1 gene:WBGene00072788 transcript:CRE22080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22080 MNMVRIRHIMEMRRACKAPPLLPPKLRKEGSESTDRRGPKDVMDLTFRVNTLAPERYPSSSFYLHLYESQDSSEGPWNLITETDRLGTNDTVVLEDFLAIEFSFERLQWFKMEISELSENGVKVFKASEIFSIAQICAGPIIFPMVDQSGYRVSEVEIWSQLRDRTQPIVMQLEAKNLSTKLVSSNSNVYIEVYRVDEDQKRMLYRSEVAKQTKLTWRPFTVQSDDLYGTDGMESPKLFTIPSVKMTMTNWLIDRNMSTGIEIVDTCHDSCPPLKLEEPDDEKGTMYWCHPTKNGSQAPPTAVAISQHKNPFAKVLNMVHPTTPESSSPSFRLDVPKQ >CRE20984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig274:75317:82546:1 gene:WBGene00072789 transcript:CRE20984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20984 MSNRRVSLPVALAIPQQFPSRLSPPSPSPPGNRRSLPTSPICRRSLPSTPRTVSPRRLNNLLPDVDEHCASTSTTSRYQYSLQTTASIMSSTNSEDVDDDIKPEGTLRSFAIKNGHVTDNGYKNMNQKAAQPIASHNYKDRRATCPEVWLFQETSNVPMQHIVLRIYGSKNSGKKTLLNSINQFATKLVSRYNNESTEGDESSSKTLNFLLNNEQVELEMLLESTLENSPFASSLTMYAVVYNVDSRESFISATNLVTRLLNRKIARGPNIIIVGNKIDLKRNTVVSKMEGACLAKIHKCNFIEVSAQYQMNISELWTMILKQLQYPSGNGIQAEQEGAESSGWMARIVTRGRQLAHSAEQIVHKFL >CRE20987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig274:85933:87445:-1 gene:WBGene00072790 transcript:CRE20987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20987 MGKFRNQKKNLVRNKVAVTVKKAQRMKAEVRNAKKDGAMEVETSEDVPRVRGLAVSSLKKLASGELKNVPKVNEKKIIRKTELPVREGKKILDAPSGKRGTVPQFVTKKKAKKMYKKMTHDARDNFRKMQEELAAEKGEGDGDDGEDVEMDD >CRE12959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:35183:42754:-1 gene:WBGene00072791 transcript:CRE12959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12959 MGEEKLAFAKELTETLRMFREQMVASAEIQQQQMADNRRLHEEIAARAAAGSETETLENMTVQTPRARNNARLMGDLARRLPRFVFTLDEPDSFKKWFSRHELVIVEDGKDLSSREQVRLLLGALDESAFHRYVDSQREAADVYDIPFKDTVEALGRVFGSHRSLMMKRQECLQITRASGMFHDPLEYSNRISEAVLDSKLATMSTDDWSVFLFLRGLDGPGDAAAKAFLMQWAEQCERKKEKVTLALIHDEWLRFLQLKQQTKTVAASSPKQPLSVNKVEKKPVRNTSNHKTETKGHGSESQSKKEFTCFKCGEQGHCAPQCPQNSGKKKTVKRWVKKGAKKTQSVRVDNLDGNQSKSVKPSMWVNVGSQMLKFQLDTGIPCSCSSEGKNHQKAALSNYQAKMKHQYDTHNAARAKFFQVDQKVYARVQRGNKSEWGLGVVRRRYGNVLYEVQIGDRLHRCHVNQLRQRVGDKSKEDVFEETIFPLFFGNTQGDRVETQQSGGLRGHRVGLNVSDIPDTTRLPFSSDSDFLFDGGTRVDSGSSGQSLAQSEVNYELNVSRDYDRRAQDSLPISTSATATTTTSTAISPLPDTSPQARPTADPSHSLRRSQRPRRAPNRYDPCSEPQHGIRNARGSSRPDHPAARARGIAPASARRGQHNSSARGGGVGKARGRPRWQ >CRE27918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig404:3903:14033:-1 gene:WBGene00072792 transcript:CRE27918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-5 description:CRE-TAF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NKK2] MNPQDNENSNSQFFDSPIMNQNNPMDDGLLSRPMNNETLQAVLEFLRKNGLSETEEQLTREAGPVLRNEGTSGLPPEEAISVEFDAFVKHANECSDVIQAEFSQLIFPIFAHSYIALMDKHPDTARKFFNRFKIFIPECFSEFVHKLSLIEDQMTMRPNEYVRVLRENKVLVKLSKPTQKHLELIQTRLIGVKNIIAKHINIESADEGAMSRTMIESQMGGILGVIGKGERRHKMLFSVVKDELMQSIEKRKTKGKDVGKKMPTAQHCPQHDRIPLPPISEHLREERRNWLRDVGKMAIITPETPVSICMYTTINAPVGVASCDFSDDSSFIAMGLSDSSIVINAMDPMNKMKKLRDMEFLEKIDIETADNVHAQMFDLQGSQSSVRYTGHGGPVFSVNFSPDRRLLLSSSGDKTIRLWSMDAQRNVVIYRCPAMVWQAQFCNRGYYFATASADKTVSMWSTDRMTPLRMFPDAYGDVSCIDYHPNCNYIAGGSDDRYVRVWDVCSGTRVRLFGGHKAAIISVKFSPCGRYIVSLDIIGNLMVWDLAYQRLVAAEVTEQKGTKGHISFTRDGGVFAVSHGNANIQLYSLDNLTSSVQSTGAHNDAFMEPKINMEGFQIGSYPTKQTAVIGLHFTRRNLLLGFGCFGQ >CRE27917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig404:801:3479:-1 gene:WBGene00072793 transcript:CRE27917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27917 MEIAEGGVILDNRTRFADLKAMLDSNKDNLKVDAMKRIINLIAKGKDVSELFAAVVKNVAAKNVELKKLVFVYLVRYAEEQQDLALLSISTFQRALKDPNQLIRGSALRVLTSIRVPMVAPIMLLAIKDAVRDMSPYVRKVAAHAIPKLYSLEPELEPQLVDCIDFLLADRRSLVLGSAVYAFDEICPHRLDLLHKHFRALCRGLADVDEWGQIVMINMLTRYARHELADPDRSPPDTDIVLLLNSARPLLQSRNCSVVMAVVQLFYHVAPKAQLSQ >CRE09915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1179:1619:8582:1 gene:WBGene00072795 transcript:CRE09915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09915 MSGRIRTEIGLAKKRLKDALDADLVTVDSLKNASLDIVYDEIIESVDLAATLRTEKERILQLHQRWVTLSKDDPAEQQQMDSYKSRLGDYMESIQPVAEQLTLLEQRYTALCTLHRSRSSSPEEYPVFDLSTPPAPTTPLPESTAMGKPLAMGQAVESSTVNAMGKNMAMGHNMENTTAMGTDIPPTTITLPPIPTSPTILPPTIHTTLPPQYPHPAINPSLLHPIQPTTEYRIINASHGINVTLSSIKLPTFNGNHLEYASFMDLFFTLIGQKNIDDVVKMQYLMLSLEGDAKALVQYLPITSENFSHALSILRDNYGDTYRTRHHLLRQLHDLPSVAFSKSTKDVKAFWTKATITLNQLKNIYPDCDNTTTADILIQKLPRFYISKLFGSGMYQEFIASQLLLQLHRLIQADQLVSTIYNHPVREEKVTTMAAHTKHQHPRQREQQAVQESRRTSAAPSSPCVFCASQEHYHRHHDCPTYRTANERHQRARELRLCFKCLRQHDDYRSCPRTPKCRICEGTHQTSFCKHHTTPLNTEGPRHSSQFSQRQSPAQQTTTSSRPPQRPSQGQYSNNRGNVQSNNFQMQPFAPRQQQQPFRPHYQPGGQNRFNPQQQQQRNTTNVAFTQEHEFDNSETSFTATVTEHCVLPVDQSSAICFSNDKDNDLPLVHQTSKKPVAMMATQILVDDKDGNPVQATVLFDNGSDRSFISSMFVEKLDLPWDSEQSLCLQTFGDSSCKAIETRNFSVNFTLNDEKRSIKLTEIPFITAKLNCVQLTESHLPHLMGSENLVLPRIQSQPDILIGLDSMYRILGSTTSKVLPNGMTMHQTDCGVIITGQELFTTEVDVPDIEPFRSSTFFSTNNNAPLGILQTSPDDVNRSIHNLLEFFWTVDNTGTMDSTITNDEEQAHQFYLHTTFRQSDGRYVVRWPYKESPAVLPDNRFLAYFRLQSTIKRLEKDDNLLQQYNDIILDQLKRKFIEFVDDESKADGDVVQYLSHHPVIKVSSKSTKCRIVFDASARINKQSKCLNDVLHTGMSLLPQIPGVLLRIRCFPILISGDIEKAFLQLGLDIRDRDACRFLWKFPGEKKISCLRFMVVPFGVKTSPYLLNETIKHHLQLVDNELCRSILRNVYVDNIFMGVSSTTEGKAVYHDSKELFHDAQMRLTQFFSNSKELNTYMAEQEDSPAEGAEQKILGVQWNTCTDQFGLKLPPPIQNQLTKRRILQTIATAYDPLGILAPVILLGKLFFQKLWMRTRDWDSPLSEEEIVEWTSIENKWKGPQINFGRQYFTTPISPADKLELHVFSDASDGAYGAVAYLRRLSDTCNESTILSSKSRLSPVKKTYSIPQKELLGIEKAAQLATFIMKEMEFSFTNCYVWSDSLCSVDQLATNKASTTFSRNRLRKIKELLPSGIFSHVPGKNNPADLVSRGCSLQELHSNELWWKGPPFLQSQDPLPIRESSLQNAICNLTIAMPEVLEPIICKDNGIWYFEGRQPSHNVPYLPHGPTAKLLILEIHQKHHHSSTLYTLSKVREEAWIAQGNSFVKRTLKGCLHCKRNTARPYYQPDFPPLPDMRITWSKPFTYVGMDYCGPVKATNNGETRQFWFLLFTCLTSRFTSVELVSSMDTRTLLNAVRRIAAQHGSPQLIYTDNAAQIKLLEKVVSEARNQQRCLSLASNDLPIFKFIPALSPWSGGIYERVVGIFKKCLVQAGTTRSLLTEDDLRTLMKEAESIINDRPLTTTSPDMTDLHPLRPSDFVTPNKQRRSLLNIEETLDTLPLPVSQASLLDDWMRLSSLSQHFISRWNTEYLQMLQGRYQTEHHQSHLNKHSMPRVGDIVLIDETGPFGKTKGNWPLAKIVKVDSRSALLRNSRTNEIVERPFKKIIPLELSAPVPMESKSSNSSSTTHSDQPPNPNDPIASRTRSRTPGLTALAITMVALLSLTSVQAVETTSNASSPVMNPPSSTVPGLNIEDAYAISTSLLQEIATRLGYTVLLFGLVAILYCVSILIQVSTLLSSLFSILLKVFRSTLWWIRRIVCLPFSRTPPLVPS >CRE14359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig382:216:6756:-1 gene:WBGene00072796 transcript:CRE14359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14359 MTKKNKQAKRAPIEMKTIKGNITREFTKARTLVALIERALTFDRNEANITVLDGYTNKLSDQLMLLQNLPDYAEELLMNNKKLCAQNVIEANRAEIKTHIEFRGLDSLINQVLALEGEVKLAINLFRNNATPPVTSANSSPAPRVVSTSSTSSTESNGHSAQIANTGHSVQKTGKKAEPTSGKAAKVPSNSTESESSELSPVAASSNVLGHGSSMSSQQLMEFAEIVTSRFNGLENSQRILLESNNSMQRKMNTMEAKMDMDQRAKGANNHNPPNMPKNNNPTPILTFFDYHTGRPVFETEPIHGSHQERVEFPRSKHNHIPENINNSCYQEKPSPPRSADTMQTVTNTVPTFSGNPEEYAVFKQLFDLFVHEDDAIPVTMKHALLLNLLTGEAKTMMRSTKISEADYYLLRDNLERQFNRESENKQYYIDKIDKFSFSEEDFKEIEKEMNEYRILVNALRNKGCAVDDQIFINNFIKKLPECIMATVFKKNHEYNRTFDELVGIAYRTLAEKRALQEAREKKKQMVRTSEVYALNTADSSKNTRKGKFGNKHFRYKFCRYCFSNEHSAIHCNLSLSQKLKIVENKKLCTNCLLANHKVEQCKSQNSCFKCQERHHTAHCTKVGGEQKNVDKKEKPNLEIRDIGPENDKEPLLIYVSRNIAEDNKLPYMTLKTPRGTTLLALVDCGASTSILSTQTAVNLNLQMTNQRNIAFAGFISESSPDKSSYYDLEVVDLNGKHWIANMPSYDKMNIRFTSPKLTSQEVEDLKNLEIDMAPIRKLSKYNGMPIDLLLGNNVLGNINQELTTLSSGRMVTRTILGPIIFPPKDKNALVPSQNIYSILVIDDSEHIDVFMVDTQNHDMPQQKRVNISNQQLAKQVEQHWNLELLGMEPPEIVSSKARINEELIAKYKNTSIRDESKLLQVLLPFNGREHLLSNNLPVATRRLVILTKGQRRERRLNYHAIIQLQLESGIIELVTPDMVPDGPVYYFPHRDVIKEDSNNTKTRIVLDASSHEKGKLSLNDCLHPGPSILQKIMGILIRSRLSKYLMISDIEKAFHQVRLQKQCRDVTRFLWLEDPELDATPDNIVTYRFTRLPFGVTSSPFLLAMTILQYLEFDPDPINARIIENLYVDNVLLTTNDPVELASLYTKLKEVFQKMHMNLREFLCNNSEVMKLIKSEDQAPKMTNKLLGHLWDSEKDNITIKIATPPAGTPTKREVVAFLAMNYDPTGLISPIVVPVKKFITLLWNPDLKWGDRIPDILMPMWTAITKVFTVNTYTIPRQLVTTYDYTHVDLVVFSDASQDHYAAAAYLRYDYQGKHYQSQLIFSKSRIKPGRNGITIPQLELLALQTGTNVALNLIEELHIPIRKVTFFSDSTCVLHWVLQKIGNHIGLKWAANRVTETRNNLKKLSELNLHPELRYVPTNINPADIATRGCSVTELQNNELWHKGPAFLQRKVEDWPQTLETTPNDPQQFHVFVMRDREKVLSREHHVQPEPSIPESDILVNVLESDKNEVHSIVPYSRTNNMRKLITISNYVLSFIHSCIKKRNNRFPGRSYKYRSSTMQQYEQADKDEDEIEKRKITRTFIISDHYRDAKERFRLDPPAKFNPVLSEEGLYRHTRPFVNSRHHRHSDEMKEPIIIIHKHPLASLLIMESHISLLHQGIKDVISDIQKKYWIEKIGTLVRKMRRECVTCQKMHARPFAYPYATALPQIRSQLVAPFAFVGLDYFGPLRYKTKEDNGKIWVLLVTCLVTRAVHLEIVQDNTTHSFILALKRYFGRRGVPQSILSDNAPAFKLGYNIMNKDIKSLINTSLTLTSFLADKEIDIRLITPFSPWKGGIYERLVALVKNMLHKVLGKITIPFLELESLIIESEGILNSRPITANKIHVADAKPIRPVDYLIPNAALALPEKSGTIFGLIKSGETEMLTRRLLESTAAVRENLWNVFSDEYYALLRESAARPTAHSRDSPKPGTTVFVVTDKVARYRWPIGVIQKLIPSKDGKIRSVEVKIGKRILEKSVNHLIPLELPPEDQKSPASEAAPQDTIPNVDSKRDEPTANPTPPQASEVQSGRTRPYLHRKAKGNNTTDGRNNALKSSSADSTTSD >CRE26851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig525:3879:11985:-1 gene:WBGene00072798 transcript:CRE26851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26851 MLPSSRLREESPGGGSSAPHQYQRTNSSNGQNIQMRQTTPSSGQIPQMTSSVRAQINSKSNTPLHSRLGNAITETESTVCECLLSALIGIESRLFTPIHRKMTITSTASISTTHLAVCQRVLSVANIYLLLSHKENPYPDGMHVTTALLAAIRSIIGDYIGDIDELRRMKGLRFHHCLPLIDKWSIRLNIVAMAHKIRHLPQLELLENLYILNSAYSFEEDRRVVLNKIMDYCMGVYCSQMMEWMTTGEVPVDKWIIGKDAQNGDELVLRKIPIFMSDEDARILLEIGKSLPHVSGASDEDLESIDRTTTVIRGSLCPKLIFQKELTACLMILRDVVCGIVMRMVLTTGRLKEHILKATSFFFLSDPRFTATLYSVIKDASLGLRPGSASLTRQQVSSALAAALEACASTNCSHSDSEDKKKQKLKFKLDAMTSLGSTPNVSSKMQFVTPLSPHYEPHLDLMKPIFSACDSAYESIFHVLWAIDLARFSCQETSTQNLPRIQRFLLRNYSLRENATCLLNTLSHVFCVINSSLLRLRSHISIQLRQLLSRFLATIDEKCVDVDDVIREHLKFTRHVSVVVFVRNNEKIEHELANLLRVAFEAQDLTKEFVETWSDVIDATDSDENVRKARIAECCQKRTIAVRILLETVNVRVWRGKTGIFDTEIGFLSRKLGILSYFEWENT >CRE03526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig730:195:1604:-1 gene:WBGene00072799 transcript:CRE03526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03526 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3NN04] MCGISQSKFANRVLKRTQGTLSDTLKKPKPWEDLKEVGKQIYIQMAEWMSLPLDEKMAKVEEEGAELVNEKTDFSEKSSDASKPTKKRFQFSQHQKDTLEAIFNIERRPDQRIMAVTAEKLNLDFIQVHTYFMNARRRSRFADDKRVEEMDIE >CRE08364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:554799:559591:1 gene:WBGene00072800 transcript:CRE08364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08364 MVENFEEVSLEVCGTVTNINFYQEQVGGAKVRVLGVHEKTPKGHFEGRIISRTLGLELPDSMTTDPRGPEMFAETLIELAHRYDPFNNPRTKVGIVFESSEIPESVGLCYQPIDRIKAEHIVQSLALQSQSNKSVLELETPKINARFTYINPPVGSGKRRFDTGEILELTVFEKRRKSSELEIEMNEELPKVKQRRSNIMPNEVIEDCLVHALYQTLMYYNWKICRTQESQNKYRNALRKTFKRPHICQEVYEAVKAMKEKAGVSKSSNFCRMDVERFQNTVFAGTHQLIVFVKNSTIPFYSGPYVGDKKQLALYLDDGHYRGVRSICALLRTDYYCALCNRGYRNTVLHYKCPLVHRLCGQRHCPVTESDQPTRCKTCTVLFKSQTCYENHIKKGPKNGKSRCDYTTVCKKCEDVYYTNKGNRHKCGQKWCYRCNCQRITPHNCLMPISKKNEKKLTRRRVYFDIESRADESTGQQHPVLFVALRCCPECTTFIPNDIEQARNMVCSKCAPDGRLKVIECITIENRNVDVGSEMTKWLFADHHQGAVVVAHNASGYDGQFILENLIASNKASPKLVMDGTKLIFMEHNGVKLLDSIRYLSMSLSSLGKTFNVDSVKGDFPVLFIKPENYTYVGPLPEDCHYAMDNKSSAVKEQLSTFLSAQRDEGKIFNFVEEIFKYCYNDVYILATSMGLFETEFEKITNVCLLEESTTAASAAALVFRRNHLDSEKPIVLDVKPSVSMNASEVSQKYLAWFASKEDVQLNMSTTYGEEKIGRYRVDGFVHSCPKYPQGLIVEFFGCYWHAHECTYSEESMIGCESAKEIRLKDEKRLNALREFHPVKVVWECEVKKQLLRNPEMAAFFRDYEAVGLLHCDRALTGGRTEVFRLYANNEGKTLRYADVVSLYPTVMKHDPFPIGAPENVPKSSMEVPMKKPTDLTFCGFLSCKVLPPRHLKLPVLPIKDNGKLLFGLCKKCCRDSNQHDCEHSDNDRLFSGTFTTVELQKALSLGYQITEVFHVKTGIWGIHVILFLQGVKYENWVQNDESGRGGLFTSYINQMMEEKIYSTGWPANVITDAQKDAYCKAYFDKEQIHLTDYSRFSKNPGKRAVAKLMLNSLWGKFAQRVDRETTCIVTDPTVFWNIFYDTTIVISDVLCVNDALIIKYRKQAETLESFKTSAMQLAALTTSYARLRLYRFMEMVGAENIMYTGIFQSVVNFSHSKFTDTDSIIYAVPEGSNDPLRGEIGPYLGQLTDELDGAMTEFVTLGPKTYCYKEVSADESLKVVRKAKGITVNSVVKNLMSFDLMKNMVDEVLQDVYQRTRVQFPQHVMYRDAYHHVYSKKIFKKFQFTFNKRRIVSDGSTLPYGFCA >CRE08425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:547687:551644:-1 gene:WBGene00072801 transcript:CRE08425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08425 MDLIAARGAAEYHDFDNPAVFKEIGERNVCEQHRKELIDGWKTYRTTHIRKQPDAQKDKYKCGMPAVVSPHPNRANPKVIRHILTRDGAKRVLNDYHVHVHVGTPVANYQEDAQTCSQDKAMDVDGPEDNETEIPFDSSVNTKDVCHAKFFEWTDVLGVENVVARKPFSQLSRRSKRRKAVVTKQIISAALELLTPGNSEDLRELILDLDGNQWATVRSKLFEELMENIAQEHSKLSSRKERRSLLSLITSTLPYSTILKYFPGITRYEYTKSRQYSLLLNRSPYVSVKKEKYVKEHVVSFIDIITSPLLRTDLPYGRRTLKKSDGSKMEIFDSLRNVRAIEIVEMFIKMKTENNEESELMSRSTLFKILDKCKATKREALECVDYFISDALSVRFLTQKKKQKQCFQSFETLSKILKRLRSMGYIKEQVEKELNLRLQQSKNYLQTDFKLHLKFESKISDHCIKFALSDPNELKYASSCQMGKSVHDHKQIMCERCQAIRDVFDELINIVTELLKTAKQKTLHKTESDNIKSEAELTVFLEDVKTAETNVIELKKYLSDEAFLTMDWSQKVIPMQSLEPQSDFYGKSGISVHVTNVLNIDKEGNLRQHNFVHITNHESQDAITVLLILEHLLRELRKAGIARVHLRSDNAGCYHSTKLITSLPNVTKNTGVDVLSFTFSEAQGGKGAADRAASEFKRKLRNWLASGSNAETPEQLFSALTEGRFPKAMSSYLCMIDYSTVKEGKSDIKGIQNLYDFRFDMKNSKMTARKFGKIGEGSVHDTKKFMAGTGEMKIEKSGGYHNSDQETFWKSSKCTKKCSEEDIEVQERAEEVNPFEETDVNVEEPVPTPIETAVFECPEEGCTALFTKYGNLERHLALDKHNCVPEKETLLDFAMNRYAENIEGLRQTPIPSSLKDALPEIPPGTLPFDNLQGWALPHKKTNKRYNRDVVQFVKEKFEEAAKKKLKFYPKIIATEIREQRKDGKLQFSPDTWLNYKQIQNLYNTFGRKSRDLSAKKKETVATSTQIPATLPSSIRTPANRETATAAAHDSKSSSNKMQLRKRPTRETTDELIELVLQDLNSREFDDSEDVYDENSEENEDYNNLLIEIEKQKKRNIRELVAVFT >CRE21573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig273:1896:7383:1 gene:WBGene00072802 transcript:CRE21573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21573 MNDNSMITDPCEPGTTEDTSHHVLISESIKILIEMSKRLKEKERESDSLRTENENLLEKIEKFEKLKNSDSHEKRFAMRKLEEAENRLKTRTEYFDKERSIFVKNMEEMRRRMEDSESFRRFNEKEIEELRKIIERIPSNLRMEAAWSPVEKETVETLKRENKELTDDLKSAEFQLTLLKSQQQMVADVLATEQKEREMAEIQVRDWKMLLEQQEAQLYQEQAYIRVLEQETLEIDYLRSQNQILAEENLNLKLMTENQSKELEILSKNSEKSDKIESQLFKNLEKLTHRISELHEGRRLEQIPESLRSEAPPKFQSSETFYQIAKLLNIILAGHTVPPKLISDFWNSLMNRAELTEEVIEEKIREVEKMKGAEFQRMEEEFQKEKMEMRAELEEIQKMEKMGNETIPPVPDTNMSSLALRFNSQPAQTEESESVEAALQKLKEIKKMAVFPRFSAPKSKSDDGVRNRSSSDMDSEHERSEAELRYKLRKIELELAAEKEENKMRLEKIEKSMKRSETREIRMSRSDVVYEGVVYHAHPEDPKDKNWVLNRFLKNDM >CRE21578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig273:661:1071:-1 gene:WBGene00072803 transcript:CRE21578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-70 description:CRE-PQN-70 protein [Source:UniProtKB/TrEMBL;Acc:E3NHL1] MGSSGMGIDANGKPIKRQAPANNNNNKKGGNNQKRGNNNVIIYFSIKIYRFFKLFQQNKNNNNNNRNNQGGNQNRNQQRPPHQQQPPMMPMVPPFGPMGPGGPGFMGGPPAPNAGFFNGGGPQQNRRSGGGGKVLT >CRE12379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig416:23815:27866:-1 gene:WBGene00072805 transcript:CRE12379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bgal-1 description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:E3NKR3] MILNFKNSPSFLIDEPNRQFLLDGLPFRYISGSIHYFRIPRERWDERLQKVRALGFNAIQYYIPWNTHELEEGIHDFSGILDFAEFSSLAFHKYNLWTILRIGPYICGEWENGGLPAWLLTKNVTKQRSSDPVFTREVEKWFETLLPRVKPLLRKNGGPILMLQIENEYGSYDACDKQYLRFLRDLTRAHVGDDVLLFTTDGSAENLLKCGTVEGVFPTIDFGPTDNTKDIQSNFDLQRKFAPNAPLVNSEYYPGWLVLWGQKRQDLPSPQTIINGAQFIYSLGASINFYMIHGGTNFGFWNGAEVEAPCITSYDYDAPISEAGDVTTKYLEIRKWVKGLKDWSTPPQDVPGNSPKARFGKIKMRLAHSAEKLNSFIDMGEFSDPGDCVDSEKPMSFEALKHPLGLVAYQAKISTCGNLTIPSFGDFIYVYLNGKYIDTLTRRYYNVSSHSVMLDGCQNEGEDRLFLLVENQGRKTFETINDPKGILSEVYINNAPIHFWTQCGIKLPLQNADNFYLRKAKKITQRKKFLSNQKQGVFVGVLPVEAPADTWLDTTGWGKGVAVINGRNLGRYWPTEGPQMTLYVPREFLKIGENLVMMVELEGAGDACTSTNACVADFIDHPVFHFEQ >CRE12377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig416:21098:23128:1 gene:WBGene00072806 transcript:CRE12377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12377 MLLLTILSTCVALAIAQDCSTPAGTRASFGAYLQCIKEGLDADYGNYENEIREHSKKAAATCFASSIEEGNQKDRCVLAASDLSHVAWDKNGPLRECSICRTFASGAIKAIKATPAEDQKCIRTEVSKAIAREASYCLQKKIPNFAGVPEIPDLEEGSFQYKDSVISSISDHILIQSRLSFCGERKPQRAASTRACLASPFVGFLSGHCKVLASCDAKFTGQCGQTIPATRKATCECITEARDDLKKRIGSIANVFNDLLSGGRGLAIGSANKVDICTSQIKKQMITPVNDWVNVIDTALSSCIRNKPAGQNLAMEALLNVGCRKVIADTTGAATSQLKTGFDFVNNLIDAMVQRSGRFCGGSHCLQG >CRE25888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:146964:148349:1 gene:WBGene00072807 transcript:CRE25888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25888 MLKSAVFSSARYESPEEARSNGINGATNGGGGKFRVDAIWDCNAPKYRVACGQLHVTKATKYIGMTQLFVIALFSVSLLFAYTSALKVEESASEGTKEWSINYYMARYVSSLLSALTLQLGLVLMMLHGIRTARRSLLVPYIAFAAIALFLAIFQISLDIINFVDTKSYQNLNSENPASAILVHFTGVLVHVWCMKVVCKCYSFYCDKNVSFVAGKRGGV >CRE25904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:133241:136857:-1 gene:WBGene00072808 transcript:CRE25904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25904 MPSIPGHQRNVSQGQHLIIDRGADSDEEDVDKMAGKTVASDTRISMENDDAPYGNGGVYPGSSPPFSPSRPQDNKSTGLCSPQLRSNIKVIIGSIVLTAVGAVLLVIGCWVAMNPEEAHSGMQHWVFFFAGLVCFIPGFYHVYYVTCTLCGRPGYSFDKLPTFNR >CRE25887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:120272:132554:1 gene:WBGene00072809 transcript:CRE25887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-imb-1 description:CRE-IMB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NGE6] MGAPTEQAAQQMLAVLEKTVSQNQNDQKQAMEYISAACLQDFPVFVQCLSMILRTQQCQSFVRQAAGLQLKNVLCAKETETRTGYLQRWLQLTAEVREQVKQNVTGTLGTEPSRPSIAAQCVAAIACAELPQNLWPNVISLLKSNVTEPQSGEMLKESSLETLGYICQDIDPRFLETKANDVLTAIIHGMRPEEQSANVRFAATNALLNSLEFTKTNFDNEAERNIIMQVVCESTNSPDQRVKVAAIQCLVRIMQLYYEHMLPYMGNALFQITLGAMKSMEPEVAMQGMEFWSTVAEEEFDLFIAYEEDVERGVENAQNTSLRFMEQAASHVCPVLLEAMAHHDDGDDEDDWTPAKAAGVCLMLAAQCVRDDIVNYVIPFFKHFQNPDWKYKEAAIMAFGSILDGPDPKKLLPMAQEALPAIVAAMCDKNVNVRDTAAWALGRVIDTCSELANNAELLQSVLPVLSNGLHQEPRVANNVCWALVSLVKACYESAVANGTDGSGQPETFALSSVFDPMVNELIKITDRADGNQSNLRITAYEALMELIKHSPKDCYSAVRNTTVVILKKLESLLQMESQATSEADKAQVRDLQAMLCATLQSVTRKMQPADIPAVGEHIMNGLLQIMNRAAATRSNAVMEEALLAVACLAEHLGKGFLNYMNVLKPYLLQGLANVDETQVCAAAVGLVTDLSRALEADIMPFMDELIEKLIVCLQAPKLDRNVKVVIIGTFADIAMAIETHFERYLNTVVPILNDAQNAAVVTDPNDDDQVDYVDRLREACLNSYTGILQGFKASPDVAATRNTVKIFVEPIVQLILRVASMEPVAPSESLMATTAGIIGDLVGLYEGEIVRFFAADNVSQMLQKGRRSKVSKTKSMSNWATKEIKKYTSNGAAAFNFNR >CRE25903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:116619:119005:-1 gene:WBGene00072810 transcript:CRE25903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25903 MRKPLLSIGLSQFGLSVLQTLFMFYYVKVYINQFHVNTAWFNIAQTLFMFWNTINDPIFGYLQEIRGSWLKNRLLVIKWLSPLLVASFVFMWIPWDTTGSDWEGIHLILSLFLYDAFFSAIGVAWGALFADTTRNQPAVRVKALKYSQIAILLSVFSIAVTEKLSLSLQNFKVFQAICLGAAVLSLICLWFAGQIGDKSIDKRSEEQDLHEFLLENEPDSEKSPNFSENVENSVKLTKEIVSQRQFLAIVFTNFFHTARSIAHMNFASIITEIVIPQEILPSGSVKLSLFFMVLTLGPQLVLIFNEKLIARVGAINVISISYIVSFFSGFLIILAGNPYMIMAFMLVDW >CRE20998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig354:2248:4364:-1 gene:WBGene00072811 transcript:CRE20998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20998 MERKKKVTTPAANKEAQTPSDTVMTTDIRVTRKGSFIPFYATKSLTKLTRIVVQILCSFSIPLKNKSWEKSSDETVHQIGLPAPQSKEHYMACEALDCKYPTDIEFKIDTQGIRRVHRRIEPPVLPQEASEPIFIHNRHPLAQLIARDTHEINGHLPETCTVSAIRTKYWIPKLGGILKDIIRECVECQKISQKAYVFIYTGLNNHGAVLKIVPDGTAFRYIQTLNVIFGEVGVPKSIYSDNASTFKLSGEIINKDVKNADYSQSLVEYLARELINFKFITPLAPWQGGIHERVVKLVKTQITKECGARMYDYYSLQYVVSRAQSMVNNRPLIPHARSPGDMVALRPFDFINPGVLTEIPAESEEPNVLPRSTEATVRAHLDKMEAATERMWKLWSTGYLLHLRENMHKKKRCSLIKPEVGQVVIVVTKLVKRHKWPLGIITKVERSERDGQIRSAIVKVKGKLYSRAVCQLIPLELHPLNHPSTQAVKQVDQAEDNNSFELPTPAILEDPDMRYAPEPFPTNDLPNIAEAEYNLPESNLPLNPITDKLECIGEPGEPDYEDFELLGNGVEDESIYQDPQRIIPAEAAEDDFAELSTGRVREYLSRKAKSLPINYVHISDASAEAKNPSRPPPRECCQLYQRMFSVANLKVI >CRE20999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig354:7069:9149:-1 gene:WBGene00072812 transcript:CRE20999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20999 MSLATLKRDKAIKPEMQASKEKDAYLKDVKDSLHNSCANEVLIDLNNGVNKLEYVLTTCGRQRSSSQFDPYGTGAQEDDRLVEANEVGSNISDNVRQNNMQSTTVELPSATRTESQPSSRPITSSAARYISQMDYDYEAFDQLANGHKFMNLRLQEENRILRINADKHRRAHDEDVRRRTAQKTENIDRIYPLQNELAQQPRDIMTLAQPHVEHSPMPNQALALHAARPQHITDQPSPIAASTPNNNVARAPATMATRAQATMQMVSTSAGTRASVITNVEKHIETPILSAPVPFRSPLVVPQHNETPIANNTPNMQDIMQAIQSVAENQKQIVHLNISMIHELEQRMDARFQEKAESIISKRSKRQQQQNSEGSESDYASRYERGQASADSGDETNCPQEAHAPRPQTARNREKETPKPKTTELSAAGPQITGVPADFMLKSLPKFDGTTNLDFFEHVYSKFVLSNNNFNAEAKYAILLNHITGPAKNCISLAKDSHIAIMTTFCSLKKVYGKVNNRHSLISKLQKMPFHQTDQKQCVWTSGAIGAKLPADFKKSLARYTVEIGEDNITHDQILDLISSEIEVITIEHTFTSQMNQPPMNELPESYAAVHYTNSNQSRTASAGQQSYKSANDGRRDPVTLYHNIRMNTQILPPMPHWKAIMPQELKALI >CRE21000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig354:11603:12802:-1 gene:WBGene00072813 transcript:CRE21000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21000 MTDIGPHKMEFNKEDTKASEVAICATPTSAQFTRPSRWSTHSGHMEEGDENVPPATSAENEASEEAKVLYPAFKAVKTTAASNYASQEDKDLRETIETVKNKLCKFKFVDEVTLEWIRFTDQVAEKLSCIEKTNRELVLAYNSQTNLLKKLRYLLTAIIQAERQQSWLREMDRVVGYVQEIRKLLSPLTTTNNRIEALLSASAPTVPHANPYELDNAKNAIPGTTSAKVNRSCTLCVEPNHNTDQCHSFPTSIDRIQIALRHNICVQCLEKFPENDRGIHQDCKKVDTLCRNCIHIVDDPRAANHNIVFCTWKLQKASGLGQHPPPHKSKSALLKSHPTAGAGPSRSGH >CRE07087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig414:5633:6006:-1 gene:WBGene00072814 transcript:CRE07087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07087 MKLLFLLATLLAIRVLADKPKLSEEKVDADLLALGLKQKILDQINEYWHNYHKEMAAAELVEKVLQKKGIVEAMSERQHDLNTEFFSKLPEDQEEIYSKYVNEHLAAF >CRE07083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig414:6119:7448:1 gene:WBGene00072815 transcript:CRE07083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07083 MIVSVNEGFQGIGKIKVNNHEELCDVEGMLQIMTKGDTEVEVQPFVDAKYDLHIQKIGHEYKTFIRRGICKHWKSNVGSSVLEQITTCERHKKYLKAITDHVGAMQICSIDILVSKEGREFVHDVNDVIAYFGESAEDDRRAASMLLRALVAPRVTATSPVENGARGHSNVHTQPHHEVTSPTTSSANGHHPAPPPGVPNRRLPPQPPSTSTSSHHIPRGFSDKIEPRHKDHYDPPPQIPRTASKESVSYVDDTMGQLKRTFAGFFGE >CRE07088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig414:11350:13421:-1 gene:WBGene00072816 transcript:CRE07088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-abhd-14 MVLVHHQPHHQPTTSGSGSLLERADLSHPIPENARKLAQLAEYDESRVEMGTHSVFVREARPPGAHYAKATVLFLHGQSFSSATWTENDLLRTFAALGYRAIAIDLPGSGQTRGPSLPQPQKPTFLMDFIETLGLKQVMVVSASMSAQFVIPLMTSSRHLSCVVLVAPSNTHEVLNSSSYVVPTLVLWGERDTSLGPTAAANLKNLPTVKLQKIPDAGHACYLHNPKCFENLCVNFFDLIRSYHH >CRE19928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:302067:309903:-1 gene:WBGene00072818 transcript:CRE19928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cccp-1 MEEDVANSCEQTPTNSTYGTPVRVASPSAHSDVIDDVICGTTTVENSLYSKCNAVVQEKEMERLETQNAEYREKLLRTIRERDLNEELLKNVQNQHKKELETQSRRIRELEVQLKSNTDRSLAQEAHFNVTTKEMTQKYTSALQQATKKADQSEKEKNEAVVKYAMREGEMMKLKEEIKTKDGHLKACKLELEAAKRAQSQENLDQLEKTVQNLKVEMEKLKHERFDFENRMKIAEKRVETLTATLTETKQQGDVLRKQLINAKDDKHLIQQYETKLQTSTADLERRLQESDQHLEKLRTSQLDMATKFEESSRENTDLLSKIDILQDQLSLEEDRRKLCEEQIERLKGVESFVESSSHRIEETEKEKMTAEEEKEQAELEASEMRSQVENMLKLTQELTERNMELHRKLKLEEDKVSVSTSNSTIEKLTAELTESYETCKSFEEKSQKLMEELENLKLEVSKPVTLESLEENFYRDKYEECAKKLEEIEAKLAEEKNNFSAYKKKANASLKELKSELSGYRKTNGHGDSGNGGHGGGGLVPPTSSDPSMSSRSRNSSITSIDRVTSNSREEDASSAAGEEARRQENEEQKQNIQQVMIDKIVILQRKLARRTEKCEFLEEHVRQCLEELQKKTKIIQHFALREEASLLMPSEESMEKVPVLRKSSAYALMGAMFTLSGSEKKQTQILTEVNSRLQAVLEDVIQRNICMRSTVDTLTADNTRLSRENRLLCLSQVRPQSQEQS >CRE19900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:315425:316881:1 gene:WBGene00072819 transcript:CRE19900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19900 MTIIFTKPSAQHLAKIVEQVPMEYPDFKKLDEDLVKFYQKMRLTPEMMAEREEYVQRLQCYLTLETALSHYLGENGVWIRSIVKYGSMATHCATRDSDLDICICASYSGAYQPSPAIILQAIYEDLQHNHHAKEYFGLEGVSDLVFISTAKVPILKFKMNGVEVDMSVSFDIISPPKSVLAARLVNAYCQLDERFTILVIFLKSWMKSELGNTDFMRDFPNSYSLILMLIHVLQWHGIMPNLHETHPHLFNLEWKEESMYPLEWTGKFTDSSEMKPIYSFSDVMEHQQRSTNTLSVAQLLHIFSTQYSNNIIINCCKLDMRSGMLETRGERDNAPIFIQDVFDTRNPARSVRGNEMVQALQCVSKLFSNPKNLLFQRIWRVTRMKTYLLPR >CRE19930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:317364:323287:-1 gene:WBGene00072820 transcript:CRE19930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ani-1 description:CRE-ANI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N318] MDDQFDSIMNRIRARQTELTGEEAKENVVQSRKPVLKQEDPAPPAKVFGLSSNASEKSPVNFRQPAPKESTPNCSSTFHDTENSENQSSTTKKPSRFSMLAQEIDDYEYDYQSQYNKPKEAFMKGRSPRMSIGETRSSVLNTPAGAASLRSPNIAQSSKSAPEVSKNGPEIALGRSDFEARRIKFAQPIVNVNYLPNESSIFSGGSSVNDMSTVLGGSAEMMNVTTSSLSCGEMSMNQHITHENTVINAQSCDNREAILQGRLAEQNDEYGAHTFMRKKVQAPPTSTTAATSSSTVSTSTSTTTTSTVPLFSRPTNIHTLVSSPKPFSKDAIGRSMFSPVHFTPKSTSSPKTQQAPPPSESVMSPSKSAALEGSVATTRRLQFEEKLKNSGANYTAPVPTPRHVAPVSAPILNTPHYHHAPQQKKNLFSQPPISYTPLTTPPIQQPLVATAPLPVQTQWRGQANTPVVQGARADEKTAGNVPLVGASVGKLKNLKSRWEFSSATGTPIHPDATEDSLIATAIKMKETAIPTRIGFRPAGRKGPSASSIHSQNQAENRNYDGYQEEEDEDDDDVFVEEDSVSGDNVATGDVADTSKFIDHAFGFIEGPGTDTPSPYRDAPLASHDNSMMSPLKTLRQKAEIIEEEEVESSEPEEEEEEEPPVEEDSEEDVTEVPNTRRYEEQQKKEEQPPVYRQNDSQLAYSVSFYRKIQRDRVGESSGAQPLSSVSPSAPVASLTSPQKLRQLTSSNGQNGNFSSPGRFVETVKGAMERLQAAMKIEDKLVTQSQRALARAREEPSFRGSREEFEAQRAYLVHMEKYRAMAIEMRRIEHEGVKIIDGPRGTVSITQLTVNIDRNFVAQHIASAKKSEDVFYFIAILKYGEQVDVSKMVTSDGGLNRRGTLDFPTPLKLTAIPSDFRASVEIYGQQSMRESTTHEDKFKLKSSTLKPKSRGTFLGGGSTSSAAAAAAAVSANQSLYAAPPIGNSTNAITNDNVTNFQLLGEFSFDITCPGKYVYKLSNTVYPIAGEVQMKVKKHAVDGADILFRGFLSMYQRTNEGLGSWTRYWCVLENGEMKFWRQPEDEGTKGYLVLMDLSTCCRSEGASTVNDICPFPNSFHIDVWAPKDDPEEPRGIMQLRVMLAADTTQDLQKWLSVINSTSRQLCTWRNPIQ >CRE24295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig516:6173:11497:-1 gene:WBGene00072824 transcript:CRE24295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24295 MNGHTKLNASHFIKAFFSFHTKQHYTRLLLLSCSIIALHSFMRVISRFLAALDLHPFSFIHPLSIAPLIAYGYVRYVCQSPTCFIARRLSRYGLRWVCDQWFQENQTMTSPDFYICIIWLLVGCYRGWRLVRQRYFDTTEEIISSMPPVCNGLCIEQSLVIFQHSLNRQEKTMLIEDEDPSDENDELRIRNDEVDRVSTVYGCATMWHETETEMRQVLRSILKLDVDHATRMNNKKVGELRYRLEGHIFFDDAWEDVVEEGVEKRQPNEYFHMFFDLLNEMTGERLNEEGKMETRILVNTPYGGRLVVKLPSGTLLFVHLKDKKMIRHKKRWSQVMYMYYLLGHRIMDCPLSIEDRQQMADNTFILAIDGDSKFEPDALLRLLHLMNAKSDIGCACGRIHPIGNGIMVWYQKFEYAIAHWFQKAAEHVFGCVLCAPGCFSLFRASALMDDNIMHKYTKTASEPRHYVQYDQGEDRWLSTLLLKQGYRIEYAAASDAETYAPEGFEEFFNQRRRWTPSSIANTVDLLMDYKRASANNDAISYAYIAYQFLVIFFSMLGPAIIFTMLVFAQVAAFGLNSSDVMWYNAIPIGLFILLCFTTESNVQLIYAKYMSIAYAFVMLAVLVATSSQIVLETVLAPTSMFIVTMVGIFFFAACLHPKEFTNIIHGIVFFLMIPSTYVFLTLYSLINLNVITWGTREAVAKATGQKTKATPMQQAIDMVVGVVKRGFRLISCRENRENEEKRRRMEKKVEKMEFALRNIENGVDVKKILDEDDEKREQKTEETQTTSTETSPEVSDDQKSQNTLQKANRYVWMTSHNLKNCERGKLKGAERQFWQELIDAYLTPIKTTPAEMKEVADGLASLRNQIAFTILLVNSLLAMAIILLQKHKNVLSIKFKPHQNFTHTKMNETTGKFELTDEPLKIDPLGMGIVVFLLVILFVQTLGMLLHRLNTMIGAFQEVKNLAEYGVTIETNTKADDERIMTNARLMINSTVVVEGHAADGYTRHRADEADTGNVLYKLQKARLAKRMQRSALSNNN >CRE10689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig379:182:5429:1 gene:WBGene00072825 transcript:CRE10689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lem-4 MENLQGFYIYLIRSPVGTAHLELSQQAPPLKPTHTVSARAGPFLTAAVAEEFQKKWAAVGKEIKRTDWDKGYEKVGRLLAENETPRVGWAESWQFLERGGVETPGGGALIDLNSGDGLEILERFLREKREKTAKKTEKIEKFEFRKLDFGILDGPGDSGDSESKIPEVPSDSEDSENSDASDVFYDTFSEIPTADDTLGSIIDRFAAISIYSPRKMDSEGPTTSEFRDSESSFDSDDDASFVTPPTTPPPTFVADDEPCKIDNDLFEVLIKIPAAQLTNYPLVAEYVQKVSKMTATDRSAWLPMDSPRRCEIRRKN >CRE10690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig379:7434:30031:-1 gene:WBGene00072826 transcript:CRE10690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10690 MIPYLLLIWAALGATTALQECQLNDVCSNCSLKAELSTKCQKSAVDTVEKEAFLFCNPRTKKIDIEHSSYLTCTNGTWKQEICSEDGRVHFSLSTRDCVDPAMQVFHSQGTSGSGRVGDVCSFNTDCLGGMYCAIGQCRCLSTYVAVDAYCYEKISPSDSGCFYDTQCASVWPDSYCKNGQCMCPSDDMIAVKTKDGTLCVWSTTSEPSCPLPSLPPPDSPSSLVVLPAAAGKTSVTIAPCNPHSSETPKREEDLLNKQHDFKCSVRGFVTCPRGNPAYLSTKGSPNSARLPQTGCSTANPCTDPFVCTEVASQSMCCASRKSICSEAGARTKDPLRSTPYDAGHRFDQLTGETANYAVGISTRYYYNPTDGQCHPFTFNGFLGNFNNFQNQADCQLFCARLQCPHGSPLTNGNGSPQRCARDTDCPSTHSCAMEHQVCCATPQTLCTEPLRVGDCKQSVRQFWYNAETKTCESFLYTGCQGNNNRFNSLNECQSYCKNINAEPKCPQGRAYVDFSGKFMQCGEGLGGTACPANYECTFDGLVYGCCPSKAYTCSLQVNKGIGCGSGSSYRYYYNNQAKECQSFLFLGCDGNSNNFPSIEKCQNYCEIAICPNGGSPLRANSKVRSCSTHEPCPSGYDCSVVEANGVMQRRCCPSKVSICSKPPQMGMLPKCSSGSGQTRYYFNMALQTCSSYTSNGCDTSLNSFNSISECEDFCMSAGCSLGDTVYKDPNTNKPFVCNTALQNNCPSNYDCTLNALTQEHTCCGSDGMGVCPTGEKAFMDPRTNTPRQCAMAADGKCPGGYLCRFSQTNHKYYCCGNIQGALCPAGRSLYRYATTQLPVQCHISPLQSSCPNGFACMSDVSGAFQGYCCSHNPICPGEVAFHQDEKTLLPTTCTNEGFSFCPMGYTCQQQPDTLNFYCCQGEEKQGINDGCPPGQYAYVQEEGVVKSCDPFLSDDTCPVEFTCQWSLNNQKYQCCGTRPTRAIKPTIFDDGCPTKQFALIDKRSNQTRACTAGEAKSCPIGFFCQFSAKKGQFQCCGQSGGCPVGRAAFIAIDGNAQECLPGPDMCSDGYECVKSTTHKNKNICCSREEGGCADNEILVDGQCVVRVKVGGGCHTNEECVDGADCVANVCKCSSTKAELKGECVDGECSEDQIRLDGKCENRAKMGESCKSSLQCVSNSRCISGVCGCAKGEIPDGNSCKKDENQVKIKGKPVKIAENLCVIKSEEPFYENDKLVSCVLTNDDCPTGFKCQFSDQAAQNVCCGPKNSKSTTKITPKPTSAETTPPSTTVGSVIATKKSKPERKVTAQHCPAQMSPYLVNGRAKSCATTSCPYGYQCKFSQTAKDYFCCSKQTKKSNSNRIRGGGCERGNALLYPSTQEAVQCDPLARGCPQGYLCLPHVNTKKYQCCSVSASRDNSEDIEIVCPSYMVKMVQEVDGKPQLKCVKSCPTGQKAVDGLCTVE >CRE03505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig410:758:2389:-1 gene:WBGene00072827 transcript:CRE03505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03505 MAQVRAEYDFEAQPNSGEMTIAAGEILTVIRENIDGGWMEGRNVRGSVGLFPETYVTPYQASQILIVFLFLLFSLLCPKLTRGLTYSFVRRNTHQNDWKFKFLSASHNFEPSEPALCEALELKNAHFSTQKS >CRE03502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig410:3745:10610:1 gene:WBGene00072828 transcript:CRE03502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lst-3 description:CRE-LST-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NKN8] MSQFGGGKPPNQWHRPTAPGGAPQLSGFPAVNFGGVPMVGMGVPMGMVNQAAFSSPNVLGMQGIMGAVPQQSLTQQQPSFQQQNGLQQGGNMQQNAGAKNQRTFVGVVTKMLDTYGFVDDDVFFQHSVIRGSHPRVGDKVMVEANYNPSMPFKWNAYRIQLLNAATQQEPVRQAPPQQQQQQQIPQQRGGQESQRWGSNDRGDRNGGGRGNESPLHRSAPQRRHSPPARRASPPRRSSPKRDTRPSREPREAREPRRSPPPRRAVSPRRASSPATAPVKNDRKRERSPSGSVAPSIRRDSASPPRRRARIIPRYECRVQRPALLSQIVSGSVLRHRYSKMYLPSDYVDLTFDWVSTIQLDMSLDMNNPIQFHVFNKDVDYIGEPLPELEPEDSDHRHQVKVLLLSHAGKSEVVKKSFCLMADGTTDDHQEPQSLLKNLHFLTGARGKETMGIGGAWSPSLDGADPSSPTTMIRTAVRTTRALTGIDLSSVSQWFSMVTIRYYRADKQRIDHVNFLLPDTQSLALDDAQWTAAEAGIGEQLKAKLAEIDALKIEEEEPPVVVEMAEPEVQETPSDVVVPATESACEEPKEASSESKEETKEVKESIVLLWVENDADVSMNSESDDKAQHVVDAGQGPTNWSKLDPKSMKVAELRVELELRGLETKGIKTLLVQRLQTALDNEKSTEAAAAKDVEMKDVSDAAVKQETAGEENPAAFIAPPIEETKAKTEAEAKKEQEEADKRKKKEEALEKEKREKREALEKHYQLPKDKKILVFPSKSYKSGKFDCKVMSLSSLLDYRHDDNKENQFEVSLFAEAFKEMIERNSAFTIYETLVNCGDRDAEKKRRDEAREKPVEVATETEKPADGGAEEKSSEKKEEKKEEEKKEEKKEKVERIEVKSVVANRTVYEAFSLFDANLCGYLTERDIEEILYNGEFGISRGQIQKLAKKLSVRDKINYRHLTDVLTDMDGNVRHTPGGADDVVETDDLIKGFGMKLAKSSEDSTTQKTSSEVSSDGVVIINGSAVNVGQKLKLLKQVEKERDEAKATVNEQISLIEQLREAKADIEKKKKDIDSHYHKSNKKLNETSAQLKTVQDDNSSLKQALQDCKRHADRIFSVVEKAMPAPKKEKKEEKEKSAEKKDEKSTEKTAEKSTSEDAQDAPAATVTASDSTTTEPIAVDEQPSEEKVTEEKKE >CRE03503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig410:10940:20053:1 gene:WBGene00072829 transcript:CRE03503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cfp-1 MSGLSTKDNEDNEEIWKFRCANCIRCNEKIDCAACWPCRTGKTCEKKRCFSARKMYDEAVKKKADENLKAIMAKTAVREAQAASTSAASGGAGPSEAPEPVEKKKRGRKKKEVVMAERLLSERDYVSNRPTRQQSADLRRKRTQITSASNKEPRQCLNPDCIYEARTDSKYCSDECGKILAKMRLTEILPQRCKEYFSPGPAATRTFDTEIKQRREAVKKEVEELTKAEKNMIGFLEKLYFFVENQIKLQPLGTVEKYDDNLCEACVVCGAPDIALRKYTKHIELCWARNEKAMSFGAPEKNNDNFYCENFDARTGTYCKRLKSLCPEHRKPGIEQALLVCGYPKKWENGSGGDYANTLSELIECDDPFGDEGCRTKKEACHKHHKWIPSLRGSIELEQACLFQKMFELCHETHRLNTQAEWTTNALNIMMHKEPKIVDHDQFIQFVKAQQALSISSDSDGPSTSAASDPAPSAAPPPEINEEIEEQFTVALLRKMQEEREAAEAAKRAAEGGETGSGDDEEMNDDDEEGAEPQGEGNRGKTSN >CRE03504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig410:22713:23601:1 gene:WBGene00072830 transcript:CRE03504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03504 description:Phosphomannomutase [Source:UniProtKB/TrEMBL;Acc:E3NKP0] MSSSRPLPKTILVFDVDGTLTAARQQITPEMREFLIEARKRVPLAVVGGSDFKKITEQLANHDTDLVLSLFDYVFSENGLLGFKGTGTVFQFSQFKKRSETISCKSLINFGSPLYVGHHFASETWKFRGISEMEVINLSPIRTELLTRRSDMHIRSYSHTDTRDIASRNLWRNWRENFGK >CRE16480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig345:31645:36232:1 gene:WBGene00072831 transcript:CRE16480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16480 MFQVLFYSILCVCCVHGNVLVILFIVYFKRLRTATNILILNLAVADLLISVFCIPFSYWQVLIFDDQRWLFGSMMCSLLAFLQAMAVFLSAWTLVVISFDRWMAIMFVLTPSIRITTRRALYLVAATWIFSILMALPLLFTTRSFEIQEGSENCGENWTYFGDAGEQVRKVYSSMVVILQYIVPQAVLIITYTHIGIKMWNSRVPGMQNGATKKMIVDRHESVKKLVPMVILISALFALCWLPLLILINVIPEFYPNINSFREGFCYFSSKLLPCIAFKEFRLLTDNNTSRSFRNRSRFSGVINPASSDDKSAAPFTRYSRGGGLDRQTFRSARFFEARPLVVIRNNSANSLA >CRE15721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:184835:185110:-1 gene:WBGene00072833 transcript:CRE15721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15721 MSDRCFNFPEYLERKKKLIKKQLCLKCLLRQQDEEKCKYHKRCFYCTQITHHCSMCPEKIEIKWDENDGPSKGAKKRKREEESQGSKRSKE >CRE06184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig413:15793:17503:1 gene:WBGene00072834 transcript:CRE06184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06184 MDRLKWLYQFVKHKIDNNERCDPNIYIVLSGGFTGNCQTGDLLVVIINKIRESAYLTKRVDYPNLVLVQYAESVPGSSSQIVFWTNPAYSEYAESQWKDLINLFDGPSVLNPFLQCDGFLTNQYGPERMRVDFNPTGHESKHRLFYDSIEHMVHLHYNHFSTFQNLILLLFQAQHCDECLLIILNSLRTSEEIENFVWKVGRGVWSPKEKRDMDRCIAWVDDNNQLYSPRCWRYNLQDEVQPQTSHMTQNDIRLGQVLLPSPPPPTESPPYYNDRRDFVGNAQYHPQASVPSHADPRLSYNKVSTLAPPVLLQSAPEPSLKRLTDVIQIV >CRE06185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig413:14080:14724:-1 gene:WBGene00072835 transcript:CRE06185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06185 MRHLFISPFFQRLRTSVSFLCVSPISSSLPPTSHRLFSSTIAVSARKKMPLLTESERNDQLSGLKSAGWKLVEGRDAIQKEFQFKDFNEAFGFMTRVGLKAEQMNHHPEWFNVYNKVDITLSTHDCGGLSQNDVKLATFIETVAKK >CRE06182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig413:26:8927:1 gene:WBGene00072836 transcript:CRE06182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06182 SSCDDISHPSLSRESSDPSKLDDDQRTIRYPAPEVVEFATKLGYSTEQLSHVLNQIGVDSKTDDVLSELVKMGLPNGKSNLMMSSQPSSSSSTNHRPVAPSSSAPPSSSTSSSNVTSASNYASLYPQYTPDPSLRAVIVDGSNVAMLHGRKEVFSCAGLRSCLHYFLERGHPEVLIFIPQYRREQPRSDSPITDQHILQEIERHIIYTPSRNINGRRVVCHDDRYILRTAELKDGVVVSNDEYRDLTKENPAWRKIVEERLLMFTFVEDKFMPPDDPSGRHGPRIESFLSKVPVVSSNPLICPYARKCTYGNKCKFYHPERANGQHMSVTERLMKENQQKKSLGAVKSMQYEMFKNKHAALSRTQSLNVVKPLTENISQLPPTPESPIQMSRQHMQLQQANSAPWQQHSAVQRHGSSPLTPVNRQMNVYPEMYNYQNHQQVLPHQHGVIGSQRPPKMTTTVSQTHLFAPSTAVWGHSELSVGPVNTNAETNETHSEARARVHFHLCHIFPHDYVESVMAANPEEVNAPVLCELIIRAQKDYRK >CRE17530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:321:6479:-1 gene:WBGene00072837 transcript:CRE17530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17530 MAITFESIIEFAFILAVLSACAVLFRRLGERAAINKLPPPPNRRHRHQKDSREDDESEPASRTKSETEHSTTSSSCPSKKLQRTCVCPFPPCYRIVDAIDGLKGKWQRVKRVSFLCCCPPFCCLIQRSAFWPPRREYYFFKPPPNDISFQVNEESEAILMRDRKKKLKKLKRAKACIPGEMYRFGLSHPCAEDVKHARGFALKTANGNTIACIHIPCPDVSSSPRFTLLYSHPNGSDLSDHLVGVPSLIDLARFYRCEVYSYDYSGYGISGGIASEHNLYADIRAIYQYITMEKHVDPSRIVLLGFSIGSAATVELLKEEKDRKPPAGVILQAPPTSLLRVFGNMIGRKKHLEKPTCCLDRFVTIDKISIFQVSDKLAQAKAEVEHFEQITTVKNYRKEEALDSWLTILTADLIHVIRIFEGFTDTEQLKIFVDHLLRTFNKTNLITVLSQLKDLKIESVVNIDERRMHAVIHMMSCCTGQRPATIMTALIFHNKKTYKSDGLVKKLFCLSSKNGGELVFPVPSFLQSFLTKTKKTFFDPLCESTNADDVLKASLKYRRSFWRKQKKRCAAILKASNTKNYSKRSLFGGVRDCVPSNASQELRDSMFLSNQISSFQVRQPLAYGHSQKTQLKCYNARNAVPEHDLRTDSIVLRDLWTSIESGSGAAEDGDIDVDEVIKLCTGYHENDCDTRRKNREHSENAEKSNGVESDSEDDDVIESSDDDSSDDDDSSDSDEDSEKIIGRSTTSDSAEKVMNTNVGFETNNNVDERPKRATRSSTKSKDIEFEKRVESIIATQYNKVALRPSKVAIGGLGVFAVKKLAKGEVVTVFGGDRLSLEKFGMIDPMDKSRTYSLEIKSKSVVIMGLSVEKEEYSRRGIGQLVNHGSEKAKATNPANCSFRLTVSMMDISRFEAIVITNRTIGIDEELLVSYNDDSSPEELVEEDRFVHDY >CRE29105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:63144:66278:1 gene:WBGene00072839 transcript:CRE29105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29105 MHTLAREKPLAAVLCPQFQDFYCATCFAELDVNGETEILMCDDCSEVSYCSPKCQRQDWRSVHQFECEILRAQKANQKPMTTTMRLSIRTLLVTLRNSERTPSFNGAIIEDLETNYKEYRSSPSHNQFLSDMVTIIKSVGHDVFPKSVETNKMIAIICTVLCNAFGIMDDKRVEPIGSGLFVGLAKHNHSCASTSHVVFEKNQVILRGREADYSKNITISYVSRMLPTSERQKSIRNVHFITCRCEMCRNDDLDLIGLASRCQTINCLGYVKGSNPCGVCKKPAVVPIMESSSSTSKLIDILDNLHKSNEFDSTTQYYYLQNLRKEYIRILADCNVAILQLDEQIAYCASDLKKIPDELSEVAVRGCQHFINRLGIGAPEVTRRLYIACKCFSRLPSFPSSEIHHLAVQSSILSHGEDHSITKYLQRM >CRE29104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:56720:57837:1 gene:WBGene00072840 transcript:CRE29104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29104 MHHAAAPFTSDCPIPVHYYANELNRFVQEIVPDATQEQMKTIESIAINVKASHEYSQQKLSSQINEFEEKMKQKEIENEELKAKLIRLEKKFEHMKMEKDLYEYNAVCNDQYENYITQLKKDFKEQMERERDNFLRTCSNASEKINELENQVAQLRINQCAVNNIDLLNERRDVSAHQRPLFVMHPDHHSSILVIVDTNETVTPCHAIDTIATTDTIVTISTTNCGPFIEE >CRE29101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:47222:48623:1 gene:WBGene00072841 transcript:CRE29101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29101 MPSHSPIYKSTRHSSRVRRVINRDYSPPAAWGRRTTSSLQALASTPPAATVAVQPLPIAAPVAIVAPAGSSTPRRSFRAAPRHIMRDYSPPGVVGRRSRVTGASPRQQNKGRSVSPQPATVVIQPPLVAAPPAAGAHTRRRSSRAPRPIMRNYSPPGAVARRQRVAAGALRRQNRGRSVSPRTARRALAARPRAWSVGGRRRVTFAAQLTQIRLIPHRSDDEHQNDHQQIVCGTPIPMVRRPETTRSIGSVPPVPIIPDVFEIIGNFFNNLFGRH >CRE19931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:323766:329525:-1 gene:WBGene00072846 transcript:CRE19931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scav-3 description:CRE-SCAV-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N319] MVIKKAPCLFGSAIVVGILLAVAGILLLIGIPIDGIVNRQVIAQDYLGFTRDSNGTEIPNQMTASWLKPPYSMKLNIWMFNVTNVDGILKRHEKPNLKEIGPFVFDEIQEKVYHRWAENDTRIFYKNKKLYFFNANLSCSECHLSQKVTIPNIVFQKLVDAADVTIWGVRIKFAIESVLKMVSEAPFITVKISDALFDGYEDPIVDLVCKNKILSFLCETNSLQRRIGFFYGQNGTTDGTYEVDTGVPSPYKIGHLYTWNNMTVMPEGTWDTVYARMVNGTDGQLFSPMLKREQRLTLFVPQICRSVQMEYSRDVSVQGIPSWRYVPPKDLYDPKRPENQGFCNKAGVPRYFENTTVQIENCLPAGLIDLSRCQSGNPRVYLSNPHFYNSPMEVWHAVSGLSVPSPTNDLTFVDLEPTAGVPTQAKRIMQINVGMVKGDLSITENTTNVIVPVLWMNETADFDEGTREQLSAIFNAKHYSFIAGVISLSLGLICWLAVFVVIIIFSRQADEEEYSRLVLDEDEIEPVEPQENVVLA >CRE19901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:330644:338612:1 gene:WBGene00072847 transcript:CRE19901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19901 MQALQSRLEQLENATGSDIWEILKASEQDLRQDLSTLNTLKEDKEKRTLKALEAIKLKKKELKSLRNEIESLQNEYKQYIISVEETLKSNGLDMKQVVGVFQKVLEDSLSEKKSAIISIIESSDISSKSSIQELREPIKSIKSLISDDQNQLELRNSIQEELRDLYDSSIEIFRSNLWSLFQKQGIPIQNLEKTQNFPEFLSKISEIFEFSSDLDEILSGNHAETTGNLIVSSFGQLTNLCLDMIENERERDADFEWILTSATKWAKNLKEVVEKGIQGMSGLDEKYKKDEVEERFNHTFVQFIGTITKKFVKKNLKDPMIFSKLAILLHRFEDSCEFDLSNKDLFEPLYEPEIISKWVLLEVELFTISINKLLSSSSSCFTPLPAIFIGGNSNSSTSQWASEITVFLEQWIHRVEKNVESFGNSDIQMMFYECQHTLWIDLCDKIRAVANRLYSESTWSNDVYLVMNSVWELRRIIQNLSFLLRNHFQTQTITWPIGSFEVERIYENEWNRLSGMIVEYLNDLIDSMDRGIRSSYQEEKKKWMMETFYHIQKCLSNVTVKASRPSRSPLMNVLTEELFKNLQTRFDKWKTCCSVEVLGSLYTQIYSNLLPQLDEFERIGHWTRGNASRSEMCRLDALMKMAANCDPSGELVRIAFRSIDEEQVIKMLAELGIHSTSDHAFQDYQILAENWNKIEQKQFDYGDI >CRE19902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:339536:341422:1 gene:WBGene00072848 transcript:CRE19902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pstk-1 description:CRE-PSTK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N321] MAILLLLMGLPASGKSSLRLRLQTAHSELIESTSFDEFRMTMMQTCSTANARENRKEFQRDVESRIISKSPADKIWIIEDIFYLKSMRRPFSRISRRRHLKYGVVNLKVSPFEAIRRNSGRNSDEKQREETIWRVFEEMEPPEDSTEISIDLEQEEAGLIGLEGVLERLGIRMDERKDSKELEMEKNPPTPSTSSTVPSPLEILDVKTRRLVSQLIQNDRFLDGRKLSVARKMLISSSDLNELSCVELKEKLLDLYDKL >CRE24852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig435:10206:11057:-1 gene:WBGene00072850 transcript:CRE24852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24852 MFADDLKLFSPHANLLQNDLAIISDWCSQWQMTVAPNKCEVIAFRHSTRNLKSKSSPDFHISGLKLPFVRHIRDLGIFFSDDLSFTHHVNIILRRSQFRVNMLFNILKNSTMEVFIRCYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTFIPSVKSLRRHPFYLRCNIKNSNKSSSQFLSNRTLNCWNSLPVSSFPVKSSSRSFKTNLKHVDLSKYLTLSPLNY >CRE22171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig432:9954:14845:-1 gene:WBGene00072851 transcript:CRE22171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22171 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NKW6] MSLTLLLLLLPSISICYNPPLSENVKMVVVYGKPLEFCANPEKSEENWANCLQQCWKQWDCVVVSQLSDGCEYFKIQEIQKVLKLDSSSGHKVGIKISLPTNTCPRDTEPPPLFGNVSSSLIITDGSDNYYKSEITETSDTWNFNYSMLKCLTDIPESYTLLNGTYSGQRYQMNLTSPFYPGETFFMRGKTPTYGNQFTISFVKFPEKDFALHIRLYFGYKSLNTTDISVWKNDKVVDLVNDKVNPYGCEEDFVIRINATNTVVFIYMNDYPVLQYTLEPTVPMSEITNIVINDSGHSYQQVTLYYIGWTGICEISLPTNTCPRGDTDPPPLFGNVSSSLIITDGSDNYYKSQITETSSSWDFNNCIHKCLTDIPENYDSLEGTYTGNRYQMNLTSPFYPGEMFFIKGKTPKYRNQVSISFVKFPEKDYALHIRLYFGYGNLNSTDITVWKNDVEVTERTVENQTNPYGCEEDFEIRINATNTVAYIYMNDYPVIQYTLEPTVPLWDITNFVINDSNLPDQQVTLYYIGWTGICEYVPLGANTI >CRE22170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig432:15044:16237:1 gene:WBGene00072852 transcript:CRE22170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22170 MAEGGKRRAVTISFVKLPEKDFALHIRLYFGYKSLNTTDISVWKKDNLVRPVDNQMNPYGCEEDFEIRINASDTVAFIYMNDYPVIQYTLEPTVPLWDITSFIINYSEEDYMQVTLYYIGWTGICEYVPL >CRE06187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig453:2726:7381:1 gene:WBGene00072853 transcript:CRE06187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06187 MRVSLLLIVIPTVIGVPACINQFNPPQNRNALTWYPSNFTKTTVPMFPNNFDCEYGINVPQGWYVKIQLSVTCTNCNVGKDYDVVITDQLQRTERVSFADEERFYFIANGGKIKLTTRTDTVQFGFTMLWQPYSNTPPALLNVSQSDTQPTLIVRNGAQPAVVRGETKVSATVLAPQWSGENQYFRGIIFFDGPTWNATCLGTALQLFKGNTQYVSSENYMSVLILEAFSFDYIDILLQDYSHTKDIVQFQGMECNLYERCECVKMMDASKGPVVFQTYTPVSRWANVITGISGTGNLDVYIGGITSSNGTNLIASYQADKNNSLNLPQQFLGPIKTYVLTGGVANITMSDISNVFEETNSLPRHGFISSNRYGSYSTSQGSRSEITSPNLQRIKYSYSIQNVDFSGNATLKVIGYLNRSLAFSKLYNHSSSLALEQFYGDTLYVDFRTESLPNTGFYLSFEMENANGSSKFCVFSALLMVFVGYFF >CRE13150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig460:13:5283:-1 gene:WBGene00072854 transcript:CRE13150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13150 MAMLNASKFNSCSTDVKKRLHYQRTGNSIILVLKQSNLEWTSDSIDIFWNNNTVSSAKESSSSKKIRRIVVNSFIASSKFRYPDALCTTTSTTQKPTTVTTWTRTTTIVTSTVPITSTTGTISSTGSSSSTVPSTVSYPTTSGSSTADSTSTASPSSTTVPSSTTSYLTSTSSSKTMNGTTSTDASTLSSAGSSSSGYSTSTTSSTTPSTSGTTTTGADSTSTSGTTSSAIVSTSASSTTSIGSSSSSESTKTSSTFSDSTFSSSAGTSSVRTTPSGKTTLDIVISTTKPTETSSTKTSQTSQAVPTFSVQESTTGITENAHMAYKFLVTEGSTSTSLSSSTSETSSSGVPRSSTMSQTSSSETVFSPASSTTEAQETSTIGTSSQQYSSTNKTTESSSKSSSSTVAASTTPGKFQKTLRVTIEKNISEVSSVTSTSSSPSTTVSIKSSTESSTTSPVTSSGTTVTSTSTDGSTTSTSSSSTDSSSSQTSAVSSSVSSSLSVPSSTASQTTSSDSTTSTSEATTSSKTPSTTTLESKPFIDTVSKLSTASTPSSSTTTTQKPLVNENTVPEVSTSTVHIETTSSTATVPVATTTTATTTKSEPTPHFVVIENTVVPKVATSTTTTKPTTSETAETPEPHYVDIENTVPVVSTSTVRTKSTSTGAVTQEPNNERPSRESMKPEVSTSTSRTASSPTSTETKKPQIIDVENTVQGVTTTTTASATTGSSTKPTPSTTSSQDSTSDEVSESPDATTTASAPSTTESTTSSQDSTSDEISETPEATTTVSAPSTTESTTSSQGTTDGTTKSETVERTETPFPTSFGLPTSFGTLTPPITTSISPSSETSIITKPSNQTPAPTLLPILTAGTGTTKRSRYEFDGTSEKPNFRLSSGSTHWL >CRE13151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig460:11360:15705:-1 gene:WBGene00072855 transcript:CRE13151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13151 SSTVVTTTEPSTTASSTSTKISTPSSTTVTTTKLSTTASSTTTETITPSSTTEASTPSTTSSTTTTKSTTPSSTTDTTPPFTSTSAKTTERTTSPTTTESTTLSTSTSTKTTDSTTPSPSTRYTTLSSPPNTIRQQTASDGTTSGTTTTVSTTSTTTTPTTSDKSLSTLTTEDEETTTVSFLRTRFVILPSVHFQEFTESTTTDCVGSQCESSTPSSTTTTGTSTTTEGTTSPVEEYSSPTSTISSIMSPTSSSMSSASTTQESTTSPSTTSTGTSTSAEPTTTFSPTPATTSTETTATSSSPSSASTSTTSVAFTSESATPKVTPTLESSTTTFTTSIKPSTSATTSDSSSAAPSSPSTSSSGTQFISEAPTPSSAQSTTRTPAAKSSTSPTPSTLSSTQYFPTAIGEITWTSSILTITGAPSKFTSMETTGTSSTVSSSAPSTLSSTEHPSTSHLPSSTSSTVPTSSSASSASSTSESTTTEPPTPSVTSMKTSETPTLSSTSTETSAGTSAETKTPTTVSSSTVPATENPSTTATETTTSSSSTSSSTSPSSTSEAPTSSTTSTSTTVSTVGSSTAEKVCRTYTHRRCITAEPEVRNTCNTYPDSADIYKDQPRHLFCTKAPFTSLMRVRRDAEGYEKVLDYAEIGTYPAAPFESTDGTRWLMSKARELGVFIVDAGEQYHFLNSTLLCDAAIGNCPTLKFSEMKNFVYYWCYSKIYGAGIAEETTQARLAALRS >CRE10701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig459:17043:18608:-1 gene:WBGene00072856 transcript:CRE10701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10701 MCLELMNNMPPVYTKADDDSKEVTITVNGESCKISHFDVLKSGTSIHQPVVRIIAGLFTAQNHAMFLMRNERGNKLQEQIKTILITNEDTNLYELSLRVLVLCAQSNASLWKRNGFSLENQIHNYFSPFWRSEMFDRDILMMQVGAALTPPLKFIIHLLQRFGLDKWATIEFEQDEATAAQIKPESKDLSKTMVTIAEEFFQCLILILCERYAHGVGKTNPFDRVKREVIHILCTGSHTFSQIQQKVSNDINAKHISLHDVVNQVADFRNPLSTSAGQFHCKESSLPVYSPFFMHYSKSDQLAAEQSQVRANLNKNIRACAPPVLPDFLPFFEQIPMLLKSGILIHVFRIVIDRATRRSRFSSDRLFHKVLYLIGIALNEEEKCSSFGFTQKAEESVGLLALLEGLIGKPESSICPILLEVIVEKYRKLLKFKIGPSSLAADQKQSHHSGKEFCA >CRE10698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig459:12086:12802:1 gene:WBGene00072857 transcript:CRE10698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10698 MFFFTLLLLPFSISGQTDYILNPACLNEFNEIYSCVRNQSLFQYFESSPRDDSALNHEISEELQYVLACSGPLHCPISQLFRSFLYQKKCILDYYNENLEACAGMYVVLDVWRRCGTGDVDDDFFELDEKCTVVEFLKHSTCDNKDASRFLLFTNLVRSIYESGIRYGPEIKHYVEKISISF >CRE08137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:52:1125:-1 gene:WBGene00072858 transcript:CRE08137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08137 MSPVKTRGPSNLSRQLKRVRASYSKALKLSTPPPALIQKFKARIKNIQHSMKIKLHRRENYILASLHSRSSRTLINSRVKCRSAIPHLSVGNELITSDSAKASIFSTEFLSNYNSTGPSSPSFSTTKTSSSPHTLPLMDLFPPWVIEQAISKIPPKCGFSVHLANYYVIKQCATTLALPLSIIFSESFKTSTVPKAWLHATIIPVFKKGNPSSPQNYRPISLTDPFARLFERIICRQIRLDVGHQFSVHQHGFLPRRSCPSSLVYSTSNYKRILKDHQTVDVVFFDFRKAFDQVNHTLLLQKLKGFGVPLQYVSWFQSFLKDRTFSVMVNGSIESIISPIPSGVPQGKVTGPPSFFNL >CRE22722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig471:4883:8337:1 gene:WBGene00072859 transcript:CRE22722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22722 MSASVERVFKCTRCYKYFETEQDRRLHARIHAKKHYKCKKCAKSFRTAKEVKRHFWSEHSMSSADNKSRMKVDYEMVKPSTKQRKEEVRKMKEEGRKRRAKEEAMEMKKVSKRQNNDQEMMIPSTSKLVCCHQRKNLKYSASNRVCCGKEGCRIQPGDDYMCEALDANGMARTYCISCWNAGTKDTVNNVDAWSRMANVNKEKEPIKECTVCGDLWHESCSMSNEEQFRCVHCVPRRKVEIQHENQFSVFMADRINRFCKSHETRRSHEVVVVSFTNRKTVDLVEERPQHLKKEFRKLFGRAPTEYTERLIYVFQDTDVLFFSMVTHEYPNHCGKSYCLIDTLDSIPFLDIHKRLSVRRGEVYQEIILAYFDYMRRIGFEKGHIWADAPIQGDDLFFTCHPSTQLYLTQKKLEGWYEAMLRKGKEDGIFKEWMNFAGFKKMVERDLPRITIGNKKNQPQNIRPTDIPIHKGSLWDRLIQDLSPGEKDKENKVKMATEYSTYLTSEFKNHSKDTFWMDLAPPTQPMEEGTRSYSHEKLGDKYSFLELCEERNWEFSTFQRARFATLGIIEEINRGTVVEED >CRE22723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig471:11737:13307:1 gene:WBGene00072860 transcript:CRE22723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22723 MPSSTCTFCSESFKTYQELVEHREETKHFNKLYLCEVCNSRFSKQNGVENHFRDNHLPVSGKGKDDEKLNRMWDKGTYFTKSEKPGKPEKKEKNEPNSDGIKRQADDNNAQKPPMKKIKKFDPTKPSTSKAGKILCCEEGMEYKYGATNIKCSGKKECRIRPGASYMCADDDVYCMRCFGVEKRKKKDNILGDINNWRQLENVVETFEVLKECGDCGGLWHESCSMTLATTTFICYKCITGYSIPKIEIKHECPLSQFMSERMNKLCGKPVTRNTGIAVVNFTSRRTVDLVADRPDHLKEQFGQIWEHYKLHPKDDICDPEDFQSRRYILLYDMP >CRE13895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:30880:35632:1 gene:WBGene00072861 transcript:CRE13895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13895 MGSKRVYTVNSSDGSLPKKVSDNSMASTDSSSTYHNSHGISWAMAAVFIVGDMMGAGMISLPLSLGRAGLIAGCVLILLASLFSGYTGCQLGENWEMMQNRWPKYRTHCRRPYPEMAYRALGNWARQAVAVCLVVSQFLIACVLLLISAENFTNLLNTFFSLHLDFCIFIVAIALILWPFSMLQSPMDFWQLAVISAASSTVAAGLIVFGASWDMSACVPYRQMPSLEAKQFTLAYGTIVFAFGGHGAFPTIQHDMANPGQFNKSVISSYILITIVYLAVSITGLMAYGDSMVDTVIPSIQLTWVAQTINVLITAHIMPTIIIVLSPLSQQVEEWIKIPNQFGARRALVRTLILFCVCFTALSVLKLGLFLDLVGATTITLMTMLLPSIFWLFMQASAKKREDLIKMGQVQPNSPDVETASLADVWYYTPKMLLAFNCISLTFGLVGGLTSAWSAIEALIFSEQVAPCYVNWFRNGFNAQIAGGSLNCCGAFKNITHYGNPNQCAAFHH >CRE21580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig273:34551:35950:-1 gene:WBGene00072862 transcript:CRE21580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21580 MALNLIALEFQMSSSDSTTPSGSKGFMHMPAELFDQVDAHLSFEDSTNFKSAHPLIDVRLTSNLIHYDKIHLSDEEDECWISDTRCRVAPRKFRANNVAVLIRSLENLREITVIMKDVNIKSAQSLEKKAKLTDYTPYTPGGYLAKLFNGVDPAELRLRQLSLHVDIMVESLQDVYSLQCPTEDLSFSMNHLINANFNSFIQVLRYNAPKLVLIIHSYFLPFRDVYLNI >CRE21575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig273:33235:34123:1 gene:WBGene00072863 transcript:CRE21575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21575 MHCGSIRVTCERAVEPRPLIQKRPHSYSLSVTCVVQPPQFVPHGFSPRNTTTTPTFCTEKTAPTQNSLHCQFDSVRTQTKVFAGKSKQTISITPIISEEEVFEEKFKKEFPEYIERKKEKYTWKQFYHKRMEKKQKKQEEKMNKLVSKIGKSTAIQRSATSKTKLIDIAGSTSEKKPSKLCPLPSNQARTGTDPKNRQVITTLHGPTKIRRVPPVAITRTFTQQGASTKKTTPLMRKCLQMMRK >CRE21574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig273:18693:24379:1 gene:WBGene00072864 transcript:CRE21574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21574 MGGLLVPLLIFLVPTVMADDTCLSRGQLFGVIFGSVAAAFLISATLAMIMYIWYRRSNAYLKNVKRYQTAVNYKSIALGLIYKTYIIISPGTLRETDEKSAYANDACDVEDKGCDAPKSINKDKMTMIDSFKLKRKMEDTGTQKAYSMEFLNEVEGKVGVQLRGADIGGLGFNIQGNMNEGIFVKEIISKGIAEQCGNILVGDKIKSLTINFENMVYEDAVTLLSYSSPYKVKLELERKLADNVSASESDDEKEMRYHPLFRSNTLTHVHFNPLGGTISTPATTPQRCTSADSKQKHALPVAKVVEPDSTTCADDSSQGARDADNSRMDSSDYGSDGVSDCRESTASDGTQKTLSDRIEITDIIFDKVKSPTPPPARKLELCTELPPKPRKIVSRSPSPIHKPPPSPKVSRATSPKKTQQVEDSTQTPRIPAETKPTQTSAAVPIPPPISSIPVRKEMETQTKTPSPVLPVEERIVEIPPVEIEEDGPKGPEITEARISRIPKRSDSIKTPVVERKLPALPKSVTQRSHSADKNDNVWSRLYQEKKGQLRKTRDISSPPTAHISGIPTPLRSNSITTTAPPAPKPVSTENEKYGTLTREKRDRLMANDAELERQREELKKLGIL >CRE11525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig458:7270:9773:-1 gene:WBGene00072865 transcript:CRE11525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11525 MSQPLERANRSPNSSEDELLSEFSNILTPKIDSMGTSQEISDTELSCQFKKRTVNGDEDEKEQMQKLQSAVDCHEDIAEALRDKIDSLRNNKFDLEFEAQEYQYEIRENQEKIENLEMRNVEKETHYLEAIALLEKAKNVNFIAYDGAKMAELEQENKELKEKIEELKETLSEERQRNLTLCRSKIQVKFDELSYYMKQLELHQEIENRDEKLRQKDKEIENWQWDVIDLRRRIQKPSGLVKKNTKLLKEMKEAKETIQKLEQEAIFSARKVPDLPIDPMQIGAADFLSVSKAEYNQKVYELEYANEQIAMILGDQEEKKKREDEDEKKMLRAQIEELNETIRLMSQW >CRE11526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig458:11360:17091:-1 gene:WBGene00072866 transcript:CRE11526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11526 MSEPLQQPNRSPSNSEDDLLSEFSNILTPKIDSMSTSQEISDTELAVQFEKRTEIAGPIPVSGEENEKEQKLRSAVDCHEDILEALRDKIRSLKSKVFDLELEAQEYRNEIRENQEKIENLEMRNVENETYYLEAIALLEKAKNVNFIAYDGAKMAELEQENKELKEKIEELKETLSEERKRNLTLCRSKIQVKFDEMSYYMKQLELNQEIENRDEKLRQKDKEIENWQWDVIDLRRKIQKPSCLVEENTKLLNEIKEAKKTIHKLEQEAIFSAPKVKKGKKGKKRQTDPTTTEDFSSVSKAEYNRKVYELEYANEQIAMILGDQEGEKKREDDDEKKMLKAQIEELNETIQLMSQW >CRE22134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:15404:18976:1 gene:WBGene00072867 transcript:CRE22134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22134 MKEEYSSSSLEDTEDEYNPYKKSRLESSGSESSDDGEMKENSSELQKMEFSEVLEIDCIWRSGPHFGNFRKKEMETVVRLGARTITDVSKFVLPKFEKPGSKFASFDVSDGIFNDGLKWSRKEKGKPVVKPRKRTVSLAGEKFEVTSFCSPLCSSFKKFTLQKPNDKTLHVVYVSTSARHFYDDLMNMKNNRISDCLSKKVREALAGQTIKSVFENLKKVHPELSEKQVRNLARTVPDCVKKNRGLVPVTVPEVLAQLQTTTRDSYFNSKGKDFEFVYVDKDMMSIYVKSLPTRINIQDYKSTLDQSEKWSVDDINSFIDYHSKNSNSGTINKMSGQLQIDCTFDLSDCVVTIISCDLTGFLTRSSTKTRHCCLAFMISSSKKRECHKFFADCLHQEFLNVDPRLQKGVPVVVTDGESALSEYTNKDYFKDTVLLRCAVHRRDNLTREMLVSDSEVRTIFGTTLEDGKLRGGLFDVMNFDSFEKKIEESDLSETVKNWLDVRKLDLFKTHSLYQRLQAGLIMQYVTTNRIENFNSKIKNHFSKPVTGSRCAEKLICIVEEEKSRLADAVLNGNDGFISYSSYRNSENATGFDRSKMLQKVGFLKSSVSQVLKIPNILWNSCDQDKLKLNDVFSLEVTTKTDEKFLVQKSRNSEEAVSVHIVNGSTLVCKNSKCSTDLNALCLHILSTALILPVHTRMRCWTEMEKNLKQISRKEFSRRINSGKEARRFNKRKYTSSSRNNDDRTLLGFARGKTSEQNETSFDGENSVISSNIDMTETTIRTPTEIVDSDDTMIDSATPTEIVDSDDAMIDSACDETEKQSNPSNSLQANHTESESSSDSDNCATFRRLNKFIKNMKVPRKRNQSSKAANVGALKSDENFEIDSSLDSPKSPKTKYTRLSRKSSDDHHRSNRVQKSSQTKYNTRANSLKKTHT >CRE20043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:21319:27658:1 gene:WBGene00072868 transcript:CRE20043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20043 MDTEGSTTTVCHVILAILDINTRGLHVVRFSDLSDLEELCEQAVVESPCYDGLRAPKGKENGGVPLLGALWLATHNAVDRMVYVAAQPGNFYPAEHVQANPHPPAPYSTTSSSSILHQKHLCVMNSSILHHIQQLHHIQQFHTPPHPAATPYPAAPTHPAAPSVFFETTHGNNRVIETIRFGRLTLETITPRSSDCVQLLRHLHAARSSQKSFDESRRRFHSWKWNWRRVDLLRDVVKYGTQDEPADRELLAALAHTRWLMLSVESGNPHPESLVDLHEQFGRANICRAALANYDGMYFLFKWNQKEQKG >CRE18327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1163:479:1063:1 gene:WBGene00072870 transcript:CRE18327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-21 description:CRE-SRE-21 protein [Source:UniProtKB/TrEMBL;Acc:E3NQQ4] MNIFSVKLATKEEVLDRSQDTGDVNIIWVFTFNSNRDSTLFEFFFVTFLLLVSLIITIIGVFCISRSNSPHRNNRFIVTAGVLSWLELLISRSLVFGFQFSRENENSENSSSHLLFWAALFRYHYMFFGVHSLLCVTAERGMATVFLR >CRE22132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:5934:8663:1 gene:WBGene00072871 transcript:CRE22132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ddo-1 description:CRE-DDO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NIY3] MPTKIAVIGEGVIGCSTALQLSLALPDAQITVLFDRPFGETCSAGPAGLFRIDDEANRAYGKSTFAWFAHLHRTEKGDATGVKLLSGHIQSDSRERLEQQQRAYGDIVYNFRFLDDREIKDLFPNPARHCIHYTAFASEGNKYVPYLKSRCLSNGVLFKEQKVAPGLAELADTDYDVIVNCGGLDGGVLAGDDDTVYPIRGVVLDVDAHWHKHFNYKDFITFTIPKEKSVVIGSVKQDNRFDLQITDIDRKDILERYVALHPAMRDPKIIGEWSGLRPGRKSIRIEKQIKKSEKTGKEYTVIHHYGHGGNGFTLGWGTAEEAVKLVKESVLDRRSKL >CRE22131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:2869:5830:1 gene:WBGene00072872 transcript:CRE22131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22131 MTSSIPDPLIFNCSVMLQLANSHFLQSVLYFNLFCSVVAVFCLIHTWRSICRYKLMHFNLKLLMKIHCAALLIHCVPRLFLHLFDLYAYFFASNCYEMQPGSLRCFILRFPYMFGLILSSTTTIFLMIERGFATCYSQNYEHGYKSTGITIGCCQIFCSLILMASVFHEYDFNAPHYYCSSISVKFPLWVIIPEVLIIVLQIAARIGNRCLLGLNKRIRERSVTATLSNRYQLEANMRNIRLLQSFTLCDLFFVFTCFTLSAPVHYYSSEMERATYHGLVEVVNFVPLYSVVMPLYLWVFRKKHRDIVTNTLQASLTTSSDHYFNVLNQQLSVREEGEKKRIRNKLRKK >CRE18308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig843:10503:10790:1 gene:WBGene00072873 transcript:CRE18308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18308 MIKEKTFIVTSILSEMIFHSKESHLKSIYWRTVTRTLREVLIKLSGAPTCSIDTTFFETYYETSRGEDLTETPIEYLKRNRDERGCCLYCLLQGV >CRE23213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig718:1067:6738:1 gene:WBGene00072874 transcript:CRE23213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23213 MSVPSLLDLTNSQVMDCIFEGTLPPSAYQLDPVISNRLFEEYCRIYDIEITKSVIKEICSRLNVTRVNMRHCVVQRSEILILQNQNIESLVMGSLMCLEPRQITAPSTTLKIEALLKRCLNEESRKSLKHLDLSQTGNDYLDGWVENISKLLPSLISLGIAGRSLSATEFTTLCTFYRNLRNLDISNSNVNDLRGISNLSNLEVLAIGGLEVTDMKEVFELKKLRVLDLSSRECLCCLRNLLETYLSCHQVLPDLRFIDCSGNFANPDMIVNLLETHKTLQQVGLIGVDRDFPDFPNVKLLTHSTIRTSIEAIHHYTTTKNYSAICAILKKISEILVENYDGETEETLRECFFALCRVIKVFPDGQSLHKLVTECLRIMCRDTRIYMLSVSERHDLVNTLFTICDHWPTYKQNFEQSETIQNVWKMFQNLSFLMTKNLNSRRIFETAMEYVMEEGTMIGYIEKCCLKVMGDSVDQMSPSDQLSIFDHRQTCRHLLDLLHNSYKQNRHEIYRLVLGILHKTVAQCPEVFVEMGGVWILIGHLKKFNYYDSLCMLRMLVTSKQQKIVQEMRKTDNVQRLVRFLQACANELDVSPNTYMIKEKTFILASILSEIIFYSKESHLKTIYWITVTRILREVLIKLSGAPTCSIDTTFFETYYETPRGEDLTETPIEYLKRNRDERGCCLYCLLQGV >CRE15061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig623:10988:12094:-1 gene:WBGene00072875 transcript:CRE15061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15061 MSSTPFPLFSLPYVPLKQVFDNLGPHGIINFSLCSQKSRNMATSYRGPSKSIKIEIHFGRDDCILYRINFKKHWLLSVSEIKKEDQGLDTVRIGNFEKRIPVEMDENGYLKTYWEDRMVGMSILGDYIRQVFNRDIHQLLLGEEHKENDHRRAVGWLMASQNEQVKHLCCNFEPRADKDLDNILETCNYTERLQLLVKPSENYRPTKIPNLNVSQISIYPSFWIHLDHLLTMNARTIVLTDSKLSNEDINIFLKHWMNGGCFELKSFVIFMHSSMDPINYQVVMNGIQFINRNRELKRSYVNHENRPYTVRGGVDIQRSIDGVTATVLDRSLELNRFHLVVWPDFAGNSHLP >CRE15057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig623:8542:10495:1 gene:WBGene00072876 transcript:CRE15057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15057 MPLYLENYYIIRPDDQFMTSYKVMDNGTVITLTYTFYSWPVLFPNLINILDMIVQLAAIHFVWTGKMFRKTKFFMLLFIFSTTISWRTFTFIICTTLASSIGTGVIYRITTYISLYTDAITDYFSMQMIFLMSLNRCLSFTRDTWNSRIFGGYRYIPTVIVSGVLAIISAISGLITSKVYRHFNYAYGFVDYGYSVGIRAEISRLYYTFQVSSVFCYAILYYYMRKQNKTISNQSANTNQGEKKVFVQLCVAAVLEVPYYPPPIFLSSRPSQPSTNATFSSPASIDLKRPVALGTTPFKTPQWGY >CRE15060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig623:171:4180:-1 gene:WBGene00072877 transcript:CRE15060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15060 MSNNESPPPVARANSISATINYLHLDADKVLSAFGKYGRYQMLAYVITTSVHMLFALNMMIMPFITKSITFLCDLPDRETPDSAVSDDLLYDHLNFLLLDSFSYAYELDKKSTITSDFDLVCEHQGMAEHATSIFLVGGMVVSPFISQLSDLLGRRPLFLIPLYISVIANLICVIAPNYWIFLLFRFISGVTTTSFSMTGFVLCMESVSVEFRSFIPVLTTISWVGGYMLAGVFYMFFKNWRMLYLMATVPGLLTIPFYWFTPESLHWLLTKQNNRKIEKYINESVTFNKQTISLIDCRSANQQTSEKTRTFRDLFIPRIFVHVLINSYILIVMSGTYWALSLYSTELSEDEITGYFLSGLVELPAGFLSVILLIIFDRKTVSFGSLVLTAVFMICTVWIPMHGNWKMIFPLLAKSTNSIVWASQPLLYSEGTPTTIRNVFSGVVSFLGELGSIGAPYMNRLTAINQDAPAIVICIMSFIAAGLVLLQPETKNKKLPEDIDDFDAGPLFRGCHSEAPPNVTSSDSEDVKKSEEEVELMDVVVASKE >CRE03554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1170:4473:16600:1 gene:WBGene00072882 transcript:CRE03554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03554 MKTTAITTATDAEALLSEELESIFGVGTDGIKEDRALGSRMPMAYFNRLVDVVDHSGAVEKIEAWIAEDRKSNAGRKPLIGARAALVTLLLNNHWGHANTYVEYGLTIGIRFTQEQRDALDIKLEGTGKQDWYNRTWRAMQRVLRVLDPFWQTPKKERLSGAAFQRALELKDRERHLRLEEIMNLINRSAIRLLPKPHLDGYRGDIAQDATLIEVAGRIDNAKTGETRWNVEFSGGAYRREGDHKGTGASTDKYGYELETVTMIDTSKGAFAFPLVTGIAIHRPGRLKTGPLRAFQEHTRFSGRAGHLVVDRAYNHLKAFRYQEHVRRAGYWTVYDYQKNQLGPQGTLEKHPVILVDEPDPGRRERAGPRSGGRTARPRTAGARFDPHPASTRGHPHGRRAPLRRWQHRPFPRHHLGRRLGHRDPPRRGSGDHRPQPRFHPRHRRRVHLDLPRTPQPRRRAVDPDRSRLDQRHQARRPARLGPGPPPHVHARHHRHDHLRAEALTVAFGFASAIGSHVGMVRSNNQDSGFAGTQLFLVADGMGGHAGGDVASALATQAMARLDEDELATPEAATSTLRQSLLDANRMLRSTVSDRPELSGMGTTFCGFMTVDDHLALAHIGDSRLYLLRDGSLQQITKDHTFVQRLVDSGRLTEEEAKTHPRRSVHMRVFGDVDSNNRHCRRHRRDHGDPQPPGAVPLHLPHRPRGRRAAAPADASGHRHRARRRTGVDPARQLHVPARRDREDPAGRLPRRIPDPQPRGALDGRPQVRRHPVPARPRPRPAAAVLAGGHVGARVPARPRHLAALLRPVPVAAVPRDGPHRVDHPRRRPLPRRRPHREPDARLRAQALRELARPVRRPAGRQLPARAGPLRHGQRRHDRHGPRPGLPAGHPGLRERLHHPQPRRGARPHRPLRRARGVPAARRPRPAHRLRRAGRLRQAARRRPRLRVRAAGLRGRRRRDPRDPAHRPHRAVPRGRRIRARLELDHRRPAAAPVELGAQPTQAGDPRMNKPLKLLTRTVFGMFFVLFFAVTMIQFVSADDLRSNALNQRTVKNSYKVERGSILVDGNPVASSRASCAPSPAWSRRAARSRPPSTPRRSAPRGTRCRASRALSWRSTPRPARSSRWSRPRASILRSSRRTTTRRSSRTTTSSPRIRPSHWTTARSPVTPTTPVRRSSSSPPRPPSSDGSGVERMRPTAGVTFGGRYELSSRIAVGGMGEVWKATDSIIGRSVAIKILKDEYMGDPGFLERFRAEARHAALVNHEGIANVFDYGEEQGSAYIVMELVPGEPLSTIIEREGKLPPDRVLGIVAQTATSLQAAHDAGLVHRDIKPGNLLITPEGRVKITDFGIARIADQVPLTATGQVMGTVQYLAPEQASGHTATPSTDIYSLGVVAYECLAGKRPFTGESQVAIAMAQINDTPPDLPEDIPAPVRNLVYACLAKAAEDRPQTAAKLAQAATALHRGDVRLAASYVPQVLGTATADATQVLPQTQVADAATTALPQTTALPGTAQLGLPVDGEPEGEVEEKPKKKRSPWTWPLITLLALLLIIGGGTAIALLNQGGDKPKATTSKTTTSTKPTTSKTTEPPKPTTGVVDSAKLVGMNADEAKAYLEGIGFTNVRTEAGNPGPDDKVNTVTEVNPTGTDVPFDEAIVLTFSAKFGDAAKPGTPTGPATVQTGQTFAVQGITASCPTGLTVDSFDVKGDRRHARELAQPGQRADPGRHRGGPAHRELHLHLLGSRPAHLARLRHPHHPGAGGGRRELQRRRERRIRRDDGRQRYRIGELARPGVSSPVVTPSASSSARAGCRPSTAAPTPSWFREALALALSGTMPKLGGSDDQTNVLFSGGDEVSESELALRQLSEGGGTRTQSRPPVMWTWAAILTVGAVIIAVVFWLMTLAPKDIQPSNTRQVPELVNVEKDTALKTLKDMGIVAVPVEQSDDKVAAGHVISTDPAGGSKIDLDTSVRVLISTGPVSPDVPDFQNLSVAEYTKKLEGLGLSIGVIKKQDDAVAPADRVLAVSPAVGTGLKPGDSVQITIASGSVNVPDVKGQSLD >CRE15839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1786:386:4557:-1 gene:WBGene00072883 transcript:CRE15839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15839 MQWTTAYTESVHTYANTINTHEGGTHEEGFRGALTTLVNRYAREKNILREKDDNLSGDDVREGLTAVISVKLGEPQFEGQTKTKLGNTEAKAFVQKVVGDQLGDWFERNPGPAKDIIRKAIQAATARLAARKARETARRKGLLESGGMPGKLSDCTSKDPTISEIFIVEGDSAGGSAKTGRNPHTQAILPLRGKILNVEKARLDRALNNTEVQAMITAFGAGIGEEFDPEKARYHKIVLMADADVDGQHITTLLLTLLFRYMRPLIDLGYVYLAQPPLYRIKWANAEHEYVFSDAERDERLTAGSQAGRRLVKESGVQRYKGLGEMNHEELWDTTMNPETRTLLQVTMEDAATADEIFATLMGEDVEARRSFIQQNAIDQVDLQLEMQRSYLDYAMSVIVGRALPDVRDGLKPVHRRVIYTMYDGGYRPDKAFSKCTRVIGDVMGQFHPHGDTAVYDSLVRLVQPWSLRYPLALGQGNFGSPGNDGAAAHRYTETKMSPLAMEMVRDIDEDTVDFQDNYDGRTQEPTVLTARFPNLLVNGSVGIAVGMIKRTRIDNYRTQHRGGKGVKDAALRADDIVEHFFVTTTHHWLLFFTNTGRVYRAKTYEVPEGGRDAKGQHLANLLALAPDESVTQILDLREFEADRFLVLATRDGLVKKTALTEYDTNRTGGIIAIKLRDEDELVSALIAESDDDVLLISKHGMSVRFTASDQALRPMGRSTSGVRGMNFRDGDQLLQASRITEGGYVFVVTEGGYAKRTAVDEYRVQNRGGFGIKVAKLDEARGDLVGGFIVDEGDEVLAVLASGKVVRSNVAEVPAKGRNTMGVVFARFPERDRIIGIARNTERGLDDAEAESAAGEPAETDAAEHAEDKESLNE >CRE30522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig328:10224:11386:-1 gene:WBGene00072884 transcript:CRE30522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30522 MSFHINRSPFLVQIEVLKQLEINEIFLMSLCSRKMKTLVQRIRLRPKKIRYSLDDNETQVSVGFTESDEKLHHMAKVKHVSYIPVDEMTSQQLGGERIECRYSKNSLTEVFTFTLEHLEENDKNVLALLQNHCARLFSNKPRIQLEIYSVATLMLSGIINDVKDTSFICEKLNTSEIRRYLRMHSNHESVQVRSRCQGRVFTKKSKLLKMDGLSILRTGAMTPTIMHNFSGRFLLLQNSVLCYQQWSEVIRKWKNKEAYHKLHAMIANNPKPLPNVLNWIPLLREFNALHWDGSRRPQYFKLDPKFVETIHFPQCDPEDIDCTDWLDIEQDGGNKWASIQMTAAQIRFFVWN >CRE03387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:130131:130914:-1 gene:WBGene00072885 transcript:CRE03387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03387 MFTMERLEENMRNPNLQEQFVLDEIRFGKISTITEKFLKRNCTMFDTSTGGLLSQIEQLREMYPEKEFALLAATRAKVDALNSWKICLMPGAYKYWAVNRKPVSGNTEAFKFVEHAFPPPLFLAPGCRVIITHSMRRDHSVTNGTLGILKAASKAEMVVTVKGRNIHLHRIPYRNDKEMWWQFPLAPAEATTIHIVQGKTFDGVVLVTGTPLPHNGAVYTALS >CRE29372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:291409:299986:-1 gene:WBGene00072886 transcript:CRE29372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gop-3 description:CRE-GOP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MY51] MPEKTFHKAQTIFEKASGVPSIVEAVQFHGVRVTKNDALLKEVSELYKSSNLDELVHNSHLAARHLQEVGLMDHAVALIDTSATSKEGYVVNFLVREPKSFTAGVKAGVSTNGDADVSLSAGKQSVGGRGEAVQTQYTYTVKGDHCFNISAIKPFLGWQKYSNISTTLYRSLAHMPWNQSDVDENAAIFAYNGQMWDQKLLHQIKLNAIWRTLRASRDAAFSVREQAGHTLKFSVENAVAVDTRDRPILASRGILARFTQEFAGLFGDASFLKNTLDLQAAAPLPLGFILAASFQARHLKGTGEREVHILDRCYLGGQQDIRGFGLNTIGVKADNSCLGGGASLAGVVHLYRPLIPPNMLFAHAFLASGSVASVHSRNIVQQLQDTQRVSAGFAIAVTYSSLRVTVAIAVTYSSLRVTVAIAVIYSSLRVTVVIAITYSSLRATVAIAVTYSSLRVTVAIAVTYSSLRVTVAIAVIYSSLRVTVVIAITYSSLRITVAIAVTYSSLLVTVAIAVTYSSLQVTVTIAVTYSSLRATVAIAVTYSSLRVTVAIAVTYSSLLVTVAIAVTYSLAFVFKSIFRLELNYTYPLKYVLGDSIMGGFHIGAGVNFL >CRE29371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:290373:291215:-1 gene:WBGene00072887 transcript:CRE29371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hap-1 description:CRE-HAP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MY50] MNFFRFPFLKFQFFVQLLILLIHFYLIFLQFFSDSNSRISGNKMSLRKINFVTGNAGKLREVKEILKNFEVTNVDVDLNEYQGEPEFIAERKCKEAVEAVKGPVLVGRENR >CRE29324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:289465:290018:1 gene:WBGene00072888 transcript:CRE29324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29324 MPVTEIKINFKDSDKPVDLKSGEVIKKCPAIARAIEADNGNWETEDTIVDAPIDIPFPQKSGEFLFSHILKYIKPAEDSWDTKPEDFPEANAMDLDELKSIIELANFLECTDFMHCIGFVIAKKVEVLSIEEIAAYFGVECKPEANFFDEADGWVHPPKEIFEGNN >CRE29186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:100106:101523:-1 gene:WBGene00072889 transcript:CRE29186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29186 MNSLIVFYVILSIVSLIMTMPINYGASLNAVHSDDLSAEDYNVLRKNETRIERIHKLQHFFNEDHHESTWTWRDTICGAFHSLSLFPPNCFKEE >CRE29187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:104578:109334:-1 gene:WBGene00072890 transcript:CRE29187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29187 MVSSSTIFSNNYDKPPKPESVFKSEGGEEMMPENIEMFEEEDFTPRHASKWSRSRKLLYFFTALFFLTVTLGLLYQKRVERQEFFENLQEFRDFNQKFQKIHKNSVEFKERFLIFRGNLKKLEILRSSNPDIDFSINQFSDMSENELKLILLDKKLLERNFQNSTLKSFDLPMNLTRPERIDWRDSGKVMSVKNQGACGSCWAFATVAAVESQYAIRKGTLWSLSEQELVDCDGESYGCGGGFLDKALGWVLGNGLETEDDYPYECTQHDQCYINGGKTRVTVDEGWSLGRDEDSIADWVASVGPVAFAMSVPNSFTAYSNGVYNPSEHECRDESLGYHAMTLIGYGTEGNQPYWIVKNSWGSSWGDQGYMRLARGNNACGMRDFVVAPKIN >CRE29179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:110716:112947:1 gene:WBGene00072891 transcript:CRE29179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29179 MLITSIKAIRVRTKPKMAVDLAFVYKHIPKVFGTLAFIVNPIFVYLIFTEKSTKFGNYRYVLSYFAMFNLVYSIANVVIPIDIHSYRYCFYLFISDGLFVESTDWHLFLLSLRCALVASSYAVLLSHFIYRYLVVLDSTLTKGNFHIYMMMSFCIFLAYTSVWHASCYFLGSANQEIKEYIREDFGKFYGINSTDRNMISCLYNEGTDFTVIRSWSTTTLWTLISAISICVFLKLAHMVTLLKFLPINYVEVIALGAFAFCDPVAIVMCLPVFRQRVLCKKKPLPERQINNATSTTATIKLGPQN >CRE27805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:234805:237865:1 gene:WBGene00072892 transcript:CRE27805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27805 MFIALLILFTLLNISDAAFRYENRTLTCQTDNGVDKCVLTAPDSKLQNLNLEINCRREPADEKNSQSKKMRLACPIRCPKDYEVHVLNKIPSSNRKCTKYYTYGKYQAENEWYIWMLEPCISTISTHCRYPEEVLTFSV >CRE27829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:229361:233264:-1 gene:WBGene00072893 transcript:CRE27829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-75 description:CRE-PQN-75 protein [Source:UniProtKB/TrEMBL;Acc:E3N5K5] MAKFVFVLLAVTATAVAQQQGFGGQQQQQQGGFNGQQNGNGQFGGVSGAPQGGFGGPTGSPPPFPSQGFSGAPQQQGGQQGGFGGNQQGGNQGGFRGQQNGGSPPPFPPQGFSGAPPQQQQGGQQGGFGGNQQNGNQGGFGGQQGFSGAPQQQQGGQQGGFGGNQQGGNQGGFGGQQNGGFSGAPQQQGGQQGGFGGQQNGGFSGAPQQQQGGQQGGFGGQQNGGFGGQTNAPNGQGSQQGGFRGKRQSENRGGQRPTGSPPTGSPPTGRPEGGRPPHHEGPRHGSPPTGSPPTGVPPFEEGQGPRGSRPTGSPPTGRPLRQKRQAPGSPPPRPSGAPGSPPPPPTGSPPPPSGAPPTGSPPPRPSGAPGSPPPPPPSNAPPTGSPSPPPRPSGAPGSPPPPPSGAPPAPRGKRQAAGSPPPPPPPTGAPPTGSPPPRPSGAPGSPPPPPSGAPPTGSPPPRPSRAPGSPPPPPTGSPPPPPQNGGSPPPRPSGAPGSPPPPPSGAPPAPRGKRQAAGSPPPPPPPTGAPPTGSPPPRPSGAPGSPPPPPPPTGAPPPAGASGSPPPPPPQNGGSPPPPPTGAPPTGSPPPRPSGAPGSPPPPPAGASGAPPTGSPPTGSPPPPKGFFAKLFDF >CRE30357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5208:5:1549:-1 gene:WBGene00072895 transcript:CRE30357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30357 METAWSNILDYKNVSDRYVLTEEDKKGAFARQYFEVYEARVNALKPRIIEIAERDIGKGKFKHISLSDAKQDEEIFVIGVIVKRIAARPSILKSLLNEDKVAYDDYEGDEEDDVKRYAGSSEDRIELESEKQTVRLDGAISMDECATGCCVGVLGKLGKEGVFHVNRLIWPSSKISKKSSAEGTIVFVSGLELTGDMEEDQLTISGLEFMSEWMNSEAFGENQCPPIDRVVVIGPIVETKSNGCDVQSVVRTLTLSRTEKQSSAKALITVDKLISSIAEKPLVNTVDVTPGVGDPCSSMWPLPPIHRVCLQRCAMSQKKVNLVTNPYEFEVNGLRVMTMSGENVTELLRTSHKWTGADVLANLVKWQHVAPNCPDTLDAFPMAERDPLVMETTPHIIVCGNQPQAEFRRVPIDGSDSTCLVVCLPKFSMNPCCMLLKLEG >CRE29106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:70938:72412:1 gene:WBGene00072896 transcript:CRE29106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29106 MVSNRKVDYQQTAEYTLTELKNVEYPSDTGCNGTHQMNQILVSCNEKNMLIEENKFETRPTPFGSRQFQISHNEHLYQSIYETETKNLHGVDHKTEKSSVSGQKRKQPDIDEPDYSMDCTSSPPLSARSDPCAMFKKITKPIPSHIEVDNRKIVRDMKEWFGLQHCTIKFFCDTFLNINPNHLRNLFAQPREFHVLREAKQVFIKMYNWLEMSDDERAEMLKMDLYPKSERSSYFETVLDENGELSKSCSFNLTEILSEPPKKIIRIDCPTPNQLLSRPITAEKVAEIMNKPATYVDTKRVSKDLKEWLRSTKTSREWFATTIMERAKRTLRDHLNNPKDWNDMVKGQEIFLRMHNWMAISEEERQAILRFYRMDGRESVISMASPNDSFRNL >CRE15190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1187:48:1741:1 gene:WBGene00072897 transcript:CRE15190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15190 MDKLFSGDKGGGEFKLCATVGNVVAPNSAYHIIPLGMFTDDEKVEAIKEYLADIIEQLNNLTELKLNIGGVISSYPVDQYLGGDLKYQYQMIGHKGAAAKKSCMHCFSDGRVKIGSYERGRCLKARTEADYLLDSANEKNSNSVIPGSAFVFNNVRLANVVPPSLHILMGVAHRYGFKFLLDLAMDIDNKSNTKIDKSKKKAMRNAKGDMNVKEKEYNGLKQHLDSFEVVLQVMSRFKTSTIIPAQSHTSPCSAEWCLFRDNEMKKAGVFKSTPLRCATCSEVSHAVCSGLWSEDDWELLSQVEPDMDCLRCCGRKGAMIEEDARKVEREMREKLEELKRELEVAQENYRMLMTAVNGEGEKREELEKAWGDCGADMSAWQQNFTGNHTMKLLQEEAVNHYTSVFPPTDEILHVKAFLICLGKIAKLCLPRSMTDEEIDEMDALLDVMLHHLKQFQSQENMTPKLHLLLEHVLPFMRRHKTWAKTSEQGLEALHAIVNRLLNKYRCTRNKEEQMSQVFCSLLHLGYINSNF >CRE19559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig483:11658:12287:-1 gene:WBGene00072898 transcript:CRE19559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19559 MKPGFSFRFPSQTTIIGATQSGKTTLLRNILENGNFDEPIDNIFWFCGIKTPSIPTLLPNLQVYEGLPDVELLKECKNQRNIVVCDDLMTEFGKSKESLNLLNTLFTAYAHHLNCAVFNLVQSAFALPPITRNNSTYIILMKSLSDAAQTKQLLMQQFGDGWRNAFETYKECMARPFSCMMINNDPASHPHMRILTNILDEYPVSYDPI >CRE19557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig483:9278:10333:-1 gene:WBGene00072899 transcript:CRE19557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19557 MEAAIKDAWTNEKHPCAFTSVASVYKFVKRRFPRCKLEQVEKTLQDLESFTLHRPNVSRFPRRATRSIGMYCDLQADLADMSKYKSKNDGVTFLLNVIDIYSRRLFVKPLQSKHGVGVAKAFQEIFNEMSTPPLTVYSDDGKEFYNANVKRLFDKLHIKLASPKSEIKCAVIERANRTLKTRLAKYMTEKYNHRYIDVLPKIVKGINNSFNRGIGAIPNQVKQGDFPIPIPRRSSAKIKFSVGDHVRTAAKKAHFDKGYEQGWTTEVYVVKQVLAGHPVTYRLVDTNGEPIVGIFYTRELTKCTYAADAVYRVETVLRTEMRNGRRQHFVKWDGYDSSHNSWIDANALLNL >CRE19551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig483:4520:9007:1 gene:WBGene00072900 transcript:CRE19551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19551 MSDEIVHEPEEIIEDLLPGDEDHDVDGQRRIVPVPENYPKFVKRRAFPSAEELEYFGNFAEIVESTPLERISGGILELESTLLKFKNFENLPTTKSLDIHISQLFDIFIRKTIQQAGGSLKGTKYWLCLRHPDWPGEEIWINHSTHFVADGHTLVNSIAKVMQSNKELKIDETLSLHMKIFTPTPMTGSGGPMSEQVLKIFGIKNPIVTGDGFCLPKALAIGKIWSDWKRSKKDTDEWKTLSQLWKKIVRPEKSAGHSLNKAAEQKQMAKELLINANMDPNQSDHDLSDLAKLADCLKRYQIVVWSAETTNVPTMQASFNKHMPGFIGLLYNNGHFDFFVPKCEKIQTRFCFNCSKLVDKRHSEKCDAKCWRCGMVECTKDFETVKHCEDCNIEFAGQQCFDQHLEKRSGSAAPYCQIYERCAKCFKIGKRYSHSKVFHVCGAEKFCRTCQKMVKKNHECHHALYSDTAKEKKYNKQEEWTMVILDVETIVTAPDADLTKSGPKHEVNLVTFRIICDQCNGNQCYHCGSIQNISYILKPGEKGSVLDRFCNFLTRDTRLKNAYLIAHNGGRYDYVFLMSALAQKMNVTPDFVCNGSTFISATFKLKDRELVFRDSCQFMPMKLSSMPKAFGLDIQAKGHFPYLLNYPESYGKTWDTKPPKKYYQPEYMSVEDAKEFEKWYEETYNEPFDFDEEILKYCLNDTEILTSAVCKYIKICGETFGSWNPIIQCPTLASFVMFIMSMEHFSESDVAYIPENGFPGRNNSVLALKYLRWLEHKDPTLNIQHSLKGNEHKIGPYYVDGYVAATNTVLEVNGCLWHGCPRCYNNRQSKCPRRKDFTMEQLYHQTLKRQSDIENLDFNMKVIWECEIQEELEKNKEMKDFFKRVSKMFFLQRNCRNTYNLLPREAMYGGRTQQFKTFAKADETCTVEYQDFCSLYPFINMIGKFFSKDRNSFFQFLGAWYPAGQPSVETSNFLPLVPGKPLPYRGLIFCDVLPPTNLDLPVLPYRSDGKLIFPLCRTCANKTSHQKCVHLKISERYLTGTWTSDELNLAISKGYQILKFHEVWHWPDGKWFNGGFFKKFLAPLLVIKHQASGWPRENMTDEEKEEHVRIIEENDGVRIDPNLVEFNPALRHLAKLFLNSAWGKFAQNPEKTETRLMSFEDHIEICKFFEEPGYEPKMFKTWTKDMAFVSRRILKEALVTTRFTNIMYGIVTTSAARIRLYDAMQRVGVANLIYCDTDSVMFTQTHGQDLLGDLKGEGLGKMTNEVPKGKRIVEVVTVAPKVYGIQYEGEDCDVSYTIKAKGVTLNKKSAETVTFASMKQMAS >CRE19555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig483:1044:2381:-1 gene:WBGene00072901 transcript:CRE19555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19555 MISRMDIKSEDVVIDEIDFTTMPGTNTAVLGSKFVKVPLRNAYQAEGPWEFSLTNHSRNYLDLKRTYLVFTFDITDTAGNFVQMDSKLLETSMSYAPINNIAGSIIKTVTLHINSQLVYGNSTNHAYKSYFENLLNYSQDIKDSTLSAAGFYHDTAVEEFTSLGYQKRCLMVFNPKPVQVATNISIDLMNQSRLLLNSCQATLTVYPNTSDFLIEGHNLGTKKLKLNIRDVYALVHEYELTDGLSNELEAALIEHKLIQYPMISTQVRSFYIGPNRLDAPANTLFTSKMPRRIFLGLVSAEAYNGSQKTSPFNFKPYGLKNVHIDYCGKTVPGRPLELDFENNKFIEAFIQMQEAIGHSRNNSTCNSISTQMFKEKGFTIYGFELSPVALDNSLFEMVVQTNVSIRLDFKEKTPENGLYCVVVAEFDALMGLDTLRNPILDAAAH >CRE13786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig421:11062:11976:1 gene:WBGene00072902 transcript:CRE13786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13786 MPALPILKLPIIVLMKILKTIDIEDVIPISLCSRKMYHLVKNFRDKSIPLKLRLDGGNPNVPVQVLRPDGFGLQVEVVEGTSGNLEQVNVNGHLVPIYRAGEELDWKTYWDDKEEGIHSVVEYLSDLFGVKKTIKVTLNHYSEVWLLSYIEKRQGNDYELELQPDLSEEDCHFILQNYHPKIVWILWLNPGNFPISQYLESVEFLYSVWKLSITLDDVLNTNCVELVLQHNEFTESEIKRILQHWTIGAFKRLKFLSLYVKDLNLEHVLGDLDGLTLTRMTGKREYK >CRE13788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig421:6408:7550:-1 gene:WBGene00072903 transcript:CRE13788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-20 description:CRE-TTR-20 protein [Source:UniProtKB/TrEMBL;Acc:E3NKT5] MQHVPMQLLLFSTIIFCSVQGLLGVGRLQSVAVSGRLICDGRPAAGVKIKMYEKEFFLDRKMAEVYTDMNGMFVISGRKREITTIDPKVNLYHKCNYGGLCYKKIGITIPDDYITWGYTPERTYDIGTLNLANKYTGTTTDCLN >CRE27809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:2734:3602:-1 gene:WBGene00072904 transcript:CRE27809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27809 MCAKGPCAFLVRAPISIFVGQSEPKGPPGPDGKPGADGNPGQPGPVGPPGTPGERGICPKYCAIDGGIFFEDGTRR >CRE07090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig454:289:2001:1 gene:WBGene00072905 transcript:CRE07090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sygl-1 MTPQVAAAAPVTMLALKKKEKSDKNVVTMLAYRKPASSAGSTSSASSAFEHHLSVAHQNHLINMHHLMNNGNGGGLHRPSSPNSSGYDSDSLVEQENCDVFTSLLNSASYAYNNGLMMMAPEHIHHDMLHSHPVWSPQVAGNGAPNGTNNVSSPYFHRENENKFLVQ >CRE07091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig454:7458:18905:-1 gene:WBGene00072906 transcript:CRE07091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07091 MTATVALKDAANDPIRLADLHLQLADSYRGSAALRSAWFDTLAELYEQDRWFAEASVCHAHSVAIIARELEERKELEVDWNAFHWINQQISETEQIKGGDAGNVQPAGFTTENLGAKIDKTAAALMLAERFEAVGPLYRLIIPVLERNMNFTSLVSVYAELQQTYSRAAEVRSSGKRHLGAYFRVRFYGETHFKQEHNTDWIYREVGLTSLAAFALEIKEKCQRQVGHDRVQIEANEQLDMGRIDPTVAYVQITHVEPSPIAIDSTHSQPPTTPIISNDFLMHTNLCEFSYECAMIENERKLSREPAIHEQILKRTVLRVAPSGFPSTRRRLPVVSVHYEQFSPLEFACQKLNTKAEQIKKTLSAAANGRRLDVKGLQLLLQGAVLPTVNAGPLAYAEVFTKDEQREKYGEEAIVKLRESFRNLMSACQLAIEANASAIGSDQQTYHEVLVSSFDAMHERLQTFFGASLRGSLEPDGTSSSHPPRSAMHILDMMGGVRN >CRE25894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:192693:194177:1 gene:WBGene00072908 transcript:CRE25894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25894 MKLWKNNLSEFSKHFICNSCGIEVQDSKTWYCIQNRPRLHNAIFSCGAVIKYIRIGGMSQLVDLGAQYIEKIKEVRRNLKTRSDTTHNLNSSHFKKLWKNEKGNMMIMTLLASIDGVSLSGNTNLNLNKLWPLTFSLVDLPTAEMQRATNMVLHGIAKATENPSTTFWNKIVPMVYTDVEGSRHAIGNATIQFMIGTWIADQPAKRSLFGFKACNGESSCFYGLCSGTHHKKQGPNRETERPDELTILDATNGTNGFGTIPPRIVDFVLPYDAVLDLLHNAAEGIFSIVIRGKLKYITEIERVILSESLASESSQPRSDLFQNDRSVYLKFCDEVIVPSQFEVKNITNCSEKLLFFRFNFGLAALSNDSLKPEARIKICSLMLILNILYTKAPSSSNRFFAHLTGAARWALEQASPTYMGTKTHEFLCHLPYVIGKFGNIAPLSTFCFEHF >CRE25909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:185698:186652:-1 gene:WBGene00072909 transcript:CRE25909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25909 MSTLPLLQLPILCIDKVLINTDIISLVSLSLISRRSKRLVRTTKTSLTGFNIEIKNDVTQVQFVTSEKEDAGNWFFKIEEKESSGGQVMEMRYVLFVCLSRCPFFSFKDDVIHSYHSAMDIQQSVKLGVEYLRDLFKKPVIKVYLFPNAFKASKRPFYVGFNECDNLFIEGEKEIKNEDLKSILETLTIKTRLILNIPVNSSFKCNTNLLEFKRLTCSYKVISSRWITREVLMSLKCTHMQFHHTLLEADDIMSFFERWYYSDDTEFTILLVKTDESFAGMNLDRFNPTQWNPEQRGPKFL >CRE25892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:186898:187956:1 gene:WBGene00072910 transcript:CRE25892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25892 MPVIPLFKLPVLCIDKVLINIDILSLVSLSLISKRSKRLVRTTKTSLTGFNIKFYKDVTEVQFITSEKKEVRSWFFKIEEKESSGGQVMEMSFKDDVIYSYHSANDIQQSVKLGVEYLRDLFAKPILEVFLFPDDIPYPFYIGFKECNNLYMKGEKAIRNEDLKNVLENVLIKSVCIIQLPINADFKCNNNALKFNEFSSLAKEAHWITREVFLNLKCSRIYLQHTLLDAHDFMAYFERWYYSNDKHFEVMLLETDKTFNGLRLDGFHPIPWNTQLRGQHFL >CRE25908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:180466:181901:-1 gene:WBGene00072911 transcript:CRE25908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25908 MTGIPYLSFLYYALISVSFSLISRRSKRLVRTTKTSLAGFIIKFNKNMTQVQFVLSNKEEVRSWFFKIEEKESSGGQVMEMRYTRCVCSSRCQFFFSFKDDVIHSYHSANDIQQSVKLGVEYLRDLFAKPILEVFLFPDDIPYPFYIGFKECNNLYMKGEKPMRNEDLKNVLENVLIKSVCLLQLPINADFKCNNNALKFNEFSSLAKEAHWITREVFLSLKCSRIHLQHTLLEAHDFMAFFERWYYSNDKNFEVMILRTDKTFNGLRLDGFHPIPWDTQLRGQHFLYLPELAFDCSEGTNIMREDGVLCTVHFRETVVFFCVWHNRFPDISGATIFI >CRE25891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:182496:184042:1 gene:WBGene00072912 transcript:CRE25891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25891 METGLRAGTLIEESVSLSLISKRSKRLVRTTKTSLTGFNIKFYKDVTEVQFITSEKKEVRNWFFKIEEKESSGGQVMEMSFKDDVIHSYHSAMDIQQSVKLGVEYLRDLFAKPILEVFLFPDDIPYPFYIGFKECNNLYMKGEKPMRNEDLKNVLENVLIKSVCIIQLPVNADFKCNNNALKFNEFSSLSNACGHWITREVLLSLKCSRIHLHHTLLEAHDFMAFFERWYYSNDKHFEVMILRTDKTFNGLRLDGFHQIPWDTQLRGQHFLYAHKLAFDCSEGTNIMREDGILCTVLFRETIVFFCVWHNRFPDISGATIFI >CRE25907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:176100:177240:-1 gene:WBGene00072913 transcript:CRE25907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25907 MTGIPLFKLPVLCINEILTNMDTISLVSLSLISRRSKRLVRTTKTSLAGFNIEIKNDVTQVQFVTSEKEEVGNWFFKIEEKESSGGQVMEMRYALPVCSFRCPFFSFKDDVIHSYHSANDIQQSVKLGVEYLRDLFKKPVIKVYLFPNVFKASKRPFHVGFNECNNLFIEGEKEIKNEDLKSILETLQVKTRLILNIPVNSSFECNTNLLEFKRLTCSYKVISSRWITREVLMSLKCTHMQFHHTLLEADDVMSFFERWYHSDDTEFTILLVKTDESFAGMNFDRFHPTQWNPEQRGPKFLYSPDFAFECTRGMDIMRRDGLLCTVDIRIKAFIFAVWHNRFPDVSGVS >CRE25889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:173522:175471:1 gene:WBGene00072914 transcript:CRE25889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25889 MAAPSMQVEVHYCFCFPHHDVHQNKLHSLRFALKFKILNQKNNETVILINEDTDRQSIEEMVAFEFPGAYVRVALYDTILEDIEQNLEGQYVGFEFPKSVSLVPKLLTAVYEKGAEKKFEVMCHFMVTNKDDIKYLKCLGMEQEKYGNELRDFMKPEELERRENLEQWEREMKERYRSDSPDLSEIYRDADGFSHIPAKWYPKEEGEDDDDDDYEVRMESDEDEYVPRPWE >CRE15049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig463:4072:7553:-1 gene:WBGene00072915 transcript:CRE15049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15049 MKCNGDNDDDVSAISGSNLSGRTAGADTILKLIENSDGDDENDSYQQLLEKSRVGNHLNMSTNRVQINIFHLLKDRMKFKKEEFRSREDFPYLTSVAMKQQCDVIKSIMTLINNTINVDGNLHQKIEITQVNIHNLNLQFNVNVFPMTSPFFKSGAPPRPPTSNTNGYHTGDPSTSLGDETSDETPPSGNVENETFEERNDGPPVIPVSDHPSFHDSRIGSPVIPVSDHPSFHDSRIGSPVTLAPHIQDVPAADGSDVPDRTINDDQISIRRQSSDTMDNAFVNPPSVDMSSSFDPTSMTPVRSRFVANDRLSQLLERNAATAPQDNARIGSASPIIAGNERSESSSSEMRRKRPRMEDTGSEGSTDVSSNPFDMSRWSCMRRMLDPISYMMVNIELRDEDDTSFLESEARRIGATIQNIRTYRMPCGEGRYFQTFSAHEENSEKIKSAIVSENWMAQWKINNLMATDCQPFFFYAMGCGNLFKLVTYCTKFTGSRELYTTVRDKLDVQGTLRKFLSCPLFVNDFKQYLNHIGEAWWTEIVKKHDEREARGNGVPIRPRIRPRRR >CRE15047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig463:13215:13942:1 gene:WBGene00072916 transcript:CRE15047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15047 MIHFQQSGELSSILVAWKGLFEFSRHSQHFSWIWLQIETFTQESITAHLLESGAAFGSLADKFLVLGDHLCDVDGVPVTDKGVARDLLVKNIQGKGNVSFVVERPDCIEEKQWAKNALAANILTPPSIIMTDDVRSIAAKYRQELKGLKGPEKSVMSVGGGPSGRKVYIVEETATNEIGHDHERKALRKGK >CRE12376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig416:11953:16494:1 gene:WBGene00072918 transcript:CRE12376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-29A2 description:CRE-CYP-29A2 protein [Source:UniProtKB/TrEMBL;Acc:E3NKR1] MTIFIPIILAVVLIYLATWIPTLRTYRRHWKYGNKMPGPPAHPIFGNLKPIIGLSTEDLPFLFKKWAEEQRDKGHSIMRVIMKGVVYVWPLNGKAAAAIIDSTTETNKGDDYRFFSPWLGGGLLLEGFGERWKSHRRMLTPAFHFAKLDGYFEVFNNEAKILIDLLSDFSDSGETVDIFPYIKRCALDIICEAAMGIKIDAQLNHDHKYLQAVEGFNKIGVLVSFNPHLKNPFIFWALGYKAQYDDYLNTLKSLSEKVIEERRAAHESGEVEMETSKRMMNFLDLMLSMEESNQLTSEDIRQEVDTFMFAGHDTTTSSTSWACWNLAHHPDIQEKVYEEMLEVFGEDTSSDITLEALGKLNYCDRVLKESKRIIAPVPALQRKLTNDLVMDGYTVPAGGNITLSPMVLHSNHLIFKNPEIFDPDRFLPDEVAKRHPYDFMPFLAGPRNCIGQKFAQLNEKVMLSHIIRNFRLEPRLGYNETKPCLEVVTKPSKGIPVRLIRRN >CRE12378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig416:170:5932:-1 gene:WBGene00072920 transcript:CRE12378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ima-1 description:CRE-IMA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NKQ9] MDDPHRPSHEGRSRMYKANARDQHEMRRKRREDEVQIRKNHREDRFERNRRVTVERSLSQEETSEMLKTVVDGLHSMNETMIFESIGKLGENVRNRVWTIQVVAKAQILNKMADLYCNRPIHLETRQLIAQTFLILGGNLDLIKYQSYSNDDKCIQALVLNISNYNQEESILIDTFQSISCFIIRSLTYRNLALDAAIVPELLEVSAKSMSILLHRSLMWLVSLFCEKLDKYSPHVDEVAPLLEIVATGITSSDAMVQTDAASACAALSDWPPIFECMQELKLCSMLVANLSNDKGNARPKVKCSISYIIQATGYFTEEMIEAGLLEVLKGFVNVSYMSQEVCFIISNICVEGEHTIDKLISSGVLREVARVMEAAEYRSRKEAAFVICHCCASSKRAHLEYVIELGMLAAFTDLLTCMDVSLVSYVRILSILIIEIKKFRHFFLVGFCWTAPSLSCVLFSLPKDGQFFHHFLFRFYPDDDEIATSTSDYQTHSTIDSTIELLLRNTPAAPPFSF >CRE29219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig279:99147:100842:-1 gene:WBGene00072922 transcript:CRE29219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29219 GLPSRIRIKLLERETPADLDEAVEIAERMEDIMKEEDRDTINLIDQVRKTDRREDDESLRNQLRELAIESKKQRRMIEQLNENQGGFNNSRGFPNQRGFFTQRGGHFSRGHSQPNEQGGFRGHGYQNQRNFGPQRGPSNYGRNTGNRGNSYTRGGWQPNRAPTHSGVHFLMIVACLALFIPGATGQFQICPNVRSGEYFAPPPQMSCELNPIETVVKATVDIYTEYGAAMKAKAYRCSKTTYTVCSYTGWLEWFVRKPNIANITTAPMTKMECENAVDHHQVGNQTLISKVLKWDEKLPLVIQSYNASYHSSVKYSPEYIVFGRMTVSPTDIMIKTLRPIYRDEEDMVENLSESIRQCHAAVYGELENSLRNAKKAHDKIRKVRVPIFEVGEKVVIQNPTAKKLMYQFSPPVTIVSLTASTITIRTDRGKVETVHKNRVKKFNEARPERDDCDDDLGSETSATEGSIGSMAPSMHQNKYGSFDGEMGQHDWMEKEVIGWSQRDRASTGDGQQHPGQLRRSRRLQNLPAELDHVIS >CRE08588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:2606:4506:-1 gene:WBGene00072923 transcript:CRE08588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08588 MARKLSAPSSPQVDHRIFSIYSLTISFVYMLRIAFELVAQKTQDMDVQWEVTECLFVVFGVLISIALHNAYDTRISLISISWTIWMFINTIFALFVVNSDIIQLVIKRIQSHIVFRPLDVVKFILDVIHFFNIPVSLYFICVFLVSSKTHKRRRPRKNKAVSDKNVIKKQFEVSNVFVGDEMVYYV >CRE17000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:115827:118304:1 gene:WBGene00072926 transcript:CRE17000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17000 MSASFPLLKLPGLPCKLVFQLLDAVDLAQLSMGFRCVKRFLTAYKTHHAAQLTWTFNDYKAFPGMLTYQRSTPFYTYMSLTVSFNGTTHLKYYFIGNNDRFRAGGPVQTVPGEWPLPIKQFRQLPNEFMMSGRQNLLEANPEGLDMEVMEKLTKHFSDIFEIDGYNLNYTRIHDFDIFKSFIWNFTQKFNTFSMSNLEINKENGRFLLEDLEIDNLSLYAVNINNFDEITTVNMNQSKIEIHDCKWLSINAIIEAHNSKLVDIEIMDISNVNQLVKLVTLWRNGQKLRNLEKLKIHWTECSGIESESLRQFEEKMDDLGVSEDSDNSGDSDDIDFIDNTDDINDNDDNDDNNDNDDENDNDNENFKNSDSMHRGFDLGSQFEMVLQKVEKKEEDGDHGNDDSEAEDEEDEEGDNESDIEDEDDEE >CRE13557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:495586:499592:-1 gene:WBGene00072928 transcript:CRE13557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elt-1 description:CRE-ELT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MRA9] MDYENNKSVDFSLATSSGTASLVATSSASAASSTAFPAYNTPTTYYKDYNTSTAYPMFLNYQPYTTTTVGTGNDMDFSNSDMTMHSGVFGTAQNPGGYFYTPTLNGYGYDTLAAATSASGITVNNQVNVSIVQGNLSNPTAGNIVSTTSNVQSSILPRGNPGLTPTGLNGCSTSSGSSSASSSSANSTSTPKTIGLSKTNRSAGANSQFGTEDRECVNCGVHATPLWRRDGSGNYLCNACGLYFKMNHHARPLVKPKKRQQNAQKRTGIECVNCHTNTTTLWRRNGEGHPVCNACGLYYKLHKVERPMAMKKEGIQTRNRKLSSKGQRRIKKENGDTTPIIGMTSASSSLASGIDLTDSAGVWGVKRELPLMAMGTPTSYSFPAPNYFLGSFDDSFNPMIDFGGSQLAVKNN >CRE09886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig562:13629:14924:1 gene:WBGene00072929 transcript:CRE09886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09886 MSPTSAIKGEFLQNKPHELVNTSHDITSTDYSQAGTSLSMYSHLMPRYPFEGRSYDISHVTLDRNQNMNPINNIPYAPFRSVMPVSDIRRNTTFDNEMPSHIHQLNHATPLNGEFPLNQIAFQILVIAEILASSISKDGILDLYRAGAAVHASYSRCEAGTVSECESFARRHSYSVKTFSLPPVHSFSDPNYFSIIQHGQLILSLLKSHSIQQPVAVQKEGEMEYKSLVGAIYRTRSLNLQYPAEAPFSGGSMFLVDRPVSKGRRIEESHVVLKRLIFQLLERSCSVPTDIQTTSWNLPYENSYSTHSFFPEKLYIDMRTFFLNYFGIEEIELENGLWSDNFANLKCLASWETVAVKKKRFGALSSARAAFMSKDGFHSCLREAL >CRE09885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig562:10107:12463:1 gene:WBGene00072930 transcript:CRE09885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09885 MRRARVYFGSKRQRRGVVYETHSESDEYEYLDESKDEVENTNTPVPDSGDSEEDDEEYEANYIDDLNNEFEGELFNRDEGDYAESDEDKSVSDDAEYNENERIGEGKDHRLLALVNFYCAESISEGFLQRMLHLMAVLYGESPPFSANQVMNVVTKAGRKGVKGVSYYCSRCGTEKNGKKSQCPNCPTSNINILDRLTFVKCDLKYQMTNQLIYHGREIIMAHQKIHQKEINFQSNDIRGYGRYIRSIESKADFDSQVINLLYSVFSDGAAFSKISRREVTPVLCRLEGLDMESKKGGNVYNMISMVFCDGGVKKTFLEQFVEQSFSDLPSQIEVRVDGRIWTFRLVILSYLSDMKERLLLTRLPNWHQLTGCSQCLTTGQKKSHGITYVNPRMEPLRSDASIIYASSRGLEGFTDKDKRVPSIYKYFPPSSFVIDPFHIKGSGICKTIVNEVLKPNSWTNLKLVPGAITSIINCIEGIKAYTYDNVPLASLRKLSKTTGREMEKLSRLISGIVGFEGLSLRNDYNVLFMGFLYALTLQGTGAVNPGTMQELLTTMYELHCLLEPDSLTIKFHSFYNHITLHEQEFGPIHTTEPFEREHKVLMNSVHHQTTSCENVILNK >CRE27786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:5842:20968:1 gene:WBGene00072934 transcript:CRE27786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ama-1 description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:E3N5G7] MALVGVDFQAPLRTVCRVQFGILGPEEIKRMSVAHVEFPEIYENGKPKLGGLMDPRQGVIDRRGRCMTCAGNLTDCPGHFAHLELAKPVFHIGFLTKSLKILRCVCFYCGRLLIDKSNPRVIDILKKTSGNAKKRLALIYDLCKSKSVCEGAAEKEEGLPDDVDDPMSGEKKIPAGCGRYQPSYRRVGIDINAEWKKNVNEDTQERKIMLTAERVLEVFKQITDEDILVIGMDPQFARPEWMICTVLPVPPLAVRPAVVTFGSAKNQDDLTHKLSDIIKTNQQLQRNEANGAAAHVLTDDVRLLQYHVATLVDNCIPGLPTATQKGGRPLKSIKQRLKGKEGRIRGNLMGKRVDFSARTVITADPNLPIDTVGVPRTIAQNLTFPEIVTPFNVDKLQELVNRGDTQYPGAKYIIRENGARVDLRYHPRAADLHLQPGYRVERHMKDGDIIVFNRQPTLHKMSMMGHRVKILPWSTFRMNLSVTSPYNADFDGDEMNLHLPQSLETRAEIEEICMVPRQLITPQANKPVMGIVQDTLCAVRMMTKRDVFIDWSFMMDLLMYLPTWDGKVPQPAILKPKPLWTGKQLFSLIIPGNVNVLRTHSTHPDSEDSGPYKWISPGDTKVLIEHGELLSGIVCSKTVGKSAGNLLHVVTLELGYEIAANFYSHIQTVINAWLLRVGHTIGIGDTIADHATYLDIQNTIKKAKQDVVDIIEKAHNDDLEPTPGNTLRQTFENKVNQILNDARDRTGSSAQKSLSEFNNFKSMVVSGSKGSKINISQVIACVGQQNVEGKRIPFGFRHRTLPHFIKDDYGPESKGFVENSYLAGLTPSEFFFHAMGGREGLIDTAVKTAETGYIQRRLIKAMESVMVNYDGTVRNSLAQMVQLRYGEDGLDGMWVEDQNMPTMKPNNAVFERDFRMDLTDNKFLRKNYSEDVVREIQESEDGISLVESEWSQLEEDRRLLRKIFPRGDAKIVLPCNLQRLIWNAQKIFKVDLRKPVNLSPLHVINGVRELSKKLIIVSGNDEISKQAQYNATLLMNILLRSTLCTKKMCTSAKLNTEAFDWLLGEVESRFQQAIAQPGEMVGALAAQSLGEPATQMTLNTFHYAGVSAKNVTLGVPRLKEIINVSKQLKTPSLTVFLTGAAAKDAEKAKDVLCKLEHTTLKKVTLNTAIYYDPDPKNTVIAEDEEWVSIFYEMPDHDLSRTSPWLLRIELDRKRMVDKKLTMEMIADRIHGGFGNDVHTIYTDDNAEKLVFRLRIAGEDKGADTQEEQVDKMEDDVFLRCIEANMLSDLTLQGIPAISKVYMNQPNTDDKKRIIITPEGGFKAVADWILETDGTALLRVLAERQIDPVRTTSNDICEIFEVLGIEAVRKAIEREMDNVISFDGSYVNYRHLALLCDVMTAKGHLMAITRHGINRQEVGALMRCSFEETVDILMEASVHAEVDPVKGVSENIMLGQLARCGTGCFDLVLDVEKCKYGMEIPQNVVMGAGYYGGFAGSPNAHEFSPSHSPWNSGVTPSYGGASWSPGAGGMSPSAGFSPAGNMDGGASPFNEGGWSPASPGDPLGALSPRTPAYGGMSPGAYSPTSPQFSMTSPHYSPTSPSYSPTSPAAGQSPASPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPSSPRYSPTSPTYSPTSPTYSPTSPTYSPTSPTYSPTSPSYEGYSPSSPKYSPSSPTYSPTSPSYSPTSPQYSPTSPQYSPSSPTYTPSSPTYNPTSPRAFSPQYSPTSPTYSPTSPSYTPSSPQYSPTSPTYTPSPSDQPGTSAQYSPSSPTYSPSSPTYSPASPSYSPSSPTYDPNP >CRE27785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:32:901:1 gene:WBGene00072936 transcript:CRE27785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27785 MKILKTINIEDVFPISLCSRKMYHLVKNFRDKSIPLILRIDGEDLSVQVITPDGNYHQVEVIEGTCETAEQVNINGHQVPIDRSIDKWDWMTYWDDKEEGIHSVVEYLSDLYGVKKINKITMNHSEVWLLSYIEKRQENDYELELQPGLSEEDCHFILKNYHPKIVWILWSSPGNFAIAQYMNSIESLFSLCELPITLDDVLNMNCVDLVLQHNEFTESEIKRLLQHWAIGGLRRLKYFSLYVKDAEFNMNHVLGDLVGLSHSRMTKEKKYK >CRE21594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig433:19778:22041:1 gene:WBGene00072937 transcript:CRE21594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21594 MLLHHKYPKHEFLLNPPNVFYPPPSYDFFQSPTQIFGPMSQSSPETTPSSALASPDPDPGPSVVGRLKMPPALPKIKLCEVCGHAGATSHYGGTVCGGCKIFFSRTVQSKKGFVCERGGQCPMNAGKRAKCRACRFQLCLKAHMSPEEVGRLRDMRNCDYPPGPMVRREGFVVGYFDENSQPSTSLQDCSNQIISCIDIHNYGNDEMSVLQTFVNLERSSDNNAALRFGDQFPRVCNAGIDMSSAMEFPMPICEKIPVRDTVGLR >CRE24866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:14284:14754:-1 gene:WBGene00072938 transcript:CRE24866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24866 MDSQLTTPDDIRRFDYIFGMDDSNIEDLREIEQKVPKSERRAEILMLGKQDPRGNPEVPDPYYESGSAQFDEVLQQCVKCCDAFLDKIQK >CRE24867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:17179:19854:-1 gene:WBGene00072939 transcript:CRE24867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24867 MSLKAWLGIDKIQKFGQIVKEIGGVKAVLRKRYLMDVTRVGILVGSDKFGNRYYENNEYFVPRNRWVEFPDKVWLDYDATQVPPEWHSWLHHITDDAPSVKPPPTQSFVLDHKENTTLDADKKYIPYSTTRTKVQGWTPGQKPQFD >CRE24868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:21981:22109:-1 gene:WBGene00072940 transcript:CRE24868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubxn-2 description:CRE-UBXN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NLQ5] MIYAPFELMSAYPPKVLIDEEQTLKEANLLNSVIAVKILPAN >CRE27900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig284:54147:57078:1 gene:WBGene00072943 transcript:CRE27900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27900 MNSNGMHRSFESSPLAKNVSWMSIERVCIGCPGLYNVISIVQHENRIPPIWEQSPPPYIVLPELPPEDPSRHMALQQYKKLACAPELARQTWASVGQSCEAKQLLEWTILHCFSEVPPLGKKRNSHQKVQDHYAIVAIKVWKRIGILYNQQIVSGCLMAAKIGLRRRLRTFTIEKKLSKEKVEEKMWEWPLYLYMRTYRHEEYEKGLRTKALKDKNGQPFVFELNDDDDKEEMENDRSVESIPADNIKKESPAPSALKRSVLLQVKQDGGEPPEKISLFEPNTSGSTPDIPELSQNMSEPPSSHSEKEHARIVSNHVKTTLDPLAEGITSSTQRDLPAPSRHVPGFSPDVSGPSQNMSGLSSSHSPSSSHQLRVPHYDPLLQNMLSYSPEMPGPSYQQARGHHRNQSGFLEQSDPFEHHQNMLMPTPILTESDQYHFNEDMKYLNRQLLRIHEKNETLYRAARDAVLEVTKAIERKHPAKSLESVFLRLAEFFKDVKVPE >CRE30253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig449:41628:45166:-1 gene:WBGene00072944 transcript:CRE30253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30253 MRASDSSQDIKEVNKDTFDYKAIEKIADGRFSTVFKGFQNGIGSERRTDGGDQKKVIIKVYPKQAAVWRNSIEIKILKGLLKVDCFPQFLDAYNGDKYIFIVMEPAGESLKTVVSRSPNGKISNENAVRVGFQLLRAVRYLHLGGYMHREISSGKVLVGLIKNQVLIKLTGFGGATSIEVKNRRSSLFVQINMPPRPKYTVIEDFLKAVGVLVEIAVPNARGMEEINIKEYTMPALHNSQMWIGEIWQGLKKLVKRRIQTLISCTASSTMLCLDSTKNRQ >CRE30252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig449:1538:3462:-1 gene:WBGene00072945 transcript:CRE30252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30252 MSSPPQSDSSSAPAPPSSAPAPPSSTENSSNASELFPPPPAHSAEFIPDKSEYESEEEERENLGAFQFRGTSYRIKKILGEGTFGKVVLVAAESKRLYAAKFFTKDNNDADGNDASIGSEERVYKHLGTSVHNSVAQVIGIDYVDWSPDGCNKKIILMPLLGISIGDMVEQAQKMDVENRAALGEDSSSPKVSFRIKKIQEIGRNVLSGLKFLLDHGVYHLDLKPDNVMFSAQNTFRVILNGSTHSIIAPKSTQIQISDMGLAKVFSDMGESSEIVQSPMYRAPEIFAGGRPNKCSDLWSFCIMMLQMYTCTDEYWPDHADHPQVQYFRNLQHGIGQRMTAELWDEVAKIKGGKKVRGALKLYDNGQAEPNTPPLMSLKRSYHADHLFEFLQYAMVLDWNVRPTVEELLNHDFFKKN >CRE26693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:524704:525680:-1 gene:WBGene00072946 transcript:CRE26693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-90 description:CRE-COL-90 protein [Source:UniProtKB/TrEMBL;Acc:E3ML10] MSTIGYIGASLCLVGVLSSLFSISHIVSDINSLRSEVEGRVDEFKVLADDTWQRLLILQSPTGETENVMPSIFRSKRFVYPGMCNCDSNSQGCPAGPPGPPGLPGKRGDEGQPGDLGRTGATGISLAAVHHIPGGCINCPAGPAGPPGPQGPVGNQGFAGVVGPCGPSGDDGQPGPQGVQGDKGAQGPKGFDGADGPDGMPGTAYFPGAQGQPGEPGWLGQPGLPGKHGEPGKDGEEGPKGPEGTPGANGRDAYPGQPGKAGEPGAVGKDANYCPCPARRDSKTESVHEPPAASQNGGYRKAKRH >CRE27688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:507164:507595:-1 gene:WBGene00072948 transcript:CRE27688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27688 MSLQLLTLHPNFNWLDHLVMSDEKWVLYKNHHRRAQWVDADKQPEDVVKQELHPKKILLSVWWSVHGVLYWELLPEGKTITADYYSSQLQKVKSKLNTSPLHGHRVHYLHDNARSHCPPSVQKDIESLPTKWQTVVDKGGHYV >CRE12306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:53936:59404:-1 gene:WBGene00072949 transcript:CRE12306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12306 MVSKKKLDMKVTISRKLALIDAISLYHLTLSKPKAFMDVILIDFRKAFDSVPHDLLLFKLMPFGLDSSLCDWFRSFLSNRESRIKIDDYISDNSLNNVSGVLQGTVTGPFLFLVYINDLIQSLPSDVYSIAFADDLKIYSENPASLQETLNVISDWCDQWKLQLAENKTVVLHLGVSNPHNDYFIENAKLASANATRDRGLLVDCDLKFEAHIAKIVNNAKYNYNTRDIRTYIFSICLGVPAVILSAAINGVMNFYIFRFIVGFAVAGTLTVGWTYASEMITPSRRFRLRTFPNWANARMMQVGVSWLAGEWRLASYLCATLSATVLPMIWYLPESPVFLEQKKKFERAERSREKIAEICQLEYEPKPREEMADLKKITPMMLLRSPVLRSNFLVLCWMWFYVGMSVYITDLNSGDMAKNFYVGQFLCGFVLTISKIFYLNNGVETTIGIIEPKIPWLGRRFIFIASQLIALCAYVTILTALWSKNKESWWYTVSYIFAYAAQSLCLETCYLSLAELMPTDVRSIAGALMNILMKIGTILASTTKPIKFWYEPMLFMINTVLCTAGLLVVWKYLPESKNMNMQLVGQDEISESNDEESSTNKTSTEVPSDDSNSQMTSVQDSSEKSEATTEKSEK >CRE05285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig492:7441:9802:-1 gene:WBGene00072950 transcript:CRE05285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05285 MTPSSSSSLSNNHQSHNIQSVIADSFTLRKLQTWDRLAVETLCNESFPIQYPDCWYDEVVSGGLTSTGLFDGEHLAAMIVSETKCLSDCNIEDQDIVAETNVHVTYILSIAVNKKFRRMGLATRLLNNLMQSLTDNPPFTRAVFLHVLSTNSAALSFYRMHGFEFHASLRDYYKIGEEYADGCTYVKYINGAHASAVSFSDICKTFGNTICMPLKAVCKMLSF >CRE05284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig492:2124:6143:1 gene:WBGene00072951 transcript:CRE05284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05284 MDNTTNEKKERSDSPEIDVDGLKDSECSTPEKVENLQQQKEQDEEVEEEPQKKSNETSKSSLSPSTTQHRLRTTSSTSDGTEALIATLSAWDWVTIDGLKLPAVTRNKERYVAVHMVQLKLLSKFPSDIPREITRKFTMGSHKMSVAEAWTFNSINAVIRKFDLGCQLFTSNDELVKLNDVQMFYWNVKLYNLKRVNSQYETSISSAEGNVQLLATAMQLQKQVESDIQAVCSELQRLEKSYIVSNMTQEETTQQQQQPKLISSKS >CRE25964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig606:1041:3906:-1 gene:WBGene00072952 transcript:CRE25964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25964 MTTEISVAEMRAAHKRTAPFLHNTPIMSSENINEKVGVPVLFKCEHLQKTGSFKVRGALNSAILAKEQEANGVIAHSSGNHGQALAWAAQKIGLPCTIVVPDNAPISKIDGMKEYNAGRESVCAEQAEKLEYYFVNPYDCVSMINGHASIAFEILEQAGDDIDSIFLSVGGGGFASSVAFLIGNLRPDIEVFLVEPEDKQLSNLLANNVPCPVDTLNTMADGVRVAHVGTLCEPILREFCTGKVISVKEEEIKEALKLVWTRLKQRIEPSAALAFAGVLYHKPEHVKRPLVILCGGNVDTNYVID >CRE13219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1860:2820:6175:-1 gene:WBGene00072955 transcript:CRE13219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13219 MLRVTNDSCTVMKSFQREIKECFANYEEKYEDKTNVADGSVDAYIYATSKELENFDTVGTISTYGGGGFVQRLPVSGSTEAQSAIATLKANRWIDRGTRAIVVDFALYNANINLFCVVKLLFELPASGGVITTPKIMTYNLMTYQASSGTRMIVFEGGSSVDSFSFSSSKSSSPSPATVLHYLTQFWNLVDVALLGFSVATIILSMKRTKTATNRVNSVIENGLTNAPFDDVTSAENAYLNIKACAIFIAWVKVFKFISVNKTMSQLSSTLTRSAKDIGGFAVMFAVFFFAFAQFGYLCFGTQIADYSNLYNSAFALLRLILGDFNFSALENCNRFFGPAFFVAYVFFVSFILLNMFLAIINDSYVEVKAELARKKDGEGILDWFMNKVRGLTKRGKRPDAPGEDATYEDYKIMLYRAGYAEKDINEAFTRFNVTTMTEHIPEKMAEDIADEVARVTEQKRNYMENHRDYANLNRRVDQMQESVFSIVDRIENVNVTLQTIEKQRIQQQDGGNLMDLSALLNSQVRNRESARRQTITSIADKKEE >CRE31575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig647:2133:7200:-1 gene:WBGene00072956 transcript:CRE31575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31575 MVRRNETIAYGAGTPRYNSDGEEEEAPERSRYFDGTDVYNFDSELEMADEEEEVEADPFEAAPRPTEMEAPGEDEEIAEGCAKADEEYEDELDPEEVVYGDEHNADKTALARSNDGVRVANVGQRMFVSLAEYCYFIIQDRKGIKSRFQGAARTLGQLFVIDLAIRVWEMRMKALTYHRAEFPYVTTTSSLMKTYMKMLKDKFKGSLLLGCLVTMPSTVPGTSKYQRELVMSGVTIANELGHPHLFLTFTGNPEWPETKRECLRRKCTWADIPDFTNHVYHIKFQMYMDVVCGEKKKKSSLNGKYVREPGMFGQTLWHMWSTEFQQRGMPHNHIVVCLEEGIYTSEQVDAIISAEVPELPTDKSDPLYEGKLRYYNLVRDLMTHTPCSDDRAAYCMLEKKKHWKKCVKGFPKEFTNSTVLRDNQYPMYRRTRANVFKKRHRGRIITIGSDNVVSHNTDTLCMFGCHNNIEVISSLKTLKYICKYIHKGSDRVLLEASETVMQGAAASDSMTLARNVFVPRNLDRAKVQQRQQEADRMMKAAGMDLNKGKYVALNDCTYMLDMSAMTANEAAWRLASLPMHGASHIVHRGYVHEENHQVVYYKRGIDVAKAAELLKQEPKGMMRAWFEANQNPVQLPDKIRTTKDLTFTEMMSYFKFDVKEQKFILRQRDLSARVLGRVQAPQPRFLEMTATRLLAQTVRGPESWEDLRTFRDEVFDTCLEAARARGLMNGSIEWENAIKEIAGHAAPIECRRLFASILIHCTPANPGDLWEKLWELLVNRNFTWSIKAKQAHALRHIEFLLARHGMKLADFELKYDDNYVPIIDPVEDIDNPTAVQLNPAQHKDQGEAKYVLLNQKQKKFVDRVLELDLVIGESRMMYVGGAGGTGKTFCYNTIFHLLKAKQRNVACVSHTGIAACLLPSGCTAHRKFSIPLEVSGQMNCKICPESEEGKRLASLDCIIWDEICMTDKRIVEAVDTMLQQVKQLDVPFGGVLMIMGGDWRQILPIVEGVRGYGVARYTLKTSDLWKKMEKFVLSENQRAITDPEYAARILAIGDGTNYVNEKFQNINIPEEFVERGTEFDLADWVFPDVDDSKLTKSAAILTVDNKTALRLNDYIIEKMSGETRILLSTDTPDSDNGYSSADAATFELLTPSGMPPHRLRLKIGAQVVLLRNISVENGLCNGTRLTIKAFGEDVSVLSLFAIAYLKIFRLFTAKPTIQLQPRQALCSFIVCYSLQLEKRLQYPIRLAYACTINKSQGQTLSRCGLLLHSPVFSHGQLYVAMSRVQRAEDFRVWHSKRLHNNDDHYAIGGILVRNVVYKDVLREETIDEALEALGPTENTVIAEVAELNDSGNMSNDMDVSTNSNSSNVSVSEKKKKNKKDEKKVKLSNVISKIGRNLKMRISNFAPHRLDLPYLLLNSDSTDCFMNTITNIMYSCPAIREKYENCRDQSKLCSKILGQIFRKEVFNTKEWRQTLPSRFHHGQRDLAQVFEILIAALAKEDNSVITIPTAKESKCKSCEMSTFGDETNSTHFELVLQYDGTFVESFQNAFRESYVNVACPGCKEREVWQHQVIDNSDSDHLFFTVCTPMKHFLDLTTDSEVQIFGHTYRIHAFAEYSSTTQGASGHYQAWVRADGKMHCVSDRTVREIVDEVDLESYITTLILFEKI >CRE17729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig910:1:4164:-1 gene:WBGene00072957 transcript:CRE17729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17729 MVRRKETTAEEKAKARDRKREQRMKAKEAKQNAELPSDAPAMKLKTEEEMKEYNKEKQREHRAKIVAAQEETMEKQKDSSIIDLTSMTTVEETTETKSRKRQADTSKGVPKSNKPGLKNMTADELKEYRRLKKSECRARQKLAKVEETKDDGNDDFGDDTMDFASKVTEKTTEETEKKPRKRQADTSNPSIVPQPTPKKACEKEIVSQRVPGGKKNCKVRFGLIDIFDVETNVIVVPYYDGHSSEDRSVYQRMLAMFSKVDKTKVDSFKEDFENNLHDKLKNYESELIDWGFQTDIGTTRRTILVKPPYLKNENLTTISETHLRASYLSCLLTADKANLNSFAFPIFGVHGCYKKSIAIGLQTVLAYLESVKHTNLQLIYFVTANSVAYDDIGEFLSYIREFDLNYWTKEGLYFAYEDHIFDKLKTNVYYATIPGTDMTRRCFKLTHERKMRSKDTNEALRKIHALMLKQTGIKDTGTQSIENGASIDVVPTFNNLLDVRFDMEHFCGSNKILRKLWIVSYYHMYFQDMSVAQLHFSPKHKDYLLRQHTFHQQKWLHQHVVEMWKDTCTKAPHKCNCLLTSDTHEHLSVFMTQLSHQDNIMKNWTLDRRCFVFNHDDDILGSIEAYQPVFIYADGKFKSMAASTTFDVNKIIATQLKKWYDSREEVFVRQLERLEQLMADTDFCEEVGNDHILRCYDPVKYDKEIVTGDCDSRRKKLLDALQVASDAVNNATSAEDHEQSMEVFNKCETAVFKHHNQLHLNEDLEVEKCLRNRMFRFYGPAMIDVLHPNPADDEDDMDYYMDDEDDDMPDEEQLWEFGDKICTVDVYIARKLASLRFKVINVSPFAKCHNPHDSAPLPTSDDADEYPAYIDIGDRDVPCPWCGALKFACETSWNCCKNGKVWIPPIKRQPKPVEGVFAYKYRKQLTQINAAFSMASIRYNRQDQAPRGINTMKVKGVVSAHPSALNAKSSAPPRYANFIVLQCENKQIAEERAKTLKTSVKKDLARLFEEIQEYMDENNRLYESYKSMKEIEKEFLDAGHQAGYLGNEQLRFRIVSPSELSEDEMKALQFHNGVYCRPSRKGDGYISVAFTWDGHDSNMLPRGLDIFPRNPDHKDKEIEPISIFSELCDVMCYPLFYPDGLGGWGLKKYPRYTGIKSETPTFEQRIKEHLEIIKENGEDPDDYF >CRE14380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig702:7235:8796:-1 gene:WBGene00072958 transcript:CRE14380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14380 MNRKTVKFSLIILFFICFPPCLATLNILKEKGYGFVEDPEYRLEKCVSQKIRLDFHEQHAFALALNRKCQPISRLEMDGHGIVARAKREVVSIVIAATTLLALTVNFALDWYRSNLVHAEMEKLKEMQHLILSNSNITIDLLKQQENVYQDAYFEAIQAAIFQNGKLETVMSFFQLDIDKMVAEFEFEKDINNAILEKFTHNFYCGNSANTYELEVCGWTNPTRVYGDVKLIAPIGNFIHNGEAFSYYDTPKVTLFTKNDEPISTDGCESIGYHWSCKKATNGCTIAEYKSCTPKIVHTPDHVFAVEIEDMTLIATTLTHYSLMKDGSNSASSVHDVPQSGQFLLKAPHNTIVQFGTRRFTGRHEEHEAIQINVEEKMPHMDHEKIRQFAENLKAEGKAISEFDELLLHDSVMDVGFIDSALHWFRTKFYWILIIGGVVLFAIVVMCVFAYFSCCCFGWTGTKTKIVDNVV >CRE26850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig485:7701:17357:-1 gene:WBGene00072959 transcript:CRE26850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nrde-2 MEYNLGFAAIEDHREREEAFRNFWNSGLPRIGDDGGIGGMNHAKVRYTEEAIQKFEKDRYFSLLAEFRCHVREILGGREACKSKKWVAFERKMLELDAKCKRVDFKNSEAYQEAARNEEDVMSFELWDLIEYPSLKVFRSSCHYELLDYLQPLLELLGVKFMHSSGCFTTTEQVISEWIPRDMFASSHANFHPQPQYSESACLDVGKKILKYLINNRHEITQKSPRVLDQILIRYMLALIEMKFQYRDRQMIYYQMKPAKMVTLLKDVFENDDEIKKILENYPRMRHSMAVIVGDKMTTWFERGIAEETRLERLNQLRETMELPECQVLKKHIVTDVSRGNLRKYQTKLWEYLTNFTKDLDVAGGMENNRPKSLQHPQIAYPTLQIQLYSRILQARNYIIDERTAGEIRLKLAADVMGMDEIEQMDVLNGMIQNQTLHQQMKTSMETLLRMIGHRDEIHGNAEGIPEFPRAKALCEALDIALDFVFLDQILTPRQSINEMTARIERKCDEFEKSQMDWNRGAQDKYRDRVDLQFILETAITFFSQKNLSVTYHKNYEDVILKASMTFSCETKYMKRIAEMYATNRFQWMKIRRIIGDRNKELQRKMETQFNIQIERRLLQNSMTTMFTTMRICEKIGEGSWPMLVKNWMECAKELRDPTVWRLVMGVADKVSPEMVEETFIRANGHCPWALNLQLDYVEKMPKKLRAQLVHILSISKGQLHGVFIEDLILLNTYHEIMEARFQTERLVKQEEGVNAVDSVPAGGN >CRE01397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig280:12367:13240:1 gene:WBGene00072960 transcript:CRE01397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01397 MTSSQISPLAFQRQQNRHQSKSTLSVPNRANLRETKSINRETLPLRSAPLHGTSSGGGQLSPRSNDYYEFGKYTNALRSATDEIALPHRKLTVKPRPSSASWNILRRICRDRRAESSIKDKEDNTSCLEVPERYHKNRRRQLSPPSNDYFEFGQYTNALRSATDEFALPHRKVSVEPRPSVAII >CRE01396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig280:2746:5360:1 gene:WBGene00072961 transcript:CRE01396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cgt-2 description:CRE-CGT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NHV3] MPEIAEQHSSSSSPFFRLLLALLSWVQTVLDVVDAGSCLAILGVIFVGALYCLHIIGLCYGKHRLHHPAPLDSSTLPGVSVIKPIVGIDDNLSKNLESLFTSRHPQLELLFCFESSDEPGIEVVQTLMEKFPNVDSKVFIGGIKVGLNPKVNNMAAAYAVAKYPLVAINDSGIYMRPDAISDMAATIMQDDKMALVTQIPFCEDRTGFAAAFEHVYFGTSHARIYLAGNCLRFNCPTGMSSMFRKEVLDQCGGFEAIGEYLAEDYFIGKKMTELGYKSGISAHPALQNVSSVTFNAFFNRVGRWFKLRLSMMPQIVLVEPFQDCFPSGTIISYSLHYLFGIDIIPIFTLHVIFWITVDFMVLNIMQNTPLPFSILEFIVLWTLRELSFPIIFVKAVMDSGIRWRNKVIIVNWGGKIKSVSYV >CRE20608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig468:7576:20536:1 gene:WBGene00072962 transcript:CRE20608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20608 MSPFLLILLLYLLFVSHISEPTVTSYDSCLHYRSICLRNGTCNQHLKRFQTTCGYELNVCSGTSPSDCVYHLHRIRASFPTKTCTCYEAIGFSQECDFFRQVIWNHPCERKMKDIGEEIKVNNRKILQIETSRQNPPNPNGSEKRRTTRTPVADQIHQWKRQLSGDLTKSTILQKTCDAALYQVCLKHVSCAQLWSMFRKNCDVDQDNQCRMADREICWQSFEGLTWSGLGDCQCASSNSSDCHWIRLHTNYNKCIYEISKSGQFPVLMTLAQKNREDRENEQRMMSEQYQRRYENREKSELILREVPTTTTTTTTTTTTTVPPTTTTTTTTRPPPPPPPQTPPTTTVPSWWSSGQVPRHRDTSHRQQNNFKLKAVIDPPTTTVSTWQDYHGPKKETPGMFVPRRTSARSSCPDAIRRCESVDECRWHLGELRVRCSTAASCRREECAASLQRFSMYVPFALVESIMFCHCAPEDEDCLTQQEMIYPKCLYKSSGFMQTCTQSVQKCDQDTRCRHIRHALQAHCPVKNGECTKTSLDDCRRTILSARASILEQPCFCKLSDVQCLAHQNTMIPTNPCIENAMIEYSRIMGYNKPGLATNRVQSTVDEVGGAMKENMEEEEEPSRKTSSTRYRREVFLDYNEVENCDKNCVLRKSVLRNVKFG >CRE12352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig457:725:1987:-1 gene:WBGene00072964 transcript:CRE12352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12352 MTTSSQPLSYLSSRCVALYMEPNKRLELYRRCPSFSSAHKSEPMRIKDLKVRSEHFEINGTIYRLGVITQYMDKPNPMPVAVDNAKGGIQDDVDIYGLPPRQTLDETENVEADNTMMASLRVTIANMEPFQFMMSQPGRRSAIERLNLRAEAYNMRINNTPPPYRHYLQLTISTGKLVKIERVVYDKQFETGKEYIEKMVFGIKKIQVENLLIGGDKYRLGSDNNTQHGPPRHEPLFAYTPITDKVKPLLSIQSLEVGILKVTGILANALASLRHISSPLKRLKAVCHQETFPEDPIVNTTEFLEIDEFCPINVLSNRPNYRIHLGQPFTVIDDALINLINEWKKREIPVGTYYSMGETEHTVANIFCTPLLLTPLGMRVANLKGARVKIFCRRGTKLSPFLHR >CRE12353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig457:2955:4268:-1 gene:WBGene00072965 transcript:CRE12353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12353 MTQPPFKPLFYETSRCVALYLESTIRIQLYLRCPAFQTIHRAQNFRVADLKIRPEDFEIDGTLFQFGILRHYLFGNVPESTKLKNAAGGDRQDIDRYGLPILAQNGQQVITDEQYIELLEQQAFQMERNGPIPLNRYSYILTTNEVQSHRLKIEKKRLKARSYELRMRNQNPPFQHFVELAMTCGEEKKCEYVTYEKTLQEIKDYVLQKIFGIDAKVLFGNLQIGNDDFVQDLAWFIQNPPQLVPFVPFIQAQLAELDDPILLPGFVPEVPPVFQLRALVADVVPLLKLRAESLEVHRLIVTGNLANALASLKPILAETPLKELKVSAQPFSDDTVVRTVQFLNVVGSSRVNEIQHLQNHRIHFDQLVGNEQDFHAFVRLLEEAETMRYYSFGFSELKNLNFSLKRFSTIPGAEREDFTEIR >CRE12008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:25711:27239:1 gene:WBGene00072966 transcript:CRE12008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12008 MTQPPPPKPLFYVTSKCVALYLKPIIRIQLYLRCPTFQTIHRAQNFRVANLKIRPENFEIDGTLFQFGILRHYLFGNVPESTTLKNAAGGDRHDIDRYGLPILAQNVQQLPTDAQYIEQLEQQAFRMERNGPRALPRRELTEDDVKSHRLEIERKRLRVRSYELRMRNQKPPFQHFVELAMTSREEKKCEYVTYEKTLQEIKDYVLQKIFGIDAKVLFGNLQIGKGNFFQNLTWIIQNPQPVIQMNPVMQAQMAAQNFPIPGFVPEVPPVFQLRPRVADVVPLLKLQAESVQVNRLVVTGNLENTLASLKPILCEIPLKELKVSAQPFPDDPVVRTAQFLSIVGSPRVTEIQHLQNSRVHFDQLVDDEQEFHAFVRMMEEAETMRYYSFGFSELEDVKKSLERFRSFPGAEHEDFTEISVPKRFTIPLNNQKELNIYVKETSIEDTTYCDKPLIVKIKV >CRE12349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig457:11261:12529:1 gene:WBGene00072967 transcript:CRE12349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12349 MTHPPFKPLFYETSKCVALYLKPIIRIQLYLRCPAFQTIHRAQNFRVADLKIRPEDFEIDGTLFQFGILRHYLFGNVPESTKLKNAAGGDRHDIDRYGLQIQSAQDQFGQQVPTDAQYIEQLEQQAFRMERNGPIAPPRRELTEDDVKSHRLEIERKRLKVRSYEFRIRNQKPPFQHFVELAISSGEEKKCEYVSYEKTLQEIKDYVLQKIFRIDAKVLMGNLQIGRDHFIRHLALFLQNPPHMFVAFPPRDLVPPVFHTQPRVADVVPLLKLRAESVEVHRLIVTGNLENALASLKPFRCETPLKELNVSAQPYPDDPVVRTAQFLNIVGASQVAEIQHLQNSRIHFDQLVGNEQEFHALVRKMEEAETMRYYSIGFRELKDAKKLIKRFRSLPGAVREDFAEIR >CRE12350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig457:13306:14750:1 gene:WBGene00072968 transcript:CRE12350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12350 MSRPLFYETAKCVAIYLDPNLRLELNQRCPSFRCIHEAAQSIRIRNLKINSASFTINETTYRLGVLRKYLFENAPQSITDANAEGGIQYDVDKYGIPVIPDGAQTRGEGPGNEEILRRLKGDVLKMERWGGEGRPDYAIELEKRKLEILSYEMRMGNQFPPFDQFLHLTVTSDGNGEKLEILNYNQTLTKARNYLLKKILCVHGKVIIENIDIEQYVNYCTSLPLDFSSDFYFTPWKPYQRQIVRSGGIRTLLQYPLSIQADKLEVGSLTTPFRTLSEVLSILNGFLSKDVFPARIMKKLLPPIPNYRMREIHNRTTEFLCINEVLPLSVLLSITNDRVHLDYCSFVEEDFAALVRSLNDVRSPVGTYYSIGFFNAEKIPDFFNGFGALPGARRVPETRLAQHRESIVIPMVDDKELNVCCMNTGEEDEWYCGLSLIVKIKVQMRGTTQVN >CRE05845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:285577:289067:1 gene:WBGene00072970 transcript:CRE05845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05845 MLQTVVSVFLLLLVQVGHSAPVSEATFSDAFVRKYFPTIVASTEAKNASMCLDRVFSNYELKKHINVKCDETDGLDTCSGLTFVSHDDKAIVIAFRGTYGKLQLLVESEEIIYRNKTAWYGGGNVGYYFAHAFNLIWNDGMKTDVNTLTHKYPNYEIWVVGHSLGGSMAALASNFLISNGIATSSNLKMISFGEPRTGDKEFADAHDSLVQYSYRVIHKKDIVPHIPLNGMEGFHHHRTEIWYNNDMSTADYKECKEQESPFCSDSHLDFMIGDHHRYYGMYMSYYGRRNCTGDPAN >CRE05902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:289573:292672:-1 gene:WBGene00072971 transcript:CRE05902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05902 MRPQTVKTVRIRTSETRNDSGSVSPPALGDGKTIFVQKMHKMYGNLDPSQLELKIEQLWNGLNSSQREKFIGKSGEKENFSSKTPRSTKKKTAPALETQINKFSDCKAIAKVLDLKTSKPGVSYAQVVKGKMQAYWKEGKHISSRFGPPSPPSGIYQFPTPPKDELNIPTIQIEIPSTNPLDSTPFIAEIPRGILEKPKNSMKTLKLESIFKKSVNIPPMSSKTPRRIQMKKTTTTVMKSMAPTPQPPVKRISYDDACREYYSTLSQAFLMDSEPLDTSMLSTYASILQGYRPIREYNALQNL >CRE19887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:195903:196720:1 gene:WBGene00072974 transcript:CRE19887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19887 MSSSIRVFLFLYSLYSLTSATGHLRLELTASTNCNLRLLTDSSDETLQLLIGEKRITSFHPRGLIRDNIRVGFSIPNGKTTVFEFSMKNSGQPQLPNVFEDAGVVVLIQSIYECNRGFHGLTCEFVGTSTTPGISSTTTTTTTTTVTTTTEMKKETPELTTSTISLPIKSSGDYDNTIIIILIILIATLTILIIVFGTLLITSSRSSQEHIIYPGIAMKKVENSKKCKTLLEEGIYEEVGEEARYTAAPFKASDL >CRE19920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:191990:194554:-1 gene:WBGene00072975 transcript:CRE19920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19920 MCIFLKSASRELSEKHNHAPIRVTVSHKAYFHFLSALVLTVWCQVPPPAQPASQPAPKPVVQPPSPVPVQQAPPIQQAPPIQKAPPIQQAPPIQQAPPFQQAAPPQPVIQPKPVIQQSPPPVPVQPKVVPVQAAPPVQQVPKPAQAPPPAQPKPVQQAPPPQQAPPPAQPAQATKPAQPVAQPVPVQPKPIVQQAPPPVQQQAPPPQQAPPPVQAPPKPVVQQAPPPAPVQSKVVPVQTAQQAPPLQQAPPPQHAPPPQQAPPPQQAPPPQQVPPPQQAPPPAPAQPKAVPVQDAQQPPVQQQPKPVAQQQAPPPQQAPPPAPQTQQAPPTPKPAPVTQTPPPPPKPVVQQQPVQQAPPTTSTTTTQAPPTTTQPPTTTTTQKPVVKETPPPTTTTTTTTTTPKPTTTTSRPVKQQKRPKRSAPPTPGKDDREKSDEFSVFSVFFDLSPNVIFSISCVFFVLLGLCILSCFRRDPSDDKLKML >CRE08172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:294798:295688:-1 gene:WBGene00072976 transcript:CRE08172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08172 MEEYLQLIVKCFPMVTFILLAASCTSKPKESHKNPTGGRKLTMSTMSTPSTSFNTISEEDDTKLEEEKKEELEEKKEPEIKEEEKREFSCGKDEKEEEKGDKGGKAENKETEFNLETSPAFTICIDNKPDVKNDVFILNARKSLDESAGFSWTIKKRLAFDDGSWKQLNRRIAALKAEGLDTRANTQQAKNNKINCIEPTKMDVHFEKQAASKPKKKTVE >CRE12359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig497:14366:15229:1 gene:WBGene00072977 transcript:CRE12359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12359 MNKEFGNRQLVGPPSQIEYEHDETMSTVSDAPSLHSWHHKMIVKNETVVVKASDTMSSCAAPRSDTGFATSPISPSASAVDATITPMRTPLLDMESTDSSCSGISPSTRIPPPIPSRAPVTSPVLQSPLVSPFTMVAPMPRCPPPLPPRTPSMKLPDPIAFEVETRIEEERKKHGVQREVSVGSSRSSDAIAYSTPEGKFSTPDGKFSTPEGKFSAGENKDSKGHRKSNSIDRGLTIAKVMKVGIFISKIFLEHLALSS >CRE04217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:116475:116708:-1 gene:WBGene00072978 transcript:CRE04217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04217 MQQFRAAGTPADFSAAGTPDDSSAAGTPADSSAAGTPADSSAAGTPDDSSAAGTPDDSSAAGTPDDSSAAGILDSYR >CRE03459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:163261:167755:-1 gene:WBGene00072979 transcript:CRE03459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03459 FLFPVVLTVLNLYAIDKYASKFQIVVTIAKLLSLAVIICTGFYFLIFKGQTQNLEHPFRGSNTNPGQISLAFYGALWSFAGWDILNYGTPEIHKPRRTMPIALLGGVTIVTAIYVAMNISYMTVLTPGQIMNSTAVAADFAQLTLGNFSYAIPFMIALLLIGTLNSNIFCGSRFTHAAAREGHLPTFLSCINEESNSPRAALLFQLICTIAVTFVDTNSLINYVAFVMFGQRVFTMTALMWIRYRKIPVHPDAIQVPIVFSMLFWIITIALVVVPFIEETTHTIVGVALVLMGLGLYAIFMKPKKLPDFLMRFNGEDRRKVEEKRRKLRFFEIFCRVLLVDKLEFFFENSVFGSFLLFFKFPDGMTRVTCKVLFTTPDLKHHSSSELSEESEKTDGELIRGDTVSSRFNNESILNHDERTALPTTSSDETSHL >CRE15080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1023:3887:6461:-1 gene:WBGene00072981 transcript:CRE15080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15080 MPPSPDSKITLAPENFEKRCLVSRNRPGGEYGKEHNLYEVVINIKTRGPEKKINIDKFVDKMIREQDKPESGDKNSPWSRRLQEASRGTRKTPGALEAQK >CRE25880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:1721:1945:1 gene:WBGene00072982 transcript:CRE25880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25880 MRGSSSLDSLPGKGHLDTLEIENFKSYKGFHLIGPFSRFTAIIGPNGSGKSNLMDAISFVWRETPISRRHQKCV >CRE31593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1047:1367:2088:1 gene:WBGene00072983 transcript:CRE31593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31593 MAELPPQIPVVTRQSDGSKLHEISGHKYKAVLLSQPSFCSYCNKFIYGLGKQGYQCQLCDGVVHKRCHSSVVARCTCAPQVMDAPEQENTTTHNFSAHFYTLPTFCGHCGSLLYGCVRQGVRCTDCSVNVHHRCQEKAMHNCA >CRE22147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:51729:56805:1 gene:WBGene00072984 transcript:CRE22147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-36 description:CRE-TWK-36 protein [Source:UniProtKB/TrEMBL;Acc:E3NJ00] MLKEPATPEKTASTLTLPGSRQNSSAHLQVQRSTSVDAPSTTKPRVTYKDDDPITQYYQRNHYTVTGGIQETFNKLPRNVSANRFKVDKASISHKSFGRDTADTEMMQMLPQERQFDKSFYWFAMHRKKFGFRYIALLFLALAYTLFGATVFYLIEGSHEKSILRVREQNLDKVLDQLAKVLSEAVNDPEQSSEHQRMKDFIKESYISLQKHEEQYKWSTYYRLENPDNLKWSFSSAFFFSMNVYTTTGYGSISAQTFGGQLFTMLYAFCFVPMTLVILRDLGQMFLVNFTKLYAHALTIVRRMRGKREIDEDEMIQLPVKYCMGILIAYLLLCTTFVYLYDAIMGPEWDDGLPYFTAFYFSFISLTTIGLGDVMPNNVPYAPPVSIIFFIGMAVTKVVNRATFIAVENGVFGLMTLAETKIDQVLTKKPKETGVPVSRRKASTSSGSSDGSSQSSEGPGFALYDNSSNSSTDSVSDDGLINRRRNEMMNTFTVRSIATFMRSNHDVYGGGFGRVQLRRGDLMNHNHTVTGGTHRVRPV >CRE13176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig820:10501:11029:1 gene:WBGene00072985 transcript:CRE13176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13176 MVFIRSWVWEPFRMDYHIGMGCLITVEYGNIWKFSVVAYRGNICWQLQLLASISVHSIPIILIMKTAAIIFLCLITLTIATDLQEAQGQFCSTCNKNWEEKVPKSWAEVTAYLNLACFQLHATLKPRCMALVNDFNIGKMFDTFRPQLIDFGNAVCDMYCN >CRE13177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig820:10268:10468:-1 gene:WBGene00072986 transcript:CRE13177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13177 MKLFFLFLVAVFLVATVTADDKCGICKASVKSIKDSKELAYTAELTTEQIENIVNKHVKVSWGAGI >CRE22155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:70876:73011:-1 gene:WBGene00072987 transcript:CRE22155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22155 MLSTIISQVFTYLLPLLSWVCNAILIFLILQRSPQALGGYKYLMLIFSVFGVAFGVINVTSQPKLHFYQAAYIIFSENPLGLPRKVSFWYLALNCAMYAMTLYLLAFHFVYRYLAVCKPHKLQWFTYPYFLVMIGIFLMVTFDWWFMAVWLAGEDEEVEEYISDSMRQTFNLTCQDYTYAASLFYRKNPINGMESASLPDFLFVLNLVLIITSGFSIITYCWFKLHTVFINTKIHFHFRPSQSRRTLEMQHQLFRSLVAQTLFPVFLLFFPAGVLLGFPIFKFEPGPLEAMILPLIATEPVIDSLVPMYFIKDYRKAIRGVFRKGVGAERSTTMSPGNARVHPM >CRE18154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:33435:37736:1 gene:WBGene00072988 transcript:CRE18154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18154 MNRVSLSVCSNRCKNRCKQFRNSTKLKKQIEKFHVEFSTSCKIRIKFYPSLTQELVFVLKQLEESENLNNYKNVKNDLYINGDWKPNRRTIGHAEWYPFTKPYVSEYSLTVHHLNSSASSATLTWISYLSDLFSVPATSLAINYDCFGTEDIDRIMECHCSLTSFEIDSDINQEVDQKLAISILERQNATKELKISLKPGESFRFDLNSFHNIPEFLEIGYSFWVKWEQVFDLRSNANYLLRSNFLNIHFKDLVEKWKGGWTPKWNRVMIEANEILDIDSWITDPVINLSAREIDSRHLMIINPVIHAYKFQDKCNYPFGEIIKNGYNITRCDKSIATVTVENQKIGWFIIQNNEPNAGHFVYSHKRTYYYESRRNQFNLGEMIENKEEQPKRNDTEGDSDETPLNLRMQDKIEKSGFVKLPGCNEFKYDWNDYTFIKELGTGASGSVFLYELKKQKVAIKMIHRAPGLPQHSEMFLTELKILESLKSPFIIQIFGYLLSEKEMKLILEPMKMSVESLIKPDKLLPKQVVKMIIFKAICGIDFLLTQVKTNHKTEKYMFSFQKLLHSDVKPSNMLYSENGEVKLSDFGISIDMTKKKYSGGTLIYTHPDVIGSFLTPDVKFDLWSIGISAMELWQGTHPFESVKTQIQLVNCIQSWEPSIRRKDDGYEEILDFVLICLDRNDDKLSINKVKEHSYFRQFDVNDKEPYXXXXQLDRVFGKGRPIAERRGKWLRLGSSATKPIGREEIKGEKERGIRMTQATSKGQI >CRE10707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig499:40698:42418:1 gene:WBGene00072990 transcript:CRE10707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10707 MDYQQEEFDEPFRIHLNLDNLSEDEAIARFSLLYDEKTNSMKRRENSDYLRPICIDGTDVSNRLNHVIRKNMELFPVDKTIFNMRAITTTLWYFISRGHSAIVFLPTSLRDFAQKCSDPHELSLLAKLELIVFDDSNYLHPSSSVLLSKTIATCAENNDGCIVGSRTKYAVLGQKYSDLIDRVTNSLISPSFSLDHELKIGDSVRLVLSPDEVCRNDNNANCMNFQLLASDQVVIMSKLARIIGKNPMIDLCNRARELNISSYANSNLRNIFNNQRQSNSSNRLYQSAYHYNDPFLPDYVAPPPPIALETAAKPMKIGHRHSIYRVGENANREVQSGKERKITAFRSALIDALQPMFGLEKATSLVNDNPETDEINDLIEIGINQ >CRE10708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig499:28136:30865:-1 gene:WBGene00072991 transcript:CRE10708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10708 MRLLRVLRMMTSSLLLLFYLHPPLHAYSTTFSRLEPVSTRLILGSSSSSGSSSSSSSTQRCYSCMSRYYGATWQFAGYSRIYQEPRAFTDHCRDPQARGADVPSTYCEEKANCVTLIEELKIGTGARGYIRGCWGSVLLFGFNRTGTVGALAEHSFCHTFNLTQLVSGGRPEESSINVCSCRGSLCNGSTINPSSSKFTISHMLLAILNVIVMSLIF >CRE10706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig499:24810:27803:1 gene:WBGene00072992 transcript:CRE10706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10706 MTMSSVLTSTVFSILEDNSDMDFFDPEATTRRSTSRTYPLCTEFFCDVYFTPKKIISLAMMLGRVAIALLIMLLGLKMEKDYMRSITNTIFIPIAVSELFTIYIEIAFFQYMFSQSPSQSEDFAAAYIDWTPEFVKIINDYVSINMVVLFPLLLYCGRIATLPGDRINAFPTNMICLIMQVVPFFVCILNYVARLNGAVVLKILAATSRIVTIICFLAIFIQIFISVITVMRELPDEAATSVDMQIRDARSRLAWTLAYILIPFISLIPFVIEAIYYIFQIVPEPNSAGKIIQVICDILVIMVEFYRPTWMVLITMIFLPPYRRAVPLLFCCCSCCPKVNVEPLPRKENESSLMYRYADL >CRE13547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:430254:432669:-1 gene:WBGene00072994 transcript:CRE13547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13547 MMEHYTTIPQMEEINAGVAGIVSLIANGLLIYLTSKVKTYSDTVRWTQYYSCSFRLAFSLLVFVTAPTIMYLTEVKALYIVKGGIDIPRDIGAHFLNLFLVFVVTSCTGPTVQYLQVAYLLSNPALKNHLLLRTFIGSIPFIVAVPTFWLVYIGYTPSPYEMDISETLIQEITEHEDSSFLIAPEEKIMMAETGTYEYDVSARICTFFMFTAMVLSVLVVIVCFSHMQKMARKKKSITSQQSVKSQKQLNLLLMVQFIFPFITIHIPFFTAFILPYLDIEFKILSSNLPYLFAWCPAINPILVICMVKVVNSAIFSISNFDFQNVRDTLLSKKNTPNTGATFTSSQALHAKHSTSSRRRSTFYI >CRE10702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig499:495:4805:1 gene:WBGene00072995 transcript:CRE10702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10702 MKLYQNFNDFPLGDISNYCAAVTSIISNSLLIFATSQVKSYNRNVRIAMYYMAFWRFVFSVTLGLTSPVIKYYSSMKTMYIIKNGFTLPSPIGEILLVVFIVTIVMFCNGPTVQYLQVKTILKATSKQDVSFCIYLIPLIIGIPIVVLIYFGYIPNPQNIMYPPQLVEKMKFQGIATFLMVPMSLEQEKMETMALACTLFILLVMIVSIVFAIFNFISIQFLMKEKLKSSASNNSKKSQEQLNTNLLMQFIFPFFTIHTPFFITFALPFFDKNLEFLSDNMLYLSAWCPAANPIILMCVVKMMEHYTTNSWLEEANAGVAGVVSLLANILLIYLTTKVKTYSDTVRWSQYYSCCFRLAFSLLVGITAPTIMYLDEVKSLYIVKGGVEIHADIGRYLLNLFLVFVVTSCTGPSVQYLQVAYLLSNPTLKNHSVLRTMITLIPLFVAIPTAVLIFNGYTPNEYEMEMSKELIEEITGKNNSSFLIASEEKIFMATSGTYEYDIPARICTFFMFFAMIFSLFVVIVCFIHMQFTMKKKNSMSNKKSQKQLNLLLFVQFIFPFITIHIPFYTAFILPYLDLEYNDLSSKLPFMFAWCPAINPILVICMIKNVRDTLLNRKGTPLTGTTFTTSTHIFHTRSSTQIRK >CRE07140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1214:4543:5135:1 gene:WBGene00072998 transcript:CRE07140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07140 MMHMMMEMYFHFRIEEPILFREWKPLNTTAYVFSCLGIFLIAFGLEVLKFGRMKLVAKNEVVEKKVDCCCSTEEDGLWNIPETRPLNPTAVNLAPFTRDSLLKKSHLASSILIFIQHFVDYSLMLVSMTYNWPIFLSLLAGHATGYFFLGPMMTVEESEAAGSCCS >CRE26595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:6094:10522:1 gene:WBGene00073000 transcript:CRE26595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26595 MAPSGRATPTPDSHGETSREDFYGSVRALKGRVAAIEEEASDGDKERAFPTMLKDQAGENLETILNEDRGRDHNADKVGSMNNPMRNRNHLVSGNAQKSSDSVTDDTKSTIQHFLLMKKETIENLDVACKAANKLAAEQRINMQLVQSQVDRALFVTEKLNHLEEELSTMPVDLDRKVSDRVFRNREVIGVGSGDDHRVIPPTAATTTRTRSLSPNSGTNTQHPYTPVVVRHQVAPLLTASHNNDLLQDLESEAESQEDVNRTFLTGLKKEARDEAESILAENPDATSDDNVQGLKEMVVNTNHRQRNKAPLPSSKQVTGESAESFFHRISELARQSYSDNTTSQKEVALEKFLIDLNPDSKSLVWLRTQATPEEAHSDAVSVDGCITSAGQPAPNAQLPAELIASPTNIVIEYSDRVNQRDLSRNNRRRNHNGGGNEWSERKCYYCQKAGHYLRGCRQKREDRENGIITQRSDRDWNPRHNHQVDVNAVFRDDEVKALRDAIQARDEQIEELSKQLDRISQGSHYSTSESSTSEASHLRSTYDPPGESSADSLFHKALKLTMQSYHEYRKDPEYQNEVTLERFLERLNQAIKSLAIREAPSTTDQTPDTAREGEARLVPNQQPPEPTQLSTQLAASLANTATDHKDQDDCRDHRSERQGRDDDYGGRSSENDSQCSELMNLIFRLFIGGFHLF >CRE18126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:512:5527:-1 gene:WBGene00073001 transcript:CRE18126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18126 MVSEVTSTTTSGAKPAEEEEWEKEEEHICQWSRCKQSTVHFETIEALLEHVNEKHIPTRQKSKEDGLEAVVCKWADCQMSTTRGDLKKKTEWMKCHFKTRHARSAKLFKCLFDGCDTLKATSQELEIHVRRYHSMKPKREKKPSPSPEPEPTNQIFEIHGLGRVSWKPAPIVTKPTIVEYSDGPRYVFPDGYVKADLDSDGKPLADDEYWAQVHYFDYHQLPMVPSTSKYQYNMPRGAFKRAEPNRPTRIRRKMTEEMIKARDARRRKEAGLPPIDAVRIRMPWREEKKKNELER >CRE14395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig942:2757:3240:-1 gene:WBGene00073002 transcript:CRE14395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14395 MSNNESPSPPPVRANSISATINYLHLDADKVLSAFGKYGRYQMLAYVITTSVHMLFALNMMIMPFITKSITFLCDLPDRETPDSALRPLLRYAPLEIEDLEALAKKEEAQRSSRFSALS >CRE18307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig843:5596:9541:1 gene:WBGene00073003 transcript:CRE18307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18307 MSVPSLLDLTSSQVVDCIFEGTLPPSADQLDPVISNRLFEEYCRIYDIEITKSVMKEICSRLNVTRVDMRHCVVQRSEILILQNQNIESLMMGSLMCLEPKHVTAPSTTLKIEALLKRCLNEESRKSLKHLDLSQTGNDYLDGWVENISKLLPSLISLGIAGRPLNATEFNTLCTCYPNLRTLDISNSNVKDLKGISNLRNLEVLAIGGLEVTDMKEVFELKKLRVLDLSSRECLCCLRNLLESFLLCHRVLPDLRFIDCSGNFANPDMIANLLETHKTLQQVGLIGVDRDFPDFPDVKLLTFTTMRTSIEAIHHYTTTKNYSAIIAILKKISDILVENYDGETEETLRECFFALSRVIKEFPDGQSLHKLVTECLRIMCRDTRIYMLSVSERHDLVNTLFTICDHWPSYKQDFEQSETIQNVWRVFQNLSFLMTKNLNSRRIFETAMEYVMEEGTMIGYIEKCCLKVMGASVDQMSPSDQLLIFENRQTCRHLLDLLNKSYKQNRHETYRLILGILYKIVALCPEVFVEMGGVWILIGHLKKFNYYNSLCMLRMLMTSKQQKIVEEMRKTDNVQRLV >CRE10622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:104933:107623:-1 gene:WBGene00073004 transcript:CRE10622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10622 MSIIMPRFTYVFFTMCNLIIPILMMSHLANYDYVDRIYHKRFDEVWLAIIIHSILLVASIRLAVSYSDVKDSVSITVCQLMMAPISFVHSIDFYVAMRGDIRMKDYPASFDVANTVRVAPVEAIEVAALATVVQQQVVEEEEKEERIECRICVTEYSDLKVPRILKECGHTICHDCADVLLNRFNRHYMMCPFCQMITVVRGNANLLPRNFSMIEIIDERNKKRGVPEVVVVEEIKPPE >CRE10596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:88337:95810:1 gene:WBGene00073005 transcript:CRE10596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10596 description:Transporter [Source:UniProtKB/TrEMBL;Acc:E3NBK2] MQRKKIPETTVTTGTTTTISKSMTPTAPSTTQTTQTTNTTTTTKKEPPKADDERDNEANFRPDQFVNEKKTDRGRKAYKIINFVINPSTGFTDFNFINWATLGAVAICWVAASIVLIRGMKMIGKLSYFTVILPYIIIVILMIRGITLDGAANGLYYLWGEPDFAFLINMRTWTDAMTQICFSLSIGQGGLMNIASYNKKSYNWYRVSFTVVFFQKKLKHFDAFLLVLCDTLMSLLGATAVFATLGFLAKQQDVPVPKVIKDGHALAFIVYTEAISQMPIPYLWHGLFFLMLLLLGMSTEIVIVEIVCSCLSDRFGYLRRHRWVTVLAVSLTFFTLGLVMTTDIFGWFVAALPFFAIPWFAISTILKYRRENIPLRTAFMLQKQHPSYDRISERWPEWKQKIGDQMAEAEPGDDDVEIVDADEDGDESYLEHF >CRE12004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:2454:3879:1 gene:WBGene00073007 transcript:CRE12004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12004 MTTRPKPLFYETAKCVVLYMDVNVRLQLYCRCPLFRTVHRNQTLRIRDLNVRPDNFEIDGTIYRLGVITQYTDTPNPRSVVLDNAKGGIQEHVDIYGLPPRQTQDQAENMETDNTQMIRLRDSIARIEQDLEPGSSNNIERLNLILEAYNMRINNTPPPYRHYLQFTISTGKLVKIERVVYDKQFGIGKEYIDKMVFGNKKLQVEYLQIGGDKYLSNWGNRIGIQHGPPRHEPLFDNTPQTDSVKPLLSIRSLEVGVLKVTGILTNALHSLRPILSQTQLKELIAVSYKHTFPEDPIVNTAQFLRIANNTLISVLSNRPNHRIHIDTVSILNDNNLINLVNEWKKREIRVGTYYSMGEADHSIVDIFRRFRKIPGAKFGENEETSFFFQFLVLIILNCRLTELSECIIIPMGNDTELNVYCSVPDEEEKEYCSLEYIVKIKWQPRGYARANEDM >CRE12056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:4384:7740:-1 gene:WBGene00073008 transcript:CRE12056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12056 MTTPTKPLSYLTSKCVALYMDANKRLQLYLRCPSFASAHMNEAMRIRDLKVRPENFEMDGTVYKLELIIQYTDTPNPRSVVLVNAKGGIQEHVDIYGLPPRRTQDEAENAEADNAEIASLRRSIATMEQDRAKPGNRIRIERLNLKAEAYNMRINNTPPPFRHYLQLTISTGKLVKIERVVYDKQFGIGKEYIEKMVFGNKTIQVEHLQIGGDYYLLDSEKDRGIQFGPPRHEPLFAYTPQTDSVKPLLSIQSIEVGVLRVTGILINALASLRPILSQTPLKRLKAVCHRRTFPEDPIVNTTEFLHIASGTSINELSNRSNYRIHIGLACKQSDYDLIDLIDEWKKRELQIGTYYSMGTTRSSVDPIFIEFRNIPGAELGENEESIRSLISSMTTTHIATSLIYSCGYRVREARCHVMKANSEAITKNMTTRPKPLFYETSKCVALYMDPHKRLQLYLRCPSFASAHKSEPMRIRDLKLRPDNFEINGTIYSLGVITQYTDTPNPRSVVWDNAEGGIQEHVDIYGLPPRLTQDDAENVRTDNVQMTNLRDSITRMNRDLRPGNRIKIQRLNLKAEAYKMRINNTPPPYRLYLQLTISTGKLVKIERVVYDKQIVTAKEYIEKMVFGNKNFQVGHIQIGGDEYLNVYHYHFRIQHGPPRHEPLFGYTPQTDNVKPLLSIRSLEVGLLKVTGILTNALASLRPILSQTPLKELKAVCHQYKFPEDPIVNTTNFLQIAHGSPINVLSNRPNYRIHLGVAFQQTDDDLINLVNEWKKREIRIGTYYSMGGDEDLVTPIFTAFRNIPGAKLGENEQTRLTKFPECIIIPMGNDTELNVYCNEPDKEEKEYCRSQFIVKMKWQPRGYARATEW >CRE21002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig354:33949:35403:-1 gene:WBGene00073009 transcript:CRE21002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21002 MAEKTAGIPQSSNTGESVGRTVTGPLKRIIDNTVYSASRHASSTKRFLTNIKHSTTTPSTGELNKLDETLTEICKQIANLRALPDYVNSKKVHPLVIESINKDKHREEMDKYLAKSHYLTAISVSTKLIELIETELTQHGQPASPFDESVHDANSAVEQLGLLEIDPETGDLIKVEGPFIDDVIQQLINELEGTSLLAKSLEDAGENKDGDAKDLTPLARTENEQRHLPEEEAATHLEDSNLEDKLKDLKTEMEKVKLEIQEKAAEEKRLRDEILRVEAKIANRGVAIPTLIISIDEKKPTDPPLRNDDHSHPTILEKQNSSEHPHVSNDRAQTSQSDEKGSSRTTELVHQHTEKSEISERKKELEQEQERKQAQQTGSELDKIYKTLNNDEQISQGIRQYDDDIMPSEDDDDYAMRSPELDNRYSEYDKNGNPHLTPPSKTTPNHLKNVSFHNVHGYLVTFDGSSDFDIFRNISVTMSFRTQT >CRE13157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig540:2130:11007:1 gene:WBGene00073011 transcript:CRE13157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13157 MTSSPTSSDSSLEEEKFLKPEDVEECIPPKELEMMIEEKKVGTLDDFVTLRWYCMLVLLMAELTAFTATASSKVMVFAGATPTFNYVCDDAKKVKNTITVQTFGVLVGAAIFGQVSDTFGRRKALLISTLGNAIFNWISAYSPDLFYFMVWRTMAGVFTGGVTVVQMVFMVENIPRKDRMWIQNSITWSPNLILFPFVAWLCHDWRTMSVVIAAASIATFFAVFLLEEFPRWLIQKGRLDEARKSLIKIRKTDRLYDETFEKQLDEVLHVESEKHARSSKKSKKYTFIHLFCTWKMMAQSLTFVSGIMCTTFIVYSLMYNMEKLSGSLYWNLAIMGASRWIINILVSIADYRLPWFGRKMINQIAMVSTLLALFIMAVYLYFGYEGQVMAIGTVAAVAMCSQLFIAKYMMVNELYPTAVRNLAVSLVSTMSRIGSIFSPQLFYLSDYAEWIPYAVLLGCQFYDFIVLSIFLPETKGVVLENHLPPKNKRIFGKRA >CRE26521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1452678:1453422:-1 gene:WBGene00073012 transcript:CRE26521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26521 MTLVKLALLLLTISTESRKITILNKCQFTIWSGILGRPGNPAGGGFRLNASESRDIEVDDAWSAGRIWARTGCDENFNCETGFCRNSEQCNGAGGVPPASLAEFTLKPWGGQDFYDVSLIDGYNLPVQIEPRGGSGCKRAGGCVKDINSECPVALAVKGHNGNVVACKSGCLEYNTDQECCRGAYGTPDKCHRSATAQMFKDACPTAYTYLYDDGSSSFKCQATASYTVQFC >CRE23196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig518:9614:11442:1 gene:WBGene00073013 transcript:CRE23196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sptl-1 description:CRE-SPTL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NLR2] MTKEVTIDGETYLNMASTNFLSFIGVKRIEERAKQTIFKYGVGSCGPRGFYGTVDVHLDLEKELAKFMGCEEAVLYSYGFATVSSAIPAYAKKGDVIFVDEGVNFAIQKGLQASRSRVEYFKHNDMEDLERLLLEQEQRDKKVR >CRE23195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig518:1278:2964:1 gene:WBGene00073014 transcript:CRE23195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23195 MSDLGISISREDASFLNSTIQSTSTTFLLKSDDDDDDLLMSPNLFAPKSLKTVKKSKKLTVMKRKSEVISSGDSTTTPKKIQKTSSFLTSTLDLLSEGKKKKKKSKK >CRE16465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:67755:69185:-1 gene:WBGene00073015 transcript:CRE16465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16465 MMKFLFIFLFLRVVSSDISLERNEQLIQAVAGFCTSSDGYDSGFCVDYAKLRRERATPNATVTTPEEIVNKTLSAETPAPVKAGPGEILFKEGNKTTLVDPNDTTDPDEELEGLTAKQIQDELRKIIQEELAKKAIQKTSPDDPACRNLRDEYDEVCFATPPLAAFQETKEFCLAFVKNCQNSLLTNIFTNVKSFKIDFTAYCKKHRERFRYVCPDPLRFQSFAENAVDFCVRYQDRCPAEPVPAEPVKFHRKDEGHIYTREIEFWCTRTKRTAYNYCTEPDLLRVYKYAMFCGMYKYACIDIYKRVIYG >CRE21600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig553:2456:7250:-1 gene:WBGene00073016 transcript:CRE21600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21600 MSKIVDSENFPIISPDDFSRISCDYVLDMVRGTVKSEYFNFSKPVISKEEQKKSIELMFDGAGNLLGMYGSVEEHAENLEIYRNFPESHRFFGTNPANPYFTFPRVYESLKNEKYICKPDLFIILEDSVVRAFKDLQLGVYCAFLASFLKEQAEKVSKKLEFVKNDPRIIPDIMKEFLKAMDYCMNILPKCPNTVPKSLTNLTLDAVYKQIMKLIPNVKEEKVYKSIYHCLDCAFKQYQKETHSQLFNSMFFVCKTLVNTLQDIIKKRPELFLPNSSVKNQKSPLVTVRLFEDGEERFVMKAELYEAMNIYYKESFDHGYQTMDIEEVFERFKEQVTHVEFIRTPILRAKHKAVPVKGPNTSDFCMLAVDGLFDLLKQLTFGTKFFQAAGGLNAVFGTRLEELFQPELKSRYFVNMNFYLMEREHYKQFLMLCGLASVKPIRNAKKDGFTVQNLKNELKHVNFTGLFPEIEDYAEVAYNEVMLKKKGEFLRTCDLFDAIEHCVLLCIFNRVPEMKSFLHNQKSCWRVVGLKCELCDEKDPNEKSLDHQLDLKMPEKNVENKGEIEKQPNPCQNKLKDKEQMLAEIEKQLADAKVRSEQNVEALKKDLEKEEEENEKLRSQSVLHAKLGKENDEMRKKLAKHEISEKQMRKAGLKQKKIFDETLTQLRQQVADLEEELSRENQVIKDLDQQIIHLNLQNAENHENSCINENWAAEKQCLEKKIVEKTRRINELNEQKIVLRTENEVNSRMVQSLLNKLADVSIAGVTAASNRNQREETSTPPLPLVQTPPPSTSSSMSSAPEATGSTSSPASRWRNTQNSSSELADAQCVICLFDMKRRQKTIKCHQCRRRFHSKCASEWLKVKSECPACRGRLLDPYEFPSL >CRE07093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig494:5306:6206:-1 gene:WBGene00073017 transcript:CRE07093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07093 MTFGEHIKVSILYSAANIQWVWCFYPYQYNREADGNEDVPRVDQTVANFMPGKVEASSSHTDLEKFVAFTNPGLSIRQWLTHLHYIFSHPTLEDLFIVETRRTYDMEGIKETIEGFNLKTLAFCPNCSETFAQLAIRNFPFVARNRTHAILKGLNYQEMPSGYVRYFKLLTIEGWECDLKLKAGYDIRRKDGTVGTISFHRSDEGYDFHYAVWD >CRE07092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig494:11726:14598:1 gene:WBGene00073018 transcript:CRE07092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07092 description:Histone-lysine N-methyltransferase, H3 lysine-79 specific [Source:UniProtKB/TrEMBL;Acc:E3NLJ3] MAENRPIIKLVSVFNKGKLLILPGWHPEISTQILTIMHKMQSMISYLFQSFPQKFFENEGLTLQEVVDLVEDYNKVAKPYALTWSGSYNTENLGDWGYSQCKDDVAQDIVNWAYGMVINPQIDNNDEDNGKPHLNEISKICDEARIGEHDVFVDLGCGVGQFVYFVAAYARCKKTIGIEISDQPFKFATEVSGYFEKLMAHFGKRFGKFEISHGDFLEEKYRALICEEATVLFINNKMFDETLTHQLKVILRNLKAGTKVITTKPVCDIRHDDVNGDGPCNCQEWYENHQTPGGTGEESQKYSLMVIN >CRE05289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig652:2224:19881:-1 gene:WBGene00073019 transcript:CRE05289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05289 MLAIISVSDKTGLIPLAKGLVSAGLTLVASGGTAKTIRDNGIDVHDVADITKFPEMLGGRVKTLHPAVHGGILARDSESDRKDLEKHNISFVSVVVCNLYPFKKTVQSSNCSLEEAVENIDIGGVTLLRAAAKNHERVSVICDPADYDHIISELKSGGTSRERRQLLALKAFEHTTSYDESISGFMRRRFAGNGERALPLRYGTNPHQKDDAELYIVEDEMPIKVLNGSPGYINILDGLNGWQLVKELSDATKMPAAASFKHVSPAGAAIGLPLNETESACCMVSDLPIDTKKPSLAAAYARAREQDWIINDRLQKIAPSVGADRMSSFGDFIALSEKCDELTAKIINREVSDGVVAPDYDPAALSLLAKKKNGNYCVLKINPNYLPSETEERTVFGLRLRQKRNNAVINAETFTNVVGKENGMNKQAVDDLIVATIALKYAQSNSVCFAHRGQVIGMGAGQQSRIHCTRLAGDKAMNWWLRQHPTVLSLPWKNAIKRSEKSNAIDVLCSGVLGSEIAIDQWQHISMNPSNRLLRYEERKQWLSQQTGVVMSSDAFLPFRDNVDCAKQFGVSYVAHPGGSVRDEEIKEACDEHGITLIHTGLRLFHH >CRE11082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:619315:622682:-1 gene:WBGene00073022 transcript:CRE11082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11082 MKLFYLFTVFLTIKLISTAPLPTPTPLSVFGPCQQRCITKFGRLEERMTNAGTFYREIDLVDNTEFSLCRLGCAHPEFSTLNLPEFLYGQSMYREITTSSEIESSSNVVTGVHFLCLDHLTNRSSSKSSSSNGFVGKVILTLDDDKEESDYVHYIELIARNTEDGSESVVYNSWCYNSNCNITFNEFTGSEVRLQVSSFNKDGLAGRHTFSKWYNTEKHLSNTSINMSLKNVEWNEDKAAARFEYKEETSHEVPVCAFYVKYKNALSSEYKEVNFYLDHTKEVLVKNLNFNQNYSMHFVSSRNDAEGNVNVVVPVCNKMVDDMTMCAPPPVSVVSSTWNTSSLSENVLIIEWKYYSALDEKNNRIDSAVRTSHFQLTVHPLITGNNEKCEKYEPIRREVFYTHRRVVFHVPDSKCNYEVEVSVFDTKRRRSETKKIKVSFIKYTFSKSFLFQIVRVNEPSYMALLSPTDYPTTIGFAILLIATSIILFLIILIVMLKRRNRSHRGRKGETVVYAYVDDPKRNVMKVRPPGSRFMPVEYINRDVEAALTQRTAGCNLRDGGKSNMFQVLPQPIGDNVSESQYDYITEYQVESDLSDEVFEVSYSNRHRKRLLKISAFLLRTLHSVASIPHPSASWHQSHRLRNSMTCQHITQKIIASFYTEVSFPKHYCLAEPSRRAMRRELEILRILPIHPNFVRFDGVVIGRWENIPYQITGILMEECQGGSLYDYINSAGYVLRRQGMRTPNDHHLPIIEGSPNMSSGYDSFTSKGRQSPDQNNQKEGSSYQQVSSTLCSFTEQISRALEHLHFAKIVHTRVASTSVYLTSDYTDPLEMPSEQMVKLGDFSYASGSNDAIVADPNLQPPEIIMGKKYESKGDIWQFGMCLVDMCTLGVPYQIQKNIPVSGITEFDKLPSTRFLRDTAKKCLKSRNRPTATELRSLFVNRANLERF >CRE10812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:40599:42549:1 gene:WBGene00073023 transcript:CRE10812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10812 MYCPKIAAPPFLPVSFLPVSFLPVSFLPLSFLSLARFSPFAVKLASSVQATLESLKAARRSNSGVSTASDLSVSSTALSQLITTIDNGKSAVVVEVGSKLTKIGCAGEINPRHVIRTEYTEESGEVIKSDEILALNDRKSALKPIEYYEDLLHKFLRIAFLKVLAPSDRPVIVVESMFMSEDLRNAMTKVIVEKLRCKSLMFMPSHVCATFPFNTQNALVVDIGHSECVAVPVIEGVTMLNEFESARSICGQQLERRVRELLEKYGQMEELSGERRTLTEADWEDIDRIRLIETLSLSLICLDKERAQKWKEWEEAEGEKPSFEHLCKEKMVPINGKSIVVPPVVFETAIEIFFDESLNPNSFDLSLPKILHKVVSKCPIDIRRKLFPNILLAGGVSTIPGLMKRIEQEIHEIDEKNGTKYTEVVKFYQFSEIKNSPLFVSWVGASLLGSLRETIERKSLTLEQWKAGKLAADWTDMIVKAR >CRE05342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1572:5329:7430:1 gene:WBGene00073024 transcript:CRE05342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05342 MPNLLEDNFDPTFQSTSKKLVLPPNRKNHAEYDNLNEEPRVNDFSSDELMKLLSFMEGEVQAREDVIDHLKKERTKILLSEAKYGKLNMNDPFAALRRDSAITDEQIDEEKIVQMYESQVDQLDKMMSVQKKSQRNAAVLLVALEKKQYKLVKKLESDREAKIRYAKQGDDLVAHLEKERNQLQQQIEFHIEEKRKAEIAKDKMEMTLGNEKKRHESIVLYLIQERKQMLLKMHELRVKAEQLMLQNQSDPNKRELHQLPQLTPDVGSLVMANRGAKSVTTPRPPTAPPAARIPNSSTFPTEKSRLPRAPPPISTAPAPRMSIGNLPSSSSNP >CRE14382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig742:15951:21400:1 gene:WBGene00073027 transcript:CRE14382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14382 MALSVCIMSERRERQKRKTNFFQYNHLSTVKTPRREKSTVNYSTSRPAHLDLSGMKGGRSGGGGVSFDPTEIDVDDDESVSDRTQHVMGEFEGKVVSMVEMCSTSQEPLEQRQKRWRSTTQFPSIYHSQRVKTPRRERSTINSSTSRPAHLDLSGMKGGRSGGGGVSFDPTEIDVDDDESVSDRTQHVMGEFEGKVVSMVEMCSTSQEPLEQRQKRWRSTSQEAKIAKFKKYYQPQISKKLLAFMKEPFGIGNNYFKFPAGSRFGFPLGEFPELKYDFYKIIRMNDEKGVDYIADEYDLKWMELMNKDQHYNGNEMYSVAIFEHWMDRLEKMSIWKPKEHLKLKDENGRELDDVCNICLDGDTSNCNQIVYCDRCNLTVHQDCYGIPFIPDFKLIGK >CRE14384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig742:12086:12874:-1 gene:WBGene00073028 transcript:CRE14384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14384 MMSSGPLDPTDVTLFSSYPEPIIVPLDFVEKQTKAARDDLGIDESGMYIMSKALEEFMRQIMRESTQNGTFELDYDSLAKYFSNSEFKALEEFFPERVKYGDVMDEMHMNGQSSSS >CRE14381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig742:5312:11319:1 gene:WBGene00073029 transcript:CRE14381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-33E1 description:CRE-CYP-33E1 protein [Source:UniProtKB/TrEMBL;Acc:E3NN37] MILLIIGSLIFLYLFDLFYWKRRNLPPGPLPLPLIGNLYLMTDAVKPGYKMYEKLKEEYGPVFTFWLASLPMVTVTDWKLIKQHFIKDGGSFVGRPEFPISIEIRKGAFGIIESHGDRWIQQRRFALHILRDFGLGKNLMEEKVLNEVTAMIDSIRKSMDNVDMQNVFDASVGSVINNLLFGYRYDESNMTEFLELKDRMNKHFKMAAEPIGGLVGMYPWLGYFPILKGFKRVVTDNWGGLMEMFRKQAEEKLATIDYDSDEYSDYVEAFLKERKKHEHEEGYGGYEMEQLDSVCFDLWVAGMETTSNTLYWSLLYVLLNPKVLEKVYEELDTKIGSDRIITTTDRPNLNYINATINESQRLANLLPMNLSRTTTADVVIAGFRIPKDTVITPQISSVMYDPEIFPEPYEFRPERFLESDGSLKKVEELVPFSIGKRQCLGEGLARMELFLFFSNLFNKFHIQFHESNPSPTIEKDCGVTMKAKNFRVVMKERY >CRE13097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:115464:118922:-1 gene:WBGene00073030 transcript:CRE13097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13097 MDANTSSSVALVPENDTLVGKAYPTDAELAFFDNFATVVDGSDLVRSTTETLKLPPTRIKLKNLDKLPTSNTLDVNIGILFDIFIRWMLMKAGACLKTTRFWLNLRHPGYEKTPSGFWMPHRTYAKLNGHTLMNEIARHSQSNRNLGLDETLILSMRIFTKDKKALPGRGHRVPEEILKMFGLHHGHNVVGDSHCLPKALAMGKLWSDMQSCSDPGEKKTMNNKLQKVMRKTGKTFDGRCRIQMERAMDLLQEAGMDIDQEEHTLEDLEQLAEYLDEYHICIWEVPPHFPFPVVRDEFNKGAENFIPLFYHDGHYDHFHPTIESVQTRFCFRCRKVVGENHARKCETKCRRCGSNECEPEEGVSIWCEKCNITFRSQECYKRHLEKKTLNAFPYCDVYEKCKNCRTIHTRESYSKVKHECFSTYLCKICQTRAGEDHQCLHVMPTEKDRGQQLDKQKEWKMVIYDCESIVTSTAEYTGKNLNFFLKKKSSSILGAQSLGGKHVPNVLCYKIICQTCLGKECECCGPMQVLSSIEEPKPVIQRFVEVLRSDPTLKNAYIIAHNGGRYDHVFTLEEMISHEKHPSNFVMNGNTFILADVSTSRKTSFHFRDSVKYMPMKLSQMPAAFDLDTKSKGYFPYMFNHPGNYGVNLPGLPPIEYYEPQYMGTKDKDQFEQWYDANKEKPFDFNREIVDYCKNDVQILVEALVKFITICQTIFSGWNPIVQASTLASYILFIMKYEHMKPGVLGYIPENGYGGRNNSKIALKYLMWLEYKNPGLKLIHKLSKEGEFYLECGNTGFFVDGYDPETKEVYEVHGCLWHGCNRCWMDQEAKCPVNKNRTMGELYETTKDRDDTIRAAGYTLHVKWECDINEDLKKDKEMRDFFKNCNHAHHLQPREGMYGGRTQQFQSLVKACSKYSIEYFDFCSLYPYINMKGAEYPVGVPKRIVSDFEPIVPGRLPYRGVIFCDILPPLDCKLPVIPTRSDGKLLFVLCRTCGHSKKGKCTHTKISERFLTGVWCTDELNLAIEEGYKVLRYHEVWHWDEWFRDET >CRE13819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1101:514:1599:1 gene:WBGene00073031 transcript:CRE13819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13819 NAQQPRGENSTYLVERDANAAVASLTNRQLIETALAKCLAAQVILKLKHKKYHQVAETILQIKVESLQQKWFVTSSDLGIYILLCSMATMARKCLKMMVSSTPTIRKLLESEPLFIELLNAYSSSRFGKCFEIMKSVRSRLLLDPFLSRNVDELFSKIRQRCVIQYLRPYSTIKMETMCEALVTTMPDLQLSLLELVEAGHVQLRIDQNAGIIRLIDERDEESTLRRVNETCDRSILRAKSLLWKTAMARANIHSISDKETRQKRKKDGRADRNTLDYDDVGALGPISDDFNMSFESASGQNYIEDLGDI >CRE13820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1101:4893:7480:1 gene:WBGene00073032 transcript:CRE13820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tcc-1 MNEFMMYVGMAISMTVFAVFYLLYCREMGDDSFDKEALAAMAALDEAEKKKKGKNNKKDAKKQQKNSPDSPKDDKVQQQKPATLTVQTNLQSASPKVSPAPKPSPQAPQEQQVQKQLSPPQQQQAPQTTPKENVPKKKSPKPVNVKDLDQKKVLARLSSLADLDEAYVQWLTSQFRDTDVQKNGLTSEVKALQKRLSEASQKNDRLQKEKASLEIREKNEQTQRASLSSKVQTLQARESELFRQVQAANAQLQAKDADHTKDTQTLRSKAQQLETEVNNLKSASNRSAGQLRSAQQQAREIQGKLDEQARAHRLNLEASEAGSAKMKESITQLTDVVVMAEQRLESASNEIADQTDRIRRLAAENLKLKEEASKQEDTLNATRLALSNQAKHDNEKKDSEMEVLKEEKKVWLSEKEQMVEKYERLEELVKELNNDIAEFHACKRDQEKIVADLRAKDADRSEELLQTKDKFEKSQQQVNEARQMLAEVRAALDEEKARAVEIPLNTPAPVEEEEVFELKKTNVDLAAAPGTSSDDKVKELQEKNDELRQRNMSILEKVEATPNLLVSDRKRVVAELAKVTKKQFKNFNDEEAYYDYLVESVSAVEKALAEKIQATSGKASKSSKSAAAPSPAESGSSDEAAFLRKTIVDLRQQLEHIGELADKQYEQIAALQS >CRE07824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:30197:35130:-1 gene:WBGene00073035 transcript:CRE07824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07824 MQIASGCRFRQGNCRSVQGFRKVPGFSNQPEGKCAPSSQTPTPIEGRCLQNSYNFRKDEGQSQWMSQCIRASRFLEARTGQVPSGMEGSHQAQQRSQTTSLHRQEPGSLPHPVQKGPNSLAMDNSTKQVKFLSVGYANCFSVKNKLAQLELVTITNNFDIVCFTETKLDNTFPDSLLSLSNNFSVVRKDRNKHGGGVAILISKSIRFLPIEIPSSLLSSEIAGVDIMAGGVSIRIVVGYHPSHHSKLDGMISCLEFLLSTRKNCVILGDFNMPHISWPSLTASDSHCKKCLSFVTKNGLTQHIASPTRLKPDNILDLCFTNTNILRDVRVGDLFSDHKLIHVTLSVKNRTKKLTKKVKLFRKADYASINYLLSNTDWVLRFSNLNADGMYEYLLSILHELIASYVPVKAINTLSKRHSAEILKLQKAMLNVWRKEGNSTNYKNISADLKVALIKEEKRVNDEKLTNGSVKDFFKFINSRYKDNQEIGTLKNDSGAPINCDSEKVELFSDSFSKVFTEDNNVQPHFDKRTEELVSSPDFEPYIIEHTLSKLTPKLNTTPDGIPALFLKNVCTAIALPLSIIFRESFRTSIVPTAWKTAIVKPLHKKGSRANPNNYRLISLTSSVGKVMEKLVRKQLTNYLNSNRLLSNCQYGFRSSMSTEAQLLSYQADILTNYICKKTTHSVYIDFKKAFDKVSLSKLKIKLRSYGIHDDFFNWLCAFLTNRTQRVCINNVFSSDRSVLSGVPQGSVLGPLLFLLFINDIGDAFESNYLLYADDLKLFSTNADCIKKDLVRLSVWCDNWQMGVAPEKCEVISFNHSKKHSNSASLNFSINDAIIPQTKIIRDLGIIFNSDLNFSNHLDVTLRKAHQRVNIFFNVLRHADFEIFIKCFKIYVRPLLEYGSTVFSPTSKEQVRLIESVQKTFIFQVFRKFNIPYISYFESIKHCDILSLEHRRIIIDLVFMYKILVSKEVRIYNDVHISLPRFSNNLRRHPYYIKSKLSNSTKNTCQFFTNRIISCWNKLPPHIFPSFPNSDVFRCNISFNFVEPFLILKHSNF >CRE31267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:152271:153403:-1 gene:WBGene00073036 transcript:CRE31267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31267 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3MLI8] MFSVPFLTTLTNHLGTTAIDDASDSLSCLISAFLFIIAAILTSAKTYVGSAMECWVPQTYSGAWGEFAENYCFLKDTYWYPVKEEMIKTTDNHKELHRLSYYQWSSMYMAMAGIAFMIPKFLWKMAQSYTDMPLIYFCDTANTIRTETAEKRQEKVKEMATFMHVKLTSVHAPSYFPTIPMYIVYGIIKVLYLAIACVQFCFLAYFLGQKNDLFWGWTLFWNLMNGVTWETTGLFPRLTFCDFTVREMAGNNRDETIQCVIGINEFNEKIFLFLWLVTNEIISKSINTLSYFQVLARFPDFLYPHSSHLQRXXXXFFTKPNSTRIRIHDIAEKDLENGVDNVEDTIAVSV >CRE29241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig519:4529:6345:1 gene:WBGene00073037 transcript:CRE29241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29241 MKTPAIFILFFPLLALSELHGYEDQRALTPVEYRDQFDWIVDDLSVTEEETNRKLIVLATHFHEEHTFRRGLLANKAVENQLYKSFLEATQRIKTAKYKAQKILANRTQSAQVQYDAAVYLDKKFPLEMSVLLNICKTMGYKNTKSVIASSLKDAPKTKKLAEDLEKYTVEAHEQGYLIAQMLNDLPFVSRKSRMEERKKLVERFPKAMVVYEFVMKSLTTKMTSVLDG >CRE29244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig519:7829:9053:-1 gene:WBGene00073038 transcript:CRE29244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29244 MRNGFYYSCLLTIILVECSQNFDYKLSERALEFAASAYSLDPQPCIQKNNATVLFSKKVECDYMRDECWSVIAADNDTIFVSFSGTKSKEQLVTELIESIGRPKHKVLRGRKIRL >CRE29245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig519:11563:15723:-1 gene:WBGene00073039 transcript:CRE29245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prp-31 MSLAEELMADFDDDDDDDLEDIPDLGENLKGVKKEELDDDDIEEATEEPMDTSKYSSVHDVAKLARSEEYMALVKQLEVELKRPQDEVKVTAPLEADPQYKLIVKLSHVAADIDNEINVIHKFVRDKYEKRFPELETLVPTALNYLATVQLLKNDINSKALKEQLGSILDASTCMVVSVTVSTTQGVKLEPDELKTVMDACDLAAQLHVNRLEMHQLVEWRMSLIAPNLVALLGAATTAHLVSQAGGLSPLATMPSCNVQVLGKTKKNLIGFSTVSTNPHHGFIYFHPLVQAMPPDLKNKAAKILAAKVTLVARIDAQHESSNGEKGQDFLNLVNNKFEKMLEPPPVKANKALPKPLDKASKKRGGRRMRKMKERLGITEIRKSANRMNFGELAEDVMQEHMGFDIGQLKTGNVTGGRIRAAAVDQKTRARMSQKMMKQMEKQKAQGGMTSIRSKMAGTASSVTFTPVQGLEIINPAAQEQQQSSSTSNYFSSSGSFVNIDRMTL >CRE29242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig519:16126:19592:1 gene:WBGene00073040 transcript:CRE29242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29242 MESGAPLAREATFDRVEKKRTIAEEIDEMMKYYAFDDAIFLAEIYYETDKTNGENHSDALLTYADCLYRANKKEECYGLLRSTKFTGARLHFLFARVAYDLNKFDECRGALFEHENGNIRKEILEDARVAAHANLLNAQMLCDENHMDLAVESCQKSLDENIFLWAAVITYLRFGGQELAQTFDRHKDKSNGITAPPSPTVTMRTATPSPTGPSTSASSAPSTAPPAEPIGSSSRRSSVSTTRRSARSATTTTSSANREIRSMGDSELQLRSIANERVLGERGAEFVLFSRAKQIFTVFDLF >CRE29009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:13969:18744:1 gene:WBGene00073041 transcript:CRE29009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29009 MTYISIPVASEKHTTTQKRSDLQGIRGIAILSVLGFHFLPKQFPNGYLGVDQFFVLSGFLMCILLTRTTVASDNFSIFHYIFGFYYRRLKRVLPLYLLVIAGSLVALFQLFPDTAYETNLKSGEKALVFMSNRWKTEAEDYFSMFYSCQLLILPFFYIFQLSTAIDIFTHTWSLSIEVQFYFIIPILYLLIEKLFHLKIYSFVFLAIVSYSYSTLFCTENEAFISLFARVWQFMIGEFYRETVTVSMKRDFRNDCNNDKCVESIVKYTSLVLMVVIILCPIELPSWILRPLFTISTGILILLSSGDTILSFPILTYIGDISYSLYLIHWPLWYLKLTDKPLLALCVVLITVNICFLEFDKIRDYLTAPAIGSRLDGLNENQTISFGKSLPFTLTTLKIPEEVARMHREWEMHDFRNLNAPSCDYGKNTGPLGWCRHKGLDGKLKLMIIGNSWAANHARIIYDECGKKAKSIVQFSLTGCEPLVSFRYNTELCIPTLKTFVDIVEKEKPDYLFLLSRMIDTGDSLSSNTTELEDDPVFQAMRLNMNRLVKHVKRKMFMLNALPMIWERVVPEILKKVKNQENLVEFDKSLISIDPSLARSRYSKLVSECPKCSLIDYKPLFYNNSTGTWRFYDVENSGLTYFTPQNHLSFHGLERVRKVYTGICDKLEE >CRE12442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1816:2833:5033:-1 gene:WBGene00073042 transcript:CRE12442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12442 MRLPLLLLLLCRLVLLKRRQVFYIIPPEDVEGEDGLLDLEELSSRNLPSYIGNLGEVTEMEEQFLARYSLISNTRRCEKCPDNKMSLVKDKSLKRDSYLWRCSECKKRHMSTKVSTKTDSFFERSHLTPQQILYLAADWVENPTKPILHVARDFKVDKNSVTKMHEMFRQLTKSWFYRETGKDQHQMLGGPHKIVEIDETMMYRAKYNKGRMLTRKQVWVFGMIERGTSKIIMFRVSRRNAQTLIPIIRKYIKPGTTIISDAWRAYGGIAQLQEGYNHGVVTHKTNFVAPNDKRIHTQSIEASWGALKRKLKARFGDPEQRLGGHLFNYMFRRFFDNKKLLNHLIYEMKFFKRTGTPQVTDEGVDIDLDSSDDMSESEDDMSESEDDMSESVDDMSESEDDMTEITDISSDGTSDDDEMADQPDFQGLPLSATTKSTSSCHLPLSATTKSTSSCHLPLSATSGSSESGPIPLSATTKSSSCCPLPLSVTSTYPSEPGSCFLTWSWSWWRWVVGTWSRPWPFLI >CRE16373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:121256:123808:-1 gene:WBGene00073043 transcript:CRE16373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16373 MRLPLLLLLLCRLVLLKRRQVFYIIPPEDVEGEDGLLDLEELSSRNLPNYIGNLGEVTEMEEQFLARYSLISNTRRCEKCPDNKMSLVKDKSLKRDSYLWRCSECKKRHMSTKVSTKTDSFFERSHLTPQQILYLAADWVENPTKPILHVARDFKVDKNSVTKMHEMFRQLTKSWFYRETGKDQHQMLGGPHKIVEIDETMMYRAKYNKGRMLTRKQVWVFGMIERGTSKIIMFRVSRRNAQTLIPIIRKYIKPGEEFPFFIKTSLAFSGTTIISDAWRAYGGIAQLQEGYNHGVVTHKTNFVAPNDKRIHTQSIEASWGALKRKLKARFGDPEQRLGGHLFNYMFRRFFDNKKLLNHLIYEMKFFKRTGTPQVTDEGVDIDLDSSDDMSESEDDMSESKDGMSESEDDMTEITDISSDGTSDDDEMADQPDFQGVNPGRQRSPQPQNRQNPVPSRSPTPLNRRRPATSRSPPPLNRRRPATSRSPQPQDRQNPVPSHSPTPLNRRRPATSRSPQPQDRQNPAPSRSPPPLNRRRPATSRSPPPLNRRRPATSRSPQPQDRQNPAPSRSPPPLNRRRPATSRSPPPLNRRRPATSRSPQPQDRQNPAPSRSPPPLNRRRAAPSRSPSPQHLRRNPARASSRGRGRGGGGSWGPGRGHGRS >CRE16367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:124147:124685:1 gene:WBGene00073044 transcript:CRE16367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16367 MKVFSWLTLFLLLLSSPVNSLPIAAIALQAGARVFVREKIIDFLVENVGKPLLLEVRKFVNEIIDEALSTDKIEEEPIENGTEDAWEDAGEDAREDAREDAREDARDDAWEDAREDAREDSREDAREVAAENNPPEDDQTARVRGGGRVRSWGRGGRRHDGF >CRE16369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:128026:128475:1 gene:WBGene00073045 transcript:CRE16369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16369 MFYHPNGELVHKDKECTFGKKGEKILPSPLTVPAEGTGKSVATISNAKYEGAGDYLIITADFSADTEKAGIVKGRFLQIWKKEGDRHLVFHDEFKITA >CRE03493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig330:31166:31956:-1 gene:WBGene00073046 transcript:CRE03493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03493 MSSPKSVSEKLLDIIIKDAEKYVGTAGRRAIANAEEALSSILLGLQRDGKLSAFTVSVMGPIPKRGATSPVQISSAPPNKKPKSATGKENPKQTTKPKQEEKGPGGSWSTWKGLDLVVTPSRKPMVDPSDTRVIQVPVKLVKDKKNEQIRKLLRRTKTIIWVATKELSHAKEAVSLVTNTNAKKVVVLAPDATGEVEKVFADGEFKVEKSEKATEITISEIRAEFAKEAKEASVKSKDSDNKTPEIK >CRE21624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig873:11895:12827:-1 gene:WBGene00073047 transcript:CRE21624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21624 GKLAILVQAIEDGPEAVYTESHVKKLQLLLKKEANTGILHRFITQKPVKSQVVQVVLQHPQSNSFVRNGGQMSFSAHKIVHKARLNLLVCNANTWDATSTKQCRRCVKEKETQMHILQVCTYNKSGLITERHNAVHNKVSELIKKGSKRNWKLVDDSVIAGPSVKRPDIMLRSPDGKEIILADVTCPYECGLQGMQRAWDYKVEKYTKAYKYLEARGMKVTVLPIVVGSLGTWWKPTTNSLLQLGIDRKTINEWIPKLCAATAEYSKNIYWRHIKGDRYQSIPMKFGLDKPPGNSWKRGKRRKPPKPAKN >CRE31197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:167736:173903:1 gene:WBGene00073048 transcript:CRE31197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31197 MQRSTKRPVFQCVKCKKGFKRSYNYNFHSENVKCQEKYECSQCGREYDHPSSLKEHQRLHGLPVLSCNKKKCDFTTKRIADLKRHYQLHFKNTPKEFVKEWGILRETSRNDPVSTAVAIENEEDDVSSSNEISPNRRNEEVKIEEDIVDERNHTTFPLDIEMDNGFENKEHKLDVPPTANVHHSKKNWAYRTGTPVPYKDDETYNMTSSSDDEMDCELKLDFQPRTKFHNSKSLLMGNQAYRTGTSKSYSEETEVKKLLKDNKSKNHPSQTEGMGTGFLNNIDSKYSNKKEETTVAENGTPSEFVDLSQDDDDEIQAVYEKILEFVATIKCRAGTNTCSELSIQARDLCTFFAGQQYLNDSVVNFALQMFLECNRKHQDSRQILIVSSQLFQQLVAGQEGTQIDLHFLDNNADQFKKNVQRAKSLTKINIFAKKVLIIPNCYSGHWTLTVILNPLRAFGDPHKRPCTILFFDSLKASKTIPSDKIRHIMSEWLKMEYESSFDTSPSSFNKKSLHFYQPNNLEQQENGADCGVFVAYFANFVISASEDLLDSNERGDIGDFRFNMPRIGGQNPRSRIQLYQKIMSQSDSKCREIADSFATQEYKLIDPKPKKLSSSELHAVSNCPSTSNTSFTKLYATGASSNGACTSHIQEQNPWITSHLPLGVPEGKEDAWKMIEQIDLEHLLNVNERISNQVVFLLILLKVARHNLDATPKIGVIPIYWSQWFLSNEALLEEEMDRVRADFFFGYNEINQFIMPILCKDHFTVVMFDRSKNTAVHVNSILQESEHTEILTKSIQLFAEVLGMRLPRVANKEFQRQNDGTSCGIFVVAACEKLCGQELSDLSSKDSLIKKREELHQMLIDAIKKELIKVVGPQKVKLVRRDLHQMLKFL >CRE31198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:177274:178788:1 gene:WBGene00073049 transcript:CRE31198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31198 MNHSLLLLTIIFLSCPTPGLTRKWVDRSKWISRALYKTTLNCPLDTVWCFTGYYREFDLFNANDDLAVIPFHCTRGNSVHSASLLLKGGDEDDSTKEYEPELELYHNCSKCSLTHRTIKDLYNIHTRTPFTNETYEYNLLNTGTSSKAGIHFGLFSFFFKDSGFMDQAMRGEKLLPPGIKTWFDSESFTLPSETGSWAVSNQIHFYDDEQKTSGVNETIKN >CRE31199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:181585:183426:1 gene:WBGene00073050 transcript:CRE31199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31199 MADHSTDGDDGNLQPIRSALILQKSTRWQWEKEQTGLTDAELKKHLKLNGTNVAELLENEEKQMQAVQQIANDLSTAGISARVVTRQQLEQYLPDTDLVISAGGDGTFLAAASGISDQTPIIGINTDPIGSEGHLCVGGKNPPRNLIERLVSGKLKWAQRSRIRVTVSEKNIPAKKLTSLALNEVFIGEDEAAKVSTYNISIDDSQTVKQKSSGLIVSTGTGSTSWYLGMNRIDENATTSVLEALQSLGINVPITQNLVEKVMTTVNERIPFEPDHSSFAFSIREPIFNDTYKRTATRGFAQKICLESRCSNGFLVLDGSTKIPFPRGSVATFEMNSNDALKTVIV >CRE05169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:300935:301774:-1 gene:WBGene00073052 transcript:CRE05169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05169 MPVRAESFAVLVHRSIRKMAEGMKISRRSLGRIVKDKLKLNCYRVRKAAILAEATTKKRLERSKKLLQRTRNYEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGKTIHQASHPASVMVFAAVCAAGKSPLLLVDQGVKINKEVYISQILEKTLLPWAQIHFNRRHWIFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPYSSIEALKKTLLKEWDALSPDYLRATIDAYPRRLRAVIQKRGDRMEQN >CRE11331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:10924:13137:-1 gene:WBGene00073053 transcript:CRE11331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11331 MNKKEYNFWDDHSYEKTSKLNIQHITKGKGYEDYEGEYENMDDGHNVNSSKLNIQNIRKGNGCEEYEDDYECDLHEFIFEKHLTFLDVALEKRGVKSAYVFGCEDDSIIESEDDDFAVDDSVKPVMKTPKRKKFRQWIRSATRVKIENVFGILCSKFQVFARNLRLDPKNSRALIIACCVIHNISIGQLQAPPANFRDDPVVTDPYPTAEAQRTALKSFMLSR >CRE11290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:4923:8556:1 gene:WBGene00073055 transcript:CRE11290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11290 MPDVIVMPNGSVSESHGAENQEGGNLLGNPPTQPMEHQPAMVAEKHDAEHQEGGNLLGNPPTQPMEHQPAMVAEKHDAEHQEGGNLLGNPPTQPSNTGPVDDTNGTEVEPPGAQYQESGRLLGTGPFSTNNLPPALQPVNSIGSRSQVIQKKRAYSTYMELNKTKRRKEDYERRLQVHISDKTEYGFSLVNVDLYPGDYMAYINAFKFPVTNVIIYRMPYGNNRYIQHFTVKGSLLRKGVRELRIKEEEWKQVWNIDALTVANAFPFYWSVVKENQLLNLILAATKLSTVADDQSQFGGVHCNLERKEYMKQLMGDINFNIQFKSKLNSHGLCDWGTLYREVNTPDAIDASNDEVKLLSDMVGTGETEETRKAKTIPGIGVPSGSDNPNIGEIPTEQCQEVYLRKEVSSAFEMSNNGYSFYRIPETNSIFAKDSSGYWYKLNNETRCFEFRIEGLKDTTGESWKN >CRE21612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig713:3509:6158:1 gene:WBGene00073056 transcript:CRE21612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21612 MNEFVAKNEAFDPSNLTTIGTIDSVQGQEYDCVIFSMVRSNPKTTIGFIAEVRRLNVVVTRAKRHFIFIGNGYMLHSSHKPEIRKFFEIIQYKEQRFHPNLVCGIPAPGLVAREVKNNFGQNFQPFIENSNDPRMIEWCREFIENSRKPDFVQRRRERVEQRQEEARIRAQMDFEARELKRKCNVSNGQDPPAPIP >CRE21613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig713:7624:11238:1 gene:WBGene00073057 transcript:CRE21613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cmt-1 MSIISEVLKLSTRENVKSENSVENFPVMLKRAVCIHSSLQFVDLFMQNIMFSHGFTATPIIPNEENEISKTLSSFYRIRKEFRDIFRSHHRNAVSEVAIFVGTCPVRSSYSYRVPIYICEGENSTRSSCGDTCAELNDNERRRINRDLFMHGAKDPFDKKMSNKNHRLFVFVKGTEEMVNEEIEEELV >CRE17694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1424:4497:7821:1 gene:WBGene00073058 transcript:CRE17694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17694 MSEVKFLIRKSEVIFRIRNSEVGCQNGKMPGSRKSEFHATLLDLRTSTAAITSISKVHGAKLNLMSVMVMDVLVDPPNITRSRPSPMLSLTPINFSLISKRTKGLTKQMTFLSRYSIQLGFDKEIDIVIDGNGKKKTGFFYIITSDEATNGKMEENDWLQENSYERFSFKYSENGQIEEWKLWMGRVADIFNMESMKTLTMYTDMYDMEPIVDCLKTHVKSIEMCSMSEKEGGRTNGEHVAQFMNSIIIKKELNLFLSPLNNDFDARIPKNLKMISIKDAKWVGYEKLLEIDCRKLVLYESLLTNEEWNSFIKKWMAMEAHLNLQFLEFYLTSIEEFRRLVLHDIPHELIDEEVSRRLIG >CRE15052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig503:10561:12564:1 gene:WBGene00073059 transcript:CRE15052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15052 MHFDSKLLNKIKTWTLDISAFFAISINTLLIVLIITKSPKSIGAYKHLMIYIAVLELTYAIAYVAEKPDVFTKDSDFLIITNTKESLFPVTLSIWLDVVFIGFYGLSISLLAVHFIYRYLAITNSELLNSFSNWKLILWLLFPILNAGIWIMAGAVIFAATEDSDRFVKQFYLPTKKNGTKFEDLYYGGPFYYLTNRNGEFYINWIAFKGTGIVLTLIILSFSTMLYFGLKGYDTMKELMKVTSVSQKYKNLQSQLFNALVFQTIIPVFLMHIPATAIYFSIFIYSSTEILGEILSFSIAMYPALNPLPTIFIVKSYKQAVTGKYERLGSFVVEQGE >CRE15714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:67175:73904:-1 gene:WBGene00073061 transcript:CRE15714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15714 MVICGLLLVHSDCAPLNHPPYSNNNSHVPSYLDAFKELITQHVYGTGADNNSSDSIKNDDLFDLKSSRDFMRAKRNAPTPDKLKELIDKSSTLARISDGIALQSGLMDGSIKIEDAVGELLNFGTLKVSDVVGFNVDSVKELTGKLKQLPTSLDKSTEELEKTAIGWNKLRKKSESVKGVDDLPQKDAYFKALENYESNFNFDVYEEADTALNEIISSLERIEKLKIPDKPELQALKNIHSSHFNTIPGFFGTAIGKLQDAQATINIFTSNKILVDSHSAFAPFGTMIELINIRSKLKSPGAQKIHTALGNKFQQLTDLISKPIDLEIKSLSSLIDSRTIPGFNKQIYTSGFHNGVTDLKKLALEIRDPWIEEFTDNAISTSRLTDGIQTLLNVKDQLLDIDAKLKPVSTNNNPTSMAYLKNVMQEVSKMPQKSAELVNMFPELVTCISKASSLGPDHYADGQKVIEKILAVGKVFAELAAAVKRINIDQHQKDMNAFIKFLGFKNIKDEDSSAPEIPGLMKKIKTTDTLKKFKELIASIKNGFKIDKSALNTTADEIIADQTTIDTTGFKEEGKMHECLQKLKDKFEKLEKAIGLTRKLSGIDSATIQNVENLASTVASVKNELTSIGSIPDSMKKDVKEITTEINKWPESLKSSGEIGQSVALLSHANDFKMLVSSRDLDEFDAPVQTQITAIKDGNEQDKIKTLWGDHKKFVSDLQESSNQIDTIGTSLKLAEIKTFEDYGTAMKTSLETMKDVKIDVKTKIEALDALIPLTKAPAELEKIKKTLQHLGSLDLAFSSHTSHFQKVPNALKSLYDFLVTFSIEPTQAPPPRSGNAPLTGRPPVYSGSNGGSSGGYDEKSEEREASHERIGLGVVIGVLLLLFIILTCYKYFTKRFIKKQLREWIKAQRYPSAPTAHRHHDTCLKTIVIETEKFREEMQKQSHDYLPERKHRNPGILCNPETALHKLKKDGEKMPIHANLVKSKNGKRFIACQAPTDKSKDHDDTTEDFWHMVVKERCDNVVMLCQCVESKSIKSAQYYPVAVDKPKTCGRYEISLLAEPGIFMEFKDIIVRRMLIRDTTNKLRKRTINHYQHVGWGDQKCPPKGKHEALYQLMKKLESKWIPARFQSPVVVHCSSGIGRTMTFIGIHTVSEDVIHDASGPWANRITMLRDARWHAIQTTRQSYWLQMAVAHKLNWDYKLGMDEDLKEQQAMFYAMAFQEQVTPELAAERQMEKDKKAEAAAKGEKYNTTQKASPILGQVVLY >CRE15715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:74742:80996:-1 gene:WBGene00073062 transcript:CRE15715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15715 MRSKRLLQLILYIGGLFLIRPLMIFQIPMIPTCFQDLERMVRMKRQPDRSMGLFLFSAPTPDKLKELIDKSSSLARISNGIALQSGLMDGSIKIEDAVGELLNLGTVKVSDVVGFEMDFVKQLTGKLKGLSTNLDKSVEELEKAGLAWKKFEEMSRTVGDVTKLTKPNEYFTSLVDYDKKFKVKPFTEASKKVTAILTRLENIESLEIDEKTDETALYSLYKIHFWDIPERFGEAFLALTALKNAPDLFSGITFIMDSSKTFAPFEETVKLIDFRIDNLDVTLRNAAVKIVEQNFKQLEELAPMFSTPHLKILNDVIAARTRREPVKRTLTTGFVNGASDLKQLGNDVWDSWIETMSNSLVSLKRLENGLAPVLDIKRKLITADEALLSISSGESSMFYLASIQKDASTAVKDSSKLADVFDKCDKCEQNGGYFKKTSYVESQTLIQKILSVQKVFLNLKEAVQKIDTTKLKAEMDAFIKFLGFTDIDDLKKSASQIPGVMKKIKTTDNLKKLKELTAGVKSGVDVDFTDKGMTLSVPRKIVEKLGGHSGSFPCELKNITDGILATKDHLMFNGLDKEPAMYTCFHGLKDDLSRLAQMIQLTHKLRNLDSSKVQKVENAASSLGTATNELKSVSSIPDTMKKDAKEITTEINKWPESLKSSGEIGQSVALLSQANDFKTLVSSGDFDKFDAPVQAQIEAIKDGDEQKRIKTLWGNHSEFLNTIKIATQEIETIGANLKLAEIKTFEDYGTVMKKSLEGMKDVKIDVKAKIEALDALIALTITPAELEKIKKTLQHLESLDLAFSSHSSHFQKVPNALKSLYDFLVTFSIEPTQAPPLRSGNAPPTQVLLNSGASQNDTVGTQNEEKKDDYLILSIVLPLLVILISIPIAIYLLYRFKMLCFKPKGYICSVDFMDEDESHLRPISEDLIVIIVSKQVYMNHAEFFVFWMKLLMLVKNEKRNENRPRPYRKLNKLKYYDISILLNPWSTPQTVRIHGNFLRTWRNVFLAMQSPMEQSDIHMDTRSDFYGLIIQDEVEFVVKLGPTPASGSYYCERVGEKMIIDSYEIETVSAQPFPEGPHDVVFRKIKVSLVKDGKKIASRTFSQFQYTTWTEDFLPPNFELCYRLMEVITVSSKPIVVHCTTGTDRTMAFIGLEYVSRHMELHDGLTFEHSFAKLTEKRFNSFCSAQSIGWLQAGCIYFLTINHGLDLYMSQRAHSVFWEMVNDGTGVPQIAHGTRWT >CRE05283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig492:90:914:1 gene:WBGene00073063 transcript:CRE05283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05283 MKHHTIRIRSARKIFQVDQKVYARVQRGNKSEWGLGVVRRRYGNVLYEVQIGDRLHRCHVNQLRQRVGDKSKEDVFEETIFPLFFGNTQGDRVETQQSGGLRGHRVGLNVSDIPDTTRLPFSSDSDFLFGGGTRVDSGSSGQSLAQSEVNYELNVSRDYDRRAQDSLPISTSATATTTTSTAISPLPDTSPQARPTADPSHSLRRSQRPRRAPNRYDPCSEPQHGIRNARGSSRPDHPAARARGIAPASARRGQHNSSARGGGVGKARGRPRWQ >CRE11527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig538:2309:3232:-1 gene:WBGene00073064 transcript:CRE11527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11527 MITEALSKEDIGNPALDRSLKRGHQFSPDSHGKRTKSCSSAGLENMEFSHVAVSIPGLTTNMVDFIKNADLKSPDDLSKIILLMAESLTLIQNQNAILISQNKTLSEEVSVLKTSIGDIRAPTLMSKSDEKSWARIVSKSIQAPASQVAIMTAAKLANNSDVRKSSIILKNADLTEKDMTDLERCKLITDECKVNGPISVFRLPQQKGPPLLKISMGSPEDAIKVLSRFQNCREKINFCQKGSVRPDLTKPELIQYRESWKQAIIKNNQEKKRIYTVRDLKVVKIQYKEDQVPWAWEVREEKTNTSR >CRE15119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1082:1443:3563:1 gene:WBGene00073065 transcript:CRE15119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15119 MNTSKNRTIKTMMNYWKADYDLINYSISIIDWNLLFLNLSANEMYETLRTILKKLMDSHIPCVKRNIQAKKYPKEIRKLQAKKLKVWRQEGNSDRYKAMSALIRNDLSNYAKTELENKLTSGSSKQFFQYMKNIKNDARPIGSINYDGRPVTDDQTKANVFSDVFASVYTPDDGNVPAFSPRSYAILDNFIFEPYVVEAVLLKLRPRINTTPDEIPALFLKKVATSIALPLSIIFNKSLSSGNVPNVWKNAIVIPLHKKGPKTNCSNYRPIALTSSICKTIESIVRRAIVSHLNWNNLLSSFQYGFRANRSCEAQLLYYYGSLLHDLETYKSSYAVYIDFRKAFDKISTRKLMTKLESYGIKGNMLRWLDSFLSNRTQVITLNGSYSVPKDVKSGVPQGSVLGPLLFLLFINDIGDKLSARHLMFADDLKIFSPDIRLLQEDLSKITKWCDVWNMEVAPEKCEVIRFHCSKRKSHLNQDPKLSIRNLKLPLVKSIRDLGIYFSENLSFIQHTDLTLRRTHLRINMLFNVLKYSSLDIFIRCFKIYIRPILDYGTTIFSPIGKLQIIKLESVQKSFLFRVFKKFGKEYTSYFDALEICGLKSLELRRLICDLVYIYKTIISNEIYSRNSLFTFYPNMKSLRRHPYYLRCNLKNNNKINSQYITNRTLTCWNSLPVNCFPVKVSSRAFKSNLISLDLSKHLTLSPLNY >CRE12362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig537:7296:11280:1 gene:WBGene00073066 transcript:CRE12362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12362 MTCYRNSVKCMLLLLFMGLAALNTYSYWRDSLNVNILNTSMHPDVTVEQSSITPFQCPFEPWNQLHSDTVPYENLHLEWIQNNISRRDNILESQIRLLSSFVYLDHISITTNSQRSYGQKVYCRYYNCLREEISNSSYQSIFFPMNVIRCPRRIGVKYMSISFDSEEIPQEPIPLVYRVFEAPIHEVSVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYFTVFNMNEYSRKIIDEYLRTGEIELTVIQSEYKTIDWQFHLLQINECHQRSKHHSKWVINVDIDERLVILDDEIKSVGSLLSGYNDTVAEVGFAIRRIQKTEKLPEKYESDEQIISEMEFLKYNVSSPVTEKLPEKYESDEQIFFEMEFLKYNVSSPITWGAYKTIYRPNNDFRSTEKNILGSGWLTDPNYKNFSIVPEETKFAEKLKENVLKKIKYVYDQRKCERNTYSNLSYYSFSLLQYPLNYLVIEYQLPSVVRQESEINVRNSVRLFSVELNSFIINSRLTAHVNQPLSVVLMMGMRILEAREVEIHREDSKVLVSFFSYRQYRTTEKNILGSGWLTDSNYKNFSIVPEETEFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNCRFRNDTGSNKSVD >CRE21464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:206820:208889:-1 gene:WBGene00073067 transcript:CRE21464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21464 MWFVNKGSTKDLSVESSAVVIVPRTTVATGVTNKVSVIPFRPLHFTAPPKNGPPGVYPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLRAGCQKLCRYDITQAEIRAAMDRGQCGIFNAAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE16917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:326389:326813:-1 gene:WBGene00073068 transcript:CRE16917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16917 MSGGRFRVQSVADGGGGGSVGAAGSVGTLNATSESNLAVNKKEISHMFYSCENHALDQSITFKLVVCLSFGLSCINSKLSILFLQKSQVTSNQFSKKELCD >CRE16916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:313374:321113:-1 gene:WBGene00073069 transcript:CRE16916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16916 MTLTSTSMLRSTSIPVKPQEFEPEPNSSTSTNTVTSRRSSGGGVGQGLASKKPPNMHINIPADEGAERIPLCEQHSPLALYEQDYDTQGQKIGTMLRKLSVYNATEATSVEADEKPKAMKNVYLKHLFFFQAAAKMGTIMGVFLPCLQNIFGVLFFIRLAWIIGTAGIFQAFFVVLTCVSVTFLTSISLSAIATNGVVPSGGPYYMISRNLGPELGGAVGILFYLGTTIAASMYITGAIEILLLYIYPQAKLFDDIYHNYRVLGTVLLLVLGLIVMAGVKFVNRCALPLVIVVIFCILSAILGVFVRYDGSDALKFCIVGDRPVDLTSYYEKTKITPNCTSEGLRDLFCSANGTCDHYYDRMKDIKVWKASGMPAIREEKAIKGIASGVFFDNLWPKYLRGGEVLSKDRKDKGDINRGGHPSYIYAESVTNFMILVGVFFPSATGIMAGSNRSGNLRDAAKSIPLGTLAAQNFSSFIYLIGVVLFGASVSEMFIRDKYGRSAMGKLIISEISWPFPQVILFGCFMSTAGAGMQSLTGAPRLLQAIAADDVLPFLKPFRKMDSRGEPIRAILLTLAICECGILIAVIENITALITQFFLMCYLGVNAACALQSLLKSPGWRPGFRYFHWALSMIGAILCVAVMFISAWHFALFAIIIGAGVYKYIEYAGAEKEWGDGLRGLGLSAARFALLNLDDKPQHSRNWRPQLLVLAPDVESANTNGILSFVSQLKAGKGLTLVAHCMEGEYADNYLKAQAVQEKLKAMVKKNKIKGFCDVLVTSNVTEGISCLVQTSGLGGMRHNTVVLSWPDDWRSEQDWIVANKFVSAIRAVSAAKCAIMVPKYAEKFPANGTKVSGFIDVWWVVHDGGLLMLLPFLLRQHKTWKNTTVRLFAIAQMEDNNVQMKTDLEKFLYHLRIDAAVNVIEMTDSDISDYTYERTMKMEERNQYLKNLNKSDREKDIQNHLEIVTRERKLSRINEEAPAIIPEQRNLEVVNEEQEETKTENSLEKVEHKGVRFSDDEDSKEAKVGNGTLERDREERQRKRRYNVHKMHTAVKLNELMRQKSSDAQLVFVNLPGPPDADSDSYYMDFIDALTEGLDRVLLVRGTGAEVVTIYS >CRE30571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig968:8917:11290:-1 gene:WBGene00073070 transcript:CRE30571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30571 MIGLKDLLFYCFILFLCSTSSESYKILVYTNLFGHSHVKVMATVADALTDAGHNVTVLMPIIEQQFINKTCLKSTKNVIFVEQDDKLKALMATRSKRFETLWTSGFNNPFVVAKKYFIQKSKLMSELFSEHCRKVMSEKKLIEQIKSENFDLAITEPFDTCAYGEMIRFFQLSMDTVLHKFTSCLVLRCFRDILRNYASPAFFEAISIRAHVAVYSCSRLDHVSEVIGQPAAPSYVPGMTSLFGEQMNIWDRFLNFYLYKANSYAFSRIGDENYRVAKEFYPNIRSWREVVPEATFLFTNYIPYLDFPAPIFDKMIPIGGLTVKTDQKEVQLEEKWSNILDGRKKNVLISFGSNARSEEMPIEYKNALLKVFQSMSDTTFIWKYENPEDPFTKHLENVYLSAWLPQNELLADPRLTLFITHGGLASVLELAMTAKPAIMIPVAADQTRNAQMMKRHGVAAVLKKFDLANPVKIQEVIKEVMKDSSYRDNAKRLAEILHNQPTDPKEKLVKYVEFAARFGKLPSLDNYGRHQSFVEYFFLDIISIISFTVLVSSYIFYRLFKFVFQKCTGSSVKNKKE >CRE01432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig520:9405:10027:1 gene:WBGene00073072 transcript:CRE01432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01432 MALIDNNSNFCSMEEELQAEARVLKQIQTKLSETMETGKKTLPTEEEQKSIDAKSIFVGNVDFGATVAELEAHFYGCGQIVRTRIPKNKMTNRQKNFAYIEFECADNAENAMVMNGSTFRGRPIVVTTKRTNKPGMGASRGRGGFRGGRGGQQTVVIKYVHVNGAPPKGRGGFRGGRGGFNPY >CRE01435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig520:6785:7432:-1 gene:WBGene00073073 transcript:CRE01435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01435 MASIIDNNSYVCTKEMEIEAEARVLKEIQMKLSETMDVGKVSSPTEEEQKAIDAKSIFVGNVDFGATVAELEAHFKGCGEIVRITIPKDKITKKQKKYVKEVKEILLSEYYAFIEFESAGSVANAIVMNGSTFRERQIVVTLKRTNKPGMGATRGRGGFRGGRGGPQTVVVKYVYVNGPAPKGRGGFRGGRGRINPY >CRE27806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:251270:253042:1 gene:WBGene00073076 transcript:CRE27806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrt-5 description:CRE-WRT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3N5K7] MRRLFLPTVTFILLLILQTTVTRADYCGEHKVPFGMEVHKNGNVNILCSRPNCHEKKYALQIRRGEYFEGDEQMDGDTVISFDLIGDIEQIKEPDGNFSYNLLIYRYHCGNIPDTPPAWYMKKQWPYWDKEQ >CRE27807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:253968:256888:1 gene:WBGene00073077 transcript:CRE27807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27807 MSQESSKKYAMNPQKKRLEQLKKRREHQEMLEKQQLAHNSKSKKKMSREVVSNPETQQTETDVKMSAEVVDYEYSVEEDYEDDEYKATNNEVIKKLLIEEKRLLKSVKLAGENEKKLNTVQEEIGKRYDEFEKCLDEMDKQLTNYEAKAKDSYLNIDSNIQPLCKRWQASQKTMAAVKIHVTGPIAPSSVFGNLAHYGISILRQVLFYMAYCRDLMPRLHPSPVMMTPPTSDSPRTLNSLRITESD >CRE27830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:257317:258993:-1 gene:WBGene00073078 transcript:CRE27830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27830 MRSIPVILLVFLSVFVLATAGTQQIRRRCKSCSKNVWLGMDRASKCPQLNGAVVPAIRALNATTIMETPVQPRLYGRRGVYNANCEWMVIVVRNKGCILLDTDTIEFECENNRIYFQGREVTSYVCALSVFPDIEMVL >CRE23782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig517:13517:14313:-1 gene:WBGene00073079 transcript:CRE23782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23782 MIRNNGRVKTRRAETESENSQSVPKKRKLQASQPVAKKRKTEQPQKTDRKDEIRILREELEKAEKEYREAGKFIIEETRRLKRENVQLEEEAKHHGEQFRYIKYKIDRELVWKKQEKEALARTLDKGQEADRADVDVFAKEENMAQSYLDTINNLKEKIKNSGMTAARAMASRKACEMCQIEFNENINESVPKILPCGHTVCLGCIKELYNDGHVRCPSDRWYTKLDESPESLPTNFAAL >CRE23781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig517:1428:2837:-1 gene:WBGene00073080 transcript:CRE23781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23781 MYWVDMLAACSDSSQAVTTFPRGAVAEPEKKSPLAEKPVDTISSAPTSSLSPHMEDWMSHPIIQEFIKNYPFFETIKLKISAEKSPIPHGFKVNPTSSTTPATEYQDSESLGTMELFKAINAQRQMAFNLPIFVPNFAMYSYPLAPLFTSSSNVMKKEKRKKWDKNSEEYKEHRRDLLSKNRQKKAKKQNQLTVQEIVEKTKGTTRSDEEIFMEFCVRFLTPEESAPSDDTESSGF >CRE20614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig548:7976:11364:1 gene:WBGene00073081 transcript:CRE20614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20614 MYLLFLPLVTCVSIFTKTEPSIEFDLFNVPVETNFFGHFEGYNMFGKPKLVHFHQFEDTLVDNRSQTYKINKNCTFDVIGDQELLMHCFGRLLKITRNETHLLDIYSDLFTFDHVHRQIYLWRDPYIYKLEAGDSNPSWRVENLQDFNVVSGLLTILFTNGTIVHNDSVLTSVNPKLYTRLPIFAAPDFEYTRPDSNSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQSVMSKPYNAMMINNDPNADPCFRIMEDFLHEFPIVYNADCNKAKMIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQMSEAKPLVDEKYYDEGNITEMDDTQKSYTSYEDESDSDMSTTLSPAIPTPEQPSTDTPLSSPIATKPITRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVVVALEKIISKFKSPPIYVYSDFGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVDVLSKVVDGINRSVNRSIKKAPIDVKNGDFSFRRRGRRVRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEVIDGKFYYHEMIRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSVEFDSWVNESEILDIQQ >CRE20616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig548:5827:7902:-1 gene:WBGene00073082 transcript:CRE20616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20616 MEDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSGADKSPGKDSTNSDKVSHDEVLVGALTGGSAPDKSPEKDSEASSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSTSEPKTSSGNSEDTDKPLVGALTGGSAPDKSPEKDSEASSDNTSHDEVLVGTLTGGSAPDVSPGKDSANSDNASHDVVLVGALTGGEQGSEDGPEKDSASHEKPLVKALKVGEQGHKDGPEKDSASHDVVLVGALTGGEHGSEDGPEKDSDNASHDKPLVKALKGGKKDGDKQTDSEMLAFLLTFILLTMREKEERDQIVEAFKSAETSGVKENEDVVKESVETKEDGNDVLKKILEKHFTELEKLHGVDSSLQEAIKKMADNQTTFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDSSVVKKAIDALKSQLINNSKYEYKKLIGAIEEARLDELTSLRNAMKPKNVPFIGNLINFKVENDVLKVQFKDPNVLFIEFDKECAYFLGFHNCIVKNNETASSSIDFFGNISTLYVYCDVVDQTIVGNSKSSLLTVIPCKGKYGEMVQHTFPVPRYLPLMNGTIDSIKVQILSEFGDRIHFNWGSTIITLHFRKIA >CRE20615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig548:3593:4951:-1 gene:WBGene00073083 transcript:CRE20615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20615 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIRKFLFLFSKSFISFTALHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVTDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYFENLLMYSNEQKRSTLSISGYSPDSVIDSKEDSAFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE11583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1017:7141:8180:1 gene:WBGene00073084 transcript:CRE11583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11583 MVLPLPLFLRPGGTKRGTKEDGVSGASERQNNLIISKQIGKGVFGAVFIGQTSANTTKVAVKRMLNPRKEFQKYAQLMEARIHMLCNNKNIVPLYGYFQTDQYFHVVMPFYVKGSLSTYMDKKYSIDRIESAKISYEILNALVFLHSKKIVHRDLKPKNVLIGEDGEMRVSDFGLAEFQKRIEGKCGTLNYMAPEVIKCQQQSYSVDVWSSGCIVFEMLTGQYAFNDEDYGCLEKKICSGSYKMHDKIPISATTLISECLQKIPSQRPSTKTLFFHTWIVDTTEEAEKKRKMEDINKVLGKNALKSDTANQWKRNN >CRE31578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig767:3619:7265:-1 gene:WBGene00073085 transcript:CRE31578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31578 MMIFVLNRLKVKNVSATRIVVFLRKRCILETTPDTIVSNFRSNTAEIMTMTGNGVWSFYDLRGSSVKPLKIFKMGFVIKQGHAVDLSYCITSSNGQGHLWTWNGNGKPLEKYRFFQHNLNLDLTVIGGNTVLTLTDVNHLSEYQTKMFHRYGVKDSKQALFDVLDGKVLATACNSGIQMQSSEFQVPNFKEHSRKLSDNFRTTKARWQTNRDQKEEEKVKNRWEGKAKKNVDVNAFDTKFTKNDFTMGDVLGTGQFGSVFEAKSKRTGKAVAIKVIFNRLSIYTEKSIVEREVDIQKELRHENIVKLYTSFKTKYAIFFVLELLTGTLHSFMKTKKANVLSVNEIARMTQDVSKALVYHRRGVIHRDLKPQKVLHSDAKIYKISDFGTATDERDDTFCGTLDFMAPEVLCRKKQTTAVDCFALGLIIHLCNEGRLPFLHADGQACDEMKKKCKYEPPADMNLKIQEITRNLIKREAKDRWTAEQVITSQLVRDYQHQMQDKIFKQELADL >CRE21433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:58961:68416:1 gene:WBGene00073086 transcript:CRE21433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21433 MFLFFLLPIFTVAAVIQTTVPTVTTDIPLSSVESITVPTRTQDEKNAKLEERLPFLKSADELSRQEFFSIIQNDKLSKSEIWERVEQWAEKQSEYVKTDIMEFHQRLSQHVDNSKMRVERIIKNLPEALRRLSEIVQDIDITRVQEKNRIANLYTSLDDDVSKTLQWIVHLVSYERNEGKQLERRNKLRKLKKGGIWTGSVQATNII >CRE11598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1257:12733:17569:-1 gene:WBGene00073087 transcript:CRE11598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11598 MSPHILATVTIDDENHRNENCSPSSQDMSQSPKPKGRILYCRKCEGHGEKVILKNHSPQCPYILCNCKSCEKLNYKRLKSFNKRNKEKIELAAALNAKRHATESGSSVDDEEGYSRRSSFCSKTSTPDMDSGDTRTSSTTPITRASPAAMSNSTPTSANNNGLEGRSGSLGHMTVMSYDIWKAKCASEKKRLEDERAKKTSLDPFTRSPSPTPMRKRAHTFVAQPTNRTEEIPVVAPKKMSVDEKGKGKYILLPTIPAMRIFVNETEEEKPSPLMTPSLIPSIPMSQQQMTPMTTMAQLPLPTTTMAPMITPTSIFDIPSTSTNIRPFQMPITTSPMQQQLPRPAPVMAPPQLSPFKPVGLPAANQSLVNNLSTEQSLSSLILHNTVLQQQQQNSQMMQDFLGGSQATSQPQVDVLSMLRLQQQAAALASALQAAGIQIPMSTPTPVSAAPQPLPMLQKTLPSLSTNSLLNPFLQSHLFTNPV >CRE31568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig487:16482:22462:1 gene:WBGene00073088 transcript:CRE31568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xpo-2 description:CRE-XPO-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NLH4] MEQIGVALQETLQPNAATRKHGEDSLRTLQANPGYVIQILQLAVNEQQNVAPEIRMAAAVALKNFVKRNWGQAPEVEMSQEDEEQFRNMLLDAMFNTTKSNVQEILSNALYLIAQRDFPEKWPELVPYLSKFLTANDLNHLVASLASMDQIFRKFRYESKSTELWKELSKCLQSTQEPLTLLLANMMEVAQRKDSLGAEETAQWLKVLNLIAKVYHSLCSQDIPEYFEDHLNDWMPHFLQLVQISAPSQTSASGEPTTLDELKHEICEIFTLYSQRYEEEIAPYVPDIISAVWRLLETTGPDTRYDTMVCAALEFLSMVSQRQYYESHFTGDGVLKTLAENVCVQNLLLRQQDMELFEDEPLDYMKRDIEGTDVGTRRRGAIDLARGLCRRFEDKMLPCLSEIVQTLLASGEWIKIDIVYSLVTAIAVKTETAKAGVTATNPLIDINDFFIGQVAGHLNSDVNQTPILKADALKFAVTFRKQLAPEHLMTAIKASDALLSSSTPILHKYAAYAIERILIADNSKIFSAHNLPVSSILQNLVAAFDKDPKAQNSPYLIKAILRIIVILDEETIRHADSIATKLAQLIESATKNAADSVHTHFLFETICVLVTKTRTIGASLDAQLLPLIEVIFREDLEDLIPYALQITGVLVSSCISRNASIDQFSAFLPFLLSERLWARSANVPAALSVLEVLLSVNARGVVADNSALILNHLSRLLGSKTLDQYGFQLASAILPSIEHFEGQAMTFVLNTMFRRIQSSKTPKFIKLFIVFLCRFTILRSAQDLVRSCENIQTGMFGMLIERILCIEMPGLKQTTTAPEKRIIAIGMGNLLAEATQQLV >CRE31569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig487:7726:16125:-1 gene:WBGene00073089 transcript:CRE31569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31569 MGVGGKKNAQKQKKINPFELKFNKSKHDILGRKKGAQVGAPTASRKRAHEQREKTLGVEYDRKNKISKIVDKRLGERDDKTEEEKGAMRFTEERVKNYKRSAKFNLADEMGDGDEEEVLTHKGKSLSDIEKYDKSMISDSDDDEEPGNLGSRMVRIAHFGGGEKTAEEFVKEKMSREDMISNLIAKTKLARHEKQTQKDELEMMTESLDAKYANLMGKMKASFRPTGRQPIEKDDYDKLAITLRMEADARATPADRKLTEEEEAAKEKERLESLEAARISKSNASFNSRSHVSADADVDIEAGEKADLRKLKAKNARFEVKFDGDGGMIDEDDVEKSRILKASSGDSDEDSDDDVDDEEEEEDLDDLMEEDLDEDSGDSEDSEGENDEEDVKKKAKKTKKSEKSLKKAEILDETVPFTFEMPKNYSKFCVLLEKYSKSMDLVLERLVKCHHPSLKEGNKKRLNKLFLMCLRWFDDMAKEEEIGEKTIWEMNLAQKTMFGLMKFDIQYGVRCVRALIRQHWKARQEKQTKSPVSFGLISAIRLVSGLFPVADQWHPVVVPAFFLATEALCSAKISNLTVLAKQIQLANAIVEYVSESKRYVPELVAFARSAILLAVTEKPEKFSTNGFPILKPHSEMLCVTEKKNEFFQQIFAISKKFCFQFTGTLSPISLSALFSTSSASESADSASMKITVLRAVISLIQHLRVMYSNQNETYNIVFHPFLKSLKSINTVFLPKEVLEELETLIASMSAEIGAKSRLTHLSLIKTEKSMLKMLEPRFEWDFDPERPHKGPKDDKKRLTKNLRNEKRGAIKELRKDTAFLARKQISSVKTKDRARIAATKRVMGGLMQQQGEWNKEKRTNDVEKKKTKK >CRE09731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:3886:7171:-1 gene:WBGene00073090 transcript:CRE09731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09731 MVARPTRFIGVEVYSLVHRNFILPAPAIRLVPDNRRTPMIIRHQVSIGGCTTSVRRRSFAEVVIGASRPQFRAFSGDAKRTGHPMQFNATTSVSSEEMPIREEASAVIVPICEESIALVSSIREGSSVLVSTIREESDVLVREGSDNWHSPICEESCEMDPWHRDESRGLDTPYSINTEVRSSVSSGIGKQVSPVVTVTICEGSDNDAVANDNVKGCNQNILTELCSILKQPLVLRHFQLEQALKAKNEQVEALQQRLERLTDQEHEGNFRSECYTLQCRKGNAQVAEPGCDAEYKDFGAPASIISASIPIEANDYACLALVDTGATITLTSGVMCSRLGLPEPEPPIKKTVIGFGNASVKIAGSRVITFTIGSYRINHRVHITAEPLGDYDFLLGIDLLSRLPNIGFDLREAKMSIGKDVLPLGERAKCQECQRRSLENKTLKVQKSSWSEEAHEGTYTDFVIVDSWAEEMESSQVQEAVESKPSKLTKRPKLQSTPRRKGTCHYCKEEGHFARECHKKAKLVASKKDQRPNHPAILDRGEDISSSHTQLAQEIETLRKQVEELFTLNRKLISERFTSCEHTSAAPQQSTEVEKTEVEVEKTEEEQNVTSSSHCDQEQKDRQSSM >CRE30243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig369:5295:6926:-1 gene:WBGene00073091 transcript:CRE30243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30243 MQAIPADRGSTYKRKGIEEGDRGIIDNDVKLDDIVIKEELGSGTFGQVRVCESKKSGKLFAVKILPNTKAIIAEKHLLEKEIAIQVKLLHENVVQLITKNVIHRDIKPENYLYGFDGLWKLADFGLSISTKGMTKVGTENYQPPEILDGKPHSFPVDIWSLGCVFYECLEAQSPFPQSSTKAMIDAIIRVSDVCKRDVDCGPKDAFVCLGGVSTCLDEQTTKGDQNEANSGTCCRLYCHPHL >CRE10709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig539:1668:8531:-1 gene:WBGene00073093 transcript:CRE10709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10709 MLQLRNRRVLRVPARNPAPRINVPAAEARGARGAARANAPGGDAAEAVNLPAAHRMNFKDIKTATEALLTTMPRFSGEKDEDPYIQWIEKFVRESNALRLGRQLTIAVFPRMLSASARLKYDGLSNEEKADFGLATQALAEKLRVSSGRDKALNELSKTTKKTTESMVRFAKRIENVTRTAFPGLENEQRTEITINRFIQGLPSRIKVKMLEKDTPEDLEDAISLAEKMEEIIKEEDEETINLIDRVKISDRNNEEESLREQIRELTIESKRQRRMIEQIGNSNPRGLNTPRNQGFSRGRANHFNHQDRNQGQRNPHQFGYPPQRGSSNFGRYSGNRGNSYSRGEWNANRAPMQSGVHFLMIVACLTIFLPVVSSQFQICPNVRSGEYFAPPPQMSCELNPVETVVKAAVDIYTEFGASMKAKAYRCSKTTYSVCSPKGWLEWFVRKPNIVNITTTPMTKSECEEAMNHHQVRNQTLISKGNGIFHSLPSLTKVEPWIKERNCDEGTVFSLEVGEIATPDGVKVISSLGDMAGCQAHEGQCELTDALILWISDGITKFCKFSKIQTTEAYITKTKVVIPSLQMAMEISQNQTDSQIENCSLRMTVITNNGFMISIKNHRQSLTELINSVEKNQRMRRSLTLKEKPKGPLIERLFGPEATIDKYPLFSHDPITDPRIIHEMRRNDITMSQVKWQWENYRLPNMQMAVLRAIREGEYRKQLIRELRENPGNLENAVTIKQLEQPSHHFDGYLNDEFGIAHPIISKEGNHEKEVTVTKKEMPPTTTKTPLNREMAGVEYIKQISELHRKASENRENSQLNGRLQFVADKIIETNYQEFDKIYHRICEMQNSHIEISKTLLAIDPTLGMRTLLKRNDIVAKRAGMVYLVSQCSEVIAEKVFYDHKVNGTCYIDTPVQVQNQTWFIAPGMEKDLMKDSVEIPCDQVTLGIYKDAEGNWKSQNGLSVVRNIPVTFIEKTEKLNLTLSAPPVFSKMENIDNPFAYLATWTNKMINMKITQIELMQNLRNEGLSSETVEDMLIKAANSVKTIAEEIENSIDIGTDFVRNEVLGFLKKVIMPIVLIAIVAVAIVIAFKIYFVRKAAGIAMSELVKITRKAPPTIQRMIRRWQPEVNNIMLRDDEPTEMNVFNIERSDSIITMPEIYWIATEVNETENKTIPVLKVKVNKVVTRAVLDTGANISLISESMVKRMRKEESIEKSPVRARTANGTTLNLYGKITETVKIRNHKISLSFFVVKSHEITEDCVLGIDAITQFNKKNLEFAIGNGFIKIGNTKIPFEKNSELLMTVESKNDQNWEDDNEAGWTDELLKTVQNSSPRIEKMLKLFWKGQATQQMKEKYCIINNTVHIIPKRKQEVPPVLLDSCEMARALVQDIHGGLGHKNIRVTKKEVGKIAVWKGMKKDIQKVINSCKTCRTKRNEKIQLPVGSIMTVGGRAHLPFVPIHLDGTPIVALLDSGASVSLIPESVVKQLKIEDNVTVTHCSAKVANGTKLQFLGEVSIIVTMGKTSVSHKVLITKDEGAPAACLLGIDFISAINKQGQLLTFDMAKKIVRVGKEEVKLLDPNQIGHKKLNTITVTCANDEVIPARCQAIISGKMPGVIMQNSEFIINDTDRETEDIYSISPTLTKMDNEGNVVMRITNPGNSDLVLRKGTRIAEAEVWKGTDTSPQEMTPEQYANENIESLLKKIKLENSALSGRSKTKVRLLVQRYHLAFVGLDGKIGQFKGITTHHIELNDNHRIPQSRPYRINPQQKTKLEKQVKQMKANGLIEESTSPYTSPLLMIPKPNGEIRIVIDYRRLNLITRSRTYIMPNTIDICEEASRGKLFSVFDIAQGFHTIPMHEAHKERTAFCCHMGVFQYRKMPMGLKGAPDTFQRAMAEVERQFSGTLILYVDDLIVVSNDEDQHITHLEEFFQLMIKMGLKLKAEKSQIGRTKISFLGFTIENNTITPNGEKTEAIQKFPTPQTLSDVKSFMGMAGYFRRFIKDFGIIGRPLTMLTQKNVLFKWGKEQEEAFQEIKKKLMSPPILTTPKMDGDFELHTDASKIGIAAVLLQNQEGELKVIGYASRPTTSVENKYAPIELEALAITWGLTHFRPYVFGRKVKVVTDHQPLKSLLHRKEKEMSGRLLRHQAIIQMYDVEIAYRPGKENPLADALSRQKIESEQVAFIKEDNEHQEINLEKMQQNSELIQNIKRKMKYGNNEEARKLKCKYFLINDFVYGFPVEDDKLPPILIEGRNKESKRLIRHIHKTNNHIGALNSTTLELQLLEMVIAVSCVCV >CRE13830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1421:2090:5909:1 gene:WBGene00073094 transcript:CRE13830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13830 MTFYYKFHGEIRLAEQFYYVTRKPKNEHLLKNSGLKKCTETENVLVEKTTFADSECGTTARRHRQSSSNRTVASDFRKSPVDNRSFACESPKSGGQPATSVDILSQLNLNPNLLTQLTAAISRPIGVASPMLEEMKINFGSVPKIEQMDCSGGSFETVGSGSFDMMSAHSSFDHGNTNYNQATAEILATMPPKSVIQDDIRTGQGRFSLVRKRGRSEVWNLFGQVRDYSVSWWCGIVRS >CRE10670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig259:1381:5489:1 gene:WBGene00073096 transcript:CRE10670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10670 MSQLGDVIPWRLGFYSSWDSFDLPAWKGVGTQIQKFRKTSEVARIAVVPITDVIETFDTFYTIPKKVEHLKNALVLRPDIESDKLVHGISEIVKNLLLGRKDRQLEVMATPRNLANLNVFLD >CRE29262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig759:1188:6017:-1 gene:WBGene00073097 transcript:CRE29262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crml-1 description:CRE-CRML-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NN72] MTRDFVTLLASALHNNQNACLEHLDVSRNPLDDKKGFTILSSVLPRLNQLKYVNVAECQLTDKSINLLCTGLYNGMTSCKSGGMQLTELILSSNPIKDDISGIINLVSICTSLRVLDLSDTGIHLDKLWNSLKFGGLQIEKLLLNGCTLGGKKSEGVQTAKEYFSMAVQLTHISFNNTSMPSEYLKAVLLGLASNQQLQPFRLDLDSTCEKGSANVLDACIGGVRCETLSLRDNNLDGDLQGVLQSLMMVTCLRRLDIGGANMNGLKKNNKQAHVINKILLDVVKLYSEEGCLEELNLSEARLGAYLSVLLNTLGATTTLKSLDISGNEIGNFGARILSKALQVNVSLRSVSIDNNHIGADGFVDLATSMKMNHTLTHFPYPVQDAFDCLQRQERSRATAALSQIQNCLYRNRTAIGADEANCKRMFAGGVLLAMEKASDDIVGGIVDSISTYGQEPFPPKMSEIIEEFVAKFQTEGGRLIAENISRTIGGDLNATSRRAEQLAVARLTEVATEQVKHVFSEWKWREMCEHVESELSRTSIGGSGDACSLGRTSSVGTGSPAMSSPFTPKRAGHRPRSIIGDLTSSTTSSETIGGGSEQGVNLDLPPKPSGLSHLQKARPRKRGGASATSILQNGDDVMMTSKSSGGGGTDDMEDLIEEEEEEPKRAPPVIGRIAMIPDTSVLSQVHLRPSADRTPPEFSPRGGEASPTMVHKVLDAASDSPPPPLPQRNRIGPGGAPPPLLPPKPEPRTRLVGIGSPTTPPATGGTDDDENANSRRSVADMAKIFQK >CRE30546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig528:21962:24158:-1 gene:WBGene00073098 transcript:CRE30546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30546 MKNEWSTSFFHQSQTPNPYPPMPTGPPHSTASFFTSTPSMTSHHSSPINQSPMSLHQPIPLLQSPLKSSPRSEQESTTLSAPSSGGQMKARFECVGCGSESAIRVHYGASSCHGCKAFFRRSVFEGRVYMCSADNQCDITNESRNRCRACRLRNCLDGGMNPKHVREERSKIERMPGNTCTVSATNGVTHNVPTTSAAPVIEQKYSVTLQQQESSPTTLAPSTSSSSVTSVSQPAPVESTTSSGSEAEVVDKKTLQENQLTLFMCALEKQTEQLTDDDVKDNDVMGAWSRDISLTFGLQHPQMVIKRLPLMYTCDRIMEAADLYLSWYRSFVFCADWAMGIPEFRVLPLADQTTLFKQNFMAFGWITFAYKCFELKQHEVGIPLGNGAFIPYNDEEQKKLPERWALTYGVVCKKLIDLIVKVMIELQITEQEYCLIKTISLFQQDCILSEPGAGICSRMRDRLLDALSTHIERKFPFQTQSQRLTRALKISLMLPSFSHIGQVESTLIQQLTAADLHQLSGVPMEICTAQQSL >CRE30542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig528:20607:21355:1 gene:WBGene00073099 transcript:CRE30542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30542 MLRRSKRIAEIEKRKNQPEDLIKKPKLSEELIQREIEELNANIVNLENNTRRTDDRWATEKTENDVLRLRIHVSEMSTRRAKHQTSEVKITLKKTTKEAGSLKKKIGEMLGELENFKDFDEAITKAKKETSSNRQKWMEEIEKIQEKQEEKNGGPIEWKMCEICAFEYSEEEKKVPRVLNCGHTICTECAGNFIMNTFNHHNTIRCPFDRQMTQLRSGGVYGLPRNCALVNL >CRE30545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig528:19509:20247:-1 gene:WBGene00073100 transcript:CRE30545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30545 MLRRSKRISEQMERNNLSNQVSVKKSRFNEELKKKELEALKNKLKIAQGNLSDSKNKLNYELRLKEILKKKLEESERAFGAANTVATEAKTMLGNYTKLTENQKQIIADMEGKMEDFKNQSKFNEALNREKATAESYKKKWVNMMNRAKNGEDENGPFPWKHCESCDEPYGDTDIRIPRVLVCGHTICTECAGKLMMNNNSIRCPVDRQSTKTENGRADELPKNFVLLNV >CRE30544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig528:17146:18759:-1 gene:WBGene00073101 transcript:CRE30544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30544 MRFKNRLLSVIAAALTFLFILILNEVYYDSSEVLIDEKWEQIIDKRQREINESVGCHDFLQKLQGKVSVSVLLIDMDILEIIGQNRCNQLKMYETPIQVATNSRKDLNFIHRNLFEPFFFESNEQKDYLEFDTKPRRIIPKNFETMKFGNIAVPMKPFRFRKYWQKSRLIECSNTTMNRNEIEKKRRINLQSSVFEMSRLRDLLIQYDMYPFISEGTLLGKFFKKIPFILLITTVSRVFFLDIDFAIISTEFNPKFVNDMREGRANFKLTRRLGGLDSLELTVTPRNGYKLNTNVFFMYRGKNETGGNEFNWISGLCGDGEKIRYNFPLFEPICSADFHDHLVWVTCNPKKAIIYEYGEKWYEDVPTNNYSRYESVNNVERNVGWYSSWELRKVTFEDGYEYH >CRE30541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig528:15778:17026:1 gene:WBGene00073102 transcript:CRE30541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30541 MLPPTVTKQELLSNFDTFVFDADGVLWTGDIPIPGAADWINTLLDDPEKSVFITTNNSTKTLEQYMQKVSKMGFGRLGKRNLLSPAIVLCDYFKRNAEKFKHQWIYLIGVENLKHSLEEGGGVKCFGTGVDHKDDYAEGDFINEVDVTSRVPKAVVVSFDSCFSYPKLMKCANFLSDPSVEFLICNEDTTFPGPVPGMILPETGPWSAAIQNVSGRKPDIVFGKPHKEMANFLKSRVDPGRFDSRRTVMFGDRLDTDMMFGKNNGFTTVWMQTGVNTVLDIEKARQAGELSKIPDLTCRFSEF >CRE30540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig528:14087:15460:1 gene:WBGene00073103 transcript:CRE30540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30540 MLRFLTCSLLISMALVSAKSRFGRQADETLTDSEGSGLQFINFDEGSASNPIVARDQEGSGMMYDEEGSGNTLLFDSETPIQVTNVTGTQAEPSAQSNVLNQSINITDSDAETLVITVHLPQKTTSQLLDDLKDDTAQQTNPLNTLDTAVSLTSVLNELLGRIQDATNQ >CRE28662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:116398:118055:1 gene:WBGene00073104 transcript:CRE28662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28662 MSEVEPKIRKKHMEFTPMTPETTFQYADLNIKHINPENTLAMVKRAIRMGYDAVAINMELGDISDYYTELDALWTPANQSGTDGEPPKKKKKKQQRKDELADGIAGILKKKLIPPPHYVDISQLNTSDLEKRGKVFRQFSRVTFTANEQVVINKVFIHPTILSYDIVAIRPREPAVLDTLARKTELFDIITIDHLEEKRGKWLSMSKVMDRIRNDGVFYEIAYAESLMPATRRNTLFNGRVLIRSLKSKNIIFSSGAETMLDLRSPVDVMNMSLLWGVANNEARKMISGFPKNLLLQAECRGTGNGDVCSMKLSEAEKLAGIHKEDEEKDTEGVENGEEKEEKIEKVVPPPIDVRMNHSQYQALLQATKNANDMMKKIKASKAASSSSGSNAPTTSSSSS >CRE30539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig528:6523:9241:1 gene:WBGene00073105 transcript:CRE30539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30539 MFAIAHNSILRDSLAGILQLSPERVFDEYRPPPFTGNLVVGVEDLRDANLRFAVRYFLANVEKNISLFIVKQEWSENDEKFFDDLVKTEYRRAHDLNQVAIDRVNKLPENDWKTDRLEQLNEWRTIPAINYSLLTLNDNLKQFKCYPSIHIFSTRLVILMIQKTSDHFCNLLVQNRKYSHIFQISFVPFGTIFFKSKEIEARVFDATVFCSTALKYEKVGFRVGDAVHHVDIAHIFPDGTYPSLSRLLSMNPPSPKFSRPDSVNDSVSPSLISEPPTPGGLAAPHNRGRRVSFGQFPFLLIQCYFVSGTIKLMSDAPSNPEIPILNGNDDGGVKTVDAFIDKMLQQTMDQLAV >CRE14431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1742:3952:5977:-1 gene:WBGene00073106 transcript:CRE14431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14431 MLQSAVISKDDLKTEIIDGRAVQFGEYNFTDIELDTNLIPSVIPIETAHDGRCLCETENGCSCLAADWKPVKLSSESESDRSKIYSIISEIEKPPPGTNDTVVSDEKTGKEKSVAGTWHWHQYAIAAAIIIASIFALMVLFGVRCYRKFNKTKKAASNVHLMNENPAFSHSGTIPLILKQSVSVLILYSHDSSQHEAAVLAFAELLRDVFHLNVHLDAWDEDDIDENRFEYLNSSIVRSDKVILINSIGAYYRTVFRYKREPALERIVRGRNDGLFNTQCELALQHPCIISCHFNYTNPKYVLFPVKRLLQYSIPENIMTLASSLTGQPARAEQLAGFNQVYARLQAAVSRKAHYIESDPQWFEHTHHRVEDQVPVEEEDPVDLIPLPPSLRVRVENEEVFEQLDTMPMDELEQKLKKKNLTEALELKEEPCSVSTDMEPEERPEKLALLEDPKQEFDEDVDSVEDISPTARIEELQRLIVHKDINHDSGNLDSAYVSGSDFSTDVHTDIIDKPRLNSEVDRRKAAREDSAFHDDVIGVH >CRE29247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig559:4266:5045:1 gene:WBGene00073107 transcript:CRE29247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29247 MVEKNIDVRFENMKDTFEIFICSDSGISNNPHIQQYRIGGHIVPVMPSRIRITTFWKNYQEGFLPVIQHLLKMFQCKISTYYNCLRSDLFQPTISELFNQQLKFKTITIELNGSEEHNLLWNQISDKFELVETLILSSITDPGFKPIFTSWPQNICIRSSYWFTLEYLLPCTCTTITLEESHLGNKDLDEILRNWKAGAFQNLKCLKIYDQNITNIGATILGMNLRELDGKVIQTDDGSKKATIKNRFGIIEMSVTLFQ >CRE29251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig559:10894:11821:-1 gene:WBGene00073108 transcript:CRE29251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29251 MSSSFPLLRLPQLVLCEILKSLSIEEKFKLSICSKKISTQINIARLYSQKVIVGLDMLNHGIRVCSENYRDSFEISIYPDFWKRHNSNTQQFSIACCAFLSAIEHLLKMFYCKISTTISHHNSELYQPTISMLFDLQVEFKMLFIELNGSEDRILLWNQISKKLELIEDLVFSSGLDSGFSPLFTSWPQNITIFSSVVFTLESLLECNCTRIILQWTHLGNKDLEVILKNWKTGKLPNLEFLRVDSRNITSTGATILGMNLSELDGTDIQTDDGSKTATINTGHQMFFEMSI >CRE29252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig559:12618:14198:-1 gene:WBGene00073109 transcript:CRE29252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29252 MAGNGSMEILRTDSTQYNSEGVTSGNSASSRMREVSLRERRKLVKGFTDCVEASGQMESDIFEAVKMTCQSTSRQLGGLIAPVKKYVKELRERFDELGGERWTLEIIGLMRENKVETVEELRKLCERGAKLVRGGSGEQATASSDDVKKFQEAWNKEREELYEELNKLTKEKALADETVSKFKVALKKEREAHEKLKGVFQRQEDLGALAEARRWKKVVSTDWEAVEEVVVLIEVTDDEEANSKRLGFVESIAKEAKKVYMILDGLQCPFGKVAEVTDKWRGWLKTVVNVEMVDPLMPVGMHQTPLILEKWDNKSLESIGKFLLLALPSHSIGTQLKTRLHPAKTLPNGLKRDFNPGRPTGPRGGGSGPASTPCPQNPMESQKSNEMYIRVATGKPNPHHATEAFIRLNSLIKFSLVGVGESDTPILRFSSDAEICEMRRNDRE >CRE22133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:9362:12398:1 gene:WBGene00073110 transcript:CRE22133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22133 MFFGVHSLLCVTAERGMATVFLRDYENKPRLWIAVTLITANFLISLTYAFLAVFQLIMMKFVFTVCLVITVSSMAILEIIYFLNRKRLAALVRHDSNIVFYTLSTKYQLQENVQSCKLIRPALIAVGAFIVFTILTECLPIILDFPDDVEHWCNLIFDTMVYTNSLVVVPTLFLLMDSYRKVFMHYYRQIKESIHPPTQNPHRKRSIFVFSKRTANEGDVYFEMFNKSVSPQIISRETTFTLK >CRE18328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1163:4572:7326:1 gene:WBGene00073111 transcript:CRE18328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18328 MNKIIEYGSIQTIPAYNCSAYTPPNWYEKDGVPRPILGLTEATLGVILVLIYLPMISVMLEKEQFSMSCFKIMSLLAIVDVFSILINCIITGWLAYHGAVYCTHPNLIYISGMAGMGLWCCSCVIAVTLVTNRLLDLIFPRIGAMIFDGNKTFLVLVVSVLYGLYFAIFNTPILFTSKFHAWFFDPMIFEDMEKEYANIPHIVNNFFVVAATCFLYGIFCWALCSKLKHVDTESESRNASSQIFLQSALICAVNLAAAIIYVIMNYIDIPFWLILVGTLMWQLGNSAPVLIYLRFNRTIRNGILRKIGGEKVGVLRKREKFSDIFSRPTETRSYNKYKHVTSGSCLTLGLSMYPGR >CRE27934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig804:856:2475:1 gene:WBGene00073112 transcript:CRE27934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27934 MNKVIRYGSVQAIPIYNCSAHTPEEWTKRDGVSRPILGITEASLGILINICYIPILFVMLEKEHFKISCYKIMSFLAIIDMLSIVVDCIITGWLSYQGAVFCSYPTLIYFSGMSGTGLWCCTCVTALILIVNRIFDLLVPRARIFFFEGNRTFLVILGAVLYTMYYVFCNTPSLFTSKFHSWFFTPMIFEGRDMDYENVPMFFNNIGVVFVTCILYILFCFVLGAKLKNVSTGSESRSASIQIFFQSAMICAFNLMASLIYISMNYIEVPFWLIILGQFSWQLGNSAPVFIYMKFNKTLRNERPEDEYGAKGYTDNVENPFDTSYDYFIKLVFFM >CRE27935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig804:3177:4280:1 gene:WBGene00073113 transcript:CRE27935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hpo-25 MKIPLFLLLLLSFLASGCEFEVEYDYETVSPTDCSSCPPFTSLFYSHYANASNFETYYKVSDCVYNYTCLTVQQKENYVIVNATDDIKVATGYFMRVHLTDKPYPEYGDPINVFDALGFQCIDSKWYATKFPYGLWHNGATRNMSAADLVGIKLPIQAMSILCN >CRE27936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig804:8290:11365:1 gene:WBGene00073114 transcript:CRE27936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27936 MGLFKKKKDDSSSEGSAKKVEDPPPPKISIFQLFRYTSTLDRIMLIVGIIVSCATGLGLPLMSIIMGNVSQNFVEIGTILLNSTDPAVIKKAKDDFSHDVIQNCLQYVYLGAGIFAAGFIQASCFLVICENLSNRFRREFFYSVMRHEIAWYDKNTSGTLSNKLFDNLERVREGTGDKVGLAFQMMAQFIGGFAVAFTYDWLLTLIMMSLSPFMMICGLFLAKLLATAATKEAKQYAVAGGIAEEVLTSIRTVIAFNGQEYECKRYEDALAHGKKTGIKKSFLIGAGLASFFVIIYASYCLAFWVGTNYVYSGRLQSGTVLTVFFSVMMGSMALGQAGQQFATIGTALGAAASLYEVIDRVPEIDAYSERGVTPEKVSGRIKIQNLEFTYPTRPDVQILKDVSLEAQPGQTIALVGSSGCGKSTIIQLLQRFYNPDAGKIYIDDIAIEDFNIKYLRQLVGVVSQEPNLFNTSIEQNIRYGRADVDSEAINRALKEANAYDFIKTFPEGLNTLVGDRGVQMSGGQKQRIAIARALVRNPKILLLDEATSALDAESESVVQAALENASRGRTTIVIAHRLSTVRNADKIIVMKGGKIMEVGTHDTLIEQKGLYHELVHAQVFADVEDKPMKKKDVERRMS >CRE23770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig317:21277:24334:-1 gene:WBGene00073115 transcript:CRE23770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23770 MIRSPKNENNSGFPDACVPSRNNTVLYAYSTDIDHGTYHLSTETMVNNADTYTTLANVRFDTKQEEEIEYHSDWISFNVSVFSHPSDPSLGYGNKTTGSNLYTVLKKFLNNKKVSLCGAKVFVLAKRYPYESDISDIISQLRSNHVFVYIDVDSIPSGGSNSATLYEMSYQTNGYCAFATGTGWDLSNVFSGMIYPLIFPYQIIAQNSVVSGSGRIEVPAFKSSIQPDIGAEYCSFAITVQNHTLDISFVSMNYTIESTDGSDVFKYPSVQSYPLYGTAQANWVYLNTSLSYKWTIDYHYNTDAPQIIECRVYSEFYHDFLPLPDF >CRE23771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig317:25920:27660:-1 gene:WBGene00073116 transcript:CRE23771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23771 MTVTSDEAHAKIVESADSPAACVPSQNITVLYAYSTDIDYYTFWLGSFDMTLLAVMYTTLANVRFDTKQEEEIEYHSDRESLNASLYSHVPDSSLGYGNNTTGSNLYSVLKKFLNNKTTPICGAQVFIAVKRYPDESDVSDIIKQLRANHVMVYIAVDSLPSGGSNSASLYEMSYYTNGYCLFATTNDFALESGFNRLTWVLDYPYQFIAQNYVVSGSGRIETPAFEIPRSPLGDNYSLFAITVQNHSKDIFQVKHRKKRLAALDNSFVSMNYTIESTDGSYVYKFPNNQSYAFYGTAQSDYFYFNSSFSYKWTIDYHYNTNEPQIIQLRMYSDYYHDFLPLPDFK >CRE25969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig646:44021:45565:1 gene:WBGene00073117 transcript:CRE25969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25969 MDANSPSVSSTSSSSSTVTTPVQSQASTESAQETSHSGSRHPATLRSSSQPATLRNAAFNEISDGTWTYCIDTPNPAPSVKGFGNVPIASYEEIEAMGDRKLKVCTVTWNINEKGAKVLNHLAQKIRDRNEEMDSDIFFISLQEIPTTAPTFHEDARRILEPLLHGHRLYLSHRAWSQMVIVFIRHKHIRYAIQPQPLFIPSGTVAKPVRTKGAIGVCLRLYQRFIVLIGCHLSHATPQLRVQDYTKIVRELCFTQLAKFHGTQKGHIFASDVVIWTGDLNFRVTAENSVNWNDVDKLREKDYDDVLETEELANHKSKEAAFSKFNEPPLRFPPTHKYEPDTDIYVPKRIPSFTVKIFRKKKSN >CRE25971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig646:39518:40694:-1 gene:WBGene00073118 transcript:CRE25971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25971 MFICIEERACSCFQTTTTSQVSPDTVVQDKRIFIKQISKALYASKIVSYAQGFMLLAEASKQLKWDLNFGAIALMWRGGCIIRSRFLGEIKRAFDNNKQLSNLLLDEFFTKAIAEAQDSWRVVVCSAVRLGIPVPAFSSALSFYDGYTSEVVPANLLQAQRDYFGAHTYELLGQPGTWVHTNWTGHGGRVTSNTYNA >CRE25968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig646:36072:37110:1 gene:WBGene00073119 transcript:CRE25968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25968 description:Sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:E3NMJ0] MFEIFTQGFSFLNLLSILAFFTTVGLFFCGIPICRQIWKRKDTKEISGAPFLMGVVGGCCWMTYGWLKNDGTVKWVTGCQVILYTTYTIFYWCMTKKKLWITLKVLGVIGICTSLVLGVHFFGMKIFHPLGIVCLTLNIADFAAPLGGIRVVIRRWATSTLPLPLCIANFLVSTEWFLYGLLKNDFYLIFPNGVGSLLAFIQLLLFIVLPRKPGQRAPIVRLWLWIRGVKVEETKEIVAELGECDEKKMNRAQRWSQKIKMNVSTVAENWRM >CRE25970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig646:5250:7175:-1 gene:WBGene00073120 transcript:CRE25970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25970 MADSTFAEHVATTNSRLASLRANLIDAATFPEACSELTKWCQDQRAFTAHFEDNLMLALEVAMDYGTRENYDYMLTHGLVGACFTHRKHLSKMSATRIGRWYEQMRRLKKNGGKRKRAPPKPKEPSVIPPTNGALPVLTSPCPPPSAVLPPQQTNGQPLQQPTENNNFMFSPTNSDGLVFLFFLFGYECVFWILNKILI >CRE14412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1222:3581:6213:-1 gene:WBGene00073121 transcript:CRE14412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14412 MWAPPGVPMSTPGSSTGHMQPGAGAAAPYPGPMNTSQQQHPGMVASYAAGQMQLPYGQMEGNHAMYDHRMMAANRAAAAAGRPPGMMPDYPQMPMGQNPGMMRMPVQYPPGHPQMPGHPQMQFGQMMRAPPVSVYGQMNHAQQYAVTAHPNPVPPYVNPDIRLLYETGSGYHIERELLSEGQIQFGTYGVEAIVNQDAFSYLDRTPAADVILSVWPSVKQANENEARLEVYVNGTCVLHNQSAATGVPIKQFLRGGSNMIQFGYVGNQQNHFSHLDAQMAGKRQAGTISVSLNCAISKKRMITPARHHDCKKVVFDLAQMMHNNKDKTRYHCGPCNTYFKFDDINVDYFLMSVVTNVPAGVNDLIVEKNGACRPGELEENKPKRGKKKNDANANENGAHTIKRIKSEIIVKQEPGMFPDMHGRNIPFSPMPMPGSVPPDWTRLQSPSFSMQSPNKIQLGPATPATPGMVFQNPASAGSMLNMSSPRQTMMPGGMMAPGAGHPMMPPHDPSIRSGSAPYTPESVKNDKNDELLMNIEGLYITNSLNICDSERLIGQYIEGTKDLNFEDSLVFEFAGSPKQQQQLDGAQTLLAPGSTSSSNASTFTPPFDDIHLGNRPSH >CRE07894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig575:6479:10724:1 gene:WBGene00073122 transcript:CRE07894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07894 MPKFSFLTSRKSRFEIPDMPHNPLINAGAIVVTSMIKPTHSMADRFDFMLKKYRKLAGGGHVGFDNATFLSERDTADRNYALSYYMKEYRCFPDESQVYEKAKGLREELDLYFQLCSLETNCDTAAVMAATLANGGICPLTTEKCLGSRPCRDILSLMYSCGMYDYSGKFAFQVGLPAKSGVSGIMIVVIPNVMGIALYSPPLDKTGNSCRGVAFCRQLIDKFNFHNYDSLLHPDDSRKIDPRRKIGPRENETIVQVMYAAKNGDLDSLRRMFMQGADLKTADYDNRTALHVAAAEGRIKVCKFLVNIVGIPHDVRDR >CRE07896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig575:4401:5565:-1 gene:WBGene00073123 transcript:CRE07896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07896 MTQLSYSSLTTVLKHLPADKRISISKRCPTIRRFEKNVPMKIRYLHLEGAKLIADDTWFDLSLPYIYDIGPHPVSGNDTWTEPDMLTLLVYPKGESSWNETLQMGNRSRKDALEYLLLKIFGRSRNVINCKTVSIGSEICPDPDVYTPPSYPMPNLPGLLRFHTKHLILTDEVPHSDDLNRILAPTCFPLESINLRCVYLDPHFHYPIVQESKKVILSLELDSIVNLSHLTHSRIHFNRKYWKTRNIENLLVSWRENQKPIGTHFSFERPTFGGMRRLLRNIGDRFPESRVRKIGNMSRSRLPDQVLIPLNDYSEIKVFCKTTKKKLKSLHLKVQPIRRS >CRE07895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig575:2619:4042:-1 gene:WBGene00073124 transcript:CRE07895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07895 MTPLSYASLTTVLQHLPADKRISISHRCPTIRRFEKNVPMKIRYLHIEGAKLIVDDTWFDMDIRDFYDILPDPVSGMSTWTEPERQTLRVHSIRESAWNETLQMGNRSRKDALEYLLSKIFGGRSRNVINCNTVSIGYPSPEIDALTPPPYPMPNLPGLLRFHTKHLILTDTVNSDDLNRVLAPTCFPLESIQMYGEYIVPHFQFPIVQESKKVILSLELNCIEEYGNLNALTHSRIHFYRDYWKPHNIENLLVSWRENQKPIGSHFSFDRPTFGEMQRLLRNIGDSFPESRLRKIGNKSRSRLPDQVLIPLNDYSEIKVFCKATKKKKLKSLHLKVQPIRRS >CRE07893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig575:113:664:1 gene:WBGene00073125 transcript:CRE07893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07893 MISSQVRSFYIEPNRLDAPANTLFTSKMPRRIFLGLVDAEAYNGSYEKSPFNFQPHGITDIHIDYCGMSVPGRPFSLDFTKNKFIEAYIQLQETLGHTRNNFSCNSISTKMFKEDGYTIFGFELSPVAQDNSLFELVRQTNISVRLNFRDKTPQGGLYCVVYAEFDQIFSLDALRNPIIDSIV >CRE22168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig432:416:2106:1 gene:WBGene00073126 transcript:CRE22168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22168 MQDNEPLMRELAKLIRVNEPDLVLFVGEALVGNEAVDQLVKFNEALANHAAPGAKPRLIDGIVLTKFDTIDDKVGAAVSMTYITGQPIVFVGCGQTYADLRNLNVGAVVHSLLN >CRE06310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:185742:189804:1 gene:WBGene00073127 transcript:CRE06310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06310 MGSIQSCDTSQPDESEPSTSLGPPPPPLSDQSVEQLIEYIEKQLERKKHVDFLELAEKLKPKMNTFLVSLGDEHCSAFKKVEQRESVPDPQPQSLLMFKPFTLADNPENLQRPITIVSQSAEQMDAPPKLTAEVLKEVDNLTSSTQSEIPGCSDSAMPKLVAMVNKFNFNFNRISSSESFVTTPNGTMVVPNSMIRSEMKRCQASSPDAFKFLYPSEENEKKVEHLEKKVENLEKLLEEEKLKQVGLLERTNAWHAHNCTYEWFWGRELARMYHKYKHFEKVAKTLMEKQQLGESSGEMPRDLLKEIRTALFSAISTEEMPQLVKNPPGAYLLYRKWRDTNNGWLTNLDICKSTFSLWNLKIDVVSAKDWTAIRTAKGPEFWEWRNRANTLYDEHVDQLVKGYIRVEERRGRRRKRSMWDTKRRTKKSNDGGSGGKKKAVVGSEEASEPIEIYS >CRE03642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:249843:251450:1 gene:WBGene00073128 transcript:CRE03642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-52 description:CRE-PQN-52 protein [Source:UniProtKB/TrEMBL;Acc:E3LXF1] MRVTSICSLLLVILVATFFSPTEAGKCRGRYMEMRGGDGFVARGGCRGLDSQIQEEYWTTVGFDGALRDGRTTDDFLVFPENDNNGHLQRNSFVGYWITRSKYGENVHYEAFGHAFIKPDGKVCGWFVGSQKEVVEVCGGFRVLSRSRYNPHQPLPFEWVQGEHLRNRDVLGFHHHKIAKYEVNGDEVLFGDADVKAKKFNGVSIANEQKVEVDSPETFNRKIWLLKKKTQQPTDVRQAYRHPRKLL >CRE17561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:52011:54716:1 gene:WBGene00073131 transcript:CRE17561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17561 MEYVRINRLYVGVYRSNGNLVLHWHGNTGGLRNTTDYVTDLFNIDVSEVCVFKDAIKMIEWVNRRQTTPLKKVVNIAWGVIPSEVLIYILRDCTTLSEISIHSEAAPIFRFSGNFRNIDCLDIWHGKWVTIDNLLTMDGIVIILEKSTLTNTDLNVFLRHWLSGGCPRLKLFSATTDSVDILQVLHGFMHNAVLVENRRDYTSPFGHQWILWDGFDIQRADGVTATVHYQPLGALSPHVFPPRMAFLFALLLCWIFFEAIESFLIPNIPLPPPHFPPSQTVSTRQPDVSSITFLLLSEKSLCHKNPTKGAIQWTSLLISFPSLPSPIRPFTPNYQFYEGRCIVSFFLICFQIFNFYFRVALSFCSQKSHSVIKTQRKEPFNGRLCISELDSNLSFRTFRNYDCVLSVNDCSYFSNSERSNYVKMNGQDVPVQVHRSDGNLVSYWRNKMNGLKTITDYVTNLYNIDVSEVCVSKNAIKLIKWVIRRQKTPLESVTVCGVTSSEEELIYILRDCETLSQIEIASFSPRNFRFSEKFRRIDFLDIWYGKWVTLDNLLTMDGIDIILGSSSLSNNDVNVFLEHWLSGGCPRLKLFCARIGTVDILQLLDGLMHNVVFVEDRRYYTSPFGYRRTLSFGYDIQRADGVTATVCKQGNENVVIAVWPETTHNYN >CRE30316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1569:7231:7854:1 gene:WBGene00073132 transcript:CRE30316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30316 MESVKIGEQHVRVEMDHLNGYLISYWSNTVDGLKALTNYVTNLFNIDVSDIWASKQSFHMIEWVNSRQKTPLRNVWYADWSATPSSEEDMIYILKDCRTISQLRICLKLPPNFRFSEKFREIDCLDIWYGEWVTIDNLLTMDGIDIILKSSTLTSSDVNVFLKHWLSGGCPRLKLFSAEIRFLDILQVLTDLLHNAVLVDDHRDYVR >CRE16498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig585:2367:3574:-1 gene:WBGene00073133 transcript:CRE16498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16498 MTSPSPLFRLLFWIISVFIQTIKSLFISNIPLHPPPFPLFRLPYVPLRKIIDFMDPDALVSLSFCSQKSHSVIKTQRRAPFAGRLCVSWANRDVLFHSVNNLTRVLRACYDWHHSYSNKINHVKMNGLYVPVRVHPSDGYLVSCWENETKGMKTITQYVTDLFNIDVSEVWASKQSFHMIEWVNRRQKTPLKKVIYMACSIWPSENKKMIYLLRDCTILTEICICSDAPLDFRFSGNFRRIDSLYISYGQWVTIDNLLTMDGIDIILKSSSLSNSDMNVFLKHWLSGGCPRLKLFGAKTGSVDILQVLDGLLHNAVFVENRRNYTSPFGHQWILWDGYDIQRADGVTATVHYQPLGALVIAVWPEASDNYT >CRE17568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:73636:74019:1 gene:WBGene00073134 transcript:CRE17568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17568 MDGIVISLGYSNLSDSDLNVFLKHWLAGGCPRLKYFKAGIHSVNILQVLAGLMHNAVYMENSRNYTSPFGYTLTLSDGYDIQRSDGVTATVNYQPPRTFVIAVWPETTHNFN >CRE16502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig585:14962:15732:-1 gene:WBGene00073135 transcript:CRE16502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16502 MRVGVYRSNGNLVLRWYGDITKGLKETTNYVTDLFNIDVSEVRVSDNLFKMIERMSRRQKTLLKKVVYTNWDVILSEEMIYLLKKCTTLSEISIHSEAAPNFRFSGNFRQIDCLDIWHGKWVTIDNLLTMDGIVISLEKSTLTNNDLNVFLRHWLSGGCPRLKLFCANSGSVDVLQVLAGLMHNVVFVENRRDYTSPYGHKWTLWNGYDIKRSDGVTATVHYRPLGALVIAVWPETTHNYN >CRE29238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig479:3575:17627:1 gene:WBGene00073137 transcript:CRE29238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ehbp-1 description:CRE-EHBP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NLD2] MAGILRRLRRSSKKAAKFRFTVTLQELQLYTDEKWKPENLVVSFVHRRRKVSSKERKWEQSYSDANKCVIMWPEQMTENVDILTTLYRIQDDDTFEDKEWTIVIEELNSKGKRKSIAAVPINVRLFILDLPDHKSELKLKLRPLSPHLKSCSLIILLGSTLISEVSAGDDVSITSSAANSSFVEKTPVTDETDATSDVRAARQQIDSTAIKIKEWAKEKKEEEPPVIPSHRKPSEEGGEPGKVRPQWRVSAEEEQRTSGPTTPSSAPAVPAPITTNRHSRSSRASTEEKTTTEFRVDAHSRPIPVTEMTPIRATPAYLSGGSMSRGRSHSPRAPSRDAVPQPVSGETLLSWCQRVTNGYSHVKIRDFTKSWANGLALCAVIHTYRPELIGDYESLDISNSLTDQKSNIQKGLDAASMMGLTDIPTVYSFVTPDRKDIERLLHALRRIFEGFEDGTTPASLSDHRISRTFAINEMEQKVVAEIAELRNQKDLEDAVDYSKVPEEVAPPPVTPIQLASRNAALNQPIDGDDDDETASMGFERSNVRLTMVTPGVGTYRSSNRASPSKRDELRQRAREMLEKSSAAGGNSNQITSTPNGRKGSDEERRREEVRRLLDEKKSTAIPSTSSSPYPQFRRLNGSNTDLRPIDDPNDTPHVPAIGRRTNGNRTDAPPPPPAPSTFDRVKRYGSMRSAELKESLQLMAKQYGYMGADFESQSSQDALSTPSKKFSSQWEKDVDDVQGTAQELVRIDERISDITAQADVIQNKIRETEVGSSEEEQLTNSYLQLTNERNTLVHRQEYYNIIETIRQTTSEIDELRRQIDEVTQIADDIPRTNETKTATDRLMERLSDAMKMKSNLVQKLFATEEEIEEDTDRLKNLTLDRATRFVRGIDQPVSASKRLIQWWRR >CRE23172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:2576:4972:1 gene:WBGene00073141 transcript:CRE23172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23172 MNHLNVVLPLDSCLLMGDFNFPEISWGLNRPSLHKFQSFLNSRGLTQHITFPTRLGTTSSNILDLIISSDDVPIYKLERIPPLLNSDHLSIHCVFSPPYVNTISTLQAHKPSNRLNYRKCNFSALNAALASTDWDIVFSTLPSPSDKYAKFISILSSLILSHTPTIPPTKHRGPSNLARQLKRVRFSYSKALKSPTPAPDLMRKFQTRIKKIQHDIKKQLFRREKHILSTVHSRSALALINKRLKCRSTIPHLSIGSDLITSDSSKASIFAAEFLSNYNTSTHSPPTSAPINPSSSAHPQSSRDTFSPWVIEQVISKIPPKCGFSLHLANYFIIKNCATSLALPLSIIYSESLESSVVPSAWKHGTIIPVFKKGNPSSPQNYRPITLTDPFARIFERILCRQIRTDLGHRFSIHQHGFLARRSCPSSLVYSTANYRRILKTHHSLDVVFFDFKKAFDKVDHIILINKLANFGLSNLYISWFEAFLKERTFSVMVNGSLDNKISPIPSGVPQGTVSGPLLFLIYINDLLLKLPPNIHFAAFADDIKLYSHDPVLLQHGINLVSKWASANALPLAHTKTSLLRLGPENSRHPFHVDSIPISESTVVRDLGLLTDSDLKFDLHISKLSSLALLRCNQLLKAFKSRSLSLYRHLFNTYVLPLLEYCSVVYSPSPSSILSQKLEKPLRLFTRKVLQRCNIPYSSYLDRLEILDIYSARHRRLKSQLILLYKFICGAAYFPNIHSYVRLSNSARRPMTLICVRPDVNDFFSTSIPIWNSIICNTHDFLPPGKFVSLLNNSINRL >CRE23177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:8471:10005:-1 gene:WBGene00073142 transcript:CRE23177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23177 MNIRSVILILYLPILYIIFKSKLIKMTCYKIMVLLAFTDMTATACSCLITGPLLIVGSVFCVYPTFTYIAGGFAIATWCMSCSVTTSLFLNRVISVAFHGLSNSIEKKLAYICIFLCIFYGFYVILFTPVVCFNSEWLIWLPDPLSEMKPSEEAAEYYRNRVQAWNNWIFVTCMFVLFTLYLAMINKISMGQKSKAAKSIFIQCCIICFFNTVIALVYNALTLITPDYWMLLLCQFCWSVNHGCPALIYITMNQTIKREFKKMILGSDKRIGSVSTHPASLTHLSTH >CRE23174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:10540:13762:1 gene:WBGene00073143 transcript:CRE23174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23174 MMKGEVRGETGWSHIIFHPCDAINISLFTNQVCSIAFHEYIDVIEGKLTYFSMVMSGIYGMYILFFTPIICFNSVALAWISEPLSEREESQEADEMYDNEWQSWNNYLFVAIMFFLYITYCVLVKKLAHGQKSKKSRAIFIQCAVICFFNTVTALVYNALSLMTPAPWIVLLGQLCWSINHGCPAIIYLTMNETIRNSFLQLFCRHKVSVSFEFC >CRE18128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:22648:29172:-1 gene:WBGene00073144 transcript:CRE18128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cat-2 description:CRE-CAT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N325] MSSLTNPTIMEEETPPPPPPNPEKGGGASSKNPRRYSLVHQASCETQHHIGIRRQNTIQHRKQLTDQMRGQKILQQLNDEGVEVIFAAPDVSAPIEFSVIATSTDSLAPFLTDILKNMETAHVTIGHVETRPSKKDSERGGASFESSDSAHDVLLSCRATKTQLIHAAELLTQNHGALQAFSIFSINKSKSIDKNQSIIWFPRHISELDRCSKCITKYEPTTDPRHPGHGDEEYIKRRKYLNDLALEFKFGDEIDYVEYTEEEHATWKAVYEKLCDLHVSHTCTVYRQNLKILQTERVLTADRIPQIRDVNKFLQKKTGFELRPCSGLLSARDFLASLAFRVFQTTTYLRHHASPHHSPEPDLIHELLGHVPMFSDPLLAQMSQDIGLMSLGASDEHIEKLATVYWYVMKDWEVEGNRSGITHACSDVPEHKDFEPAVTAIQKYEDSDYQPLYFVADSIHDALAKLRKYASSMDRPFSVVYDPFTKSIETIESSADLEKAFSRLSNDLSAITHAADRMKISVSM >CRE18127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:14679:15242:-1 gene:WBGene00073145 transcript:CRE18127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18127 MLMFSSPSHLFLAAMLVISCFHVAHAGTWLSFNDFKEDGLGEPSRRLGDVVSLCKETQKRLAKRAQMGERVIRAQQENEAICRLLMKMVSPSVCLCVRGKCPDVRISVCVSEYPDVRISSQ >CRE16922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:399237:407403:-1 gene:WBGene00073146 transcript:CRE16922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16922 MMNEGDPPAAEKQDPESQPSQTPAAPEPGKEGSASFLRAARAGDLEKVLELLRAGTDINTSNANGLNSLHLASKEGHSEVVRELIKRQAQVDAATRKGNTALHIASLAGQSLIVTILVENGANVNVQSVNGFTPLYMAAQENHEDVVRYLLNHGANQALSTEDGFTPLAVALQQGHDRVVAVLLENDAKGKVRLPALHIAAKKDDTKAATLLLQVKNKLISFSLNYDFFQNEHNPDVTSKSGFTPLHIAAHYGHENVGQLLLDKGANVNYQKKKKEKSLGTLLVPKCALLQIVSHTKRHVFLLLLIRIHPFLFESHQLNSLFSSSPLHVATKWGRINMANVLLARGAIIDSRTKDLLTPLHCAARSGHDQVVDLLVVQGAPISAKTKNGLAPLHMAAQGDHVDAARTLLYHRAPVDDVTVDYLTPLHVAAHCGHVRVAKLLLDRSADPNSRALNGFTPLHIACKKNRIKVVELLLKYRAAIEATTESGLTPLHVAAFMGAINIVIYLLQQGANPDVETVRGETPLHLAARANQTDVVRVLIRNGAKVDAQARELQTPLHIASRLGNTDIVVLLLQAGANSNATTRDQYSPLHIAAKEGLYSEFSLITDVYILKFQGFTPLHLASKYGNLEVVRLLLERGTPVDIEGKNQVTPLHVAAHYNNDKVAMLLLENGASAKAAAKNGYTPLHIAAKKNQMEIASTLLQFNADPNAKSKAGFSPLHLAAQEGHKEITGLLLENGSDVQAKANNGLTAMHLCAQEDHVQCAKILHDSGSEVNSKTNAGYTPLHVACHFGQLNMVKFLVDNGADVGEKTRASYTPLHQAAQQGHNNCVRYLLDNGASPNEQTATGQTPLSIAQRLGYVSVVETLRTVTETTVITETTTVDERYKPQNPEAMNETMFSESEDEGQAAEHEAAAAHAKDFSDNLTQGLQDSTGVHMIHTGEQLLQRSQELENGGAIPKVNSGGMSPEKEFAKIAPVATSSPIATSHSQPLGIAPRAGSISGQFQQQPLHGAGPEDNLEELVRRAQNHPIHTGNYDTSGAAMLENGHADNVPIGHHVTQPSFLISFLVDARGGAMRGCRHSGVRIIVPPRKASQPIRVTCRYLRKDKLAHPPPLSEGEELASRILEMAPAGAKFLGPVILEVPHFASLRDREREIVILRSDDGQHWKEHQLEATEDAVQEVLNESFDAEDLAQLDDLQTPRITRILTSDFPMYFAVVTRVRQEVHCVGPEGGVIISSVVPRVQAIFPDGSLTKTIKVSVQAQPVPQEMVTRLHGNRVAVSPIVTVEPRRRKFHKPITLCIPLPQSNNKGMLTQYSGQQGQEPPTLRLLCSITGGSAPAQWEDITGTTQLTFTGDEVSFTTTVSARFWLMDCQTPRDAARMAQEVYNEAISIPYMAKFAVFARRTFPVEGQLRVFCMTDDKEDKTLEKQEHFKLIAKSRDVEVLKGKHQFLEFSGNLVPITKSGDQLSLFFLPFQENRLAFMVKTRSKDESEAATEGRIGFMAEPKIRSDALPPQQPICTLAISLPEYTGEVKATPAPKRDSTPFEQRYGSALEKELPEFVHENVLKGIGADWPRLGRALEVPHRDIQHIRKHYPTQECKNTLKIWIHLKKEDANQENLDLALRQIGRDDIVRSIAYGEPEALINYSQADSPSQKREPIRHFEDVPAATLVKREVRTEDLVTREPIQPTHHVEQSTTVAQEPSYSAPVHHSPVPEEPELEEEAPVAEMRTVVRTERHVHDTDDGPVVEERTITTTYEDDVAVNENISDRTVPLNKEEQQKWEELNRLADESSPSPAQRSTVAESTSEQVPEDVEQTIESESHREDDGTIVTTTVTTSHISESPLGSPTTRSEEPEEHRHSEGEHEGRA >CRE23629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:371090:373186:-1 gene:WBGene00073154 transcript:CRE23629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23629 MKLYFCSACFTVLYIFKLVLIPKRVFSRLPNCRIHLSTYRPTIRNAYNRCPPKIEKLKLNPYSIQINDTEYRLGVIHHFPNGNTPKCYERDNNEGGVQSTIDRYGVTDKLSENTLTPGDINLHLVPNRPLIEYESHEILQFVLSEFELNDPTRDRSQDNPRSRCYEHEQRELRIHNSKERRPDALKDLKLSEYVWYDEIIDYVNLKYNCSSSFNIYSVHTNQEKTGRTYTCVGKKPSLSIAHSDMPKEKREYLVNKLHALLLPLETRNLFNCYIQMTISSPRGITKERLIIDRKLPEAMKYLMKRILGTRRDFIHMKNLEIYDKGGIIRLEENLRFKVNNFRFGSGISRVMKQGEHIFHESSFPLKSIEIFGRQIIQTARIPLVTTAEVIHLTDWYQRLYFIELLEFKNKMVRMKFGCFYLKQYLELVKKLVENPREIGTCFSFGIRTKWTSKEIIETFKTRDDARIGNIEWKGSPYFLHRINYPLTNGSELNVYGQESTDPKVLWFLNFEVMPVGSAFSYNLLEI >CRE07442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:591437:595622:-1 gene:WBGene00073155 transcript:CRE07442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07442 MIQKLRPSVSKELTTSKKGRMSTWMLTVLYPSHCIQGGWGSQLHLGLQRVKGAHYIKKGADVYVDAYRAFSDNCGIKQSELEMLLRKNDINAVIGCGLAYDICVMHTLKDASKHGFLTCIVKSGRSSSILTPVSQSKTGHLKDFVAPRIGRQSRPTMKTEHTVHFFQKNIIHSSTGQRRPVMETPVADTNIVPTLPPHCKAESRTLRTTLCNQQGQRNQGAAQQPLLLAGSLSLHRETSNSRMPPGQTKTCGRQSTTPTSSNGRLHKELSSLKMDEANKMFQKRGVAIIDDEMAQLISKREAFPIEWVKEDFNVFRGVKKKLEHSETQKDSFILTSLISINLFTRLRFPKLSVSAT >CRE05340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1492:5849:6718:-1 gene:WBGene00073156 transcript:CRE05340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05340 MKNHFAIGILLSLLICVHGAYVNAETGTKFDTQQLLSEINEKRREWAEKFQIPNMNELNYNMDLEEKAKEMRTCDNLTSGIDYMYTVISVGQGLAISQVESMEEQLNITIDAFPGLIIPEQSKIGCAEMECEGRANTRDSILCLTGPKLEFRYEDLKTGPPGSECPNGKGENGLCISEEESISNGFGPKEDLENREPKGGEEENSSSYGISGFVFCFIFMMSGMIGE >CRE21610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig633:783:7715:1 gene:WBGene00073157 transcript:CRE21610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21610 MEITTSTAPESKSTKPKSTTPQSTSNSVKSTEGSASTVAQSTSTTAMKISTTPKTALTSDQRTSSAPETTTETSTVTTTSLTKSTTHQSTTPEYVTTTDLGSPTTPPPTVTQSVESTTASTTKISTTGTTPDKMTFSTNLTESTTARASDHSTSSTYETTRVSTITPVKSTLASTTTESWKTTTPKHLTTTVTTPTISSTLTDATTITPKQYSTTSELIPTSDQSSSTRFSTTSVPISSTSVRTTETSEGSTTPDESTTSTVLPASISTTSPSSTEETTMSSTTKITTPKTISTSELTTLLNAKTTTVSEPALSSTVFTTTVPLSTTNSGSSTAETTESTSTSQTQSTTARTSTTTGQNDHSTISETKEVSTDGTTTTLPLESTTSMEYTSTSESQSTSGSSISETTATPRRTSIPTTTVPLITTQKPTSEPPENDQVQSNTNFILKHWKNILIVILGLVILALVIFLIVFFVILKPADQSTSSTVVSSGTTIGSTTATKLATKTRPSSKSTVPPVTTVTAKRSTTPKPLPTNGTAATVTTPVELNTSTQIVTTVSSTEESTMSSSTTPKEISTTESTTPKSTTVEITTSTVPLASTSSKYPNSTFTFINSSLAPSTVITTRLLSSTATSTISTSVSTSAATTTMATSKPLPTSTTTTEQTTSESSSLGDQDRSSNFIINHWKTILVVLMGLLVLSIAIFLIVFFVILKPAGTVSSSTVSPSTTTSTVSPSTSIRTSKLSTPVLTSVPSTSSTFKSTTGITTVITSVPTVPPTTTTTSSKTTTETPPIVEKSPDPVFKYCKIATFVLLGLCILGLIIFLILFFVVSKPTDQSASSTVVPSSFTTIGSTTVTTKLATTTKPSSKSTVPPVTTVTAQTTSAPTTRIDTTAQSRSSDTTTNPFDRTDCTPNQNSTFFFAYSNDLTADQVLNTWYSISNNSNFFFETYSFSRFDNRDSSTNDTYSTFDSSDSFDDITDLLLSTLPDPADSFKDPSEGGGVLDMVYSFFNSEVTHCGATLFIITKRLPTDDYISDLVSMLKKYRAHVTFVISENSLGGSLQEPMYKLASETNGLCIFTEDDKIQEFQNTIFLHQTPFWLPSIWPSYLVYSYNAKVTKSGNVPLPVFHAPLVGKYHICMTLQDHGEFPLVIFQFLTLLTIFISGPLDTFKMVHLTWYNAGSTNSGSFEETVKSHADYGNTTYIKKGLFTLDSVPYNMTLGFEYSKSKINILQIRIYTTETPPIAPAENPPDPVFKYCKIVLVVSLGLLTLGLFVFLIIFFVVLKPADQSASSTVVPSISTIGSTTATKLATTTKPSSKLYDSSTVPPVTTVTAKTTSTQTTKTDTTTHSHSSDTTINPFDHTDCTPNQKSTFFFAYSNDLTADEVLNTCDITDLLLSTLPDPADSFKDPSEGGGVLDMVYSFFYSEVIHCGATLFIITKRLPTDDYISDQISLLKKYHAHITFVISDNSLGGSLQEPMYRLASETNGLCIFTEDDKIQKTPIWLPSIWPSYLVYSFNAKVTKSGNVTLPVFHAPLVGKYHICMTLQDHGPLDTFKMIHFTWYNAGSTNSGSLEETVKSHADYGNTTYISKDPFTLDAVPYNMTLGFEYSKSKTNILQIRIYSVSAIDFWVPYNS >CRE07807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:157:982:1 gene:WBGene00073159 transcript:CRE07807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07807 CGYPSNFSVPSYQLSLSEDNKNPETPAFIYNVAGSLTQLLPAIFLPILTFLLVIEIRNAQKARKRLMSNGTQKENAKSDNTTTVIMLMTVSSMLSEGSYGILNFVSFCLMQNYDEYAEILSFFYFLQGLLDIFVTLNTVSHCFISLAVSTQYQNAVTATFPFLSNSKKHTNTVS >CRE07819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:2699:3907:-1 gene:WBGene00073160 transcript:CRE07819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07819 MSRFNIKTTTTTEATTTEATTTEAFQEYELQYFKYDIEDADYFTRKSSVVDLFLAVFGLIQNVFHYYILTRKDLKTKFIFQIIRIICACDIVFFCGTLIWNYMEYQVQSPCNGPRPYTYQAMRLIIMTLQPTAKNISGCLVLLLSSMHLFPNFIPSTVNFWVVSGLAGFNAAWQIWFYSHWDIVEAGSCPAAFEFESYELRTDCEWLFAQKHTHDYLKSVPVVLHTISVMLQYWNFGIRKKNLNEEQTILVYLFSLSFLTSEYIDGSIVLFDHVLLEPYYLIQQYFIRYRIIAKTILTMVYTTHCFICFVTSSDYLAAVRKFARRWRKRKDTKTIQVDVPTASLNRISTNTEAS >CRE31289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:417823:419029:-1 gene:WBGene00073161 transcript:CRE31289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31289 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MLN8] MLPAWLTFCIYLFYGIPSFILYILTFYIILRYRKTFHSSFFHLYLFDGALNLFTFLNNYFKTRIPGITGYNSFIGAFYRIIADTILLDFTMLMNFHMAYVQYAITTLVSLNRLSVMLKYTTFEPLWRKYTWIAIVLICFVPLLNTEVVLHYDTQLAYLNITDTYSITTNMPIDEVFSICIPFMIISTVLSVVINFISVIVVRNLQTQIRYKVESNFIMITCITCLVQLCGTVLSVVRVKLVETDAAMMLATFIPLISDGLSLVQPWLLLAFSHVVREKIIGIISWKKLKKSAVYILKSTTYV >CRE14120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:49076:50304:-1 gene:WBGene00073162 transcript:CRE14120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14120 MSVFNKHSSFFQVEDTLTGGLRTRVNQTSPDQCLLFHAFWSKFCTHRRYTNPVRKVEDPLMGGLRTRVNQTSPDQCLLFHAFWSKFCTHRRYANPVRKVEDPLTGCLRTRVNQTSPDQCLLFHAFWSGKEFRSTNEAIMEVHATRLMANWRIHACLGASPLGRTSKTQEFDGQLGALELACAKANFPLNSEKPRNYSSKMPSWYGQTAPNTSYTADDQIQLSIKLLTVTNNYRVEMENR >CRE14582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:762416:763750:1 gene:WBGene00073163 transcript:CRE14582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14582 MKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIEIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRRASTGDVGEVFKSEGEEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCEFGDVAKVTEKWKIWMEKSVNVEVVDPLMPVGKHKIPLILEKWNQKSLDGLRQYLRMALPNNSTGCQLKKDETLGQDTTIWIGESLRKRTPDRREEGEVASPRFFSHETHWKRRNQRREGTWNPDDPSHVKRSNMNSS >CRE21602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig593:1213:2242:1 gene:WBGene00073165 transcript:CRE21602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21602 MRNPSQKDSEGVIPSGGHPVVHSSGHSSISHEETIAQRLRFESNRGDSVDTEEAESSEEDTPPPIRKKAAVKNRRGSIPDVLSSRSPETVQLLPKRASSEIRKGSGQPKNFHLQLNVYDLPDVEERGEDSPFSPKNLSDEFIGEHSPLVITPSLPVPSTQGSPRPLMPSETTKDIDKWWNSLVD >CRE21604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig593:5068:6291:1 gene:WBGene00073166 transcript:CRE21604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21604 MLIDGVLGPAESGKFIVEHGSLVKINQKGVVKVAEQILEAAKDGSIKEALFLSPELHPKSGDKEAVQWVFLVDTINFSFWPDEGAHYDVSWNGKTYTGYFSACAAVNKAIAAKIPVLSAEWMKNVTEEEIDRIFKSDSGHSIPLLEERVKAINESGRVLLEKFNGEFYNCVMKSERSAQTLLKLIVENFTSFRDFAEFHNQKVSLLKRAQILVADVYGALQGHDDIADFKDISTITMFADYRVPQALAYLGALDYSQELLDQIGEGKRLDNGSAAEVELRGASIAVCDEIVDYMNKLRATDPRYTDVREVTAMEVDVFVWGYRRIHAADVEKKIPFHRTRCIYY >CRE16855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:253830:255493:1 gene:WBGene00073167 transcript:CRE16855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16855 MFKKFDEKEDVTGATQLKSSVQKGIRKKLIENYPYLEPHLEEILPKKENFKVIKCKDHIELLADHLGVVRFVKTRNTDYIPTLRTLHKYPFILPHQQVDKGAIKFILNGSSIMCPGLTSPGAKLTPQVPKDTVVAVMAEGKQHALAVGLMSMSSEEIQTINKGNGIESLHYLNDGLWHLAEKSLN >CRE16911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:255696:256689:-1 gene:WBGene00073168 transcript:CRE16911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16911 MFPPISGPDKLGTSSSKLRWQKSTRRISFVKLPSIQSKGKHQNKVSSVPSEEEPIPSDPTPSTSLPPIPTITPSLCIDNQYFANYINEKPKMDDVDYLSRAFSSFAKIQGSLHAQRTNYFEKRGLLEAIELNIEQQNSKISEALGCLAVCNQITEKYQCTLDNSFQILVDDFSEKAFRLSSLTSQLHALRQTHHANLQVRKNEESQLEEIAWRIEREEKRIKKLDGKLWKFYENREGNRKGAKEKTQEDEEENR >CRE21606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig593:11276:12336:1 gene:WBGene00073169 transcript:CRE21606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21606 MTFLETEMSRCIPKSFGKTPTLSFGVSNNSNNSNNSNNSNNSNNSNNTNFENSTKSFTTKSFGRPAPFSGSPAPKRSIFKYSVTIKYTFTKRNGTQESIDFSKSIKNGSLLEHDKKRCKRVYKLAVKMYEERLQGGPFYYDCQSTLYSSTKLPNENVHCVIFDGVSKRPNFVKAEFIFERQNEPTEIPLNQFPQEIANSTPSEHFKTNTGTHYLLNRKPARNL >CRE21607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig593:14758:17740:1 gene:WBGene00073170 transcript:CRE21607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21607 description:Piwi-like protein [Source:UniProtKB/TrEMBL;Acc:E3NMA0] MPPTGDEHDDSGPASERSPRHHIGFQPLAKKETPHSGRGGARMINVGTNCRRIGLFSLIEFYIKLLRILVLSPKFTVYQYAVTINYVFSRPGGGEVTIPISKSNKMDKEHEKDKNRCRSVYTKAMSSYVNLRNGGTLFYDGQGILWSLSELENENISLIITEGISKRPNFIRAEFKLNKILGSGELSSDDVWKSVHQCPGEADKSIHQAISTMIAEGPNSRSSVLELNNTTHYLMKGNNLPIHLEKFPEGEISSAVGVTKSIKTLEGFDGNPSLFMTNDIKVSLFHPNLCWPLIKVFSTFNGFHCRLSAENSVARRIFEHHKNCYVVLDYGEFKHLGVDGSVMKIKGFAASARNQEFQQDSGLMISVYDYFRSRHNIEIQYPDLFTVAAVSLNGERRVSYFPPEVLRLAPDQKVSKERMTKEEESRLIRMNALKPDQRMDIVDRIVEQVGLTNEVNPDVFRIEQPMIVPAVVLPAPHLNYSSNNSRHFVEPKKLTNWEIVFLNDETCWYVLHLSQKFYEKITSRDVGDILMNEMFECGMQVEPPSFSHIRNKDVHSIFTNAMRTGKQLLFFVLSKQTAYHEFIKACEQRYDILTQEINLEKARTLARQARTRRNIVNKTNMKLGGLNYNIGSNFLNEENILVLGFSLSHTAYGESEVVSVGYAGNILDRAHKFCGGFYYTERTKDIFGDVIYDVLKDSLKTARKNRAMKAEKVVIYFNGIAESQLATVNEVYTKKCLECFASLKASYNPELIVMAATKMHSTRLFDSFQKHFQGRVCNLKPGTIVDTAIVSPVYNEFYHVGANAIQGTTKPTKYTVIHSSKRVDMEYLEELTNSLCYDHQIIESSISLPVPLFIASDCSERGTADLKYCDE >CRE14261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:198728:207293:1 gene:WBGene00073171 transcript:CRE14261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lars-2 description:CRE-LARS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3N7P5] MLIRWRTGLHHQFRRLGTALRWPLKETRISENTKLLETHWSDKLIKEFENGKLVRTSGQTDSQTDRIFQNPSKNSKKYILSMFPYPSGRLHIGHMRVYTISDTTARYYRLNGYEVIHPIGWDSFGLPAENAARDKGVDPREWTVKNIETMKEQLVKTKILFDWEREISTCEPEFFRWTQWIFCKLFEHGLVKRTTAEVNWDPVDQTVLAAEQIDNEGRSWRSGAMAEKKKLRQWMIETPKYAKRLQEGLRAMSEQWGEVADIQANWIGKCDVFRFILPVIGTTEEEFIDLRIRDVFEISNAEFLILKKGHPMADKERAEFPYKLPFEVLNGVTGRQIPAIVVDDSYHPELESFQNSRVGNFLTDKELAEKFGIQEPKHRRNLTKNDIQEMAAFGGYGGYETSRTLTDWVVSRQRGWGTPIPMIQTDDGKRVATRLDKLPVLGMDRGQKVDRERFGEEGTFDSDTLDTFFDSAWYYLRYLDSKNPNELASKTSLEKMPVDVYVGGIEHAAVHMFFARFISYFLKDIRVIQTAEPFTDLIPQGIVRGKTFIEKSTGKYVSPEDVTTNSEGRVHLKTDAAVEIDTVYEKMSKSKNNGVDLAAMLDSEGVDMTRLRLLEAAAPRAPINWGETDLKGIKKLLDRIATINSDVIESRGSSKIVDLDPKIEESIRETYNFFVRNVGMCLEILHLHNTALMRLQGFTNALKKIDPIYLANSPEGQRAVKSLVIMLQVFCPHVAAEMWSALYPSESIISAQPWPLVDSDAQIEFLLMIDGVSGGRPSVDQRLIEEMGGAELWRRAEHTEHSEILKIMKDEGMVLRDHRVTKRRGFHVTLSCGVEGDKEENRKKIGKILDRIQAERRKLDKKKGGKKQKKEKAK >CRE14262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:208847:210818:1 gene:WBGene00073172 transcript:CRE14262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14262 MDSSPEGSENSRKENIPVSASPPSIEDDDDLLNDDEDVGIQNPDDVQIPEEELLRIDDELEGILNPPLNPQPEGVPRIQNPLDGNEYLEAFEEERRILEEEMPEGRESEDRGRGDDDDERMDTEEPESPPADAIRARDSTSAESMDDDADDEDEEESSESEKDDDQNLANVSLTAPVPLTQIDKSIHIGTEHQAQISNEGTPEPIPREEEISDDERETLIWDCEQPVDKKRLVDYIGETSGKFSMPIDRKPIENHS >CRE01450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig760:397:6531:1 gene:WBGene00073173 transcript:CRE01450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01450 MKIVIERFEESREECDGMDVEFAAPSQKLIDVETTKDLEEKFHITANRIEEEGTEYVKKKVGSALISNKTLPVPEFDGEPENWAEFYDIFKPIVEDNTELLDIVKFALLKKACRGKAGDMIRIFTSADCFKEAIERLKKNYENKDNRFLMLWDKLEELRPARENVESMRRTINEVAAIVAALRKVSETETMAMKVLIKRKFPRRIHEELSRDANCDTTGKILEKIEDTINREERIEKDMIGHKKLEGDRRNSYRRSNSREYNNYRRSNSREYNNYRTPEYKGNNRHRSSDSYTSQKSDKSEKSTNSYRKSPFPRSRSRERKPCLFCNRSDHSTIDCRKVSNPEDRREFFRNHQRCYNCGRNDHSVKECTSGRCRNCDRKHHTALCDREGNRVRFERNRSRSKSEERYVSNKRGYGKDSSYSRVNTVVSSETRLMVTTGRVITTKSTHGEKFRPKAETMVILDTGADTNYITEQLAEYIGARVIDKNKDMRIKMVNNKENKITSNKVRFELMTQNGNIVVEALTIPSITTDFMPAPIEPEEWEYLKKRDIPYKEPSKTDAGLLIGVDLFWRLMNNFENLILPTGRIVVNTKLGNVICGSIIDTQNTGTDKEESNNFMTRKSGIIENPVEKIPGRLEITHTLEDLFQLQNIGITDNPVDPKIEEIKQMFYDTVRQDEGGRVETTFPFKKGMIPVLADNFRMALARLVSMYKQSRNTKAWEKLVQNFEDMIKRGIIEDTKPVAIDEPDRPVYFIPYQLVYNENSNTTKVRTVFDASSAVRGEISLNKAIHQGPSLIPQLLGILIRIRTRKYVLSGDIEKAFHMVGLQEKHRDCTRFLWLKDPQGEVIDKNLRFMRFTRIPFGVNASPYLLAMAIEYAIQHSMASEKLKTAVKDMCYVDNLFGTSNKAEELIELYKESKEVFNAIGMNIREFSLNSELENLIDPKDKTETGDNTKLLGYMFNGKTDIMKVKKPKFSLIPDKGVTKREVVKIVSSIYDPLQLFAPIYLDGKRLIREVSGKDIKWEDKIKEETRKLLEEYVSKIEKCEFTFKRWLEIPDKQPCTLAIFSDASKDVYGACAYLVWDPSPLEKNKENRAILITAKQRLASKGHTITIPRLELVGIVLAARIATYLVKELDVNITTIALYSDSQIALNQIKSFNKDSTFVENRINEIWKHLEKLKTDENDTVIREVYLTHVPTNDNTADLITRGIETEELLKETNWFKGPQCIRRKEEANTENRIYERVNKNPKMPYNHQRESYITLVSKVKNVDHPPDCSIIQDKHRQHWDRSCRIMVYILRFITRIRIRDRTHTGIISNYEFSIIELQKYNPNVKSEELECAEKVLIKEHQTNFNIKPMENLNQYLNPATKVVEQRYRVTSMEPKPVIHTRSILATSIIDKIHSENLHCGVNTVLGIIREKYAGKKWRSAIKNRLTKCIRCRKVNNHPYPKPKAGNLPERRITKDRAFQHIGIDYAGPFIVKAPGGRGYTAHKSWIAVITCMTSRLVHLELVYSLTTDEFLLALARFMGRRGIPDSISTDNATTFQAAANIATSAYSVENYLANKRIKWYFNTALAPWEGGVWERMVGLVKKALKHGLGDQCYSRKDLETIIIECESIINHRPLTYIADEESEIIRPIDLIQPKISYPIYNEKLLENEYREYTYRFREVINSVKRFWEVFNRDYQNQNKIFESVTFPNKAHCNTVQPVVGEIVMIKDEQLPRGKWQLGRITKLCPGKDEIVRAVEIKTKTRNKIKRRIEHVIPLEIRPNSETQQVYEEKEKPQQKEKERKDQKVSEKPSRRITRSQTRKEKEKQASIMSIRTQMKPTILYYLTIILYLATSVYAANKNPSLSELSIGTFQEAKEEMTIVQENVATTTYSPNSVRNENRITTLTPLILTTTPKTTYPVTTPRSIDTTKPMYTTKKPKISTTSQPKQQTTTTPKPRTDHGKQTKTPLEPLYGVQTLWCSMKSSIWCLAGFGGK >CRE27790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:41167:41922:1 gene:WBGene00073174 transcript:CRE27790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27790 MYHLVKNFRDKTIPLKLRIDGEDSRVEVVNNYYHGVYVMSREKEKSGNLERVNISDHLVPIDRSRKHHHVWETYWDDKMKGLQSVMEYLSDLFEIKKVTTIFVSTDTMKFLNVLKERQGNDYELIINQCNGLSEKESHFLLENYPAKILRISGLSSNFPIGKYLQTIDTLCVGSKVSITLDDLLNMNCVELLLSKNRFTSTEIKRILQHWAIGGFPRLKYLSLWVSDLNIEDVFGELTHTRMTEKREYEYV >CRE27810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:26134:27027:-1 gene:WBGene00073175 transcript:CRE27810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27810 MSALPILKLPIIVLMKILRSIDIDTVIPISLCSRKMYHLVKNFQNKSVTLKINSYGDYSSVAVVTPEGNCHQVEVSEGRYRNLESVNINGHLVPIDRSRKHGGWETYWKDRVKGLHSVMEYLSDLFGIKKVTTVTVTPGTLKLLDIIKERQGNDYELIINHYLSTKKSRFILENHSAKVLRIIGLSHNFPIGKYLKSVDSLIVHSKLLITLDDLLNMNCVELVLPYNEFTESEIKRILQHWAVNGFRRLKYLSLRVSDFDLEDVLGELNHTVMTERREYK >CRE27811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:28209:33744:-1 gene:WBGene00073176 transcript:CRE27811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27811 MEKMEIEDAQKAGDEGEGKDDANSLDGSLWAHVTELENVNVSLKEKMKRIRGFEKFVEKSEEVVQNLQKALGDTTLAARKQDLMVAPVEKYCKELRERYEEVRCDGWQEKVLKLMREKAVETVEQLRDECEKAGDENEEEKEELEKENEKLKENNKYFQRLLEEKNVEMADEMARSEAERERLQKKLEEMSALPILKLPIIVLMKILKTIDIDTVILISLCSRKMYHLVKNFRDKSVTLRIEIYGRDSYVRVVTPNGYHEVEVMSGEKEDFRNLEQLNINGHLVPIDRSRKHDHVWETYWDDKVEGLHSVMEYISDLFGIKIVTKITVSLGTMRLLDVLKERQRNVFELATYFRLNEKESHLILDNYPAKVIRISGLPHNFPIGKYLQTVDSLTVNSKVLITLDDLLKMNCVDLFLSRNRFTGTEIKRLLQHWAIGGFKRLKYLELDVEDLNMEDVFGELTHSQMTENRTYK >CRE27787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:22993:24991:1 gene:WBGene00073178 transcript:CRE27787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-33 description:CRE-COL-33 protein [Source:UniProtKB/TrEMBL;Acc:E3N5G8] MEVQEIKNRMKAYRFVAYSAVAFSVVAVISVCVTLPMVYNYVHHVKRTMQNEIVYCRGSAKDIWSEVRTLKTALEPIQNRTARQAYADAAVHGGGGGGNCEACCLPGPAGPAGAPGNPGKPGKPGAPGLPGNPGKPPVQPCEPISPPPCKPCPDGPAGPPGPPGPPGDAGSNGAPGAPGGEAPPGEPGPKGPPGPPGAPGAPGEPGRPGDDAPSEPLIPGEPGSAGPPGPPGAPGPDGQPGSPGGPGTPGPKGPPGNPGTPGADGKPGAPGPAGPAGNKGEKGICPKYCAIDGGVFFEDGTRR >CRE28849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:175990:177555:1 gene:WBGene00073181 transcript:CRE28849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28849 MASRVNIVRVDMLDNPAMFKDKFKLEITFEVYEHLQHDLKWELVYVGSGTSRDYDQVLDSALVGPVPVGRHKFVFDADHPDISKIPVDDIVGVSVLLLRCKYNEQEFINMGWFVANEYTDEELKENPPAQPIVEKLSRNIQTDKPRVTTFPIRWADEDPIPEPPADNNHLHAEAPVELNQAVQEIPDPVEHQRNEGLGPQERQNFRDNGGGDRRVEEAAALNPVDLAHLWQLHDQGQQMTPPTSEED >CRE28898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:178810:180775:-1 gene:WBGene00073182 transcript:CRE28898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-5 description:CRE-RPN-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MXE6] MADKREPIKVDPVDVGDTTELDNLAHLAAHGGDGRLFKMEQDYTKQVDEALLKARDLAQKDVVAAVESLNNIEKLTRLGADMKSNTRVVQYMVGFLFAIFQINFQFFQAKLCFEGQKWDLLMETIMTLSKKRLLIKMAIAKMVRDAVAMIEKMPTEELKMKLIETLRTVTAGKIYVEVERARLTSMVVKKLEAEGKLDEAATMLLELQVETYGSMEMKEKVLYLLEQMRYSLVRNDYVRATIISKKINIKFFNKSDAEDVQDLKLKYYELMIRIGLHDGNYLDVCRHHREIYETKKIKEDSVKATSHLRSAVVYCLLAPHTNEQWDLLNRIAIQRELETVPDYKIILDLFINQELISFKGTIVAKYEKLLRRGTTASPDTGIFDKSTEGEKRWSDLHLRVGEHNMRMIAKYYTQITFERLAELLDFPVDEMESFVCNLIVSGHITGAKLHRPSRIVYLRLKKANVEQLDVWASNVQKLTDTLNKVSHLILKEQMVHKNLELAVTPRA >CRE28850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:181137:184500:1 gene:WBGene00073183 transcript:CRE28850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-scc-1 description:CRE-SCC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MXE7] MFYAQFVLAKKGPLAKIWLAAHWEKKLTKAQIYETDVPQAIEEVIRPKVKMALRTVGHLLLGIVRIYSKKTRYLLADTNEAYLKMKVNFRDGFSFEADLPLNADIDEDFANLHDDFNITVPEFHDADYNEKLILANVSRLEDITLRDDVNYNAMFQINVDDDGFGDEEDYAELEKMYGVGQPSSVRETPQREMIEAVREQASGLDLSENRKNNTSLFDAPPIAMDFDVDFGGEKQENQQFEVQRDGEMFNSMVHDIQYDQAAPDDNFGFEPEAMESEDVVVPPRQHSPESFALEPLDIENMEGGRKKRQRKPRKLLVDAETMITNDAFTGQQRDFTDTVRASSDLAPYSRKMLSFCVSGDLPHLMSRPGIGIQNTELLNEYRKCLVTRPFDPNFTIHELSDTTPSSMDREEAPWEQLGLNEEIPGPAGVPPETDDHFFDDVDYEVENFPMMAERNRTIDHGEEDRMDVEEMAPVGYADENKENYPEEEGSDPFGGSSMSYTNKRGCLESYGFGAQKPCEEDEGKWTKRANHILKKVSSEIEASGSAVFSTITESAKTRKQAAEQFYSLLSLAKSQAITVEQGEPYGEIVIRAGNQFHVALTSSGSSGAIGEETMPRTPMRPLDIV >CRE28851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:185052:190023:1 gene:WBGene00073184 transcript:CRE28851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-85 description:CRE-UNC-85 protein [Source:UniProtKB/TrEMBL;Acc:E3MXE8] MASRVNIVRVDMLDNPAMFKDKFKLEITFEVFEHLPHDLEWELVYVGSGTSRDYDQVLDSALVGPIPEGRHKFVFDADHPDISKIPVDDIVGVSVLLLRCKYNEQEFINMGWFVANEYTDEELKENPPAQPIVEKLSRKVETDDLRVTTFPIRWTDEDPIPEPPACEEDQVFAEEDLLPLNDEEGQEEEEDDEEDDEMEPTGGEEIDLNESFNERIANALDSGDQQMEEATASTHGIDGNDVEMGESDGVQINNEHHDNDSVKTSESMIAEPLSDKTNNEMAH >CRE07897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig615:1997:4188:1 gene:WBGene00073185 transcript:CRE07897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07897 MSQLSLILLLATMGACAHSAVVIAREYTPEPAAARTTRPFPKMSDTEEDSTKMQVVVVLLVAFCVSLAITVIIRFLRKYSERQRLYSSFN >CRE15043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:81590:84787:-1 gene:WBGene00073187 transcript:CRE15043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15043 MFRIEDEECSQLFTTATECVNTVVDLKRNGQMIKEKDVEELVKKLKAEEMNFSFIQQQSAGAAIIISARIVLPGSKKFFDLEALVPLFIQALEMVSSFEKLEGFRWRHVHPLFQFYVEEIPNHSGAQHFLELLQKIMDVMKLSPTVNDDFFSYNNSMALARLIVKMNEIINNQDGDLEIRKRAVVLLHSMVKQCPPERASTVSLYSSGLCTAVAKLLKGGTNIPSLIKSALLLFKDLVILVFADNAVKLDTKTINWDEYIPQVHNYLRGQTEEWRTTGSEHIQQCIRDSLSSYMNHRSWDVKLAVCEMTLEIQEACKDLLKEKLYGCLMSLYIHLRYDQSTQFQEIGKKALELVKNKRKAEEFFYQQLDIHISRLPVRTHNEDGLPEINIVSAILTALGDSVRLLSTTGSRTMEALLKSLADSIVIDKRKIMITSDVAIENIEQALRKMKLQYDVTHSAIAQICQILADLGGIEVVDMVHNLMRMESPGKRASYHIILAHLLSSLKTSDTPSDDPIILMLAEYLVLETNRSCIIKLKEDTKPESHQFEIDWSTCVESLSLTNLALCMRFIGQTVNRSHISTQCLCTVLMQTTSQSWIVSESAQFALKIIAEESSRDQKKKDDPDAVERLIRRYSSHILNRVSLACTSSTNYHMAPILFQSYLAYGEIPDHFNVFKVIVEKMLYALDRNQQQYSYSLLQALLFFMGCMNRDYPNHEPLSPPKDSEDEKDLPTPQHIIVEQILLRTKHMLSSEHIPVKIIVLRLLSQGIEFMKLYDDMLLPMIHQNWFGLMAIAKELEPNTLGAVIDRVVDMAEKSGTFVHNKILKEFWPLVETYFMKQISRREEFSHTSEFAVTTRFINSIPRIIANAGITEKEAKKTFMKIINNSIENKGKFAFYCDKQKKIIDAYYKEGKSVYDE >CRE15042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:80833:81388:-1 gene:WBGene00073188 transcript:CRE15042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15042 MQILPYHFEGEVVRGFGRGGKELGCPTANMDDTVIENLPEGLKVGVYYGKATFKGNTYSMAMSVGWNPQYHNEKKTVEVHLIDYAGGDFYGKRLSAVIVGYIRDMRSFASLEELKTAIAKDIEIARRGTTEQGKL >CRE15033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:76760:80360:1 gene:WBGene00073189 transcript:CRE15033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15033 description:CRE-GPA-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M5J4] MGHCTSKDQKEGKRLNRRIDEQIKKDQSMSLRIIKLLLLGAGESGKSTILKQMRILHKDGFSQQDLEMIRPVVYSNCIHSMLSILRAMFHLQIEYGEPDRVRDSQLVFATVHANKEELTEELAQAMQRLWHDPGVRECYRRSNEYQIDDSAKYFLDNLPRLSSPNYVPSEQDLLRTRIKTTGITEVLFELKGLTFRVIDVGGQRSERKKWIHCFDNVNAIIFISSLSEYDQTLREDNCTNRMQESLKLFDSICNSPWFADIHFILFLNKKDLFAEKIVRSPLTVCFPEYKGQQNQTECINYIQWKFEQLNRSSQREIYCHHTCATDTNNVQFVLDACLDMIIAKNLKSMGLC >CRE15040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:52579:54626:-1 gene:WBGene00073190 transcript:CRE15040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15040 MTSLHLHVNEIPSPLSILLFGLQLMIICLSALLVVPYIVSDMLCAGQKALEIRVQLISATFVTSVNVIQSTRNVQIFGSCLIAVLIMPILGFTGLVGKISKYIGPVTIVPIMSLLTIGTVPDIEQKMEPPGGEARTDRNASLAVLEKTPWKTLQTYALRSQGKLVFPLEHQSCLCSCCILAALWGVGSGITCYVENIAIMSVTMVTSRITMQIAGVLLILAGIVSKFAAFLSMILEPIIGGLLAMGMCLINGVSLSNLAVSIPPKRNKTIDDVFGSLLTIRMLIGGLIAFTLDNIAPGASRKQSGFLDDEDIEEKLGVEYNGYAFPSSVNKFFFNYSWLTYLPVIPSRSAIQEIEDDRRREISMEKI >CRE15037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:45860:46547:-1 gene:WBGene00073191 transcript:CRE15037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15037 MQMAGVLLILAGVISKFAAFLSMIPEPIIGGLLAMGVCLINGVSLSNLQTVDMKISRNLTIIGIAIIMGLTTATHFEKTPLNTGNQIVDDVFGTLLTIRMLIGGVIAFILDNITGGATRRQRGFISEMDEEQSDMEEQPTIESNGYALPSCVNQFFLKYSWLTYLPVIPSEREIREIEEKRNKLDIIEKIEKA >CRE15029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:43621:45548:1 gene:WBGene00073192 transcript:CRE15029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15029 MVTDTENFLHFHVNDIPHLSAIILFGLQQMLVCFSALLVTPYLLSNMLCAGAETIAIRVQLIAATFVTTGIATILQTTFGLRLAILHGPSFAFLPALHTFEEMYPCTQDTDTSLWKEKMQLISGSLFLAVLIMPIMGVTGLVGKISKHIGPITIVPMLVLLCIGTVPDIEEKISLHWISIIEILLLIIFVVLLEDVEVSIPGYSFSKKQFFTTKMRIFSQFPYLLGICLAWFLCWLLTVTNIEPTGGPARTDRNESTFVFHSTPWIQVQYPMQFGFPQFSFPLVIAFTASTVAVMIESVGNYGICAQISQQGSPPSSSINRAFVVEGVGSMLAALMGCGTGVTTYSENIAIMQVTKVTSRITMQCAGLLLILIGVFSKAAAFLAMIPEAIIGGVLAAGMSMICGVAFANLQTVDLRLSRNLTIVGLSIVLGCTIPVHFEKHGLHTGHKTMDDVLGTLLKMRMLVGGLIAFCLDVMARGATRKQRGLEGRLKREGVAVERDGFVFSSWANQTILKIPSITRLPVVPSEKKIRRVEEMRSKRVEENVLEDKF >CRE15028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:42136:43237:1 gene:WBGene00073193 transcript:CRE15028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15028 MFLSSLSLSNFVTSTFHFIKFSQRTESMSFLLVLVFPPYLSASLLSNTVLTHLSLCNRHHKNNSSVFLCVESRSLSQRSNLSLLFEFSDTFQSFSDNSHIPFAVARRDMYRQGTNQSVCSDADEFTQEELQEFAQAFKLFDKDGNNTMNIKELGEAMRMLGLNPTEEELLNMVNEYDVDGNGKIDFGEFCKMMKEMNKETDQELIRLAFKVFDKDGNGYITAQEFKHFMTTMGERFSEEEVDEIIREVDKDGDEQIDLDEFVNMVAPIVSETNKTDPFAEPAASANPTTK >CRE13152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig500:14577:18470:1 gene:WBGene00073194 transcript:CRE13152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13152 MFPAYNAGGGGPSKQIPGPSNDLNSRTRYAVQVQAKKNLINEKNQLAQENEDAVFQQCGYGESSSDDECGGVRMKLEPNQQVAAEHVFTLPEKLKPGYGSRKSTTARIPPANSFHTFPDRPARNPYSDEPMEVDSESSTSWGRPTSSAASSSYSSRRRSRSRESSRRRRGDSRSRSRRDRSDDSRKKKKSKKSRRHRHSSSSESSSSDSDSRSRRRSSRRESPKRKSAVKRPKYEFLTLKEDYEILDLSAQILIRDTKFDPENYTLGCPKREVASMYLGCYQILGLEKEHNLFEVSNGAVERTCSADSYSDYFCAIFCNFF >CRE13155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig500:9296:14000:-1 gene:WBGene00073195 transcript:CRE13155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-atad-3 description:CRE-ATAD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NLK8] MSWLFGVQKNATPQIPEDFQAAGAPGGPQQPGQQPKPDQGNSKMAYSFDSTALERAAKAARDLERFPNAKEALELSRMQEVTRQKEVENETKKIEAQLANMKSEHIRVAEEERRKTLGEETKHAHSRAEYQDQLARKRAEEELAMKARMQEESLRKQEESVKKQELLRKQTIEHELALKHKYELEKIDAETRARAKAARDNRDVNLEQMKLHEEENRKTVIEKIKTSGELIGSGLNQFLSDKTKIAAAVGGLTALAVGWYTAKRGTGITARYIESRLGKPSLVRETSRITPLEIAKHPIKTIQMMTRQKKDPLEGVVLSPALERRLRDIAITTSNTKRNNGLFRNVMFYGPPGTGKTLFAKSLAQHSGLDYAVLTGGDIAPLGRDGVSAIHKVFDWAGKSRKGLIVFIDEADAFLQKRSKDGMSEDTRAALNAFLFRTGEQSRKFMLVVASNQPEQFDWAVNDRSNMFVVVFPGHGKFFHSFVSELFIIFPQLLL >CRE30257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig569:1033:4596:1 gene:WBGene00073196 transcript:CRE30257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30257 MKTKKPKTTTVDPFFYDETSFFTFDESGMYDLDFATDDFFENMLFYLKVIRTIQVTYDIVSCISFFINLFHLFVLTHKELRSNLVYIVMIGVCLCDLVQSLGTIVLCTMTWGIVYSIEPCYDGTKYYHTVVDVLAKTTQIFGRRCSSFLALYIAAIRALSVMFPMSNMIAGLMTPKYGFLILTILGIACAGWSSVFFFKTKIEKIIYCDVDEKTPSYVPYHYISGEKWEMQYVMFDGYMAISVSVVYILVAAALVGAILKAERIRRNVSGDRSLNTYKLVIFMAVSVFISEATYGGFYYFNYHIFQGFDEQQFFKNLDAIVLIFLCINSATHCLMCFCMSAQYRSVVKRLIWKEKKDKSIVSIIACFVTDVHSTLLMQIVAFFPLFAGYSCGILWNFLGISTHFSVVSCKCINLKFFFRFKQAYFCFVAFQFEMLTVCFVKKHQAMAMIVKTHVFPNYVGYLAWVFCILKFPIPGILLETIHFSKEEQFKFIEKNFPQYLANFQSLSNVEVYAKEGNMYFLALSLCIILLSLNISFLNFIIFDIFRIMQNLKLKISAQHYQRHKEAFRNLMIQNVTSALCFLPVILNIIGFAFDFEYVQLLTNACLVWFASHSSLNMVSLMIFFAPFREYVTRHLPETRQQRSVLGPRSTSNFLVNID >CRE06194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig533:5210:7834:-1 gene:WBGene00073197 transcript:CRE06194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06194 MLSNPNHRTSALPPSRKACSFPTEKEQPRMRAKTRSKSQPKSLVTSIVDSTKAEITRTATKAKALTTAAEAVLLFLKDPTIKHSDDSDENTLRSAASLTLQMKAVVAKIEDFDSYIYNQFQKPELKDSPDRETLFRDVSNTLLGSGADGFQKKLAAQIAEVESVLMGYGQPLSCFQPNNQPQDLQVCDPRDDMENYINEPHFSQETINLVDEMPCSIDSPASSRTITSSRSRDLSMMFSDSAFPNRTKELQQSLIAENRGLQKENAKHLRAQYEESQTRLALEMKDLQYKEYLQAELTRVQAKEDARKAQLAQLVEQREAQERANQRPAAVIIINSPLPPFEQSAVRTNAAPAVESFEPSADNRVALSSAQLSAMTTFSQRKRVQETPILHSVNQINNTDIEPRTAEAPNATNRLDMNDVMNAFLSIYQNQRTGAHIQERDESIRSRATNRRTQTSIPTRSESSHVRRYERGESSELEDKSIHQVPSRTATIRGNRSRSSEPIPRRSGLPIEVRLKLLQKFDGTGDFDLFQTLFTSFVLDDDELSPEAKRAVLMNHITGPATICVSHAKDSRTAIAATFIALNKVYGKVNSKHNLLRKLESLPFHQTDPETMRRDAVSLANVLQQLKDRGVPADDHMTMWAIACKLPESMQKSLAKYSIKRDEKLTHDLILDRINRDIEIMALEQAYVSQDNTQANELTDSDTTVNFANANSSRQKAPTQSNKNNPRERERKLVYEPSQHPSEYVDPITNSTLEGYYAPGPKGVNVKILHRTFPFAEKETRSCHVCQGDHNEIRCTLNSNEFRERCKMKGLCPICTRKHAIEACESKYRCGYCDGLHHSGGCPQKEFYRDKKNYPKGAQPVATLFRVNKTNQLK >CRE25994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1206:2:8577:1 gene:WBGene00073198 transcript:CRE25994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25994 MRPEEQSANVRFAATNALLNSLEFTKTNFDNEAERNIIMQVVCESTNSPDQRVKVAAIQCLVRIMQLYYEHMLPYMGNALFQITLGAMKSMEPEVAMQGMEFWSTVAEEEFDLFIAYEEDVERGLENAQNASLRFMEQAASHVCPVLLEAMAHHDDGDDEDDWTPAKAAGVCLMLAAQCVRDDIVNYVIPFFKHFQNPDWKYKEAAIMAFGSILDGPDPKKLLPMAQEALPAIVAAMCDKNVNVRDTAAWALGRVIDTCSELANNAELLQSVLPVLSNGLHQEPRVANNVCWVGFGDGKVKKIAEKSAEKTEFLLKALVSLVKACYESAVANGTDGSGQPETFALSSVFDPMVNELIKITDRADGNQSNLRITAYEALMELIKHSPKDCYSAVRNTTVVILKKLESLLQMESQATSEADKAQVRDLQAMLCATLQSVTRKMQPADIPAVGEHIMNGLLQIMNRAAATRSNAVMEEALLAVACLAEHLGKGFLNYMNVLKPYLLQGLANVDETQVCAAAVGLVTDLSRALEADIMPFMDELIEKLIVCLQAPKLDRNVKVVIIGTFADIAMAIETHFERYLNTVVPILNDAQNAAVVTDPNDDDQVDYVDRLREACLNSYTGILQGFKASPDVAATRNTVNFFVKPIVQLILRVASMEPVAPSESLMATTAGIIGDLVGLYEGEIVRFFAADN >CRE21650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig952:8711:10408:1 gene:WBGene00073199 transcript:CRE21650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21650 MGAPTEQAAQQMLAVLEKTVSQNQNDQKQAMEYISAACLQDFPVFVQCLSMILRTQQCQSFVRQAAGLQLKNVLCAKETETRTGYLQRWLQLTAEVREQVKQNVTGTLGTEPSRPSIAAQCVAAIACAELPQNVSVKIVDFKRKK >CRE21651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig952:3081:7415:-1 gene:WBGene00073200 transcript:CRE21651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21651 MRKPLLSIGLSQFGLSVLQTLFMFYYVKVYINQFHVNTAWFNIAQTLFMFWNTINDPIFGYLQEIRGSWLKNRLLVIKLLSPLLVGSFVFMWIPWDTSGSDWEGIHLILSLFLYDAFFSAIGVAWGALFADTTRNQPAVRVKALKYSQIAILLSVFSIAVTEKLSLSLQNFKVFQAICLGAAILSLICLWFAGQLGDKSIDKRSEEQDLHEFLLENEPDPKESPTFSENIENSVKLTKEIVSQRQFLAIVFTNFFHTARSIAHMNFASIITEIVIPQEILPSGSVKLSLFFMVLTLGPQLVLIFNEKLIARVGAINVISISYIVSFFSGFLIILAGNPYMIMAFMLVDCITVHTIAPLFNIIISDFVDDDARKNNRPSGIPSIVFSLNALFVKPAQSVAPVVIVYLLNQSGYQEYLKTKMESADLKSTILLILFMTPSVIGGIQYIIMRSMYNFRRPIVRSTHVV >CRE07595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:5474:6904:-1 gene:WBGene00073201 transcript:CRE07595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07595 MNEDYAPPGQISLAKDEAIAVIKSVTKVVETVWQTWKTTYLAELRSTHKLRMNNKKGKSETPAVGQVILITDPDLPRNYWKLGEIVKADPSSDGVLREVHLRTSKGNIIKRPINLVVPLELDGEDTQRKNKTNAVSLPEEQVPDAPEFQTKDMVKRYNLRKQKRVNYNEDQHEDRFQLASAISTLVNFPWSKIMIMVILSIIIGPTMAIPPLECTPTGIRVNVEYESFKMCVQNYCTSRPRMTWNSNYADVWIPPALKITNHHATAKILMANAVTVYELNCHAVSTCDSIDCVICTTNVLNPECHPYMALGGFAVILYIIAMIVYCIFKVKISMGAPLIMIYKLLQMIISKCRGFLPRKPSRRGKINWEIMVTILMFSSMIHSSNACQEVNLLSQGEQICTKEEPKICKLVTQEHLTIGSFNKEACLRIEQNGMTTKEIRIRFLEIRMECLKNTIAFTKDAQIHNFAGVYKIFNYS >CRE07101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig654:5714:12027:-1 gene:WBGene00073203 transcript:CRE07101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vab-10 description:CRE-VAB-10 protein [Source:UniProtKB/TrEMBL;Acc:E3NMK1] MEQNLREFGELDFELREQQPEVDAACKASKKGGVRNAAAEMLSTEWKKLWLDAMGLQSSLDNQKALLEEMKRLEGWKWEDWKERYVEWNDHAKARVSDLFRRIDRLHTGNVPRQVFIDGIIGSKFPTSRLEMEKVANLFDKGDGMINAKEFINALRFDSANRNAKPQTDTEKITHEIERQKKTCSCCTPYQIEKISENHYRFGDTHIKRMVRILRSTVMVRVGGGWESLDEFLHKHDPCRAKGRLNINMFPEARPTHALDSMRAFTKNRHAKEAGLPTTGTPGPIMKIREKTDRSVPMSGGLGGTAGYTVTTTPDHRPSRIPRAPSDMSAGKLSRVGSTSNSKGSLFDSPSRPDSRASSEAGDKQQTQQTRIPSLRGRKGQRYGLGLKFQRFY >CRE06212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig893:5963:16084:-1 gene:WBGene00073205 transcript:CRE06212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06212 MGRDHKEHKRHRSRSKERKRSRSRSPRDRRDRDRDSRRDRERQRDYDRRGDDKRDREKQRDADNKQRQQETKKESKSAVFEMEESRNVNFDDFRLKMTFSAHFQSIFTTNTTTEEIKRMSKAEVKAYRDELDSITVKGIDVPKPIKTWAQCGVNLKMMNVLKKYEYTKPTSIQAQAIPSIMSGRDVIGIAKTGSGKTLAFLLPMFRHILDQPELEEGDGPIAVILAPTRELAMQTYKEANKFAKVLGLRVACTYGGVGISEQIADLKRGAEIVVCTPGRMIDVLAANSGKVTNLRRVTYLVLDEADRMFDKGFEPQIMKVVNNIRPDKQTVLFSATFPRHMEALARKVLEKPVEILVGGKSVVCSDVTQNAVICEEHQKLLKLLELLGMYYEQGSSIVFVDKQEKADDIVDQLMKTGYNSVAPLHGGIDQHDRDSSIADFKTGVIKVLVATSVAARGLDVKNLILVVNYDCPNHYEDYVHRVGRTGRAGKKGYAYTFVLPEQISQYGSQNYQEKMAGEICRAFETAGCKPPQDLKAMFERFKKEMAAEGKEVKLGGKGFEGHGYKYDEGEAEADANKKKMARLVHGMEAGGDDDDDLDEQLNSMIKTKRRLVHGKPQSDKPTTSGNSKTDREAEKRKDAAKLKAEELSSKLKTAQNVIQPVEKTATQLTAEAVIRGQDVAPVQISAAMLAKEKANRLNEKLNYLGGEAAPTQQQEEAWEYFEEEWDINDFPQQVRYKICSRESVGHVAELAEVGISVRGVHVPPGKEPKAGERRLHLLLEARSERNLKAAKEEIIRIMKEAFRQLTAQIQRGGTQSRYKV >CRE13195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1060:6052:8328:1 gene:WBGene00073206 transcript:CRE13195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13195 MSQRSFGSEIGNLETVTTTTMTASSADISVSKPSDSIQENDQMEKEQNGEGTLSKDDLLDEIEDLVDEKYYIGETVVSGGKEYVVQTSEKRGGLTMYTMEDGTKIGHRDLR >CRE01437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig560:1678:3537:1 gene:WBGene00073207 transcript:CRE01437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01437 MMIPMTSGCLQTIPGTTPAPTDCCPEVPLILTNSAFPDGAMTFAYDSNTCRTTATATCSTTDASLDLYAAIVGNEVNYLEYAQNTATTAFVCSGGSWTFTRDGSTLVLTSVECILTNPPTGRK >CRE01439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig560:7600:12636:1 gene:WBGene00073208 transcript:CRE01439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-23 MTVNITTDTDFVPHPQKTEANTSAPIVAETMQHADVKLSKVLFTGEGSSQVLLLGKGRGRCVALWERDDGIDPFRILSIKNSEVDPNDACKMPENRVCVGYADGSLAVFNTAKEDLTLMSRIPSVHDGSASRAICRNGNGVLSASSNGTLSDVDVETGKARTVFSGQAGIRSICTSFGSNVVTAGDSNGQITIWDLRENNGVYPTCADSLLVSANDGSLIRIDASAAPIAMGSRSQKDVIWLEGELANSLRLDPIRNESIFPISSFDIHSDTVVAASSIGMVSLYQQLPFYPNNTVFGRV >CRE15778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig587:13375:13866:1 gene:WBGene00073209 transcript:CRE15778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15778 MINPVFSAQNSLNRLKTVVFSVLHSEMSNFQDLSGKNQIFQIKMSKNFHFLRRHCYGIVRCVEVDKKCSKRFIPDFIQSMQHVDSEKVIENVYKVLLTLPIMLKSRWDMLTLLASSRMITHLDDILFKEVKLTGNREKTRKNSQNRPKIAQNCLFDAKIGIFG >CRE15780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig587:3703:11448:-1 gene:WBGene00073210 transcript:CRE15780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15780 MYALATRNHKNALDLLEVWFANNKISLDNHERGEGHKAKLQQRIRETMQKGKKQELADMKLNGTLAKMEAAAAASMARHGEGVLAGPSLPPTGLFRMNIFDPTKHKDVGAMAKEMASRREAGAVKRGAATAVGGAPDLKISKGDVVSAYRDLTNPNAAGPALPEHLAVGYMPETQVQCAEIAWVRAKNPDGPGSYYWNLFDNSTRWDTPNHFYTEKQYEQKCRDFEEQRTKNYYGMDEVQVVRSGKKDVESYIKSQIAASGLTDLVESNRKEQNYKEYRPPSDGRKLTKEQKKALWEERRREKEQKWREREAEEEKKRKEMEGNREEEEISDEEEDGSEVDDEEEEEFEIVGTPEREAMLDEPYEEMLEAPPPSQKPEKMPEIGLIEPPKPSFISPENAPQARQSIPAAPYGAWIKVEKTEKTEIFESPLTARFREEEAERVEEEEKKRAEEPKFEFGEKTATVMTKKVKGPIEFKKKSGNRNIRKRED >CRE15779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig587:768:3070:-1 gene:WBGene00073211 transcript:CRE15779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-png-1 description:CRE-PNG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NM84] MVFDRFLSDFQPIFFIFFAFPGMPVNEITSAAEFDRILERADANRLILVDFFADWCGPCRAIAPIIEEYSSQYPNATFLKVNGDIVRELITRYRVNAYPTFIFFKNRQQIELIRGGNRAAILSTIQKHYSATPANPNAATDDEKRFLEQFVRYTGIRTAHTDEVYKALARSVMPEEIVEKMADGGDEKEVLRGKHSNSA >CRE24326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1156:5411:10039:-1 gene:WBGene00073212 transcript:CRE24326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24326 MSKSKRKEKKENFSPRKAIDDLEEREEAVASVERVVELLEDHFGFPQRTRAPAGQPKLGPTPHFTFEIHGDEPDNWRYVINSIRQEMDREEAAIREARKQDLIAGKPKRSRFTAWFHKRFGTRSSPFAFDNIPYWTSDEVCAWLSSIGMSEYGSTFRKNDIQGSELMHLERSDIMDIGITKIGHVKRLQSSIMDLRAQNQRARRAQARKKRVAKEYKPDQTGGGGPSGLDKRKESSAERYQDGQPSTSSAGGGHMSGSKRRIPPKDGESAPAVMQIDPASTDT >CRE01469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1000:1668:3215:1 gene:WBGene00073213 transcript:CRE01469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01469 MSIRDRKHRWVRRARLLMAIELFLSIIFDFTMIGSVKRGQMLNCLLVVVITLNILTGARLFLKLRGIKCASSIMAYVLWKIVQEVVMLFQLTKLKFPAWLARFLWQLQSTHASNNTDKNENATQISFIDGFHSEADHHQLLDTTSSRMNGANSNEALELREIPLREATNTTMTANSNRAFIPYDHVVPYLFP >CRE01470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1000:4703:14882:-1 gene:WBGene00073215 transcript:CRE01470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01470 MTSQNSEDKEKSEQEEAEGRQSSDTMTENFLNKTFNKNQTIFSCNTLLGKLDRLMKSLKEDANYLEESGFEPGDDDECSTGDEMLKRRDSVVNRANSLKKALREVISMAEKGIDQHYRDTNTAACTRRERFRKKRSKTTPSVLRISHSNLSSSSACSPPCSPAEEEETEGKEFRSASCKATFDISHSQSSSSAVLTALFNSQSNSQSARNFDELEKLEKPSIGTVHPPTPGATREPSTAYDDDVENSGEPKENQTSESSRRASEDVSTNENMKGSPDRPRIYSDTTLNKNSDKIKSQSLHPICSSGVDKMKQSHSDSSLYGEYEHMEGSSSGCGVGASGSTLSPSRPSDSATWSRIKERKRTVGSDLGLSSSSHLRSCRNMLSGFAGGSLIAEILLLNARVLSQMSRAVGSIPNTGGNVNQINTSVDCQTPFTQYKEMCVMNNYFGIGLDAKIALEFHNKREESEKTRSRSKLFMWYGILGGKELMHRTYRNLEQRIKLECDGVPIDLPSLQGIVILNIPSYSGGANFWGRNKDGPEFTVQSFDDRILEVVALFGVIHVATSRVPNAVRLQNHRIAQCRHVRIVILGDEPIPVQVDGEPWLQPPGIMQIVHKNRAQMLARNAVFDATLKKWEEQKEKATTAPSTPTALGASGGSAEHIPFLTRAREFLRLIEGEIARLGVSSVLLGSLDDANAIVRGGASGEEDPEVSDC >CRE04783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:669713:671049:-1 gene:WBGene00073216 transcript:CRE04783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04783 MQKPPSPSPSSKVPQLTSIDAEPKGASRGINSDTPASSIQDASSLVSPGRLPLHGRKRHRFWSGCLFCSQRGHAAAYCEKFDVESRWAAVERRKWCSICLMSGHLFDNCRAKTRKNACRFCSGMHHPALCRDKWGPQDNVIAPKKPREEANDEKPDDPMEEGVDEENHPKTGNPKTEENDVSDMDSEESDARKPRTYGIYDVHIDLDGSEDDDQ >CRE04585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:665153:668315:1 gene:WBGene00073217 transcript:CRE04585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04585 MPTIRSNLSIPLTHLNRIDDVRMETDDVEKRSETTFRPLCVKCGVFGAQDGSGYAEFGNTRVLAQITVIFVNKYPGKVIDIEVTVLSDDGGVLSTAITAVTLALAHSGIEHMGLTASAHVALKSNGDYITDPSTSEAEDAIGGVTFAFVPNLGQTTCMIILEQNNRIIIELLEQKFANAKEGAKPESVNVTFADFDGVLYKVSNPDGVKTRIILLRRVYGGHMRATPESGFNVTLEYDLSALPDNTSELVQKASALKRNCFASVFEKYFEFQEAGQEGHKRAVINYREDETMYIEAKADRVTVIFCTVFKDAKFSKTLIFSRQKQQGAPQQADMNLSPIEKELDSSLAEQGHHDGNYHLDGVHVSEGMKRMIIDLQRHWLNEYHTSREKCLVELTEKLHQEFMQDQQKIRADLLQQFKEELEQTRIDLDNKHRENLKMESAKLIEKHKRELLAAKKKQWCWSCENEAIYHCCWNTAYCSVECQQGHWQTHRKFCRRKKGNNAGGQGAPGANAAQQAIAQQAAQQQQ >CRE29269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig999:10983:12108:-1 gene:WBGene00073220 transcript:CRE29269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29269 MPALQPIWQHLPVHFKEDVVSKLDYNSRCQLRICSKKDKNLVDSRPVILDYLKYRITEVTFHPLEIPQKPAEVTMNDGDEETTRSLYENDAIDHLLLVFSNQKLIVNSFVFNVWGHDRTGHQFKLFKKLLTKIKERNLKLKVRNAIISTTFRHKDQYIEFVKNLDVGSLISMKLRLSTRCQLTQLSRTEQWKKVKELEFETRDQMDPKWVSHVEKLDAWVKSLNAVAISAMIQNFISKQFPRGSYFSITTMSPINNSRGSTLTNILKKFPIEAKNDAIKFRTITISPIKSHFLSPLHTQKIETTDQNNVFLIILCETNFSGIVCGVNSFKDDLKNSFLKLNK >CRE29268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig999:9581:9739:-1 gene:WBGene00073221 transcript:CRE29268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29268 MLFSVLYLLLAFSVTNGYLEDSWAMFSSERPAGPKCVDIPSNLTICNGIEVS >CRE14400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1062:3144:5799:1 gene:WBGene00073222 transcript:CRE14400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14400 MASVAAWLPFARAAAIGWVPISRQPMPQAPVAIQAKDLAVDHVSDEKLAINISGRRFETWKNTLEKFPETLLGSNEKEFFYDEDTGEYFFDRDPDIFRHILTFYRTGKLHYPRHECLVAYDEELSFFGIMPDLISDCCYEDYKDKKRENQERLMEERVETAEANTAKQSLQQKMWAAFENPHTSSIALVFYYVTGFFIAVSVLCNIVETIPCWYQDNMAVTCGEAYEEQFFVMDTACVIIFTIEYFLRLFAAPDRCKFMRSIMSVIDVIAIMPYYVSLGLTDNKDVSGLFVTLRVFRVFRIFKFSRHSQGLRILGYTLKSCASELGFLVFSLAMAIIIFATIMYYAEKKVESTRFTSIPSAFWYTIVTLTTLGYGDMVPSTIMGKIVGGICSLSGVLVIALPVPVIVSNFSRIYHQNQRADKRRAQKKARLARIRIVKNASGQALFNKKKAHEARMQAFEQGQLSFDALRDEDIFEIQHHHLLQCLEKATEREFVESEVLFEGGRNTPPPSETASLRGKTKRKRRL >CRE04415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig691:4934:8844:1 gene:WBGene00073228 transcript:CRE04415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04415 MDLRDDLSLVIGKNNTGKTSLLNVLSVYLSGSQSGFVFEDFSVATQRDLLTLLVDEELVVPAALPSIILTLTICYDEEDNLRSLSNLMVDLSPDARKVHLRFSSEITTDQLERLHADLHDNLSAIEKALDKSLDTEQKRDEVQRFLSKKLKHYLVRKVVSFDPDDASVDLDLTDQLSSVRRVLNMEYVSARRSVENRDSGKGAKASARALSQLSSDYFRDHTGGNEESAPFIQLSAQAAVTDREFTKAYKRVFEDVVAKVKRFGAVAGLGGDIHVMSTIQPSTLLNDSTSVKYGEPDTLLPEDHNGLGYLNLIAIIMEIEIRLLRIKSANNAAPSDINLLVIEEPEAHTHPQLQYIFIKKIKELLKEHRQDGGIQLQTIITTHSSHITAESDFADIKYFRRIGDRVEARNMTDLEQKYGKDKKQYQFLTQYLTLTRAELFFADKAILIEGDTERILMRAMMRKIDQANPEHESPLGSQNISVVEVGAHSQIFDHFIEFTGLKTLIITDIDSAKVITNKAGNKVLTACAVAQGTHTTNGALKHFLSLTQSGVDDAGDLSNLRKLTSAARQLKKQAGTWKADSTDPSLFVAYQVSENGYEARSYEDAFIHLNRDFITDNLETFRGLKNTELFSDSTGGAGSGKTYSMLSFLEELYASHPQTSVACVTFTNVAVNEIRSRFSTPVLSVSTIHEFLWALIGRFQKNVRESLAILVNEGVIKSALDLPISAGFWDESIAYKEWLSIEAGEISHDELLKVAKHLFSEHPTLTKILSDQYDVLLIDEYQDTPVDVLSILLETLPEPKDRSLRIGFFGDGEQAIHEGDKGQAIVKSAIASGRLQLITKDQNRRNPATVLSVINRLRADGLVQVQATDMNAPNFNKEGTARFVYTEQNELNTDELRKLEFCSDWGFSSEDTKLLYLGKSMIAREKQFPRLMAIYDKDRVVEYSKKLKEALVKRGISPNDDATFSEVIELHGSIAPPTKGQQEAFDNEPDLLVLASPFLFTDIATTSSNSDRLLGTKKVSELDDRDRGEKRDPLINHLIAIQELRALFRQGRFSAVIRAMDETITSIEGRERVATDLKTLDSMSDSPIGDVIAFAESSGLLKRKDAVLRFQGKHPYRYARVSEVPFQEIVNLYEYVEDHSPYSTQHGVKGSEWDNIFVSLDNGGWNNYNFERLLSDPQGNSSVHNRSRMMLYVTCSRAKENLIVYIHKPTEATLARAREWFGDTNVSLVG >CRE09852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig362:26398:28389:1 gene:WBGene00073231 transcript:CRE09852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09852 MTKKTLKAMTEGKIEVESIHILSDSEIALAWLKSLPTRQEVGTLISNRLKTIQEGVMEMSQKCPITFGYVDTKSNPADLGTRGIDSEAARSSIWWNGPSFLKEPIEQWPSSKGFFQLMTENIFMTVAKVTEIEKSPVFDLDRTNSLPKLKRIATYALKAIAAMSEGLKLGRRQLMNERIPELQFVKKGQLAGDEIKAGHRILIKDAQRMFTENELKKLSNLRPRKNEIGLLVCPGRLEKAELPEETREPILLSEKSRLSTLTVLEAHGKYHKTLDHTMAEVRKTTWIPKLRSLTKTILNKCVPCQRLTKQPFLYPKMGQLPKKRVTESKPFENTGLDYFGPIQYRKDDGTISNAHGAIFTCLTTRLVHVELVPDETTTQFVQAFRKFVAMRGVPKTVVSDNAPTFILGCELITGASREQDIDEEVREYLQLEEIGWKFITPYSPWKGGVYERMVKSVKHGFIKGIGRRILTFLEMATTFYEVTAAINSRPLTYTEDDINSTSIIRPEDFIYQRIQTTLPLSSLQDQTEEYRPSREAQGALTKNETIQTLQSSIEATESVWKIWREKYLAELREAHRLQIDKKRGHPAIPKKGQMVIICDPDHPRGYWRLGKIVEIIESGDGAIREVHLLTKPAKSKPHVIKRPPNLIVPLELDCVENSQEDEVP >CRE23192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig478:13118:16806:1 gene:WBGene00073232 transcript:CRE23192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23192 MCYKATDPTSDMTLGKITSVEKCKVKKQRPHDTRSRTKIEETQMEPTRNRLIPPVCNVKIGLLQKHGAGKQQNERIPKIEKKIGTTTRANQSANLRCHYRATTVANITCVLPPLSLPPSFYHCHHNLCHTSPSSLQDNTHRKDSRKRKLETGSERSKENPRGRTARDLIDNLKSGRFFFGKLPPKTKKTLPPPSSIITRSQARARNQEIEAEATPTSIREPRSSTPIPNHRSPKPQDVFPNLSEFTEQLSRKLEAEEEYQEHEEEEEEDTLIAPQFYTPDMSLENAGSAGPPTTEEVAIRNEPPAWKRKGELTKMLNPRIKKFGEGKSSDLFKWLKDFTKLIYRLGIPRETGTELLPFFLTGTALEKYNTLDERTVKDWERMTKQLMLAHDCPTDREISLQELTSAQQGKKKVTEFAAHIRELGEYVYQSLPERNRDLLLASHFLTGCNRKIKARLRQLQSTPKTLRAMTAEAEKIQRLLELEEAEEETDAVIAAVQQMNMGQQNWGNRNGGRWFQSGGEFQGNSPRGGYRGGFNQNEDQSRRSRPPRGGYRGRDRGSWNQRSFNERNGNQENWSNGNWDQENGNHENGQELSNQAPQNTQENRRIGWDTTTGRPFIINSISKACLGIMMCLFLIGNTEATKQICGFGEAGNIFIPPTAAPCNFDRSLPLQTYAVNVYRQRIKAIQMEAHKCFKHEVEGEVYSFLKIYKTTEAKIGKRVPISVEECRKTAITKKFNDMELKEIAPGIYRSEKISEAAENATRILGTTTFKTFEFTMEVGQVASLEGIHALSTLGSLEKCTFGSGSCQDDSSTIVWQPQETRRECQFELIQSSTAIISQQFIAIEEMAIFSKFDTDLRRLQEALEGCFIHQGYRTDDGYLIEFPEVQSKGWVPDMHIDAQTFGGYHNPWFRRTRETVTSLGPAGTEFRAYIGEPFITPLIRRLYGTANIEELTDLKSPITDPEILQEFGKYNVTNKLLADRARFYPKDRKHLNPMLIIALKAIRVAQYGARQKKAMEQLKRPLTRGEEQLKLEIERKVAVTFDKLLEKEFGRSDPD >CRE23194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig478:10159:11156:-1 gene:WBGene00073233 transcript:CRE23194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23194 MSSPFPLLRLPRVFLFDVFLSLSIGEKIKLSLCSKKISTQINNARLYSQKVIVDLGRLCQKIEVSSENSKDAFKIFIPFNTGKITDIDIQQCRIEGVTVPVTTKPAKIITFWKNHPKGLLSVIRHLLKMFQCKISADISIYNSDLYQSIASELFDLQPEFKTLTIEFVGLKQHNLLFNQISSNFGLVQDLRIFSDGNLDFRPVFASWPQNIDIMNSVWFTLEYFLGCTCTTITLFHSNLENKDLDEILKNWKAGRFPNLEYLLVDSQFITNNETTILGMSLLELHGKDIQTDDGSKKATIRSRGQWIVISATKIE >CRE13988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:170889:171401:-1 gene:WBGene00073234 transcript:CRE13988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13988 MKNLSQILKSFKSNNPAFYSFLFKTYVLPILEYASVIFCLAPSSSLSRLLESTLRTYSRKTLQRCNIAFSSYSHRLELLSIYSIRHRRLKAQLLHLYKFIAGASHFPNLNSFIRLSSSPRRPMTLIYLSPLSDNFFSFILPIWNAIVANVSSFLSPSQFEHLLDSAITRF >CRE29223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig319:50239:51869:1 gene:WBGene00073235 transcript:CRE29223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29223 MNMVRIRHIMEMRRACKAPPLLPPKLRKEGSESTDRRGPKDVIDLTFRVNTLAPERYPSSSFYLHLYESQDSSEGPWNLITETDRLGTNDTAVLEDFLAIEFSFERLQWFKMEISELSENGVKVFKASEIFSIAQICAGPIIFPMVDQSGYRVSEVEIWSQLRDRTQPIVMQLEAKNLSTKLVSSNSNVYIEVFRVDEDQKRMLYRSEVAKQTKLTWRSFTVQSDDLYGTDGME >CRE16901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:191869:194316:-1 gene:WBGene00073236 transcript:CRE16901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16901 MGNAKVEMAILQMNSLRILLGFCFLSVLASAALPQCPSGQTCPIGGLWSEWATTGKCTSECGSCEKLYYTRVCLTENAGCNCTGDSCRFLPCNTQTCIYPAQRSCCIPFVPMVINGTMQCGPIPKDKKSSSGNSQCCPPGGIWSEWTAFGRDSTKTFWVQTRECVSEAAGCPCEGDRTKPQTACPCSSFASVENVASYCGETIVKKREYNVTITDNTCSMTASLVASNDDKYANCKAVGNYDYLPRIVFATLELPCKEDRPFYCEERRKVQSNYLPISFTCDLKELKWRYDYTGEIVNGFIQTLACGTSGCPTGGIWSDWTVTGNTNCSTSCGSCSSLYYTRICISELAGCNCTGDTSRYIPCNTDVCAYPSQKSCCTPYVPMIIDGSYICGPIPVDKSSSTTSCCPTGGLLSDWSSYGRNDENTAWIRTRECLTTDVGCACTDNLIETSSACPCPTTLVDLTDTSCNTVNYAEAYYSNIVVNDTKCEATFAMQASNYTNSGNSEKYIYCGHDGNYTSSGTPIILFQISSNETKAKTCQFDRPFDCGAISTESTAHPTVPISFTCDLEALTWQYDYNGWHVTGYNQAMILYD >CRE23807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1117:6370:7564:1 gene:WBGene00073237 transcript:CRE23807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23807 MSAPLIDKYRKMATFDWRKLKAAVEGEEHVRLKAEVVAKMKSEPVFQRDYRVLSREEHREVVHQRWKKIVEWGLFKDPYSDLENFHALTETLEAYDQGTSARLFLHGNVFGAAVKSMGTERHKELVQQIENNEIVGAFCLTEIGHGSNTAEIQTTATFDNGQLVFNTPDVGAIKCWAGNVCKSFLRKFSYTFCLISAHSATHVVVYAQLHVGGKNEGFHGFVIQVRCPKTFQTLPGITIGDMGSKPGCWQGVENGWIKFKNHRAPLSALLNKGCDITPDGKYVTSFKSASEKQSVSLGTLSVGRLGIIAKGMMACTFASTIAIRYSVARRQFGPEKGGK >CRE23806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1117:4160:5574:1 gene:WBGene00073238 transcript:CRE23806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23806 MNFRNLILLFCVSILFVNSFPIGKDNESEETETEAESSLETTTEAENEGTDSQEVSCNLLKCHPSVRIFNFQGTLEDQLNEVEEDPSTRKKRGLYSSERTEEEIEISHGVHHREKRHAEHLPHQDHPTPVKRSSDHHRIKRSEGHPHVKRSSPHTPEGHVAVMAKDDHHGHEKRNLEDHHGHQKRSADNHHGHEKRSADDHHGHQKRSEHVEHHLEMHDHQKRNTPEGHGEHHLVKRSGSEGGHRHHRSTDQGHDEDEPEDEIQTDETEETTEESETRKRRNTDTPLPTFPSDHDASEHSNSVAIRVKRVSRAGSSHKVRTLNKNRGNSKAGETTQNDSLSPNSGGVFNS >CRE16844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:182757:184140:1 gene:WBGene00073240 transcript:CRE16844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srv-29 description:CRE-SRV-29 protein [Source:UniProtKB/TrEMBL;Acc:E3MSA4] MVFICLLRLRYCSKMYQTTFYTILLQHVSNNRKIEIKFQHFQCLADILTMIVYMTSWGLRTVPGFKDFYFEYQDYYIPAWTYNSVYYTVYIRCSGIVLLSVHRYLVISAPHSLATAIVQEAATWKIVMVYWTVPTLISIVILKDTDIHYDSLATMELVVPRQIIARNTMLSLFIVALTCFICVGSYFAMWAILRRQSAKFSKTLQRELYLAFQVLALLCAFFVMFLFFLVNNYFSQTQNAGPVYTMRALYPIANGILSYINPYCILCLNREFCRQFLRMMKCGGVRVSEAKLSIVSAAQPGTVHRHSRA >CRE16837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:166546:168108:1 gene:WBGene00073241 transcript:CRE16837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16837 MNSTFEPPTWGFKVYYGMSIVTIPLYSVILICLLRLRYVSKTYKTTFYSLLQQHCIADLASMIGYIALTPAREIPVIRQFYFENQEYYIAAATYNIIYVSLYIRCTGIVFLSLQRYLVITSPHSQITLKVQTASNWKIIVVYWITPILLSIVVLTDTSFYFNNVIEMTLIVDRAITQRNTLMALIVVSITCIVSSVAYGALFSFVRNNTVRLSKSLRRELHLAFQVLVLLLAFFTVLAFFASLNYFSQMQMTTQMYYLRGIYPMISGFLSYSNPYCILLLNRDLTGQVIKSVSCEGYKVSEAQVSGIRSNSTKQQNLISVQNGATAGTESTRRVAFA >CRE25924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:24439:27213:1 gene:WBGene00073245 transcript:CRE25924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25924 MPRSNYKLFQSSEMEEDLLNVENYDHMEEESQSSENSSDISEVQSVEIDRDINMDYGEEISDNNGYEVLEGTETVSGTTKKLWPVSLILVDLPTGLMQKSTSVVLEGLVECSETPSTAVWNSIIPFIVSDIERNIGKIGGFTIKCYIATVTADQPVWFLFCKRILCFFTFQAKRAFFGMKSHQSSGSCFYCLSENTFYKSRGDSRRETRFGELTSFDSRHGQNGFGPIPSKIIDIIHPYDTPIDLLHALGEGIFERIRKELMPKDIKLERKSEIFVIDEKLFNSYMKKVNIHSYYKNLEACRNGTDKTNFFRLVICLIALECPHMTPEARTVLVALSMLANKMYSDSSVSDLFDLQLCAAAAWFLIEANEKYITIKAHEILFHLPEVNQIFRNTGPLSTNSFESYYQFALSGYSTSTTRYFVQNACTKVLLHSSIRREIAHRSTGHKSSKIQKFLSLTSDIIPTKIHWMSPIIETTAEDLASVSDREATLFGRLSLGIGTLVSNYSDRKTKDDMFFAETIEGKKCFRFVAAIVKNFVTGVLAEPINSVTESERFARFGQVYDNMDSNNLYYASEVHRIIKEYDGMQCGRLSGRRTVISVESITGISCYIESDTYTIFIQANGALLHN >CRE25923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:14713:23799:1 gene:WBGene00073246 transcript:CRE25923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25923 MSSERTWHYFPPQTPPLIEAVDGFEENLLEFFAGRTLISQRAPDDEQRVTHDEKYAKEVEYDAKDTAKGKPVYKKYRQDRINCRTQCDAQYINNLHTYYGNGSKLKPTPKHYAVDLVCGNSVDTDATAKLKNLEVLRNSKSVSDWVKDHRKTGDMYTMRRRCFSCPDLEFYIDPPTNITNPSNRWHKDPFAGLYNLDTDYKLPLTDSHYLRKDVSNTANYLISRVNAFNHNAGFLEIQRVLFSTEKMCAYVIPARFDLQLYLREFSEYFMKWFNRLSPAMQNTFGTDLEEKKKFPNSRQPTKSYWWKVNVILCLDEFQHEGTQFRRARHVCDVRWSVPPKDVFMEIDTGELRIVETSPLTVRRMPHEFAHFFTPILECRFEGAKTQDEVTARIEEIRRTGRAYVGRFDRGDRVERQNAHYEGMNRRAWCDIETAVVRK >CRE25930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:6373:14218:-1 gene:WBGene00073248 transcript:CRE25930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25930 MIMLCLKRIIKSKKSREKMKTTIALVVLCLIQGSLANDRLGLNDQKYYKDSISPGIDPSSYTSSQVDYSDLPPSLDPTSNSHSLPYPKASNNFTSPLAPAQHDYSSDSIESSAFSHANRHSPHTRFGRNANDKLTDYLKHTTIVAHLVNGIAIQNGLMNGKMTPNDVAAELLNFGSISISTIANFKKDSIVAVTGQLKKPQPTIDSSVTDLEDLALKWDYIISKSGGLENAETLPEIDKYFAEVEKFKNDFDSGTLAAPTLLIPEAETKLNAIVVSKLKSWIESGLYVQTYNAFATFASNFNDLLLAIEKFKKDIEDFTKYPLLQKGPTISEPLERLIGLTDLRLTFSLIMTDESKQTISKSVEDVLSLATKSRAVDKEFSNIHKLAESRSSPGLTNRKNTIGLPGGVNEVNQLAKDVADPWIAKMVNVQGSNQNDLAAGLEPLFNFQKTLSIVDEKLKPISTDDVRDALSNFAQIQKELSSLTPESAKSVSTLFDNLKGCTVLPIGTNFYQNSKTLTEKIKFLGSVYDSAKLTLADFDSATVRTEMDQLIASLGFKDLGKNTSPEAEVKEAVKRLEKHNTLVDMKGVMNRVRQTFSGIPVTGLKDIAEYIFNNKDNIKFKQIDSEVAFYGCLSKLAVDSTKASQGIKALRSLRTVNLQKVAKVESAVTSVAEAASGLSGVASIQEKMKKDAKEAAMELNKLPDASNKAVVIGRSVLSLQSAAKLSDLESQLSQLKSIDALVQVEIQKVSIPADRSVIMKQWGNHKKDMDQLEKALAGIKAFDSKLNVSNATTLGDYGKPLGNLALLTTVSMNAKEKLKALETLTLNADSKDKPAMENCQKTLQQLADLDLGFASHTAQYQSAPPAFQALHDFLSKFLAVPHNTNQQQQQQSSNKEDSGSTPIWYFIGGGAGVVTLIAIVAASVYFFLKHKREKEQATDWKKTVMNWVDEHKLRGATHAFQMHNTVISAVNIGVIPTYEGYEANQLLPNNKHRNPDILCNPKTMHVLDKGRYIHASQFGSDDQEVVQFVGTQAPMISRTYLREIYDKALHEVAKLETRVETDTREDFWLMVMTKGTEYAVSLLTDKEMKALACHYYPDTTKTPLACGRFTVKMESESLILNKTVKQRNLAIEDTQNKLEARKLEHLQFLNWDAEQIPESHETALAVMARVKGSKKPVVVHCSDGTERTLSFIGLQHIYEAVKKHPHRKFQDIVVEMCKRRWHGMQRNVLSAWLVSGVRKQLIMENKMNYDDYIEDLPVLKAMRTKVREDATLLHKALEANIDAWINKNYSVNGKDQYNLHAKIMDLMRAVAKPDVVDALKHLPPSKHRYSGIYCNPKTAVKLECEGIIIPIHANTVKSRAKYATEFIATQGPTEKSAKIDYTCEDFWMMVLLKKAEYLVNLVNMGEMGHKCAWYFLLEKDESISCGRFMIKTISSKPFEGKSEEVIERVLEVTDEYNKFDSKTVTQYHFHSWKDQDIPDGGHEAPIKVMEVVNKSEHPVIVHCSAGVGRTVAFIGLQYVYEEILMHPDTSIIDVMSSMRNQRWHGIQKVPQSYWLYLGVVLRFIKKFDLKMDRYTDQFVNVLPIVEELAGKKKKIKKDEKVKKEKKKAKKAAAKEKEGERKSAAGGMSEFESEEEVDTDVEVLEESAPEARGEQRQPADGESSTESSESRCMVIPNSEVEEEVETAREAPREPRHGQVAIDVENDGVAPGGPRHRQVAIDIPEVAED >CRE12445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1896:1103:2924:1 gene:WBGene00073249 transcript:CRE12445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12445 MKEEYSSSSLEDSEDEYNPYKKSRLDSSGSESSDDGEMKEKSSELQKMEFSEVLEIDGIWRSGPHFGNFRKKEMETVVRLGARTITDASKFVLPKFEKPGSKFASFDVSDGIFNDCLKWSRKEKGKPVVKPRKRTVSLAGEKFEVTSFCSPLCSSFKKFTLQNPNDKTLHVVYVSTSARHFYDDLMNMKNNRISDCLSKKVREALAGQTIKSVFENLKKVHPELSEKQVRNLARTVPDCVKKNRGLVPVTVPEVLAQLQTTTRDSYFNSKGKDFEFVYVDKDMMSIYVKSLPTRINIQDYKSTLDQSEKWSVDDINSFIDYHSKNSNSGTINKMSGQLQIDCTFDLSDCVVTIISCDLTGFLTRSSTKTRHCCLAFMISSSKKRECHKFFADCLHQEFLNVDPRLQKGVPVVVTDSESALSEYTNIRASRCAVHRRDNLTREMLVSDSEVR >CRE10824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:129611:131476:1 gene:WBGene00073250 transcript:CRE10824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ntp-1 description:CRE-NTP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M523] MRTLNVYGILLTVTCILLILTILPSSIGSSQENHQRPTRSIVETKNDVKYGVICDAGSSGTRLFVYTLKPMNGGLTDIDTLIHENEPVVKKVTPGLSTFGDKPEQVIGNKSGQKRKHLFFPEYLTPLLRFAEEHIPAHQLAETDLMIFATAGMRLIPEEQKIAIIENLQTGLKRVTNLKVTDSNIRVIDGAWEGIYSWIAVNYILGRFDKENEPKVGMIDMGGASVQIAFEIPKEEVYTGGNVYEINLGRSDQVTDYKYSIYSTTFLGYGANEGLKKYETSLVQSNHFEDSCSPKGLNKLIGEISVNGTGEWDTCLAQVSTLIGDKTLPSCTKPACFLHNVIAPAVNLSNVDLYGFSEYFYTTSNFGAQGDYHYEKFTDEVRKYCKKDWNDIQEGFKKHEFPNADFERLATNCFKAAWVTTVLHEGFNVDKTNHLFKVPSFFQHQTFYSLNFQSVLKIAGEEMQWALGAMLYHTRDLDFGIGLEQELEIIQSTQQISNFFSLLVILVFVLAAVLYRQLQADSMYKKYHYLRTDSKPDFLNV >CRE10825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:131984:132359:1 gene:WBGene00073251 transcript:CRE10825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10825 MAAPPPPYNQAYNPYPPPPPGSVYTVQPGVPIGQGVQPAYAVYYQQPQTVIVDDCHHHHHHRNEGHGGGGDMCCLAGLMACLCFLCLSK >CRE10826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:132847:134068:1 gene:WBGene00073252 transcript:CRE10826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10826 MDAKVYVGGLPSDATSQELEEIFDRFGRIRKVWVARRPPGFAFVEYDDVRDAEDAVRALDGSRICGVRARVELSTGQRRGGGGRGGGYGGGYGGGRGGGRDSGLRSSASPPAIPNFTLFHSSPSSVFTTSSSLPHHSAPFSSPKIFASELYQPRFINRHIVETVDAPDRVLVIVDVIVVVIAAGIVAVTEAAIAVVRENDLVQGNDCHSQYLITFLLSDLALHKNVIVRDRRAALAHEAVLAVVLLLHATRFSNLRNSFRNVICNSNSLEESRINDK >CRE10827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:134633:136938:1 gene:WBGene00073253 transcript:CRE10827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-imp-3 description:CRE-IMP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M526] MRDDVAKIAASTSILILSWIVLYHSCRRASTVYFFELDSTTVIFMDKVPAMILPFVCSIVLYFVYLGTKRSSDIERDREIAREAEKELKASSSFNSRKVSREISRRASVSLGDEQAEQQQRIRRLLSDIEEADIENTVRSHRKRMEEEEDIAPKHVEDDEINTDTPPPRKLTSRFIVESAEDGNKIIIPPESMSVTPEVRSRTNSKSTHDMLATHRGSTSNWVSASQRSSRKSSFSGSPDKNQMVWSCGDEGSAAEKCDIYKKLGDVDLFSYSLSALSLLPRSDDGPRVYIQLDLLDIIAELFAVHVPIWAAVACIHIILLESFSQHMSFYFYYHEESMMYASLLVSLSFGLYHECCGNWISNDILAFASIYVVCSRIQAVSYETAVIFVIGMSLFDLFFFYVIDLLSTVTKENRAPLMILVPRDTKGNKQSLAALDIMVPGIFLNVVLKYSSMYDSNLFAITFAAVFASLVISVFFSIWRSKTTPAMVLPAISAIIFSIGFANHVEDLWKFMIKH >CRE11003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1311995:1313635:1 gene:WBGene00073254 transcript:CRE11003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11003 MWKVLNLMSNDLDSSLNYYIRNGFEPHAYYYNCSGIESIGEERPVLGIYFMTVGVLILSIYIPCLFVISHSDLIKSSCYKIMLYLGLMDVCCLTVNSLVTGYLGFIGATFCSFPRLIFLSGSIGCGCWMGSCATCILLAINRCSDINHNLPFRKIFVGKNIYFTLMIPMSYTFYAVFFTKPILFNSVYMSWFFNPMLGLKYRWLSTLAAFSGKLLSLTVYFGSDLYVSVPHTINNCCVSLCTASSYGYLSLLIHWKNRHAQSEALSKTQKQIFIQSVLICTCNATAAFIYVYMQFFHSPPPVILLGQVAWQCAHASVCIVYITWNRTIRRKVVNLLLPKRFRNRVGVTSTFISTGPIINMIPTEIIKSTATKTNSNTTIF >CRE18302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig710:2758:3988:-1 gene:WBGene00073255 transcript:CRE18302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18302 MWSFACLFLYTNWGHNALSFLQWGARKVIGFDYSQEMIENCKTLHKESENLEFHHKSVTDFKFNEKFHVATAVFVLQYVHDKQELQKAIRLIWEHLEDDGLFVGLIPNGVEGVVAPKNAGKVLGAEIEKRQVPFVDGGLVTANFYEGDKVKCTSTMALHSNEFYEKCFKAAGFSKFEWLAPRISEKGNKVLGEEFLHQFMNPPCDIVFRVWK >CRE18301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig710:6178:13361:1 gene:WBGene00073256 transcript:CRE18301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18301 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3NMW6] MVGGFRIGMHFLASALRFLEPRVDDDFVDRLHYLYTSTMVLMFAVLVSAKQYVGHPIECFVPAQFTRAMEQYTENYCWVQNTYWVPFQDLIPHRLDDRERRQIGYYQWVPFVLAVAALTFHIPSSVWRMLAGQSGLNAGLVLQLVCGEQNVDPVVRDKTVDIVARHIDDALMYQREHGARRNSVYIFAVVKLGKFYGAYVSTVYIFIKLLHLANVILQFMLLNSFLQTSDYPMFGAHVLYDLFMGREWRDSGKFPRVTLCDFEIRVLGNVHRHTVQCVLVINMLTEKIFIFLWLWLTVLAIVTALNLIFWFIALASNTCKENFVAKHLDIQSDQISRFVHRFLRADGVFLLQMIASHSGNLMAAKVTEQLWMIFVRRSGKPVCDDKIEDRGSVRSTNGNDWESQHDDGKASLKRGDSWHETSLPPPMPSLPIRTRTDYV >CRE12189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:99847:100933:-1 gene:WBGene00073257 transcript:CRE12189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12189 MDKTKKQSAVGLQETQSLASALPEQRPKYVQILQQEKNGSTPQSPVSVGAGTSNTNTAAPSSQPTESAKTANKKPNGNKNNKGKNAVLPNGKRPYKVYLKKQEVVALPADIDTREMAISPENVIYFSGPNHFLSALYAAPVVVDGNEYNSVEHYYQACKLYVLAGQETAAKLKASETPLEVKKSTKVILKEAKIPAKLVRCIIDNQHLLLFFQISEWKDKESIGVLKHVILHKFNQNEELKAKLLETGDKILIQTYLGDSYFAAGANSKYVSTWVSRHVNQSLKYPKEVTADNVKYLPLVANGKNALGWILMQVRDEIRESSA >CRE13787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig421:2028:4526:-1 gene:WBGene00073259 transcript:CRE13787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-34 description:CRE-COL-34 protein [Source:UniProtKB/TrEMBL;Acc:E3NKT4] MDLETRIKAYRFVAYSAVAFSVVAVISVCVTLPMVYNYVHHVKRTMHNEITFCKGSAKDIWNEVHALKSLPNSNRTARQAYNDAAVTGGSAQSGSCESCCLPGPPGPAGTPGKPGRPGKPGAPGLPGNPGRPPQQPCEPITPPPCKPCPQGPPGPPGPPGPPGDSGEPGSPGLPGQDAAPGEPGPKGPPGPPGAPGAPGTPGEPGVPAQSEPLIPGEPGPPGESGPQGPPGPPGQPGADGSPGQPGPKGPNGPDGQPGADGNPGAPGPAGPPGSPGERGICPKYCAIDGGVFFEDGTRR >CRE10712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig619:7504:9413:1 gene:WBGene00073260 transcript:CRE10712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-13 description:CRE-DPY-13 protein [Source:UniProtKB/TrEMBL;Acc:E3NME1] MDIDTKIKAYRFVAYSAVVFSVIAVLSVCITLPIVYNYVHTVRRQLHNEALTCKGSMKDVWADVHHLRADAASTSNRTARAVRYGRDDAAAGNGPNFDSGCEGCCQPGPQGAPGAPGKPGRPGKPGAPGFPGNPGKAPQKPCEEVTPPPCKPCPQGPPGAPGLPGDQGDKGEAGAPGQPGSDAAPGEQGPKGPNGAPGKPGAPGAPGDEGLPAVCEPVQKGEPGQTGEPGPVGPPGPSGQPGNDGTPGQPGPKGPPGPDGKPGADGNPGQPGPVGPPGTPGERGICPKYCAIDGGIFFEDGTRR >CRE26000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1326:7314:7664:1 gene:WBGene00073261 transcript:CRE26000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26000 MHFFPFFLILIISYVSAIPGSVNYVAPSNSTRVLRQSENGDNAKNLEDVLGRRQEDSEDVGPLGEVQLDVEEEDIGESSQPKLRVRRGYSQPKPKGYSQPKKPPTKYSPPSPPKYY >CRE25999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1326:6662:6958:1 gene:WBGene00073262 transcript:CRE25999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25999 MNLWHLLLLVILFIGTAFTAHLEGSGSGDNVVEEGVLKAQNLLNAIQSDGSGAEVEASGEDVQTFFF >CRE25998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1326:578:4147:1 gene:WBGene00073263 transcript:CRE25998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25998 MLIFQLEKKRNFTISDVFPPPPDGGFGIAEQLHAVRSNKMSTDALLVPLPLYILPYHYDLQLDFSQFDTSLFIRANISIHLESYGNSTEDEIQFHLGPNIKIERMRLRKDGKKFYAKTFKREESKKLGRISLRDPLQKGKYILEMSYNMTICDEDIDGVRCSLDNQNNSSLKSTSFTTKFEPTLARAFFPCWDEPGVKATFNISVRHNKKYTVLSNMPPIEQLHLMNWNDQVKTTVFQSTPPMSTYLLAFAIGEFVKLETRTERGIPVTVWTYPEDVMSMKFTLEYAPVIFDRLEDALEIPYPLPKVDLIAARNFHVGGMENWGLIVFEFASIAYTPPITDHVNETVDRMYNEFRIGKLIAHEAAHQWFGNLVTMRDWSELFLNEGFATFYVYEMMSSERPVTAQFEYYDSLAALVSAQSEEDHRLSLVRELATESQVELSFHPTNLYTKGCVLIRMLRDLVSDFDFKAAVRRYLRKNAYRSVSRDDLFASLPAYADHGAEQEKLNFVLEGWFVNEGLPEVTLIRNYDNEMMTISQRKTVHHEYRSFLKDRKSTATVRYRASRSAEDGSTLFDDTLFEGYIERKKDKRRKHRPARRKVANPPDISPISRRDELRKPRRVGSSQDLWTIPITYMFGSLKTSEGQVIREFWLKNRTVSFGDAEISPNQAVLVNPEWKYPYRVNYDLLNWKLLARTLHQNHLEINDKSRMQLILDAEYFLSNSVNPHLYLYLLGYLAHESKMEVMLFGIDAVYRFIDVFKATQLNKAILIYFEPVISQMDHLLNESQVDAETASLWLVRPERLAKLYQMRCAAGLPSCKNDYYTQKWSRAPDEWTEDVHKQVTAVCHQLFTNTPDEVKTIHELLDSRLQSSGAKWALTVQLAACSHDQKLLRKTARAIVSTKNAAVYASALQVSHIEESQSRSIFLQSDFSLHYNPTFRKYLWSEISKMSTFEKTALFSTNSTNILPASRILLHSVKTIDELQQIRGLLTNWGPLLTLHFEYLERYLLWVSSVSQGVLHQFFAADLSNF >CRE29929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:502437:504235:1 gene:WBGene00073264 transcript:CRE29929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29929 MVIGGERSDHLIPVPLSSAPTDQAIRVVKAFQAGLDRREPSLTGQSAARLREISRQLRLQVDSENRARSTSRGNIKETNNL >CRE16510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig625:39494:40690:-1 gene:WBGene00073265 transcript:CRE16510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16510 MGNRSSSHYPPPPHWMHQRTSYSTTTSPYPESKQRPIAPGAFAEPYMLHNPHHPQSKAQMRRSMISLNADSGYMGGPDSERLRQMRGSRMSLNQVDFEPAMMPPPRILIPHDAKTLKKLEKMEKKHQKLMKKLGARGIPIQMPVPPPPPMHPMYSRAMSFDDLHSVSVYGKGEIR >CRE16508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig625:18878:19486:1 gene:WBGene00073266 transcript:CRE16508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16508 MWRLKAFLFLFLLKFGDANGNCDARNYRSVENTPEDALIYGITQDCQMFFIRPSQLHLLSTIRVDKRHSFCYANLVQLHVKSHDTLLLTFKQATNRICTLEVHIPALNMLFGDHLFRYKNF >CRE28759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:47367:48428:-1 gene:WBGene00073267 transcript:CRE28759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28759 MKTLKMVDITLIFLILNSTITITGCLINILLLHIVLFHSPKVIKTFSVGVINLAITNIGSCFSGFLIGERIIPSGKQLFYISYGLCSKIGPQFCFDVFGCLLHFHTHALWLVFLSFAYRYYVMIRKEPSRLALQLSILIIYIPSLIQLLAMLSQEMDIEEIRNLLHEAYPEYNLTGLTVTGAVDSFQFAPLYTLIHMTVISTPISIGIHILKNKIVGLLKSRGVDLSPKTRNLHAQLLRVRSYNFRHCEIYFQTLRFQATVPLIYIFGVFCFFSSHFWSHPIIEFFTVIPPLLVPILTPFSCILYVNPYRHYVFRFISQKVHPKNSVQTTMSMVCVD >CRE28760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:48658:50136:-1 gene:WBGene00073268 transcript:CRE28760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-8 description:CRE-SRD-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MJV6] MSEVTVSFIVINTIGTGVGTFVNLFLCYVAIFYSPPIIKTYSIILINITLANVGACVTGFLLQERIIQDKRSLFYVSYGPCSLLGERFCFNVFGAYLHFHTHALWLVFLSFAYRYYVMIQKEPSRRALQFSVFLFYLPSMTQFLAMIFQEMDLNEVRVVLHSKFPQYNLTGLTITGAVDIITFAPLYTLIHMTIISIPIAIGIQVLRRKIISVLISKGVDLTTRSRNLHAQLLRTLTFQATVPLIYFLDVFFFFLTRFWSHPILEFSIIIPSLIVPILTPSSSLFYVTPYRNFVLRLFSAKSKFQTVGSQSIIQVISLD >CRE28761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:51003:53371:-1 gene:WBGene00073269 transcript:CRE28761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28761 MEEAKNMALLFFMDHLMQKNGRRTIHDLSCQFGARGFSEEMRNAVGTTQEGLTEFLQGHPSLFTVEGDQVILNGHNDLNAKNNPLLQSGVRSRNYEKEAVDFFVTKLTKFGPELQIKSLLGHRSQAAPEVRLVSGRHLKEFCEFLQSQVDYFVVEGDRVRLKNMPEPDENAIEMDDEGRPLAGVKAKQAAVEYLKSVLEQNEDQPIPLDLFYQNFCQRFSHTIRQDVATNPKELLQFLKLNRGLFFIRSNKVSLVKNRPNEEGSENGSDEGDETNNNGMFPLDQSSLTRIHFVKALKPAQDLIARLWQDINNMEKKVVGLDLKTVTVGVDGEIFLSLGELIDFRKFPKISHLFSGVIATTSQIGIFDLASSDVIILESGFKGILESEKVVKVIHDARRVASLLAHKYAVHMRNVFDTQVAHSLLQHDKFGKSLHEMRPISFINLQRVYYPQSIMLSDVTPRKMSQSPNWGVRPITEEFQLTIVEEAHCLLSALYQALSNLIPVHLRGLFEDKCIEVNHPEVLLASPNRPPPQPFISSPYRASTRRDARNGGSIMQSFSPAPYAAAPRPQMSDACTQTFSTGDIEVLNVFYE >CRE03486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig290:28221:31892:1 gene:WBGene00073271 transcript:CRE03486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03486 MGHPEKTVHDIRKKLVVVGDGACGKTSLLIVFSKNQFPEAYVPTVFDTDSIDIEVDGKYVQLDLWDTAGQEDYERLRPLSYPETHIILICFSIDFPDSLENVVEKWTPEIKHFCPKVPFLLVGNKKDLRDDEETVRELEKKRQVLVKYEQGVEVAQRIGAVGYFECSAKSKEGVKEVRVFWGEIFDVAVRETLRKQKKPKPQFCRIL >CRE02710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig721:5260:7584:-1 gene:WBGene00073272 transcript:CRE02710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02710 MSFHSIISQFQIPNSNEKSDLYAVLKNAVNVYTPIVKGLLQPQLVAERPAGDLERILAFRKEQKTRFNDESDKARGVMVKENIGRGEWILEMTGEIYLESQVKDRSIMEGENSHYLYKDIRLGAGNEPICMAVWKQETVGKYIRRSCQPTCRLVHLYGTELHLMVEAQRPMKSGEEVTLPLEADCQGFKDQLKCLHHQANPEDCPLEKERLLRKASNENPTAYSTVVTLTDSDEEIEIIVPRQPESMESPGSSSSDASARPSPEAPKAPPVLLDSEIQGSLNRENGNRRENEENQKNLNKIFQNLPVTPQVPTTTPNPVAQNVIFIEDPLRRDTSTSGDAPAVNDPREPRSLRAVSPPNDAASSSSTSLQVSFFFHNFDFKVPEISTSQLTHVNFQNAEPETAPETMDAPEPMDTPEAAAYEPEEALAPVDVATPAPPTRRRLRSAGNGRSGALDLTEPEQAAVPVIVAGPSTRTHHRESKKSAGAPSRRARVQVNMYAVRSPADTSPITDRHTRHTRPTARSAPVPPTIHNAPVVAPPAGRKNRRAQLKALAANARRCHREQNEALAATNVDSAPAPPGAPTAPVVVDHPTTRRRRASQACSPVATVSTRRRAASRSESRPAPSSFPAPPPAPPAVSAPPRVRQISMGDQDAIALIGQSMHPTRAAVKQLRGKNPNEGQAGQGWTSGAPAVKKPRKTARLQQVKRGGDGKK >CRE07072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig334:10323:12197:-1 gene:WBGene00073274 transcript:CRE07072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07072 MTGDTLFIEQYRGRATKLIKETSECCVDNEVFMANLTKDRKQHSLFERKQLLVDKKKALFILQGETSIQEESTRLEMVAHLKSQGFQETTERVQRIIEKINEKLTQEKAQQDQLQKERSLSDIPADAAIGKSGIVSNPAPQSHAPAGGVNSVAQINAIEHGNKEMRQHHANYSTNVPIARTVRKECWNPSYSDESRGRRDPSFQNQGCPETTTTRGEGDSLQVANQNFRDKQQRRRGSDDCFNQGGKHSKEQFYPRIETSVQRYYMPQQERQVSMEELKCRIAKAQMNATIIQSLMATLKPFDGQPHEFQAFMAQFDSMVHENKYIDAKMKQTILFKLLTEEVARLHNPTEYSPKGYWILREGLIKQFGNPDRQMFELLKQIHFTPFPSNDLAQLINHLHMTRVYARKLMMFGVNPSDPSFQFIFAYKLPQPFKEQAFELMARRIYTFDELVQRTLETVEFKHRMEENQMEKSHTDTILYRKSNNIQENQVSGRRSPSCLSQAQRLQQPEAHRTSTFTPPSRTTPCRYCDDKGHAAVDCSSSLKKKLEAVTRKALCFNCLSKSHGVFTCQSRFNCSSCDKRHFTGHCPDISKDGRIVATDSDASDDKGNRDQLSRKEKDENPKL >CRE07070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig334:1146:3951:-1 gene:WBGene00073275 transcript:CRE07070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07070 MTQAFITQFRTRATKTVNKTKAICEETEKILAMDPRERSLGDLNKKRQALIERIDAINSFQQDIKDQAEEAVRKEMVTHLESVNTKDVLEKAVLTVEKISDKLAQEEDQAVQQISQILPPAGNDDEEEEIEEDNEEGDVHPQIVRFDPTARVNAQRLFEEEAPAAPRGPSKARNLAAQIQPPAPQNNARDSVEEEEFINNWNATKKARQELVDAIPNRTDSSLHINSIYREAYNPDESGESIQFEKALLTGDFNFPEISWGLNRPSLHKFQSFLNSRGLTQHITFPTRLGTTSSNILDLIISSDDVPIYKLERIPPLLNSDHLSIHCVFSPPYVNTISTLQAHKPSNRLNYRKCNFSALNAALASTDWDIVFSTLPSPSDKYAKFISILSSLILSHTPTIPPTKHRGPSNLARQLKRVRFSYSKALKSHYLLKKLQIWSVKPSHLLVGAFLKERTFSVMVNGSLDNKISPIPSGVPQGTVSGPLLFLIYINDLLLKLPPNIPVQEAKYAYMFRSIRKCLSDIF >CRE29090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:293336:296483:-1 gene:WBGene00073276 transcript:CRE29090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29090 MTALSKPPTILSMPEEVFDLIFKFLNPIEIFQFSRVSLKTKEISMKSAAKKGRNLMNITVMDHYKLELKFLEESWHFLVFPNSLDYEEGANSLCVNSENPGREFSRLVDQIREVFKAEIGSMYINIGSEMTTDDLNGFMKCLDTVIKIPEMTVNSSQYDNDHMNWFMGNLKKDIGKLYINERYQSTHHANFKFQGKIDNLSAGTVSEWLDFDQLKSMSCRNIYGGLTTLSNQDLNLFLKNWSLENHGNERIGFYMFQAKEKLEWSIILEGLEGEVRDVKTVKRDYKSPWHPTQMFHINGGVDIKRADGKTATVGMRFHVGFDEEPLTQKAIDQYEKIIKNRDSEGEEGEEEDVVTEDEDEDSGGEGSREFKLTTNARKYFFMVVW >CRE20843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:307620:309716:1 gene:WBGene00073277 transcript:CRE20843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20843 MADIEEEEANRVAEEGRIRQEEANRLAEEERIRRENELLAEEPMDEGDEDKRVQEVRLAEIEKVINETCIDIKNQTKFSTKQCRVLLSPLIGKILEVEEEFRGKKKESEFWENTNVKLNKTVLSLQDELERKSPPQPPTPLEEPPTTSVLSGQSIQGNEERWKLVSLLEVNEIHNEEALNELFGKIEQLGNELSTHKELLQKAREQADRLREECFEAKQQIVLLQLKLKAEEEKSEKLKKENDTVAANNQSNNLTRYGEQRQSITEKNNNIVQTTGRHSMFATSTPQHGMHRQGEANGKVGESRENARFYNADTSEIIDTITRQESRDSGGNWNQRIVEQDAQRSMIVHDGHEMPNMNMQWRMTQALPDPPVFSAGKNSVNAETFERAFYMKYRFFDIEAQKSFLETRFPAGNALTVYKGLPESDKYSVSRILDAIKRRLSQSEPEESRRAKSKFQGLKLQKEQSIQSFCLQMDEIVRVGYKGVPEHQISSMKTTKLLDEMKEHSIFDVSLQILGSQLRKCPEMEQYELCREEATRFDEEWRSGKAKLNEKKVNRQQSNQNFSNQQSNYSNQNTSYSRNKQTVGNDETSQNWRERSQGKFVPTNNAGGNNVVNKSVGFSECSECRLTGCHDPKCSRAPGSSTPRKSNPVVCFRCNEQGHIAPNCPQKSAQQTKQPRGYGKKCKTLDKKGVSWKCQKPE >CRE01489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1480:872:5950:-1 gene:WBGene00073278 transcript:CRE01489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01489 MQTQSPFGPLLGLPPSMLPSPVTVPATAGANGHAPVSLATFPSAFAAFASQIRSTQLQSLLQSQLQALNRNMGSPGNGPGTPLSRNNYGHHLQNQQHPQHGGKIRGTTEYPLRKRVGGSTVKTAKVWRYFDELPTIEQAAECRICRKKIKATNSSTTGMIRHLRSCHVQEYQLVQEARQNSMIVKMEEKARAKLLREMNEKVINNGIENHPMVVVKKETQTSESQKSPSASSSASDTASSASSSHFSTNALIGIAAPVAIKPVPPSTPSSILNLSQSQNQCQNQNPLFKLQNIKNEGTEVEEEEVDQKCSSETLHRPTDLSGKMMLMSPKSMNLSSAFSTIPSFEEKKYEKKVENDHKIHMQIALMLLLDQQPSHVIDRPGFRSLFKFLLPDYHLPSGEIFQATIFPQLLDHMKLQIGAIFNNVNSSGSIPDQVMTSSSATSSYEDNSVNESQIAGPNIADEEEELMQEEIEEEEEENVEIEDDTSSASSSVDNDTCDAMASFIHYIGNDAFPHDELISLLSVVTNLFAYFSTRQHIQTHLQMTSSQPTTQPLVQQVRFVASNLSIISDYIRHTPDMQLLPLAVNQETMLEKLVDHIDQL >CRE29968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:166923:172651:-1 gene:WBGene00073279 transcript:CRE29968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29968 MRTLFILVSLCAALCFSAPVSEQASGLRAKRNLEEAASTGAEAVAEKHTLRVAARLETQTDRLKRQQSAEERARAEADARRRERCRNRRPPQPTPGPPPPRNNCLPPPGPPPPCQQQYQQPPPCQQRPQPPQPQQPYPQRTGGCLPSPRGYENQPQPQQQPQQQQQYPQPQPQRGGCTYSQPQPQPQQQPQPPCYQPQPEPPRQTGGCLPGPYYPPNTMPPPQPQQPYPQQRTGGCRQRAPQPQPQQPQYPRTNEFRAPTVECHGNGPDPCHSQPQQEDPCVGKVNIVSVDAAGKVDHYLYETKKQAGDVIGERYLCHVAVHLKMLNKECTGLEIELEKLDQLLMRLHMMDMSMDVMERPLHEKLIKQLMLPLREPVKNAYEKTKEGAENVAEKAKEGAAEGYEKTKEGAEAAYEKTKEGAETAVEKTKHGAGVVYDAAAEGASNMAQSMHDAGKSAGDAFVGGAEAAGEAVRDGAGWVGDKVSHGAAVVVDGAKSAGNAVADGAHAAGGAVVDGAKAVGQGAADGATAVGENVAYGAGAVYGGAKFVGGKAINGTKDGMRFVGENVAHGAGVVVGGAKAAGEAVADGAVAAGGAVVDGAYVAGNKTKEGMRFVGENVAHGAGVVADGAKSAGETVVEGGKAAVEETKDGARYVGNKTLEGAEAVADGAKSVGSTVVDGVAAAGGAVVGGAKAVGSGIADGAKFVGENVAHGAGVVAGGAVAAGEAVVDGAKAVGGAVVDGAAAAGGAVVGGAKAVGGFVADTFNSGRDATGEALKSAGSAVKASE >CRE27942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig884:8064:9163:-1 gene:WBGene00073280 transcript:CRE27942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27942 MSSEIEQAEVEELSEKVLENVEEPEQPQDEEDPVPDGMSKKQLRRQKYKQKWEEKKLIKRAAERIRKKEKRAALKESGDLSKLRKRKDFRTMAQSNSKQRIALDMSFDDLMIEKDQKRTVQQIGWCYTSNRHSPNPFQFHVVGFDGPSRKIYDGNEHNLNQDIFLHHEKLEIVFKPEEIVYLTSESENVLSELDDSKVYVIGGIVDHNSQKGLCYRIAREKGFGHARLPLDEHLLMKTRRVLTINQVYEILVHHSVHKDWKAALLSIVPERKNVQAKEEEVKEEEGVAVEKSEEPSTESSSNN >CRE27938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig884:9363:11397:1 gene:WBGene00073281 transcript:CRE27938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27938 MSEDNVKIEDPDDFMTIDRTPVPLAFCAHPTPIAPENVKKEAGIAEQLFELVDPIIVGNPNEIRQAPSIKSYGCDTESEFEFSGDDSDFENIKDIRSGALDSDEEFDKIVKFSAKIIEKTHKSEYPEDIETKKNRKSKKTHKTANVREYDDLPPLENLSIECKSNLLEFGFVSKVVDCQIYDIFGQVKTPQYVIRFNSSEEVSVILCATVQTVFQASLMPIDMKVFYSPAEEQYSKTPFKGLNLAAANREAIKSLNRRLDQQAAVEKAVDHIHVADVDSDVEFSDDEAEKEYRKNKLPMNQRHPNEANRGGRKRDRRGGQKVQFAAGNTAPNPLAANTSAPKPYRRDHDGPAPDARPPQTTDSNPYAEFGCHSGFNGRFGI >CRE27943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig884:12412:13805:-1 gene:WBGene00073282 transcript:CRE27943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27943 MCDMARAPTSSRECDTSNCPYEWVPGDWQTCSKSCGEGVQTRDVRCRRKDNFNTTIPIIFMLEDEPAVPKEKCEMFPKPNESQVCELNPCDSEFKWSFGPWGECSKNCGQGIRRRRVKCVANDGRRVERVKCTTKKPRRTQYCFERNCLPSTCQELKSLSGKAKDGNYTVLLDGFTIEVYCHQMNSTIPKAYLNVNPRTNFAEVYGKKLIYPHTCPFNGERNDSCHCSDVGDASAGLTRFSKVRIDLLNRKFHLSDYTFARREYGVHVPYGTAGDCYSMKECPQGTFSIDLKNAGLKLVDDLNWEDQGHRTTSRIDRYYNNAKVIGRCGGFCGKCTPEQYKGLIFEVNTRLLNHVKNGGSIDDDLDDDEFSGDADFEDKLRF >CRE21658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1192:596:5074:1 gene:WBGene00073283 transcript:CRE21658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21658 MCDAIHDSRDSVEAIHQAHVYLSTQLTGSMQQMNSTYEKYVNDLGSVDRKTQKRFWQYQMCTEFGWFPTTNDNEDGLFGSVVPLSLFFNQCFDIFPDLYKNETAIKIRDNIQRAKKFYGKYSGTNAVFINGENDPWTVLGRNESNEFSVVTLTVPRASHLGIYDQKKIQKVQEIVMENIHMWVRGPKNSVTFMDTVEPWRRPETHDANSKSSKQKMSKQENDDRFSKFVEKFSSEKEESEDFHFFGMKPIARRFRGDEDEIFDTEGLEIGMFRQRIDHFNNKNTKFFQQKYFKNSRFARPGGPNFLMIGGESPAHGSHVKNLSSAIMRRAKEYGAIVYLLEHRFYGDSVVENNTDLTTLSSLQMLYDIAEFIKSVNFKSETSNPWITFGGSYPGALSAWMREIFPDLVIGAIASSAPVLAKTDFYEYMMVVENSFLIYDPACYQEIKNGFDEIHELFQTDSGREKLSDLFKLNPPFRDNISEIDKHFFFFDIIGPFQGAVQYAGGGSGAFEENSEIAMLCRNITNGTQSSAENVAKVVLDDFGNKSLIHSFYDKNEWKKMKKKNRDYLWKWQTCSEFGYFQSADSGNSIFGAMNPVSFQVQQCMDMFGKEYTRGKIEENVEATNYRYGGVDGFRGTNVVFINGDVDPWHILGLYNSTEKSVVSYLINGTSHCVDMYPPQDNDIDGVKIARKLVDDNIKVWLEQTGWKAETRKESTTEGSTTEMVSVTERTSTTKSTVSNTFFVSVIVSVGVLCACLRISAMTNATVMCTSLDDWLTMAEGNGDPEIQLGLKSYHGLKFKYRIGNVNPQARYVIRLLFTRESDHPWNRNSQGIGFWRETKTFLLPDGELSFQHIDVKSGEELLREEVYFEKIFFENREKLGNRPQRNPPNYIITLTCRIKYFVSVVITNAENYRDTSTHGIQFQYFIAVNANAPLVKNTRESQKIEDRHDSAPPKRRPTPRKTGFKHPRPTK >CRE20131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1188:5403:6600:-1 gene:WBGene00073284 transcript:CRE20131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20131 MSMLSSVLCLKCEGMYNENRKTCIGSCGHSICEKCFDEKQSGSCSICKAEHAFDEKRFNWHAPDMVKTLLESISLTSVCNMNTDHTKSDNGGLKVSKETPEEELLEAKILRIRSNALCADCVMDGRKHDGHQMVPLGDVQYMNDATEVLDSHAALIFLFHNIWKECDQHKYMKLDLQLYNFHCECFLIIEKIIEHLENDSSPDSELFKPTEYMDQVYGSVWHFRIRVEDSLKEASNYMEIESSTEGKLEWKKTIEQLECIKGFYDFIILKGHFEGSSPIPMDGVLKHGPSLKLGEPSFGSDDSVEGMRLVFKTLPMFGSRFGQSERDKEQQLEKPKLD >CRE20133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1188:8806:11845:-1 gene:WBGene00073285 transcript:CRE20133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20133 KLKENGETEAIIRATICAYMKKFREASKIYKENGFENKAMELFTDMRMFEDVQEVMTTASGETKKMLMRKRASWARDANQPKIAAEMLISSGDLDKATVLIIENDWLELAIEISHKIDKGDLETMKKLSSYFIRKHEFVSLRDIPDQQYLDRSQSEENLTLLSKAREAAQFADAYYAYYPVFIFCSQPFSFERNENILNMARYLTFTPYINNISKVFVYFTIAKLAGEMGAYKSARTALDQLTRLRVRPHFELDGQIDTMTLNIRAKPFTDVDSMQPMCYRCGLNNPFLGGTSCIHCETPFIISFVSFDVLPLIEFKIEQDITFDEAKELIESEPPLADDDYNPLRSIKKGVKEIILNRDSLSRLERGHVIIQTFQPPLAPKFLFNVMPSITIAQCKGCNKVFDLDDFEMACLRKGHCPFCRTSYDRNEAFFVEEEEEEESSNVPSFGQFSRFS >CRE18871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:893375:896205:-1 gene:WBGene00073287 transcript:CRE18871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18871 MSEDDESAIHIIVGKLQPAIRAKLASFLSKMGPRVTQTQVLQRIGQCIDNIEMENTIMSQVTPIAENEVPTSFASINYARASSYPQNTNGQPIERSDERPQSDRPLAYNPNAYRNSFYDTATKAQLDGIYAPGERGANLYLLARSFPFKNEEANKCGTCDGMHNPIRCKLSSTAFRQAAAKRGLCPICNFKHDITTCKTRRCCGYCGGLHHMGGCPKKDFFWLLELTAHIRGIPADTGSKVPNPVSQADLPTALCNTSYSKLSAISPLQTAPVVDQLTINCPQTVVTNTFEEYEIYRFVQFVSRTSPPHHITTAVAENIYHRLTFMCLETLDNQNILALVDSGGSLSQVLESKTQQLRLIVLTKTQLSLPGRDSRTNNDSHIVLISDSRVSLKFTIAGNFPAQKKLVRIVTIILSTFSKTLNRKQWEKPLMKQFTASEDPVHQAKVARYLIIRKHYPDTEFIGPKFPFSWSFYKDSSDLYRVDNPVLSQEAHGTILFHEDHHLAFMIVLETHEINGNLPENYTRAVLRTKYWTAQDEALAKSVTKKCVACNIANSYPFAYPITATLPKCKTSPSKQFSKVDIDCYGPVVYQNDVDKRFETADVLIHTCSASRGAFLRLVPDSIPSETYSDNVGTLKLDAAIINKCTEYFEFSQTLIRFSASEAITCRYTTHLAPWQESIYERIVQIVKRQVLSNLLNRHTWPLGVTIKVNKSERDGEIRSAIVKCQEKLIERPVCHLIPLGLKSLNHQCQEDKREGTESYDVEVPAEQEPASAENTLSETALPTTLITLDKKYAPKLFRKNVLPNIAETSAHPADKGTAEDESEDYKQIVITPGESTDLESMTLLEDSYSTEGGVYQDPQNTLPNIVRDYDAENSPAGRSQDYNPRRTKATHINYVHTADIKILSRPSPPECCQLYHAKHSFDNLKAL >CRE08585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:48452:51376:1 gene:WBGene00073288 transcript:CRE08585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08585 MNQQPCTGFHKLQSDVSNMFAYLNHRIDQMESTLLNQVSPNPTVVVTPNLDTQELLDMIVAAQFGTGEAQPVIVSPDYGSTPDIYNFQTGVLVSTLSASPPPSIPTKCSNCHTEKTTTWRRIGGCSVCNSCGLYYKKHKIHRPISMRKEKIQQRCRRPKGQKSPGSDSSSEVSGDVVMNHLEQLLKLTE >CRE08593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:37903:39154:-1 gene:WBGene00073289 transcript:CRE08593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08593 MAAQNVHGVTLHSLLRIFEIFGSDKPFGGVSVVVFGDFLQLPPVTSSRVPEHVFSCVPGEYRAVRATVTPKSPSRLWDLFSMLELEENIRAKDREEARVLAAIRLGECTESISGFLHHKCRMDGGMPEDIYREIRLLENEDPDKFFMVLAKTCATVKALNKWVFDNSTSRIVLEPVAESSLTTSGFSLRGERCLLRLVVGKKVMVTHNLPLEGLANGVMARLIRYSREYVVLERQHYSYTT >CRE16255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:177994:181102:1 gene:WBGene00073290 transcript:CRE16255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16255 MILLIGISHLLAKSSTVLYFSQISSLPFSFKFPVDYSKRFQNNEKMDTISDRHHRCLFGWVHVSTTIRLILAYFVLFNVGLGLLGLPWFSIYIGLSGLCLCFFTCFHVYKKNDRMMFPFYLYVLFTIFYLLFLGGYFFFVNIFHKEMVKEALGDHSDSISFIWHFADIVLIMTHAWVLSVTSKCRKYFRWVAEGGQKVELPTTSTAPRHESKPDIF >CRE26932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3484:258:1886:-1 gene:WBGene00073291 transcript:CRE26932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26932 MLKQLVGSQPSLTEKHYELSSTNNTGCHPFPSSFEPNGPILTKPKSSEPHHKIYMSDSTPPTLPNNNYKANPATTLNAIEAMLQRFGETIKAELTQTINIAVKRVDKRVDKTVETQRFLIQTVQAMRTNLEIVQDQLEQQQQQLREEHSLQTTDPQSTRETHQLCPTSSHNGNKSKDDATLSNKAPSPESPSPLIQSPSPTHCSPISTQASYHTDLTTIFNTLKPFSGDTDHYSLFITRFNSLVHSNPSINTILKQNILISLLEGDSKDLITSDELSESAYEDLRANLERVYNRKTDRRKQLIENYRNLPFHQTDYIQMDKDVMKHVCLTNSLQKCQVAINDPFLIDTFVDKLPARIMRSFIKMTRHSTPSFLEAANLVQTLISENRAVDEAEQRKKNRTQVNEICMADINKLTEAQPHRHYVNQNNQHNNNRSNTQTEGTPKLSKWKSAPCIFCHQDHASNTCTMPIKEKRDAITKQNRCLSCFRRNHKVTECPSSYTCITCSNKHHSSICPEKEKVETQVNCLTTNENIKQFFRSNGIDM >CRE29167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:135112:137419:-1 gene:WBGene00073292 transcript:CRE29167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29167 METYRNICETIGEDIISYKTAITWFNNFKEEDYNPDDKSHSDRSRLDIDDDITDVLEDEPRSSVRKVSSHTGPLFATIFRHLKESRRTAKYGQVISHELKDSQLKLSCDLSLNNFSLVAGILCRDTAMYTSQGVNHAHKTDEDAVTDHT >CRE15068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig783:9639:10470:1 gene:WBGene00073294 transcript:CRE15068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15068 MSSQRIIKLNIGGTVFQTTKDTLTRYEGMFRTMFETEIPLEKDENGCIFIDRDAKHFRLILNFLRDGHITLPDSEIEVEEIYKESSYYLLDGLMHLCQERCNDDRRLKEMRHIENKTELLKTVLHSRKAFLIFFYEPENVVRVENFFNEGVFPATIAHLKKFIAEFESKFDFYYTAGGSEEGWSCVHYKDYNSTFIAAYSWSRDFLDDIRMSLKDKEL >CRE15069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig783:1072:3970:-1 gene:WBGene00073295 transcript:CRE15069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15069 MSQLRQVADPLRRFSFCYGSTDRSENKHIVPTISSVLAYREQFKQPENSSQHLKRAASMKQQHMEVFASRWAHETGSMIYVVVKTGSNYAIGSPLCVETGLYKEYEHLRSVSFNSSSKDVKNLKLRDRQHQPFSFASSLVIGDLVVVLKTGFVEHIERKTNQPSGRKLHVALEYYVLDRNYESGVALAVRTTKGFEIYKSFGDLSLPPCTIAESVLRDLLNTPSILPEEYECMATPAPPKQVTHLPNVFLMYAEMFMPHRKCYRSALNFKSTASHANELLTDVAKLITDDVKNYMPYKPVPLIACCSLLNSLHDCFSSREGFVTLNALKALRSAKLQSGVSNFQDCRFIDTTVAGWDITEGGAETAETLSTYQLLPFNQALKRNSTHEERVFYQAFLNKNIMVHLHESPVGSGKTTVLAAAVKARLIVDQHSRIALTAMTNSAVIALLTAFEFPLDYCDEKLRPLVVQAKNWKHVNGVSSHFFDWKIVMKNCFIEELVKFDIHKPVSDESRYSKIKSMLSYVRNNSIIALSRKLGQEKYDFISKLICPMLNKQELVNHFFHLYKPNLIIATMDSLVNFTSFLPVDHMPNLIAIDECTMIQPSDLCLFSSKMQSMSFESIEFVLIGDHKQLTPFNGIQSLSPLTVTPNVLLMNNAAFTTRFTVVHRCHNNATELVSSVFYGGYLTSGKDPNQSYIQHRLKGVPFRNPKVKAYSFVGNNSASCAVAQSRCNIAEASAIAEYAQKLIDVDHINPSQISVITPFLAQAELLQKVVPGGITCSTSRKYQGLENDIVLFSCCHTGGKQGSIVSEDEMYSEGFRVQTSNTGTVKCKLIDDDAIILVSLTRSRHFTTIFGNETFLRTIPRWNAILNGILQ >CRE29288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1639:2005:5498:-1 gene:WBGene00073296 transcript:CRE29288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29288 METSKEFEFRPAKETSRSKSPGGIVGRLSNFARNKARHSLSEKGSNSVGGSGGSGFDKPRKDLLKEFHKCKEAQDQRLDLSSIEITSIPSPIKELTQLTELFLYKNKLTCLPTEIGQLVNLKKLGLSENALSSLPDSLASLESLETLDLRHNKLTEVPSVIYKINSLETLWLRYNRIVAVDEQIGNLVKLKMLDVRENKIRELPSAIGKLTSLVVCLVSYNHLTRVPEEIGECLSLTQLDLQHNDLSELPYSIGKLTNLVRIGIRYNKIRCIPSELESCQQLEEFIVESNHLQLLPPNLLTMLPKIHTVNLSRNELTAFPAGGPQQFVSTVTINMEHNQISKIPIGIFSKATRLTKLNLKENELVSLPLDMGSWTSITELNLSTNQLKVLPEDIEKLVNLEILVLSNNQLKKLPNQIGNLKKLRELDLEENELETVPTEIGFLQHLTKLWIQSNKIITLPRSIGNLCSLQDLRLGENNLTAIPEEIGHLDSLKSLYLNDNSSLHNLPFELALCQSLEIMSIENSPLSQIPPEITAGGPSLVIQYLKMQGPYRGVVMTGQ >CRE30301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1129:4066:9483:1 gene:WBGene00073297 transcript:CRE30301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lam-1 description:CRE-LAM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NQJ8] MLRLPFWQGLLLSLLVISTQSQQPQQSPPQQNEDRCQDRSCYPITGNLLIGRKSQLKASSTCGTQGRQRFCIVSHLEEQTKCFYCDSRTEWKPQREPYRLSHRIENVVTEVMDDKNRNWWQSENGVQNATIQLDLEAEFHFTHLIMTFKSFRPAAMIIERSADFGKTWQIYRYFAYDCDSSFPGIPEGPPKKHTDVICTSQYSDVAPSTGGEIVYKVISPHIVTENPYADEISTLLKITNLRFNFTKLHTLGDDLLDYRPEIDEKYYYAIYEIVVRGSCSCYGHASRCIPIDPHVSPNTVMERADIVHGRCECMHNTEGLNCEKCKAFYNDLPWRPAIGDEKNECRQCNCNRHALRCHFDRAVYEASGFVSGGVCDDCMHNTQGKNCEQCKPFYYRDPRRTIDDPHVCLPCECDKAGSQNKGICEGEEDAERGLVAGKCYCKTNVDGNRCDRCKNGYWNLTETNIDGCVACTCNLLGTYNNEGCDKYTGLCTCKRLVTGENCDQCLPEHYGLSEHVDGCKACDCDIGGSYDNTCEVSTGQCKCREGFSGRRCETADSSFYCADITHYVYEAEYANLTRGEVKTREWPTQTHEQTWTGEGFAQVSEGSIITVNPIVEVSQKYNVIIRHDGARDPVGWENVQITVVRPETEGSGFCADAPPSDDFLIARIYPGSRYIEVQPAICLEAGVQYELRIQFNEKRTNSHPQERAASNILIDSILLAPPTSELHIFQGSARAEQHLTEYNRYQCRHLALSLTLFKDQRNEVCERYVCPVAAALLNKTSECNCDATGSVSGICSVHGGQCECKPNVVGRRCEQCAIGTYGFGPTGCKKCDCDAVGSLGNDCDKQSGQCVCREKGIYGRQCNQCQPGFWGFPECRTCQCNDHANICDQTSGACIECRDLTTGHYCDRCQDGYYGDPRLGVGIPCKPCPCPGGPTSGYQHADTCYLRNSGNNTQDIVCNCKSGYQGERCGECAQNHWGSPREVGGTCERCDCNGNIDMSMEGSCDAATGECLKCLHHTEGAQCEHCVDGYYGDAKLKTCQRCVCNELGTNTTKGACDRVSGQCPCHDNVIGMQCDQCAENHFNLASGAGCEACGCDPNGVVPNHEGVPHLQCNIFDGQCQCKPGRGGRKCDQCEDLYWGDPTTPDGCHRCECNPTGSKSLQCHRNNGTCECQPGSGGALCNECARGYTGQWPYCNPCGECFHQWDNIMQKLQKQVHALIDTANNIEDTGVASAYDADFEKMEETLKETKKTLADVLNEFLLINF >CRE19387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:129151:130112:-1 gene:WBGene00073298 transcript:CRE19387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19387 MVNEPLTDTAKFIHRHMKVTKWTNYREQSYTKQELINLALDARDEFKRNMDESGKVLALVEIAPPVLMIPLPLEDIGTHVLAQDLLWADPTSDQSLASALPTPQWGKNLVRGLSCTFNPAAVTETVKRLDLMLIIRAHQMMPDGFKFAAGKQLLTVFSAPRYMNETDNRGAIVRIQENGDFGVIVLKNTKGQGGKNPLNDELTRADDVPNESAKKKSDSAVNVMKLTQPSSSKTKVLKKTFSLP >CRE19352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:135842:136654:1 gene:WBGene00073299 transcript:CRE19352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19352 MSKRKHTDTLPDDPPQFVAYADYMELFNHVSKLTAIINELRSGIIESASKTLGEKIALTCEPLPDMSPIGLPVLAPPVFDEVFPQSIFPTSYASVTSKNAGANPNSVPKVSSFTPNHLDKLEIAREAAKLIDKATRVVIERFPDDRNDKEQDTKQLRILQNLASSNNLPVPVKIHRHECKSMYRPLQVQFESSSDRDSFLHGFHIARRTNPDILAMPSKPRARRDLTRPEWETLRASRKHVYDEXXXXICLITFLLLLYPVHVVNFAKKI >CRE29257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig679:16724:18779:1 gene:WBGene00073300 transcript:CRE29257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29257 MSFFLLSPRIPIPILRILLHLSFSDPQLKMQLTGWPEYVSMIYLPIILVGLVGNGLSLYVYTTPNMRKSTVAFLLYSLSICDIFVLLFALPLYSISYLPIWDNVYGAWSKRRMFLAFSTKFFYPLCMTAKTASLYIMVVITVERWIAVCRPLQVHIWCTFKNSVRIVIAIIAFSIILNLPKFFEYQIGYSDSLGYWPKRGILDAEEHWWYYITYFIFISVIFDYLLPFVIMFVANMKVINELRKSRKERALLTTSLQKEQNTTVMLLVVTILFGFCHFFSMALKLMESLFKDFLTRHNEYFEVMIEISNILIIIHIGTTFFIYYFFSARFRNILSYLFQKRRDLPENSLTDVNKRKLLHKSDSTCTTFAKTSPKTSMA >CRE29260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig679:8165:8535:-1 gene:WBGene00073301 transcript:CRE29260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29260 MRFLIFSMIVFISAVMGYPYYQTDPYYQQQPFKLWYTPQAYKIGTGVVPSSSGQINNGQIENPYDPYSTNNGYNQNPYNPYYPYYPYNWEDTYNSNYYNPYNNH >CRE29259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig679:6434:7684:-1 gene:WBGene00073302 transcript:CRE29259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29259 MNFIAILAVCVIAVAAQQQQQQYTNNGQTVTTNQFAAAYSTNQYQQGAQNDQSTLQYNNGATSQPIQYNQNQQYGTTQQYGQNQQYNNNQMYSTQGNNQQYQQGQNYGTQPQYDASQNQMGGQVQGYSNNGYSNQNSQMSQSQASSGTSSVSLMDYSFNNGNCQYKDGQVVENGQTRQATQQELQQIQQYRDSVDQYMNQVNGYVSQVGQWINSVFQTLPSVNNSFPNIPTMPTMPQAPCLCSAQNCGNTTNTNTIGTTPQRDAYGNPINSNSQYQQNQNGQYQNTQFQQQNGQNQNNQFQQQNGQNQNSQYQQTSNQQMNDQQYQTGNYQQTSNQSMGQNAGNQQSNNQQMSTQQTQQQQQYYGRKK >CRE29258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig679:16:3633:-1 gene:WBGene00073303 transcript:CRE29258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29258 MAPGGAPNDANLTEMARGQEPDKSTTTLSTIAVKAGVNASIVVALLKSAGYIQLRVDEMQPKLLSIGNSSQEAKDLLNIHDDLIRRLQEKDDQVVALLSRADSLGAEKTNPNEAIVYDEMAKSLRETWRSLNRQLLLRGYMLRETVQFYTLAESHEKLSTKTIEIVQQINEQNSQQLQSSIDKLINDIIDTTASVVDLGSSVISQIRTLGQLDDNPERPQEILDASVKIESIMLRVASDWERSEHLWQERKSGVSRITTTTEDELVVIEQWLSYAEKKVKALNEAGQKNVLSEGNKHVHRLRELANTPSSDGGRISHLSGRIEEFLHYLKTRMNRSQRIHGFLQAAKSMLSQLNMMAEDMKSANAAMAGELAPLAKQKASPLIHEGKDIACEFLNLIIVNYLYFPAKEVLSYEEQRLVRQYVEDLSEKLKEIESLAKQRKESEKTTSHFSNIKAWLDGQAAAFLAQKGDLGGNLNDARDFVVAHKQFATELINRDADVMTLLAKKPQMSPDEEEQLTEFVKEYEKVKEILGNRIQIGTTYEQVHVFGKDLEGSFDALQTLLENNQEYTNDKVAAQISNVFQMILETLSQEKHQGEKFISNATQIGKSDEWLNIQRAQEAVRNMITDHENRFKYVQHKWTEWQMDKNSTTKVESVMEEIQMWQTDVLEFIGKVDNSSVTKKEEVEEIQKRISSFKNAADMHKITLESLREENKNEEQISRINVLIDKNDYIKTRLDQLSHKIELTSLLKIVEDVQIWQEEMVEIIRNMNQVVTTQSNNQEQFEHLRRKIEDLKVEVEKKSEHLEACKTLSQE >CRE13317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:775060:777897:1 gene:WBGene00073304 transcript:CRE13317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13317 MIYKSNPNSRLPSSQVAPPPRLTPLILINIIFSYSYLNSLVSDKSPRMLSRLSRRTSRSPVDRKERSLPVEREQTRGKLRSKSQPKSVMTSIVGPTKSAITKTVTKAKALKTDAEAAVQFLEDPTNKYPEDISQETIQAATSLVLNMKTVVARIEGFDAFIYNQFQKSAMKKLPERETLLRDVTDALRASGADGLHKQLTAHIAEMEQILTAFGTPMSSLQPPNQPQDPQSCEAITEMENYIYEANHSLETIHLGDELPHSLDSRDESASSSHPITSSQDRELSMMYSDSAFPDRTREIQQRLLAENRRLKEENAQHIRAQYEEEKRRYAANMQDLQYRESLAAELAKAQAKEDNWISRLNQHVEARQAQENANQESAGAITTSSPLPPFEPPTVRTITDPVVESFEPVTDNRVVPSPAQSQSNPAAIIVPQEEYVQETPILRTAHQIYQQNIEPRTAESLHSTRNPVLNDLMNVLSNIAQNQRATERNILQQTTHMVQDMEQRLGAQIHERAESLRSRATSRRSRARSPARSESSYARRYERGDPSDSEDESIQPTPTRNAPTHRIRSRSPTPIPRNRGLKLEVKLKLLQKFDGSNDLDHFQTLFTKFVLEDTDLNPEAKHAVLMNHITGPAAKCVSHARDPSVAIMMTFSALNKVYGKVNNKHNLLRKLQSLPFHQTDPDAMRLDAASLTNVLQQLKDKGVPADDHMTMWAIACKLPENMQKSLAKYTVKMGEGLTHDLILDRISRDIETMAMEQTYVSQRSPPANELTGSYATVNFANATPSRQKSAVQSKGNNPSGTRKPVYDPKLLESEYIDPITKAKLEGIYAPGPKGVNLRVIYRTFPFHEKEETKCKVCRGDHHEIRCTLSSKAFRDMCKTKGLCAICTRKHDITACGSTYRCGYCNGAHHLGGCPQKEFYRDMKNYPKDAPEVATFFRAPHPNKSK >CRE13316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:770918:774050:1 gene:WBGene00073305 transcript:CRE13316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13316 MNLSSVQMAQNQPNHRLAHVSRDEERARPPEIRGPPRQSPTRHRPPHRNDIQEQQVTYEQFFHRDTPFDWDTVQGFADMEANWPIPWLPQSPFDGASLRLYVWRGSRPTPADHWEQTVARMPFLAEQEEIKNFALKFARATYECTRGGKSRRCPYCGSQLLGEPLYDHGIFECPFGKLPEPDRFRFLAINLVSYCQQCNSRSATHTGCRPVNCLVCRSPDHSLASGICLDPELRELTADQLRDRANHHRRDYLRHIRGLLASPNNPLQYRLPSDSPYISLYRREVPAGEERRGLQILRVENNEFPGTRLAEYRGAPGSLIREFITLRPPEFDNWNQLMIPIFDEDSARYLEEIARVVTRIREDPNSARTFELPAAPHANQNGVRQQRQNPVLPAEPRARPVNNRPVDVPNANQAIRREQPLVETPILRADPPGLRAPPTVPPYVPAGTTNQAKASAAKNRAPTNYMRRPPPRPLTEDPGSQPCSSSQIAPATAESASSSAQAASSSTINIDNMFNPETYASMGPWSNEMERRSTVPQRTNFASVPAGIESQEAHSQDNTLIEASQIQPANNMQMIQPMSHHSSNSAHNEGTESQSRGNSRESAVGRPSSQNSWRTASPQDQLEANLEREIQANAIFHCHEHLRVDGTPMRPAFQHRAFKTILDLAPVERTAAQIIRIQTLQFIITAQKDERMEVYHYQNPRLIKDYLDMLIRLGEALSTTDIMVVKLEKRHKTNIIQKRRAGTDIFPVPSFDLWTHTHVANAMEFILGTGEVGVVERIYNFNAREPRLWFELDHPIDIDLPAELQRAREQQILQTVRPTERYHPRTLAQLEATSRLPIPLDISAIHIQIEWMTLYLSGTSLVDQNHVPEYKIMACAEMLRAILELLIYLRQEFHFDPAVRFIDCGEELGVMAARGHNIVAPTLRLFAYRDVVQVKAYLQIVWSSLIEAHQDKPE >CRE04370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:61048:62938:-1 gene:WBGene00073306 transcript:CRE04370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04370 MAPLPDSLKNDTHFLKSCILYEALHKKPVFESYRNFCEKIGDDVMSYYDFEYWYYRFYNGELDLEHDRSTDPPHHTFLQLPAEMHNMIWKNQNCKAKISMRRVCKRMKEVIGNQVVMFNNISVSLGANSLCVKLNDKKCVEYQKDTDNSCVICRPGQPDQKKSTGFLETALQDMSFSLRFLNIRAQHLTIDLEKDTPLDLLNTLAEYFPNPFFAVRVKIMIGKKTDSPKVLKLLELGYLEEIRIGHHSRGCASADSVHNLPQWKQATRADTVYFLKLDFNQLKHYYHLKHFVVELKNLSMEQAVILRDKLISLPQFESCEIYAPGFDAIFLRTAWALEENSGTYRYAIADSDKVLDFQFTEGHTFYISKKFE >CRE04353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:66910:68683:1 gene:WBGene00073307 transcript:CRE04353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04353 MAPLPDSFKNNSTFLKSCILYEALHKKPVSQSYRNFCEKIGDDVMSYYDFEYWYCRFYHGEMDFEHDRSTDPPHHTFPQLPAEIHDMILKSLNCKAKINMRRVCKRMKQIIGNQVVMYNQISVSLGGNSLSVKLNDKKCVEYQKDTDNTFCVIRRPGKPDQKKSTGYLETALQDMSFSLRFLTIRTQKLTIDLEKDAPLDFLNTLAKYFTKNRFLARRIVILISKKTDCPKILELMEPGFLEEIFIGHHTKRCVSNWADSIYVLEQWKQATHVDLSDYIKLEFNQLRHYYHLKHFEVELKDFTMEQADVMRNKLISLPQFESCKIFAPGFSAPRLKTWELKELPGIGTGTESKIYRYAREDTDKVLDIEFLGQHFFSISRA >CRE04372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:68710:69926:-1 gene:WBGene00073308 transcript:CRE04372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04372 MLTKLTFSLISCYFNALLAILGNFLLISLIIRKSPRSMGNYKYLMLLFSSFGVVFAIIDATNQPMLHFFNGAYIIFSRNVLGLPRRISFWYIALNCACYGMIMLLLVYHFVYRYLAVCKPHRLELFSWPYYNILIIIFVVVSLEWWIVAIFVAGENPEVEGHIQETMAENYGLNNVKDYTYASSWFYRIDRATGQEYASVPDFLFLANLGVIITSGFSTIIYCWLRLRRELIQSARELQSISQRTLEMQRQLFHSLIAQTLFPVFLMFIPAGILLCFPILKMNMGSVEVVILPLITTQPFMDVIVPMYFIKDYRMAILNFVRRTKNRVKVHTASDLDRSATNSKVFSLRT >CRE31560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig447:9:1879:1 gene:WBGene00073309 transcript:CRE31560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31560 VVRRGSPKTRSRTPSAAFRYGEPPSNMPDSAAPVPGRSKTPAGRQSSRAEEEQTFRNTLQRQPAVTSEWDGMNGSAPASRMRPSSTTLGFATPNYIPLSQYNQKPFDLITVSLIRKPVGFGFRLLGGVESKTPLSVGQIVIGGAAEEDGRLNEGDEIVEIDGHNVEGASHSEAVVLLEAAAQNKHVKLVVRRSTRIDAARRGSLNSAGPSGSYDVLLHRNDNDGFGFVLMSSHHKNGSTIGQIQPGSPASRCGRLSVGDRVIAVNGIDILNLAHPDIIALIKDSGLSVRLTIAPPDTAGPVLPVVSATLGRNFTMNGHYESNNYGLPPPPPSIYEKHPPPTYLPFEGLSINDRMPMDGNLIDVSLERGTKGFGFSIRGGQEFGSMPLFVLRIADDGPAKADGRLQVGDQLTTINGQSTKGMSHDDAIRIIKQHAIVNLTVLRNRLP >CRE12790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:967796:969031:-1 gene:WBGene00073310 transcript:CRE12790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12790 MHLTTIDGDEDLRIHNISILPSDQCATEDQRAAKCCCGDGFFFDFPRKKCEVSANVGFMFGRLNNGWSHMAVYGFAYPMILLMLVAPLCAVMLGMGKREKREGDRRFPNPLYQMIWLLTFCGWLSVLSPLPFTVWYYFVGEGTRSLNQSLAMCHLFRTSMETIPHMTDTMMTLFSVLLAAARFLTQYHRNTLKLRTVERFSRAIWVIIFVCVTLAILRFFEHDTKVYQFCMDTEPTPQWAGRCMVRDGALINIVNRSFWKEVKQGVHR >CRE31564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig447:32005:36097:1 gene:WBGene00073312 transcript:CRE31564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31564 MSSKLYSRSGVFAWSPRGIQTKGLIVADFAQFFDPNATSIEQKIDFLSAKDVYEKGNLTPTVSFPTNYRFNELAWTSMCSDAHPNGIVAGGTEDGTVVFFDAEKFLSGNALEVLSARKDHHGHVLTIDVSRDGRWMASGGGSGQILLWDCANLKTPFSPGSPNFPDQVKLLRWNLKNESVFASISSRRVSFWDLRRNGSPVLEFAEIPGCDWSSLSWNPSDASQLIIASQSQHASVIQKWDSRFTSTPVKEYRHHNMGITSVDWNKADDRLLISSGCDGQVIIWNHETSEVLGGVGSLQGDWIRNVKWNEEEPAQFAIQYFQHPVQISSLTSLGTTQPGAEVLAARVSDQFVPAWHRAPLIGSSISLGGRLATFWKSFDVMSQQVHHNVEVETINIGEDIGAEDIAQYLHIKDDKRNLGWYLHERAYSHSTDTTEDAKLQKEVWLILLALQEGAGRTKMLHYLGLVESQDDEVFSDQNQTTVTTSLSNTHPTSTTDRSARSTSIVSAAETDIDVPEISFIEKCAEVNWSSLDTSGWELLVNTMRQDHLAVIRVLMSNNQHVAAMMYSAQHDTEHLTMILEDYNKKTNNTNTLSSLIMALSSSSSAHRAETFEKGQVENNAKIQRINEISQSFPDVKWREMLGLIIAHETSAEDIRLAANIIGTKWLNEGSEWCFHTVGSFIFHSESTRACIAFLLGGDIDQFLIANVSFSQVDRLKQALILHQISKPTSYSDGLEKLIIAFAHRLLLQGAGATAWNLVKWSQNGSAEMQELQWTCYNVAGGRDCTGDQPPFNPYTVSLPGTQQHMTTQPVHHFPSQLPNVAAPPNLPNGYNSYHPSRSQPIPPPNDYSPMPPSSTFYQTPSWDHKPFQPPPMPPNMPPPKPAAPVTPGWNDPPPMALKPLENSKPKKNVMEINWKPVETAPAPGGMMMGQQNGFAGGMNYQNGISHAMQGMAIQNPQSSAPSSSFPSPTAYQQRPPSVASVVAAPPPIQLSPEDEKLVEPINALAQFIVENSRTQAKTEKANDLRSRIQTELSPRLSANRVCELTSAGMHFYKLIIFQLSAETKQHLSHLAYFLSVRQIREAQAVVAQMARNSSDFTEISSFLPALKSLLSLALH >CRE10769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1898:1578:2494:-1 gene:WBGene00073313 transcript:CRE10769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10769 MTVSFPLLCLPAVALREVLLNFGTMDLLEFSFTSTRVRDCVFRSARLRVIEHNVEFLKEYPHIVTVFKNDDGLHEHKLEWEFVGGQMAGKSHQEGREENRIHKNGNIIQCHFHNPEFGASVVFNHISKIFQGPINLFLDLSHIRNLYSILLNQNISECQKLEVYEGYNNDQNDEDLYGILDIVNIGKELKAYVTNQDEIDFDQICHLESLTLENANWMTLPDLISLNCRYGNSNRQSCLHQIGIHRVSS >CRE15166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig707:1665:4381:1 gene:WBGene00073314 transcript:CRE15166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15166 MVEIGGDSVLLEDRNGQCLLYDIRRMDKPRWTIVSKEPSFSINVTSQYVCLEQPKNTVRVVRICSGDEMPWISMRKNESFCGILEGNEKEEFYIVHDCSKNTNLYIIGRDAYERKEVPLYSYQSHQETPKHFVRHGRSLYATGDQKGVIMTQFGDVRVPSFKTSARQLRKNNKYQIKVEKQDKELKEALVENRRYESNFLKKKNMQEAEVDVKSVASQKDNGGPKIEDFAHIRDVGEGTYGVVAEYTSKRTGHRVAIKTLHRSLRYNEAYLIQREAINMAKISHKNVIHLYHCFSTQLHVYLVMELMTTNLSKIIESSGRLDTYDSSQVLSSIGEALRYCHFKQLIHRDVKPDNILISGDSIKLGDFGISTFDQGRTICGTEGYMAPEIITDMMYSYQVDSYALGVTLHEMLTTTMPFGDEKDGGKSKKWKFETNESFSEDIMEVLNGLLEAKPRKRWTMKQVLECTWIKKEEEKRELEIINDLKKMM >CRE04396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig531:10021:11878:1 gene:WBGene00073315 transcript:CRE04396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04396 MNDFDYLVVKYLHQKHTCDLLSERFTTLRTICHQNESLMDYTNKGREVIGYQNGLTLDFQNWSDFLKSEKDNLPNLEEKLKIYKENTNVIEKQYFNLMNGDIKNNIEVMKKCLAKGKYDYYNLMDSLYDRISYNSNLSQMILSFKSCLTFPNTGYTNGKHSYGFSFLKLPLVARDHVIRMMSFVELIQLSRCSEKTKSIIYSLSFPNILSLDLVFRKRQNIITPDWNEYKLCLKLKNSSTFNIIVSNRHQEDGSSRSFNDSRLGYRRVEILELKKSINIVCENGTFSFFIYLVDFLSDVLRMTINNVYIDLRTLCSTQLHSFFCWKSAKLIKNLSIENGRVRSETLTFIFKSLSSLKSLHFSCGLEAAKLSSPLIVAGCVKMLDGRWMTCDALIKIYCEELLIYFNKLTCTDINLFIKNWINSNDTTLRVFQVAGSHGNDTETLFRGLEDLLKPWNKSERGPIFETQAYALDCQNGLDIQRSDGLIATLCVDESVFSFVVWHDRFPQVTKQLPSPEKSILVQFLAAFLRSTEWYQVVEN >CRE04400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig531:13504:14980:-1 gene:WBGene00073316 transcript:CRE04400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04400 MPEIMERRATSASIPTSKSSVKPLPVPKLSEGATPVESALKSKRFSLVHMPTKQERRVTIRPYESFRERKEEKPDTITFGYAALSTNEDESRKDMEMEEGRPVSPSPSIFSIYGDEPGPSTICEQPVGAQADVFISSLGEKKLTQIKKYLDSTPREEHMYFLWVKDSEVNKITVISIKTLENHLKNDSRVRRVKWRHLSSERVSIYRYSLPAITYEVTLLLSYFRDLLFNVFQKSKMCKECLCRHKKLCLLLVWLAFVLFLCLVVVLGSLSPDDRAHPFAHNQSKTIPPQSLLLPQQSPPQLSAVLLNTSLVG >CRE04397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig531:22329:25913:1 gene:WBGene00073317 transcript:CRE04397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04397 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NLV3] MKRGALSTIEERTETTSGATSTTSNTSNSGTKMETKMQIACRAAQQGEIQTVRFLLNGHVSANELDQEGCGLLHWAAINNKVDIIELLLSHHANVNLIGGNLKSTPLHWACYNSHTKAVISLIKNGANPTIRNINGETPLHVAANTGNFTNVAYLLVKCEHIKDWRDNLGRSALMNSAAHSFGLFPIRIFTKVDAYLDFASDDTGDTALHTSMARQNMSGAVELICAGADETKKNKDGKTPYDMVNDKFGKHVKEHVKTRNIRENGTTFEKMTSRWFLMQLFTASITGILIVGGLGLFYLTNFWVVLSALVVSVPLTFFFLRKKEMDHFGYLPVTYICWMGMAELALLIFDSDGFIHWSLLMVMCTIWVISASFYWLLILTDPGVMPRSTNPFKDFIEQLETKHLERYCFTCWIPKTSFSHHCSQCDKCVDGFDHHCPWIHKCVYRKNLRFFVLFCLTNFIFDVIYVPVLVYMTFISWSSVGFEQTLNDHGIMVLSLLFSVPHIIGAGAITYTQFSQISRHITTIEIIRNTRAKSSSDSDKTTSATTSSHYNPWENRPSMKERIRNIWNLLAFDQFGAEEVCETNSEIVSFETSESHV >CRE08410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:419404:425992:-1 gene:WBGene00073318 transcript:CRE08410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08410 MEEMTQSNKNPLDLECAESNDEDQEREKRKVDRLFDLQLMVHFETNQIRVVPDDVLCVESGLTEAHKGKHKKYLKNEICAGRIDRNHYQYLPVGDLVPSHLEFQVPIHFDVRVRFIFLFSIGLIFLFSIRLILSWIGFVYGCIGGAPCSQLNSNQSNGSSWRGGFYERMVRSVKHAFQKNTIKSKLSFEELHTVFYEVSASINSRPLTFVKDDVDSRYSLHHINFVYQKMKTTIPLENTLKIKEDYLPPIKKNSMETIQWRNKYLAELRVHHKLRMDSKQGTHQQPKVSKDGIIRDVDLKTENGRTLNRSINQLIPMELDDDDLEEDTNTDPTEPTNPIQQDIGEQRYNLRSRKIRYDEDQPNEEDSRNHKNFSIIQNFVNPTFLMLLCLIALLTGTSAETLSVKCNQQGFQIRGPFKSFEACTENYCTSQARFQWTNDEKRNTAFIAFLLLHLICNASFKLGDVLQFAWTVIRFITILLVKTLMWIREIFRRNRIRRTKWRELLVMVTLIGTAKACQEIDIITQFETVCNTDGKCDTFTEEIMHLNQFHKEGCLRIKKNGTTLRDIRIQLMEVELHCVKRTITFTQHIVTKATNVPTSPETHCFPNFKLSIISSATQDVQNHAEVQDMDAFICMDYQPVAKLLLTVTTHNSWKNKAETLEMLTPIGRTTSFMDIAVTVETIETPPAPALNSWFIKNESTMATWTENLMPNYICDRDLKKCYLDEQCQCSPAEDRMQCPCKDIDIREHFMQTDKRLPVQSGHLRFEVDQDSVKGKIKSASFTTLALKLTDKWKTTIVKTTEACTVTNSHAQGCYSCEKGAIAEITCHSTSKETVANVECGEEVFNVRCSAKGSRTIINFHGNYAKFQIHCSLDCGGKTKEYFEITG >CRE26765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:33678:35389:1 gene:WBGene00073319 transcript:CRE26765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26765 MCRSKMIYSQSTIIISLLACILIINILSCFSVFRLGKYLEFSKKNLLFYYEYSYFPLLEQKFEADELIDIYNPNALKDLRAKYNLKADKYSLELSQVARGEDHKRFFGKVKLEAFCAIKERIGDVDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITIQEAYERINGQLFVGMIPNEISISSMLKKAERREVELLKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQTMAKYNFRIFNVEPNPYCFNCCEYSLIQDSCMDQYGVYPLVPIVPKVEF >CRE29261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig719:1584:9339:-1 gene:WBGene00073320 transcript:CRE29261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29261 MSLRRSQEGYHQPSLLTLFIFLSVNWFVVFDKLLPFRMMSDRSCRSASPAARSRQTTSAHSEPGKSRNRSKSRSKSQSRSLKQGIVGPTKTVITKVVKRAGELLEDSEAALKFLRDPDNKIPEDIDQNTLAAAATLAFNLKDTACKIETLDSFIYEQFQKPEMKDSPDRETYLREVNEAFVVSGADQILIELCKRIDDMHEALVNRGYKFPEYNDVENTDEHVQNPNPAGDMNGVNEPNDVQILGEVAPNGDGSQRSALRSSSSGPITSSAARDLSLMEYDYEDLPRTETVAQRAKIWRLEQENRRLEREAAQHLRAQHEDTVRRLAQEKQDLKYRESLQAELIRAEALDRQCARRLQQMIVEREAEERANKTLPAAQTNTIERTQTTNATRSEISPPGAIAQIVTVSKPPAETAPNAAPIKQQQRSMFSTTNYISNPIVDAFHMHTQPPVATQNIDLRHVLNAVNNIAEAQVASERNILQQTTHMVQDMEQRLGAQIHERAESIRSRATSRSHPQSSASSESSFMRRYERRDRSESETETEHSPPPRSIRSRRCNAENRSESPRRQGDGLKVDTLIRFLHKFDGSGDLELFQTLYNKFIMSNRDLSAEVKYAVLLNHITGPAQKCVSRAQDTVLAIATTFASLNKVYGKVNSKHNLLQKLQQLPFNQSNPEAMRLDAAAMSVVIQQMTDRGVPADDYMTMWTIAAKLPEDLRKSLAKFTIKMGDSLTHEMVLDRISRDIEMLAMEQIYTSQVNHHPLNELPTSYASVNFTNANSNSSSVPPNNAQNRTSHSHNTQNPLAYIPNQHPTEYVDPVTKAKLEGYYAPGPKGVHLKVIPRSFPYPKEEDTKCRASPNSEVNVNKEISAPTVSEDTTSLNVAHNTVADTVMACITWEAAHSKNTTETRRTIPPTPNRLKRFFVPTPSTNLSRGITAGTESKVPNSVSQADLPTALLKNPLIKTRSFCSTNPVSKNCSSTTIRGIANPKTIEYFKNLIKNDYPEEPDSDKLALLLFTKFLARSQPHQVHFTHARDDYGRLTFVCLETARGQPLLALVDSGASLSLILEASARKLSLTILQETQLTVQGFNSVSSSKTNIYALEFSLLVPKTPLSIMIVGSPNLPNTKFAAPTLSPEDSLYLKNSSIDMSRVLSSVHHNGQKIDMILGNDMLSWISAQPDYRKHILPSGRALEQTQLGIVVHPVPKLLLWQKKKVPPLYEEYQLSINIATVLMDSSEPEDAMTKLTHQIAQFWRVENLGIENVSVSESTKKATIDLLQVFYKTVKFNKEGKPEVALPYNGNELRLADNYSVAYKRFISLVVTLKKGKNLLNIYHEIIVGQELAGFIEKVTAAMLKTKGPRYTIPHRGVVKEDSLTTKLRIVLDASSHARGELSLNDCLHAGTNMIVPIYGILLRMRCPRYITVGDIEKAFHQVPLQEEFRNVTMFIWLKDPSRPADDDNIQLYRFKVIPFGVSSSPFLLAAYITFNLDNNPHDLNNEIKENLYVDNCLFCTNDKSEIASKIKGTKLIFQRMGMNLREFIVNDPDTMQSLPPAERAQSSVIKLLGYRWDSVNDTITIKIAKLDIDHPTKREVASKLAETFDPLGLVTPLMVPFKRLMQKVWLKSDTNWKDKIPKELLSDWRALCNIFIDREIVVPRQLTTNYENSELHLLLFSDASQDIYGACCYAYFAVKGKPPSVTLFTSKNKIRPSKNENWTIPKLELLGIQCASNLACAVVAELKVKVTSIKLFTDSACAIYWILSEKNTRLWVANRIKTLQDNQNRMKECGIETTIHHCPTKENPADLATRGMSTTELQNSKLWFEGPSFLKEDPSEWPCMIEGKVTCPAEFQELVYAEIIDPVTKKKKKPLMEKKTAPAEKVPKEIDPAETVMTANATISRPGSFIPYTATNSLPKLCKIVVLILKTFSKTLKSKSWDSYVMKQFHSSDCPLHQLKVARLLIISEHYKDCEFQGYTFPPDIEYHTDTDGLRRVHRRIESPVLPQEASEPILIHPRHPLAKLVALETHEINGHMPETYTASAVKTRYWIPKLGGILNNIIRECVQCQKVNNFPFAYPYTKTLPRCRTTPSKPFSKVGLDYLGPIVYKKDDNRKTGKAYVLVYTCLTTRGVVLRVVPDGTSQMYILTLKMIFHEYGVPKTIFSDNASTFKLSGSMINRDIREATYSHSLVEFLATEMIDFKFITPLAPWQGGIYERVVKLVKIQLTKECGTRTYDYFSLQYIVSSAQSMVNNRPLIPHSRSPKDMIALRPIDFIAPGVMLEVPAGEVNSGALPQSTEATVRAHLNKLEPAVDRLWEIWSTGYLLHLRENVHKKKRSSLLRPAVGQMVIIVTKLIKRHKWPLGVIVHVEKSQRDGQIRSAIVKCRGKLYSRAVCQLIPLELNPLNRPNTAAEEEADNTQDDSPHELPAPAVLKNPDMTYAPELFPSKDLPNIAEAENPIQNSDRNNSNQNIPLNLNIDELENLDDTEFELNQSRLVDGGIYTDPQTVIPPDVTDEDIAELPTGRVREFLSRKAKSKPINYVHVAEVQSPAVTSPPGSVAKDPPLGTPLLGYQSQPDGPLWFSPQN >CRE19565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig643:8022:8165:-1 gene:WBGene00073321 transcript:CRE19565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19565 FDHPNGFTFKINTNRIEKRPMRPTEAPKASAFLIPLISFVLSLIMIF >CRE19564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig643:2652:7860:1 gene:WBGene00073322 transcript:CRE19564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19564 MVAAGGGGVHDELAQLKSLKEKKGDFESSCKEVDNVEKKTQVDKDLLAAGKVKANAEKFISGAALENSDDESESADRDPNIIRGSKKAQKVELNFENVGDIKNKWKEGNVETAEAKEAAERKELEALKGGVSVKDRFKERDGTDDQVVERSWNKDELSTSAAAEARKSFMAGNAYDAANPVEKTVKDLDELKFGQLKGFKDRFEKGEEGVEVQKTQVDLGEGVQLGSIKATFEKGAVDESEMTAEERAELKKREIEAEFQRYKLARKSAKAQEEAEGAGEGGAGNDKAYNPADVEVKMAGKAFEKFRQIDASGASPVLPNQKKSSEPSKWDKKDDKPTAEVINRRNVEDETPEQEDQDAFDVKNLMNKFKNIGESGNQKTQTSEHRAELEALKTAAKDFKAKFENSGEADADAAVVEAKRQQMEEEFEALKREREEAQKRLEEERMAEAAASQGNEARDEDVAIKAEHASKMTAKWEKIQQKEAKKAEKGKMPEKKAGNARFCLPPPDKCSLCTKNVYRAEQFQCFGLLYHVNCFRCVDCKQALRVEKAHRCQKSGDLYCRVHFKLMEENQNRKMMSVEENNNNEMDGQVENHEQEQVSDI >CRE22140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:34678:38447:1 gene:WBGene00073323 transcript:CRE22140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22140 MGLFKKKKDDSSSEGSAKKVEDPPPPKISIFQLFRYTSTLDRIMLIVGIIVSCATGLGLPLMSIIMGNVSQNFVEIGTILLNSTDPAVIKKAKDDFSHDVIQNCLQYVYLGAGIFAAGFIQASCFLVICENLSNRFRREFFYSVMRHEIAWYDKNTSGTLSNKLFDNLERVREGTGDKVGLAFQMMAQFIGGFAVAFTYDWLLTLIMMSLSPFMMICGLFLAKLLATAATKEAKQYAVAGGIAEEVLTSIRTVIAFNGQEYECKRYEDALAHGKKTGIKKSFLIGAGLASFFVIIYASYCLAFWVGTNYVYSGRLQSGTVLTVFFSVMMGSMALGQAGQQFATIGTALGAAASLYEVIDRVPEIDAYSERGVTPEKVSGRIKIQNLEFTYPTRPDVQILKDVSLEAQPGQTIALVGSSGCGKSTIIQLLQRFYNPDAGKIYIDDIAIEDFNIKYLRQLVGVVSQEPNLFNTSIEQNIRYGRADVDSEAINRALKEANAYDFIKTFPEGLNTLVGDRGVQMSGGQKQRIAIARALVRNPKILLLDEATSALDAESESVVQAALENASRGRTTIVIAHRLSTVRNADKIIVMKGGKIMEVGTHDTLIEQKGLYHELVHAQVFADVEDKPMKKKEVERRISRQTSERKGSVLKTQESQVEGPPPAPEPAEKEIKRLKKELEEEGAVKANLFKILKYARPEWVYIFFAIIAALIQGAVMPAFSLFFSQIINVFSNPDREQMKKDGHFWALMFLVLAAIQGTSMLFQCAFFGVAAEGLTMRVRSKVYRNVLRQDATYFDMPKHSPGRITTRLATDAPNVKSAIDYRLGSVFNAIASIGGGLGIAFYYGWQMALLVMAIFPFMAVGQALVIKYHGGTATSDAKEIGELWKDCDGSY >CRE22138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:26847:28133:1 gene:WBGene00073325 transcript:CRE22138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22138 MNQVIRYGSVQAIPIYNCSAHTPEEWTKRDGVSRPILGITEASLGILINICYIPILFVMLEKEHFKISCYKIMSFLAIIDMLSIVVDCIITGWLAYQGAVFCSYPTLIYFSGMSGTGLWCCTCVTALILIVNRIFDLLVPRARIFFFEGNRTFLVILGAVLYTLYYVFCNTPSLFTSKFHSWFFTPMIFEGRDMDYENVPMFFNNIGVVFVTCLLYILFCFVLGAKLKNVSTGSESRSASIQIFFQSAMICAFNLMASLIYISMNYIEVPFWLIILGQFSWQLGNSAPVFIYMKFNKTLRNGVLKNLGIKVHFCSCQFSDSSSHF >CRE22136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:24893:25761:1 gene:WBGene00073326 transcript:CRE22136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22136 MNQVIRYGSVQAIPIYNCSGHTPEEWTKRDGVSRPILGITEASLGILINICYIPILFVMLEKEHFKISCYKIMSFLAIADMLSIVVDCIITGWLSYQGAVFCSYPTLIYFSRMSGTGLWCCTCVTAFILITNRLFDLLVPRARIFFFEGNRTFLVIRAAILYSLYFVFGYPPCLLPLNFMPGFLIQ >CRE22135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:23364:24541:1 gene:WBGene00073327 transcript:CRE22135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22135 MYANIPHIVNNFFVVAATCFLYGIFCWALCSKLKHVDTDSESRNASSQIFLQSALICAVNLAAAIIYVIMNYIDIPFWLILVGTLMWQLGNSAPVLIYLRFNRTIRNGILRKIGVKKVGFLRKEKSFRIFFQTPQKLVRTTIISTSQVAPA >CRE19908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:79629:80636:-1 gene:WBGene00073328 transcript:CRE19908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19908 MNAAPIFVPDRLHIRHVILFLFLSNSKITEIEERMVEVYKGNAPQRQTISRWVHRFKNNDFSLTEEARSGRPVEFDIDKLREVVESDPFQSICELATVMGSTHSAVERGLGALGKVKKMGRWIPHKLSNFDLERRVDMSLQLLTLHPNFNCLDHLVTSDEKWVLYEIDADKHPEDVVKQELHPKKILLSVWWSVHGALYWEPLPEGKTITADYYSSQLLKVNSKLKTSPLHGHRVHYLHDNARPHTAKTTKSLLATFHWTVLAYPPYSPDLAPSDYHLFSDMHRSLEGQDFKTKSEVEKWLKKYFDSKQPEFWRKGIESLPIKWQTVVDKGGRYV >CRE30372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:205701:213276:1 gene:WBGene00073329 transcript:CRE30372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jac-1 description:CRE-JAC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N614] MIVERHLELTEHHEHHQSFAEVDEEVTNLTSHEVQFRVSAYGRTGFGPTSNPSLPVKIPISENDLATSAGAPLAPGRPSVIAVDGQGVLLEWTAPVADVHSSPPQGYQVEYRVYGSRDWMIANEQLVQDTMFTVESLRPNGVYEFRVRGKNQDGLGHPSLSSGGVAIRPAAPQRNVPTRKVSESVNPPGQPSMVEAGDDWVKLEWAPSAENAGYIVEYREVGDPTWHTANYDPIVQNGIQVEGLRRNSTYEFCVISVIDAVSSHPSETSDVINLRPQGRASSLRVVPEMTEAPEFLDIDGDKITICWLPAHSQLPVMGYDVEFRDLQQDDRWYKVNDQPVFACKMTVGDLILDHDYQFRVLAHNASGCSQPSPPSQFVHIEPSTNRLSSHTLDSPNLGHNDIVKYVEANRFGAVPLLQEEMVRESPPLPERDDSPPPLRRANNNVQWRDPSLKEVIEYLSSQDKDKQLNASGYLQHLTYSDNLIKEETRELGGIPKLIALLRSDTPRIQKNACACLKNLSYGKENDANKLAVMEGDGVRLLAEVLRTTHDASVKEEATAALWNLSSADMLKPVILESATEILSQQVIAPIFTQNGSGTAVDPSRHFGSTLFKNSTGILRNVSAASQTARRRLRDVPNLIEALVHFLTHSIQRTQVDTPTVENAVCLLRNLSYRIQEVVDPNYDPAAAHINSKNMKHAASPKPKKKEKEKKKDKDSKKNPKNIATGPSVLWQPHVVKLYLKLLQDSSNIETLEASAGAIQNLAACQFPPSAEVRAAVRVEKGLPVLVELIRLPEDFVVCAVATALRNLAIDPRNRELIGKYALREFLDKLPEAGSPRRSTVSDQTIGAVLGILFEIVRSSAAYTKDVHELKGTDKLRALSRSYPTYSHRVCKYASQVLYVMWQHKELHDGFKRSGLKEADFYSGTARRGDSSTLARPISSQGRERPSMHNLDETLSSGGGYGTMDHRHPPNSNRPASATSQTIQRRYDQVPSGPVYASVNKQSPRGNVDDSWV >CRE01442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig680:1547:2203:1 gene:WBGene00073330 transcript:CRE01442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01442 MEQVCYNGPIYYICDKDNQCRRDPYIWAVSIFIQASCFGCLLLMSSFGYLTYTRLNTSYTNLSVYTCQIQHQLLTALLIQVLSFFLFPTDTISFKLHLIQAGIPIIFMCIPIAVLFTSPMFGIGFGVYQNITMACLAIYPPLDQLATMYVIRDFRDAIRNVLTVRKSDLARIPMTSESANRSRTWHY >CRE01443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig680:2361:3675:1 gene:WBGene00073331 transcript:CRE01443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01443 MSARWMLNLTFRSEKLGIFIATFSNIILLGMLTFKATNSYGAYRHLMFAYTIIEMIYSVLSFMAGMVAHSTDKAFVVFSLYYGYVKRSIAPLFLVDFCGIYFTLLLLLVVHFIYRFFVVCDFKKLEYFKGYYLFFWVFGSVICGFSNALLKFFMFPQNERLSNELSNDFMTYYNLTMDQVVYNGPNYYSCDKSGKCERPLGDWITMIYLSSALVSSLLIMCYCGYQSTKKLNKKDPNSSVRTNELQKQLMTALIIQSVIPIVFMYIPIILLFVTPMFRVGFGPYVNIAMATLAIYPPIDQFAIIYVIKDFRTAFRGFFNCKKKTVSPTSSAFFVSSRGSYRANAF >CRE14844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:130462:131964:1 gene:WBGene00073332 transcript:CRE14844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14844 MSSQFLLNMALHTSGIGFFVSLISEILLLVLIVYKSRESFGHYKYLMIVYNVVLIMYSIATSNANLAAHSTETSYVLFRMYHGPNRTVGPLFILQFCTMYVTMLIILSVHFIYRYVAIFYHKYIWIFNKFYLGLWVLGSFTLGMLLISLKYFFLGEFPHFTEQLREEFLTNYNLTMDQVLYNGPIYYVYYSISTSSKVKFQICDEKDVCTKPIGVWLTMLALCSCFVICLAVMGYFGTRCYYRLYQMKSELSEHTRKMQKQLLFALVIQAGIPIVIMYTPTALLLVSPIVGVSFGAYSNIAVSMVAVYPPLDQLAIIWIIRDYRNAIKREKPHLRGLIKINFSGLFCRKVAQQEVTSLYSKGRTSL >CRE01446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig680:8353:12460:1 gene:WBGene00073333 transcript:CRE01446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01446 MDCSTSTEICLPDLNVQKCQICSQPAHGQHFGAFTCRACAAFFRRCHFSKDAKPKCRNMKSECNPDENGRWSCKKCRLDRCLGQGMSTKNIQYDRDSFRSSKTFLKKRLLSSYLTERVPATVEKILGSPHYICFKLKSLPTNHKFVYIDMTEFAERLSEFLVEKPSFSFDHPPKIVRNESVELKLKKLSNLEQLAFGLDDFRSSQQTFLNEATLITKEIAVSGWENIILTVANWLNYSEIIRNLPVYLKQELLQTTWLIWGKLERIAMTAQMRVNRQCGKDQFVVSHEHLIDFSRTKADMSWWSHYTYEELEYLFNPKDLHYDELVWEIIEIRPDSVELTYLLCSLSFGLAVNSISGELRDVVEELQETLANDLHNYYTKRNKTSYTLRKMSCESPPSSSECSIGSVENNDVKCEICLGKGHGNHFGVNTCRACAAFFRRMILGTGHRQKCRLRKDCKPRDGRWFCKKCRLDKCYGLGMTPDSEFLLYPTLPNLKISDIQHDRDAFHSSQLFQENHKKRKLQVSIIPNVSITQLLNTEIEEFQTVEKVMGVPHSILYFKREKVPKKMYTYIDLGYLVERAEKILRSQPEFDPRISKMTSLEKLTFGLKEARKNQKTHVLALKKLGKEETCFMWESQLITATNWIMYFDEFRQLPFRHKFDMLKCMWHVWGRLERLSMTAEMRVNGYCGKRQFVVSHDSLIDYDNISCDLEWSTTYSKEELQCFLDAPTYHGEPLVDSFINVNPTDTELVFLLCNLCLRLTGKKLGGEFEEITQRLQDVLADSMHKYYEKMEMSRYAHRLAKLLTLGNDWLALLHKRHDRLHLAYILDAFHVKFSHPELFQYSG >CRE01448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig680:16151:18056:1 gene:WBGene00073334 transcript:CRE01448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01448 MMSSISSPSTSTSASSSTVSTPKLQKCLVCFQPAHGNHFGVDCCRACAAFFRYFEFRWLCKRCRTDRCFALGMKPDNIQRDRDRFVCSEQFHEDRKRKSAELIFPLVPIHSSFDLNEKFVFQTVDRFVKNSNPTVRTYTLGSGKNYQHLTFFDLSQILSDAEYILRKTPKLNKSLRSKSSLEKLAFGLREVRKSQIMESIPEMRKIGKSETWNHWVNQMRRAGEWISHFEEFRELEHEEKMIILKSMWHLFARLERISMTAEMRRQKLCEDNDFVYGTEHRINYDRLEIERKWFSEASDQEVRYYIGPFQLFFGETIIHSLMELCPSDVEVTFMLCNLCFHLTGQKLGGRIQEITDRLQDVLANDLHKYYLENDKYSRYSHRLTKLLNLNRQYQVRMS >CRE16946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:312775:313768:1 gene:WBGene00073335 transcript:CRE16946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16946 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3N2F1] MNTANGAKTAMDFEEDATIKELVGKDGNLEEIIEQAETGLLDGFLDYFLEDGSAPEDPLCHLLDQICTEDVEKENDENKDLSGNGLNGEQPFNALAFGVYQNQQKDTSFGPIRQREKKRMVLKPYEIAIPVHEAINADVAVQELKNRLDTPVEDAIDTRRTVGDVKNWLKLNGINQTKFAEMVLEKTQGHFSVISRNPASWEELLAPGRAVFVRMHNWMKLSDEEKMKILSVEKVSVKNDLQEKMKKTRFTFPKEQMEVLMGIYEVNDRPGKELIEELAGKFSLTSNQIKDFFLNRRRRAKKSNL >CRE31632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1847:2476:5179:1 gene:WBGene00073336 transcript:CRE31632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31632 MNNMYPENLYNQPGEMGQNPPYFFRNPPPQHHQEPPFMNPNQPHNATGGLQPSEYERMNINHLAFAQEYVLSDIQQNPVLLYHNPHQFIPLAQIPDDQSMRLEVGIQNPNYYQDIQDRRPNLPAPEEDIFSDADKEMLEALQRDYPDEHQVEPTIDAYENIYNDQRDVPAERDAQNEETLGNAEYHEEVLAGPSSTSQHSTSPPKSSRQNQALDYVEQLNKMHKTLNTKKIARKFIQWDGKYPDNRIKLAMTIHTDLDYLNDQIETPVDYSEMNNEQKVMYSRLNNLLRFSNKVIVTILELYEEMAEAWTQKTKKKRGEKYAQNEGASNDRGLDSGNSIRPFDRTQSVSREEALKVSKQLDEPTESVDTRDVAKRVKHLIHLDVVKSTPLATMIGVDLRDFKKHFIDIEDPKEYSEMKGAQKNIFRRLHNWLDCDDAERGKIMNMRYESRNARGKDTRKWENRMKRKSQVQQIPGTDGNQSTSLVKVSQTYLLPTAEPKTERILEIVKKLSKSLEDGDSIDKIHLTEQFRRWTKQWHYSKLRDTLGIGENYQFGAAITKCADKYQQLVCLRFHNWLKEEERMEMLDYHEEVRKVWEKKRGLIMIKKERGMEEQEPLAKKRKCNDLS >CRE31631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1847:11:2008:1 gene:WBGene00073337 transcript:CRE31631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31631 MANMDPRNPDTQPGGMEQNQPDAFPNPQLPFLQELDLRPYQPVTVPGEYQPQEISNMHPRNPNDQPGHGDQWALPNVQRMFLKFPFSGIKFFVFSEEMANMDPRNPDIQPGGMEQNPPNFNPNLPPLFHRELHMRPYQREVVPGEYQPQYMAPAYQFPPQNLLTHQAPATRVGGAVRFHPGTGPMGQHPGQRQLSPYFPQVNQSTGNSRRRNKARQPQVPDTSGSFQGQPSSTGQPEHTANFNTWPEAAQRRVSQQMFSAPFPQIQPHHDVHRENDPQYRTSPSSEEQGPGLPEHYLITSVKALEILKKPIDGQINPLELKERVSEFAELKLSKQLKSKLTRNVLNSKRNFGTIMNNAKAFDLMSEEYKEIYKRMHNWWHNTEDVRLRIVSVVPDIEQ >CRE17877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:651026:655779:1 gene:WBGene00073338 transcript:CRE17877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17877 MLSKLSSSTTRPRVGEEEQIGGASVRVVQKTSEKAGGRYQGTVETVTLALQLSKSLTTDPQCAETFADTLVQLVKKHDPFKTPRTRVGLVFESDEIFDAVGLTFREIKKVRASEIVESMSRMSQSSRSPLELDVPNISVRITYLNPPAGSGKRKFDTGSVMDLTAFEKRPKHEESLEKEKADKTTRSNMMPNEVLEDCLFHALHQSLMYHQWKQSKSLEDYNKYRSSIRKTYKKPGMCSDVYEAVKVMKEEAEMTKSNNFDFIDVDHLQKTVFSGKYQIIVFCQNSTTPYYRGPYVGEKKSLVLYLSNGHYNGVRSICALLKTSYYCFLCNSRTENAVTHYSCPLLHRLCGKKNCPDVKEGEQKKCERCTVVFRSIQCYENHIAKGPNGGKSRCDYTAVCKKCEGIYYTNKGKNNHQCGAKWCSRCNCKRTTPHKCNMPKSVKNEKKLTRKRVYFDIESRADESTGQQHPVLFVALRCCPKCSSNIPKCLQSLKSETCEHCAPDGRLKIIECITSKNSHVNVGSQMTKWLFADHHRGRVVVAHNASGYDAQFILENIIASNTAKPKLILEGTKLVFLEYNDVRLLDSMKFLTMSLASLGKSFEVDSVKGDFPVLFIKPEHYDYNGSIPEDKWYNLENKSSSGKKQLLNFLESERKSNKTFNFVDEIMRYCYNDVYILAKAMNIFEKEFETMTDVCLLEESTTAASAAALVFRRNHLDPEKPIVLDVKPSVSIKCSVISQKYLAWFSKKENVSLSMSTTYGEEKIGKYRVDGFVSPCEKYPDGLVIEFFGCYWHAHSCSYSQESVIGDMCAKEIWARDEERLSYLRRKHPVKVVWECEVNQELAKNHEMNDFFENYEPVDILQSEKSLAGGRTEVFQLQANNFKKVLRYLDVVSLYPTVMKHEAYPIGAPENVSRSTIKTPITLPEHITFRGFISCNVLPPRHLRLPVLPIKYGGKLLFGLCKQCCKENCQTDCVHNNEERSFNGTFTTVEIQKALSVGYTITEVYHGIKYEHWVENNADGEGGLFTSFINQMMEEKIYSSGWPSNVKTDEEKNAFCDAYLEKEHIYLSDRSRFKKNPGKRAVAKLMLNSLWGKFAQNVDRDATKIIIDPMEFWKLVYDTNVIISIVRCVNDVLVVKYRKQPETMQSLKTSAMQLASFTTSYARLRLYDFMERVGGENIIYTGKKKSNTEYTYFQFSDTDSIIYAVPDGTQDPLEHDIGPYLGQLTDELDGKMTEFVSLGPKTYCYKDLSNNEEKIVRKAKGITINAKVEKRLSFETMKHMVEEVVENIPRTTLNLPQHIMYRDNNHRVYSRNIVKEFKYTFSKRRLLTDGSTLPFGYCGI >CRE15110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig762:5027:6073:-1 gene:WBGene00073339 transcript:CRE15110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15110 MHYAGSRNQLVSKIGEFIMLASESVQDESQVTPEEKTIRLMMRYRHLYAPWDISPQDAIKEEKSLDKGKRVLNQLDTKMTEFDRWRSQNVDLPEEIKEMVDHVESEIKREREKLERANKQFVLPPGTQEDYEQETKEHVAIGIARMQEVRMRSTIAIQDIINYWEEIRRGYEATQKRKLLELERRQKEESTRLRREGERKVNHFVRPSFGKGNNSIHVRPVNIHNWRSVELYLRSFGEVTASKLHGSYAFVDYSSTETAKFVRNLRQFICNGETIYVNYAINNWKEYCERARRGWQINPPIGNEGLSVSNPASAPTSWHDHQRMLTRPQ >CRE15109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig762:3124:4485:-1 gene:WBGene00073340 transcript:CRE15109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15109 MTDPNTETINEDGQKLSEYGVLKDDKLPAMPVEGNTHEEPSADGKAIVSVKETEEAAKLRESQEEKILSIQTAYYLVAGKIDTLEKESEAHRDVLDQIATDQSNLSEKIDQQMSTKADLQCVQLGIENEVSRLKEAVKELKHRLLGEPKESPLDCFSPSPFKIPKMSEKRGPTGEIEENSSSITRKSLKDCPLCRDSGHRLADCPQFASKLEKLQQFRKRQICCTCGNLQCSRINCPKATIQCQICKGKQEFGKTLHISEICIFETHVSKRPSQTEYRRQKFSAQRPRSKSPAKENQAATQGPQPAQLQQQMVQQQYQQQPMMPTGPAFGPGYQMNSMIPPQQYQYQHPQQMVPMPMQPIPLQQYGYYQQQPGPSNQTTHHQ >CRE15108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig762:13:2283:-1 gene:WBGene00073341 transcript:CRE15108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15108 MAPTPRIQTLAQRAGRLKTCITRVIDGSKQVLEYVDQWEADRKAACQAAEHENRGHPIPDLAVTTDALNTLISMETQLEGLPQILQDKAAKLVEEAEENNEEWEELENLCKLAVEEREDQRKHLLIAVKAKIEMFRELHDASEETVPPPPLSPQQVLHIMAESIDAPNQEPIVTSPMKYPLYHELHMANSTGMNGNNSELSTEASQLPERIHDLNLNGTLENQTRAADTPILNSNTLISPELGGLPVGNIVYQPNNMRQKGRQNINENSNYPAAAGFSQSNMNSQSGHVRGNTRNNFNNIVGQPRFEYSEENQQNNCHERNFNGNEHSEHYQQQQIYNRMRYSEYPDHLINQPQYHYQQNQTRQVQGNWNNQQIPGKRCEVCEGDHEITLCNQNNEVVARVCIKIGICPKCRTGGHPVTGCPLLYLEKEQARMNTEKNHEESRNRNQFNDQESNQDNRNSTHQHRANNQPRYAERKNEILERELARHVATIKPFTGVVSEYASFRNIMTDYLDSETVSLAVRRDTLMQKISGEAAVHKSILNDPGKAIEATMKNLDRTYNRKGSTSVRNQFEKVVVSDESIDSFIKSLALSRSLHDKVLEEEPHGFEYHSTKALLGRMPDAVRAMCNKMLRNETLTTEKIYEKAEEHLENQIEDAEITGRSASQSLKKWKTELHVTQSETDHKEEADKDSEDESTAELLAFGAKREQSKFHGSKTLNNQQGRNNQTVNNEVTALKSNQKQSTQQPAQSQNQPNIGTQ >CRE26855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig565:7507:25171:1 gene:WBGene00073342 transcript:CRE26855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26855 MDQTSFGRDCESAYGSEVSSNATFKLQKDRFQIEESTTKKEIWYEWIRNRLRHYMILELLFSICLVLILWKQYHISSQSDKTLELISSIQSEFRNFKIDIESDRASKPTDTMNLDVGNEKLEEFVEEGMKDMKNPSIERNQKSKEYPKQVIPTQENSSPNNSVFQINAASLVLGATVDSSRSSSSDNNPLFGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPSRYDVLACLDYYCNTLEPLVSNCEYRATRDNKQEQFCSIPLNRNHSSIGKVQFHFRQNHGNVMKTCAHSIRVYGETKEVPKVNERTLKQAETCSKLTYDYHHKSWTYNIVCVLNIKSYNYFNYFQIDYKNCTVLYSNDCCNECPECCDECVIKDINSETVFFCVFFIIISPFIIGPILFFIALIIDYLLSVIKASRLRGPYIPDYYYSCAPNPYYWRFGIHTLDEKTINDFKTRKLQFVEFNSEEKEEMIINSIPYNPLLDDIIHDPIFREQTFNFSENFFQTILFMEWNQHKHKYAENETFQMVEYKMRTFVSFYVFLPKIRFGLQNALKNLYHLINTANEKYVDIRVPRFKIDTEADLGSFSNSIGIEKGLYEDVSNKVLGKTPRFVHKVQFENSMLDISLSRTFAVDMAGFAVNLRVVMNSTAVFGLHCKERYAPETCLLEDMGLERKDIEPFGWEGEKDREILVWHTKTSTPNFPKAEKNATKPAPPPETYGYFVEV >CRE26857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig565:6138:6957:-1 gene:WBGene00073343 transcript:CRE26857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26857 MNWRHDPRLKQLLEKATLESLFKNQSIYDNDEVQEMDYTLRHVLKKYEKKDILIEKLMENQGNEAEPIMQECLNSLYEKTMNQGKDHTPENMLTFCKLFRQIGDYQLACEMIWCCASLAVQEFITTRQLKVKLHSQNDKRSFMRALSHEIGNQFAVFEVCHLSFFSNSHKARCVNAAFVEANDFVQQLRTYHLTEEKKNELEKNNFNDDEL >CRE26852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig565:989:2572:1 gene:WBGene00073344 transcript:CRE26852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26852 MFYRVCRRKLGEWLGGSLHGVPADEAHKLGSWSNPTPSPPTTAALEKPNFKRTLSGIHDDKVVSFLLFLLLSYTKFDTGPPSLKGVISSSVKIYFESESFKILLTGTIKECMETVNKRMSILELSLRKLEDQLKLQEELKLAAESRIFSSHHHLIKVIVLATPIIELEMNLGLKLLKQSDTSDSFIVSPVSIIIGTHAFFKCASPEVRLRMAKLILEGGTPDDLTEYFIDLLSVLRATADYSEIVNLEEPSDPTIQYLYRGGQHGLEKNVFDDFLSMKLKFLEIESSSESCESTLLNTLSCFALFNHWFHTFTTFEGKFHNSPDSQRDVEYMLWDGYRQFYSENDDFQMVQLNMRRWVKLTIFVPKTRFGLANILKNLKDCEQFSGLIRKKKLVYVDVSVPKLKINTEVKLEGMMTSLGADKNLYKEVTKTVMESDEDITSLLHKSEFELNEKEDDEAEYDCGVLECYRPFNPIFSNKK >CRE29246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig559:475:1038:1 gene:WBGene00073345 transcript:CRE29246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29246 MFQCKISTKSSCYESDLFQKTISNSCDLQLEFKKLTIHLNGSKYQNLFWYQISSNLELVESLCISSVPDPGFRPVFTSWPQNIFIGNSNWFTLEYLLACTCTTITLEDSSLENKDLEEILKIWKAGGFPNLERLKIHSRNITSTGTTILGMNSEELYKKDIETEDGSKKAFIRIRHQVLEMSVTPLE >CRE30557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig688:4036:5015:-1 gene:WBGene00073346 transcript:CRE30557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30557 MSSPFPLLRLPRLVMFEVFKSLSIGEKIQLSLCSKKTLAQFNNARLYSQKVHVHLDMTIERIQVYPEDFKDWFQIGIHIDRKIDNPTIQLFGRRIVPMYKTIKTYWKNLGEGFLCVTRHLLKMFHCEISTGKECWRNDFFQPVISELFEQQVKFKTLTIRLYGSTDENLFWNQISNKFGLVKDLSISSVANLGFSPVFISWPQAITIMNSDWFTLETLWTCPCSFINLENSTLKHMDLNEILRKWMSGGFPNLDLLKIHTLRFRDYREDILGMDWMELSVMVIQTDDGLKKATFKTDHQSIEMSVSPFE >CRE17749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1350:3187:7668:-1 gene:WBGene00073347 transcript:CRE17749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17749 MLAADLYFNFLSFSFDFSRIFYFFQEFLRYFMKFQYKMNKSRGTELVAPRKTIQFGGYTFVEPDLNFKAPIFSCCGSIQDASCEKEFEESDEEMEEVPPQQQSYQSAPSSSYNKPGPSSSNSYQNEPMNNSWNRQQNYQTNLNSYHHEDDDDIFIEEPPAYRQIDKPAARSYDVDDDPMDDSFENFHATSSEARGVPDEPEDANDSFDDFESIPAATTKSLATLQKSNSESTLNQRHDMHGRFRGFLQDDSEEFNNELELLGADMNEELYSTLKSKFGFNQFRHRQKQCILSTLMGNDTFVLMPTGAGKSLCYQLPAVILPGVTVVVSPLRSLIEDQKMKMKELGIGCEALTADLSAGAQEDIYSDLTSENPTIKLLYVTPEKISASGRLISVFYTLHRRGLLARFVIDEAHCVSQWGHDFRPDYTKLSTLREKFHNPPVPIIALTATATPKIVTDARYNLKMQNSKLFISSFVRDNLKYDLIPKAAKSLINVVEKMKQLYPGKSGIVYCLSRKECETVQMMLTKAGLSAEVYHAGLNDGLRVSVQKGWLANKFDVICATIAFGMGIDKPDVRFVIHYSLPKSIEGYYQETGRAGRDGMPSYCLMLYSYHDSIRLRRMIEEGNTTTGVRSMHLNNVLQVVAYCENVSVCRRKMLVEHFGEVYDEQSCRNSKTPCDVCERQRKNPEAIRLFDVSNDALSIMQCLPRMQKATLKYISELYRGNLIKKTSEQAVRMGHTKLPFYSKGAGMTEQDALRFVRKLVIEGLVHERLYSIPNQTAAVLAYAELTEAGKEIASGKKQAKVYLHIVTCEKKRKNAGLIELSNMNTVSEAQALKERHMVKHGDVFTKCLQELTQLITAVAESSGLSGPYSIVSREGIEQIAALLPRTNSDLLRIDSMTQIKITKYGRLIMELLATYWKQVDEREETEMRNQLDKLKNGEIVMGGFATLSSDNNFPPAPYMKPLGGGRGRKRTTPGFSSGRAVKKPRAVPPSGRGKTRGRGTTKATSGSTGMRKNMFPSTFF >CRE17747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1350:1566:3018:1 gene:WBGene00073348 transcript:CRE17747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17747 MSIPPDTIHFNQSYLNYQYEWNGFPTILAFVPWIYMLPTLVVTFKITAIYFSTDWDTVEPGKNQHVFLVISLVQISCFLFFLFNYLLVRLPATGLFTSYCAGISPNSWLLVISFLASYTNYLAMVYPFFMPVVRLMILMHPKNHNRINSIMMMITVPFGLIYPICFTFFLFPAIGTCKQLEYPYPFGSIWIYYSGPAFGLRNTPFFLANLSFWLGCSIIANILLFIKIAHAREQLFTQQTSGISYKAQVSITYTTIAMIVFYVTNGLTLLSYYLFYGSHSIMAYTMLARPFGNDAQACLVSWIFYRTHPVFKKNTETGLMFERRVATA >CRE11545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig697:39087:40567:1 gene:WBGene00073349 transcript:CRE11545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11545 MSDSFIPPGTVHFNQSYMNYQYEFNGFPTILAIIPWFYMLPTLIVILKIASVYLKTDWDTLEAGKNQYVFLVISLSLIFSYIFFIFDYIEIRLPATGYFTSYCAGIAPNHWLKMVIFLGFYSNYCAMAFPFLMPVVRLMILMYPKDHNKYNVVIMIVGVPLILIYPIACTFFLLPAIGTCKQLEYPYPFGSIWIYYYGAAFGMRNSTFYMTNSIIWLIFAIIANIALFYKLRKAKERLITVQTSGISHRALVSVTKTTVVMITFYVTNGIFILSYYLFYGTNSLLSYSIVLRPFGNELQIVMVAWIFYCTHPVFKKAVVNTEMSFERREHTK >CRE11547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig697:30141:35708:-1 gene:WBGene00073350 transcript:CRE11547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11547 MSEQTGQKPSNLYERKRRMSKKLDDADLIPQHFEYENQYEKWRAANPRIEFDFSVDQSGYIYWVWTFIVVCGCLYNIIVLSVLAFENIRTAYIEKFLPINIAFDVIFFLDIIIRSMLCGLKVIVIKQKEYVSAFYEDGVLVTEFAETRRNYLHSFYFGIDLLAIFPFDYLLIRKTSAAFCRINRFLKIYRIANFIAQSYGKLTQVTISLSKIITACFLLFHVNACVFYIISVNSDTSSWDGVNATFDDDEFLPWPYTPEKITDAYFVGCDGRSDCYNPHFYYDEAREDHLVELYHFWRMNNRTQIFNFSTFTKEYTLSMYWSAMTMTTLGEQPAPNTSLQNAFEIVNTLAGLLLFAVIMGSVGDLVANANAVKTYWQTLMDGLKQYMTYRNLNESLQTKVLKYCEYEMGEETIMKEHEVRDELPTKLYGHVTTSIIGSSLVKSPLFRLSERSFLNDISELLEPHYFCPGDVVIEKGQLCSSMFIIVCGQMVEITDDDEIDHFEGEILGDVNLIWFNNHLNHNRHQNNFISSAFSQIHMLSRDDFFKVLGSYDLKLKRRLCDVAFYLQRQRGELDDKKRSLVENEDMESNLKRLAIDTLDLHDKMTVVEEEFWVSMDTEKEKSARSCMPSSSRSGDARMAHVKFSMKTKQRVFKTLFRFFTGMWCQLVILLLSFLGQCLSDYCGSDQIAYGMEVHHSGVIRLLCSKPSCYDKNYSDCPERADSPKGCKKTNDWVGGFEKNIEGDLSIMCCEFDGLEKFAKIRYSDVRIRRGEFFEGEEKENSDGDVIRFDAIKDIRMHRDTEGKAYYNLTILSFDCESIPDVKPAWLQKSQWPYFQYAKN >CRE01485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1400:4039:5232:-1 gene:WBGene00073351 transcript:CRE01485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01485 MKKLWSSDSYIRKLYTDYLEEVAKVEIGKFEVNLNDLAEFLSDERAVDSKLFGFNAFTRVREVVRSLCKNKDSPLHSLKIIEREGKLAISVQATEESIEKIFTEDQEKKLMYLLKGELNTALQHRFFTQKVFKSEVMRVVQQHPQSNSFVRNGGKMSFSAQRFVHPGRLNQLPCNYNTWAKGRTKLCRRCAKNENETQSHILQVCDYSIGNIIKERHDAVLYKFRELIKRGPKGHWLKKTDRKVPNTGSQLRPDLYLESPDGKHVILADVTVPYERGIEGMQKAWNEKINKYTDGYKEIFRRQGKSLVVLPLVVGSLGTWWKPTEESLIKLGVEKTTVRRIIPETCGMVAEYSKNCYWRHIYGGKYVKTPMINGGKKPEGNDWTKCEKGIEVPKVTN >CRE07113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig734:4142:6878:-1 gene:WBGene00073353 transcript:CRE07113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07113 MYVMPIVLFFKITIIAHKIEMIPITALSDLLDLNMKSLGHHVHSTIGKFIHSMLYKIFLGQPFLDPVLYNCTGTEIHVDRHLVLGILYFSMGFMAQILYLFVLKTFWFHEPFWEHACYRIMFFLETNTPLAILINCPFFELRYSSKIPGIPDMLSLIVCAEFAGIWSILGLHSCYNMKFAVFSGCLVFGTWHMSCFYVLILAFNRSCELVVPKFGRLLFSGKPLSIILRLPIFYFIYFAFFTKPLIYDVTESTFLLNPLTKATMNFDPNLYTVYGFIFNNFFCMFFIGVNYFVVCAYLLYHSCSTSIQTVSKIYRQVTVQCMIVCTCHFIGCYLYIHMQYRQLPNVFHVIAQLAWIGNHGLPPLVYLIFNTSIRSKVSLCHLQGDRIAASSHTDQKSGALVRNTQKRITTVM >CRE30013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:423014:423945:-1 gene:WBGene00073354 transcript:CRE30013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30013 MQVIVPVESTAEIVLVDVATTAQKKAMKRLTCRKCEGHGLYAILKGHAGVCPYKDCSCGTCASVMSMRANALIRRFRHRQPDKSMAVVKSLRSKNGNMRLRIVARNDEEQLVEPDGTLVTYTNDKNGHQTYTTSIRRSSIMTTTTDDRDSVISTPPSTNNSTPSGSPPLLSPYGEMDIGLETMANAGIDQVANLIKTTLIGQLLQNPSAITPALISLMLQPQQSFDPINVPIAPTMLFPAFLNGISAPSLSV >CRE29909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:379149:380527:1 gene:WBGene00073357 transcript:CRE29909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29909 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MM51] MFVFLFFIFISYLCEYSIAAKQSWEINQELVSNENKEHTVFGDMRDPNSCSIASIRKLKRFGSNNSWSFNQRKFDESMGKEYSTLLRNFNVSKVHFETFRKKILISSLRYFEKMSCFKFVDRTTQNDYLFIVPLDGCYSYVGKIGGRQTLSLAADCIADYIIWHEMMHAIGFEHEHQRPDRDSFIRVDYSNVIPGQMINFDKLQQNQVEFPDSYDYKSIMHYDGYAFGRVDTSRQVRLATMIPLKPGVRLEDNMKFTATDIEKLNRLGQCGTRGGQYSNQGVAATTCKDVATVTSCEGNRRRGMCKNPFYKQMMIKSCQKTCRLCSYTRMIDEDDELIPNTTLKPLKCEDKHPRCDIYSQNGFCTLPFYDDVRYQLCAKTCNLC >CRE29908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:377674:378735:1 gene:WBGene00073358 transcript:CRE29908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29908 MWKITEHLYLAQLPMIIGPTNKQEFVKNEIKRVLTLETEPIPEKKQIENVDYKFLYLLDMPNEPILSNGLLEEAVSYIEEGVEKGENVVVHCIAAVSRSVSVCAAFLMYKNKWSMEKALKMVASVRKSIGPNPGFLAQLKIWERCEMDFIVEKYKNLSLDIPGVLDADSKTLWRQPVIDDRTKTRFKCRQCRKVIFNSDNLVHPQLTESCQKYFIEPMEWLNITASTCSASHSCGAKLGNFIATGSKCNGCNKFVKRWIFIDKSKIDRVELVS >CRE30004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:372941:374535:-1 gene:WBGene00073359 transcript:CRE30004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30004 MDQWDRRCRVATCTVNNWALDFRGNYERIVKTCSEASELGARIRLGPELEIPGYGCADHFFELDTERHSWEMLSKLVEKSKEWPNLLVITGLPTRFRGLLYNCAAAFKNGRLLFIRAKMGLADDNVYRESRWFVKWTETFKHYQMPLNSEFYFEQSYVPFGDGILESSDNVRVGFEICEELWSARSTNIRLSEQGVDIMCNGSGSHHILGKSNYRINQLILGSSAKVGGVYLYANHRGCDGDRVYYDGASTIAQNGDLLAQIHQFDIEDTVSFRILCVQKIIYFQCVTTAIVDLSDNSTFRQMKSSNRGNASDQITVVPIRFEGNMIGGVKFNEKCTEPITNVEELQLSPIAELCHGPPAYLWTYLRRSGMAGYFIPLSGGQDSSAVAAMVRLMCEKVCGAVKRRRKTDGKLIQFESFNSVCDLGGDDPAYYLGGKKV >CRE20989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig314:37948:39641:1 gene:WBGene00073361 transcript:CRE20989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-paf-1 description:CRE-PAF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJ13] MRNQQVNQRVSECVNALKLLENLNSGVAPEKVLIGKDFDWRQFENQLNISTAAIIGHSFGGATSLASASNGFQKSIVLDGWMFPLDACQQQTSIKPILFLNVGDWQWEENLEVMKRMLPNNEGNLVLTLNGGVHQSFSDFPFIFPNWLAKHFGVQGSTESTLCIQAAIELTLAFLENGKEGAQRVKEEKFFNFITNEIYGRERFKILGV >CRE20996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig314:29360:32033:-1 gene:WBGene00073363 transcript:CRE20996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20996 MYSSTLSSPELRDCRRYARAVISASFIASVRHVQTLQRLDESVETVRRKLSRPRKESTDTSSTSPTPRIKVVTLPKEHCPKTLNQPIRPKNWSPITDGKKAISAMIDQMWNVPYAPCQYIVQFMCTVSLATLQRHKYCVRWQNGRRSAIFYVNIDETKWETNVWVTFPGETKSHRPQTSSANFQRFLLRNLF >CRE03431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:172470:177164:-1 gene:WBGene00073364 transcript:CRE03431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03431 MKYLILALALFATTAFAHSKQSMLESNRVMVDDVQGTSCDECVLVVKKFSDAAQDPKKIEELKIILGMMCRETAYAEECRLFVSQLDKFIDKLQPFLKDARAFCARLHICGNKKIDAFRRILLEFATRAEKVYSVPTIVCDECEFVVKELKTVVEDKKSQAEARDFLRENVCKSLGQYRGFCDLVVDEYLPQFIQELDAILADPHQVCVDIKACSAGQGFKARKYVEATDEEKEKVEDEVDTFWNGLTMKNNKGQR >CRE03409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:169104:171718:1 gene:WBGene00073365 transcript:CRE03409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03409 MARKMPKPDPERRSRANMRERQRVSEMNGMFEVLTGLLPPSLFRNKLSRAQVLREAASYINRLTKHLQSPITVENDGLVKFPHIFCEDRKQSNDGHRRPLKLKEGGGVTAFISRHDLPSDPVPVYRPTPVLPQSNVYFAYF >CRE17681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1104:5522:8449:1 gene:WBGene00073366 transcript:CRE17681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17681 MYIVYAKNFIEENNTDQLKQYKRDVEKRWRLIAHVKIVTIVKWRVEEKDWVKGFNVSLKLTTVDGGVIDQYNFRVDTTDSQGIYVYTSLRPGTLATTISIAWATNIPPPNLSTTTVFTGTTPTPGPTTTAPKLPADCDEVEDKTSGIQTIYPDGSSPVNVYCDQKTSGAYTVIQSRGTTTNITFDIPYANYSDWFGESGVGKNFWMGLDNMNALSKNGKSYSLQIDLCCGTELRAKQIYHNFKVDTKANQYKLTATADLPGIGLDYTSTVKDIGAPFATNSTYILPKAKAECDQFDYYDDNDAGPSVGYGGWWYGSCGNNLNGFMYPSTNLDCSVKKFDSTLLLGINMRITNGQAHGGYDVELVSYDRVRMALFTFDSLNVDKTDSSFCG >CRE17682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1104:2766:3777:-1 gene:WBGene00073367 transcript:CRE17682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17682 MDAIKVFNNVAHGVKNVKTILTVFDGIDKSIKEQKKKILKPRTIMDICILQTILEKVAKSENEELIKDFEQHEITVRLEKVALLIIQYEARDGKNLNKKEKQRLGYVVEEIECIYDHSKALMLANVLDVTGSFKL >CRE17683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1104:4169:5270:-1 gene:WBGene00073368 transcript:CRE17683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17683 MSYTTAAKEIVTAIQKADAEMKKRIRKMMKQRTLEDVAVLQTMLERVSESTNQQLKIDFEKHRIPERLKKVASLVTNTHYHGFAGIQGDGKDYTLFACLRNEGFWIEKQRAACGVGAVAGLLMLGAPTVYMGFIIADMQKHLGETETDLKFVVEEIESIYDQTNSLMLANIV >CRE17684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1104:9219:10384:-1 gene:WBGene00073369 transcript:CRE17684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17684 MAEHAFNTYIPINSIPEYQNFSYNFDYVTIIMLFNFICLFPTLFATTKTVLHYSKHANQNSQGGIHPYVFKSFVYMQISSLVYTVFDYIIDRIPSTSVVTSYFSTMKSDSPVKYAVAAYYLFEYLYQLLTVLFCLMRLLVFIDLKNQLKITCLTFHFWPIISTIICVIASIPHCLYGAVAIQLDVPFQYGAIVFTTTLNFTSRTQTLGKFFFSVVLSILIIMMTFMMLLKLNNLKRLSSISNRNLKAETTLTITMFLILIPTVLNQIITVSSMFAPMYASYSILLRLTLMDCRVNIVSWYFYWTHPYFKKKTTVTNSLNIKVTIIT >CRE16294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:319093:320066:-1 gene:WBGene00073370 transcript:CRE16294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16294 MNLSTEQIESFYVSIYSNPEYEMISYRFDYVTVIGIASFICFIPTVYATIKMVLFRNPQKSSTDIHPYVFKSFVCMQVSKVICSILDLVVVRIPLTTILTSYYSTLKRDSPLRILVAAYFSLDNLSQLFIVLFCSIRLLVFINKEERLERLFAVVEVVFKAVISICVVVTTLLMLVKLKNIKLLSSISSKNTKAEKTLTITMFIIVYPTVLDEFIAVNSSSSK >CRE02661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:51666:52831:-1 gene:WBGene00073371 transcript:CRE02661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02661 MNLTTEQVEQFHTSIYLSPEFEHFSYNFDYVTVIVIISFVCLVPTVYATVKMVLFRQPHRSSIDIHPYVFKSFLCMQVSKVILSILDLIIIRIPQTTILTSYYRTLKNDSPLRFFTAACFSINNLSQLSTVLFCLIRLMVFKNNRERPDTYRCVFWIWSITSIIFCAVIYIIHFSYSVACMTLHSPFQYGAILVTSNLYESIICRCASQYTSYCMLIRPIFQDSRVNIVSCYFYCTHPYFKKKNTVTNSLNVTPRSNT >CRE16293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:317076:318247:-1 gene:WBGene00073372 transcript:CRE16293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16293 MSLSNEQVEKMYTSIYLNPEYENFSYHFDYVTIIVIASFIFLIPTVYATIKMVLFRNPQKSSTDIHPYVYKSFLCMQVSKVISCILYLIVVRIPLTTILTSYYSTLERDSPLRIFTASYFSLDNLSQLFTVLFCLIRLLVFINKEERLERLYTAVEVISYALTTICVVVLTLMMLVKLKSMKQLSSISSKNTKAEKTLTITMLIILFPIVFDEIFTIISLSAPQYTSYFMLLRPIFQDFRANIVSCYFYWNHPYFKKNTTVTNSLNITILT >CRE02656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:43204:43773:-1 gene:WBGene00073373 transcript:CRE02656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02656 MDIPFQYGAIVLISTVSYANRIQSIGMFIFSGFVTTSILLLTLTILWKLRSLKKLTTISNQNIKAETTLTITMFLILIPSVLNQIVILAIFFARSYTSYTILIRLISMDCRVNIVSWYFYWTHPYFKKNVIPKTVNAWSVSNC >CRE15799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig626:204:1145:-1 gene:WBGene00073376 transcript:CRE15799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15799 MLQKSTHPAAEFTAWELQPPRGCAALARTSPAETNEGLDVKHHLRLTPFAVNRKTSILTHFSKMRCTDSAIIRCFNQQHRREVPAGVNDRLQGKATTSSFTTSRTGHVVLIDTQTIIQRHKWPVGVITSIKRSIDGQPQSVMVRRRTQELEKSVNQRIPLGNWGLPAEDLNEEGKSKSVPPQIESESTSTILPTKTPDTLLRKRGRPPGSKNKPKDSTNQVDNGQKTKTHEQPTRPKKGNKKQAEKLPAASQRQGKEDRSRPHLPRKAKICTSNNETQDTQPIGDNQSISPKVKMFGSSAPGVSRPSYGRSQP >CRE27831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:260983:261792:-1 gene:WBGene00073377 transcript:CRE27831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27831 MNLLYLLLLFASIDFCRSKKCEDTTTRGTVAPSTVRPTRKPPVGNNAPKPVIRFDIPESTTVRATTVATVGKLFDLIRGGRPGRIYSTPKSATVTSDKGITVATVTRTTLRDKLRKLTTVPPIKITRRVGSPGQVTVAKIVRGGARGIPILATVPTRITVHTKTTVPPIKITRRVTVPGKVTVVKPDRGGARGKPILATVATRITVPPMVTVPPTTATTKTTTSRVTVATTTTVRRVTVPFTTKTTVRTTKKVPMGVNEKKKELQFYPI >CRE27808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:264442:266341:1 gene:WBGene00073378 transcript:CRE27808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27808 MPKNTVNRRQIFTAENFGDSDSDSDYDVDELEEKEEQKLADTKINQIDVKKCSEWSLNAFTRFYTPNYPIPESEMEDLSGISKILKSYQYVDAVSFSAASRIFWNHRQMTSPRQRDDSEGKLGTVPAFTPPNDGIRGFYRVVVESESRYLLTACHQNIHAATRGDLRFLEINDTTECIGTRNSVIGTLFLGDIVCVTKLARTQHFDDHFMPPKTADVVLDKEMVRFDRKN >CRE03492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig330:2159:3517:-1 gene:WBGene00073379 transcript:CRE03492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03492 MTPRNCEFSADIENKPKTYYSHKNIHLRELKALHTMKIEATSKFRQFLDEEIYRTVVKWNQEHQKHPYHFDFHGLTRKSAEWYILDVLDMMKKNNITEARIETGRGRHSWDNRPKIKPHLMEMLNKRSRCSVEPENHNDGMSAAFSGALPNSSYVPKTPLKSPNKFQQRTTGKWKRSIEESHPGANPIKRASTQNSGEVEGQSSGDNATSGTLHDAAQARKDYLENKATGVELPTEESAKLDPKFAFGKSTLIIHMCTKCRTASRILDCIDMGNGDNGLVINLCTICRALFNTQRRIKFFQHELACIKRRQIQ >CRE03491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig330:3983:6778:1 gene:WBGene00073380 transcript:CRE03491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03491 MSDSFDEFPLGATNLTHAEAMALCQNPGDCSFDEFPQNATRLSRVDALALCTPQNASTDDFGPPSKRMKITGPSDIGTPLMTSTPIKNSESTLRKLPNQYGAGRPRKETDNPFTYISPNHFPTPKELRFFTKSVRMSKENKLTRKNAAFYALDTIRIKFNALDDIKNPALLHKHVAKCIDIFIRKQIHAAGGDLETTPYWLQMQHEGFREEAGFFISHKTHSAVGGGEIINTLARQMQSNKNLGLDGSFSVAMNVFKDGARRKLMGRGVEKKKGTRSAEKMKETILQHHFGEKRKRVLGDSHCMVKALCLGKLVSDSSNPRFSDVERKKFKKTLYNMTRMDRTLEFQTNAQLKMAKNFLEEAQMDTDQEEHGREDLEVLAAYLEDYQITLWSIKGRDTVLTEEAHYNEKGKGFIGLFHYKGHYEYVTHTKSGKPSRFCYKCSTWAAKHHSEKCKAKCWWCGFTECKPEPAIKIHCDDCNIDFPGQDCFDRHLKCVTGHALPNCKKFFFCSKCMKYDRTPEFQKRSHVCGATHFCAVCKAKKEKEHECSHPMPTEAGKKKKREKQEKWTIIVYDAECVVVKSGEYSDDPCRGPKHMPNMIVAHMFCNECRGKAGCPNCKEPIIFSYKDDEEEEEDDDHHFAGEEEEEDSEVGSDSECDFDESEPEERSKTLTKFSKFLMTDPRANGAYVIAHNGGRYDHVMVMAEMDRLAGPEATPPSFIMNGKTFISAEFSYKKQRIHFRDSLQYLQMGLAKMPSAFGLTGEAKGYFPYLYNHPDNYDKVLTTLPPKEYYSPDFMGASKKEEFEEWYEENYNTPFDLYTEMERYCLSDVRILRLTLVAFIEVSDFF >CRE24762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:179462:186121:-1 gene:WBGene00073381 transcript:CRE24762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24762 MLATRRILPKIVASTTATSSGSIQKRDYFTRHVPEPKMQIHLPKSQDMTVDSLVLGDSHHDEKKPVILMVGWAGANPKHIDKYIKIYNDEGYRVVSLCPPCYHYSIPNSRVGFYMSPLFRAIDAKPGDFRSFAQCPIVVHSFSMNGVRGLISFWKWTEAEEKPQLRDRIKGIIFDSAPSRPYGKQDATAMVISTPPIDALERWISEQTRISLLTWYLNLRGTLQIPLLAMIPFLRSFMSIYYYLQTHITLPRDQLYLYSKADTMIKAKHVEKFINKQKEKGNSVTSIDFFDSEHVAHIRTHPEEYRTACLQFVRHVEATYK >CRE24763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:187057:189498:-1 gene:WBGene00073382 transcript:CRE24763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24763 MLTFAESERGGANSRNSNFQQATTPKKKSPPSANLSKSKGKPRKQSRKNSDIDWEPPVQPPSKITPTIPTVSIIDSSIEPTQLLSATGRPLRATRLAPRQVYNIEEEVKKKTRKKKKKKEEPTEFDRDVDETKEERQKQSIRAAVNCGFRMPPSDYIAPPLPADKSQWTREDYRIHQFGNVQASLRDFRPDYLDNRSALLHKIEKRLESSDEEEEEEETAPSSVTDSQNSESDNESQKEMEDRENNNVSEPEEQPSCSMDQPSQSHAIPDYDYDDDIDWSQPSTSTAPPPKKPRYDSLPMLKDSNFWG >CRE11647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2936:1172:2291:-1 gene:WBGene00073383 transcript:CRE11647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11647 MNLLLPIFRLPFRAMEQVSKALHSIIKMISNRRNNTFPILRLPFLAIEEIFKTMHPIEIINFSMTSKQAKAITKNMAFYSKYAICIYIDKTLGIAINGTNNRVSCVYEMTSDTQMNGKTEEDKRDRFITRKVFKYSKDPVDEWKQLCKHVLEIFKKQTFDVLTLYMDEFVDHNVSIIDFLRTNVKSVDVCYLFQWKEENDVDEHAAYLLNNLKVKNELNFCLHIKNDNFDVKIPKKMKELNIHNSQWFGFERLLEIDCKHVVLTNDRIWEEEWNLFIKKWIAMETNQNLEYMELDNRNLDIFRIHVLYDIPHEVVDDGVKRILKT >CRE23275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2237:2500:3308:-1 gene:WBGene00073384 transcript:CRE23275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23275 MNNQKPFPILRLPFLAIEEVFKAMDPFEIINFSMTSKRAKKITKKMAFYSKYSVQLCVDKKMGISINGTDELVSCLYVTTSDTQMDGKTEEDCKSVILGSNWISVEEWNSFFKKWIAMETNLNLECLQLSRKHLETFRALVLHDIPHEVVDEGVKRILKTVRNRSTVVNGGIDIRRIDGKTATFFVRSPGWTRNIWMCIH >CRE11608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1577:5273:6550:1 gene:WBGene00073385 transcript:CRE11608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11608 MEEVSKGMHSIIKMISNRKNNKFPILRLPYLAIQEIFKAMHPIEIINFSMISKRTRTLAKFVSFYSKYSIRLSVENSMLKIRFFGTKNVVSCCFLMTRKILLNGKIEEGEWGNIRTVFKFSKNAVDEWKQFCKYALEIFKIQTIDDLTLYMDAFVDQNVSIIDFLITNVKSVEECYLYHLYKEKNVDWHTAYLLNNITVNAKLFSKVNIRNKNFNGKMSKNLKEIYIYNSRWIGYKMLLDIDCKNVILEKNRISDEQWNLFLKKWIAMETHLNLEYLELDYRDIEEFRALVLHDIPHEVVDRGVKRVLKTYCDEKRTISGGIDIRQIDGKTATFFSVYSFQTLKFAMSIH >CRE23274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2237:44:829:1 gene:WBGene00073386 transcript:CRE23274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23274 MISKRTRAVAKLMRFYSKYSIRLTVENFMLGIRLYGTKKVASFCFVMTRNILMDGKIREEEWSTIRRVYKYSKNPVNEWKQLCIYVLEIFNKQTIDVLTVCMDEFVDQNVSIIDFLKTNVKSVEECYLYHVYKEKNMDWHIAYLLNNITINGKLCSMVNISNKNFDGKIPKNLKELNIYNSQWIGYEKLLEIDCKSVVLEKNQISNEQWNMFLKKWIAMETHLNLEYLELDYRELDRFRALVLHDIPHEVLDRGVKRVLKT >CRE19600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1589:306:1328:1 gene:WBGene00073387 transcript:CRE19600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19600 MISKRTKVVAKYMSFYSKYSIVLYIHKRLGIRFLGTEKLVSCWYVMTSDKKMDGKTEEGKRDDYIYREIHKYSVDPIEEWKQLLKHVLEIFKKQTIDVLSMAMDAFVDQNISIIDFLKSNVKTVEECSLYQGNNINVNKHTAYLLDNLIVTNELNSYLLIKNVNFKGKIPKNLEELYIYNSQWIGFKRLLEIDCKNVILRKNRISDKQWNLFIKKWISMETNQNLEYLELDYRELDKFRNRVLHDIPYEVVSEEVSRIMTCSYNETQEINGGIDIRRIDGKTATFFVLLSEWGDNFLMCVH >CRE19602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1589:3573:4770:1 gene:WBGene00073388 transcript:CRE19602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19602 MDPPKPFPILRLPFLAIEEVFKAMHPFEIINFSIISKRTKGIAKQMGFYPKYAIEFYIHETLEIRLHGTKNVVSFFYVMTPDEKMDGKIEEKQWGRFITRREFKYDPVDEWKQWFKYVLEIFKKQSIDILAMTLTTFVEQNVSIIDFLKANEISVDECNLYQRDKKINVDKHTAYLLDNIKINSVLCYDVYINNDDFNPKIPKSLQELRIYNSKWIGYERLLEIDCKSVILEKNRISDKEWNIFLKKWIAMETNKNMEYLELDNIQLDRFRDLVLHDIPHEVVDRGVKRVLKTRRNATQVISGGIDIKRNDGKTATFFVYREFLTQLFAMSIH >CRE19603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1589:6475:7562:1 gene:WBGene00073389 transcript:CRE19603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19603 MKPLKQLPILRLPFRAMEELSKGMHSIIKMISNRKNNEFPILRLPFLAIEEIFKAMDPIEIINFSMISKRTRTVAKLMRFYSKYLVHLYVRKFTLQIRLYGTKEMVSCTYIMTSDKKMNGKSEEKEQNGCIERNVYNYSKNPLKNWKQLSTHVLDIFKKQTINFLAMQMDAFVDHISIINFLKTNEISVNDCYLFQLELNNVDKNVAYLLNNITIKDNLKTYLHINEYFFDGKIPKNLKGLYITDSRWIGYERLLEIDSKNVILENDEISNKEWNLFLKKWIAMETNVNLEYLQLSRKELETFRALVLYDIPHEVVDGGVKRLLKT >CRE05311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1132:3039:4307:1 gene:WBGene00073391 transcript:CRE05311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05311 MDSPNPFPLFRLPFLAIEEVFKAMNPFEIINFSMISKRTKSETQQMTFYSKYSIRINASEMLEIWVAGPKYMTQCFYKFTTNQEINGEVVENTWNSRNELLVWKYSNNPVEEWKKLVKYVLEIFKKETIDLLLMTMDAFVNQNVSTIDFLKTNVKSVNECYLFQSVKENDINEHAAYLLKNIKITNAFSSYLHIKNDNFNGKIPKNLKELKIHYSQWIGYERLLEIDCKSVILEKNLITNKEWNMFFKKWIAMKTHLKLEYLEIDYRDIEKFRALVLHGIPHEVVDRRVKRTFKTRRNETQEISGGIDIKRIDGKTATLFVYRVFSTDRFAMSIH >CRE19567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig723:3130:6008:1 gene:WBGene00073392 transcript:CRE19567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19567 MDANGQRDSDVESERAWPSPAPNVPQFRRPNEAVPKKRVRQESDQPGTAHATGSRIKEEPIAEESSRRNNRERNHQAHSSGARIKAEPAEEAPELDRPPCEYTPRGSVAPRAAPFQFKMPRLESHSLDDVAPQMEDPLVAAQKQLDLKQQRIDTLKQRLKDQERELTLKFAEQLKKKEKELTLKHNNELLSQKVKYLEEINQKEQQINELLKSQVHQNQQQPTMTVPQYMMPAPPLFMPAPPTSSATNFTVPVPDSIHPNVPNPPQLVAPAPCPQASIPAPRAASLADSGRKTSVAPRESSSESMEPPQKKMRRDEQNSKVGDQPEEPQIQRFDEREDQRMEIGVVDEAEPNDDQEEIDFPDLEEQPEMDVAEPMEENDEMGPPQNEDLELDGNGEVQPAIGRRRSAREKHPVSLIQPKYGKNQIKSQRRGEHKRYKALHGGSYRKNKRRYKKRTGPTDAYRLLDFWSHGRAMTVLICYDSTIFQKVLSDKIACANPALQQKNLFVDHDFSMYPDNFVILFLFFLHNLSSIFFQGFLESHLNPSFCNIPPILFHFLLPFSILAFLFQMFFIIIVFIFYY >CRE16364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:79676:83817:1 gene:WBGene00073393 transcript:CRE16364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16364 MTYISIPVASEKHTTTQKRSDLQGIRGIAILSVLGFHFLPKQFPNGYLGVDQFFVLSGFLMCILLTRTPVCSDNFSLFRYIFEFYYRRLKRILPLYLLVIAGSLVALFQLFPDTAYETNLKSGEKALVFMSNRWKTEAEDYFSMLSTAIDIFTHTWSLSIEVQFYFIIPILYLLIEKLFHLKIYSFVFVAIVSYSYSTLFCTENEAFISLFARIWQFMIGIIVGLISINWHKLAGKSNSDNFFESIVKYTSLVLMIVIILCPIELPAWILRPLFTISTGILILLSSDDHILSNPILTYIGDISYSLYLIHWPLYAHWKLVLSHGEAVNQELLTVFLISVMLAVFSYEWFERWYLKLTDKPLLALCFVLFTVNICFLEFDKARDYLTAPAIGSRLDGLNENQTVSFEEVARMHREWEMHDFRNLNAPSCDYGENTGPLGGCRHRGLDGKLKLVIIGNSWAANHARIIYDECGKKAKSIVQFSLTGCEPLVSFRYNTELCIPTLKTFVDIVEKEKPDYLFLLSRMIDTGDSLTSNSTELEDDPVFQAMRLNMNRLVKHVKRKMFLLNALPMIWEKVVPEILKKVKNQENLLEFDKSLISIDPSLARSRYSKLVSECPKCSLIDYKPLFYNNSTGTWRFYDVENSGLTYFTPQNHLSFHGLERVRKVYTGICDNFGE >CRE03515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig490:80:5121:-1 gene:WBGene00073398 transcript:CRE03515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03515 MYLPRIPETIEISPNVLTFTNSENSQAEFKLRNNSDFYISFLHIPYINPQLKVIINPGNLETIRIPKPPNTSLSKVTVGWISVDYHSFPLVFPIRIVEQPQELESLECKICVRQYNDTDRIPRVIPVCGHTLCEDCAKNIIRGNTMKCPIDRRDVNVEGGASSLPRNFAILETIEERNTLLNVPMGPIDSEQTYPRIPCAENFRHESTVRCVICKANYCEPCFNKNHQGRVLSAHETIDIRFPKCTNCPDKFAEMVCTQADCSSDHSPICLHCYGESHKKHRYETVRKNMEQNQIVLNNIMKVLAKKQREWAAVLPTLSIEKQEELSVRLDLLKDVKKYGEIWRMSKYEIYMDEQFFYSWITGLTELCFEEYGNDIVDVVSENVASETDRATQDTPVPVPTRASYLENSPETIQSEETLPIDSLAQESLRYLMIDVAEVLDKVLSNLEVSNEDEPESSQCPIDSTVRESVCLSMIFKDSETHDEEPVVETPILLSSSCDAYSTIAEEVVEEQSPRAHSEEPSKGTITDVTDVVPESSHRPIDSQAPEPVCPSMIFSEPDSHPEGQPIETPILSSCKLPNSDASSIMIEEASEPEEQSPGEHSGEPSVSIAPETGDSPPTQGVRSHIDHFNNIQQQPIGNKNYIKAKIAAPLSAQDCTDSTPTTIPVAQNSETPAFSGNADLAAEAETCESPIDSANQESVCFSMVFESEDVDDMLSNLAPVSESRQFDRDDRSPQSSHVTDVVQKSSPRSIGSPISESVCPAMILTDSDHDEAEPEEQSPDEHSEESSQETNDSSPNQSVRSCIEHLNKIEQQPIGNKNYIKTKIAASLSAQDCTESAIADRIRNPTFSGEATQSSETPGFSGNADSTAETETYESPIDSATQEMVFESEDVDDMLSNLAPVSESRQFDRDDRTSQSSHVTDVVPKSTDRPINSLMSESVRSSMIFTDSETHDEETVVETPILLSSRIEDSDSAVSKEVIEPEELSPDEHSGESSQETDDSSHNQGVRSRIEQLNKNKQQPIGNKNYIKTKIAASRPAQDCTESTNTDSIEHVAQNSDNRDFSENSDSDPVTESENSEIKTDGSAVSKSSDSLDNITNTVLNESSLSSSKTILKQIGSSSSSIPSEMIQEPAPESNNLLTTRQPAAVETNETGIQNQSEALNSTPLADADTEENFKFENLFARFEKATQSDNGTILNVPRPVMRAKFLVNADLAKPQNSPDSKTPDVDVNHDISETSTSSRSPQPAPQTKLVPEKTPSTVPPVLPVSSDEQTKIVSTRVAMFNNFELF >CRE21625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig913:678:1483:1 gene:WBGene00073399 transcript:CRE21625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21625 MISYWFTILFPLSVFGQWGTPPPVVTNEQCQAEFDKIVGCFRPPLQFSRIDDIPSFDQAKDQEFVQEITHVLDCSGFLNCNSSRILQSYLFNQRWITDHYYEKLSHCLTPEGFYKIQTGCNKVSDRDCNGLTSNFKCLSTNLKQQPNCEPKDVQPFRRWIFAHRAGCLMMHQFALEIKNYEINAGRAEN >CRE23349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:352398:355046:1 gene:WBGene00073402 transcript:CRE23349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23349 MHMRLREFLCNSKEVMNIIPKEDRANSTQAKLLGHLWDAENDTITIKIAQPPSGIPTKRQLASFIASTYDPQGLLSPMVVQCKSLMAKVWKSKTKWRDPLPAHLLPDWEKIRVAITDKSYTIPRRITPTHGHFKTSLIMFSDASKDHYATCAYLHFERPDNTTQVQLLFSKTRIRPINNEHLTIPRMELLGVLTAAHAAYTIITEINIPLSSLTFFCDNTAVLNWVTHKNPSDKWVTNRIRSITELETEFTKKQLPPTFRYVPTDQNPADIASRGATLQQIKESKIWNHGPDFLLLDRTFWPKSLEQSPADPKEFHCYTLDISPAPFPPHLGSPYDQPLSEYESIVPYNRTNSLVKLVSIVQKVMRWIHTVVKKRNERYPHSPYLWKTSHLQQFALARISTNEVQQRMIAHKFIIIDHYADAKRKLKVDVPTSHQFRKDEDGVYLYHNTYVNKRHPNMPKSLTYIIHKHRLATLIALDSHISLLHQGPKDMATDIQQRYWIKRITGLTRSVRKGCTTCKRRHGRPYFYPFATSLPAVRTQSCRPFQHTGLDYFGPIGYKTDTGQPGKLWCMLNTCLVTRAIHLEAVTDNTTSSFLLAMRRFVGRRGSPRTIISDNAPSFTLGYTMINADINTLINSSQTLTSYLASKEIEVKQITPFAPWQGGVYERIVAIVKNMFYKHIGRLQLSFLEVETLLVECEGIINSRPITANPISISDSEAIRPIDFISPQARLSFPNHLEHAPGTQIGITEKQTREYLKHLDNIRLQLWDQFYNSMYTSNLAPTYKPHSHCTVSPKRDHVVLVHTPNVPRYRWPLARITELITSKDGAVRSVILKCKNKLIERAINQLIPLELSNDDSPPVTQPLALDHTSATSTSRRPPVSRN >CRE15213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1667:898:3195:-1 gene:WBGene00073403 transcript:CRE15213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15213 MTTYQSTLYTSQHYTTVPTTSHPKVITKWSNISDLRSTRHGSTSRRNSKASKKSSGKSGKSKKSKSSSSSAKQKASWINLGPAKKKFTDRAKKTARLIKKVQSTLNDPHQPNTVGSLQANHQKLSNQCLQLKQSDSEALELVLQHPALSSNSQTRIKNVLELCNHIKERDYPTLIKELEDLLKQIEAMLQDLVQTQPLASKRTTNDIPIHNHLAASGIHSITSTQTDNSRNSANSQEPHQEEQKHQPPTTTNTGGHSSTEPKGPVLSKIQSDPVPVEPIVSTSDLSPRTATHCPTTDNALPPPKAYSEIEAMFSKFSKLIQTEITQKFDATIQKMDGKIGRCAETQDALFQSLQAMRTNLEIVQDQMEQQQAPNRFDQSLESIDAPLRGQDTTQWCLSSQSTKAQNNSNSAQAKLKLPDYTEPMVQSPSPVRHSPTTPHGGYTADINTILNTLKPFSGAPEHYSLFITRFNSLVHNNPAIDIIMKQNILISLLEGESKELITSDDLSEWAYNDLRTNLEDVYNTKFDRRKQLIETYRDLPFHQTEFDQMNRDLMKHICTTNSLQKYGISINDPFLIDSFVDKLPSRIMQPVIKNIRNKTPSFMDVANLVRSLISEHRAVDEAEKRKKNRTQVNEVCTAEINKISTPSSAPARQNYRQNKGHHPTTTISKWRSAPCTFCHKDHAACDCKLPPTEKRNSIMQQHLCYNCFRNTHTVKNCTSKFVCNRCHRKHHSAICPETDNGDTPVNTIVTDENTKQFFRNNGVDI >CRE23821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1557:2300:3977:1 gene:WBGene00073405 transcript:CRE23821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23821 MNRLIQYGSTDAIPFYNCSWKPESEWWSNGVQRPWLGYPITIFGILIELLYIPIIWIIFKTKLIRHTCYKIIVLLAITDMCATACSCIITGILLIQGAVFCVYPTFIYIIGGIALCTWCGACAITLSLFLNRIVSIGLRDYADKIEKPMAYITMVLCVVYMFYISFFTTPACFNSLIMAWPSDPLSEKEPSQEASNYYRNSSQAWNNWIFIICMVFLFTIYCALVNKLARGQNSKASRAIFIQCCIICFFNSCTAIFYNVLAFITPSPAILVFGQLCWSINHGCPALIYVTMNDTIRREFKKLIFRVTATKVEEGTSSAGVKTMTQSRI >CRE06161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig293:18806:21655:1 gene:WBGene00073406 transcript:CRE06161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06161 MSTTKCRICNEETNEEDVQELSCHHTFCNLCMTVYQGILCPVRFCEGTRSTDVIVDEMEGNSGSQISFGAATSTLFKIDMREKLKCEARKKGHACENIARMVLTHCRHRLCYDCLLNRVIFALEKKFPPRCAISRCANTLSWSEIQSMACHTNQFNRIHELAQKQSIMFILPCEKRPNESELLIECYLYTNENNMKTIVLPKVIVVVDAITAIVQLLRVSSSKSLSAIDVYVRKACTEKRGKYKYEKVPTDGKMTIKEAGWADKAGGGEVSKVGEGNLLLLKSFICFYCFFFNSTRY >CRE23823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1557:9050:9790:-1 gene:WBGene00073407 transcript:CRE23823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23823 MRNKQIRGADGYMMMHSAMVRKEVGEPEKVEALKMFAKECSMVAKAIMNSTIQEKEWKAAAEEVKKEVEELLKPKKAVIREPEILIGPRMGIKGKGLLEMRESNADGWVDRYDFEQVQTAVFLLALTMDEEKNKKTGDVIDKLAREVKEVVVFPFRMDCTFAEVPLVTETWKRTLMTSANAIWIEPMKSVGAKQMPMITTAPERFKTAKELADFLEAVMPSGGIVEMLRKDLEKEPPSKRSRPSHQ >CRE22182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig712:2576:5309:1 gene:WBGene00073408 transcript:CRE22182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22182 MTNFCHYFSATEWEEYVNDGKFSQLEELNEETLEQLGEMRSILVSLKFNVGDAKVNFDGHTWDHSSKNAPRHKPIYKKVSINGQNVFIIQCQSFEHGFFKKEILIIDGMQYVHYFLTSNDYPSERTAKKRRLTNVESAQFRDYLKKRTVSDARKLAEKDGLILTRKQASNQVKYSEDAITGKTGPKISFTEADIRDLDRFAPSGLKTSFSTDGNILDFTAMKTNEDQIRMFLSVSPRKEEIKRWQNHVENILKSDLDQKKKLITELSGETSAGFKFSNRMFIDTTFNIGHLYLTQLLGETKSFRTKQSGKVRTFTLAWMLHTNKMSCTHELFAKFIRRAFRMVSDGISSDPALTCLYMDGEQSLLEYSRILKTSPLRCDFHLFAQFSRKLGKSKFASQAKYIFGTMVNGYWKRGLLGSFDEEEYQKKLELIEPLLDAYLFKWLSDNKSMLLECSVVSAKLRAGHVLQFGTNNAIEANNGKLKMRVGKPRNISELVQSLIDYCEDEIREACRAACGYPDTVILSPEYSRDSVEEKQDMLKSIGLPASEMICWNMPIKLVYALNFADLNEKYEKAKKLDLLKMTPILWLLEDFSVDENSTERYDVLYVKNVHNSFRIVTIRKLSSVLGCSSCSDSDMLCAHRLRALSEMSSSDRMAELIKITGKMFPQKVTVHPRSGHKQSDRIGVRSSANNYHRNVNDISELSIFQQQNNTSEATSSLFNSTIEDVVEEPSTVDLDFSNDSLTATVENAVFFFFFFFLRKWLSS >CRE30590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1368:5338:7081:1 gene:WBGene00073412 transcript:CRE30590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30590 MPEYGASLEELRALMEYRSAEAKEKIDTDYGGTAGLCEKLKTDPNNGLPNNEEELERRRNVFGANEIPPHPPKCFLQLVWEALQDVTLVILLVSAIVSLALSFYRPPGEDTAGTDDSEHDAGWIEGVAILISVIVVVLVTALNDYTKERQFRGLQAKIETEHRFFVLRGGQSVQVVVNELVVGDIAQIKYGDLIPSDGVLIQSNDLKMDESSLTGESDQIRKSPDHDPIILSGTHVMEGSGKMLVTAVGVNSQTGIIMTLLGAAKTVAEEERKTAKREGMIMISITTINFRSFSSAVCVFE >CRE29918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:433532:435545:1 gene:WBGene00073414 transcript:CRE29918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29918 MVLFLIIIVIIIGLLLWKWLDIRSTDELTNMLKQVSSGNGQHVLSNAFQVDEKSKRHGGELVASVLKAHDVEEIFVLCGGHISPILVAAEKLGIKIVDTRHEKRTFKKRKFQVTAVFAADAVARLRQSIGVAAVTAGPGLTNTITAVKNAQMAESPLLLIGGAAPTLLKGRGALQDIDQMVLFRPLCKYVARVERLRDIVPTVREAIKAAKSGCPGPVFVEFPVDVLYPYELVVKEIGFNPNAKGFIQKALNFYLRCHVSRQFGNAWLQQTITPLPTNVPMPKSEQIQEIVQLVKSAKRPVLLIGSQATLPPVKPSDLVKAVEALGCPVFLGGMARGLLGKDHPLQMRQVRKDALKDADLTILAGTVCDFRLSYGRTLSKKSKIVALNRNYSQLTKNEKAFWNSNVSVQADVATSLVQVANSLGSNHSKPTEWVKTLREKDDEKEAANAKKMEQKLSNGFLNPLNFLKTLDQSLPDDAILVADGGDFVGSAAYIVRPRGPLQWLDPGAFGTLGVGGGFALGAKTVYPKRPVYIIWGDGSCGYSLMEYDTFARHK >CRE22183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig752:7693:9165:1 gene:WBGene00073415 transcript:CRE22183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22183 MSSPGTYLFWISVTHFVPKLGFVMTITAGTILLLLNYFGAQRNFGSYKYLISAFTLLGMTFATVEIFVWPNVHNYKAALLFFSFEESFGLSGSWSRNIPLEKRKFTAGYTFLPFGNLCYQYILFIDTGRFLNFGFQIMTISSTNKLNYFKGFYSLIWVVYCAFFGFQYALGTYFFLARDETSDEYLREEVLHRYNVNISEIPAMSIVAYDPVDGSIRWRGIMGILNICSIISLPSGVMLCSFTLYPATDSIIVMYVVSEYTVTAKRIRRACTNGFHEMKASSKVHTITPMPTSTAPQS >CRE22184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig752:1472:5129:-1 gene:WBGene00073416 transcript:CRE22184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22184 MRCSFLILAALFALSQAAVRRDASGPTLVLRDNCKIIPAGGCSCDVKEGNDEVVIREYDSVDQCKKSVELATAENKKAVNEEIKQKYGDFKDNCFPKPSGGCKCNVDLGHGEEVAEYSADADCKKSVESVTAEHKKELNEEIKEKFGAFKENCFPKPSGGCKCNEKDANGNEVVTAYNNPEQCKVSASRVKRDVGATVQRQPVSVARANVKDVKQAQPNYDVRDPVRERAQANYAAVVDELKNKFKGLKEGCFPRPKGCLCVIGKTPEGRDITDRRMKDADCKCKEGERGPGCPAA >CRE30278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig809:1133:3180:-1 gene:WBGene00073417 transcript:CRE30278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30278 MDDESALLGEPETPEMEQKRVFNIMESLAAGEHVVVTERDVDIVEMTLVKIRENLTIAKASILTEEDILNVANLNFMSPNPTKKTASSEFKLSPLSTQDEEILDYEESIDGMETDGELPKIPENSRKSEEMSPKPTKNRQMKRKSSEKSLKFMNSGVKLVADVICLSSDSSDSESSIPEVRVMKKKRSKIVWDEVKEEFKTDDEEVTADEEEEDETNKSSADFLKKLTKEQSCEWKTRNFSQKMGKIG >CRE30279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig809:4000:9239:-1 gene:WBGene00073418 transcript:CRE30279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elk-2 description:CRE-LIN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NNI5] NSSSSIDSTITLWQFLLELLQQEQSGDIIEWTRGADGEFRLIDAEAVARKWGQRKAKPHMNYDKLSRALRYYYEKNIIKKVSNSSSSIESTITLWQFLLELLQQEQSGDIIEWTRGADGEFRLIDAEAVARKWGQRKAKPHMNYDKLSRALRYYYEKNIIKKVSVIGKKFVYRFVTTDTALHSSNPPSSDYCTPQTLKMCYVKDEKDIPHEIPSFLSGNVPQPIQHQRTDFSTLSLLGTDSPNAAHSVSTPSPTDSVCSPSSSVASSATPSTSSPIEDTRHQHSRKRSLSPQSSCATSSASTYTTSTSTTVAQPPPSKKGMKPNPLNLTATSNFCVPPISPLLMLQQHHQNSPLFQSQIGQLYAITAANALASAGLYGPQISPMLTSQSPFRSPLTTPKNLGGGLGDIGRTPGSTVDSQVFQFPPVSAFSTTNSSFLNTFTNLISPMAPFMMPSSSSTSFKFPSTSDSLKTPTVPIKMPTL >CRE29271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1079:99:425:1 gene:WBGene00073419 transcript:CRE29271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29271 MLTSNSELDINIYDSDWRKTLSTVITGNQEGTRSRAFGSYLNVQMSGYTSAKLHWQLSSSIGSVFGEYFQISEYFQSWIFQVSIQLLSLLH >CRE29272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1079:10177:12051:1 gene:WBGene00073420 transcript:CRE29272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29272 MATHQPSTSQNGEEISAEQFNRIFHERNIIILDCRSNGDSIKKANRFFCSLRLPALLQRRLMGGSMRLATVPDLKDLNNPPDLCPEVLLIPGDTEQDEQLSTALARNLKSNHYRHFILGESVNTLLSQFPSLRDAADENWNTTFQMNSMPGQGVGQQGSGGPLLNLNQLRLEGEDQGGKQRAEFPVKLTNFLYLGNAETAKNRDILNKHSISHVINVTSNLPNEFEEDPNMRYLRISADDNASHNLTKFFPEAISFIDDARRNGSACLVHCLAGISRSVTICLAYLMKTEMCTLDSAYEWVQKRNASIAPNFHFMGQLTDYEKMLGLNTNRVGVRTSI >CRE20138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1428:2183:4988:-1 gene:WBGene00073421 transcript:CRE20138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20138 MDSESAAHVRAVCKLIPNICQYYTDGVFNSTFVPPHEWMQSEEFLNADVSKWVDKFRRDHGGDDSALLTLVPPGLYVTLNKYVFPFQFCFGVIGNVLNLCVLLSRNMRNEANILLSAMAICDIILLFTMLPGSLGVWYPMYMSDWFRTFIFKSNTWTIFLANFCSCITSWLILGVSVERYMGIRSPIHFRYHWRTSRVFFLIFSIIVGSLFLTFFHTFEYKYGYAMIRNGTKLYGSPVNVDKLIDVPTWVKKMINVFKVLQVVFGVVIPTIGIFIFNMLIVYMLRKSEYFNFRSAETKDENTKKNFSRKYSDLEIRQKRDIKVTFTVLAIICCYFITHIPSVLPFVLELFGLHPDWVKVYAIPIASSWLITGKVANFVLFCMSSVYFRRRLKEMIRGRFDVCGAKKKFSGVSSAQSIKSQAYRLTRFRDRDDIQRQSSCLTQVE >CRE04256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:71149:72381:-1 gene:WBGene00073422 transcript:CRE04256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04256 MSFSTERTKKRSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAAFKGNERQSEVLVEPVKKYCHKLRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENAKKARDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQVRDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVENWVEKILIGTNAFESIGVEPKWKHPYGLGIA >CRE24864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:4788:6056:-1 gene:WBGene00073423 transcript:CRE24864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24864 MDLKSKDVIIDELDFSTMPGTNTAVLHAKYVKVPLRNAYQPDGPWEFCLTNHSRNYLDLKRTYLVFTFDTTDTAGNFVKMDSKLLETSLSYAPINNIASSILKTITLHINSQLVYSNSTNHAYKSYFENLLNYSQNIKDSTLSAAGFFHDTAVEEFSSLGYQKRCEMVYNNKPVQVAANISIDLMNQSRVLLNSCNASLTVYPNHSDFLIEGRNLGDKKLKLNVRDVYALVHEYELTDGITNELEAALIEHKLVQYPMISTQVRSCYIGPNRLDAPANTIFTSKMPRRIFLGLVSAEAYNGSQTTSPFNFKPYGLKNVHIDYCGKTIPGRPLELDFENNKFIEAYVQMQEAMGHSRNNSTCNSISAEMFKEKGFTIFGFELSPVALDSSLFELVIQTNVSIRLDFKEKTPEYGLYCVVAAEF >CRE24860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:6118:7919:1 gene:WBGene00073424 transcript:CRE24860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24860 MSVREKVIKVRKEKQEEEKKLGQVGKDAVSVAEKNLQKHADVREETEKEEAEKQKAAQARIDAAIKVRQEAQLEKRIEKNETIQNQAEQDAVAESIAEVEAQKRIDAAIRAKQGSQDPVSVPVSAPAPAPVPAPAPAPAPAPAPASVPQGPQAPAPAPASVPVPTGPETPAGSQPLQGPKKGPQAPAPAPASAPQGPQAPASVPQGPQAPAPAPASAPAPAPAPAPAPAPAPKGPKEPKEGSKEGPKTPIIVQVTLPEQEKDAPGGATTGTPINPKDDLIEEEKKAQSRIDAAKKAREEEEKKAQARIDAAKKVREQAQLEKRIEKNESIQNQAEEEARYQVLDVVSISEMTDAEKTAQSRIDAAKKVREEAENSAQSRIDAAKKVREEAENSAQSRIDAAKKVREEAENSAQSRIDAAEKVREEANNYLVNTFANIREANKQDSENWLNYNNIKMHVFNRSEKDSEYQESKDSLAKFRYASFIESQKNARGFVHFNKVDERKVGIAFTDDVLFVELDKPCAYFLGFTDNIVRRSGKAQKNVDFYGNVSALYLYQYFERSFERFFERSFENLSK >CRE24865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:11792:12846:-1 gene:WBGene00073425 transcript:CRE24865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24865 MATPTATATSYVMYIILRRDLQTKLQWPLGAVCTQAAHAASAAMWIFRNDPNTEIYTSDLDKMHKVTLGVDSEEEIKKVTEKLIARKVDHKVWIEDDIPVCIALKPYPKEEVKNALKGLKLF >CRE01467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig960:16:1924:1 gene:WBGene00073426 transcript:CRE01467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01467 MGVIKKQPPYDGCVKYVDIDGNPSWEGLPQILQVKAAKLVEEAEENNEEWEELENLCKLAVEEREDQRKHLLIAVKSKIEMFREIHEASEEVVPPPPLSPQQVLHIMAESIDAPNQEPVVTSPMKYPLYHELHMANSTGMIGNNSELSPETHQLPERIQDLNLNGTLEKQTTAADTPILNSNCHINPELGGLPTGNIVYQPVNMRQKGRQNINENSNYPAAAGFSQSNMNSQSGHVRGNTRNNLNNIIGQPRFEYSEENQQNNCHERNFNGNEHSEHYQQQQIYNRMRYSEYPDHQNTRLQYQNQPNQTHQSQGNWNNQQIPYKRCEVCNGDHEITFCNQNDEVVARVCIKIGICPKCRAGGHQVSGCPLLYLEKEQARMNREKNHEESRNRNHFNDQESNRDNRNFAHQLRVNNQPRYAERKNEILEKDLARHVANIKPFTGIISEYASFRNIMAEYLESETVSLVVRRDTLMQKISGEAAVQKSILDDPGKAIDITMKNLDRAYKRKGSTTIRNQFEKVIVTDENIDAFIKSLALSKSLHDKILEEEPHGFGYHSIKALLGRMPDAVRRMCNRMLRDETLSTEKIYEKAEEHLDNQIEDAEITGKSTNQTRVSRDQQH >CRE27730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:193083:195119:1 gene:WBGene00073436 transcript:CRE27730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27730 MAQRVGRLKTLITNTLTYATNVVNYVEQWETDRVAECRKAGEEGKTPANPSLEVTTDALNTLISVEVQLDGLPQVLQFRAAKLVEEAKEAEEDWEELESLCKEALLEREHQRQRLIVAVSEKIPIFQDIHRASKQTVDPPPLSPEQVLGLAESFEDPNLESVVASPMKFPLYNVLLTADKAEKNLQNGNSGLLAEQSHLPNETQQNLDEALGAQTRVVNTPILTVNNHNNPELGGAPAWNVTNQRVEERQIRRQNINEINNNPAATGIHRLIFNSPPAHKRVGNQLLREYSRRNNSTERFNPRNTAGYTNFGYNEENHERQYHERNFNGNAYSEYYQQQQRYNRMRYSEYPDHQNTWLQYQNQPNQTHQSQGNWYNQQIPYKRCEVCNGDHEITFCNQNDEVVARVCIKIGICPKCRAGGHQVSGCPLLYLEKEQARMNREKNHEESRNRNHFNDQESNRDNRNFVHQQRVNNQPRYAEQKNEISEKNLARHVANIKPFTGIISEYASFRNIMAEYLESETVSLVVRRDTLMQKISGEAAVQKSILDDPGKAIDITMKNLDRTYKRKGSTTIRNQFEKVIVTDDNIDAFIKSLALSKSLHDKILEEEPHCFGHHSIKALLGRMPDAVRRMCNRMLRDETLSTEKIYEKAEEHLDNQIEDAEITGKSTNQTRVSRDQQH >CRE25906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:163354:171957:-1 gene:WBGene00073438 transcript:CRE25906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25906 MGMYFDRDCVPIRYTYQTGKRGRHRGYLLYECLWEEDRCKSLWGWGNWKPSREWGKTGNRPNVLDRSLGKGGIKKDNDSTVDNLLLENGDLGSLDNAVLENMEPEDDHVEDIPNGGDIDNFEEPASEDEDLLNESLSESLKDYMENLTDEELLEADEINDQDEIPLDIDLRSFGSLSDDIMEKDSEEEEFSNQVVYQPPKRNLKRKLESRGNETIAKKRIPGGAHDSWSNFHSYVNKFVNGNEMEKAILANESFLKSISPEFSFSGRSMMANKVQVAVIQKEDRAPGVQVSFIRLNRFHDAWFKVQKLRQIENCAPEVVNERNFLGAAEFEAIPPHRENAPDADVDLPRALEPATSRDSLGASEASPEAACPDSEDPESEDVKPPVPRVILKRKRPDSGYKESAIKTELLDQGYPGEAQNVQEPEQNENCAEIAPEAVLGASEAQSERFSRFEIPLPLAETSPRVFPAPPAVKPELLDQGYPGEAVVDASAPSATTRVPPEQSEMIPPSDDTESTQDVKHVIPRVILKPSRPAVKPELLDLVDASTPADVKPNVSRIIPKSERLDSEYEELVVRDQGYPDEGLLRVKPEHLFDAGYQEEDPCEPISPKLLTNRFFVNLEAFFNGFQTNEFTGLLQKVRQLKENAETHGFRFTSDQLSIVIDICLTSMVKESMKDDITRQSTLIPFMNEFLDGFLGEMMAIGCPKMTMAVTEHVNCEKKRISKEQRVRYGIINAHFSTMIDNLMGF >CRE26667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:218193:221642:-1 gene:WBGene00073439 transcript:CRE26667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26667 MDVYHSKRTKLTRPKTRKTDLRICTFNCRSVATDNRLAELLEETNRIKYDIIGLSETKRSAEVHMTNRDGTGVILGKRNDSSVSGGVGFIINKSLMPKIKEIKIVSHRIGYITLQVNKNQCTIIQGYAPTADYEEEEHSNFYENLEDVYKSCKSRYKMVIGDFNARIGERKGNEPPRRVLDPTAALLATETLDSCEDQDIDKEYDTLIHVLKNAQDAAVTMPNNHSRNRLTDNTRLLLTKRRCTNRSDPNFKTLSKECRQAVKKDHENFTKDRLLNAANQKRSLKKVARDINEYQSYIPCLISGETGEKLTSREKMEIEVRRFYANLFATKKPSATTTLPQQTEALPPFLPEEIQHSLNSFQNGKAAGEDKISADFLKSCHFTVHKLLAKKFSRYLKEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPVLYKVFTKCILNRIRNSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITMVFIDFKKAFDTIEPAALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLETAFSRMSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINANKTKVLRNKFASSHQINIRKNNTMTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKDLHREDIRKMSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLRKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE09906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig979:8456:12153:-1 gene:WBGene00073442 transcript:CRE09906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09906 MTAEVIDCTRRNLEEAMNHSERRMLFRRSRSEPMTLTNENVMMTSRTDPEWNMTVDSISIQSSFAEYQQRRRQRLKKQEAHKTSEISLSSGTSELVMKEHAEFEDVPQFSDEQMPSTLSLTDSDNSSNDITSSQAQEISYEEEMRIFMEKTGHMITNVFADPFDSDERKSRTEMWVEASTENLAEGETSEDVGKGELKLELDGLEDNEEEEKEEGKEITTENDFDEEDETAEFGDTSSTSKIQEDSGENDVGVKKDNEQDEEEQEKFNVPPIVHQDTKESLLSSTVTVTTLMKSSMDNRLKEATYAESEVDVKDIDRFWDYLYDKDLKDKDQVYSINIEAPSTTISDRSLHLGLPPIKVDFTGVHIDQDFKWEGAEGIEMNAEQDRELGEPLEITDHTVESRFFELETTKKLERIDGSHPILMRTIASQTPVSQELVKIVNYESARFRSQSQDGRMSYEKENMVREFSFKLSENLYKDLKVLVVERDRAKHAMTIVPAKSMKELSDECNYYQTRYRVRIEQNKEKLDRRIDEIWKKLLKMPIESQEVIDFISVSESELLTCNARRNLPEMI >CRE13489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:474628:479934:1 gene:WBGene00073443 transcript:CRE13489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13489 MQKSQNVTAATESTGVAVALGGHHTSPDTNAGKTKDAKEFGCSLGDLRGLMEARGAEAIVRLSTEHEGVEGLCKKLKTDSLVGLSGEQADLDKRRHVYGANTIPPAKSKGFVRLVLDACKDPTLIILVLSGFINLALSFYEPTSAAEDPTQQVLNVTMAAMLAANGTLMTTTEAPSEGHGTAWIEGVAILLCVIVVVLVTAVNDYSKERQFRSLQEKIETGQKFSVIRNGEAIDVPVSDLVVGDIARVKYGDLLPADGFVIQSNDLKVIKDFFNECIVIKSYFQIDESSLTGESDHIKKSVESDPVLLSGTYAMEGSGKMVITAVGVNSQTGIIMTLLGAGKAGIDDDDSTSTSSSSSSSSSSSGSSSNATSDSSKSGDDDLTAKSVLQAKLSKLALQIIYCGTTIAVIALIVLVTRFCLEHYVFEKFEFAVVDIQMFVKFFIIAVTILVISIPEGLPLAIALALTYSVRKMMHDNNLVRHLDACETMGNATSICSDKTGTLTTNRMTVVQSYINGNHYTSQETQPHGAISQPTPDQFLWKLFL >CRE02708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig681:2783:9436:1 gene:WBGene00073445 transcript:CRE02708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02708 METAEVKQINMVANGENIRNGDRISAGENASEDGKNVPSGERASDVNERSPLYSANNASNSSEQLDMLFVDSSPVPLILAGSGEAFSSESVPEATEIETVTNGNGVEKQETRADLLQNGGEESQATKGQQSELASGEDSSSLHVTSEHELVASSEPAATTSSAEDGDDTTDIAGPSTSMAPAADTAAVAKKVKVTTKKAKKDQKEEELRAEYMFSEFSLRVRKTAAPAEPPKSSTKLETTKTSSASSKKGSSSKMHSGEPITSSATAGTSTQAEAPIVTSVSPTKRRDRCPNPIPRTRSSAKPKATKTSGAPAKKASASSINAEVPSTSSTAPDSSTPVEKSVPATKRRERRAAPIPSRRPAPIPPRNVAPPRANKTRRAPPQIPPLPQFNEYVFISFQFQSNFCFRKKEFITAISKFRKQGTKEFMRTFVAGEHLFENKDVGVKYWNDGHNFEQKIGEFNCVHLFKTKDGLEFEYPEKLKLANVRSFIPGATKLKIIDSYSQQSADMEMNDLVAAFKTDKPNRTAAFNLLSFEARNTKLQNKIAVPKFVRNSSIVDKLTKQLEEKKKEVLDTMRNTKERREKLKEIGNKIWSMPQYQKFVLLSMQDSFTDIHIDFSATSVYYHVVEGRKIFYVARPTPENLEVYKKYETDVNVPKEWIGKKLFSEFQRVEIKKGETAMIPSGYLHFVYTPEDSLVIGGNFLMEKYLKWQFELTAIEEESLRLKRIDLNQLYLGFYNVMWAYAEELLAKIEAGNATAETIRLSDTMKELLNPERRPVDPREAKDWYTEEQKKAIVEKMERALAQPPQPNVPTVQTTVPAQPVS >CRE10722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig739:3352:4855:1 gene:WBGene00073446 transcript:CRE10722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10722 MLEEFIFGIEEEQDVEKNTEIETKPKEVIDQRMTLFANHSFQVSQGNCVRFPSNQFGYGLSNYSPYFLQDFTQVLNRYMQLEAYCDAVDDLSGPLPKSGKAPNEPDLFQALFFPKSIAPRTPMKPVASTQMTEQTLSAMWFRLVAHYFEWLAGISELQYLNDQDKLRQAVCQLCKVVCFSVVYANYGSKKDGNQDLLFFGSGFYWDPARGNDPLMNDYCQEMKRIINQVIRPVEKVSMTKEEFVLVKLILLFDCTNLMGMSYEGLEFTRSMCNKYRMTLLNYVTYGMEEISDSEGWSEDEIKIHTIERMRNLLDLPIGVEVEIEISRYQLFTWYNFQKLGKLDDDSLAEMVQSNYGGMRGTLQQQIHTESKKMRNH >CRE10723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig739:8816:9429:1 gene:WBGene00073447 transcript:CRE10723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10723 MLKSSLFSLLIVFILACSIGNVSSNAVRQFFQVQRRGDPSEFQTSGDDDEDFFLRSAKWVSKMNPSGGALVSGRGGFRPGFVSRDWRHALAEPNFVKRSYNDY >CRE10724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig739:10258:13793:1 gene:WBGene00073448 transcript:CRE10724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10724 MSVLLSIFCLSCRKPYGNILILIAWIHLNITDEVSRRPRLGSCFHIICEECQNKRNDDTCQVCGKENAFVGNIQNYGLVGMWEDIVKSMSAEQYFENHVTKPKKVTYDRCSTQNCTGEVMRFCTTCAVACRLGKKNPNGSFGFFEVTRAIQVIDKCLTCIDCMEEIHQGHEFKKLSEIGMITEKMEKTNSLIHGVLLETKLNDEEEKKGRRFGLLTDQLYVYKRSALSHALIQQYDNMRNIEKQIMLANCVVNIHNPNLPEISEAKQKAFQLAKTVYEKTLKLKERRLIFEKEEIDLYRKFVHNLYEKSNDDKELKDLSDGYTWIAKKFEEISITHLEEEEIERIDEETDEKMARLVAICRENEPTDEENLEKFYKWRALKKEKKESEQELELSHRKLSDETRKFRNIFLDRYETLNEIEKEFISIGCSGELLPIAMTEASKLFLLEITDRSFVVDKALIAYIEGLNRDEDEGRSPLKGKCSHSICLLCYSMLTNSSDCPVCDEELTLKEPTLYEPTLNKSILKDANCLKLKMGEDNFSSIVENKRENLLRNTCSECSKENVKLRICVDCNKESGILMKKLEDRDWIVQYFPEDFTNIPSICSNCVFSKHEEHKTVNLQQIVNLKEVIACECYLKFSRRDNTRAGLYERRLRTYETWMTFYKLFTTDEINIFKELEDIPEEMKDLSRKFRLEIQKLVEEVVKQRNRELKFYQESVVSDIPKYEEMIEEAENETSREDMKNELSQLVEIREKIGMKMNEIQLGEIEIEEMDKEIVSRMEQLEESYKKGVLVLIEQSEESTFYRYQALLEEFQKTEECIKCEFELEEYNEKRKIISMKQEKFKEIQMRIEDLRKQKEQVVRENEAENQIFQWKKCQAFLQMELLEDEFKLNQSRINLLKQYERANYFELMRLKFFPLLPLDDLEKAAYDRFFSDFIYTFHSK >CRE13794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig461:19102:20886:-1 gene:WBGene00073449 transcript:CRE13794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13794 MEDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSVPDKSPGKDSTNSDKVSHDEVLVGALTGAKQGSEDGPEKDSEASSDNTSHDEVLVGTLKGAKQGSEDGPEKDSEASSDNTSHDEVLVGTLKSGKQGPESTDAQTQDSTSEPKTSSGNSKDTPRDKPLVGALTGGEQGSEDGPERDSVSHDQSLVKALKGGQTDSEILAFFLTFILLTIWEKEERDQIVEAFKSAETGGVKETEDGNDVLKKILEKHFVELEKLHGVDSSLQEAIRKMADNQTTFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDSSVVKKAIDALKSQLINNSKYEYKKLIGAIEEARLDELTSLRNAMKPKNAPFIGNLINFKVENDVLKVQFKDSNVLFIEFDKECAYFLGFHNCIVKNNETASSSIDFFGNISTLYVYCDVVDQTIVGNSKSSLLTVIPCKGKYGEMVQHTFPVPRYLPLMNGTIDSIKVQILSEFGDRIHFNWGSTIITLHFRKIA >CRE13793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig461:16862:18220:-1 gene:WBGene00073450 transcript:CRE13793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13793 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIPLHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVTDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYFENLLMYSNEQKRSTLSISGYSPDSVIDNKDDSGFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLVNEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE24714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:169999:174426:-1 gene:WBGene00073451 transcript:CRE24714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24714 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3N3Y8] MPTPEDVPMEDHDNVRTAEGSQDESTTPSRDGLKNLLREVEIADMVENAIWYLIAQKWWNALLKAIRDGFVDDVGPIDNSQISECRQGVFYLKQRLAEQIDYTAVPEHLFKRLVSFYGVEDERRDYIRRTVIKKNGQLSVEVYPRIVHIALARNRDIKADLVLKPEDTMISLRERAIMELSLNESDKIRFYVMNDETPELIDTSQSIDSYFDTVQKVLVDVEEQGEFFYKAQNPVQQQPKLSFASQSSSSLGSNGNGLSNAYSAQSSSTGIANRTTPGACGLSNLGNTCFMASAFQCLSNMPPLRDYFLNDSYLSDLNVENPLGTHGHLAAAVGELMKGMWSGEFASINPRKFKGIIGQFAPRFNGYSQQDAHELMAYVLDGLHEDLNRIKKKPYIEDSDEDAKLPEAEYAAKSWEIYKMRNDSIIVDTLHGQLKSTLICPVCEKISIKFDPFGYLSLPLPAKEQIVKQTVIVMFLNRKWAKFSLGITDTTNVEEAERLMLDKLQPEKQHHFVFFHVPSQYHDEVTILRPSDKVRIQGREVYVAEVEYDLNVRGTRIFVAYNRIKLLRPASLPMVYSLPPNQPLTRDYLNENVFKTTKRFFVNQKNIMELDDDSMTGSNTTEEREPYKLFVADAGSTTPLPIPPTNDPIPFPDEKSHDIYRNFLQITFQWKDSKIFNNYKGSELIEREMTVSTRRKVMLEETLEWFTKKEQLGEQDSWYCPQCKKHERATKQLDLWKLPEILILHLKRFQYTKWSREKLTWEVVIPVRGLDLTDKVANPNHEKAIYDLIAVSRHYGSLSGGHYTAIGFNDKARKWFDFNDSSASSTSSPTEPYESSDPYILVYRRRKLDANGEPIEPFEETDSAQNVRPKRGAAPSMSSATTSGASSSRHQIDENMEMEED >CRE24713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:167423:169155:-1 gene:WBGene00073452 transcript:CRE24713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spd-3 description:CRE-SPD-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N3Y7] MSARISRHLFSFFDSYRIFEEMDRMILEERMLENRELEEDSWNFRWIMFSAAAVGGIAVATYKSGIVSAENQKLGYIGLGISVASCSTVSLGYMWFKQRARAIRDVTVQLERTKIALRKRRQVYFSVSMRMPRLRHPLVLRSCRIAVSIIDCLVESTKILNDGSTWQDLYTDEIQKITKRSLENPEILRMEEIEEDTEIDFEEVFEALITVFKLHASEYSRVVIIDFLNSPKFNLRNFWKFLCTCGLLREFTQRLESLERLALKSEYEKTKAEGKQNEATSRLDMKIGWKEHTSMALASILERLESGNVSRSEIELALHKTLVMVGCEKHAPNAELPEKTLLKREEPQLTETVAIENGNQERTDIDLVFEGVSLSDADKQAASKSAVARDVLLDGSESRIHEQSLFGELRMVLEPRRTDFAKRERAALAKFYGVDEKELEKEEEKNDEEVLFEGVGAGGDVEPEPYDWRKDAENSAGIHQEADNDDFLKALNLRRVEDDIIE >CRE26734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:399872:400904:1 gene:WBGene00073453 transcript:CRE26734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26734 MCERDDCMSISNRRAGQTIICAYLTELQPPILRDHTGTHMLKCALPTGSNGEKGDLYLFHLIYEQELPRCTRITPIPSVLYPVYRKILEEYRQQRMEALRTGK >CRE15071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig823:3213:5294:-1 gene:WBGene00073456 transcript:CRE15071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15071 MSTNWFKNFAGFRQSEFEMLQVPNPKLEFGIHVTIRSMQTGALIGSILGPISLFVSQKANNKQSYIDSFVSGGQNGAVLGAIMGPVLTYLSVREMNTIQLYDKCYRLRFNQDALREDRTAVFSAAVGLLSSGSTGLVVGLDLSLLISKLMSGCRW >CRE15070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig823:1330:2150:-1 gene:WBGene00073457 transcript:CRE15070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15070 MPNVFKDTASYAQSQLDPEIPRPVTEYTIHVTIRSMQCGAFIGSILGPMAVLLTQKERNRQKYVDSFTRGGQAGALVGAAMGPFLTYLDLRGLCDLVLYGKCYSLK >CRE18292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig510:4207:15816:1 gene:WBGene00073458 transcript:CRE18292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-etr-1 description:CRE-ETR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NLN8] MKNAQKLPKINNFRLIFSYFNIFQIPRQWNEVDCRRLFEAYGSVYSCNILRDKSTQTSKGCCFVTFFHRKDAIEAQGALHNIKVIDGMHHPVQMKPADTENRNERKLFIGQLSKKHSEENLREIFSKFGQIEDCSVLRDNDGKSRGCAFVTFTNRSCAVVATKEMHHSQTMEGCSAPLVVKFADTQKDKDVKTKSMISAGNAGGGGSPKGAAANLMQNLNPALLQQLGGGQNYQAVASLLSLIGQPGQQQQQQNVLGILGSVLSALGKLTESDDVVSSKSTSPPEKKTSPPSSSHHHHLNPTSSGASATSTTTVSSHHYLQQQQQLGIQETCGMEHVFWLFWNFLRRRRLLNSESASRLNFPPENLKIWNFSFFPCLMCDFLVACCILGRKRVKGAHQLLPQPQSQFDAITMAQIAHQNQMLALQGFAVQQGAPAQQQQGMAGGLAGAKTTSPVAATLANHQQMALTPFAAGGAAALDHFQAMQQQYALLANLQATSAAVGVPSAVTSTSGAGQLIGNGDVRGPDGANLFIYHLPQDFGDTDLINTFAPFGVILSAKVFIDKVTNLSKCYGFVSFENPQSATNAISAMNGFQIGSKRLKVQLKVDRGHPYSR >CRE10916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:689485:691531:1 gene:WBGene00073459 transcript:CRE10916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-3 description:Mechanosensory protein 3 [Source:UniProtKB/Swiss-Prot;Acc:P34765] MELLESKPLSAISMVIDSIGVDHDSQNKCNCCNEQIYDRFIYRMDNRSYHENCVKCTICESPLAEKCFCKNGRIYCSQHYYKDHSSHRCAGCKKGVSPTDMVYKLKAGLVFHVECHCCSLCGRHLSPGEQILVDDTMMTVSCMTHYPPQMDDSCGPPAGTSEVPSCSSDSAIAPYPMDEGFPSAFQVKKEVDAYGYNFEHYSFSDFCDDDSRMLKRRGPRTTIKQNQLDVLNEMFSNTPKPSKHARAKLALETGLSMRVIQVWFQNRRSKERRLKHLCNYLRHYEQRGLIPPPIHFRNEEMDTTDFNSFCGNFEEEDDED >CRE11094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:684241:686844:-1 gene:WBGene00073460 transcript:CRE11094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-43 description:CRE-UGT-43 protein [Source:UniProtKB/TrEMBL;Acc:E3M5I1] MIFIFCFLFLSSILGSPNPDIQGKKVLVWLPVTGHSHLKFMSTVSKILQDEGYNVTLLLPLIDITLNNTNLPITKTIKHQIKLDIHPGVLRAINRMGGMTARQQTWTFGSGIYGFYSIKHLLSDLYSYTCDGIFHNTELLERLKNENYEIGLSEPFFVCGFALFDHIGIRKILSIDSHIGLETPKMAHGHAITSSFLPAAFSEGSDSMNFVERIRNLYETYLNREFGLLIHHKEMEAMKGLYKGKKSWEELMRLPAYMFTNSNPLLDFPNPRISKFIEVGGIAADEKRVNEVLPEFYNDILNLRKHTVLLSFGSNARSTFMPEKYRNSLITALGTMSDVTFIWKYENTSVNIVKEKNPSISNIHLVDWMPQQALLADSRLDLFITHGGLASTNEVAFSGKPAVMIPVFGDQTRNSRMLERHGSVLVLRKENLQYPDAIMEAVMTVLNDKSFEQRARNLAKLLNNQPESPRDVFLKYFNFVARFGKPIGLDSNAANINFIAYYYLDLLLLLTISISILISLASGGYVAFIVFLKKEKVD >CRE11093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:680565:682520:-1 gene:WBGene00073461 transcript:CRE11093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-44 description:CRE-UGT-44 protein [Source:UniProtKB/TrEMBL;Acc:E3M5I0] MSTYLLFSLLLVIPFLVCGSVERPKKVLVFLPISGHSHLKFMGTIANILQDEGYNVTLLMPILDEALRDTTPLVRKIKNRILVEQSDELIEANVQFKNGGGRENTWVMNSGIIGFLKLGTKVANICKASCKNVFQNEELIQYLRDQHFDVAVSEPLYSCGFALFDHLGIETTISTDSHLGLEVSKVAHGASISTSYLPAVFSSGSEKMGLLGRVKNFVESYFNYHFNSKIYVNELAGVEGIYKNGKGWRELLRKNAYMFVNSNPQMDIPSPRTSKFVDIGGISSGEFKQEKLPAEYDRILSLRKNNVLISFGTNAKSMYMSDDMKQSLFKTFESMPDTTFIWKYENTTVDIVKQYNKRINNVMLTDWMPQTALLADPRLTLFVTHGGLGSTNEVAFSGKPSVMVPVFGDQTRNARMLERHGVALLLTKYEIADTKKVRGTIRKMLKDKSYSMKAEKLAQMLRNQPESPKEIFIKYFNFVARFGKPHGLDSYAAEMSFVEFYYIDFLALLTVLSVALYILTSKILKVSQNLKNLISIKFKFD >CRE10915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:678585:680027:1 gene:WBGene00073462 transcript:CRE10915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10915 MNRLNIEEEEEENNTKSAPGMWYQWLEYRLRYYMVLEGIIIIILFFSLSNYHDLASRNMELNGKLEIQIDNLGKRLDEIYELLKTNSVPKPEKNEILKNIREESIRPAEVKTNEKFIEKTNSFPITSLNYSRFEMNAANILMGASVDLSLSSSSVSSEDGFFNNFFYPFTRDQSGYILLDREELPPNKSWCSEEKQPVLTINLAKNTEVLYVSYQHSKWNGLIPDGAPKKYNVLACLDSKCKYLEPLETNCKYEKSVNGQDIQEQFCRISSDSVAPPVRKVQFHFLENHGNVKKTCIYSVRVFGIRRNLFRTELKKLQDKKKCEELAWNHKHSSLVYSWQEKNCTLLYSMECCSDCPECCSECKMEDFNYMFFGETTLALIFLLISILAVIWVVREMLKNLKANSVNV >CRE30296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1009:9920:12564:1 gene:WBGene00073463 transcript:CRE30296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30296 MELYFLISLFLSIPITSHSLNILFYVPTLSHSHISFNTKLAEVLTSYGHSVTVLLAQVDDTLLIENVTDYTVLRKKVGVPRGHLRQVLWSNPGPYEDSSPLNPHIFYKLLKVSRTFVTACENIAFDSLFLDSLKEQKFDVGLVEQYDSCGFGIFKAIGIESTVWLSATAIYRPQAEALGVYLPYSYVPELFAHFSDKMSFLQKVINVLIGQATSFVLDVFVQGFQSRIFNQDLASISKESSSLMINSVPFFDYSMPSSHQFSNIGGITVDKKGTKKLDPYWQSIADSAENGFVLVSFGGIARTIDMTSSMQKIFFDSFSKFPHITFIVKYEFTSNSTATMPDNVILTPWIPQLPLMAHKNYKTIITHGGWSSILETTMHSKPMILMPLFADHAKNSKVAESKGVAVLLDKMRLSQRRVVHAINTILTNPRYTQNCEKFSRMFSDTPIPHEDLIEWRIRQAAKPSRKAFARHLRPKEPDFSLLKISFILVPAFLVFFTKGLDDKSNTSHETLCDDGKRGRRQREYFKVSNFKNEEVNCGKLGTHLYLWKVETRFQSDLRQGMKWEIITK >CRE30299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1009:13632:14614:-1 gene:WBGene00073464 transcript:CRE30299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30299 TRTESATSVSSESWQNNDEMMGNLRRAQGLLDQGATPLQIIRQIFPDFDVSRVAMMSENAHFALLSDLLERAPIRQKLPEFNSLADAVELFKTRKNILILTGAGVSVSCGIPDFRSKDGIYARLRGEFPNLPDPTAMFDIRYFRNNPAPFYNFAREIFPGQFTPSVSHRFIKELESAGRLLRNYTQNIDTLEHQTGIKRVVECHGSFSKNTCTECGDQTDGMVIREDVLAMRVARCKKCDGVIKPNIVFFGEDLGKDFHRCVTEDKIRWI >CRE20114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig908:15619:16537:1 gene:WBGene00073465 transcript:CRE20114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20114 MKQRSTGTILLRPNQSRKVILFLLLLVAISWTLLDVINSKGVPPKLVKRQYYGYGGYGGYGGCNCPTYAPCSHEVTEATGGTEGMVDMADTAAMATRNLIFSDISVVYSPYYGGYGGYGGYGGYGGYGGYGYKK >CRE20117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig908:8137:9961:-1 gene:WBGene00073466 transcript:CRE20117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20117 MSDNYVLVDPLSVKPIDCWKKYVKQGRVCEPLKPMRLDTPIFENKVRFVCISDTHEKLHEILPYIPDGDVLIHSGDFTNCGDIGEVIKFNAEIGTLPHKHKIVIAGNHELGFEDGEEMSERQLAGLNMLGINKAYELLSNCTYLCDKGYEAFGLKIYGAPWHSMPGYSFYRPRGQKILHKWNQIPAKVDVLMTHTPPLGHGDFNAWDKMDGILCGCAELLNTVEQRVKPKYHVFGHVHQKHGVTTNGETTFINAALCDHKLRSAYDPIIFDIPLPPGKTKE >CRE20115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig908:1291:4201:-1 gene:WBGene00073467 transcript:CRE20115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20115 MALRRKTHSKYDDYFERTKECAKCLKCNKVIKLSPHSGSNNLKYHLEKEHMDLFNEIRENDVQVKKKREMSIAESKTQSTIPFLPVSRVSVKMAPNKSGGEILNNWSQWQKNGSRSAEVEVSLMEMIAHDVLPLRTIEKPGFLNFLKVLAPKLRIHKRYHFTNTLLPNLVNRLENDIKGALKNMVFVGITCDGWNSTDGKHSLLSVTAHWLDSRTFEPKYAILGSCAVRGRHTSENFCNIVEASLDKFEISKHSVVSVVRDGGSNVEAMCNKLELKSIHCYAHLIQLCLKDTLDLILEVEPIVLKVKKYCRKVHKSTVCREIFQSLQIQENLPERMLKKSCEVRWSSLYLMLSSFLENRRAIALLQIDNPKLNLPIIDSREWDVIDLLCEVLKPLAETTKAVQSRFEATASVVIPSLKLLEFKLNRMKREESIDERRECIAKLNSTLALRTQHLHSDSFLRTCTFLDPRFKDSFFSFSHKDYIIQKSKTATNTTSENTDGCSDVVVCTEESPLTLYQSFLKENSPEPTDPIEKNSIAKEIDEYLQHPPSQETDPYAYWNNAENLPHLKKMALEFLCVPATSSESERLFSLSGLICSPRRTNLTPERLDQLTFCSQNIKIFGFSS >CRE22226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1151:8861:9277:-1 gene:WBGene00073468 transcript:CRE22226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22226 MKSLRAPNSAPRDVQPVLMAEVDSRSRKYLHMTKFIDRLKAASSNTHLDTHPYRIPEDKPRLAKENRPYTILSTAENLKDYDRLCVNGADIRCFGESICKIARITCVPNTTCDPIMPICTDREVEDYQPRTFNTTSSR >CRE19372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:9690:11574:-1 gene:WBGene00073469 transcript:CRE19372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19372 MNLSILILLALIAIGSCCGVIKHTEDQPITHEDALCGSDKCPDNYRCELPDPNTDCDNEDGCSTAPKCIAIPMPKPICAENEVLKTCGSACEPTCDNPEPECISTCMTDVCQCREGFVRGDSTSDQCVEKNSCKKCTRECSENEKCEFVTLPCEQEPCAVVDKTLTLLLCLIAVSSATKTCGENEELVGCHNTCEPQCGYTPKACTEQCIMNACDCKDGFVRNSLGKCVEVFQCTKETTKCPENEEFKGCGTACEPTCENPDPRACTKQCLVNVCQCSKGFVRHGFRCIAKEECPK >CRE12366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig617:12980:14181:-1 gene:WBGene00073470 transcript:CRE12366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12366 MKLHMRAIDVVSSATIALENRLRTTPAQYPFTQTVVKVIGVSEGRFELPFNTIFHDHVPRRIIVGLLSPEISISKDSLKFDHFDVSEIQLNAAGTMYPPQPIQCDFDNKDYAQTLARLYEELGCVSNKTCPKITYKMFRNGFTFFVFNIAPIDTSNSWEMIQTGSTQLLMRFKKKVPTGGFVDVCLSSHLMEKFGRLDNLSAKRIQDLNQYLLSINILKMWNSCNGLPVDTNSELSLDATPRNHSFLNMVIQTGQGKKSQYSTIQKYFNEKYKIRINYPNSPLLRDRGGRMYPIETIWFRLFLY >CRE12365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig617:10221:11192:-1 gene:WBGene00073471 transcript:CRE12365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12365 MLNQSNRNSFTRGVLNTHFNAKKTKKTFSSAAIRDIIYYGGKHGFSKPDGKKIFAIVSNNCANQVGFKGEDFLEKLWEVLVANSRTAYFALKSREFENQFHYTELDHIKYRIIGDISEMKTIMRNQLTRGSFWPLYIDTEGAYPGLSNEANLALIIIFDVSSKIIYFFRTFHFDHAQLKSIRIAMKELEHDRDLVTFGPETSLQLGEEERKELKMEKLSTLDIQQKTGMSLKKMVDDWAGVKIVKTETMSCWTVPDLRHDQLHYAAMDAIALHYINIRSDVDWSFNPPKILNPDSTSRTPTFFRPVTH >CRE24869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig675:18011:19588:1 gene:WBGene00073472 transcript:CRE24869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24869 MLKSAVFSSARYESPEEARSNGINGGGATNGKFRVDAIWDCNAPKYRVACGQLHVTKATKYIGMTQLFVIALFSVSLLFAYTSALKVEDSASEGTKEWSINYYMARYVSSLLSALTLQLGLVLMMLHGIRTARRSLLVPYIAFAAIALFLAIFQISLDIINFVDTKSYQNLNSENPASAILVHFTGVLVHVWCMKVVCKCYSFYGDKNVSFVAGKRGGV >CRE24870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig675:3587:5122:-1 gene:WBGene00073473 transcript:CRE24870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24870 MPSIPGHQRNVSQGQHLIIDRGADSDEEDVDKMAGKAVASDTRISMENDDAPYGNGGVYPGSSPPFSPSRPQDNKSTGLCSPQLRSNIKVIIGSIVLTAVGAVLLVIGCWVAMNPEEGEWTQLP >CRE12389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig696:6296:8953:1 gene:WBGene00073474 transcript:CRE12389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lst-4 description:CRE-LST-4 protein [Source:UniProtKB/TrEMBL;Acc:E3NMS5] MSRLPNVSVSKRPGSKFPALSMLKDACVVVLLPMHDVSALSSCLHHHFFFQEPSRPTVASSLASNSRRDLSRSHSEHGADRGSSKVNKNINRFSNFVKSGVEAYVIGESKTSSPISERHEVIMNNGIIQWKPIQQYYHCVVDKPKKESKLKGLKSFIAYSITSSLTNIQVSRRYKHFDWLHEQLSAKYIFIPIPPLPEKQVAGRYEEDLIDHRKHILQLWVNKICRHPVLSQSEVWLHFISCTDEKDWKSGKRRAEKDEYIGGSFLNCIQVPQQQLEPNSVDLQVERFQRSVKTSEEAMRVMQERMNMFQKVFAGPVKQNWQKMGSAFKTLQQSFEIDETVASRRLTDALAHTASEYHEIGQVFDAHTKNDMEPVLENLYSYKGTVQNVPDILHVHKQALQKYRDSEGRLSAVEAEKMRQRMDTMSYAVIAEIQHQTAEKVEDLKSTMGTYLKRQAAFYQDVASKLSSLSARYD >CRE14356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig342:42412:44139:1 gene:WBGene00073475 transcript:CRE14356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14356 MKPLRVIVFLLFTAIIWQRGYASTGTDQPVLNTKLHDESPEALPIPEPPASGHGGHGHSHGQPAPTPEPAKAPEMAPEVVKSGENSQKLTESTLRLAENAPETIKTAPDAVKSQSPPPPNLNNVLGGKPEAKIGLSDMDLEIERMIREEEERMAAGKQEEKQEDLVLKSLKFEQSQPEVVENTPETVVTTPESVTTTTVTPEDVPPSFRRREDVRKRETIFRRRGISQMGKRTRKCDQWNPFSLYHSHLLHRLTRLSTFQVSCGNGRKWFLKTGNIGQKSIYELLA >CRE14358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig342:39016:41212:-1 gene:WBGene00073476 transcript:CRE14358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14358 MENERFKRKVLTSLGGIIVCILIEMICYTLPIDYQTLQTYTTLYNIKLSSIICQIELGVLSLKFSKSKLFKYFGCEWLIIAFVLEIVFKSRNHSISGIFLGIIDFLNVLETEIPMEERVIPQKVVHIPVVEKRADFSVKCHTCRLDYGSHHVDRIPQILPQCGHTVCHGCIQRIIRTQQSVTCPFCGVRFFRFENFKNNFAVLEVIEKELSRIP >CRE14357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig342:31232:37910:-1 gene:WBGene00073477 transcript:CRE14357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mks-1 description:CRE-MKS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJN3] MPRGSSTFGFVGSLDRLSIRVQLFQKGIQSLDFDKFSLENNANVLEEKPTKSRLDDVTFGWKQKVGRAENSNRRIFTLRDDDEFPDYLKDFKPVDDTPKVENKKDSEYPYSDYVVYRKSAATRPMVRKHYLFIFAKLGPMEIEDPSAVELLVRITVTDSNRLTVEPAIDQEEIHLETRFGDFTVKMKIEDVEQNSEDQMIEESVGRSRKGRTSVSSIPEAETFVAARDGLVESVVNVSIEKGVSFLFEDGLTIDYKLQMPRGVRLKSKNETGRSQRYAAEETGGNIHFGYFLEFVFESKYMDSQPLLMLRIMAVDYWGRQYIAGYGSAYLSLDPGRSHSKIHLWRPISHNTLYEMFVGQAIDIDYFGTPQTSMERMGRDGQPSGIVCIETTCIAQSRHFMARDILYQLKYGSKMADMGLRSDFYQRIIKVLMEFEEARSKLIMARALNRKKIQLEYGQRLKVLPNGEIVVQCTPTMGRKTSKTCDHKMYRVRCHSVH >CRE09844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig322:1003:1472:1 gene:WBGene00073478 transcript:CRE09844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09844 MEKMFIFCIRTHNTSYRVRTAYSEIKSVTSQPSFYYIPKRQRYLMVNKIRTDMSATGQTEMMSLNKKAKAYCRTANVDTPPYSLGNLFGNMLANGAVLSK >CRE21025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig674:4294:7399:-1 gene:WBGene00073479 transcript:CRE21025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21025 MHNSTTRETFIFTLQLVNFSSVIEILKNLNHGSLVKHRKRYYAIITYLIELITRNVTVTQALFQLSVCCPVLYNCTGTEIHVDRHLVLGILYFSMGFMAQILYLLVLKTFWFHDPFWENACYRIMFFLENNCIGFCGFLSFHYTNIPGIPDMLSLIVCAEFAGIWSILGLHSCHNMKFAVFFGCLVFGTWHMSCFYVLILAFNRSCELVVPKFGRLLFSGKPLSIILCLPIFYFIYFAFFIKPLIYDVTESTFLLNPLTKATMGFDHAVYTVYGFIFNNFFCMFFIGVNYFVVCAYLLYHSCSTSIQTVSKIYRQVTVQCMIVCTCHFIGCYLYIHMQYRQLPNVFHVIAQLAWIGNHGLPPLVYLIFNKSIRSKISLCHLQVNRIAASSLTDQKSGWNTQKRITTVM >CRE17663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig744:848:1648:-1 gene:WBGene00073480 transcript:CRE17663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17663 MVSLEVPSETPGNSRRAPSEECEIAFSHDDPTLLWKDRTTDCVDLKKVFSKFDSSAPISGEILFRARFLCAKYLGGAWRKVKIEDFRIRAITGGMSNLLFLVELPAQLTPIQMEPEKALLRVHCQSDIDQLLSESVVFTLLSERNLGPKMLGVFPGGRFEQFIPSRALQCLEISKPGLSKLIAPIVARVHTLDAPIPKEPQTLQTARQWLERFK >CRE17665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig744:14529:16464:-1 gene:WBGene00073481 transcript:CRE17665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17665 MFSNSVILGRRLLKVTPKLNAVRTKYLTADGKPRDQLTMRNVDIMVDPNENLIDEFMSGYGKERLNFERSDIGMWKSCYGDNYSLVFICPKGTNKIIQTVHYFKFHPISPLIGEPHQYNGFFWVHPDYRASDSMILCDNIVKDGLRTVGGNSVSHCFPSSMKIWRKMFGGNTYSHIQYVSYYKMDEMKVPKDLNTDGILIKNATDVPNEDIVKYDQEMFPYERSKYVLTLLRKKNGFGKVAYDENGKVIGFGTVIIYPSGECVLSPLYADDKRIAQAIFKNILEQIPLDDKRLLRFHVRSVDKCQGGFEWIQPFVKCPIRKELAAYLCYNTHLPVINYKKAFVNFPYTNCAI >CRE17667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig744:19163:19940:-1 gene:WBGene00073483 transcript:CRE17667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17667 MNEDFMKVAQNDLRDILKHHKAVMRGGMFSFQNESFHFRSPLYFDYITNLGNDLQATNGNFKIKHIECIVLHEDQVVDILQLVDLEPLKSIRIMSYVQNYEDIFSLDRLVTLPHWRKAEQLVVSGFIVNASIQNFSHFKKAELFVLNMSIGKVNTLKNAYIALPGFNRVFIEYRHFSDFPNFLENFAHPFVEGPQLDNDIRGWFFTIPSSDKALHITIHRNHGITFRAVERPPPDILIIN >CRE30172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:138051:140485:1 gene:WBGene00073484 transcript:CRE30172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30172 MPSASFPLLQLPVLPCKLVFQLLDPVNLVELSLAFRCVNRFLKAYKTHVAQLTWTFDDKDAFPGMFTWKRSSPFYTYMSLTVSFNDSHHIKYYFIGNNQGFRPGSPVETVPGEWPLPIWEFKDNEFWMSGRQTLLEAEPEALDMKVMEKLTKHFSDLFYIDGYNLYYGRIHEFDIFDSFVWNFTRKFKNFSMKKLEINEEDGRFLLEDVEIENLTMEDVNINNFNKITNLRMNMNQSIMEIHGCNWITMSNIIEAHESKLIDIDLTRDLNVNQLVKLVTLWRNGQKLRNLEKLKMEWTRGCCGFDSDELKEFRKKLESLGGRSPLNSSMYQIERVTDGEIALLSFELGRQFEMVLQRVYEKEKKEEEVVEEEEEEEDQEAEEDEDLEKEQEDEEEEKGEGYESEDEDEE >CRE17001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:122305:124037:1 gene:WBGene00073485 transcript:CRE17001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17001 MVSEDSEVFCIQSNNFLQGRRIFYVARPTERNLEINKKYEGCDPPEKWIVEELFDELQRVEIKKGYTAFIPAGYIHAVYRPEDSIVFGGNFLMDGHIDRHFEMTAVEENALECGHIEVDNTFPNFENVMWRYTDKVVNERLQKPDPHWKDAIAPPLLRENLDVTKKPNRKLGTKVWTGQRHTPRQPCCL >CRE29046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:158187:167561:-1 gene:WBGene00073486 transcript:CRE29046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29046 MRRATALWRRAHLNAEPVEKPTTTKATYNHLVATNRCHGRRHHRRYRSRIQPLPQPSAQLLQKSQHTWSDHTQEHPTESKVKERREKKKKREEEEEELRRRRVKKKKKKEEELRRRRRRVQKKEKKKIEKKKIEKKKIEKKKIEKKKIEKKKQLPRLPTAASDRVLRSHRNQKEDTPTLTAVQTPTPDTTPTTGQTSDSDRTLTAAQTPITTRTSTTVRTPRGFWNFLSDIFDQSIDVRTPRSSTPIPDHQSPRFEEEFPDLTIIGEEETEEEELSEEEEETAIQVEKQPESSEEESSVTSEFFTPNGSMSTLPEVKAGTGGGRPQPTTHEMPSWKRKGELARMMDPRIKKFSEGKSSDLHRWLKDYAKLVYRMDIPRETGTELLPFFLSGTALQKYNALDHKILDDWAKTTKQLMLAHDCPTDREISLQELTSVQQGKKTVSEFAAHIRELGEYVYEGLPEKNRDLLLASHFLTGCSKKIKSRLRQLQNIPKSLRAMTAEAEKIQRLLELEEEEEATEAVIAAVQQWNIGQQDRGNIDSGRGNFRGRGFQSRGDSQGYGSRGGFQGNSQRGGYREMNTNQEEAAHSEEATEDVAEETGTKDTVIKEPGTKETGTSNEATKEVTTPIPQGKDHQTKQHRTPRTAVESDGIPPLDAHSSSTASAKHALGIMMCLFPHKETLEATKTNLVDLREAGEHFRSHQQRTPCNFEQSHSHSRTYARQRLFDNGTKSNPRWKHHKWLQNMRVEREKIGKRIPVSVDECRKTSITKKFNEMELKEIAPGIYRSEKIGEAAENATRILGTTTFKTFEFTMEVGKVASLDGIHVMSTLASLEKCTFGAGFCQDDSSTVVWQPQETRRECQFELMQSSTAIISQQFIAIEEMAIFSKFDTDLRRLQDALEGCFIHQGYRTDDGYLIEFPEVQSKGWVPDMHIDDMTFGRRHNPWIRRSRETVTSLGPAGTEFQAYIGEPFITPIIRRLYGTANIEELTDLKSPITDPEILQEFGKYNVTNKLLADRARNYPKDRKHQNPMLLMSLKAIRVAQYGARQMKAMEKLNRPLTRGEEQLKLEIERQAAFTFDKLLGKEFGISEPDIRHPDEKFVPPKFEEKDLKPYRNLPAEEEPSWTTTTRKPATTLRNTSPPATSLKSTQPSTTTPKAPPIPVTPPRNTNPPATAPPRTAPRLKPTTPQSITRNRDRWEHDQDYQTPSREMSHIPEANRNVVYEPVAQPSFEEVEHQPSEERERPLIDQFEGICQEQWKSTTLFQTLLRIDPTAAIRQLLRRNDISAKIIGESLIISKCREVTPDVIHYGRKVNSTCYNLIPVTVKGKLWFQLPGSNDLIGEATEIACEDRPPSVRYEHNRWVGLENQEVLPQFLARPNGKLQEQFILPAPETFHTNLDEETGVSTGTDREMQYINDENSKKLRKRLITEGILKDTIEKVKETTAAAGKSAKNLYKSTMDSMKEGVKDVVFSVLMLVAWIVIPIALIALVVVILYGYCKYRAYRSAGRVAKRSAKRATDALVEYAHHHLVNNIQMQETNSYRPISRTVEEEYPIHSINSVRINSVTAARLPHIDVEAEGGKLEALLDSGAAISYMPVSSVKSKINTDRQPQARTANGSPIRFLGTCDTTVKMGNYQIPHTFLVSQDGDCPAAMLIGADMMEKINKLGHEVQINLYKKKLTIGECLININFVTEEMNEPVNVKIAEDVVVNARSEAVIPAELENYRVEMGNEFLVEDNQKDSFEIFVVARSLIRTDKKGRTRVQVLNPSRSKIQFKKGRRIGIAGKVDIVQPIWGADVSPEAHWEARLPKLPQDRPKNYKVSDKVDFSTTELTPEQKEDLIFVINFHEGAFVGPDGILGEYNGKIKHRIDLIDESKVPQGKVYRVPLEKRKEVETQLKEMIKQGIIRPTDSPFSAPIVLVRKADKTSWRFTVDFRALNALTQPVQSIIPNIHEILDLCAGKILYTTLDFQQGFHQIPVEPAHCARTAFACHMGAFEYIRMPMGLKGSPGTFQRVMNTLIKEIQARVFVYIDDMVLTSESPSQHVRDIEEVLDKIEKSGMKLRPEKCKFALPEIRYLGFIISKSGIHPDPEKTKAIDEYPTPKTVKEVRAFIGMASFYRRFIENFSKIAAPIMTLTKKDQPFEWTNECEEAFKELKAALTKNPILVAPKLGKPFVIEVDSSGKGVGAVLFQAQDDEGKDLRVIAYASRVYNGAEKRYPAIELEGLGLVYAVQQFRPYIDGARTLIITDHAPLKSLLHRKDLIGRMGKYQIVLQEYDIQIEYRPGKQNIVCDTLSRFHPRDEETAEADINAITDTAIDFAKVQQEQEADKRIRETKEMVQKFRVQSNVLFEKGAENKWMIRLPPETKYGKTLTRKIHASIFENAHLGSERTEKKVREVAIWQGMTKDIRRIVEGCTTCQKNKDTIQTRIQAKLGKFPEASAPFQRVHADYVGPLPETTRRNKYIAVFVCAFSKFIIAEPVIDQTAETLCNVFTDRVVSRFGAPKLLVTDRGTNFMSKKFEDLLTSINCAHNASTAYHHKANGQVERANQTIEMMLRQVKDKEEWDIDLQPLIHAYNNSVNSTTGIQPHRVIHGQQANSPVKNSIPEEEEKLENPSEYANRIEKEQPKRNQLCQENIRKKTQKQQESHDTRKNINDVTINIGDKVWIREKRFGKIAAQFIGPFQVIRVEDPNVIVEIPAMGTRANNRRTRVIHKNNCKRKTTRNRTTPESHILNEPSKEKVVDTTGCTGIQKTRETETSLFDQQRKQEQRKKGKTTRELIHLRSRGISWRRNEHGRLNGDITTTAHIQPVTEVSPEDPEDAEEEQDPWED >CRE25957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig526:46267:47980:-1 gene:WBGene00073489 transcript:CRE25957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25957 MTNEYSKPVNENQTNKTGDNSRNTMSNSQCEMTWKPVARTYAQAASTNPADDKTVTVCGYKYNLLKLRSNPQTTKRSPPKPSRGGARISNVYTLTDELEITHREEGKITFAIDLPNKNNILCPLCRECTQKRLRGAPFHKHMKDHVKEKHQLEAKFIYKCSMCNEYEPEKKCGSKWIVAHLQKVHNYKYDESDVVQKVEPLTTRQQIANELNDAAPFVDIRKPKANVVEEKKTENGALLKFLTKSNKDEQEHSQSNDSPNVESPEKANQALTIDPKGNNSPSKSSMRSSQSSASSVCQEIQEIITLSEDEEPKAARPKPGINVWSLINEKGKDAYIDTDIMMAFLKMRMENCDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKKRVVFPICADEHWTLLTISNGVAAFYDPTGSRMSSYIEELVNELGLIIPKEQDEKPRQRDSYNCGVFVMKMAEAFIQDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKNFAQSRPTSRSSQCAVCPTCSRSATPMMDVGNMEVDPVPQQQETPKSQRGEW >CRE21093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2033:748:7010:1 gene:WBGene00073490 transcript:CRE21093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21093 MITDIYSLPLVTQVGEPLDETVERVVETVQFSVICFTLPFYMFITYFMLDALQRGIDELSTPFFRLCITTAFIDIWTLLNNYLGAMFPKWGWGTKVYLFMDGYYAHTYLYFAWASGICQAMCVSLLATNRVSAIIFPQRHSRMWTSTRLQVAIAIQFLPGLIAGLATFFNETQLYRNTKNGLVPRFKSEIFIAVLFGIAGAFLATVCIYLIMAYCYLLFMLRKSSNAIKNTQFAKSRAVIKKKEVKLFIMSSITVTIQMSILVLVIIYATSLLNFPLDKFYLFYNAISDLYAGINPYLLWIFSDSLRKHIYIRLGLKKKRKVPSSSVVTVN >CRE30142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:26:790:-1 gene:WBGene00073491 transcript:CRE30142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30142 MPPVKTRGPSNLSRQLKRVRASYSKALKLSTPPPALIQKFKARIKNIQHSMKIKLHRRENYILASLHSRSSRTLINSRVKCRSAIPHLSVGNELITSDSAKASIFSTEFLSNYNSTGPSSPSFSTTKTPSSPHTLPLMDLFPPWVIEQAISKIPPKCGFSVHLANYYVIKQCATTLALPLSIIFSESFKTSTVPKAWLHATIKPVFKKGNPSSAQNYRPISLTDPFARLFERIICRQIRLDVATSSAFTSMGSFP >CRE14161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:445128:446237:-1 gene:WBGene00073492 transcript:CRE14161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14161 MVSTPSITTDQASGSSVIDPLAVEAAWRHLLANPARAPARLFSTEVQTQSSAAPPAPMVSTPSITTDQASGSSVIDPLAVEAAWRHLLANPARAPARLFSTEVQTQSSAAPPAPMVSTPSITTDQASGSSVIDPLAVEAAWRHLLANPARAPARLFSTEVQTQSSHQGYALPVSQIDFQPKMRNDYCELCKKSYTNRTVHLLSWEHHAASRNRK >CRE11241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:104483:105681:-1 gene:WBGene00073493 transcript:CRE11241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11241 MDDSKPFPLFRLPRLAIEEVISTITPFEIINFSMTSLKIKYFIKCFLKNNRNSKYLLQLDTSKEPIVTIRGSEIHFKLITTMDKAKHGEREFENFMGTEKFDKLWIYSENVLDGWMEVVTTVMKIFKLKKHFVIFNIDTFPTKNKAIVDLIKSQTPSIEYCEFNGKTEADEDVEYFLNNLNITGFLVLNLKLSDRFKFPQANHINSCSLDPANWLTFNQLLQFKGSDLYIHDSPFTSYELNQFLILWMTSQCHQNLRFLVVNINDPQSLETIFNLPFEILDPNVERIGRLSNNKTISLKGDIDIKRNDGMTGTINFKWRGDKMLLQMLVSRIE >CRE22150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:14298:15330:-1 gene:WBGene00073494 transcript:CRE22150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22150 MIKYPELGKLFDKYLLFFYLFSKSTRPRKSTSGREFVKPITPRSSECVQLLRHLHAARSSQKSFDESRRRFHSWKWNWRRVDLLRDVVKYGTQDEPADRELLAALAHTRWLMLSVESGNPHPESLVDLHEQFGRANICRAALASYDGMYFLFKWNQKEQKG >CRE13686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:217992:219077:-1 gene:WBGene00073495 transcript:CRE13686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13686 MLLSKGPKFAPSGKFNQKVLSRISMGFVSLAATLRTRAASRSQNGITWDTLPPIPFPPAHFFLHPKSDKTDQQVAAAFNIFMKKINEQKCLHIADNMSKKMWSALKELGQNKDINITVSDKRGEFVITTNAFYRESTILHLTDTSVYTKITKTEYNEEVKKFYGGIESVLKSWNKKTADRLTDCHPSKNTLYILYKTHKFEERGEKATPSNTKVRPIISGVGGPTDRPSWVVCTIISQLLQFVGCHLQNTNEILKSLNDIRGKKIKTEIFYESFDVESLYTNIDNEAAYEVVITKLKQHYAQIKWYGVSFRDIKSSLKTCLNFNAFVFNEQHYVQKRGLAMGSRLAPVLAILYMDKLESLS >CRE06204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig813:5930:10328:1 gene:WBGene00073496 transcript:CRE06204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06204 description:Anoctamin [Source:UniProtKB/TrEMBL;Acc:E3NNJ1] MRDFSFEEAQFFAGVEGKNTFLSPMERTIIVKQMVDMMRAGKGGLSLKLPRRTITFTEGIAIVPRLISMNVVQNVSALHNTEYLKHLQQKWVASLGEQPIDQVKDYFGTEIAMYFAWLGHMTTALWFPSLLGILMWFLGGFKYKNNPGDKHDLYQLISDICFVLFAFFNCIWSTIYLEWWKRVQAELAFKWGTYDATQDSYLQDPRPAFAGDYLAPNPVSGRMEPFYPAWKHTVVRYVITYPITCLCVVGMFVAMLAIFTVQDLADFYFAESFFFHWICYLPMIVYALMIVISDKIYRRLALVLNDWENYRTDDEYEDFLITKIVLFQFVTAFGSLFYIAFVLRDMKRLQETLATLLITRQVTQNIMETVVPFMIEKLKLSSLTYKMTRSMSDGTLRRHVENVRNKRQSSVEQEEEMSPKRSPIGSFFSLGSPTEGELRERKKHDEGKLETNDMKQLRTLSSIFREDFSLKTERLPLPELKPSNDSNPELTQAELESVMSVYARPLDDFLEMFIQFGYVLLFSPAFPLAAVCALINNLIEIRVDAFKLCNTVHRPFGRRVKDIGAWQKAMELLGILGVIVNCALIGQSGLVQRIWPDLSWGGQILIDVVLEHVILASKMIIDILVPDVPHWVRIETAKQEHFRREAFKRESRLLSHTQTPSSDQLQSSNNQQEPTTPDAASRFNRLEQINRSKRRSITPMVRLSSFTRKPRNEDNCID >CRE23217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig798:10450:11480:-1 gene:WBGene00073497 transcript:CRE23217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23217 MIPINNQQTADNSNNPQSELTNVKNQKKRDGSGILKRNKVENQDQDEIITTVKKIKKEDFGVENSTSVGMSGGRKWMLRTELQSRGIPKSSVLSPNYLSSTLFPLLPTTNYHSSPLSVALALEYLSTPISDENYMNAEGIVREIREWLSLSRCSQELFLSHILDVDKRRFDYVIAYPQEYFSLASGRKLFVRMYNWLKMSGYLKMKILSLDLSENKNASSIKVPENRENASPQPETSSDSGDVPLTPDLSSNTSIASDMVTKIINRPVSFVDTKNVSIEVKR >CRE23218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig798:12643:14524:-1 gene:WBGene00073498 transcript:CRE23218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23218 MIPIENQPTSDDSNHRQAELTNDKNEMKPDGSGIIKRNQDNNQDQDEIITTVKKIEKEDFGVENSIPVGSNEGKKWTLRTELQPLRPSISKPSVSSPNYSSLTSSSPSSTTDSHSSSISVELALELLNLPISNEDDMNAEGIAKGIREWLSLGLCSQEFFPSHILNIDEIRFDDVITYPQEYFSLDSGRKLFARMYNWLRISEASKMKILSLDQSTKRNKLEDYSVDQQKPVGGCDNPERGVNRELNNVNRPMPSSSPCSPVSPSLSLPTSSSTSSMSGKQAQELLSTPIPDNVYLDTKDIARQMKEWFTLGICTQSFFAVKILGTPGNRFHTILTTPPRFKKLRNGKELFIKMYNWLKMSEDVKREIWSVVGMNDEKSKEIAQEPEGEEEEYECPTEISKKREVSLLSEASPSITKETFNAIINKKINYVNTKNISNMMKNWLQRTQATQRWFAKKILGRSRKTLGQCLNKPKDWKDLSQKRKIYVKMYNWMCLTKEQRLEIMRVYKAPNMKYQ >CRE23220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig798:15866:17020:-1 gene:WBGene00073499 transcript:CRE23220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23220 MKLEDSSVDQETSVGSCSDQERGVTRELNNAVINFNRPMPSSSPEFSFAPVSYSPSPPTSTQSHLMSIEQSQDFLSTPISSKINLNTKEIARQMREWFNLAICTQAFFAVHVLGVVRNRFHRVLTVPPPFDSLKTGKELFIKMYNWLKLSEDVKKEILSVFGNNDQKSKKIAQESEGEEEEYECPKEISRKRKASFHSETSLSSDSFLDTSITDETFNAFINKPVNYVNTKKISMLIKDWLEETQATQEWFATKILKRCRRTLNQCLNNPKDWKDLSQKREIYVKMHNWMCLTEEQRHEMMRIYKAPNMPSH >CRE20139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1468:4320:6108:1 gene:WBGene00073500 transcript:CRE20139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20139 MIPTEALSVQQTIDESNYILTELTNVRHPRITDCYGILKRKEVPISCFEWESVEKENRFGMRYTPYGSKDLPIYFMYSDENQYPNKFEPATKRIKLEDYSVEQQMAGDSCSDQERGVTGELNNAVLNSNCPMPSSSLTDTSSPVAAPLSISSQIRSMSTEQAHELLSTPISDSVYLDTKDIARQIKEWFTLGICTQAFFAVHVLGTVRNRLHRVLTIPRPFNSLKTGKELFIKMYNWLKLSEDVKKEILSIFGINDEKPKKFMRGPEDMESFSDDYDCPEEITFHSQTSSVSSLSPESSFHTSITTETFNGIINKPVNYVNTKRISVVVKNWLERTQATQEWFATKILKRCRRTLNQCLNNPKDWKDLSQKREIYVKMHNWMCLTEEQRHEMMRVYNAPNMDPH >CRE29940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:564561:569297:1 gene:WBGene00073501 transcript:CRE29940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29940 MVRQKKASAIATALQKPVFVQPTTPVPVAFIPGYSFSFGSQYILPVGTKCNETDTGAIQYKFHESLSTKSSDSKSSISKSAEKHTTPSTALPSDECGERSSSYVPQRFIPCFDLTTDVLGGVGDENSYHLRQKLHQDERRFHENETMSNSGDRDADVSEDGIGRHETEEKLANSGDTAEVEEEEKGEEEEEIVIADVGEIGDAMTAAVNDKEDVESATSSSCGTKHQKSQLEKLLMQPVKKPVYQEFQQPFIVTFSAIGNQPQPSSQNSHLPKTMQFYQPPAQQHLARSTPPPGFVPPYGMGMRPHEEETRQIMNLDSQQTHNSSPSSSW >CRE29939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:562955:563421:1 gene:WBGene00073502 transcript:CRE29939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29939 MNSSVSLSDVLNGGWTINDESIAVAAEEIHPAADNDEDSEMSDLSQNSNVENDYDGKTKETHSEIDVDALRKTAKVDEKIQESTNSTCKAASNDSNVLISPPYYDTSIKPEATLQHDQYSSGK >CRE23075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:3467:4485:-1 gene:WBGene00073505 transcript:CRE23075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23075 MVATFHLFRLPENAIVHVLQNIDINQLLIYSLVSSKTKRLVTSLAIEARDFEVRISDFLRISVLVHTTKCQLSLNFYNDSNDLPVDITLPVAASFEYRGTRIQSSTPLLSFSNWLNHIRTVFCCTRPPNIRFYRGCEKNEIGLLKETIGTVNVLFVCSLLTDVMSREVLKQFNTPNELFLERNPFEEACQTQQILIQNFEFIEFNDPFSLDDMLLINSERAESYHQISQTQFNRFVKHWIRGSNPRLQYMSLLIDMADFVNGEVYLKGIRCMEMSEDAKTEIREKYDLSTYVDMIQIRRKDGTTAAIGTLEDDVLYVHFIVLH >CRE23076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:4921:5942:-1 gene:WBGene00073506 transcript:CRE23076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23076 MKPTFPLFRLPENVIVQVLQNLHSSQLLIFSLVSSKTKSLVTSLGFRAFTVFINISHEIGVMVHIGTLEHLSSWNLIFRNDSNDQNAEFDITCPISASFQFENKTFQPSTPFNFSNWLDHIRAVFCYNKPLHVFFWPGSERFEMVSLKNAMKNVNCLDISEGITDIQSRKILQNFKDLNELTLRSNPLKDTCEVQKFFIQNFEFIKFHGIYLLDDILLTNSEGVIITRPISQKQFNRFVKHWIRGSNPRLQNMSLSIDITNSVSGDLLLKGIPCDELEEEEQQEICQELSIQSDYMVVVRRNDGTSAVIASNKYENNIHIYFFTFY >CRE29941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:571875:572890:1 gene:WBGene00073507 transcript:CRE29941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29941 MAVDEEVFTRCTDKNLVNLTPSERAEREELLSKLSTVFEDEKTLGAGTAGMTIAEYSPRRSYSNTLIRQSTDDKSSNSSFANGADYGSSETTLLNLDDHSNHSKDFGATPTPTTELSNGGHMFEDLRPIGGGNVETEEKSTPIMDTPKRGRPRKAPGTVSTKSTKRKESVEDSEMSEDRDEHEKKTEDEDETNEETEKKSDELEQVVLAAEREDDAASSEGTNRSETATPEPADTSVAKKTRAAARRKNTRTVDEELQASTKRKRGSVTSATAGVTQFDDENADHKMVTR >CRE29944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:588601:591546:1 gene:WBGene00073508 transcript:CRE29944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29944 description:CRE-LAD-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MMA4] MIYDVNSADEGLYYCNVSNKYGINRATNRLQVFKPTYFVRIPTPKRLILEAGETAEVFCEAVADSRLPIKYQWTINGKVLTESQYYEILPDRLRFRSVRGRHSGIIDCAAITDVDVKLASMQLIVKDVPAHPVVETAHCSERKATIKWVAASDHGDSIKKYIVEMFTDFKKNEWETINEEVNVNKENFEVDITLTPWVNYTFRVVAVNSHGRSDMKIDGQPKEDWLTCQTRPSFPYTNPTGVKGEGTEPDNLVISWKPLDRYYWNAPNMQYLVRYKLDEPIHGWTEFLVEDSLANFTIIRDQPTFRKYLIQVQSVNSVGPSIVEPEIHYGWSGEDVPDEAPHDFRIDTQINFTTINFTWNPVHENTVNGHFVGYEIEYWKAENTIRKYSIKIPANSTYKIINSFHAVTNYSAHIRTRNKRLRSAPSHPVSFAMPEGPPGKVHNLRVYSVGSTAILLQWDAPLQPNGRIRGYFISFQNDKNETEETYVIHRQKYYLHEKSEPDTGYKVSVWAETRAGEGPTTLRPVRTWPARIPDAPIFRVKNISLDSFVVEWLPNNHSVWKMPGAAFFVNYTAESSNTWHQTEIIYLPNTEILLRNLKEDQKYFIQGIAKDGPRQSESVFLPIKTLNRDYANRLKEDSLRSAAWFIAVLGVLGIGLFTICLTFCCGNKNRQEKFAVRRKEIEIGHQQDNEEEKQFLEYQYGFKN >CRE30025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:579447:580928:-1 gene:WBGene00073509 transcript:CRE30025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30025 MNVCYRMSKTEPLLVLLAILVLVSQVFSIPVDNPEPTTEEPVILPPEPLSKIVFVNDLPPEETLQFEFARGARVYVASSRDIEADSYEENIHIFAPNLDGESKKMSEVGRKVDSETGEKQPVFEDLRYSISIRNNNDEKSKTKNPGIIYFLLAPDDEHVSYVFESTRQEKRTIFIQSNNIENHYVTLLNPSGAVRITNIHNIDGLTALTVSAGGLEETKSSNFTIHDIGKDASQTVAPLFFVEPVLTFRKQLSLFPSSFEITAKGVEIQNQVVIPMKWSDWSLGMSPNYMLRNESNSFKYVFNSSIEDSQFDVQMFGEISADSSLTISYNGIEGAGSESFQKKHLKRLSKSLKAQSLTVEYKRAESDTRRGVLVRVECSREFTKL >CRE30028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:591800:593971:-1 gene:WBGene00073510 transcript:CRE30028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30028 MILLSMTLSVVNCFVVIGNLLVFYVILTKKSLQTSTNHLVLSLTISDLLLGILILPFAIIQEHSHEWIFGHLGCRLWLSVDVFLSTASIYNLLAISFDRYMAVRQPIKYPIISSTKVVRLMTFLVWFCSLLLAAILFLLETLIAHDATPSKECQPTTLPSMYIIFSAMASFIVPAFVMVILNVRIFQTVLHTSRTMTVKSKNGSLRVHRRKEPIVPVKKLERYETRLSQEDEGVGSPSKEVIDPVPVIAAVVEKHKNSVDTPAIRSFLTHTVVFGVLEAKKTNIINHITQWWRRSTVRSPNRNSRKKCMRRSLRTEMRVARTTGIVVAAFIVCWIPFTTIYVLQAYSICTVAAGCIPTSLFTTAFWLGYSNSAVNPILYAAFSRDFRIALKRLFFQKPKF >CRE31596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1167:1026:6052:1 gene:WBGene00073512 transcript:CRE31596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31596 MKTKKAAAAVFTLLDDVMWLLNIRGSDIPFNPLAYSYLFIGMREIHLFIDGEKLNSESREHLHESSVSIHEYSEVYTWIADWLKTKQEAGEPHMAYLTPETNYAIGSIFGEENSMVDVSLAQVAKATKNHREMEGMRVSHVSLIEKIEKIRKNLSILSEKRSKMIHFDRKIVRDSAALVEFLCWLEKELVSGKTYSETQLAEKIDNLRSLQEKYVTLSFDTISAAGDHAALPHYKPEGENGKREATGTSVYLVDSGAHYQDGTTDVTRTVWFTSPPKEFITHNTLVLKGHINLATAKFPDGIYGSRLDTLTRDALWRVGLDFEHGTGHGVGHYLNVHEGPIGIGHRSVPSGGELHASQVLTIEPGFYLKDKYGIRIENCYETVPVQVASGATNFLGFEPLTLVPIQTSIIDKSLLSGAEINWLNNYHARVLKEVGEFLQRAGKAEEYQWLSEACARI >CRE22260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2511:5088:5672:-1 gene:WBGene00073513 transcript:CRE22260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22260 MLKDLLRGLEHLHGANLLHNDIKPENCLLSNGGFWKLADFGCSSVDSEPIAAGDEGDGRYIAPEVLATMTPTKASDVFSLGLTILEITTYLYMVANGAERQAILNGQIPNRFFKSVSLELRGLLGLMKHLDPGARPNCAECLDHPTIKSVRYRFKFSGSVRTMVNNVSDANDSTGEMKRKLFDGNNNDLKKRMM >CRE22259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2511:1912:3950:1 gene:WBGene00073514 transcript:CRE22259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22259 MCLRFAMYGVVTSSGRVDKKWAAKETSRFRMCLREDTPIFINIEDSEFILWIYARKSTFSFSVAHLPSSNAERRLPHVAKFVLMVKNVSYMDESRTLLDRFLSKDEEGHARQADGEPAIWPPAKAQFY >CRE20562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:105947:115217:1 gene:WBGene00073515 transcript:CRE20562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tol-1 description:CRE-TOL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NFE3] MFGICKCAPDPVQPTAKLLLCDYSSNSSVSPIETNYNNQVANIRSLFITCDGHFRFPDAYFKSLTALHHLRIVGCHTSHFSVKLFEDLSALRTLEIAEISTSNGSFEMTEDVLMPLARLEKFSLTRSHQIDLPQRLLCSLPHLQVLNLSSNGLPSLRREESCVAQQLLIVDLSRNQLTSIEQFLRGIPAIRQISVAHNSIAHFADSLSSTPFLQQLDAENNRIESLGTIPDTVVHVNLAGNLLKMIPDTVAALPQLVALNVSRNEIENGGDNLTVIASAELEMLDASYNKLSRIPTEWLEKFEKRIAHLHLEHNRIEQITDGVLRNATNLQTVSFPKNWSKIQKLDQETEKFEFSALK >CRE29391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2209:939:2643:1 gene:WBGene00073516 transcript:CRE29391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29391 MTLSMTDGLEQTVDQTLWTVIKFFYLTAEFCALTFGLLFGHLDNGKSILVALLGTLLVSIPHTAVQVIVEMKIIDNSWLPLTYFDVQSDGGFIFWVLSSAILALVYFFIMCLPLVCCQKYTKLPSKGSFFIYCMMMVTLNVLQSMGAALILFKSSDGLCFVGLSTYVYFVLYPPIIYFTFLRKKLKTPPNNTSGLFMYRKHKDEQGSGDLPDSYYPRFSGLTSPSYDDLFDYDRDARFTHYDISTNEYVQNPHYNTYSTPLIMSAVETAESTVTTRTGSDDYAHHRDSMLSEPSTGTTTRHLKGLGPQGSLIFEEDPACLRL >CRE29392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2209:3765:5259:1 gene:WBGene00073517 transcript:CRE29392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29392 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NUJ3] MCITKKAKKEPVSVAATPTASPGVTPTADPSGKNKKPGDKSKAAKAAAFPDTPAAPVPKPPAKAMIVVKPWVQRTLDTGVANLVEEFRGLAKWTPEGMTTEAFNANKDKNRYQDVPCQDKGRIVLKFPGLASDYIHANYLETAHNPKKFICAQGPLEGTQHSFWAMVVQEKVECIIMLCNCIEMGKFKCHQYWPLEKDQKISFGEAPNQIFVTKLDEKKMSAEEQCINVTTLKIDTSDGSRKIQHLQWENWPDRGVPQTNLTAIVSYFACLNHFSYNYFQNLLSATRGNQNPILVHCSAGIGRTGTIVAIAYVQDKMMAGEDCMAMNELLKELRSQRPWSIQNEFVSLYQYLYLHRVLLSYFLERHKSSYGELLSAENAAKYKKWIEDYAKITSGK >CRE22201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig831:579:3192:1 gene:WBGene00073518 transcript:CRE22201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22201 FNISAVTFIGVLLGNIILGILADKYGRRTVYFLSLLFGIPCLVLSALIKNVTSFYIFRLLTGIAISGTLTVGYTYAIEMISANRRLRIFAFANWPNARMIQVGLAYFTQEWARTTYTTASIACLALPVLWYLPESPIWLEQNHKYEEASRARKRIEKISGVTEDHHNSYEVVAFEKVTPKRVIMDPKLRTSFLMILFMYFYVGLAVYITDLNGADMTKNLYLGQFLAGLVLSIAQFIIGMTEPYLTGMGRRVLFLLSQLIAIICYILIVICLYLDWKGSFLYLTAYTLAYASQSICLEAAYLSLVELMPTDVRATVGSMANICMKIATILATQTQSLKYNYEPFLFFINLVVCTIGMILVFFCLEESREADMKMVGQTAVGKIFCYDEEVTPPGINAHDPSPPRDANNPEDPKMDKQLPLAIAEPVTAKPLVSKEQLRIIEKNNERNRPIVEKPKKVLEELAKIPDPVKKQLENEKASTDESLKTIEITKKSEESKENTLETKTGETKIVLPKSVKKPVEEPKDPMPESTDDWQPNSKNTKSGQKPLGKRPSLKNFSRYDNESEEE >CRE22205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig831:3418:7390:-1 gene:WBGene00073519 transcript:CRE22205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22205 MHGQQAHQTLLSALTTPGKERTSAFGRMGLTFGIGFIFVPIFSIAATKIGGDLAPLLVSAALCALPSIVLETCIERKSYEHEISQDDSNGPPPQINITNVVRILQKPGVLNVMAKKNGGVVPFLMIIAVLNLYIIEKFNATNTENQIMQMMMGVFIMFSNGFGVIWLRKKFDEQTLLIIGSLSFVVGYTLFTFVFFNFWMLLVIMPFVSLGMSVVATCSDSLLTALVDESEQGIVLGTATSLNSLVRTFSPLFAGSLLQNYGFQSLTILGVVGSLLAIGLMIMSPVDENLIKKHKTE >CRE25779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:15755:17635:-1 gene:WBGene00073520 transcript:CRE25779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25779 MLIAFLVGFLCGCALLLGILYIFIVDPWAPPTNQQQPPIEQFRPVQIPEELRGFLKSGDDGQGISRWESCNSISLLLHMLFQEHKDTRALRRWVHKRLQMELNDITTRSAAGRLIQEIRIRELSLGTKFMTINSIRVENVEMAEDKNTFEKIVFILDIDYSGGFETSIDVSTIITKKASLSVKITKLTGMVRVILSRQPYHHWTFSFVTQPVFETDFTFTISFFQINSQIQGHQLKRLIPIIKEAIRRSLQRKHVWPNYKIRYRPFFPNPIFQASPPINSFTHIKMEGGMEVTVLQCSRLKNALLEDKTKNYEIYCTVSIESRPIVQNEEQGHVVNVLLTFSRYDVASPIGLAFDKSVQTTGVNANRAVKVCTVEDNSLADKAAFKPGDVLVAINNVPIRSERQATRFLQSTTGDLTVLVERSLDDIDDEESKEPEIIVSTVRDLDGGVLGGDADTTSLMSTASVTTSENQRDSMSEKTEVTLRATKSDGR >CRE19529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:87692:88849:-1 gene:WBGene00073521 transcript:CRE19529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19529 MEDVPIETVKGRAKRRAQKLQNVNAINSPTNFAYFRDLFCCKCPNPCTWSPREAPSARTTSPTQLQRMVELVKLDPNLMEKDQIRELLLLSQSAIEGLSTVPDERTFSALATCPTLPLFAGEINGWEAAYQQCRRDLVRKHLGFSFGTTKTIQLICAPGVNADNVPVQASKMTMLKDLASVTENTYSQMLRHVTSIVAVVVPISIKEEDQDAWREIVNAVPSSAVVYLIPEHMTRFDHSHMAMFASLFGRVMRDQGELVVVSPDEICTDELNRPLYLVSERVSAPKYWMIVRSWLEEHKHQWPQFMVSDEKEDCIATGSRQVCEEATNKPGPSQPPAHRNHEWKKEGLGRGGGSRGNGQIRGGAHKSYHPYPSRHHGKSTTPQKK >CRE19588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1269:7996:9482:-1 gene:WBGene00073522 transcript:CRE19588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19588 MFLFRMTGGSTYSSTSVSPTDLEHNESRKASSNGQLDDVAFRRKTLDSENIAQKKSTPVVIFINAIIIGLVAVTWCLSTQFSKTALNFDKKNFNAPYFMMWFNTNLMLLCFPVYVFVDKLRSRREIGEIVGETFRTFGKKKGFSVRNLFVYVTPFVVFWVGANYPYVRALLLITPSVATSISACNAAFVYILAIILLGDKVNIFKIFSVVLAIGGVVVISLDNEMRIEWLGILFAFISAFMAAVYKVSFKRIIGNASLGDVSLFMTCLGFLNLTINWVPALILALTGVETLHFAYAPWGPMLGAALLSMAFNFTINFGIALLNPLVVSVGMLCGIPLNTVIDILFRGLEATPLFIIGTCLIILSFLLIIIPYDKLNLNGNCTSCSKNDKTSATVCEKL >CRE16823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:75827:79052:1 gene:WBGene00073523 transcript:CRE16823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-10 description:CRE-LGC-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MS69] MDNETTAILNDIFLGYDKRVLPFVQGPVLVNMTIVLGILIELKENQQLAAYVISHTQRWYDNRLIWDPTKYRGQREVIVPQNMVWIPKLFVYNSLESKDMLTENRADVRLYSNGRIKINIPQYVQAICRIQTQAFPFDCQFCAVALASPLLNVEEMIVNATQPPRDSYFTGNAEWFLFNVTVRHMTFEEEGESRVEIHYIFHLQRRPIYYITVIVAPTFLISALSILGIFSPGSNDGPRNEKVSLGLGSLLAMTVLLGIVAGAMPKSNDIPLLGYYILVVIVLCAVAVGISMAFLAVSRHYIHKEQMPSKRLLRFMFLNEYRKRRSTVFRGVHNYRFSQECDDLMYSKVPEIQSICMMMEEIADSHRSMRRKADQKANKKLIEREWARVFARFDYFFLVVFETLNLSALAVFLRVAWLPTPELREQIL >CRE07901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig735:4589:6627:1 gene:WBGene00073524 transcript:CRE07901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07901 MKYVIFLLTIFPLSLSYNFLVFCPLFGHSHTTFFAKIADTLSDAGHNVTFFTPTVTRKYTKINYVRSTKNVIHLEPSEKLALIGDKFQMSDPSKFWKQDSSIYEILPMIDTFFEMFVELTKVLEENLELLDKLKEENFDVMIFENIVPPAYSVLDYLQIETFIPSTSIAFDNSLLYSIGEPFMPSAIPFPISEFSDRMSFSERLVNSISIPIMNTFLPKREFKSFRPPYSFIKMASLEPLSSFIFTNSNPYIDYPRPTLEKNVQIGGISVDIEKLRNVKVDEKWDRILNMRSKTVLISFGSFMLSKDMPLEYKKALARAMATFPEVAFIWKYESDDTTSFAQGIKNIRFPKWIPQTALLADSRLSAFFTHGGLGSVNEVSYLGKPTTLVNLESTVSSFVPSQFQCPIFADQMRNAKMLTRHNGSIVISKYELADSNKIEEVLRIILFDKSTVLTSSIPGSILSTHVIH >CRE19572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1029:46010:47012:1 gene:WBGene00073525 transcript:CRE19572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19572 MPSRYGGIPAADAARGAVRGLRSRWTSLSMISRAAVGWDPVCAAFAQSTVPRGWALANELQWDELDDRAVDTARVLAADAVEKVGNGHPGTAISLAPVSYLLHQKVMRHDPADADWVGRDRFILSVGHSSLTQYVQLYLGGYGLELEDLESLRTWGSKTPGHPEYGHTKGVEITTGPLGQGLASAVGFAYAARYERGLFDPEAAPGTSPFDHFIYVVAGDGDLQEGVTSEASSLAGHQELGNLIAIYDSNQISIEDDTDISFSEDVAKRYESYGWQVLEVDWKKTGAYVEDVAELYSGNRGCEGRDRQAEPHHPEDHHRLALARQAEHRRDPRL >CRE14073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:98517:99534:1 gene:WBGene00073529 transcript:CRE14073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14073 MVKIPSGAVPPRLCVIEKLNGETEYGYNLHAEKGRGQFVGIVDANSPAERGGLITGDRIFAVNGHSIIGENHKKVVERIKANPNRCEMLVISEEGAKLYQEHNIPITLDLPNVERVSQKVGS >CRE31615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1447:42:4192:-1 gene:WBGene00073530 transcript:CRE31615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31615 MLVITLLGFLVPILQDEAVCNAPYSFERYPQSVLIGHAMKVLTVDGLSDCLSKCALSQKSYHFLCKSVIYYYETGECIMNRDSKFIYPKLFRTNILDTLVDYFENNCADVACRAEETLHWVRTEEYLIDESKDVIVESSDAQECNQLCQNNKIGEERFPCKAFAYSNSKQECHLTAESSYVGHKGDKRFNLAPLNSGEYFEKYCLPTNLQCIEASFELVANRMMTSAYKTIPALSQHECLSQCMKDGARCSSATYFYMDDECQLSDISQFSRPNEFVVANFTDYFDKICDPTDPKVMITTPETPSELIQNSVDEETTSERSVAQGAANFENEFSKEVDGSREESPLTSSEESEGRVKARLSTECRMSGISVSIKFAAATSGTIYIKLPLVSHNTFLTGPLFKLPVSHSLTPHSLNFIIPFPTEDDSKCGGIESEPHKWDYNVVVERNDMKTPSLVTTKDKTFQVTCDFSKIADKNQLAAPKVEGDLKSEKILMEIVRNGQAVTTVPLGAEVSLRWTVIDHSENLGFFINECIAERVGGQPPHPEPLKIIYQGERI >CRE31614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1447:6852:9229:1 gene:WBGene00073531 transcript:CRE31614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31614 MDSSGKILWAKHSEVQQANLKTISAEESDAIQDGERLPLSVKDLGSSEIYPQTLAHSSNGRFVVACGDGEYIVYTAMALRNKDFGQGLEFVWAVDPNMFAVRESATNVKIKKNFKDHKSIRSDMVLEGISGGPLLALRSTNSLCFFDWETAVLVRRIEITSKNIYWSDNGEMVAICGDESFYVLKYSAEAVSNASEVTEDGIEDAFEVVGEQTEVVKTGFWIGDCFIFTTALNRINYYVGGEIVTIAHVDRPLYLVSHLLGYMAKESRVYAVDKDLNVISYKLLLSVLEYQTAVMRRDFDTADKVLTTIPKEQRTRVAHFLEKQGFKKQALAVSQDPDHRFDLAIALGDLKTAYELALTMDSEEKWKSLSNAATLKSELLLAGECLGRARDFGGLMLLASCAGSAPLMQKLAVDAAAAENHNISFLSSLLLGDIDACLDKLIATGRLPEAAFLARTHAPSRVQTILDLWKTKASSHSEKSSRKIGESLADPIKYENLFPGFAESLKRESFVREISKIPVPANVRAPSVASRNIEQELEEAVASGAVSFTDEGQAVLKNAPKSSEQQLKAPVSPAVARQPSPVRQPSPVREPSPIREPSPAPAPAQESEEEEEEFEDNQQEVHVPHNDEEDAFGTSKTPDVVLETSRPDIVPARGSAAPDLVSAPTTTQDFGDDTQWSDEDFGDAENGDLNMDDLNLDEED >CRE03560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1290:8021:11697:1 gene:WBGene00073532 transcript:CRE03560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03560 MGCHPSKADFHQDAHSELFRPPAPIPVDIGSAIRNQLQKNTNSIVFIFGGPASQKGSIIEELTSSFNFTSISVEDIVFQYLPSRLSGTGTQIKDIQEALRNDEGMLSIDWVLEMISSRIKVAMNQRFVVDIVPAVSSILKAEEYRARSHDRQLNQFEMKHPIAFAIDVNVKDEQNLTRLNGEAANEPFLQYFRRSNRVISMSLTAEAVPNLVNTTRETLLKLGFTMARKDDHIICFTTETTHEDIDLTYYKLKIVNAGELSRASDNLNAQISAVYRYIASHNRHDDNFLVVVPSFKVQDAGVSHCLLFFFK >CRE03559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1290:222:1400:1 gene:WBGene00073533 transcript:CRE03559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03559 MNVFQRNNFCGGYPGQFGEGMGFFQQFGFPPEVKFFFPGGSHNGGHSSLEENSILLRGIHETRLINRIYGFYDDLNKRFGTPRLYEDFTKMFEMMPLTGLVGKRILCMHGGLSADLVNAPKLDILNTFARPLHDPPNPSLAIDILWADPDINTKGFKANIRGCSCTFGPDVVAQTCDKFGLDLIVRAHQVVQDGYEFFANRRLVTLFSAPHYCGQFDNAAAVMQVNPNMVCSFKILRPEFPGRAAPSHQKSATTILLPPTIT >CRE03433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:211484:213254:-1 gene:WBGene00073534 transcript:CRE03433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03433 MSLAPFKGSSETSSLTRRAVFKPIGPLLAHAQLFGATAESTSVDVPKTWEYSIAMCLLSALFKIGRILIQHFIGDQKLFLDEDIEFSLDKHHPAKNFENFESAEVAGTSRMLNESRYSKIENID >CRE04418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig731:16368:18827:1 gene:WBGene00073535 transcript:CRE04418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04418 description:Trehalase [Source:UniProtKB/TrEMBL;Acc:E3NN10] MSPSPVWCEGTLLHAVQLSGLFPDCKTFVDMPLKHDAEVTLAKWNALMALSPITNDVLALFLRENFDEPEGELEECAPLDWQPMTDQFGNIVDEDYRKFAAALHAKWPTLYRRISKKVRVNPEKYSIIPVPNPFVVPGGRFREMYYWDSFFTIKGLIASGMLITVRGMIENMIYLVETYGFIPNGTRIYYLNRSQPPLLTWCVKAYYEATGDKEFLRDALPTLRKELSFFQTHKSFRRPEWSAPLYRFVVDTTRPRPESYREDLESAEHLDSFDKKCILWGDLAAAAESGRDFSSRFFAVHGPYAGQLASTRTSQLIPVDLNSIICGNMKTLSEMYVICGETDEAQYFYNEHRLLRATIRQVLWNEEHNCWFDYDLEEGKHAESFHDTNFFPMYCDSYHEDLDSQAIVDYLTSSGVVSFPGGIPVSLVNSGEQWDFPNCWPPTTWVLLEGLRKVGQEELALSLVEKWVQKNFNMWRASGGRMFEKYNAVSPCYKVKGGGEYVMQEGFGWTNGVVLDFLKNYG >CRE04417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig731:10252:12960:1 gene:WBGene00073537 transcript:CRE04417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04417 MMNAASKNAKKASREVDRIRGEVDSEKNEARRQLEAEKRARLEMQRRKQEKEQELARKRKEREEEERRKNFTIPKRDGGPSSSSSSVNTDAVRAVLERQRAEKEAKEKKAEIEKQRLMMLRMQANGGKATKKMGKQFGLDVIDLQTRFGGNNEHLETLQKRKWKEEEEADVEADRYRSGVYKALAAKQKNEGSLRATVQGPHRVSTSKSNSLAGLCSRHERTRDTFSPPKDGKKAPERREPVVEKKKIQAAAPIDFKSLMAAASSISEGKPVNLDHLKAKPAKSVQQQQKPSSSSFNNHQKNSLSTSDREKLREKERIKEKQERERENEKLRLKEKERERARAAAVAATSSQKSSSSKISSNQASGASSSNGHPVPPKKEVRKPFTKGPTPPPLIPAAVPGRKYLPGDVRYKEQQKLIKAIAAAPGSSKSAPPSNGQNNKDRIQAVREAEYQREKERAKQKALKRARSEKDAKEFERNKKIQRRSDNPGPSSSSSYPTSSSSSKNSDKRSYEARRMAELREQQMREKERKKKKMMEKERGSRGGGGSFYKGGDDYSDEDDEDEDEYDDGDDFSDMDDFIDDTDMDMDEMNRKDFEDTLKMVNRKYDTDKWSRREKMISERDMHSDFRRIQTEENFSKRAGFMEDLQEASKGRSVRL >CRE04419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig731:3754:9745:-1 gene:WBGene00073538 transcript:CRE04419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04419 MKNKKKPQEKNWEDLPKSRVIKVLEKSVYQPSSAQNDYILLNSLERKFRPDYEQLLGQKAILFHKNASKNALSLYLEAEPQNQSPWKGTRMYIPGTFVEDMSLELEAESRFLWLLIRLCKDTKSLTSAGIFLLYECYPPDNMRINFYLQSNKIFNSILDYLPKMASIFKQKIQIILKTIIQCDQIVRKKQNRENKNKSTAEADLLNEETSDSSKQRRQEDLNFERFFDLCKVYKKKYSGIIPKYKIDVDSLNFNLMPYQTETVRWMMHREAEGTVDENLSWMFKCEQLPNNRSFFYYPCIGAITRNQLSQDEYCDLAKRYTLKGGILSDEMGLGKTVQVLSLISSHRRGDTLDTENNSKKKPKSTLSDYKIADQVRIAESSFAEMASLKKSESNSAKNNSTLITYNASDYKEGETIACSGCAENCSVSICGWDFEKFKNEEFYCPDCRNYMPRKPVKTTLVIVPESLIFQWFTEIAKHCSDNFRVMFYFGVKKHGYLQALEMENYDVILTTYDTLRKELIFTKDKEQRRSLRNGFKPLHLTSSFMHVSFWRVIVDESQVMPQSINSNLLQMILKIEGDKWWCVTGTPLVRTVADMSPLFSFLGLFPFNNADFFFHYVHPQYLSFALELQNREQQLDEQNLPHILLLEILARIMSRKTKKDVDLQINLPELTEIEKKIRFSEVEERQYKEEKERLRFVVEKAIGKAIDSAHLADLSCRDKVLQELRTLRETVLTGQNNSSDLGSAGFVYAPETVIFRLVRNKKIGIENHVRTYMNHALGLAGAQHLMLDPVNALSVYEHCLSKFAEVVSSTCMEDQIGPEVMLQLKAITSFSDSPRTNLFDGDDEVDEANLIDEKDSDNKDELDRIRKIAGTVRNVKQTLHKYTKKVSNPSTSTGNVENFEEKHEELTNDSDDLPGPSEAKRARFDNETENSDEKDVEMSQEALEPSSMEKSAEEANDEYEEHQRRKHANKMALTALKPIRMDATQEFHMFVNMRKIQNSLGVPEENRIPLSRVEMAANRCIKLEVFCTLFFINNLLFQKQAAETISNVLNELTDIWANDDKNMIHQIREFFEMMRAHCAIRKDTEALYERGTEVREEIKKDHFPNLPYVALYDTNKLKPNKIKHHTKRCMGRCSKFYLECEMFIGQPCLKLSDIINKTMNQIVKIDDRRKTEDVKLKSCIQIVMEMSDPNLLLDLIEKKEETKTAKEKRMLEILSCEHKLIKGTREQQGHVQQYYHTDHPCEICDTWCKLSLFFFDSGFSSYHGEIRPKSGVYEFATLLVNNYSPGRKEAQMFSKHYLRPFFERIHDMLKTLQNTTGIFIELVDRYKELSQAQTLLTDNQICAWLGDQDAMEIPMEMKREQYAASHLANRNDSLQAIQKDVKELRYLTNLVKKQFSDENEEFEECPICQSLINSFMVFTCGHRICPECFDRLKVISRHEPHGYGWTTDSIQCPSCRIRNRSQQIMLARSGYAERDSIIPGVVLSAKVTLNRINFKMFLNFQLSAAIQIMREILDTDSSNKIIVFTSVEPSSTTVWNYLQKIFKLAKLPFSATSRYNCGKKIVDFEVSEDVKILLCSLSLCANGLNMTGANHIIFLDPPHLQSVLNQAIGRINRFGQKRAMRVIHLVVEGSLDSELREIAKNTYRQEDEKKGWTIGDIRAMFNIDRD >CRE04416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig731:558:2211:1 gene:WBGene00073539 transcript:CRE04416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04416 MASSLLKIAEVCFPEFKDTELLYSITKSFEKGKLTNPPYSLMDATIECLMKDALEDEEVLQQIGNILRNYPLQLSSLYLLQGNPEMLKIAFDWSFSRDNGPLSIDIQNYTCILTVKKHIIAEFAITQGFDYPKAIVLSAVQKGCVKLRVSVTAMSRIVNACIPGIKTSFVLWSITHTISTKKSDKTSPDSLMRIASSVALIKALENSEISDLVEEILKTDILEVCSFHYLEKHPKLLSTALYFSLQKDSGHVGIEIFNQSCKLTVGNEIVATVLIHDFQWPIYFTTLLIVKRFRNFIFYYGCYSGIETKYQM >CRE23193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig478:3178:4173:-1 gene:WBGene00073540 transcript:CRE23193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23193 MPSPFQLLRLPRLVLFEVFKSLSIGEKIKLSLCSKKTVTQINIAHLYSQKVMIDLDFLSHKISIHSKHSKERFHIFNSSDTGTKIHRNIQQYQIGGRTVPVVFCFKSIQIFWNNHQKGFLSVTQHLLKIFRCKISISIGYYDNDSLQPITSELLNLQVELKNLTFYLNESQDQTLWNQISSNFGLVEDLRIVSDNNPGFTPVFTSWPQKISIFTSAWFTLEYLLACTSTRITLGCSHLGNKDLEETLKKWKTGGFPNLEYLCVYSQGITNNGTTIMGMNPSELHGKDVQADDGSKKTTFDIDYGRLEMFVTPVA >CRE22009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:20646:21655:-1 gene:WBGene00073541 transcript:CRE22009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22009 MSSSFLLLRLPRLVLCEVFRSLSIGEKIQLSLCSKKISTQIINCRLYSQRVLVDLDCFSYKIRVHSENNRDTFEISIYSDSCKSHNSNTHQFSIACCTVKVISIPKGIKTLWKNNREGFLSVIQHLLKMFKCKFSTNISCYNSDLYQPTIAMLFDLRMKFKTIIIELNGSKDRILLWNQISNKLELVEDLVFFSGLDPGFSPVFASWPQKISIMDSVVFTLESLLACTCTTITHRQSHMKNKDLDVILKNWKAGGLPNLNCLRLDSLMITDDGGHILGTTFRELNGMVIQTDDGSKKATINTYAEIYAQSIEMSVTSFK >CRE20167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2747:382:3201:-1 gene:WBGene00073542 transcript:CRE20167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20167 MGDDTLNQETDESSQYDNLLERDTDHDSNEAMSVIGYESDEVSDLSDGVDDFIGGGTNDDVRLIAFVNFFCSESISEGCLKRMVQLMTLLYGEAPPFTASQVLRVVNDTGKKVIQSMSYYCSRCGTEKSGKKMQCSKCMTSNINILDRITFIKCDLKWQLEQQLRYHGAEVIKAHEKIHKREIDFETNDIRRYQRYLEGMESEEQFACGNINLLYTVFSDGAAFTKISRREVTPVLCRLEGIDVDAKAGGNVFSIISMVYCDGGVKKIFVDEFVEKSFSNLPTKIEMKINGKSWCFMPKILCYLSDMKERMTLTKLPNWHQVNGCSECVTIGRKKGATVTYVDCNMVTPRNNSSILYAAEHGIEGFRDTGVPSIFSFFRPSAFAIDPFHIKGCGICKTIVNGGKSGSYIFISSCSEILKPTSWISFKMFPGTVNVVMNAIEGITPYTYDNVPLVSLRKLSKTTGREMEKISRLISGIVGFEGYSQRNDYNVWFLGFLFSLTLQGTKMCHPKTLQSLLSAMYELHYVLEPDSITIKFHCYYNHVILHENNFGPKHTTEVFEREHKVLMNSVHYQSTNSEKAIINKYVCGQVYRSQLTQMSEACSENTKHSIRQSMKTSGSRLPPMNELKCCLDPDQLNPLHKRIIINLGLNDVSMFLSRWRTCSAMGNYMFSAKSYCSAKTTSSSLICFSGSTQQELCFGEIELIVKRADSAYFIVREFILQPLHLTMKPIVDRGMTTAAANILNILQSLPNVFSKVESSTY >CRE23226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig998:3531:8546:1 gene:WBGene00073543 transcript:CRE23226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23226 MSEYLQLAPEHLSHLLEKHDEPMGTVEGDIYQLAMLIYQILFYMRPFAERPEDIRELADLLSNQSTAPIHPKVPEGNSFTMRLLSIIQQCWLYKPVARPALIKITDAVNREFGQDVKGTLIDQMIEMIDEYSANLEQIVAERTRELEQDMATTENLLYQLLPKSIADSIRSGKSVVPEQHGSVTLLVVDVCQFTKFCEAFIPVHILETLQELYSSFDYIVQKNKAFKVENVGDAYLICSGIPEMSGLRHLREVCRISLKLQTGKGTCLTFWLTGEKDTMRRQSSRSSCISQIKFELEDASNKNFLKV >CRE10730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig898:2962:3819:1 gene:WBGene00073544 transcript:CRE10730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10730 MKIVDCFDEVPPLVEDTFSDPGAVPIYSEDSQRNYQNGALVGSVSNVTLAQFDENITMVPVAPRLSVGDIHSHDRPSIRRRYRSGNASQTVSRASSFREPRLQRQSSEGERNDAYEMDHEPYLEEPSSPTKHAKFFTNLTKVDENDDEDLPATAKTSVVSRV >CRE10731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig898:6389:10951:-1 gene:WBGene00073545 transcript:CRE10731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10731 MPPQSLTLLNYILHLLLLSQIPISTAKRLPQIYWNSTNPLVERYAAIGDTLDIICPFFDKDSDDRTEQSIIYRVTEEEYENCQRKSSAKELGRCTQPFQEEKLKVAFRLMSPNPSGLDYRPGVTYYFISTSTGSRKGLYNDQGGLCASHNLKMVIHITDRNGDIGIQHHRHHHHKKTTTTSTTTTVAPETTDEETDSEKLWEQFYEKVMPIDNQWPEVTRGERVTLYQGNKKDEYEQVPAEVVDFEIHEIGDVESLYSSSGQQQYLLLLPAVLLLRLL >CRE11000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1300324:1302246:1 gene:WBGene00073550 transcript:CRE11000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11000 MQLSKTQCTARFSQPEIAGKGKKSRKKLTYTQFPIERMSLSVPVEKWISAGLSFPTEYLTEQRSSFRPKPANSESPAVRIVSSKKRTPNHMFLY >CRE11153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1293470:1296927:-1 gene:WBGene00073551 transcript:CRE11153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11153 MKHILLIFSVTSFFFLPTVIPCEVNFIIENSRSINSSGSLVLKKSVDSLSQCTRYCSSIENCTHFTFSNAAEKNGVSNCHLIHSTSPSSPSNSPTTSGPLTVGTKTCLESKCPKRSFAFEKFSGKSLLNASFIIKTFSVSVDECLSKCQKDSTCRAALHNHDTSLCQLSRISLNSVYNPRLYFKPSNSIDLYENNCIDYTMTTSGCTFMRVNGGGLKSVSDQLVQRVGSVEECEQISYTYDNSTLECYLMWSSARMLGRSPLESMRPNLFHGDLDDCVNFSLKCRENNLEIKASSLRMFIGKMMTKKSKKIMCEENYQGEFDFSSRFDFKKCGLDPNKSKDSTYRGMVHVKEGSTSLVTIRDKVLQVNCRLHKSMPTEEQSLSVQMNVRENNKTSQVMSDDVVMTSTVTPTNPKFSLKVLGLDSNEADTVHIGDFGWIMLAVKNSAEDFTVTNLVARDVITGRVLKIIDEDGCVLRRDIVKEIRKTDNYVKLKISFSGFRRQTEVVYHAMVETCTVGCMPKCNLDMMLPEEETNVHSLPLSLDLPRHRMVRRSLAGEPRQLQLISDVYKVTGHKLTLLNPPPPQKAFDFKPPTSSSSTYDFNDAGEFHESDVIVVKHSVGLMSAAKTCLMDDVTCMLTVILGAIQMFLMFSCILIIYCYYQQWRFYRELNRPQEHNVQYYQKPPEVVAQT >CRE11555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig777:3486:5286:1 gene:WBGene00073554 transcript:CRE11555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glb-19 description:CRE-GLB-19 protein [Source:UniProtKB/TrEMBL;Acc:E3NNA0] MAPAAISWYCFTQEEKNDLEHSWSLVEAKKNHIACDIYEMIFNQCPEARRLFPKLKFVGSKPDRKNNEFAFQAMRFMQVIEGAVKALDHLTSLDVILDNLGRRHGKLEVNGKFRSYYWSVFLECSIYCLRHAFSKRMNDKEVDHVIILWRYLLRDVMKKIKAGTTADIAHRMHQMSIDDSRKYSLPAIQHKESNASSAGTDFDDIL >CRE21672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1512:5605:9336:1 gene:WBGene00073555 transcript:CRE21672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21672 MKRTAIREKFDIENERLERRKVQTRRKTQEILDSALIRKRREIGEFENRISNNVSIEDNFEILEPISSEMIDLNWEPQPSILHFHEFKSSTRSFTTMHDSIEEPDDFGEKEKVDLTIQTFQLHHISRKIEQWGDHEKRIYSFCAVCSENLTENQKCRNVECTRFMMSQCNVSGTKTIVTFSLRKQLQTLLDNNVFQLELSNDGAVSLCSRLKDTPKYKMKKNDLQKVNPGVITLLLTMNTDGFRKRGSKRGEFWPLFLAVHEISKGTGRYREYRPEFVMLSAMLQSCSKLKHEDFHSVFQRMFLEIENIRKSPLEVTIGETKCNVIVDLFQSVLDLDASRKIHGLPVWLSFNSCSRCTVKGTSIKLRKGRKISWYPKEDVLNYNETLIPNKLLQTGLPPPWEDGFDGLHLLYEGTSRDLLKDVLGKGVKSGYCLDPMERKVWANSMNLTTQAKGMNSKSLLDPIQLSARTGSEVQQVVKRDFINLCTFLFQLFNIAIPTLVASLEKKNDWTFMIVLHWSSTSINKSSNIYYFDEEGMVKFGSIERILITKTGENWVLVQQFDVLDPFTEIYDWALTESVMKRKLSIRQITFSNMDRRKSMRNLKPKLKDIDNVRVVALEKNTKNNAQKRPKFQKDEQVKKHKESTLETRTGEFQESSENKHIYLKNMRKREHSDLTFTNDHLEGTSQDFNTTISENKNSSFFSISEHLSREPKKLPIGKKIIHVLAHKLFSEELKDFVASGDVKSIGRVLMSGVANGTLGNVQITELANSIPAPKVDMVSFISSVQDSDLEEMKCIVIGQQFLSNMTSHVSSSLVKFEASLNSMSSTLQKQHHIGARPKGNLMAVHISPAVAPFPALNLNLFYTAKNIVGDKAVNQLTNLYSAIFRSFLPHEYDIWVYSYRPLCLKAGDRHFKHIPLPVISSLKGNRKSNQFSQITSFLDFGF >CRE26842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig445:2126:5423:-1 gene:WBGene00073556 transcript:CRE26842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26842 MSDSDPPTEPNRNRKRKSDSSSNTPSSSSRPPQPVPISSDVSTQSSSSITVKDVIGTLSKPLGDGNRDEDDLAAKVLTFTEEYGEKKCGDGKLEMKNPNMETSREEESHDSSNTPSSSPQPVPISSGSSAQSSSSITVDEVIGILSAPLEGRNLNMNKIAEKVLTFTKEYGEKVAASIGRIWKIVELEMENHGGVPYKDMKEAEQNTYLRLFNWLKYYENSEKKEAVLDLHQELYKRWEELDGWHWWRILNIKLDFIFQNTPSTSSRSPQPALIFYPHIKPRIPIVTLHAYNRHLFNEALRMQTEENVQQSIIQNFVTTPFTSSHTQSLGDGAPRSEPNDGTVQIVNMMKRPENIDNFYYIKYQIDHRINPPVPIKSLLLIHSPDIGMIHYIAPHNFKNHYIGQYISQASSSEILAEIWSGDYSNRLESPIPPGAKPDDPTRGRAYVTNPEFERKLQAQARQLRANQNMATANTPPAQSKLLFPFDIQGIPQMSPCPPDYIPFPGLYHDENNPVTDDIFDEYFLYLQTCFPPPRRNSFHSNDAINYDHSSGSQYSSDERFVQNAYDGHGQDRGFMMSGLVPAIPFPSAQSEPLTVEGIMKQLNRPRGDRMIVPGKILEDVHDFIIENKKARSHISQMVGKAKETLTEQMKTIAPKHYLNYNCNLQEFYLRLFNWLEYDDEKRKEVLALWKELNAPWYN >CRE07014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:47305:48813:1 gene:WBGene00073559 transcript:CRE07014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07014 MKLHGIKKAEVDPSIEGPISSREVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLALLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISDCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCSWLDLTNAFGSVPHELIRRSLAAFGYPESVINIISDMYNGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQTXXXXHS >CRE23799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig837:3:2051:1 gene:WBGene00073561 transcript:CRE23799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23799 MSDRHFDTQKSIQKCRQTFSSTSNMYQKMSRQTFSNTSKHVFRKCPTDIFEYFGTCIQKMSDRHFRILRNMYSENVRQTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRILRNMYSENVRQTFSSTSEHVFRKCPTDIFEYFGTCIQKMSDRHFRILQKMYSENVRQTFSSTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRVLRNMYSENVRQTFSNTSEHVFRKCPTDIFEYFGTCIQKMSDRHFRILQKMYSENVRQTFSSTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRILRNMYSENVRQTFSSTSEHVFRKCPTDIFEYFGTCIQKMSDRHFPVLQKMYSENVRPTFSNTSEHVFRKCPTDIFEYFGTCIQKMSDRHFRVLQKMYSENVRPTFSNTSEHVFRKCPTDIFEYFRKCIQKMSDRHFRILRNMYSENVRPTFSNTSEHVFRKCPTDIFEYFGTCIQKMSDRHFRVLRNMYSENVRPTFSNTSEHVFRKCPTDIFQYFKKCIQKMSDRHFRILRNMYSENVRQTFSNTSEHVFRKCPTDIFEYFETCIQKMSDRHFRILRNMYSENVRQTFSNTSEHVFRKCPTDIFQYFKKCIQKMSDRHFRILRNMYSENVRQTFSSTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRILRTCIQRCPTTFSDTSEH >CRE27931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig684:832:2226:-1 gene:WBGene00073562 transcript:CRE27931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27931 MTTKTTEGAGSGLVDSISRRIANFEYEPEEGQAFEDWYLRYGDTITGEGTDLSDEAKRRLILSKLNRRDYNYFANRILPDKTSEFKFEEIVNKLKECFPSTRSTFARRMEFLKIIDKGGDLGEYTGRVKKAYADAKFNEMSSEQVQCLMWIKGLRNNGTVDIRARAMQIMETRPTTTLIQLEHEIMKLLEFREDAKNIGGCRTSEEVFAVRKMRTEKAKEQKRSSQRHLLRKEKQRENEGSSADENDSDEEKPTRNHNQRSKKCHRCGGEHNAMNCWAKNKECFDCGRRGHISKKCREQQDDEKSDRSGHRVNYIVAKTPKRRRDIYKVNGIYVKESKPRKISTEVVKNGSMKRHVRRWPDEKFEKIQKNREETGNKRCKSFVTRSPNPGRKEKVGAFERHDRWEKKNPKENSRMQHELKVSFPETLGQHSQWNRGWKQQGSSTPSLPIPXXXKQFWMLRRYVL >CRE06234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1453:19:1822:1 gene:WBGene00073565 transcript:CRE06234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06234 MKISLFPIFRREKLSLGIDSLLAMSILMMMVSEQMPTSSDFVPLFGIFYLSIIFIIFTTLHSVHFECLPSEDVRNASLYFLVKPILILQIAHWLRMRPLTMLLELWNETGVTFGKKDKMKAKRVEMKNQKMPKVTSSFSGLNLLKSNSGSGRAPLAAPISARSYISMDDMKREAARRNLRRLVKKINSNKQNGVKNCGGGERGQLRQAGLKKVPAAISVPHGEPGPLMLSASAISAFSVTGDSTILESKLKRRYALEWEYLASVLDRVLLIVFSLVVFTVTSIMILVGEAMHLSYELAAKEF >CRE12799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1030312:1031253:-1 gene:WBGene00073566 transcript:CRE12799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12799 MGNQYVKPQRTGNSPYTRSGVPPPALVKTGDKPLHRVNDRMSLELHMADERVRASGLSPAEREWRKKWVHDQHLHADEPVVVDAVHRQLNPIRTAYRLPWDKFYLHYLKPTLGVYYGTAVRVTVPKLLMAFVVVQTAYYYWKYEVKVFISHLIVFTFFYSFQDWTHLRGLESMPQKEVIVNAQTIEEKFPGLLDKGLANPSKDDYYTPTFNKRTAYLDVGETKRPW >CRE09867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:23081:24316:1 gene:WBGene00073567 transcript:CRE09867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09867 MSGTMRDKTNDKQGFRFAWPFPKKPSFLYRIKSYMTMSLVTACSKIMFLGGSNKLICHNKDIFVKLLQNEKQPLITVSNHRSNIDDPLMWCILKFREFWRYKDRHRYTLAAHNICFTKQFHTTMFSLGRCVPCVRGEGVYQKGMDYCVEMLNDNKWVHIFPEGKVCVAANEPLRFKWGVGRLIMDSKTDPLILPIWCKDMEKVWPIHPPYYPKFGNSVEVYIGEPFSLSELKKTISYKSLTTEEMRKIITDEVQTRMYQLGEKIGDLPKGSALEVLRKNPPVEY >CRE09868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:24963:25403:1 gene:WBGene00073568 transcript:CRE09868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09868 MYEGKAIRCQDISSFSDENEPYDLNVCSHNSPAHFDVFRGKRLLFSLGSNGKTKKRDDKIQSKTTHKMISVSDNTILFVHFPLSRLNPTIDDTRAKVTSVSRLSLISDEEKDERKHKDCEKRSRVDGPFSNRDHEPWGERKQASID >CRE09869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:25701:26161:1 gene:WBGene00073569 transcript:CRE09869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09869 MKSSYDQQRKMVNSRKQSIVEAAEAHFDKNTLILIVNVVILTVLISLLYMVATSAMAASGGPHNEN >CRE09878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:26906:28234:-1 gene:WBGene00073570 transcript:CRE09878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09878 MACRCLRVDQLDSHVLDSEIRSIAQDSVDDFVNQMPVWVSRIFEKLRPELKITLEAVLWTHRFSRGVSPGQEQMDISYSGYTPKVITGHFLVEVFIPYLSRRVTELSGRLEIMRMYAKVEAIFELGSLLHFLYFLRSGGHSTLTESILSLRNWNNNQPTISSINYDTQNRELMWHAFRDVILLTYPFIEKIRQRVVKKQKLNRKFRSTMEGFDIECIVCDKPSVIPMIGQKCGHVACYTCIATSRKMICPLCSEEKEEQQMEFLAKKLKESSSILIDQ >CRE09870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:28812:31755:1 gene:WBGene00073571 transcript:CRE09870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09870 MSTPGNKRSGCKKGAKNGKKRPGGTKEGASKMTGVSQISGLSGSSGLNKSNRSKEGKKKQSKGKPSAVSSAASKMESKMETNEEEDDEVKKKVKKEGPKNTFQTKDGKSYRMIEHLRNPVNYGEFYIADEHDKKYILRLEPAKRGKLQFTHEIITEMAKLFKGSDKIPVIRCVEFANDEKLGANYIVLSPYSFQLYEILKVMTVFTPGCAFNVSLQCLEAIQYIHQAGFIHRNIKPATFSIGYDDQETKVMLTDFRLARAHFDSHKKVRAARPRVKYGGTARYASIAALKEQDQGRKDDLESWIYMFYELLDPEQGMSWRRIPRCNMLIKEKENFKAHLLPNTYQKVPVEFKKLVDMVHAMKYDSTPDYAAFKDIVESVGKSKNLDLTTCDWADKLKSDMFKTAIKQANDKSTGNRCSGNDDFEFKQKPVRKIMNPDDVIKNGQFTWKVVNLLGSGGFGDVYKVHDIKDKKKHYALKTESEEGKKVMLRLKVEMQVMMAIAEARKSGEKPVIPGRNDVNSHFVEFVDRGKSEDLKCKFIVMSLVGPSLDDIRKKYTVKLHEKHNPYVISVQSLYAVQDLHNLGYLHRDIKPANFAVGFGPAEPTIFMLDFGIGRSYLDPTTKQHRAPRKSVKFLGTLRYASRACMKGLDQGRKDDLECWLYMVHDIFDPVNGLPWKNVRKRDEIAAAKTGFFSGTCEFLFPTEFKFLNEFTVKKNKSEAPESLSAISAYIDDLKFQSTPRYDFIVNSLKSTASDEGVTLKELNNVGGWVGHLKEKDLKKEKKVERFSDSESDKLSESTEGE >CRE09871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:32826:33626:1 gene:WBGene00073572 transcript:CRE09871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09871 MKSIVFFALFTLAAAQFGGYGRGRGGPGGQGGPGGFERGPGGFEGNTQGNELTYEAKGRLICGLTGVDKVRVVLWDRFRGRENIVYDETYTDVVGNFRLRATRNGFDGNLIQPFLTIYHDCDDAATPGLRKMTVQLPPQYTNQGSLVLKSFDVGTWNLETSFAGEELEIQGGGLGGRPIGGAFQEGNRGQFGGNREIYAHGGRTDFGESSITV >CRE09879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:33697:34988:-1 gene:WBGene00073573 transcript:CRE09879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09879 MYNDDEDDVPMGPPAAKQAKPMLNTQMAFLQSQLAQKKAALQQKARQTVKSSAPPPPVIDLSARNRPLSSAVTPKPFQPIRANPVTENISFLPKAATDESVMIFGEEHIKCEYYPMVPNNFEVLAKEINDRKQREKTAREVAKRLQREHEEEDKKRSKGAAIAPPTMLIEPEPEVVKINDEVQEDKPQPSFKPPSFLPAFGKATSRGLGIAANIMKKHGYREGQGLGKSEQGMSTALQVEKVGVRAGNIVGETPKAPTFATNSMEAVQKCYEKVSNEDGKKEFADEIKERKWKKCGPKEEGRRVRVFVEFTKQCSSDKSICHDERKVLWRKICDSWIPSSRWIQ >CRE09880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:35298:36663:-1 gene:WBGene00073574 transcript:CRE09880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09880 MFLFQKIQKMGKSNRNKNKDKDPYVGPAKSGREDSDSDDSESSAFTYNEDMQSIMGDVEDMNDIYDQLVDNMERAQDKNLTIRHDGLHRVNLALRAKAVPEFINKYKETLMTMVSKMGNKQDTEAQLLATLVGLVAVQTGEEISDLIDEPMAHMRTILMDSSRCVFLRTVCANSLAIVNRICCSEDDDVSANAKACRFAWSNTKVSGSSNDVGHAKLVATSLAAWCIITLDADLNTINEAVADQPKIVTLLASSQLEVRLAAAETLAFLHEFMQDARPGYRFPNKEHVLELLRKMMNDSSKKKTKKDKRAQRYAVRDIISFIADEDDAPVVCVKIGQQTLSLDSCGIKIFYDMTCDLLHGGLALQLMHNEVLRDVFDLGAVPLAPESSVNKQMRLAVHDAADKHRNQVRGKQRDKRSVQY >CRE09872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:38127:41415:1 gene:WBGene00073575 transcript:CRE09872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09872 MGYDLAEVKKKFAAKTVNYVEKKDPKRFAESHKNNLFLFSSILPQAGKRNILITAALPYVNNVPHLGNIIGCVLSADVFARYCNLRGHQTFYVGGTDEYGTATETKALQEKCTPRELCDKYHTIHKGIYEWFGIDFTHFGRTTTDHQTEICQDMFMKLHQNGYTSTQSVDQLFCNNCDKFLADRFVTGTCPYCAYDDARGDQCDGCGKLINAVELKNAKCHMCKSAPEVKQSTHIFLSLDKLQEKTAKYLDVVMNDNPDSRWSANAVGITNSWTKLGLEPRCITRDLKWGTPVPLEGFEKKVFYVWFDAPIGYLSITKCVLGDDWTKWWKNPKNVELYNFVGKDNVAFHAVMFPCSQLGANDNYTVVNHLCATEYLNYEDTKFSKSRGTGIFGDAAQGTGIPADIWRFYLLYMRPESQDTAFSWDDFVLKVNSELLNNLGNFINRALSFVSNFFGGVIPEIQLTDADAEFLEEVNKECEQWDKQFDRVHLKDAVKSILNVSRLGNQYMQAQTPWVLMKGSDEEKKRAGTIIGVAANIAYHLAVILYPVMPSISATIREQCGLPKLPIFSPFPINYLKSGHKIGKPSPLFQKLDPVQIAEFKAKFGGSQDAQNASPAAEKPKQQKKQAPTKDKKGGDKKKMASTAAFVELEQGAKMISQLLAQNMKKFEQAKALFARNQLQKLEEENKQLILDAKSLQHHLIELETAAGIKQIPKPVVSCTPTPTSTPASGIIAETPKSAEPAPAPAAEPKKAKEQKKGKGGGAAAAPVDDSIDVGRLDMRVGRIIKCEKHPDADALYVEQIDVGEASPRTVVSGLVRHVPLDQMQNRLVVVLCNLKPAKMRGVESRAMVMCASSPDKVEIMEVSPDSVPGTPVVCPPYTHRPDEQLNPKKKIWETVAEDLKVSADGFAEWKGHPLLVGNATKMTAPTLRGVHVK >CRE27965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1244:6833:8253:-1 gene:WBGene00073576 transcript:CRE27965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27965 MSSQRSFQEMYQPPARRSGGGSSNGETPPRNNRPPPFEPINCCGESEDSFVDSPAKSTTNSLRSSNSNSSNWRDRPELDVKRTSLDLSITDKCNIGRQKHNSSRRIPVVTRTAGQSRSNSSLEQERNESYVKEENFNVQYEMTLRKYHSTDVPYIDSHCHTDFIFNMLHRKYPENHVGINDWVDKYPAAFPKSFAGFIANFIKPGLFVNDTESSEYDMEWILRELEESGLYIGTTWGCHPHQAETYVLLV >CRE27964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1244:8696:9930:1 gene:WBGene00073577 transcript:CRE27964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27964 MESDLDDDFDDYRYGIDEEDDYPDSRPTVILMGHKRSGKTSIRKVVFQKMSPNETMFVESTARITRDTICSSFINFETIEFPGQMCPFDDSLDPVGVFQKCEALLFIIDAQAELQEPIATLVEYFCRAYKINQNIKFEVFVHKADGLTEEARVETKFNIYHQVKETIKDQIDVDLQVTYHLTSIYDHSIFEAFSKVVQNLVKQLPTLERLLDVFNNSSKVTKSFLFDILSKIYIATDSEPVEMSIYELCCDMIDVTLDLSSIYGVAENGSNYDERSSSVIRLKSEQVMFLRQVNKHLALVFIMKEDGNEKAGFIDHNFGVFKAGIEQVFKVKNRGVNF >CRE27966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1244:10456:11034:-1 gene:WBGene00073578 transcript:CRE27966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27966 MSEQTERAFLKQPTVNLNNKARILSGSKKTPRYIREVGLGFKAPREAVEGTYIDKKCPWAGNVPIRGMILTGVVLKNKMTRTIVVRRDYLHYIKKYRRYEKRHKNVPAHCSPAFRDIHPGDLVTIGECRPLSKTVRFNVLKVNKSGTSKKGFSKF >CRE22245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1711:2125:2849:1 gene:WBGene00073580 transcript:CRE22245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22245 MQYPKQSFSLLLLALLNIASASIFTSSDDVTSEVTSSTTTTAVAIRAKRQCGGMGGCCGGMSGCGCGSMGMCGQVCCTCCPSAPPPCSCGTPGCMQCVQQTVLVPLTTTTCCKCCQPVCTNACTNGGGCSCGCTRGGCSRKRRSLLAIASEEMSKRGDVMV >CRE10334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1269002:1269903:-1 gene:WBGene00073581 transcript:CRE10334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10334 MLRKPKKRLFSNFNLSISAPYLRDRPRGLGLLQLPTGMSDERSGPILPGLYIAGNKVNEKPQTVPDVHLPGQPAVFSGRSAFNPFTHMVSAVYAEDLSDGWGAGMAVNGVNNHGLNVRKNFDSYADVPLNLNDGMYQPFISAFTVGGEYDPSKIREVSGSLDLPIPGINELFDMNGRIMTKHALIVNGALEFPLTLSDPNERAPYTFKYAVWAPDRHMAYGHVMPNVNLFVVGKDKIMERLMQNRLNPTMIG >CRE22247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1711:5199:8148:1 gene:WBGene00073582 transcript:CRE22247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22247 MKNNVGSRHMTTRLIVKNLPSTCTEQQLRKFFEKYGKISDASLKYTKEGKFRGFAFVGFLDEGSASNAIAKSNQTFFNSKRLTVEECRPFGDANKPRAWSKYAKDSSAYKRAHGEDGNDKPGKSDDSEEPSAKKQKNDPKLDQFLEAKGVAVEKEVKLSKDKSAEAKKLMAELMDGIQGKFVINISCAYFFISGDTSLSLIFSGLPSSAKGKNIKEWLNPIRVKAMKIARNEDVAAAFVTFNRPPDVRRALQKDGQFLGGFKIGIEKIETPEPEKETVEEHGAEFESREKEEESVREKILETGRLFLRNLPYATKEDDLQFLFKKYGEVSEVQVVIDKKTGSCKGFAIVEFVFPEAAVAAYSALDGYVFKGRMMHIIAGDEKRDKPTEEDAETVPDDPDNPTKATDKKEKKKKTFKEEKQDQKKADAGKTAHSWNALFLGANAIADTLAQRLNVKKSDLLTSDQGESAGVRLALAETRLVRETRDFFLENGVKLDAFSKPAEKRSDTVMIAKNLPSGVETEELKRMFEKFGDCQKVLMPAEGGVSALVIMGNPVDAKKAFRALAYSRFRSQPLYLEWAPFDVMGATVPSTETSEKTDDSTKPKKSKREMTYEEKKKERKNRQQGITEEEEEVEKKSNEEEVEVPEDKKPEPSETKPKKAKQPEKEIESGSTIFVKNLAFDTTDYGLETLFRKRYGDLLKSAQISKKLNPAEPTKPLSMGFGFVQFYTAFDAKTALKEMQGELLDGHSLELKISHREVVDKGALKRKDVQKKDQGECTKLLVRNLPFEASIKEVETLFETFGAVKTIRIPRKPGQKQQHRGFGFVDFISADEARRAFDALVHSTHLYGRRLVLEWAKEDETVEELREKTAEKFAGNKKGSKKSKTQIEEFQQQLQIADDEKD >CRE22248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1711:8450:8692:-1 gene:WBGene00073583 transcript:CRE22248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22248 MSIDVYPSHEIDVRICVQNLRKCQTQTIGPRSWNRISARIKVQSTEKVSYLNYLPILTHLISDLCTILQQCNGDKINCHR >CRE07933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1295:3994:5414:1 gene:WBGene00073584 transcript:CRE07933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07933 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3NRE0] MSDTCGDKCESLEWIRERIHDKKHFTTRFIGQIVDASGMEAELVVKVLAGVLFAILAFSDHAHFFANSLLVIVPALLIFVFPAEKPTDDSLFIYFSLFGALTVLDRSLEKIPCYYILKLALFVLLYLPPYVLHKRISELIQDQLKPQKSSENVSEMTRSNRTAPKTNQSTRTAVSAAPLPPPPAQEQPKPVEQAPAPVVVPAPIPVPVEQPAPPPQPVEQPPPPPPVEQAPPPPPAPAPEPTPAPADPAPKLPSSRSRTDLAGGSQRLKSGPNPMQSNSFYNLKSEADGFNSRNGIFIILIIWYNLISAISPGSNLNDMIFRPTEKLVFNAPFDYDNLTYHMKITNNSHHRIAYAVKGNAVPRVMANPAFGILNVGEMRIVAVSVQKFDWNDVDYQKDRIAYDYVLLPDDNKEKTFSMAMFQNSDTKRRKNIMIEYNP >CRE07936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1295:236:2345:-1 gene:WBGene00073585 transcript:CRE07936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07936 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3NRD9] MASQVGAINSVNALISRVFVQPKGDLADRLNSRITVVILAVSSGLLLSSHFIGDPITCWTPAQFTKQWVDFVNQYCFVHGTYFVPLDEQLSFDEGERKKVTIQYYQWVPYVFALQAFLFYIPRFVWKSLIAYSGYDLAAAVRYVDRFWSAIRDKDDTFKSRLAVFEGRPSVYIWDGIRLARKKRSRNMALFYTLSTVWQAINAWIQFYILTQLLDSPLYSAWGPSILGDLIQGNDWQTTGHFPRVVHCDFNRRRPASVQMDTVLCVLTLNIYYEKLFIFLWFWLAFVAVVSTINSARWVYYLCNTSKAQKMIRNYLATSPIKSPISDDQFFDALGPDGLFIMDQMALNLGDIPAIYLTISTRNICQVFIESAKYIDDRRARPCQIDQTHLKFTSPYRISTYNFLKLSSSIFPESEDHGNKRNRRMVPQKILKGGRFSLFKTPAFFVSISKKIGSQFFPFL >CRE24306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig876:3363:3566:-1 gene:WBGene00073587 transcript:CRE24306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24306 MLTPPTSHLFVRSYFLICLPLIFSFSHSFSFSFQLIFAILLGKMSTRWFVFIALLAVLLSSHTSEAM >CRE24305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig876:7447:8476:1 gene:WBGene00073588 transcript:CRE24305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24305 MSNDTFSLSSNQKCKSLLDCPKGFANCSKVADLDDKRCIKDVREICLGGIPRNPIKSCNRSRDCYGKSMNSGEYIRWCDMGTHFCCKVLSNSTEELMCPDRVTPLYGQDKCEDANETMIYSGRSRQNGGFCYKGYSCPPKITLPHDLTFGSRTFQTNMDCNANEEVDQKFDFMFCHNDTGNLWVMGQYNVNGDEVIKHWTHCNTNNDCGEGLVCVKEDLCRYRCYDDPTLAVNYGSIVAQILAMFFVPIIFLSALVIITVKYLD >CRE24307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig876:8702:10258:-1 gene:WBGene00073589 transcript:CRE24307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24307 MDQAWLEIIDRLSVEDAIRMRRTCTKIDNLVSKSLKSKKHLDIELDCPSAIRNSNAIASVIAQCSHNLQSLDLKIRPANAKYAIVSSDVEIRRRIMQSINENSKKLKRLHIDRCRISAGAIGSFGDLPDTIEEISITNSMIECSEWDVATIIRKSFATLFQKCTKLKYFEISGRSLNNSHFHVDPSILQYISNSIEHLAIAVGNSLRIDNLAFLKDKKLKTLILQRSFISPCDLEHIVSMSDSITHLDLSYSPNLLDCQKIAKLKNLKHLSLINNRDGLRDDALSLIIKECSEIEELSLDNCESLTIKSMIFLGSLKNLTKLSLSGVINVNDAVCQQISNCSKLKFLDINYCLKIQTRGIQCLLSCLTSLIHLEVLGIRAYSHQLLTQLAYYPKSIVSDSIHSFTFSIPPIPPSSLVK >CRE20551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:168090:168966:-1 gene:WBGene00073593 transcript:CRE20551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20551 MSLKLLTLHPNFNCLDHLVTSNEKWVLYENHHRRALWVDADKQPEDVIKQEFNLKKILLSVWWSVHGLLYWELLPEGKNITADYYSSQLQKVKSKLKTSPLHGHRVHYLHDIAKPHTAKTIKSLLATLHWTVLTHPPYSPDLAPSDYHLFSDMHRSLSWIKVVTMFDFCNILALNNFDFNNVRKLMGQPNITLWKGNLKNADFKCIISSCPRGLVFEENEVKVWCDRRLLLTHFQIMFACRKGISRRLLCL >CRE20547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:174780:175013:1 gene:WBGene00073594 transcript:CRE20547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20547 MPSLLQMILPPNLTTSTMMTSSSSESYDADNPILPPEPILGDYVEMFTLVLNFIVGAPLNLAAYTQVGISSGENEWD >CRE17695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1544:3362:7519:-1 gene:WBGene00073596 transcript:CRE17695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17695 MIFLLIVISTMFGSITPFYYNSELYVPKYKDFLDVQTNLTDQLFKDYNPTVSPVYTWIDVEQPIGYDREAPKRWNYTVFLYYLKLVEVKEPEEKVSVVLELMEFHNTTTFYTRQDNVWSPTLTAFGEFRNDIMDFRDQDNRMVCVDNTGFLWNYISVRVSANCQMDVSRFPFDTQICQIQFCLPIFYRVQVEVLSEIYEGIMDEKIFKTMVSLIRFIDPQCLFQGNSEWKLINLTNRVEVLKYNDNMGNMDLVRVTVIRKYPLFLFQAIFEITIRRNPLYYIYMIVFPSFVINFVSIVGVFLNGADKMSRLNVGLTNIMTMTFILGVMADKIPRTGNIPLLGIYIIINLVIMFIAIAIVTAITELRRWATPRLKLKRTALRTQIETFLGPPLEYTCAIILELMTCANFMVMIGFWFDDGS >CRE03529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig810:14:2698:-1 gene:WBGene00073597 transcript:CRE03529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03529 MTAPALGLLLLLVGLAAGVQYDIRGNIDLIRSYDINPEFYDEKDFTEKLEKVSARMAEIIGADFKDFIVHNYVIHGEDTAVFHAHLSLNSNPSVSRQDIIDRIVATEDVQIYFLQQKELEVQPDVSSRFRVVRADVDHCLHGGILLPNATCSCLPYYSGANCEIVSCRNNGIGQNGRCICPPGLYSAHCEARTCSTKIESVVDFSSQSLILVINTRTSMAYDLNVIIENIPVLVQDYQNQNVNVASYIVTIFRYTASVYFMETSSFTTSDDMLNYLQGVTIAPSKDDQPILDAINSAQSTQISMRPKSIVYVFADSENTIDPTPSTRLSNNNESMVVQQTLAWRNKIVLVLSQWTATPLDPTLNHFDVLRRVITAVHGDLLIVDKTELMDVLHLLLFYFIN >CRE03531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig810:5431:7924:-1 gene:WBGene00073598 transcript:CRE03531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03531 description:Dimethylaniline monooxygenase [N-oxide-forming] [Source:UniProtKB/TrEMBL;Acc:E3NNI8] MAPPNNRKLLVVGAGASGLPSLRHALLYGVDVVCFELTNQVGGLWNYKPQETDLSSVMKTTVINTSKEMTAYSDFPPEGTMANFMHNTEMYRYLQNYSDHYELDKHIKFNHKVNSIDRNEDYDKTGKWKVNYTDDKGVTHDTVFDGVLLCSGHHTTPNWPTKFRGQDEFKGRIIHSHSYKDHRGYEDKTVVVVGIGNSGGDVAVELSRIAKQVYLVTRRGTWVFNRIFDYGKPIDMVMNRKFLSDLRSIVPAWLSNTVVEAKLNMRFDHKAYGLKPAHRVFGAHPTVNDELPNRIACGTVRIKPNIANFTEYGIVFQDGSKIEHVDEVTVFVVMSTGFSFEFGLVEKGELIKVNNNHVSLYQYMFPTDLSDHNSLAVIGLVQPFGSIMPLSEMQARVYMEQFTGNNVVPSKREMIDNVHDKLEKMARRYVKSNRHTIQVDYVDYIEELAKLIGAQLDMKQLWKEDPWLAYKVYFGPRVPYIYRLNGPHKWKEARNAILSVDERVLMATNDHSQVEPDYSVLYIVGILLVALILLYILF >CRE03532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig810:8988:11345:-1 gene:WBGene00073599 transcript:CRE03532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03532 MTVPVRYLVYGFSTSCITLLYALRLAFHSTIICQLENSTDSFLLDEDLKQYTFQSVGFGLAVGLVPLHFLNFLGTRNVTTIYGIIGIISALFYPTSYQLGFYPSFITRLFQGAPLGILLWLIAKVATEWTPKSETAIAIAILTSVYQLAPFVAQISAAEMCQYFGWEYTYYFLAVLCAASHVAFYYVYTDRVEDNKLATSEEKLFISDGKGKDSSQVSQDVPYSQLLLDSTVWATWFANLAFFSSLLVFLQYGPLYMNQVLGFSVRTTGYSGGVAHVFCLFAKVVFGKVMDASKMDMSRRLKTAWTVIEIPSLTLLMALIIVRDVYIQMVCIVIFITIHGVAIVIIVKTQTYRSAEHNHVLANGNTLCVVLCLFLQPLVVKFLVQSNTFDEWSRVFGLHAILILVSVIFFACKVDATPAKWTGIQKVVTDKIEQP >CRE01488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1440:9214:10119:1 gene:WBGene00073600 transcript:CRE01488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01488 MKECTEEVLEDSLAPSQSFGLWALSDGYGLFTDSPESIQTLEVVKKNVEKYKLDVSFILSKHAFISLSVPIQQNDAHVQTMNENIIFYEKFAKKIYILDAIPLLLQNVINRPDELEPLHLNKREADRENRCEKCEFFDISQAFADGDKYLTFDRNWLIYCVDNTAHVTAAEVKLCEPVFKELARRVSRIGLRHL >CRE01487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1440:6389:8788:1 gene:WBGene00073601 transcript:CRE01487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01487 MRQDIQCLRGLAIIFVLSFHLAPNLFINGLLGVDIFFVISGFLMAKSLTNMNLLDIHQLLLFYYRRFRRILPLYLLSIILIVLMVHLYLPDFLWEHNNRYSLASLLLITNQLVIHDQADYFKEFFSSSTSVNAFLHLWSLSVEMQFYLLVPFIFLGLQFLKNDYLKLTSGILITGFGFLGFAMVLDKFAFNFMFLRLWQFSAGFVALFWIKTNEIRLPEKSENPESKKFTSPISQDDLVILSLTILALCLLPKEINVLVLRPLVTLATALIISSHVDNVQVLFWKFSRFVEHFNVLDFGIQDIKIHRRYLLRDVSGPLAIDSNIPTVFNYKSNCAILLPVIIVISSVVLHHIFEQKYLELNWKSLVPLTIILICGNVLLQYSIRQHSFWTFTYPEDIRDIVEANKAMLPYSWDHDPTRNECVDEKVDIPISAAYIFGYGACQKGNGSFSVMVMGNSYVMNLRDPIRTQFHYNYSTFRYLSFAESYGIYADTASSQIALDVSRKNVEQYKPDVLFIIAKSSLSLKTPILENDTYIEQMNENIKFYEKFAKKIYILGAHPLYRMNFMNSYLLSLLNRPDQLETFHIDRRDEDKEKRNVKLRFSMVNCTKCHFFDLGHLFVKDEKYLTFDRETKISYVDNTVHLSTEGLKLCEPEFKRVAEEIMS >CRE11577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig937:1825:3493:-1 gene:WBGene00073602 transcript:CRE11577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11577 MLSFNTLGYIGGISYVLYLVHWPLIAIFVPYSPQTYIFLTVTTLLSSIILHHIFEQKYLKMDWKTLVPFLFVLIMGNVFLQSSVRGHSTFWNMTYTDDVKNIMISNKAQIEWSWRTEEKRDECVEEPIGEEIDKLSVFGYGSCVRGNGSLSIMLIGNSYVLSFRNPLREQFGLNYSTFRYSSISQGYGTYADNAASRLSLEIAKRQVARYKPDVLFIFARYSPSIRDPIRENDDYIRQMNENIKFYEKFVKKIYILGSHPLYKTNFLSIFLQNVQHRRDDIESLHMNRREADKMMINVKKRFKMIKCSKCQFFDVTNLFVEDNKYLMFDRDQMLSYVDNTLHLTHSGLKVTEPELKRVAKEVMDSVKLI >CRE11578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig937:5870:10171:-1 gene:WBGene00073604 transcript:CRE11578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11578 MIVGSPNLPNTKFAAPIFSPEDSLYLKNSSIDMSRVLSSVHHNGQKIDMILGNDMLSWISAQPDYHKHILPSGRALEQTQLGIIVHPVPRLILWHKSRVPPLYEEYQLSINIATVLMDSSEPEDAMTKLTHQIAQFWRVENLGIENVSVSESTKKATIDLLQVFYKTVKFNKEGKPEVALPYNGNELRLADNYSVAYKRFISLVVTLKKGKNLLNIYNEIIVGQELAGFIEKVTTAMLKAKGPKYTIPHRGVVKEDSLTTKLRIVLDASSHARGELSLNDCLHAGTNMIIPIYGILLRMRCPRYILVGDIEKAFHQVPLQEEFRNVTMFIWLKDPSRPADDDNIQLYRFKVIPFGVSSSPFLLAAYIVFNLDNNPHDLNNEIKENLYVDNCLFCTNDKSEIASKIKGTKLIFQKMGMNLREYIVNDPDTMQSLPPAERAQSSVIKLLGYRWDSVNDTITIKIANLDIDHPTKREVASKLAETFDPLGLVTPLMVPFKRLMQKVWLKSDTNWKDKIPKELLSDWRALCNIFTDREIVVPRQLTTNYENSELHLLLFSDASQDIYGACCYAYFAVNGKPPTVTLFTSKNKIRPSKNENWTIPKLELLGIQCASNLACAVVAELKVKVTSIKLFSDSACAIYWILSEKNTRLWVANRIKTLQDNRNRMKECGIETTIHHCPTKENPADLATRGMSTTELQNSKLWFEGPSFLKEDQSEWPCMIEGKVTCPAEFQELVYAEIIDPVTKKKKKPLMEKKTAPAEKVPEEIDPAETVMVANSTISRPGSFIPYTATNSLPKLCKIVVQILKTFSKTLKSKSWDSYVMKQFHSSDCPLHQLKVARLLIISEHYKDCEFQGYTFPPDIEYHTGNDGLRRVHRRIESPVLPQEASEPILIHPRHPLAKLVALETHEINGHMPETYTASAVKTRYWIPKLGSILNNIIRECVQCQKVNNFPFAYPYTKTLPRCRTTPSKPFSKVGLDYLGPIVYKKDDNRKTGKAYVLVYTCLTTRGVVLRVVPDGTSQMYILTLKMIFHEYGVPKTIFSDNASTFKLSGSMINRDIREATYSHSLVEFLAAEVIDFKFITPLAPWQGGIYERVVKLVKIQLTKECGTRTYDYFSLQYIVSSAQSMVNNRPLIPHSRSPKDMIALRPIDFIAPGVMLEVPAGEVNSEALPQSTEATVPAHLNKLEQAVDRLWEIWSTGYLLHLRENVHKKKRSSLLRPAVGQMVIIVTKLIKRHKWPLGVIVHVEKSQRDGQIRSAIVKCRGKLYSRAVCQLIPLELNPLNRPNITAEDDTDNAPDDSPHELPAPAVLKNPDMTYAPELFPSKDLPNIAEAENPIQNSDPNNSNQNIPLNLNIDELENLDDTDFELNQSRLVDGGNYTDPQTVIPPDASEEDIAELPTGRVREFLSRKAKSKPINYVHVAEVQSPAVTSPPGV >CRE19465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:74815:75036:-1 gene:WBGene00073605 transcript:CRE19465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19465 MMESAREKTMTMKRYLKWSNRFCGYPEEVLLRIAEFCTEMRYEAREELVVKPQYVYLVCRGSVSFFFCFSRKF >CRE11045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:304387:310818:-1 gene:WBGene00073606 transcript:CRE11045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11045 description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:E3M577] MAEADIAVIGLAVMGQNLILNMNDHGFTVCAFNRTVKVVDDFLANEAKGTKIIGAHSIEEMCKKLKRPRRVMMLIKAGTPVDMMIDQIVPHLEEGDIIIDGGNSEYTDSNRRAEQLANKGILFVGCGVSGGEEGARYGPSLMPGGNPAAWPHLKDIFQKISAKSNGEPCCDWVGNAGSGHFVKMVHNGIEYGDMQLIAEAYHLLNKAVELNHEQMAEVLDDWNKGELESFLIEITANILKYRDEKGEPIVPKIRDSAGQKGTGKWTCFAALEYGLPVTLIGEAVFARCLSALKNERVLASKQLPRPQVSPDTVVQDKRIFIKQISKALYASKIVSYAQGFMLLAEASKQLKWDLNFGAIALMWRGGCIIRSRFLGEIKRAFDNNKQLSNLLLDEFFTKAIAEAQDSWRVVVCSAVRLGIPVPAFSSALSFYDGYTSEVVPANLLQAQRDYFGAHTYELLGQPGTWVHTNWTGHGGRVTSNTYNA >CRE13814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig981:1208:3150:-1 gene:WBGene00073607 transcript:CRE13814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13814 MKRLFIFLSIPILANCLNVLFYVSVIAQSHIPFHNTAIKVLLDRGHTVDLVIAHLNEMVKIHFPAGIRQNYTFGYEDPNFWSKNAVHLFNIFEKKSVPFAEFLAFDDLTFQLCETVVKDPNLLEYIKKGKYDIGISSDYDPCANIIMHAGGVPVKASMIPTPMFQPQIYSAGLPSPASLYGTVLYPKSDESFFSRLFHLIRHTYNIYFVTPKLMARYFVLKKNFQDNLLLKTFGPTFPTAEEIERNVDIVLVNSNEIIEKPRPISHKIKYIGGMGKKKSKPLSEEFDQILDSADKGVVLFSFGTQVPTKKVPIEIRRNCVEAFQKFPEFLFLWKYDNLTEDAEMFDGVQNIHRVEWLPQTDLLGDSRVKAFISHMGLNSYIETATAGVPVLSIPLFIDQHHNAINAAAREIGVTVEKDQLTVENLVNALQKLLFDPKYSNNAKTISKMILEKPEQSEKLFVDWVEYAANNPGLHKIINLPGAELTPFWYYSGDVIVASALFLMFSFYILWKIRDFFKRRVTIQFKWKSD >CRE13813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig981:4550:5964:1 gene:WBGene00073608 transcript:CRE13813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13813 MRRCFSTATNYFEIHLKNELAGIKQAGTFKNERVIQGKQGVLVKVTGSDKPVINFCANNYLGLSSHPEVIAAGQKALETHGAGLSSVRFICGTQDIHKELEEKIAKFHGTEDTILYAACFDANGGIFEVMTGEQDAIISDELNHASIIDGIRLSKAKRLRYKHLDLDDLETKLKEAKESRFRLIVTDGVFSMDGDVAPLADISNLAEQHDALLFIDECHATGFFGKTGRGTAEAVGGSPHVINSTLGKALGGSMGGYTTGPKPLIDLLRQRSRPYLFSNSLAPSIVGSSIKVFDLLMNDSSFIGSLQTNVSHFRKSMAANGFTILGNDPTHPICPVLLGDAKLAATMADELLKQGIYVIGFSFPVVPKGKARIRVQISAAHTKEHIDQLIEAFAKVGKKLNVV >CRE13815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig981:6100:9154:-1 gene:WBGene00073609 transcript:CRE13815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13815 MTWLLVSLILFSVTIGIHCVAKFEVTSVSDSSLVIKMVEAGNVTNFDITVQIFDLSKQRLFRRTQLDHVKNDQEMVIKTKKTSRDDPKKIEQMVAFIDDLFVTKDNIYIGVGSVFKEIKKISTVIVPELRCSKGVLSPVSQQVIQHASFHFDLSKLPKEDRKCSTICVFPYLRILTENAVTETFRAKEWCGSAEEARHLLTNRSPKMSLISYCIILILSVILFH >CRE05358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2092:173:925:-1 gene:WBGene00073610 transcript:CRE05358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05358 MSYAANLTCKSGILISALETTPRNALLYLAALFYCFLGIAIAADIFMCSIEQITSATRKVKRQKKVGRLVSKEEEDGDEEQFEYIRIWNPTVANLTLMALGSSAPEILLSIIEIVGNGFKAGDLGPGTIVGSAAFNLFCISAICVFAVGQTTKRIELYRVFVVTAFFGTFAYIWVFLVRHFGWEKGFF >CRE02757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1441:5309:6168:-1 gene:WBGene00073611 transcript:CRE02757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02757 RETGQSVEDFPLESNEIFETSKRGEYWMNCGINFERIRIHTNGRDNQSANYVFGKKEMLLVNTRCKYVPILTIYKIENEEKQLLKQFVFEETQFVTNEDVTRFKAISKNLKRPLPDNETISADSTSSSMDSFSTSKQNVAKTVDSTSMTTSCYHETLMKISSESREVLLDISTAAFYQNCFTSKRDHHLSGASKTSQVPTTRYADKLEQYLPKDPVARMEFERLDLDNIIMCPLLDSTLLNHHCVSIFR >CRE02756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1441:1079:2549:1 gene:WBGene00073612 transcript:CRE02756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02756 MHVSLGLSTVVLLFCAQSAFSSRVVKRSEDLRQQVLGELNEFRAKYAEAAQVSNMNELSYDLELEKEASQYNSCHDENILNRLENEPHYALRKKQIEDDFIEYTALHRNETDELKGYFRNEDLFSTVLQPNVKKVGCYHFNNLCVHNIWSPAAIFTDVKRSTVRGYCIFGPKHRFTPDDTFYGKPGSHCSGKHTRNGLCKIFIELHGKEPIDHLNMLRMMSRYGFRLFNIEPNPYCRICCEYSLINELCIGQFGAVPLGVTIPH >CRE21042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig834:10222:11408:1 gene:WBGene00073613 transcript:CRE21042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21042 MYPPPNEITVSLREPELWKKILSLGTEIPVKPTGKLMFPLLNYNVSGLDPEGVYTMGIKLRRVNKNILEFKKNKIPNKWRETGQPVEHFPLESNEIFETPKRDVSQHPLQICSNSDNLQNKKREEAAAQAIRLRRNPNEEVRRFETIDKNNLKRPLSDNESISSDSTFFSIDSFSTSKQNVAKTVDSTSMTTSCYNETFMKISSKSIEVLLDMSTAAFRQDCSTSKRDHHLSGATQTSQVPTTRYTDGLEQYLLKDPVSRMEFERSDLDDILIGLLLENIHTGTSHQKLCQDGNNMNVVSNTMSCQH >CRE22108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:9005:10742:1 gene:WBGene00073614 transcript:CRE22108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22108 MILQNRLNSALSLNQKIRCLARLRRVFPKILTNSLIFPEFFRSLPCLAEHEKIALQQCRPQINASLAASNRFSVTVLRKEHHNLRTHFETLCKKLGSMIECVEPVTRAGCGDQAAKMMLRFITVGFSRYGSCS >CRE13181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig900:9109:12367:1 gene:WBGene00073615 transcript:CRE13181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13181 MTFLLCILLIFGVINGGADASVICYECHANSTEHGKYCSIDKLCEGTSCYFQLNKDNSWSAGCSTIQSANTNVTCSSSSSSSSTVSSSCSCNSDFCNSLARSKDALVTRSGGRGNGWWGGGRGIEERSSNITLTLPDRNFVHCEECGSVTVGSQTIQIPCDHNHTCQGNYCVAVRGQSPFSYCGGVWDVEKAPGCYFDENALESCLCSMNMCNALLEPAPIWTTTALSDPTLATLVPIALGEENPPAPAIVSPEPTTVKPTTKRKCKNAKLSPNDQAVFMGEKLKNVIMGGFGSDDGAVQNFEDDINAHICNYSEDE >CRE13180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig900:5398:6815:1 gene:WBGene00073616 transcript:CRE13180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13180 MYISWTHFYIPKVFAGLAFLVNPMFVYLIFTEKSNNFGNYRFLLLYFAVFNLIYSMFTILVPIDTHSYRYCFFLFLSDGWFLEPSGIGLHLLSARCSLVSGSYAVLLSHFIYRYLAIRNSSITTRFKLYMTGTVILFVIYFGTWNVIVQTLAWTSGEVKDYIQNEFLEIYGIEPPGVNIFSLLYNEGSSEVILRSWVALISLTIISVTTILSYFILGYLTIKKLNEHAIQVSQKTAKLQKDLLKALSVQTIIPICISFFPCVICWYSPIFNIHFGRFLNCLEVITLSTFPFCDPVAIVLCLPALRKRVFSGKSSSISMSGIN >CRE30341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2808:1269:4121:1 gene:WBGene00073617 transcript:CRE30341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30341 MTANGSMELLSTDSTQNNSEAGNSASSRMSEVSLRERRKLVKGFTDFVEASGQMESDIFEAVKMTCQGTARQLEGLIAPVKKYGKELRERFDELGGERWTLEIIGLMRENQVETVEELRELCERAALVRGGSGEQATPSSDDVKKLQEAWTKERDEVYEELDKLTKEKALADETVSKFKVALKEEREAHEKLKGVFQRQEGETRGGIQNDEIKEIQVRSPRQDAGKKSSIRNSEEDLLKTGTEWELSEKSRSWCGDLRSAKAASCSAGNAAMQEMVSSMGRMMKASALPEPKTFDGTGDFKEFRREFLLKYQQVTDEDDELVAILEERYLKGAAKSLFKSLEDRHERPIAELFVEFERKLRKRQGDVKAEALHEFDRLQRAPGQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATENDDLLQSVLVAKRLDLPLRDQYEHLKDIVLQRENEKMRKQKERAGAMKSQGNREGRRAPGDRNSGDRKAVGEGKSTGAKLKCFTCGGVGHMSRQCVSKRVDKIQTHPGCSDKNVGAETVEMVEMLGQRRRVIIDSGAVVSVMSTSAFEKLKSGCKNWEEEVEVLEEPTFTLLDASRSEMQVKEQIKVPMVVRGRKVGVVFQLVENEREVLLIGTNAFESIGVELKWKAERAIARADEKLRIPPQSCARVAVKVNADLGGEMLLESAKEWMPTCLCSKDEQGKLTAVVSNWRNEPLLIKKNEILGMVSRDWDVWKEEKTKMVNMMELDKRVTLKGDARVEAVVKILEENGTMSGGMRGLVAEFSDVFAIEENELTQTNMVDCAIELEKREPIRQKCRPVPLALQDKVRGMLKEMEARRVIKKCRSPWASPVVLVKKKDGSIRMCVDYRKLNTVIKLNAHPLPHIESTLQALGNKKVFTTLDLMAGYWQIPMEEESKEKTAFVVLNEQYQFEVMPFGLATSPEAMEQ >CRE19608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1869:1955:4193:1 gene:WBGene00073618 transcript:CRE19608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19608 MFQCQQKISDCQKEFGSIFCDLAAHEKQQTANEAFSEFGDKHRMIAKKQSESAVPLQKMVSDLQVYIDHVVPDTRLTIKKYLDVKYEYLSYCLKLKELDDEEVEFIAIQEPLYRVETGNYEYRMMLRCRQECRTRFMKMRDDVMVKIELLDQKHVRDIAQHLATFAKTMAKCHLECAEILKDRIDVPIEIDLEQLNISMTSNGGKRDIEEGEEAVVLNDNPLEGDLIDVDGSAEPTIRESRITLQRNSIGDTSQPLLGSDSPLEELSLIDIS >CRE19107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:70946:71158:1 gene:WBGene00073619 transcript:CRE19107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19107 MRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLRKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE10322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1190675:1192710:-1 gene:WBGene00073620 transcript:CRE10322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10322 MGKNADDHHPLLHTTPEGAVKIPVPSVSVGRESTQARSGMKTAILIWLTLQNSIHTLLIRYSRAREVDAMFVSTVAVWLTEVIKCVICLFLVAQEETPRRFVHALKTQILEQPYDTMKVCIPAMIYIVQNNLFYVAASHLDAATFMITSQLKIFTAAIFTVIILRRSLNRTQWFALAVLFAGVSLVQLQGTKSKEISGESPFIGFVAVVVACCLSGFAGIYFEKILKGSAPVSLWMRNVQMAVFAIPSSFLAIYMQDAKTVNEYGLLYGFDSIVWLTVLWYGIGGLSVAVCIKYADNIAKNFATSVAIVLSTIGSMFLFDFIPSLTFLLGAALVIFSIFLYSSHQSMVAALSRLRGEIPSTKEAFCL >CRE10321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1188111:1189831:-1 gene:WBGene00073621 transcript:CRE10321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10321 MAPSKGDTIKYTSLIVLVVQNCSLVLFMRYAMTKDRAKFLKTITVFFGEIFKCTVSLLLACVEEKSLVKGLKRIHHEFFVNWKDTLKVLVPAAIYTVQNFLLYVAVDNLPAATYMVTYQLKILTTAGFTVLVLKRRLSVQQWISLLVLFAGVVVVQYDQKMSNEREAAARANISTTVAPSTVPPFSNLTSTLATVVTTASTSGITENSILGFIAVLIACVLSGFAGIYFEKILKGSNVSIWIRNIQLALPSIFFAFLFASVIYQSTFKLIMFPIQVKDNSSLYAGGVNPVSIWDNMLQGFDWAVWVTVAVNAFGGLVVAVVIKYADNILKAFATSLAIVLNCIAAYFLFNFRPTILFLVGASGVIAAVFAYSLYPYKASHQALPTDAPKETELQPLETNKA >CRE01483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1360:5766:9856:1 gene:WBGene00073622 transcript:CRE01483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gcy-18 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3NRP6] MLKLSVFILLLFDVSIRFSNSVEEVPELKENGEKSSYTQFDSGTKLEVNREHKRVIKIGHIGATGVMPNDARILNISKESLIEEGLVGDDIEFEIVSRQACSESFEGVAVAAELYHVHQVRAFIGPYCAAELEAVTKMATFWNIPIISYSSVPNAVSDRSVYKTLARVSSKNTNSIAEATIALLQHYKWLKVAIATNTGSTAFERVSIFEEIMHRVGITVVKKIMFDENTDANEMVNSGMLGDLASNARIVLCLFSSTKELSKEFMQATYTLGMNNAEYAYIIPWLQSGTKDSTPWIGADGEMLQRVKDHYANAIIVDDINGFDDTIVSSFVEKIEKHGLQKNDIDTSNINGYLHLFDSLKLYALAIRKVLNETGNEAFVTNGQYIWNRMRRMSFEGVVSRASSEENKDAGAIGTVLMDDVADRAPIFSAFFISPNRDKVMKMVNMESELIGNCDGLKNKSGCFQLKINDVISGFWPSEDGLMPLDEPVCGYRGQRCSYLLEISVGSLIILLIIISVIFFFLFRYCENRQLEKMPWRIFHNDLQFIDEEQVRSMMSVGSVTTKLSNIKTGQKQHAIIGVNTHTTYHKYPQRRPIKFVKEDMQLLTQMKQAVHDNLNPFLGVAFNEKEEMLVLWKFCSRGTIQDIIYNMNVVLDEKFHGAFVRDITLFRKVGGSQGLEYLHASPIGYHGSLTPWCCLIDRNWMVKLSDYGIANPLERWEKQGAINITAVKDSDDKSQASQTTSILYMAPELLKNRETNKRRGMDQSWVKQSMARRQAGDIYSFGMVMYEILFRSLPFRDNVNINELIDYLADGSKQVTPEIQNQMGLHPDLNALLRDCWSDNPEIRPSIRRVRLNTEMVLKTKGSLVDQMMKMMEQYANNLEKLVAERTGMLEEANVRADQLLTQLLPAYVANELKMGRSVPPKLYTSATILFSDIVGFTTICSGSSPIEVVTMLNGLYTGFDECITRNKSYKVETIGDAYMVVSGIPEENGNEHSKNVANTALDMRQYLTGYQIPHRQSHRVRCRWGFHTGSVAAGVVGLTSPRYCLFGDTVNVASRMESTGTPGMIQMSEDAHSHIRSYHPVFSCTKRGEVQVKGKGTCSTFWLEDRVGDKSTTNYINNAEGV >CRE17698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1584:535:9803:-1 gene:WBGene00073623 transcript:CRE17698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17698 MILSLSLFILGFIGLSETATCRYPHEKFIGKRCYSFVSKRHPYAAAEEYCHSHGYALATVDTAITANFLASTAATEFGSNNGQFWIGLSRKKDYSLFYWDDGTNVAYTNFEAGFPNTKDYVAENVRNGRWQTLAEHRELEFVCSYDPSATTTPAPTTTPTTLKTTTVTTTTTVKKTTATTMTTPKPTTTTTTVPPTTTEKACPRGFTLFEPTQKCYIVLVYGNDSDYPVVPDDAPFLTKENQRCAKYGATVATVHSSGLNDILRSLIYERFNDTRYATIGLRNIDMKTIGGKWAWFDGSATDYLNFGNMFPKTGDYIAQTSSQGFWVTYTHTAPNEGVVCSPHNEEADQILSTYLDNTMQ >CRE10145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1175151:1177875:1 gene:WBGene00073625 transcript:CRE10145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10145 MDRCFKIFIWCLMVSQVLADDPYKCAGTQTIDPPNNISNPILYPSGWDKNHFAPQYAAGQNCSWIINVPKGMFAFFQMTANTNQSSVLKMTDSTGYVTTIDTTNMEPYFLMDPSFRVDLQANEAGEFGMRIAWYKVNPTYPTSWQVHPNSTPLTLFAGDFDNSTVIEAETRVNLLALPSTLSITDLNPYLRNTQVFDGPSINSTHVGNLHQVLRNGQRYISTGKYLTLWSLIAGYNNVGNSVILQDYFDVKDFKTYKPISCIFDFIVCDVSLDARQGTAAAIRYNPSYFFVRDISMPDTNKLSVYTDFVTDAHRLSDYTSSNSKTNTPQKFNGKYITFVLNQDQATVSMVLDGTKAAWSSAVDSRRGFFTSPNYALNSSQQDYEDRIKSFSKVSNISYTVDRSAISGAATLNVAILSSQKVVSENNYSTTNFPGGAVWAVGDTITVKYQANGAVTTGSFVSFGFDRYNSATTNNILISIVLTIWITLFR >CRE21686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1712:1454:2968:1 gene:WBGene00073627 transcript:CRE21686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21686 MFLDYVKPFCAYVPEVAKPERKIPFREKMLWTTVLLMVYLVCCQVPLFGIMATESSDPLYWLRAIMASNRGSLMELGISPIVTSGMIMQLLAGLKFIEVGDSPKERALFNTSQKLFGILITVGQALVYVMSGLYGPPSELGAGICLLLIVQLVMAGLVVLLFDDLLQNGYGLGSGISLFIATGICESVIWKAFSPATYNNGRGMQFEGSLIALVHLLTTRSDKIRALREAFYRQDLPNVTSFLATVAVFCLVVYLQGFRVELPIQSSKVRGHRASYPIKLFYTSNMPIILQNALVSNLFVISQLIYSKTGDNIFARLLGSWSHGGSARSYPIGGLCYYLSAPESLRHILEDPLHCIVYIVFMLGSCAFFSKTWIDVSGSSARDVAKQLKSQNMIMRGHRETSTIHKLNKYIPTAAAFGGLCIGALSVTADFMGVNGSGTGILLAVTIIYQYFEIFVKEQQEMGGGVASMFF >CRE21687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1712:3824:5397:1 gene:WBGene00073628 transcript:CRE21687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21687 MFLDYVKPFCAYVPEMAKPDRKIQFREKMLWTIIVLIVYLVCCQVPLFGIMSSESADPLYWLRVIMASNRGSLMELGISPIVTSGMIMQLLAGLKFIDVGDSPKERALFNTSQKLFGILITVGQALVYVMSGLYGPPSELGAGICLLLIVQLVMAGLVVLLFDDLLQIGYGLGSGISLFIATNICENVVWKAFSPATYNTGRGPEFEGSLIALVHLLATRSDKMRALREAFYRPDLPNIFGLXXXXSPRAFYRPDLPNIFGLLCTVLIFLCVVYLQGFRVELPMRSLRARGIQQSYPIKLFYTSNMPIILQNALVSNLFVMSQLIYSKTGDNFFARLLGSWSHGGSARSYPIGGLCYYLSAPESLRHILEDPLHCIVYIVFMLGSCAFFSKTWIDVSGISAKDVAKQLKQQQLTMRGHREGGMIHELNRYIPTAATFGGLLIGAISVASDFLGVGGGTGMLLAVTLIYQYFEIFAKEQADNGGVMNMLF >CRE21688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1712:6273:7239:-1 gene:WBGene00073629 transcript:CRE21688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21688 MAVRLLTSHSLSPPIFIVMADKELPSMDKTFGSSPRRKRLDAQQAGMPFLGRAALASPERVKEDKPPSLHTWECNCGDSGSGEESYDQHMAQHMKQSEQEERQKRAGEVMDAVNAAREDAGAEEEARKKARRDEERQAFQLKREMPAEERYKAKKVKVMKGCEEELEKQKRDAEQEEDDDEPGPSNRFAPERERLVGGTSSDDETPPESDQESQEPLRTFETNGIRET >CRE04462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1931:11:343:1 gene:WBGene00073630 transcript:CRE04462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04462 MLGSCAFFSKTWIDVSGSSARDVAKQLKSQNMIMRGHRETSTIHKLNKYIPTAAAFGGLCIGALSVTADFMGVNGSGTGILLAVTIIYQYFEIFVKEQQEMGVGVASMFF >CRE04463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1931:1198:2693:1 gene:WBGene00073631 transcript:CRE04463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04463 MFLDYVKPFCAYVPEMAKPDRKIQFREKMLWTIIVLIVYLVCCQVPLFGIKSSESADPLYWLRAIMASNRGSLMELGISPIVTSGMIMQLLAGLKFIEVGDSPKERALFNTSQKLFGILITVGQALVYVMSGLYGPPSELGAGICLLLIVQLVMAGLVVLLFDDLLQIGYGLGSGISLFIATNICENVVWKAFSPATYNTGRGPEFEGSLIALVHLLATRSDKMRALREAFYRPDLPNIFGLLCTVLIFLCVVYLQGFRVELPMRSLRARGIQQSYPIKLFYTSNMPIILQNALVSNLFVMSQLIYSKTGDNFFARLLGSWSHGGSARSYPIGGLCYYLSAPESLRHILEDPLHCIVYIVFMLGSCAFFSKTWIDVSGISAKDVAKQLKQQQLTMRGHREGGMIHELNRYIPTAATFGGLLIGAISVASDFLGVGGGTGMLLAVTLIYQYFEIFAKEQADNGGVMNMLF >CRE07121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig894:9669:10817:-1 gene:WBGene00073634 transcript:CRE07121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07121 MSPTESPGTQVELHDCRDVIGSGSSIHSIKFSEFHMFDANWKLKFRADWVDYLNTYVVSLRLRHRRNSVDDIWNLDTAVKIQFDEEWLKGGVLFDTNFSHRKREVEITTMDIAVENVKEPNMQVRMKIIMQVKSAAGIQLRNFLDFSRSLPIFSDTIVRVEGMQFHVNRMILSMASPIFLQTFMDTQDDENNNGVEICNVSSHDFRRILNAIYPPHMPPKQWIKENDVKKQLDHIYHFLHIAKALQISIVFEVADKWLVKYGRFKLEDSLLLAQTFGLRELMGSKLAKIESIDELRKRRGEISSLSHKTKSLILDHILFSL >CRE07120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig894:5068:8069:-1 gene:WBGene00073635 transcript:CRE07120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07120 MTQNSKKWFIFLLLHSFFVFINSQKLAVVIIDGLAANTFYKFSHLSVFRTFEEEGVWSTKVFPVFPTFSISNRHSLMTGTLPRRHGLIGDHIYNWRDNLKFQNFTADSDFSRDWWSIDPIYVSALRSSASVAMFFFPECDVDWDVAPQICVPPRTDGKTFADESQAKRVIQATKEHDLTLIYHPWIGEEIRRKGVHHTNEKHSKEVLRFAQSLERLTAQARERVDLNVIVVSTHGFIDVPRKNIRVIDEYIPMELIETTVGSGAMKQLQVKKGKTHQVYSQLHDHHPIPNVHVYYTNPKSGDLPEHYHFKKSDTVADLILLADPGYAVVTVSLHSCGGKLIRFFQKDEKKQVPKPKLHEITAAIDGYNNELPDVLGVFLGYGPAFRVGFRKGPIQLFDVYSLMCSLLSIEDSCNHTPGRILRIDDVLTSDARVSIRSSTSFVSSPLLTVILVIMLSVVKLFY >CRE07119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig894:282:909:-1 gene:WBGene00073636 transcript:CRE07119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07119 MCRCRQDQIRASEDKHDRAVQLHNFEQGQAKNGTVQASLKPVSRLSVNDVARSTDNMRSHRDESARNHETIGQYEERIAGSSSISSNSNSRTGAAAGIAQRFRDEETAKHKRLDTISEIAMSNDSLQRNDSKTNVFNNIEELS >CRE06200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig733:7628:9684:1 gene:WBGene00073637 transcript:CRE06200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-105 description:CRE-COL-105 protein [Source:UniProtKB/TrEMBL;Acc:E3NN12] MEDKELKTKAYRVIAYSAISFSFVATLSVCLSLPMIYFYIDNVKTSLQGEIDYCRNSAKDIWSEVQQFKQLPRNRTARQVSSQNYPSEASQGEECDGCCTPGAPGAVGEPGRPGRPGKPGVPGLPGNPGKPPQQPCDLVTPPPCSPCPAGPPGKPGPQGPPGDVGHPGNPGERGLDGEPGEPGPPGPPGEPGLPGSQGIPGQPGVNAEPVSFSPGEPGPPGEMGPPGAPGEPGEFGEEGPPGMPGPKGPPGSSGIPGNDGPPGVRGPPGQPGYAGERGICPK >CRE06199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig733:2717:4012:1 gene:WBGene00073638 transcript:CRE06199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06199 MKWLYTYVEQCNSGSSDACLPYQNNTIFYAYSNDIDFDTYRQGVYFMVYYTKKYTSLANVRFDTKQEEEIEYHSDWRSFNASIYSHQPKPSLGYGDKTTGSNLYKVLKKFLNNGKVSLCGAQVLVLSKRYPDESDVSDIISQLRANHVMVRIAVDSIPSGGSNSASLYEMSYQTNGYCAFATGQDLEIAFAYMTEIFRRPYQFIAQNFVVSGSGRIEKPAFEIPASDGFINWCKFAITVQNHTLDNTFVSMNYTIERTDGTHVYEYPSDYSHHLSGTAQTDEFYCNSSLSYKWTMDYHYNTNEQQIYQLRMYNLFYHDFLPLPPFG >CRE16470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig305:22227:23349:1 gene:WBGene00073639 transcript:CRE16470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16470 MATGGTREMSDTLVEVVVNILSARISSPELEEEESSITPELEDQSTTSPQPEDQSTRSLLPKGSALEEPTAKGSVHEEPIAGGSVHEEPIAGGSVHEEPTAGGSVHKEPTAERISARGAHSQRISPRGAHSRRISPRGAHSRRISPRGAHSRRRTPQPEEQSTKSPQPEDQSTRSPQPEDQSMSPQQEDQSTRSPQPEDQFMRSPQPEDQSTKSPQPVEQSTKSPQPEDQSMRSPQQEYQSTRSPLPEDQSTSSPLPEDQSTRSPQRKLLKNFKSNSSILHNM >CRE15074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig863:3987:6294:1 gene:WBGene00073640 transcript:CRE15074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15074 MSQPLQQVSSSSEDELLSEFSNILTPTIATIGDSQKIGNTELSGQFENETGTGEKDEKEQMQKLQSAINCHQDEFEVLNDKIQSLKNRVFDLEFEAQEYRNEIRENQEKIENLEMRNVENETYYLEAIELLEKSKNVDFIAYDGAKMKELKQENKELKGKIEELKGELSEERRRNLTVCRSKIQVKFDELSYYMKQLDLYQEIENRDEKLREKDKEIVNLQLDVLDLKLKIQKPSSLVKQNTQLVEKIKEAKKTIQKLEHEAILSAEKGQDFPTDPTATEDSSCVSKAEYNRKVYELEYANEQIAMILGDQEEKKKKEDDDEKKMLRGQIEELNETIRLMSQW >CRE07908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig935:152:2840:1 gene:WBGene00073641 transcript:CRE07908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07908 MERVREENEPGPSGIQRTPPIRRTPMERVREENEPGPSGIQRTPPIRRTPMERVREENEAGPSGTQRTPPILRTPAKRVRQEDEAGPSDNQRSLPVRRTPAKRGRQDDETGPSGTQNTPRVRRTPAKRGRQNDEAGPSDSRRPQNNPRQRPPKTPKNPRTPNTPKTPRTTRSQKSQRTPNTPKNQNKWKGPRTSGKPRTPNTPKTRRKQKWTKGMKNKKTGTTSKDGENNPKKRKRCRRGGKGKRRKIFVKKVGKRKAIYQLQRRPRLNAIRLKVTRTIERALVEAPKVPLIYHLFTERDSMGTPRAPLRQVLSALKISDYVKRSHLLKDTHMKSLTKFTTLFAIEEDEPYGGLYLKYWPIYNKQAATVYVDNLPEGCCDAQLMRLAECYGTVAELSVSKKIPRMIRPRTKKPKPKPDDPPAPRRNRRDPLEEKKPLIDVGSRPKAFGFIRFVDQDSATNMIRAFIVNDPTILHDKMEERRREEERAAALARRVNSDEIPDLLIPGDIEVLVPVVPREIPRPRPKYQELYMKKLIREMRFLKQRRKRRPWPMYVRLLRLKRRFRALRRIEYICLRRAGIIDPRAKVRYAERRRIMAGFPRQKARTSRSGRRPPPASPEDEEESTSNAPFPYYINRRKRKKNIKYLKKRAEKRKLEMEEKRKKRLKAALWAKKHRIPRGTIYVKRYVHGVRVTVKKRMRKKMSRKLAKHIPGGQVRRFFEDVQVLSLKKYLALKKEYKKLINQEKERHREEIEAPPAEADGPPMVVEEVIDPEFDVPDIDVPPGPAPPPNNEPFFDFQI >CRE07912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig935:4640:6408:-1 gene:WBGene00073643 transcript:CRE07912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07912 MSDVDADEARKMAERERKKEEVRKRLEEASRMKKAKKGFLTPERKKKLRKLLMMKAAEDLKQQQMLKEQERQRILQERIIPLPDLDHEDDLEAVYEEIRERLIELESENYDVSYIVRQKDFEINELTIAVNDLRGKFVKPTLKKVSKTEGKFDKLKKKETAKVDFRAQLKVVDKNEFAMDEEDTEKKEKATWAK >CRE07913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig935:13924:15187:-1 gene:WBGene00073645 transcript:CRE07913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07913 MLLRQPQLLLRLFRLSTLQQSTPSSSSTASSNLLTSENGEKFLKTVIERLKECQEGNASAAPEQISYWEAIAKQASIVSDTRSELSQLNSIINDLKETEEMRKLAEGDVESIKETLESELQELATRVVPLNNLDVLSKCQIELSSGAGGQEAMLFTGELLDMYQKLAASNSWKWDPLQVDNVPLGGVRSALIAVSGENVYAKMRFEAGVHRVQRVPVNDSRMHTSTSSISVLPEPEEVSVVVPSDSVKIEAMRASGPGGQNVNKRSTAVRMTHKETGIAVHCMDERFQHLNIQIAYKRLAAILMQRQVDAMLEKIVSRRKLQVGSKARAEKIRTYNFQHDKVTDHRIQMAITGVNEFLSAGETLHAMIDRLQEQHMEDRLDHIIENCIVE >CRE07911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig935:15544:16200:1 gene:WBGene00073646 transcript:CRE07911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07911 MTQISVILLAVLCVLVPTSLAAIQCWVGQETVSNGRRLPTEIGGPYQSVQCNDADYCFNSYVKRHKQGDDSYTITKSCGETGKCFEDGCMGPGDEKNCCCSDNLCNSSNGLTYSSAGILIILSLVFRP >CRE20127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1108:1019:2097:-1 gene:WBGene00073649 transcript:CRE20127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20127 MGRLLTGPAWMGLTEFVDYVSTCFTIPINVLLLYCIRTKSGKSFGKYKNLMTFFSIQSIFFSIQATLNHMCFHTIGGTFMMFTLTNHFNLPPWGVWINLGICCISVGYVLLILSAQFIYRYFAMNKPEKLIYFSGWRRIFFLLAMILVAVVYGGCGFVGINLTPEKDISIRETMGKAYNVTPEEIHYVAVEYFVRDENDERVLNYLSIGTAIMLNTIFGCMVIVIIYCGWNTYTRTHQCTAQLSNHMKIQHSHTDDSHFHTMHHFLYSPNI >CRE20126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1108:4202:6233:1 gene:WBGene00073650 transcript:CRE20126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20126 MLWAFRLLVVAVVIENSNTETLLRQLFNQTYDVDMKPSDDLTMVTITPNTFILLSMDQTQETIQYSEEFLLKWFDPILSWNRSAVAYDKEWLKIEASRVWLPDVIITNSISIDELLDKDLQMADLRYNGEIRTSLPAVVSSPCPLRIENFPYDVQKCNISMGSWSFDRDFVAVNSSVDVLWPQKGRIEGNSEWELLSITMVRSDNYDTLMESWFSEVTYIVTLKRKPVYYVLVIQAPTFILCTITIFGLFTPNSNEDERLSKFPAFNLRFPVFQVELCLNMFAAISMMLQLVSDMMPKASRLPLLGNYIIAEVFVVTAATIAAILIQQVHHHVHTSAIRPPNWLRRFVLCDCRRRRNSVSEVSTTSSVEVPEQAIQSFTILKTSLHQTAILVRDTLQRMSSVSENQLLWLKILDKTDLLCLFLFQMANVVVTVIYWR >CRE16513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig825:40:2553:1 gene:WBGene00073651 transcript:CRE16513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16513 MDGLMNATGSAAKDLENKKNGMKLLGDFSKLMMAANANDTKGTSEALVSLGTDLMKMKPQNKTNHDMGKIGTTLTDILNNSTETMEYSNITQSSRQSFKDKWGWLSHKNHVSNTTDYGNNSTDFGSNGTDSILPNFDNMSEGLGANDLSSYSHKSYPVNLNMTYSHPSVLNFFCSLTMIAFFYYVPRMAYFRLSNLSNDYKHSSFVPIVCLSVIACLGAGIHIANAYYHATNNMMVSMLTGSFNFLEIDMFTPFIYKSLTASVNRIVSPIVGLLCLQQISIHSKYNPQIFQNSFFQLAYCAIHIILVTAYSFYNEYTFMTSVDGIQYPDSIHVDLIDIILPLITAFLFFFAKNSISRQSLYTTEKYGPNGPTTLDRVSKVVVFQTIMVFVAIGAIFYSPSAAEEEVLDSDAMTWYYFFITAQTPVVHFVLFRSLLRSRKSTRICFLVCCHSGEKIYTADNGVELNQQPRTSEPSEKNGEEENDENENKEADTIETLDHSKIVVIPDGNLERRQNEKA >CRE31463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:80065:93364:1 gene:WBGene00073652 transcript:CRE31463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cua-1 description:CRE-CUA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NAD9] MFQFRNIRLNNDIVSILIYDNRLTSADVIAEHMTEELGYKATLLDSSGANSNYNKIQLIIGNLSTENDATRIESHVLSKTGVDSCNVSIATSMALVEFSPQVIGPRDIINVIESLGFTADLATRDDQMRRLDHSEDVEKWRNTFLISLVCGVPVMIIMIIFHWILHTPMHPEKQTPIFTPALSLDNFLLLILCTPVQLLNEPTWPNTSRFHVSAGHGVTCRIDSIRQSFSSLALSGTSSLEIPRIPDGKTMTIPGTEVTLLQVSSRDVSQPNPDTANIVIGTERMMERHGIPVSEVVKMTLSEEQRKGHISVICAINAEVVAVISIADQVKKEASLAIYTLREMGLRVVLLTGDNSKTAESTAKQVGIDEVYAEVLPNQKQQKIKQLKGYGNKVAMVGDGVNDSPALAEADVGIAIAAGSDVAIESAGIVLVRNDLVDVVGAIKLSKMTTRRIRLNFLFAIIYNAIGIPIAAGVFRPFGFMLQPWMAAAAMALSSVSVVSSSLLLKNFRKPTLANLYTPNFKRHQKYLESGSFQVQVHRGLDDSGVFRGNAPSKLSVWSSKVGSLLGSTTSIVSSGSSKKQRLLDNAGSDFEDLIV >CRE30569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig928:462:3931:-1 gene:WBGene00073653 transcript:CRE30569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30569 MATSYLTESFTGPTSARGSSYSYSYESHYDNPPEEEYEHFTNDDGVHQMQKKPMTNAYVLNCHGCQLSSMRLPNKGFLEMITLREIPPSFFTDSKLQNQFKALNQISFGIVQSVYPTIYRTLFRNSLQILKLIRQVPEDHHSARGSSYSYSYESHYDNPPEEEYEHFTNDDGVHQMQK >CRE26861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig645:10854:12192:-1 gene:WBGene00073654 transcript:CRE26861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26861 MKHETTQLLFQVGSIGLISNFIAMFVIYRNPLLHNTFGLLCFSHLVSNVGMLFCFTTWPVAMILNQDNDLAHSLAGKRFGQFTIIFWWSCIIAHLAISINRYVSIVFPIKSYIWFTVPNTKYAIFCIWLVGFLVTIPYFWHETCYVAFNAHTFQWTYAENPCGQFLSLFDFIGGVILCSFAFTIDMLTLFRLREANNVIIQSISPNITQSQMMGLSTQTAVEAAKRRKTEIRFFTQAFTQCIVFCICLLSFHIFTLLSDSIWWQFAMVTMIWILAHSLDGLIVVLFHFRFSLCKNKRLTSTMMLNSSTNRVETTHAPAKF >CRE26860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig645:8824:10358:-1 gene:WBGene00073655 transcript:CRE26860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26860 MLGQVLLTLTITLNTIQTVMEREKALFDVNKFYVNPDDLTSDHCTIQDSLRRAEHYFLETCPTTYDPVCDDPIFNKNHEFEFRCRFPMRTNRVLSMNSTSFLDLMKMRDPYARDWCMVVLFHSPSCPFSARLAPHFNEIPGKFENILPVAVDASDFSKSHRLNFRYGVSGTPTVLLWVNGQGVARMGNKDLDLENIKKLITTHTDLIEVKEKVEKENIIPEKFIEFGAELNDLSGEMIENQLSNILTFLFSVFVCATTFIYHVRERILLSAPVLQWFQSRCGGPLCEDIYFLFYVVAPRNRAPPPATTAPAAAAAPAAPEEIPEVAPEIAQNDDELAPLVIED >CRE26859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig645:4870:8640:1 gene:WBGene00073656 transcript:CRE26859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26859 MIFTLLSTLPVLIITSELDYSELVHSAELVSSSSSHLHHKSFNSRKRNCTRDTDIIDRLLNGTGYNKFRIPQEEGMTVVVEIWIQAITSIDELTNDFDMDIYITETWLDPALNFQHMSPCKGNLSLNHQVLDRLWTPNSCFINSKVAQIHNSPFRSVFLMLFPNGTVMVNYRVRVKGPCSLDLSNFPLDLQKCSLIYESFNYNRQEVEMKWSDAEFPVFNLSKIVLPDFDLFAIQTARKQEPYPAGMWDELHVTIIFERRFIWYFMQAYLPTYLTIFISWVSFALGSRAIPARTMLGVNSLLAIVFQFGNIMRNLPRVSYIKGIDVWMLVSMTFIFCSLLELAIVGFMVRDETVAKKKQQKKISGNPSREESPHGIISERRFMFPPGCSDSTKSLNSCGTGCWTPERIDSISSVMFPLTFFVFNIIYWFYYIHRKEIIRENMINRVDG >CRE04407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig611:12185:13523:1 gene:WBGene00073657 transcript:CRE04407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04407 MHSMSTLPLPKTLYSSVSHHRRTPSFLTVRWLQLIKLFGFFEDFVDCCNMQNVETVKLYLYCLFMLNFPFLNCSFPEIKMVILKEVSQPTEGIKLAAENVQAFYKTDSLGNGTLYITDSAVTWISRADSKGFSVAYPAIVLHAISTDVTVFPSEHVFVMVDQRKSGLELAAAELEDEESDDDEEGPGLEIRFVPDNKDCLPDIYHQILKGQEENPEEDDPMYDDDGEDEEEEEYGEMDQSEDGMPPGQWITADNIDQFQGGDGMQMTEEGLATMKRIFGNREHHDDSME >CRE04406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig611:11835:12161:1 gene:WBGene00073659 transcript:CRE04406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04406 MARGQQKIQSQQKNQKKADAARKAGIDQKAAAAKALNHKCSVCLAMMPDPKTYKQHFENKHPKSPLPAELVDVAA >CRE04412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig611:9377:11165:-1 gene:WBGene00073660 transcript:CRE04412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04412 MLLVAPEKMAAYGVEITLAIRNARFYPSADGVRIRVFSLDKESEIVEQVAETETIYGQADSLFQEKLNLNFRFEKLQRFRAIIYVLNSSTNTVMGSMGSGDFDLSMMFACGGRLTLPITSSLSTITLEISGKVPEYYSQFLRLRFSGSYIHSPDGLPLQLYYILSIPAEDRTIMLHKSEMLKETKNPEWAAFSVPLFLLNYFNESSIQVFVYNYTPNHDDQLVGHCTTTLTQLQQGVGHFNSYMLMEANGKRIHEKTCVELKELVLENGPTFFKMLEDNVKLQLNTAIDLTASNGNPVNAGSLHYIHPHHPSAYLESLLHTTPPLLGYLPNPQNPYIGALGFGAKVQGPGGALQLSHCFCLVSLILVLRLTSRFQNGAPTDPRVEGLAGLISAYRTATMGVQPFAPTDFSEVIYFVSKFAKAESRRHVGLYFLLLIYSDGGPANALNMKRTIDAVVDASAHPMSIVAVGVGQDRDHSPMRNLEKLTLKHSDGRLLVRQNYTFVEASELESSDALAMIPVQMTQWKRMFHFNLQ >CRE04411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig611:7402:9108:-1 gene:WBGene00073661 transcript:CRE04411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04411 MFEKQEETEAGHGFGMVEVKRFFQWILGCTYAKKTFRTRMAEMFHFADAPHIVVYERSEERPWYWMVGIITAVLVAVLSLYYTLEAGVRAVRKFMYSVLREPPQSTVSTPRCLSPTTPSSKKTSPQIPITSEAPRQKVPMNEPVNCVFIRPAIPKLSAEGTVPIPNMNSEEDTMVDADRVMTSKNEKDKEKRDKTKSPPSMKLEEVKFDASSNVNIIPTMVPSPSVSSKKSNRKSTGSEEKEAAPEASVSEGGHMDEWLTKQVAGCEKLIAERANAGEEIDFEETGAQMVSNGFIEKIQQLIEAQLEQSSCLEDSSNSVLSMESIISSLIEIEPSFEDTQSNSPLSEVTDEKPTFKQSTVRAMATVSSVCENDDVDIVIDDNSLYTDKENECAGSILVQEGQQKVLFRWTDECPNTIDTVTLTGSFFGWNMNIPMKRTGVTTFEVSIDLPAGLHDYLINIFRFD >CRE04410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig611:3689:6246:-1 gene:WBGene00073662 transcript:CRE04410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fem-3 description:CRE-FEM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NMC5] MGAMKMTCDDFRAEDEQPIEVDERRRRLKRKANDDDDDDDETVRERVDDAESSMEVFDAEYPPILDPLQDQREAKYFRERMQRFDLYSRTTGLSVDDIDWPLIRGRSLQKGRVAGISFVYDDTRYPINRFSDTWLLCVTKQKLFSFGAGCVEDLNITSFVLRRTMKVLSTYCNWLFEAAKRNNRRHITHKEIQELINRDGFRFHQYLQKFLIGRGMEYTEYNNRFFKYLHEEYNKNPGGLETIYSNQDFIAKETAQANYIYATVRAKYGGIEELPLFRHALKISFTQPGEHYFSRFYAKRFHEALGWPPLDSEIIMILDWFGVLIMNQIAYKTIRWHEEEYNDGSFPVLDSYHKALADESKCPKACLISISLFPDDPLFDLDIDYGTNPPPVNTAYQKVRRTPRDPTPFYRLMEFEDYKSCLVKMHFNFSELTGEWLRKICARGR >CRE04409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig611:1279:2036:-1 gene:WBGene00073663 transcript:CRE04409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04409 MARAIAVDAPLSAGIRRGKSFKKSSVKKSTMGIKKRFSGAGKPSGGPRRMSGGAPRRQSGGFQKLDRIIPSNDNREVRINISNLAPTVLAGDLQQLFAEFRIKNVSVNFNEKGNPVGTGDITLSKRHADRLVQKFAGVALDGKEMKFAIIDTSNIANRVKFPEAPQRVPAGSGRPQSRRPQSGKPNQQRTPKKQNVKAAGSQKAAKGKKPKKVAQPKKTVEEMDAELDAYMGHAI >CRE10741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1218:6493:7887:1 gene:WBGene00073664 transcript:CRE10741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10741 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NR11] MERGCSLPDRAHFQIFRAKNSFRDQKSKLPAANESCESSKETSRLESKKQADPKAPQTPGGQPKVNQKAMIVVKPWVQRALDMGVPALVEEFRALAKYTPEGMTTEAFNANKDKNRYQDVPCQDKGRIVIKFPGLQSDYIHANYLGTANNPKKFICAQGPLENTQYSFWAMAIQEKVECIIMLCNCIEMAKIKCHQYWPLEKDQKISFGEAPNQIFVTKLDEKKMSPEEQCINVTTLKVDCNEGSRTIQHLQWENWPDRGVPQTNLTAINLLSATRGNQNPILVHCSAGIGRTGTIVAIAYVQDKMMAGEDCMAMNELLKELRSHRPWSIQNEFQYLYLHRVLLAYFLERHKEAYGELLVGDNATKYQKWIEDYAKATNSK >CRE12410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1016:5524:9939:1 gene:WBGene00073665 transcript:CRE12410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12410 MKNSRIFDDILEVRSQNGLFFPLCSKSFHQKYGEQACSLTSSTFSSHSIVPLQQYTYSIGCTSSVCFTFLSAFCKTGIKVFCSSSLCSPGTLQLGNKCISISTVPVQGYSEAKDYCSPFSLISSLKPSEIESIRDTILPFSLTDSRLFFTSGLRQGSTWKWANGDTVEQEISGNGRCLSYQSGSLVASDCDSEGYVFCESGRECIGKNREYSGTSNKTSNGINCLMWNDPSVLFQRDVELEILNHNFCRFIEQDGKKSATPVCYTKPNQLSECNIPNCPESLNDAIQLESGDSCTIGSFSCDNGSKCISEKFQCDYEMDCIDGTDEQNCEDYLQYYELIGAYRLIENIIEVWTFIPHVQGCARKCRESLLMCEAFSYEPKTQTCLLTDTSQTYSSLARKTTSLYYRRRFSSKDVLFEVDNKTLYATKLSKKGRVCNENFSREKLSSICRILGFGDPIYVEGSLQSELSLVRLPHSLPSSDSLSSVSQAAVSSITALRLPAWNLNCLREPNCTSTIISTCQPIRILKFTLNRYRCSQCQEAACSDGSCIRFRQLCDGNVDCESGDDEGDCAAKSFRLTNGTDTRGYLEVLFRAKWEPLCADHIDEKRANSVCYSMRLGERGSVLYTNSSLLSGFDVICDPDCILRRSTSCTRHARISCTSENGVSSISQCGLRYVEVNARDAARSRIARVVGGFETIPGAFPWTAALRNKATKAHHCGASVLDKTHLITAAHCFEEDERVSSYEIVVGEWDNNRTDGHEQVFDLQRIHYYPLYKGNRNSINLAFSLFSDIFSHDIAILEIPYPGIEFNEYVQPICLPSKDFVYTPGRQCVVSGWGSMGLHYAQRLQAALIPIIDRFDCVNSSQIYTSMSRSAFCAGYLEGGIDSCQGDSGGPFACRREDGAFVLAGVISWGDGCAQKKQPGIYTMVAPYLSWINAIVNGENV >CRE12411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1016:10071:12020:-1 gene:WBGene00073668 transcript:CRE12411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12411 MVSLILFSKRNGKHLQRESELFFSYQETHDQYCSMIVSFTAFTFYYICFVTAFTLRPIGFSTEMITEGWINRYSEPFPGRHGKDGEPQLSPLINTTKGLKTWEEKLTQCHLRDYWDPDIPFANAYIRLVFEVLVVIGLVIQMFLDFHDIKRIGRKKWWAVLTAFPAKITFKVTYFLVLAMIPTRLACQISPILLVVDNVLITVTMIFTTVHYLYYCRVIRFVGPFVLMVYTIIATDIFRFMLIYGIFLMGFSQAFSLIFLSCEREANVIKELIQNQSVATGAEKIRYTAKIDAYETAIVKNAEAFENVIQSPIEAFVRTFILTIGEFTVLYRNLALCPANTMVWIGKVVFITFELFVSIMQFNMLIAMMTRTYETIFQTQLEYKRQRAQVILMLELSLSPKDRHQYLLKYSRPTGTNKKTRSLVVSKKSSFNRETKQGQRVLEEKMKKMIEEKKAVLKRKMKDLEIKEGIRPVTGYSRTPRPHTQYMNRGANGTQNGSAANGNTN >CRE21643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig872:4334:5132:1 gene:WBGene00073669 transcript:CRE21643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21643 MLIFISFYTNHDSIRLSFWFVLFRLFSSNVFKRRANPNAAGLVLLENWNIFSIFRNKKTATTTQSTGPVPETAAIAHDSLMAKPLLRMRQKMLNFRSDTGVSKLTATEEQKVVEKPNGKKIQFADKMVKSTITPGAKK >CRE21647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig872:5264:5848:-1 gene:WBGene00073670 transcript:CRE21647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21647 MDQIPPYEFTKYVFAARAAVVTCSAFELLMVLFGSLEDSNILAKLIYFIFLGGTVAVSSYNIALNVDGREELQKALTADMQQEVRGKAAALVFTPAIAGAFIFLCVSGHAFFSLFVLFHVLASAGQLGLEIYEVSRGSGSVAPPADAAAPAAAPAAAAPTPSAVQPVAEPSAAAPAQ >CRE21644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig872:7022:7476:1 gene:WBGene00073671 transcript:CRE21644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21644 description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:E3NNY1] MKGQSLLFYSFFLFSGFLCDGDESDQHGTIYQFQAENIDGKMVSMEKYRDKVVIMTNVASYCGYTDSNYNAFKELDGLYRDKGLRVAAFPCNQFGKQEPESETKIMDFVKSSYTYTPDMYAKGYFSDIIFKSLEISN >CRE10180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:270470:272018:-1 gene:WBGene00073672 transcript:CRE10180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10180 MCIFKEKNKITSDGSAGQKCRACRFRKCITSGMDKNCELFDLFVLLSNDYVILAVQHRRDAIGKYSAGVKRELSPDDYEQPPAKISTISEPSTSAGPPLNATPPSRMSRVPSTSRVQHTPCFNPACGQKSVLHELICRQNFLTEQRQLFYAGCLGDWFRKPSGIENQSLSELTDFSNCMFHLWKIEPRLAADFMNRNRYLDPLPIVEKLKVYRNFVIMRQSVEEPYLTWRHGGLEKRWFVMPNNTYIDFNDPAKYFDNGALKDLKLDYETTTNLFLPSFTHAMDTIGEKMKKINVTETELTVLLGLVLLDPGIYGIHESTRKFLKGVRDQLIHDVYMYYEDEMAPEHDPEIRMADLFMIVAAIKIHSIKTSENMHMLRVFDLIPADACFNQMLDVESVNVSPGGRGDAEAEQGPSPVSIPEAAREDIYQDHDDDTPPVLERNCDDPVTP >CRE21379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:132419:137676:-1 gene:WBGene00073673 transcript:CRE21379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21379 MSCENKKWYVTKYPHGLRYSNCNQLFWYAGSLQKHRERKCREKGAQRGSQAFSDHTSPEVCQIKLHPFPSGSVEQNIIEMLVNSPASPTFQKLNAAPVYQADVSISQPAGQTLLDFLNSDRIPEKYKYEAYIQSLKDLGTLQKPLEPFNTDDSALAAPVSQPDSLLKLFKTDPLPTMPEERTENLQTTDLNDICIQNLMGSTSVQSLKLLNADPTPQPAAQDALEEDIIRPKQKTHPWECVDCAVFFKDEAMYIVHRLTHFDNNPFKCALCGKQFFDRYNKNGGGS >CRE07888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:43967:46007:-1 gene:WBGene00073674 transcript:CRE07888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07888 MFSATSSSSSMPPSSYSSYEKLPRHHQTHQNNNSFYIPLHTLNYFQCVILLYFFVFTVYYHDRYGGKVENVQVTSAVFDSNSKELNIIFLFDSSSKLRELFLGFGSNDAETLRNETQIELKPPPGFTSSSQIFIWSSIEPEKPESLTVNAEHPKSLLLRDHTGHPFLELSLQEPHSEFRDVVSCFSPISGDFEMVLTSLTSSIAMGSFVSIPYEELTGELYKFLRIFEKSGNLRLTASPLIRHQPRLDSTENYHLKMLKLKTDVAHLHCWLMHKNRAKFMIFQNSAEIVLPISSTLENPVYISEFSTIFETPRVEGYGILEYNARITIEKRLGDFSDFSIRQAMESSRIEELNGNSRALIMKMHSPNSKNLSHRGKMYPFFKNFPSLPQVIPKKTMNKIYRIIEIIEENDAFWTLIKECSENSKVWKCSSKKCVRPSIRHRSLHGWYSYDIHFSKFLSVESFDCAD >CRE07886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:38713:40557:-1 gene:WBGene00073676 transcript:CRE07886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07886 MSLLIKNGTIVNDDSMFKSDVLVLDGKIVEISPSIEPLPGLEIVDATDRLVIPGGIDPHTHMQLPFMGEIAKDDFHRGTEAAVAGGTTMIIDFVIPTKGESLLVAYDRWRGWADPKVVCDYGLSMAITSWGPEVAKEMEMVTKADYGINSFKFFLAYAGVFMVRDEEFYQGMIQCAKLRALARVHAENGAVIAERYLRNWKLRLLSVHALWLLKQTVHYTLFMSCQKGAAAAIAHHRKKGAVVFGEPIAAGLATDGSHYYHEDWLHAARYVMSPPLSRDPTTPNALMKLLAAGELHLTATDNCTFDCHQKSLGKDDFTKIPNGVNGVEDRMSVVWDKGVHAGIIDPMKFVSVTSTMAAKIFNCYPQKGRIAVGSDADIVIWNDKATRVISKDTHHHAIDFNIFEGMQVHGVPETTICRGRVVWANGQLRTVQGAGRFVPLAPDSQIVFSAVDNRGKALEPVKVERAPYEATSALAAPDANANTVVKTPARTAIPPGGIISIQF >CRE07879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:37178:38662:1 gene:WBGene00073677 transcript:CRE07879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07879 MDVDLASVEVVFAQKLACGEPATRQRALRVLHDWIRDQSARKPFDEADLMRLCKGLHYVLWMQDKMILQEELADRIAGLITIFTSEQEKLRYVSCFLKSLSNEWPHIDRWRMDKFLMAVRRMVRSCFAHLAGLKWKKEVRDEYWNVFQKTTISAGEYCLYFGNGNSVFLDKSFNEGLKFHFCSILLDELDKAGSLTKKQVTACLKPYIELLGDKNISEYLFKSIHDEIFKAILQEKSDSVQAAAYSSEENDEAGIEFSYNEIATLLFEVGKKENLNAKRRKTIYALVEKFKKCARGQDPHHFEAPIPKEKLTKKDYEEAEKRAVELAESFKQDKKNARKLKSQIKKRAREASESARTETGNVDVPEDEITEVRKGSGKKSAVPKVKKGKPLMKAKGVGKKQMLGQKKKKNGGKKN >CRE07885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:31457:35615:-1 gene:WBGene00073678 transcript:CRE07885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07885 MPGYEAERDRLREHLGIEWIQNGLSKSGWTPSKSIRHSSDLNCDFSHPEKCQWKNMADEKQMDSRDFYLFEKIDYTEFPVLRVGPGPSKIQQGDKMIFVGDKKREEQHAIFYSSPINCQNSTGNLTFTYWVYNSARVEVILLEDDGRGGYKMIFDDPSEEKPYVDCGTIQLNTECHAEIPPREKPFRIGIRAYEISNTDGSFVMIDNILYSASLCKVGSELLAQFMFFKVKCSVDIGDNFKSNALETGATGKHVDTAAELKCDNFDSKCRWRSGGDAMVMWRRSSSILPSPLLLNATGTTVGPRGGYAVLYVEQGTQKKSLDILRSDPITCQSLTENEFTFRYWHWERFSFDNFEFSRFWEFGQIELEACAVDLMLKDIECVPIPRGSSPANVKLTFRKATKNFMIVIRVSSLNSDFDNMVIVDDISYRATLCTDALSVFDIGDSFVSTPMLSLLLSRNVHTAQDLSCDFSKRASACLWGMLNQDEESSDIASNTWTVGHGPLNDEKLYSLTGIYEMPEGEFGLAKFETGGSAVLLSEVVRCALDNVHVQFKLWTTGTAKIKVCLVEESSPSLLDCQDASSGDVVVDLPRIRRPFRVAFSAEAEDQGMVLIDDIVVTGQICPPTAAKQFSSKTFRPTADIPDPNVCRLLSCDFHLGHACLYESSQVPHSAMHGVSQRKLNAFLTRSKRVFILESPQFRLNTVARLHFDYKLQGDANLFICNDSGTKELESCFKVEGREGNDFIELLASDTKVYIISRLSESGKSGSLEISKIVFTDISDQAIC >CRE09974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:68564:69861:1 gene:WBGene00073685 transcript:CRE09974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09974 MLNTVLIMLLVPTAGLGARYNSHLVKGWSNSDCVRPWQQAQMSKPTLRIPSRAIECHPDDHNCPAGRNPVCQYSLRSQKYVCCEDKKDADIPTCPKYYETLLLPCGNSVDSQCPRGYRCLGSLGDDSIKLCCKPNRTLEYREPEHTFRENRIVPRLLPIAPAYELIATFSDEQITMGQLFDASIIDKLADPPIMSAGVELQDEKLYTIILADATSKAVTWLVANIAAFDGQLEIHRRTKSAVSYQPPDSTDKPVGMHTMILALFEQHDTWTQKDLARIAMDDFHFGEWLKEYAHVLPGQPLAATFYGFSTKNDDRKRI >CRE02715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig801:38175:38694:-1 gene:WBGene00073688 transcript:CRE02715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02715 MLRAVQRLPIKRCLCNLPEKNPKLHRTKDQRDITNMDLLEEQIRQRNSAVKNREMIVEGQKIKWAYRSELVGRHDLDKNHRNTWMAYLVIILIGFGAFVVVKSQVVMGRRQEMDAREALRKELQLSGDDRKKVAVV >CRE02714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig801:36868:37979:-1 gene:WBGene00073689 transcript:CRE02714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02714 MLNIGDSVQIPGCSTKKRLLETSSGCDSFDTLLGGALVNSSIVLIDEYRSRCYGSYLVRSFLAEGIHNSHRCFVADPVEDVKDSLLKVIPTRKTNDEHKKEVPNAPNPGGEIDMKIAWRYGNVKQVSSAIGASGNDNQYDFSKHVENPNVEVYNEEVSSLSGLYKKLCEVVREEELHTKSSGRGGPKKNLLRVVLKNIDMEIWEDYKFLGRFLACLRSLARSSYMIVYITANSYRVPNDTWRILESCADTQIQLMPFNENEKKMFRHLGTAHGYFYLKNLPRLMSVGTHTPPILDLIFEASSRKGFQIRVMHLPPAFEEPAPGQQNSSSCQNIDF >CRE02713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig801:35198:36711:1 gene:WBGene00073690 transcript:CRE02713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02713 MSALLQYNKIKYECTCGKWRTLPDLFFCRQCFKIKCDDCSCREIDVIFCPRCLEPSSPPDARLKKHRCTNCNDCPNCANVLSARTENEKCYLLCQHCRWSSRDANQEDRDNIRSWPSKENPFANELNEVTAYMKRLEKIENAPKDLKKGKSKAWSAFHLKDKFGVQQMVEKRRKQLVPEVNPIDVHAPTEAPTLEDEMKGRSDVLRTLDQVIMQPLTNLSESLLPVKVALQGRVLVRCDECERTLVKRDFGVATYKFKISSFAREFVPDIRLSRPIGELKVGQTSHVLLSITNLSLSPLDLTLTPQSGERTIQCSSDPIKLVLPSPKSADSAAPGVHAEQSDVIVFRQHNRVGLRLDVIPSESDLSSPVLDLLITYSQDGSFSHLAASEKILKPEESGVDRILSARLRIDLN >CRE12431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1416:1017:2239:-1 gene:WBGene00073691 transcript:CRE12431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12431 MPPTKATMEIIKNSKKFILTNRYPPVSTSSVKGGKPLISNTKKGILSTAISRMNRKKYRVTFAEMCKPSTSADNNAYQITTSEIIAYFQKLTFQHSGSSRLEDNQTEWRNNSNSNEVRELSELTENRASDSHSLDNSTKNYLKILPVTGFCNMPLPQQHEMPSEGIYRIYANTSSKTTFLTQSLRSDARLNDVKDEKNAQKKTGRIFRRSSPFKSNAYRRNRRRIQYQVDSYLPLSDKRRSSTYERDDRSRRRSGRDNRDERASNRRRCTIDERNNPSESERKEARIDENLSDDQKKYQKKACDDREKSRTRSSVQMSAESEIQEITYNVQNDEENKENSEASYAQSLNQYGDQTLNQYGITLLSNHNNTRRSYY >CRE12430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1416:3638:7322:1 gene:WBGene00073692 transcript:CRE12430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12430 MRSFILLLLFSGHLSAGNVDWSVIETPPQFVHDKNADTVFFKVEKSGMSDESAKNTPGNLLEQTIHCLANGNPRPSYRWKKDGKSFLPSMFPEKVVQKPGEGSLVFSRLDETDAGLYQCEAENSNGTAVDRPVRVQETWIRHFKTAEPEVVVVEVGDPYQRNCSPPASNPTARVYWILMGKEPGHFETISSSHISSNEQGTLFFHYVNETDLKSDRYYTCTAENIELKDYKFGNQFSLQITNNKRRSCEFFISSFK >CRE02377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:33868:34637:-1 gene:WBGene00073693 transcript:CRE02377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02377 MSCPFDEFPMGVTSPMNDSFDEFPLHYDELEIVMRQEKDQEFAEMCSMGVTYTEDCDYLDQKVRMCTREYFTDLVSKFYNHFDEYGSMMLLAHSNEMIGKLNRTQTEQNINIIDNIVVTTDLTILSTIETSGSNCDSWTHQYHIQPAYVVTY >CRE12451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig722:7049:11190:-1 gene:WBGene00073694 transcript:CRE12451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12451 MVESRGLVEWLDAVPAGYIVAVVSFDEASNMLSEMARRIFYEMGSSMIDRLKFRASWYFVGQKGIGAYTPFEDLNIPQGNNWANPIQTSFCLPKSLHKWAGRKDTLTAASSSISSDVRNLPKRHFCAKYDRHEEFCNGAKIDSPIMPRHLHDEQRANDAIFNVPILVASGLAPDSLRITLESLLNQEGLNTQMVLVTYDKEYSENGDLAALFHVKSVAIQSNGSYNAHLLLSLSNAFSIFPDASSVIVIEEDVTLSPDYLHYFSSIYEPYKANPTVDLAMAFNSNGNFQSFNEKKSKIAHTGFTATSGDGQTVYRIKNHLPVGSYLMKRDLYEKHIRLREFCCTERGNWDLRDSTSLVPALSRIEIRVDELEKQNILFRRGHRIHSQQDHLINTEFETADEYLAFLNQLVQNTKKSIPSSDVISMGCEKWAESLDPKSLKSILVTYSKAPEVESIFECQKLYWTPKILRSNTVFRFTLHQSIEVIIVPETLKIE >CRE12450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig722:5682:6886:1 gene:WBGene00073695 transcript:CRE12450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12450 MHLLTFLLTSCLLLISHSIAVSDVTTKFHFSGTISCNRTDRGAHYVSWIELWESDSIVDTKWTRFADDRLEQNYTLDWSKNPVKFALDAVAHGDGFEMISQEYELYYRIKHNCNKDRESEIKKINVFGTFVTANRAYRHIGERLDVTS >CRE13172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig780:71:2458:1 gene:WBGene00073698 transcript:CRE13172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13172 MSRPDQNQDRYPDQGSPHGYSPARSERQGRAEERFANDRNGDMYNGFGYRESPPRYFEGIQSQDVRNSRMSNRPDNHHSPPRNQDRFRSPDHYRSNSPSGRFGGSDAHNDQRRFENGNHGSYSPNPPNWQNDVRGRSNSPCGQGTSNFRENSWSPIPGERRCRSQSAQNGYSVRNRSPSPRDQGSSNWQPSPPREQFRNRSPSSQCGYKQIRNRSLSPQGNGSSTLPEQFRNRSPSPGPRDDWSPIPGERRCRSSSRQYEDDTQGISRNSWSPPQNWNNQQRNRSLSKQGPGPSTSHPYDDRRRAPSYQYDHNSFSPEGQGTSNYRQNCWSPSPAPALGPQFQDRNRSGSLQGPGPSSYSRENSWSPIPGERRYYRSPSPCNPQRRHSPRPQGNYRSSSVEPRLPVSGFQQSLAQLQIETRSESSYPNERQRGTPKDVEKEPREEEEEEPFDEEELKELFAALMREEPMRRIEPSDGKWQLSITETSCDPSFLWDRPEPKGKVSQATRRFVTKDYVNPHLRNNCIFCNGKHKPDECPHVVSVEDRREILAFYKRCIRCLRRHREEPCPRKNHGKCYYCFDEDPEEPKHNSSVCRTAYIPEHMLESNQ >CRE10075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:754207:755622:1 gene:WBGene00073699 transcript:CRE10075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10075 MRAKRLRRAIEESMLPTYELVLDSKEETRAMTKTLENIEKGSQLMLKCTMAQEHFENLVFRANNIEVGQGNGLSKTLTIDSFSRTHIGMYECGATRKTDGKYHSRQMRVKQKRDNNSNLPPCGAEDEGYCGMHGICLMDGSRKICHCDDGYMGETCDKVLMAAYDVKLLKVVGGTTTSLNIICILLAILFALLFFKERKTVKRLRREFGKVTEECEMENAIYKETTTTSYESNEGGNTSFARNSIRKMRLALNRARLNGTSDSKLLEK >CRE11540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig778:9969:10304:-1 gene:WBGene00073701 transcript:CRE11540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11540 MIPGAADKDLMTKAMATSELEHLEETMLQDKIDMTDYQRTQADLEDQIARESLMSYLKDLERDSGAPPDSGALDDGPSTSSAVATSSGAAAAGGASGLYEELLAAQSLGKR >CRE09711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:29629:32835:1 gene:WBGene00073702 transcript:CRE09711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09711 MKISRSSSNETIGTMNAQAINKDNKNGFQLNVGEKISQGTFSSTFKATIYPDRNLDFAEVAKVSLNKSPNSKYRMELKVLRQLHGNNYFPRLEFGIETKKYCYLVTEYGGESLQTVAKRNRFELLSNENVVRLFSILHNAISHLHAAGYFHRDVQASNVLLHLRDRRVHVKICDFGDSTEINAPERRRRSSILNIFNRPALPPPYHESEDHLQTAYLCLKLLLELPRIKRRNSLFAPPHISQFNLPNLEDEHDWMNLIWIRLVACYDQKSTDFEFIDTFLDRAIDGFSKESALEYSMLSGKLTLD >CRE24332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1395:6357:7141:1 gene:WBGene00073703 transcript:CRE24332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24332 MEVLAKKKKRKKAETSEPSDEVTINRNVIIAWIVATIGLFLFSIIILIICCCDRKRYKDKIIHLESSISTIEASPMVIHTVAANEVVVLKDKENKVASKESQMKPIVESKMKSVVDDETRKKKKKTKGKQKKAKTITVESTQDDEKSRTLATATGRARTRTAHTATGGTTVGGNTVGKEEESDGDRSVYPIPQITTGTEGTAGTAEIQLAPKRY >CRE16872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:500142:501723:1 gene:WBGene00073704 transcript:CRE16872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16872 MHSSITHLSAADPSLKNRPQAELWASGQSRVIVIRSAIRNCKHVFLPEDDWKKEETKNQGTSNNDSCTPETPSTFLFAYSNDLDSKSVKNSLDDIFMKLDYQQSKYKYLANVRFDTKKEEEITFSTDTKSFTASVTNNLPDPSLRFADDSTESDVLNVIQNFLTNSQAPICGSIIFILLQRNPDDINLTEIVSQLQFQHVFVRTVFMENLLGSSDSLIMYNISSRSNGLGVFTDEDSFDQVGSIRLVKYLFFGSEKNYFQSVDLSTNIILNEYLLYASNPIVSGQGQIELPLLTTPDYFSRTISVFVDITVQNHALSDDFHFLNLTLIDTDASSQSLVIDMNRIENFNGYYNTDIFLKRNQDLEVYLTYNYNSIDYQAVEIRMLIDQALPNWPPYK >CRE04394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig531:52:2321:1 gene:WBGene00073705 transcript:CRE04394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04394 VKYRCLADLKERYENPQDGTTPDNFEEWVLQHFGPTILNTFFKPYTKKVWTVEPLKMSPNWVGTRVAKLPQEKLEELCSMDQSELANADFGWGPNSYFTFPKYGGTGNVWNSMAKKLPNEWFKFNNKVTGVNHKEKTVEVLEKGQTESTKMSYDVLLNTAPIDQLVNNTQITAPLDIVHNKVFIVGVGLRKPMTSFLEKFTWLYFPDREVPFFRVTILSRYGEVTPDSDKYWSMMCECARPIDDTITEEEMVKKTLDGLVIKDMITREAIESVYSITLPYGYPIPTPNRDRELARAHGELERHSIYSRGRFGGWKYESSNQDHCFIQGKEFIDRVILGEPEKLYKTGVPTIPRG >CRE04395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig531:3896:5584:1 gene:WBGene00073706 transcript:CRE04395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04395 MTSFVSSSRLFPLLQSSQWAVLRTWAEPVKRFKTAKPSSFAASNITFHRSAHQMPRVLITGGTDGIGREAALKLAGDQHEITISGRDPNKAKDVIEQCQKKFNNTPRFLQADLSLENEVIKFANQVAKEKYDICILNAGVMNPKPGRTCEDREATMMTNLISSYMIAHKILDSRKDENQPLHFVFSTSILVKFHNATPLGLRFFNPQKLSDWQKSLVPSDVSGAGKYAISKIGLATLSSTISLSNLPNVTATSVHPGTVYTNIMSNLPARQQFYIKLARPFTLHSVMLLPICVFYIEILDIP >CRE04398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig531:5939:7262:-1 gene:WBGene00073707 transcript:CRE04398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04398 MMSFVDLELKKSIDIVCESGPFSFAMYLVDFLLDVLRMKINSVYFDLMTMNPSELHSFLLNIDGFVRMFDGRWVTSDALINIHCQEMLIYFNKLTCTDINLFIKNWMNSSDTKLRVFQIAASFGNYQEKLFRGLEDSLRPWDKSKRGPLFETQAYALDCQNGLDIERSDGMIATLCVDESIFSFVVWHDRFPQVTKQLPSVEESLRVQFLTVFLRSPEWYQAMKN >CRE09905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig939:2092:4427:-1 gene:WBGene00073709 transcript:CRE09905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09905 MPCIDWNLLSAPDKACSDFVDFVVKHGLTQSVKSPTRFNPENILDLCLSNTGIISDVSVGDLFSDHCLIKVVLSCDRKSLKSLRKMINYRKGDYDSMNGILSRIDWPLLLDNLPTNDMYSLLIGHVKELIHNYVPILEIDDSKVRHSPAIIKLQKRKLRIWKKEGNSLHYKSICASIKELLLEEHKKKFEDKLVEGNPKNFFKLINRKLKPSNFVGPIKSGNGILCDDHEKAECFLNTFSDVFVSDDGLAPSIEPRTTTIIDEVSYEPYVIEYVLSKLEPKCNNSPDGIPNIILKKLCTSIALPLSLIFNQSIRSGSLPAIWKTAIVIPIYKKGSRSDPGNYRPISLTCSISKVMEKLVRRSLVEHLTRNKLLSVSQYGFRSRMNTELQLLTYIGLIIRDLQQNNPVTSVYIDFRKAFDTISIFKLASKLQAYGIQGELLRWINDFLSGRSQKVLLNGVCSNTSSVGSGVPQGSVLGPLLFILFINDIGDKLESESLLYADDLKIISPNAATIQKDLMKLSEWCQTWQMVNPKINIELDGLRLPQCDYIRDLGIIFSRDLSFDSHINSILRRAQCRINILFNVLKNSVFDIMLKCYKVFIRPIMEYGSTLYSPTLKCLIRKVESVQKSFLYRCSKKFNFEYQSYFDTLEAYGLESLELRRLINDLSLRRHPYHIKSILSNKTKFGSQYLPNRLLSCWNSLPVHVFPVKFSSLCFKNNVKRLNLSKYLTLNISTY >CRE12421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1096:3571:6102:-1 gene:WBGene00073711 transcript:CRE12421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12421 MENLIPVVNKLQDVFATLGRKEDQIQLPQIVVVGSQSAGKSSVLENLVGRDFLPRGTGIVTRRPLILQLNHVALDEESKRRRTNGTLLTDDWAMFEHTGSKVFTDFDAVRKEIEDETDRVTGVNKGISLLPISLKIYSHRVVSLSLVDLPGITKIPVGDQPANIEEQIRDMILHYISNPSSIILAVTPANQDFATSEPIKLAREVDAGGQRTLAVLTKLDLMDQGTDAMDVLMGKVIPVKLGIIGVVNRSQQNILDNKAIVDAVKDEQSFMQKKYPTLASRNGTHYLAKRLNMLLMHHIRNCLPALKARVSIMNAQCQSDLVAFGEPVEDKNRTLLQIITRFATAYTSTIEGTSRNIETTELCGGARICYIFHDTFGRSLELVNPLENLTQLDILTAIRNATGPRPALFVPEVSFELLVKRQIQRLEEPSLRCVELVHEEMQRMVQHCGFTTQQEMIRFPRLYDKINEVISGVLKERLKPTNELVENLVAIELAYINTKHPEFTEANLVTLLKEELSLEDRHGRSRNRHASTGERAVSAHGEQQIAPVPGINGVDLNAALQQQQQQNPRTSAGSGFLGLFGNTSTSNKSSPQEKQSANFLPEVPETQLGRKLTSREQRDVAIIERLIRNYFIIVRKNIQDSVPKAIMALLVNFVRDNLQSELVRQLYKPDEMDDLLAETEDMAQRRRDTLETMKALQQASVIISEVRETQVW >CRE12419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1096:6716:10380:1 gene:WBGene00073712 transcript:CRE12419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12419 MDPVGFRSVIYNACETGQLQRIRIFMANKVDDKNWIHEVLNSSGLERYPIVIAARNGHVAVVEYLLTVGADPSVRGTVEFDNDQILGTPPLWAASAAGHLAIVKLLVETGKADVNQATNTQSTPLRGACYDGHLDIVKYLLEKGADPHIPNRHGHTCLMISAYRNKVEVVRELLKNDIDVNCQTERGNTALHDAAESGNVEVVKILLSHGAILKKDHQGVDPLMGSALSGYPEVLNLLADEASSSIPRRDALKLLGSTYLDKKMDAMSAMACWRQAIEVPLHSDEMKFVHELETFFEPLAVYEYQEEAQTMNQIELLDGNIEAQRMQSLVIRERILGGAHTDVHYYLRFRGAVYCDMGQMNRCYELWKHALKLQQSHFAPLYFGTVTTLQSFQETFSMTLNDYVNNHHANINLRVKSTWVKYVFDQVCEEMERAAEWKGAALLEDTECCGKDKCQHSTVTSEFKKLVVIAVHLMNVLERISLPSARGDEVGDDKALSLNIPRLVKVCRKLRVPLLHYALEEKTPDQNTSDLGLPKAAVLEQLLEHDLDVNAADDEMNTPMHLVLGANEFRKSLVRALLNYGTWIFARNKKGQVVYAMMHELASTESISFDDMRLGRHVTLLGLASNAMRVRYDGMFDGVEKDFPLELRRFYLAH >CRE10746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1258:25:2980:1 gene:WBGene00073713 transcript:CRE10746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10746 MLLFMAAVQKNQPIDCAFLFYLFFVFMIHLSHLLLFLAPQVGEPFTFSTLLHFVLQFCVIASSGLLCLVLYSIHTTIISYDIKEEEPQGCFSRPLHVIGMGIREFQMEENLKKEDIFSVTPSLFTFTTYYFLDGRDLDIGRLFERTDWLFISNYLLPTAVLFSISIVYAVWNVYIASGTRTNRRCSSDRLLALGPAINASVTSLFMVFFFCSALLLFFFREHSPMAIFFFCMFQFFHVVTAFFFASYLFRLRFLLQRGFDSNDSTDSLERKRDISRALLEHVDTKSDIASDRVVIDGGFTEAGFTPATHYQYSPTEYIPPGSMTQIENNNRYLSLHQNIFERAPMVSIV >CRE14450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2542:1491:4524:-1 gene:WBGene00073714 transcript:CRE14450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14450 MSYRGLNLITRQAIVHSTFRHANLLTRTTIPLASQDRGFFFGKRREPRCDLRNQSIVQEDGGRKAAWLGDGPLQHGWFDGALTTYSAIRRGPTVGGKEMLGTRVKKNGKLEWEWITYEQAIETSDHVSQAVRKLGIETGEESKIGIYSKNRPEWIISDMAVHNFSNVSVPLYDTITNDDMHYITNLCELKLMFVDLEEKTKQLIRDKSYLPTLKYIVQFDKVSPDTREMARENDFQIWSFEEFVEMGKKEKPRQHIPPTPETLATISFTSGTTGRPKGVMLTHLNLCSATMSCGEFEQNMDGRDGYLSYLPLAHIYERLCTLSNFTIGSRIGFFRGDPTLLLEDIQALGPVSVATVPRVIDKIHKGVMKQVVDKPIKRMILKAAIAYKLYHYKMTGKATRKTWVDKYLLHKIQMLLGPNIKQIIIGAAKSDFTSLQFMRGAFGIEVLEGYASRWIRQTKHNIEGKTYEQLGNDPVLAEDVLRHFVQLTEGHNRPRYEGVYAVHLTPIAFTAQNGLTTPTLKNKRNSLAQFFKSDIEKMYKTIETSELKSLAQ >CRE07914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig975:1142:6535:1 gene:WBGene00073715 transcript:CRE07914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07914 MRRVSRRHLAAVVVLFITTLPHIIHNQNLGLGGINQNIGGTPQNPTINQVSPGQIFSGTGNNPYYGVNLVPFGPEAGDLMVNPSMLTAGMTIDLYMFFPYYGGLYNYTTISVNGYLGFATVLDQGPTINVGPETTDWPRQEDPAMIAPYLCKQQVPQQGNPARRAGVYYRLLLRQSLFGRESNSNLNLGGTLQQNAFFGQAASQACPGTADSYVRCDSNSDYFLDQMMIWVQEGVAGGAMFRADAAVVVTWYNTASAISGRSDIDAGQTGTYQVVWLTDSTARLSYVIINYDRLGFDAQDFRGNSRSGRCRAVFNGGNHTGTVEVDPTQPYKNTPKVLAQRSGVPHMVRGRYMFRVDDVVRPAGCSNKTGGTYPIMIYPNIVNMLGDMTVDVNACCLDRTQTYIMMIEEREVATCQVINPAIARCSLPRIYDWGTKTVYFQPESRGANDEKAFVGYIYFVPPTLDPMRLDIGNIYEWYKNPMTNYLMPITWYPRNFTNPDILTNGNNMGVRISDDSMYGVQLGLYIVGYREFKDDEIKKFRPEYRTLARITTYSNQNNANYRWMPQEEVINTNQVQQWYLTDWERMHTLYTYRVGFFKLAPINPNDMNGTQLLPGLVSAPISLHWLWTPENQQFSTLTLNQQDRDQRVEFVKEKSREMCHDWYDEDGALWNFIRDTETNTSCPCIETQALLDLGRFMPHPRCSQMFRDITCTTVIGSKNCYMSSSNIYSSYAGGGNTFNNQDTNRFMTHYGQVCCYDESGFLMQTPYQPVIKTQREYFYNPGYPLRAYEFGTAPYMGQFEVPGLSVFHNDYMPYFLCCKFADFRCQMFYWRRPSSACQQYQPPAIGHAQGTGVFQTIDNDKFIFNQPGVFNFLYIPQSVRTPEVRIQTRLERYPNRKVDFGLLGRYISQYELVQPTNATVITGIAMEATGTERVIVMTRKDTRRFRYRTNIIVGNILRYFDTIRLQRFRGVLIYVNNVERGQPEIYVVLEEAQIGVKVTESYALDIDRLPNYQESMGILDIQISVSPQYGVRPDGDKTQEAQYRQLYNLPRVSGLIRPYPDQTSGSLNEGLTLNDVNSDAYRQQIINNYLVLGSGEPGTQQNQAGTLNQMMPSDNMFTTSRDEDKQFDVFPEASMRSEPVYKTAPVFDTGSYRFVPQTGSMILQLLNTCRDLQNNPNTDLQPYQSIATLSYGLQCPDDPGSVLTECGDSVACLYDYALLNSKILGQEEQDAWNMFTTDRALAIRQYNSCGAINIEYPEYMMKTPALSSGYLQGDVARFECYQSHWVKGDHEYKCGIVVDYNRPNEYRFEWNKGNQPWCRSRIKENYFKWLAVIFGIVGIIIVILLIFLVFWCIKQKKRQESRQYSGTAAYSNNAFQNQTYETKPPRTLSVGDLSNAPRTVNMPPPRGTTATPMTMEPRGFSPAPTDIQGSQGAGVLGLNTSV >CRE07915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig975:8755:9813:1 gene:WBGene00073717 transcript:CRE07915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07915 MLYQKKPINQKLNKMIPLPNVIAWKVNPADVLHRGRVMCMFRTKRTFLPDDISSLKMRMTTVTRNGDMIVYDTKDRGLIINLRDATDVLTECDKYKSKKVKYSRSHIKIRMPRGNIHLFVRDEAIYKWSAAILEAHVYCRPKPFVLIRKEIQSHQESVTAIEKAPESPTSPMTSSNSGLITVIEKRSTSEDTLIPSVRRGAVPVGTLRCKIEKEIEKNPKVEMLPEPTTSQQKPSQPASSEQKHITSFFVLHEGGIRTQGIPVQVKTEPLDDDQKTFLNLERPEEESKGSAKKEWWMRSLKC >CRE07983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4935:2326:3337:-1 gene:WBGene00073719 transcript:CRE07983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07983 MYTSAALFMTIVSVFSILLTYFFLEENYVGIISEEEKNQDPYFVLPKFDRLPVLLLFYMWWLMCGVVCVESMAAPVTIAMYNWSREDAVLYNGIIQTVSCVFTFIVNFSLASTKLKTIDNRLLLLCGLVFFEVFFILHMPLPFYPGPLDRPGTLNSTISEVGRCEFDWCDSTPRVPLSLYLVICSAIIGLGFPLISSTSSSLLSQIIGPRKQVFVVRKMAFILSFQGTVQGFFAFTGAFSQFVVSLFSTRLFELSGYKWIMIYHWSIVTVAIISTLFLWRRLIPLKMTPSNGEATRYKLGTFYRM >CRE07981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4935:110:1270:1 gene:WBGene00073720 transcript:CRE07981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07981 MIPRRSNFILLLFNLIQVQSLLDASWWSTVAQLSTALAGHNVKPICELPGLSPGQAQVCELFKDHMPAVSIGAQNAIQVNIIGVLINLILLLSLQECQRQFTGHRWNCSTHYSTGMLGPIHKMATREAAFTYAILSAGVTHEIGRRCKQGLLTSCGCSDEIKPKNVPNDWSWGWMWRQC >CRE29889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:269270:270789:1 gene:WBGene00073722 transcript:CRE29889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29889 MQIRCLLLLILLVSAISCSPRRGIRVVAVNKDGLFPKRVQSSLESALKWFENAVLVQDSSSSYVVDYVGKAVPGYLTPFAGRVTIQTPNKVFSLGILSDEFKTLFEYSDVVVFIRAKPFGCGSQPQAFGGAMRPKSEILRASITKLAFLEYCYNEVSDTFSYFDLFRHEILHSLGYGVFEPHDSAMSVREEKYQWKNEDGTESTATRHFFNTNQHALDEVKKYFGCDNDILKGVESDEGGMHLNEYIFQNELMTPTLAEKNYFSYISAAILENIYTGNEQWYNVNRTFIGPEADAYNFGKGFGCSWLLNSCYEFLEGRKNEKVPRPAPFCLEDSVQKCFKKSENELIAFSCELRDSGAADNNIPRPLNTKYNVPSTRYCPIYPNYFEKSKYELVDCQHHK >CRE26024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2445:1097:2239:-1 gene:WBGene00073723 transcript:CRE26024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26024 MTSSLPSEFWNLQMADINYTRTEEDEKLIRTVENVQFCAFCVTLTVHFTIVWALFEAHHRRFEELMSPFFKLCLATAGVDICICQAMGVSILAANRLSVMLFPTKFHLMWQGYRIWIAISIQYLSGLSVGIATFFNPTQLFRNEQNGIVPKFLNITMTNTFFVIGGAFLALNCLFLVLTYCYLFAVLHQRNRNAPVRISEELQKDNSFNFQSQPVRYQSKRKEKAKMREAKLFTMSTITVGVQMSVLLLFIFGGSDILGFSTDQFYMVYNALRSV >CRE22270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3190:14:1430:-1 gene:WBGene00073724 transcript:CRE22270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22270 MRQDIQCLRGVAILFVLAYHLYPTLFVNGFLGVDIFFVISGYLMAKNLTKSKLESVLDIFKFYYRRFRRILPLYYLVVFITLVLVHLYLGDFWWYTNRRYSLASLFLVTNQLIIHDSADYFREVSCKSTFLADGSSLNAYIHLWSLGVEMQFYLLVPFIFFGLQFLKNDLLKLIAVSLTTIIGFLCFVFINQQFAFNFMFLRLWQFSAGFIALFWKKTSFYEYSEKLKPAKMEMALPVAKEDLVTVSLSVIALCILPYKLEVLMLRPLVTLATAFVIASESQDNQCLKSKTLCYIGDISYVIYLVHWPLIVIFLTSTLKTHICCIMVTIIAAIVLHHLFEKQYLRLDWKALVPLLFMLALSNAFLQNSVREHSFWNNTYPDEIQLIVNRISDASKPVGNRTFKRYVCRDYTGDPIERSEFWIL >CRE03556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1210:5248:6467:-1 gene:WBGene00073725 transcript:CRE03556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03556 MRQDIQCLRGIAIILVFIFHLFPSLFVNGFLGVDIFFVISGYLMAKNLTKNELKTLNDIAQFYYRRFRRILPLYYFIVFMIVIMVHLYLGDYLWDNNNRYSLASLFLVTNQLVIHDQEDYFKEFQASATSVNVFLHLWSLSVEMQFYLLVPFIFFGFQHLKHDYLKFIASCLITIFGFIAFAMILPKFAFNFMFLRLWQFSAGFIALFWSRIEKSICDNPKLKREPTESIFKLPFTKDDLLTISLTILAICFLPSELNVLYLRPIVTMATAVVIICESQNNMIGNVAKDPPLGTPLPGYQSQSDGPPLVFPRKTRTIGAFFINPLIQSVH >CRE20973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:88637:90052:-1 gene:WBGene00073726 transcript:CRE20973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20973 MAPRLHQRSSSPQGLFPPPRKDINLPTTSRRYCAYQPTFHQINYLAARKDLQPEDGITEFPAIAMDGPGFSKCYRCCGCVTCDCGSCTPSCLFSRISAEPLSFSIYEIFQCPTWNTKLEISVTINNITTVHYIDHGIPLQLENNMSLIITGFSTPPSPIHGALFLRRINLDGPSGISYSLSQPAEAGRPLKGTLSEVQCSTAEDATDFNCIFDEDVCNCFPQGTVLKCDCLVLDIEEIMIRNAINNTAVEGSFILQHEDNKVKTRTTSSGLLSMQLQLTNYTIHRVVKEDNCEIKKAEVTGCHSCSTGGQIMVFCKSTTFPHIISTIECSSFTSFANCSVHGETSTLQIFSNQINIAENCSSYCGPKKFFFTVNGTLSTVATFNKSLSQKFVRVQELLSSNTIWSDIMEYCSSIWNQITDYIGSIMEHWLLSIVITIICILLLIRCCRFCFCCRYFRRREYRRYYRRKFRI >CRE02726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1041:162:2863:-1 gene:WBGene00073727 transcript:CRE02726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02726 MIVNLVQSVVQGEWAQVRQLLLKHWLIQVPQVFEINEDMPWDNTGVKEKFLGPSGQLLFSPIVSAFLLDVRNTKSDLGGMNEIAGVDPSKTGKICGHVFKNEEETYTCLDCATDKTCVMCLPCFKVSIHKSHKYEMRSSSGSGCCDCGDVEAWLEGYACANHKKKDDDETFTLAPELKKRSEQLIEIILHFALSMLTHNDDLKLPDFFEKLEIESSTDNQQCLTVLYKNETHSYESVLKVLELYCTKDQAMFVETIVDREGRSAVKLGNKTDCIRAKDDHHLPLSFKVMDTSLFALQNFSISLLTWLNTQMDVFPPLREIVGEVLLNSNFPLKKKFLQKVVLEDSKDDRGVTNEIDSNEGAEVFNSVTTIVEQQESTIENSSFTMLENILLQDTQIWTAGRCILHQLLMRTVFMIYDQKVRFAKAFMKHYNEIYEDFIKDDHEIYESVVQLSVQFMTVPSLARKLVAEDDAFSVISKAICVQTDKYTKCKILFDDHWELKLIFSVNADEKIARFDFRSFPADLRRSLQIARDLDYILNAVPSETDWTRELIDGFVSGFADFLVFVQRLQGMNEVKLQAVEHQNLESEWETAFNILIRLKNAISLSISWAETNEEVHNRVLLMCLELMNNMPPVYTKADDDSKEVTITVNGESCKISYFDVMKSGTSIHQPVVRIIAGLFTAQNHTMFLMRNERGNKFQEQIKTILITNEDTNLYELSLRVLVLCAQSIFFCTEPLCGEEDQQMLHFYKPGEFVTPGVKHLAKKYNVSRRSEVASNTLCDRAALALV >CRE15121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1122:7354:9719:1 gene:WBGene00073728 transcript:CRE15121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15121 MQRKWFAWLFTLLILHNDCVLGAYHWRLSEDGKRIEAVNDSPYNLRMSGSLTDFLKQVENVRVITQHVDEMQGMLKKISKHENLDNPKFEEDFRQQEEACVKSRGIKLEPDSFHTSFTAELCPEFHESYQKYTAFVKEYFGSPPDNDNRPPRCNYWFEAVKMKGQNNVDLGKSLNDKVGSEDEMYLKVLDMYFPDFAKFTRGSEGMSRYGNMLSSMLSHDDERVSRNAYLHMAAAAYWRAQGKISYALTCYATGIHFVNDETRAPQIAAFHPAHAEQTIRLSIATLLNRAGYSVEAYIILKVSYNWNFF >CRE15120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1122:1077:5452:1 gene:WBGene00073729 transcript:CRE15120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15120 KLDPEVIFTKQERIGRGSFGEVYKGIDNRSGRVVAIKIIDLEQAEDEIEDIQQEIQVLSQCDSQYVTKYFGSFLKGSKLWIIMEYLGGGSALDLTKSGKLDESHIAVILREILKGLEYLHSERKIHRDIKGANILLDRQTAAVKICDYGVAKSLATVLKANTFADIWSLGITAIELANGEPPHSDLHPMRVLFLIPKNPPPVLQGSQWSKPFKEFVELCLNKDPENRPSASTLLKHQFIKRAKKNSILVDLIERAAEYRSRTGVSSDSDLDEDSDGGGGTSKWDYPTVRGPRGAVVAAATHDDDGTVRQRTDRPRPPVGRRSPSGSPGGTIVRGNPQVAAVADQLRNASISSSGYGSGGNNSSSAYTSSMAPQAHTASSGGATTITLGSPNTSPTATLARTQSMVSPNGQRAGSANSWDLERGNRPSSERLTTQTSPSKYQQNRGSNGVQGGSGGRREYTNGGGLNGHQNPMEYSDRGGRGGSGGRTDYPRDSHVPTSSQENLLHGRMYGYGAPPPPPSREAQRVKGALDCSLLPAIEHLSRTRHATAALDQLRHVFREAEDSCPGICNELIEELMKRIAVPQVSQSDLEAAIRRLTTPPS >CRE17737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1030:54:2878:1 gene:WBGene00073730 transcript:CRE17737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17737 MILSDTVVPKVATSTSTTKSTTSKAGTPEPHFVDIENTVPVISTSTLSTKTTSTGAVTQEPNNESELSSRESMKPEVSTSTSQTASSPTTAETKKPQIIDVENTVPGVTTTTTASTSSTSTTSKPTPSTTSSQDFTSDEVSESPEATTTASAPSTTESTTSSQDSTSDEISETPEATTTVSAPSTTESTTSSQGTTDGTTKSETVERTGSCRYKSPHFWHRHFNEKLPTFHLKRIINFSETPFPTSFGLPTSFGTLTPPITTSISPSSETSIITKPSNQTPAPTLLPILTAGTGTTKRSRYEFDGTSEKPNFRTIFREYSLTFPTCSNKFSIAKGDNYINKFFSKPKWIRCSVPGMKPNIQAVPFNLIEAQNVFNMRHVLTTDVSEWETVMLRRIMKEFPKFDVFVVIFGDEKKLALMNPEEEVNSEAPFKRHEIDWIVFYGCTPRPNREIIEEFIEKMREKLGRLNDCPTSYVER >CRE13860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2501:3395:4507:1 gene:WBGene00073731 transcript:CRE13860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13860 MPSTPFSKVGLDYFGPLKYKISQFDTQEKAYVLIYTCLTTRSLKAIFGQRGVPNYLYSDNAQAFNLGQNILEKDMQDFSADPEMICFLARHDISFKHITPLSPWMGGIYERVVGIAKSQFRKVLGKLTYTFPELHYTLKRVEGVINSRPLIRNPSEKDDVPVLRPIDFILPSVLLDVPNDTDNNNGDPSYDPTRTTTESDTRQHLLKLDETLNKLWKIWSSSYLLLLRDSATKKNRYSITPPKVGQIVLINENLIPRHRWPLGRITAIKGEHPNIRSVTVSVNGTSKDRAVNQLIPLEIEDDTDTQTEPIFLPDPKESIVKSTIKNNSTPTRIMPSRKAKEGLKRYRSDSGSD >CRE15076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig943:3135:4058:-1 gene:WBGene00073732 transcript:CRE15076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15076 MLVRNPRTTLYITVACKVNNSIGFWKVKANVTIKIRNFNNERDSLIHNCGELSFGNHYLDMLNLHRSTNIRLADLIEGNSGFMKNNEMIMETDIRVVEVEGFHHPLVINNRLPPVNSKNLFRFIHPNDTFYCNKAILNAHVKCGRDFDISNMDFISFKQPSGELFEEFLDCVYGFPISIPCLDSVQSLLQLSVSFKMRAVARRVEAIVIQRPLVYNNDISCRKIVVTFNLRRVMHTWLNRQESVNKKDVEDLDVEKMSGEIMKAIVMRVFEVGWK >CRE17744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1270:10322:10739:-1 gene:WBGene00073734 transcript:CRE17744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17744 VKTGKFADSTEISGTIARSKKILRDIGTPYSAKSAVERRSKNKLFKLELPKAIDIYHRRLRDRICRKREPQFHVTQQEEMMRVFEMEMEELEENDEVFEGWSLPSSPVYLEH >CRE02570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:246315:251135:1 gene:WBGene00073735 transcript:CRE02570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02570 MILYLFVVALVAHSAVSLDTTKTLTSQLHEFQLNNSAGVMSALDMLPKECSKNGKKYKPDESFEIGNLRYKCQNYGVYTIEGCKRKDGTEMKLGESVVVDNVKHQCLGMGSSVFYKETTCGVMGQPECDKIPLPKGFEEAMKRNGGKTETQNSTVEEVKDTGTAENENSNKSAEADTLEKLTEEDMKMMDQFNKTMEADEEMQRSNETVLGIDTFGAQGI >CRE02722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig961:15:2288:-1 gene:WBGene00073736 transcript:CRE02722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02722 MAKKELAEDLKWSDFAIPAETDTQKALRAVSGHIPKFKEGNTSALRRWLVEYRCALHNLNIKQEAGAKIMPFFLEGLAKTRFNQLSQEQTNSWDKLVESLIAAFEVPGDRELAQQEITTLKQGRLSIAEYARKLKTLGDYAYEGIPESVRDGLLVNHFMHHAANHIRRRLLRMDNPPKTLEEIIRRAEKFQRLHDLEELEKEDELIAAMTQLMRTREDRPPESNFRSSYRQPAAPPREENREHQQGRRDWKSNKPRYPPRGKGFPTQPPPRRYPSEYPKGRFPDTRPPGRFRDQRPPGPDNRQEGPREESYRNSRGEGSAAKRLLAYLTVAMMLVVPAWAGKPQICGFQQGGNLFVPPSILPCESPRTSIVSTRADLFELRTDPMRQIAHACYKQVFKVNTFSLFGIFSTATMTDSGSNGFQQVRTQECREAVRSKQYAGKDMTEGPKGVYRSASFGENIGNYTAWFGGKEVEHDEFIIVVGEVASFDGESTISTLGDTSKCSYPSGNCKMAEATVVWSESSPYRACKYQKMTSVDAFITDKHIAVPELKMFSTISQDMRFTQLESKGCLVGNVYFTDDGKMVSFPELPSDLWIPDYVRMKQDHHRRKRAAYLRLGGPNNTTIAISLGEKFATPLIYKYFKVDALEKIPQFETDPITHPDILADIKAFGVTNDLLMSRAKRYDQERKNSLGSQLIVLKCIRIRQYRYREFTRIHKLSGEKTEKDNQLLIAMGEDEVNAFDALLDLEFGKSEHNPGNFP >CRE02721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig961:6169:6681:1 gene:WBGene00073737 transcript:CRE02721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02721 MFVYLRNNTIIALAALLGKEKYDYLSKLKCRELNKKELVKYFFDSYKPNLLFSTMDSLVNFTTYLPADCMPSLIAIDESTMIQPSDLTLFASKMQSMSFESIEFVLVGDHKQLNPYNSVASLSPLTVSPNVMLMNYDAMVTRFTVVHRCHPDATELISKVFYGGFLVSGK >CRE30339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2728:3162:4240:1 gene:WBGene00073741 transcript:CRE30339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30339 MTSSLPLLSIPYVPLNKIIDFMESSSLVSMSLCSQKSRSVIKTHRRKSIDGRLHISYNDERFHISFNTFLEQFPVLGVINLSKMPSSVREECIKLNGKQVPVRLNSQRGFLLTYWEDEVEGLKSLTDYITSLFNIDVLEITFTKKSIWMIDWVNSRQQTPIATASCEKWEDTLTEEEYTHILKNCRSSFETAIYPSPPPNFSFHENFRQIDCFIIHKSGWVTIDNLLTMDGIEIILQKSSLTCIQINIFLKHWLAGGCPRLKLMLVETGSVDFNAIFMDLQDNVVLVEDMRKYTSPFGSEQHLINGFDLQREDGAIATVCYDGY >CRE26929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3324:90:714:1 gene:WBGene00073742 transcript:CRE26929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26929 MIEWMNRKQKTPLKKVVYMAWSGCPSEDEMNYILRDCHCSSQILIYSDAPPNFRFSNNIRRIDCLDVSYSEWVTIDNLLTMDGIDIVLESSSLSNRDLNVFLRHWLSGGCPRLKLFSAETGYLDYVQVLDGLLHNAVLVENRRDYTSPFGYSRTLSFGVDIRRADGVSATVCGQADGTLVIGVWPETTYNYN >CRE29290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1719:985:6739:-1 gene:WBGene00073743 transcript:CRE29290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29290 MLQTFIIKCSIFLLLFSSPGQCSEFGVTLGDAAISQLIRHTASQFLEETRVANILLKSNEEPSFGSLAHLKLFNTVSYRNVSVQFRPKVVHVFFENLNITSHANLSDVIWPIPFADSLVDSHVKVPRGHLRFIVDDQKVTLSKCALFNPDIAFRLRDSWLVNKGISTFGSMVSSFFEGALCSALSSSTNDLRHRTERKFPIYEFLPKKVQDHMAARNTTLFYRVNSIDADDHQLTVRAQIEWQKLVPAANDETSNLLNGQEEHNNATKLLDMEMKNGDLVTIWLEDAILNEILDQIDWNFEWMDEQIPVSSPIIPPDSREFLSTLCTECYFQVNVNAKGRPTISATNSSLQLTKTDRIHLQVVNPEQKKTTVFVSLVLTIQAELRPSFDNGTLRTNVELLDTLIEMEKGAFPKTWGFFMSDLIRGMIMDMMWPEIKSAIEDLTYGKGLKLSKFCGIDPNNVVIDIAEGSFSLSTRLVLPMYQSEACLKDLKSSIPNTSKLLQKTTPSPFSRRRRSLLDFF >CRE28768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:139169:141221:-1 gene:WBGene00073744 transcript:CRE28768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28768 MQRPPRYPGLSSVEEKVALALADKEGIALKRQRAIQEEAEKKRLKKEAADRAKQKALKNYPISFEFLLLLEIKTHYNFLKRNFRNFCYLFFFATNFLSFRTLFLLEMFTNPRTRCLRCFGTQPKTNPTKTNPTKANPTKTSFRSVSCQVKPNNIPGFHCLQYIGTESQCTGVHFKEDYGYIRTKVEVPTFLPFNAPIPDSIPEKVLSVWDKEKKAGKVTAKKAQVQGIIRNPVETAPVEEKYCGPLLDGSAPEMIVPSGALGMTETRRKQQINYQQSKRKRTVDAGFGISEAGPPKVYKPKSYQPIDHLNSHDSYYEGSMPSSSSSFPTISDFESEPGNIDPISQSILRCIENSLTETREESDFPIEYTNEKSVDVDNLIAQVHEFAAPIEEPAPELTEEEKRRIEENEKVTNIFTLIFICVFILQAIARFREIGRRICEIELIDFSADKGKNDRKTFMIASRGEEILATVRRNLAVIRDKLGEYAKGTIFDYVDELSNSFADVTPNAITRLNMVVAEKMRQNTMQEVEKAATSSSESGEFKTEDFEVKLEPPEYTED >CRE16528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig905:8813:9945:-1 gene:WBGene00073745 transcript:CRE16528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16528 MKTQEYLLFCFLTFYFIGVSFAQLAKCAEPGCPANGIWGEWKNDTKSICNMTCGGCGTSFQTRECLSTPVCNCTGNSHRYIGCNFGACEYPTQKTCCPPYLPMVINGVYMCGPVPKTIESTACCPQTDLFSPWTGWQLSGNTWNRTRKCIAESIGCPCTAASTQTQTQPPCGQPGDATDYCKNIPVGRGVKHQDLVMNDGEDSAYLVMIGKNQDGNTYCQSLTDKYGAGMEFEGVLLIMQDASGVCTTDTVFDCSPINSTIGNATFTCNGTSGNWIYDYTGKEVIRTVIGSWV >CRE16527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig905:6401:7623:-1 gene:WBGene00073746 transcript:CRE16527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16527 MMKAILFVSSLTCVNAAFPPCEAGRKCPPSGIWGEWATEGNGVCKLECGSCAELFQTRTCLSSEIPECICTGISSRFIPCNMQTCQYPIQKSCCIPYVPMVINGTMICGPVPKTMKEPAVSCCPVGGLWSEYSTGYQRINNRWVRTRRCISESVGCPCIGDPTTGSSNCPCVQPPSAASACPSIPNGRPGTMKNLAIQHESCTATLEMVNHNNFPGSPFCSSLRELNVVYPYVALLIMDEVDGGCTYDYVSECINVNKRQSTEASFTCNTETRNWIYDYTGKEIRSYVQGAYITVYH >CRE16526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig905:4706:5883:-1 gene:WBGene00073747 transcript:CRE16526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16526 MSLIFVIFHTALSLIGSVLNSLLCYVAAWKSPTVIKTYSIITVNFAITNLAICVVNFVLQMRMIPIEKTIIFVSYGPCQWLNNRICFDLYSVLVHVYTHTIWLLFVSFCYRYYVMVKSEPSKTKVNLLLLIIYIPSFFQMFLLFFDFTDPSVLLKIQQSLVPQYNLKGLMIFGIADSTSFNAMFSIIHVAVMSTPIVLCIVVLRKKILKKMSFKGVEVNSNTRTLQLQLLRALTFQALIPCFYLIGVVSYFISRLEIYRDPFFEYLIFSAFLFVPVLSPISAFTFVTPYRKWLLRACHLSIYRIESEKNETTKEVTNETRWGQSTRTN >CRE18320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1003:4:1332:-1 gene:WBGene00073748 transcript:CRE18320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18320 MMYNWMERLSDDAEVPTPRRIPPCLSVAFEYVEAEQEFNFYIRNVTNYPMTPTLHKTESRAVMHLVKGISRKTSVMGWARNITWQDIKEKNPFTYRTLNVVRAPTTIYNEFFTCKVSPREFKNTLLRIQICDIDEYDQNVVVAEMDYWVNTHIISQFTQFELPLPSVYTGYRGD >CRE18321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1003:2700:3395:-1 gene:WBGene00073749 transcript:CRE18321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18321 MFWRSGCDLYIKERGESNSISIFSFTPLKNLKMLLLRSPFSSGRGACDFDNFFDEMTRGSLLPYWRDADHNSFNFSDTIGEIINDESKYAIQLDVSHFKPEDLKIELNGRELKVEGSQETRTEHGYSKRSFSKMVLLPEDVDVAALKSAISNEGRLQIEAPKSTNNSRVIPINRVANH >CRE18315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1003:3599:4108:1 gene:WBGene00073750 transcript:CRE18315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18315 MSISPFFRPRPFSLIGEMMRDMARMERQFIPIAHFEAPDSGSAAAPEITNTDEKFAINLNVAQFKPEDLKINLDGRTLSIQGEQEVKDEHGYSKKSFSRMILLPEDVDIGAVASNLSDDGRLSVEAPKKVAVQGRAIPITQSAAEEKPTE >CRE18322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1003:4769:5234:-1 gene:WBGene00073751 transcript:CRE18322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18322 MSLYHYYRPTQHSLFNEWMRDFTGVDRINKNSSEITNTDEKFAINLNVSQFKPENLKINLEGRTLTIQGDEEVKNEHGYSKKSFSRVILLPENVDVSAVASNLSEDGKLAIEAPKKEVVQGRSIEIQRKEALEEKARE >CRE18316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1003:5502:5983:1 gene:WBGene00073752 transcript:CRE18316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18316 MIVLRSPFSKSSAIDNFFDELTGPVQLPYWRDADHSSFNFSDTVGEIINNESKFSVQVDVSHFKPEDLKIELDGRELKIEGCQESKSEHGYSKRSFSRMILLPEDADLTAVKSAISNDGKLQIEARKKANSSRSIPINFVAKH >CRE18317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1003:6717:7172:1 gene:WBGene00073753 transcript:CRE18317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18317 MSLYPFANPTSHVGEMLSELMPVSGTIDNSNREVSFNTFLRITFHSKSFQFQISNTDEKFAVNLNVSQFKPEELKINLEGRRLSIQGEHDVSNERGSSRQSFSRVILLPEDVDITSVDSNLSDNGHLVIEAPKLILPQRQRGAAIEENRHD >CRE18318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1003:8180:9708:1 gene:WBGene00073754 transcript:CRE18318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18318 MQTQTLSSSRPPVPVSSPSPFSSFQNPFCSHFQKKTRPLMTLPLIAPPTPLINPPAPVAPKQSSQSGWDYWTRVYSDDSQPPPASRDIRVSDMLSSDTLVRAKLLFLNVPNLPPAHKSIIMNLVKKEIRDDISIQDVIVVPPGKWFLNFFRAEDALKVLKYFEGFSYRGHTLAVRFCYPDGTYGDEAALTQLVQCSNNAKGRLFEKKEIVQDVIQGTNPSKFSKFSFQTITPECWTVAQCVELKIFETELINLLKTHAYLPYHNVLQAMNNLFTNRFTSSLSSIFISEALTQWPTGVIRIFNRSIKVISNTVCLSTSSYYTQRIHDSALEGGCTIHRNTWEPITPEDIRSDVQLIQYFNAFLSHFGPQHIDVRQSSVRFETISFHFQIDAPIRIVAQSLRGIWPKSGTELATLLTEISSGFVIINRFIYLASNPTHHEKIIDHLAVNQISLFHLPPTIFQTFQDDCSDTYYLHLPCVVNEEEMMVVDFEDL >CRE18323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1003:9921:10403:-1 gene:WBGene00073755 transcript:CRE18323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18323 MIVLRSPFSNSSAIDNFFDELTGPVRLPYWRDADHSSFNFSDTVGEIINNESKFSVQVDVSHFKPEDLKIQLDGRELKIEGCQESKSEHGYSKRSFSRMILLPEDADLTAVKSAISNDGKLQIEARKKANTSRSIPINFVAKH >CRE18319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1003:10682:11146:1 gene:WBGene00073756 transcript:CRE18319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18319 MSLYHYYRPTQHSLFNELMRDFTGVDRLNKNSSEITNTDEKFAINLNVSQFKPENLKINLEGRTLTIQGDEEVKNEHGYSKKSFSRVILLPEDVDVSAVASSLSEDGKLAIEAPKKEVVQGRSIEIQRKEALEEKAPE >CRE07876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:11850:13334:1 gene:WBGene00073758 transcript:CRE07876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07876 MLIQLFLLLTVFREVTTLVTCSRCESGTEECNYSRSTCRGDFCYHAQYFYGNEMMPTIQKGCVIGEISPEGCRVNHHGNVMCFCSDADYCNSNFTSIVDVTSTILPVQTCQPEKVNNMPKPRWTKPCAANYCTFIAAKTLMEVDNANYTWSTKDCNKENEFDFFPTLTVFNFYPGTCVWLNYGGQPDTHACYGSDSLDTTLAFDTTTATTECHVDYFNPHLPYVKSGSSCLGQFCFISATSRGEVFRGCVNSLTVEGATPLKVSIPNYLEFHNFFLFQIGYTRAYTGLEQWICNQSYCNADLKSAELSWPPELYLYRNISNLREFNVFYIDSARSSSSIFLAIPFVFLINYCLFH >CRE29886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:253668:257339:1 gene:WBGene00073759 transcript:CRE29886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29886 MLEESSSLPEFFDIPESSCEELEGNSSMSQESRFVQIFEFKNMKFHYFRDEAESSSEDNSSPNGKKEIRPFIPRHNHEYENREFIEILEGAEKDVFDEALKLESLAHPFSEYYENIKSTGNDYNLAMNIRALFDNYPHYKALRFMAKSHVWFRRAPPTEILPSIGHSAVHQFVKMDGDHAIYRVILVKSDKYILMACHMNTHAFHRGDLRFVEVNNRTKTNASPYSCLGHLALGDLVAVSELSITEEMPTTLGNMDVYSATPETPCVWMVKMMTLLKRQTFENVPFSFLGNGSVVALKWGQVLNVQIPPMYGYPQNVIFLGNGFIPEKVPEFASGLDEEQHDLLLGLTRNISKYPWSTGTIFSYEYSPYFTSQFDLGHRAHAIPNPDPDGVVELCALMGATAVTAVLVGNFDCRSFRMLETAKVAQNLISFTIENTGYPQPYQLWKANARIVISNSKTDAEATISTVFLVGGLLKFTAILSPEYYDFQFTDKIHMVSQKEMPEGEGLRDGFLEKIPDGSNGKRIIEALYGGYPIVDETTEDDDVTYYFPGTDRIVLNEFQNEYVSKLLKKTPLTLADSPFGSGKSMTIATAAYYAARESRLWGNSQQLLVTQSNFASVNLVDITKRYTKKCRVVRYVPLNTWMELSEGSRTDLDLPVMMRPLFMEYVTGRKFHSNSRYLFEMAKYLKEFKLIEVEQMEKRCQTYFRENTFTSKYDFDRLTRLFFTFYQPQIVITTSDCLRNVLPMLHEVSTVQFDESSQMPESALIQVLSMFPYACFGLVGDIRQLPPYCDYSVVGHLKMYGVGNTMERACRGDLFPRVVLRYVYRCHPVTTKILGSEFYGRNLLSAVKEEDRNEFMRSRPDLWQNPKFPIMVLNNNTPGIRDLTSMHNPVEVTIVSYLIDALTSSPLYSIHPSNIGVISFYKAQNDRLLQVLADKPVKCGTVDSFQGTEKEIIIVCCTNEVINGFMAMPNRINVAMSRAKQATFIIGNVSGLRMAIHWSTLVNEAERFGCMRY >CRE07875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:2225:3501:1 gene:WBGene00073760 transcript:CRE07875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07875 MYGVGLKQVDSIVEERGINLLINNAGIIEKYQTTSTPNRSAVLRCIDVNAVSSLLTSQVYLGTSPMISVQDFQHFLPLLQKAATHTQGEELSASRAAIVNIGSDCSSQKLNVTGFCNETLLAYKMSKVAMLSFARSLVADFKTLNIPVLVTTIHPGWVLTDMGGPDAEITIEESASKIVKSLGQLNQSHAGGLFDRQLSALPF >CRE24318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1036:11704:12942:-1 gene:WBGene00073764 transcript:CRE24318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24318 MEVLTQNLYVAKDLLVTELQKYNPIALVATTFFVTYVLTNLRHMQLDDMGIRKRISTWFFTTVKRVPFIRRMIDKQLDEVKVELEKSLQIPDHTTEYFRTIPVKSVGREEVLRLATIYDHLEGPAFLEGRVSGAVFNREDDKDEREMYEEVFGRFAWSNPLWPKLFPGVRIMEAEVVRMCCNMMNGDEETCGTMSTGGSISILLACLAHRNRLLKRGEKYTEMIVPSSVHAAFFKAAETFRIKVRKIPVDPVTFKVDLTKMRAAINSRTCMLVGSAPNFPFGTR >CRE05322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1252:30:1467:1 gene:WBGene00073765 transcript:CRE05322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05322 TTTEVTSVTSNQKELEEEKKLQEVVKELTDKEAEYEDEYEEEQEEEDAEEALKYNEEATRDATSTLKPSVRKEIEKLKEAKCKDYCHHNATCHVEVIFRDDRISAVVPSCHCPHGWEGTRCDRHYVQAFYAPINGRYNVRLSTMSSTAQLFVQVITLSNLQISNNQTSMTFPYKIPTNHFPIKRMFHFVLSGPVKKPKVFIVHPSNHTVTSTPSRDDSDISSVFSGLYDRILQSSTSAIPAFAFLIVMLIMFIAIVIYAYRRYFDLFIDGSTIQYMYYRMSKRTDDMTYTMSHMCPPDAFNVLKTPNGQHIPVHQMTSCPHSAGQSTVIPSTPHPSSAPGSRVPMRQQAVRNVDQARTTFSVFLRSQGTIPSRYQLNRSTSKVMF >CRE23816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1237:4561:4869:-1 gene:WBGene00073766 transcript:CRE23816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23816 MEVTMSFGMTEEKVLFIEAVIEDNFTDRFDTDSLNKIQEVTEEFIRIIIYIHFQTVQKYLGGYWSIQFYDDPYMFFSTSFKRSPSFIVLDVNGKGVAVVKDR >CRE23815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1237:1048:2250:1 gene:WBGene00073768 transcript:CRE23815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23815 MSIETPLVEMKLDAIANLVRKLDVPSNRLDTILERFYKLNLCGLVLATCPLPELKESMTLPLGDWTLVRLLIETLKVFGSSPPGLRVDKRKALTLREEDEEEEIEEAAEAALNSERERAPLLGSVRAEQRRRSTIVQNATELSIDHKCLMEKLSGMDLTETEGDVNEMHFSHFSSSTDGPSPMADGFLPASVSAAPSVRFDDNINDLEREASDADSTQSRYDSKENLLEEERSHSPPAHVDLMRFDSGNTR >CRE17479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:301490:304836:-1 gene:WBGene00073772 transcript:CRE17479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpt-4 description:CRE-RPT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N297] MESHVFVSFFLQFLRISTIFPPFSTFFLQKMVEPTGPEVVVDERTKALNNYRRKLAECRDIEQKLKDLRKKESELTKQFDKSENDIKSLQSVGQIVGEVLKQLSEEKFIVKATNGPRYVVGCRRSINKEELKQGTRVALDMTTLTIMLVQKSRFFRRRGVFSANFDLKIALKLAKNSIFTPQPSGFLGKRAKKTPAFPIPSEILTQFSIFRRQLPREVDPLVYKMSHEDPGNISYSDVGGLAEQIRELREVVELPLINPELFRRVGITPPKGCLLFGPPGTGKTLLARAVASQLDCNFLKVVSSAIVDKYIGESARMIREMFNYARDHQPCIVFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDSLGKVKVIMATNRPDTLDPALLRPGRLDRKIEIGLPNEQSRLEILKIHSNKITKHGEIDFEAVVKLSDGFSAADLRNVCTEAGMFAIRAEREFVIDEDFMKAVRKVGDAKRLESKLDYKPV >CRE22207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig871:403:3190:1 gene:WBGene00073773 transcript:CRE22207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nfyc-1 description:CRE-NFYC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NNX5] MNFQKNRPNAAQKKKPQARVVQTYPHGTINDAIAPPMFATMKEMTEDFWITRKRKMEALGLEEMRTKSKNMSVPMARVKKIMKIDEDVHHVFVGSDAPIFMAQAAEFFIEEMTAMGWQHVNEARRRILQKADIATAVQKSEQFDFLIDFLPAKQAETTADFQSKRRNSVAQKAGWNSGRSLVEKPRPLPQKATPPTVVPMFQCIQNETERGTVEYQIIQTNSDLPSTSSAGGTTSSNGFVAPIPKVPARKTT >CRE20108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig869:15800:17265:-1 gene:WBGene00073774 transcript:CRE20108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20108 MSPQSSEYLEPIIKDNFNISVSQVSYSGAVFWPTGRVRLSDFNWKHGFGFLNCIVLMQISFFIIIIMGAKSRIKIKELLKQGESKYSRELQIQLYKALIVQTLIPVLFIFIPFGILFTCPLFMINCEFLSAPLTIIYAIYPALDPLPILFYIDIYRNAAQGNRSHENK >CRE20107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig869:11825:14685:1 gene:WBGene00073775 transcript:CRE20107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-166 description:CRE-STR-166 protein [Source:UniProtKB/TrEMBL;Acc:E3NNX3] MTSVLILISVQKVFCARSFLSFFDNLNNFFPVSHISRLLHTHCSSTSICFSLCIMKISNEWNLLIQCSSLFCAILFNSILIYLIITKSPKKMGNYKVLMIYFSTFSMLFAVIDMIVRPFIHSHGGCFFMIMSTKNWPFSDNIAQIVLSILCGFGGVTPFLIAIHFIYRYFALERKGNLKYFSGKYLIIWFMIPILGGVNWFHLSWFYYRRNDKTTEYIRASVLENFGLHMNETVYSAALFYPPDENGVPRLDLYILLSYIILSISMAVPFTILIVAGALSHSKIKKLIEHGECEYTKRLQLQLYRALVVQTFLPVFLFFMPLGVLFTAPLFHVDIESWSYITTYLYALYPAVDPLPIMFIVEEYRNAFYEIFDCFRCSHPSKIEDNSNMYRESQTI >CRE20106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig869:3742:7080:1 gene:WBGene00073776 transcript:CRE20106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20106 METDTFESLKTSIQLISCAIAVLSNSLLIFLIITKSPKKMGTYRNLMCYFCGISLMFTTLDVIIKPNIYSRGSAFFMVMDLRNRMLPRRIEEFLMSVLCGCCGMTIYGIAIHFVYRYFALESPMLQEKYNLPIEDAAYSAAVFWPLNEKGEKEFHWRSGYGLINLVSSMTLSLSVVVVMMVKSWTKIKQLLGRLESKFSKNLQMQLYKALLAQTLIPVLFIFIPFGILFTCPLLLIDCEFLSAPITIIYAVYPALDPLPCLFFVDNYRNVIRDFFAKRNKAKVSVMTDDQVTRNIYSI >CRE17734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig990:2030:6400:1 gene:WBGene00073777 transcript:CRE17734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17734 MKKCLIFQATPLFVHFLMIVSVGAYAIFGALVMRSLESNTITTIEKKTDVHRRHLNLSNSVEIQNVPPIPSELRHRRRRRHNDTLDQFSEKMSREKRAAAHIMRSRKCVISVIKKMSSLECSMDTLDEKLVKALDECYHVAVEHNTHVNHVLYENSKEEVESVGEESEEEIAEWSFMDSLLFAFTVITTIGYGNVAPRTFGGRLFVIGYGLIGIPFTLLAIADLGKFISEMMVVAKTFCKKTWKKLKKAWNPNFIRYPKLSGAKDLSNTDIEEKILENEKIENEIESSETSEEEDDLTETEATSLFILFLLYIAFGGMMLAAYEPDMDFFKAVYFNFVTLTSIGLGDIVPRSETYMLITIVYIAIGLALTTIAIEIAADALKKLHYFGRKIENVGNVAIWFGGKKITMKALVKNLGDQFNLPTTVVKSLNLDHFVDQAIKVEEGEIETLRPPPFEPDSERFDAEFADEPESEWIRDPTPTPPPSPQPVYRLPSPKPKTPSPLPSPSITDKSMAIATPSPEESEDDEELILPSPEPSPIREPTPSPPPREPTPREPTPEPEPYREPTPPPPPPPKPRPLTAAEIAAQKRKAYSEEAWRQYQEYQKQWKKFRQTQKTPAPGASTSGASTSKQSGASPEGGGQTGTGPSTRSQSVTSVASGKTSRSATPESKKSSHPSSSSRRESGAK >CRE23253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1358:5947:10570:-1 gene:WBGene00073778 transcript:CRE23253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23253 MKLVAIAFLLLQFFYNVNGLTRVECADAVNHVRAENAEQLQWANVNKLLYNISLEKILFDQISEYNGCPPPIVIGLGGVQVYLNTNHGEDGGLEFMRNDTKRGLFGMTESTQLACAVTTCMEDGKPVFSVVTDYSKMPPIIGRPGSQCLSGRLANSKGLCYLQIEIQNVPPIPSELRRRRRRRHNDTLDQFSEKKLKKAWNPNFIRYPKLSGAKNLSNTDIEEKILENEKIENEIESSETSEEEDDLTETEATSLFILFLLYIAFGGMMLAAYEPDMDFFKAVYFNFVTLTSIGLGDIVPRSETYMLITIVYIAIGLALTTIAIEVAADALKKLHYFGRKIENVGNVAIWFGGKKITMKALVKNLGDQFNLPTTVVKSLNLDHFVDQAIKVEEGEIETLRPPPFEPDSERFDAEFADEPESEWIRDPTPTPPPSPQPVYRLPSPKPKTPSPLPSPSITDKSMAIATPSPEESEDDEELILPSPEPSPIREPTPSPPPREPTPREPTPEPEPYREPTPRPPPPPKPRPLTAAEIAAQKRKAYSEEAWRRYQEYQKQWKKFRQTQKTPAPGASTSGASTSKQSGASPEGGGQAGTGPSTRSQSVTSVASGKTSRSATPESKKSSHPSSSSRRESGAK >CRE12425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1216:139:5992:-1 gene:WBGene00073779 transcript:CRE12425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12425 MVRETKAQADKKAADVERKRANRKQEHLNSGGDSQEPQKKRTEMSQEELRVYWADQKRIKREKQRSLQSSQLSACSQETQLSETRKKRSEMSQEELREYKAQNKRSNREKQKSLQSSQLTVCSQETQLSEGRKKIKDMNPEELSNYRRQNREACRKKGRTSTTSDMELSQRTSTSDFNFQHNSQFDMESLHSEMSDVFDQPTSSNAAARIGRKRMMSPQEEEEEEDEIPSSQMSTYMPNWSAKEKEEFKRVKVRYNTRSKKALMTQPSLDSPNEDQSSVAECDVAEPQELPEQQFNCKIRFALVDLLQVETDCIVFPFCGDLDLTQRTKIYSQFWRKMCREIDEQEEYKEFLEEECQELEEGDIAGFQWQRLGRHKMCFHIREPVSEKNKYTTVYEAQLRAAIFKCLYQADRNEYSSIAFPIFGHVENRLKATAITLQTIWSYFQVVRRSNLKLVYLATKIAPLYDGIGRSMGYIREIDLSFWNRQHFFQFEQMLFDKVKYEVIYSTVPGTDMAMRAFKFSLESKKVKDQKEKLKNLHAEMCSMTGLPASDFVLTRDSGRRLPSSIHLRHSFDFDENSLEHKTRKEMFNKLKNLHREVLLQWKRTVRNVCYKCKCTKPDGYHENLSYFNTQMSHPDIVFDQWILFDKCILVDGEDVMNLQNLSIQTSPQKSEEKEKVMLKLASEQEEVVKEKVLEWFKNVKEYTRNRTRNFRAIIEHLDLSNIRTGIDDDPAVFDDLEEYDEYLDRKGDGGEENDALTEAEVIYRMAFGMDEIQKAAEEVNRCLRDVALKRYFEHEINEGHLETSLRHSNNFLRVVGFLITLFSGMVRKNKYALSPQVVELWKTENDRTCTVDEFIAAEMKEMGKKELDLPQHIDTTLDDTFRMFSRYPKPIDLGRRTDKCYHCGALSFPRERLKSCCKNGRFWINPVKKIPPAITQMFQEKFRGCLISANAAFSMASVNYNRQQQKAHGVQSMKVQGVVTFLPSAIHPRERANDTLAMGEMCLCSRKRGCPKHHVAVANQYESRRHFCSATRIDHLSRKIHPEESRNRRFQSTRTCATQWVTPLLFP >CRE07124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig934:2381:4693:-1 gene:WBGene00073782 transcript:CRE07124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07124 MSKILSAIGWESDLAKTVKQAPVPGVGAARPHTHSVCLVHSVVIVRWQPEAPELIGTTMAIRTRWRATSGLVALSLAGAASLALATPALAAPALVSDSRSATFSVGDLTYKTNGTTPESVTIIGPANAAAAITIDATITYDSATYPITTIGVDAFRNSGVTSVDARNAAHLSAVDANAFYGNSSLASVSFPDGLASIGSNAFAGSGLTSLDLSRTQLTTISDSAFYASRSLTSALLPDTITTIENGAFNSTGLTSIDLSNTHAATIGIGAFGSSPSLTTVRFPDTLTGIGASAFYSASSLESVSFPDGLASIGSNAFVGSGLTSLDLSRTQLTTISDSAFYASRSLTSALLPDTITTIENGAFNSTGLTSIDLSNTHAATIGIGAFASSRSLTSIVFPDTLTGINADAFNSTALTAMDLSRTRVTSIGASAFYGIPPLASAQFPGTLTTIATGAFNSTGIKSLDLRGTQVVTIGDGAFGSIPSLTTVTFPDTLATIGTDAFHNSGLTIADLANTRVAAIGDGAFSRSSSMTSAVFPETFTAAGANVFARTPLISAVFLGNAPTTFTQNGAAGSLGDNARVTVYYKKMHGPEKNGAFTNEVWAGYKSQQFPELTYDAHAQEATGATAATDAATAPSSFRKGVPAWNIEVAPNGFTRGGHTFTGWNAQADGTGASFLEGASFDLPAEGATLHAQWKRDSAGGSGGGTPDVNTTPSARGKVPAPGALPLTGGPDVLPLASAAALLLATGTALFVLRRRSTNNLQPPAAVTQEN >CRE07123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig934:6629:8917:1 gene:WBGene00073783 transcript:CRE07123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07123 MTLRTIPTPSSAHAIQPAVGETRPAPPKRYRPRLRFRGVGMAAVGAGLALFLGLSSTAATAAPTPNSGPTAGGTGITLEAPGGITFTRASAGAWYSLAVASNGDTYAWGANTYGQLGTGNNDASVTPTRVQAPEGVTFTQVSGGEYHSLALGSDGNAYAWGANWNGELGINSANGGSNVPQRVHAPSGVTFTQVEAGSANSLALGSDGNIYMWGNGTNGILGNGTEEGSTVPVRVHAPEGVSFTRASLSSFRALAMGSDGNTYAWGYGVDGLMSSFLFLVVSLLESDQFSPILF >CRE07097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig574:2184:7906:-1 gene:WBGene00073784 transcript:CRE07097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07097 MQSSVSLSRHTLFKVCPLSFTSRQIVSTSTFASRSIWIRSIVPGTNGKNLTTRESWVRSRPRSRRNAKTAGELIESSDHAIALFEDPHAEHITTHQQELVDAEEILQDLHKTSAALQNLGEYIITKFSDPEMQASPEKEEYMSDVKNHLAQAHMDEIILLINHNANKLKIILATNTPSIENISLNPENSTMSDDCDHGDKHQSPNENSAHSEDAVPTDHRESNSSQSSTYHETSSDLPNQLPEPTSINESMLKQAETGNRRLQEEVQRLKLNNEKKLLAQMATEKQRLELEKERLLRQETQMDLATARSENHSKILTNIEHTARHSDPTAISVQTDSNQNIAKDAIVQSSATPIAPTPTSQPNPSYIKDNNTTQANPTSQEMLLINVMNKLSSIEITQNKTNTAIFAEMAKSQAKLETLVDKKLEQRLRDLIKAEDASVTPDGDAENEEHFIEEYEKQGCTADEPSGTSRSNTDKRHRNCSRSRSPSHSHSRHRSRSSHHTDISLDTLIQHIKAFDGTGKLDIFEKTFANSVMKHPKLNDDVRYAILTTLVKGEATPCIDQSTDSKSAIETTLKNLREVYGKCNDKYNLLTKLKQLPFHQSNTRQMRLDVVTHSVVLRQLREKNMSEDDESAIHIIVGKLQPAIRAKLASFLSKMGPRVTQTQVLQRIGQCIDNIEMENTIMSQVTPIAENEVPTSFASIHYARASSYPQNTNGQPIERSDERPQSDRPLAYNPNAYRNSFYDTATKAQLDGIYAPGEKGVNLYLLARSFPFENEEANKCGTCDGMHNPIRCKLSSTAFRKAAAKRGLCPICNFKHDITTCKTRRCCGYCGGLHHMGGCPKKDFFWLLELTAHIRGIPADTGSKVPNPVSQADLPTALCNTSYSKLSAISPLQTAPVVDQLTINCPQTVVTNTFEEYEIYRFVQFVSRTSPPHHITTAVAENIYHRLTFMCLETLDNQNILALVDSGGSLSQVLESKTQQLRLIVLTKTQLSLPGRDSRTNNDSHIVLISDSRVSLKFTIAGNFPAQKKLVRIVTIILSTFSKTLNRKQWEKLLLKQFTATEDPVHQAKVARYLIIRKHYTDTEFIGPKLPFSWSFYKDSSDLYRVDNPVLSQEAHGTILHTKSTETCLRTILRTKYWTAQDEALAKSVTKKCVACNIANSYPFAYPITATLPKCKTTPSKQFSKVDIDCYGPVVYQNDVDKSFKRADGLIYTCSASRGALLRLVPDAIPSETYSDNVGTLKLDAAIINKCTEYFEFSQTLIWFSASESITCRYTTHLAPWQESIYERIVQIVKRQVLSNLLNRHIWPLGVTIKVNKSERDGEIRSAIVKCQGKLIERPVCHLIPLELKSLNHQCQEDKREGTESYDVEVPAEQEPASAENTLSKTALPTTLITLDKKYAPKLFRKNVLPNIAETSAHPADKGTAEDESEDYKQIVITPGESTDLESMTLLEDSYSTEGGVYQDPQNTLPNIVRDYDAENSPAGRSRDYNPRRTKATHINYVHIADIKILSRPSPPECCQLYHAKHSFDNLKAL >CRE07098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig574:8761:9990:-1 gene:WBGene00073785 transcript:CRE07098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07098 MGRRSNTKSDEKSDDNSAATSVKILKRAPNGEHSQETLPAVEGDDSNKENNIVISPKRQKTALVPEVQQEEVVMILSDEPEQTSMDHDHWWQDYCSEVMNLRKDVAESNEKLQSLIEMKQTDGIPDFITEVADLAGKVDEGMTALSDHKAIVETAMNLNSTLLAKYDQLAQNFSTLAKAQQKWVEEQDHAKKFNSIIELISPLHEKINTIGTFVSGVVAASQRPLTDGKERDPSRIPLKKKYCVFCDKLTHTSEECKTVTGYDDRRAMAKQKNICVKCIGQYKEEGQGHVNCPQQNIVCPRCSAKVENKAMSAHSETFCFLKKEQNTAKDRPNAPPRNGLYQVKHAKDSSHENEMFQKRSWDPKQYASTSDKRH >CRE22211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig911:9428:11140:1 gene:WBGene00073786 transcript:CRE22211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22211 MFLFQFKLRGMSDHEDASRGASPASSAASSPLAPVSPRSDINAPQSPTGDVEDIYKGEDELPEVPESPGASSPVRRSPSPASSGPQSPSSPSPIKTSKVVIDSDDDSDGEGTSKKRTLLDSDASDTEVPKKRIMFDSDDSDQEEDSSKKEAARKNLFGEDSDDDDEDRPKKKDDDLDELVKGNIEEEERQESRPVYDSDDDDDGPRRGRNNFEWDFDKMLAEKKAERKKKTRRGKDSGIDIINDDDGMVSRLTERMKHAAKSDRNANVERKPAFQKIKMLPEVKAVMLRAGIVEVLIENGFMSALSEWLAPLPDKCLPALDIRITLLKLLHNPRFWKLDRSTLKQSGLGKAVMMLYKHPNETKENKAIANKLIGEWARPIYHLDTDYSTVSRNEREERDYARMPEKRKKKLRSREEEPEEEETPKKPRIRDADGLGSTKSSDLRPGDKGYINRARVPKPSTKDYVIRPEWKVAGAFKGEKKASGSNRYDQTLRDFQERTKKSKANRLVKVSLEGRNMGI >CRE22210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig911:5212:8594:1 gene:WBGene00073787 transcript:CRE22210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22210 MNILDGILKTSAAVPNAIFGQNSFMIRNVIEDQGKVVFICLITVLFSILPVGYYIVLLNVPEKVIQNFIFDNFENIFGLKLSPTEESLVWSLTVSSQGVGALIGCLMVGPISKYGAKHVLMRWNNVILIAGSLLMFVSYWISFPIAFIIGRILTGVYTGLACAFAPLYLQQVIPKNIKGSMSCFLHIAVCFGSSIGAVFSLPFMFGDEDTWPILVVLPAFFGFVMLGASYFIPDTPNNLLQMGRYTEAIESIKFYYDIEDSDEDEIIKEYWDMVPEMPEQLSLCSAFMNSSIRRGILLGMVVSATQIFSGSMVSISYSTEMFRAVSFIDILVPFLPALGSIISILLTIPALRWVETRGRRPLLLKTLMFCIAANVFLLIFTLMSSEKTGWASWGFAAAFFMYGVGYNLGVGPVAYFLPAELVPPEAASASLGAAVAVNWICTITTTLFYYPLSKLVGGWSYLIFIIPTSLFSMILWRLLPETKFHYKIDPLEIRLLTDLGPSIAPNYGTLDLDEPTLF >CRE03534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig890:33:653:-1 gene:WBGene00073788 transcript:CRE03534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03534 MFHVGVVTILLGGLGATTASSAFAAPGGLSPEAGPAAGGTVVQIPEPSTAKTRIALTEVSAGTEFVLGLGSDGNMYAWGTNLRWQFGNGTDKESAVPVYVPTPAGVKFTKIGAGSSSGVALGSDGKTYQWGNKHFAGGPGSSPVPEAVNTPVGVTFTDVSSRGSFALAQGSDGNTYAWGTESNGELGIGTDIVEGNGPGVTTVSPTK >CRE03535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig890:4383:5831:-1 gene:WBGene00073789 transcript:CRE03535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03535 MTEHEPAPTSQTLAIETRTIDVVPDAERHGSPRSQFTLWFGANMQITAIVDGALAVVFGADALWAVVGLLLGNIAGGVVMALHAAQGPRMGLPQMISSRAQFGVRGAAIPLVLVILMYLGFAATGTVLTGQAINKIIGTDIPAVGIIIFGTLTAIVAIFGYRLIHVVGRIATVAGVVGFTYLAIRLFTEYDISAHIGVVPFSAPTFLFAIALGAGWQLTFGPYVADYSRYLPRKTQEGTTFWSTFAGSVIGSQWSMTLGALVAAVAGDAFLGNQVGFIGDLAGPALVAIALYLVIVCGKLAVNVLNAYGGFMSVLTTVTAFKGRTQISQVSRALYIIGFILISMLIALLASAEFLTNFRNFVLLLLMVFIPWSAINLVDYYLVSKERIDIPALYDPNGRYGAWNVPALLVYAGGVLVQIPFLAQTLYTGPITHLLGGADISWIVGLVVTAVVYYFVAKRTSCPPDQMIYPAESHMNDLNANN >CRE15182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig987:6081:8278:-1 gene:WBGene00073792 transcript:CRE15182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15182 MNFSVFLLLVLPSVVVLTQFGSGEEPTTQETVPVDYSTPEDPVDDTEPPVVDSIPKVEEESVVVAEEFPDTEKLFGIPNNLWRCGSGDFVTKTVIGHINNTCPLAAAEFNHCCAVHDDCYDGQRGQKHCDRQFCECLEYHVATDPNAANCGNLTKMVCPLLSTYGRFAYDDSRNAKNSSVTESPVPAESLKVPTQIPHLSEPYVGIYARCDEQHATIASCALNNDLCYRTPRAEPKEQCTVHLIRCLDDTRFNRKPSKTCDLAIDEYLWKLIRFGGGSEDTKETLQDEDKKEQMNVLMMQEILTNKTLVRNIYLQIVRHSSSLGWLSCLTFLFCVFSCCGIVIYAFSRCGEEEDIRRHDEVINVHVTSSASEAPSSSTMSSMKSSSSTRK >CRE15180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig987:3335:5419:1 gene:WBGene00073793 transcript:CRE15180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15180 MDHTGVEEKYSFAACLPYQNNTVLYPYSTDIDYWTYYWGSDTMVGYARLYGAMANVQFDTKQEEEIEYHTDWISLNVSIYSHQPDPSLGYGDKATGSNLYNVLKKFLNNKKYPLCGAEVLVLAKRYPDESDVSDIISQLRANRVMVYIAVDSIPSGGSNSATLYEMSFQTNGYCAFATGRDLYNAFYDMTWILGTPYQFFARNFVVSGLGRTFKTPIPPGYSDWGATAITVQNHTLDNSFVSINSTFESTDGSYALKRFTNNLFELHNQL >CRE15179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig987:635:2196:1 gene:WBGene00073794 transcript:CRE15179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15179 MSFGSAKRSLPKFLNYFQSEFQAVEFPFVNKVGENSKPNGIPLWFGKSISGGRKVTWEEIVPDWNRTEFCDRYLDNETHIFKQFKQHGYTTLQMEDWVETMIDSFPSCQGFYERPADHIFRPFSAFRAKYGMNITRKHLNGKLCRQNHHAIMDLLQQAITAYSDRPLFSWIWLIDIGHDYSNGPYRMDQYLIDYFENNRQVFDDSFVFFVSDHGFRMGVMTEIGLFERSNPYLAISIPKEYRDEKNGMLEMMRVNSRQLQTHFDTRATMLDILMYQPYTNFMDRKLISIPNEKGHSLLRKQPESPRTCGTLPISKQYCICQVEKYKVLEESLMTRFGQKVIDHVHELLDESNFTSICHQYELNEECILELTVPSLIEYGYSTLWNTYEIEVKTAEPSAVHFQTMVTYDPKTETMNFEKVVRLDKYGDTADCTASVRLEPLCHCKK >CRE22220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1111:3536:4708:-1 gene:WBGene00073795 transcript:CRE22220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22220 MSVPLPSPAPLPGIHRNESYINFEVSFFTFPMLLLVLPIFYMPVTIIIILRIFVKLLYAIKDKNVNVPLFSAICISHIMASCDSREVIQQINFQCLLFFICDFFYVRLMTSGVFTSWCASVLPNRYLIILYATTFYFNYANMLFPFLVSTMRLILFAYPQNQAKVLTRDDLRCSVCLAILSTFQINRTILRTALPIIFIYPFFSIFFMFSAEGFCVQARSPFPFGSVVIAFRGSLFELRNNYFLLFNNLFWMSSCLINNSILLVKLVQLKMSLSFQARSQKSYKAEVSLTFTTFSMIFSYLSNSMIVIAAQLGGELSYYAIMLRPFGNDLETCVVPWVFYLTHPIFRRKTNTLRVFP >CRE22828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:71704:73622:-1 gene:WBGene00073796 transcript:CRE22828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-suds-3 MSDNLSGRRKRGRGRERGGLRGNGRKVETEERKAKELAMTEEEYQETKRKLLRIAVIAETQYNELRKQLKKNKEQEYLEYKALVDRGECPEFLLGKAEADEKLKKKLEINETRYELKMAQIQDEYEAETAINETQHDDQYAYAKDRFITFFEELIEEKTKRQREIGLIMGALLTLPPLPTPTSQRPIFVEDVDPNRRQTRSRSKAMRNEEEPSTSK >CRE22778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:70173:71206:1 gene:WBGene00073797 transcript:CRE22778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22778 MYISWTHFYIPKLFAGLAFLVNPIFVYLIFTEKSNKFGNYRFLLLYFAIFNLVYSMFTILVPISTFSLILGFQDIHSYRYCFFVFLSDGWFLEASEIGFHLLSARCSLISGSYAVLLTHFIYRYLAIRNSFIATRFKLYMAGTLILFLVYFGTWNVIVQTLGWTSGEVNTYIQTEFLEIYGIESPNVNIISMLYNVSTVSAQSNRAHLQEASSEVILRSWVVLISLTIISLSSILSYFVLGYLTIKKLNENAIQFSQKTANLQKDLLKALSVQTIIPICVSFCPCVICWYSPIFNINSGR >CRE02766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1681:4037:4523:-1 gene:WBGene00073798 transcript:CRE02766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02766 MLYNKELMNSLVQKFKTISDSINSPNCSTINFVKYFLDGTVFIGDQIYGEAFACLSEEDLETKFTDCNTGMVPKDSDVLEYLKPVSYCVTHKLECSPEDRKHFISAVYAGADLFESFNNGREVLKKMESNKLTLKFLPEKYEHILK >CRE14081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:183784:184527:1 gene:WBGene00073801 transcript:CRE14081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14081 MQTDENTTMPLARIARAYYQLATKTSRYLFSDSRQRIVAYIIIFLCFLTPSSTSFVLYQGTTAKIEYKDVNMLKEMRIEDRKVIFEFCNLVVKFPQLLVKKMTHEQVRKSKPICEQLLMGIAKVREQEARAHRAHTRVLS >CRE09925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1619:4151:7266:-1 gene:WBGene00073802 transcript:CRE09925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09925 MDLNAFIKYANVQFNMDPDCRWVLWGAGYGGVIAAEARKWYPDTVAGVIASSAPLTHQYDFWQFNSHVAMAIAQEGGSLCSQMVTQGFADIRQAMRTPEGRSNVSDLFQLNPRLDQTNLNYNDIQQFYLAIIAPFQEVIQFNNDFNISIIDLCTSIDKSGWTPMQVIWQAWVYFSTTVTGSVQPLVTSYQAVINDLGDQSPNAQFIDQRMWQYQMCTEFAWFYTTNSDEQGMFGAVVPASIFLNQCFDLFPDSNLTPTSIRELVINYNNFYGSAYDYSGTNVVFTNGWYDPWNTLGKENTADFSVVAYLIPQGSWASDMFPGDSDNQFIDVAHRLMIENINIWVNGPRNPRTFVNTTVPWTRPYWGEFGEVGKKLAVENTESKFAKLGDDVPSKKTFPQPKYKKMLFGRPPHGFLPEPDYNKDATYPPGFEQGTFRQKQNHFSNQDPNTFQQKFFKNAQWAKPGGPNFLMIGGEGPEGAGWVLNQDITYLTWAKKYGATVYLLEHRFYGDSVVGDNTDFQLLSSLQMLYDLAEFIREINYRTGTSNPWITFGGSYSGALSAWMREVFPDVVVGAVASSGPVFAKTDFYEYLMVVENSIRTYDPTCADRIQSGFNTMRTMFLTKEGRKSLSDLFQLDPPFGDNVTDTDQHYFFSNVYGNFQGAVQYSGDNAGAYANGYGIPDMCKIMTNDDNTPLNNIVAFNQFMSIFYNGGGNYTGMDNSYQDLVNYLINAQSFGPDAAAGLLWTWQTCTEFGYFQSADTGNGIFGSPTPVNLFVQMCMDVFSSYYQRNTIDSRIDYTNYMYGERYHFRGSNVVFPNGNVDPWHALGLYNTSTDPSVVSYLMNGTAHCADMYPARDADVPDLAIVRNRIDQNIAIWLGQAAAATTTTTTGTTASPGTGSTASPGTGSTASPGTVPTSTATTVQTTTKSAASSTVILSFIAIFARYLL >CRE16529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig945:1078:2381:-1 gene:WBGene00073804 transcript:CRE16529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16529 MMSSPENAILICRVCDDSNGQPHYGTICCPSCKGFFRRVYMSEKKFECYRGNMCVIQKGTRNICRACRYKKCLQVGMNVKDIRGKYTSPSTDCEVSSSAESTPKSSKDTEMGVAEAMEMAQVYMNLEKYCENNYCESNMCREEENQLIDRMLGSNVLDLIQKTSPQCPRFKREWKPLEILNFENFCRSWSRSIVHFLDFATRFPVFNQLSPTDKVPIYTSLKSQT >CRE10030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:426936:437352:1 gene:WBGene00073805 transcript:CRE10030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10030 MRDRFSIGKAIAAAIAKRQMPTVERVSSRHAFIPSNSQNSLLNKYSFSFSLDTETLIFRAVMDADYEGGMPNCTYRYPFPPEEDTISAYALLIDGPCTCLAALLSLIGARYAIKFLCRAGLNKELTAALFALCAIDSFLLLTVFFFYGIEAMSLLFFRTNIMYDKQDFTYNLHGIASSLTTASTTLVIYITFLRFMVVVRPLRFATSMASNHRRTGSKAVRKGSAQLDDSVTNTTFSRGTYNSSSIKRHFNIREVIRPFYTPFLLILLSFSVNIPIFFEFTTTKCFDVEHNVEATNPEPTVFRSSFAKYKAVLMTLTQTIGPVSIILVLSCLTEYKIHVSLKARRKLFESQQRSRSVVLSEELKERVSRTVAVFIAVKFLIFRTMPIFFDVYENLYGIDGWGTVMSIMVRVSDFGIVLNTATNSLAYFGKKQWIEKRLRLRLMKKEEKRNAKATLSVHGNSLNASMKKSSSPPKIGLPHPLSAEKTPLNSKNIYPEMV >CRE10199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:438943:439304:-1 gene:WBGene00073807 transcript:CRE10199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10199 MHSISLIALLVLIAGASSQCFGGNCGGCFGNNCGPRVSVIRLPNNNRCSCNPCFGNGCAPRCSYCPNNFGYSSCCNNNNFSCCGYGYRYRRQAISAAASDVSSN >CRE10200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:440022:441275:-1 gene:WBGene00073808 transcript:CRE10200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10200 MWPPIVEDQCNYSYQEHAGLNPHDHYHHHHYPHSSAHHTECYQTLSCPSDLPIETSYYNNMPATYQDLGQTDLSPQFWCAEVDCAHERCATREIPHEQSKIFEEISKECDHILNNSDECEKCKVQHEDGAHEAIPINDLVDIVMQTVDNLKKNDSSQEETKMLSRKREQNKVAAARYRDKQKAKWQGLLDKREAEEKRNVRLKRQVTQLEKEVAEARQAFLLKLSQK >CRE31591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1007:8546:9607:1 gene:WBGene00073809 transcript:CRE31591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31591 MGIDETLVEKIIILEVIVPSSNIELPISRDEVNESNKSADEVEQQTEIRSDEDDNERDRNVNIPSNRIEEEEEMNSEGENKGEEENEEAVVDNGRDENEIELTEEDLEDLAKDLGKGVFENVMNKASEFGGITSSPYSHKCFILGSKWESEASDDDNNNGVDPNSIAAQAEMPSDSRNSEVEVVEAEEDLDGVVLPDEELSDEELARIAAESSKPKEPVVPRAPTPSSRVRGGRIGSGVQPDSASQHNVLIQFITVALVFLLL >CRE31592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1007:7431:8222:-1 gene:WBGene00073810 transcript:CRE31592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31592 MNTITQLQQQLDASKAEIESLRSDIYIKDRKIKHLEIKEKYGYVKRTTARRHLGLEVTQLEVNRLNEKIAVLREESIKKDDQLEFHKSRNEKLCSDLSSEREKVEYLTRSIERNFKSSGDGTDDSTLFFLSSQLRRTRDELKNKEEELTTVRYENLSCSLKSFRETKRLENEINELKRDNELLRNQMKDLQKEMNAKEMKSKETIRQWSNRNKDLQIDVNLHKMTIEELEYQLADLKEDCENQTEWNHNLKSMEKTIKLLELN >CRE31590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1007:5923:7266:1 gene:WBGene00073811 transcript:CRE31590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31590 MRFDFRTVSRICLLLIVVFSIESEASIIHKHKAKVVRVVRGNAEEKTRLADGTKFERFLERDVPPLPIEEEEADDLNDPRIGHLDKDGGIAVPVDSEGRVLKGFEYLLKSIEIDLDDEEISRSRPSGDQYEVSKDSENGKQKDYKPYHNSQDLAPKPEFLPDGQETNGEEYDDMASEPRESLPDIPDLPKVEYSDSGELDEDLKAEDGAGEDDDDTRVAEEDLSDSERRIVGGE >CRE31589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1007:3355:3619:1 gene:WBGene00073812 transcript:CRE31589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31589 MGKTIMASCSQIEQMGRKSVETAGGNLMDQISDFTDGRLVAKYDEAVVEFMIELKKDLSEGRTPKSIVVFN >CRE31588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1007:1602:2612:1 gene:WBGene00073813 transcript:CRE31588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31588 MSLKRFPNAEVVPSEILGEKLKFPNGRTAQNRFLKAAMTERLSTYSPEDLKKHGLPTEHILNIYDKWGHGQFGMTLTANVLVDPTNLEAVGNAIIFKEGDSSERRALYTQWAQKMKQDGSLAIVQLSHAGRQTPSYVNPSPWSASDVQLKTPDNQYGKPIGLTLEQVKIEVIDRFVYAAKFSFECGFDGVELHGAHGYLLSQFTSLTTNKRTDRYGGSLENRNRLILEIYDEIRKEIPSEDFLIGLKTNSVEFQSEEPPWKMPKKCVERMKKEIRFCGIISGTAEKFSSIMKEIDEEAGGVL >CRE31587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1007:498:1159:1 gene:WBGene00073814 transcript:CRE31587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31587 MPSFCVKLMRRRDLIFVEMTGGTAEKFMFAHEGESTTSILRGIRPVFKNTVVYLTGGFRTVGAMVDAVQRNTTQRIGLGRPVTAVPDLPKKSVNGNVPSAKILASRSQLEQMGRKSVRKVVGNWRRWKCSETCR >CRE18304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig803:1500:4526:1 gene:WBGene00073821 transcript:CRE18304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18304 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NNG8] MIQDNHDVVKGAGVKKTGAKNSNENLKINQAQPLSYQERPKQHQLSGSQEKLKFLPSVNHEKNQPRSGEKWSHSKLYPTSNLRKQLPPKTKNGAKKRGSNEKKSSSIMKSISRDEDEEKAGGMLERKRSVEQQAEMEDTLKLEKKVSTSTIPAAKVKVEKEKEKGKKKEEEGKLKKKEEEKEKEKEKEKEKEKEKEDEFASDKKDDTQKEREERAEMIKKWASHVMLSNPTQLLREYKAVSADAPDAECVFYVKNTERNRYPNIPCYDRSRFILPGDESFYIHANYVKVCSRPDRFMCTQGPMEHTMCDFWKMVIALESTTIIMLCAFVENGTDKCSRYFSEAIGCVDLEDVKVFTESCVEVECGSIDKYIQRHLKVVVKATGKEWKLTHYQWATWPDQGMPESCEMSLRLLSTVRKNKKPIVVHCSAGVGRTGTLVFIESLISSLRLLKEPGVKETFAILRKDRAKSVQTFAQYVYALRCVLEYIFSKGVQKNEQEWAKFEHTYAKIKAKKGKPQLNEKKVKKNSLSQENSETNLADPAAKKLTPTQPAPPAGSEVQTPNPGDSTGPSPMTSPLPSPLTPSTSTVPSLVSPISPMTPPTHSSKIIDLTLSMPPPVSKPSFQFPSNE >CRE18306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig803:166:1213:-1 gene:WBGene00073823 transcript:CRE18306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18306 MAPFCLLRLPLLPVLEVVKHMKTKEMYNFSRCSKKCQQTVRNAAGNHFELRLYFWTENEMILKSKKGIENKIHFSFENFPVIPWSWTRSDNSVRRFPYKKYSGLYIKEKELIKYLVETFNCIIGELRFHCDATQNVFQPLLNFFLQSQFEFHLVAFDEGIVNDEDFKYLMDNCKMKELDLLCSVAVLSIEDFKIDVFCLRTLYSDWVTQNHLLKMTFELGYIQINCNMKKWMEIVKAWMNGWNKRMKFALFETTRFDLDLADQTIENVIPGKRIDKEVIRQYPMISSDLNFTRHSSVLRGGYDFQRKEDGVLATITQDSGPQKRYVFAVWQ >CRE04422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig891:7396:13503:1 gene:WBGene00073824 transcript:CRE04422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04422 MHLKTNLSKCIPDRRCLVCGDDKASRHYGTVACNGCKGFFRRSVWENRTYICAGAKDCDVEQRFRNRCRACRFQKCINVGMDRKSVQSEREVRLPKDTVLKPKASLKAKRASLQMSSSVTSSIPSSSSTPDVDSPSIVKELYSIQRRVETTFCQEFEDLVQCFGTMCNVNITLKSALENPEKVATRTKLEWGNTKRLATLRDLTVTWCRTFVWFHDYMSSFIELDRLNYLDRTILFKLRFAPVSWMLYAFQSYRHNVEGVTFTNDAWYPNDKEKQTLMEKPCFDYYNRCSGTMMYDLVNKMKQICMTEEEYSCMLAIILFRSDYRLSPDSNHVLASTGDQYTQALSELIMSRMEDKDDLQALDRLATLMCMVTSCQSIARTEDDNVTFLAIFNMADLVGLPYEVHSALRQEEPPHGYALMLNIPLHGVTPLKWRNPSPVDNDSCLVCGDPNAKRHYGAMSCNGCKGFFRRSVWEKREYNCSFGDECIIEFKYRNRCRACRLKRCITVGMDREAVRSERARKPKTEIKMEFDIDGIKREIKEEPLDSDTEDECPQLLDIKPDFSRKTSTDGIIGYMISEILWDNINRPLITMEALRFNWCRTFTLTIDWFETLPEYR >CRE23803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1037:6018:8590:-1 gene:WBGene00073825 transcript:CRE23803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23803 MKLDWFLILFPFIVLIISSANAACSSDTCPPGGLWSVWETTDDCKTKCGACNSATYTRTCLSTSMNGGCACTGNTTISMPCGTQACNYPRYNGSGVICCVGSPMIINNWFQCGPLKTNSTLACCPTGGYWSAWGIWWSRTRTCLSGELACPCTGEVIQSKYGCPCPAISTITTCDSTTKTPFTVRTPYYSSSDCTATIVFEATNFRKEFFFTNGTKDWSTLGWIDSTGKCNVQDASGFGSATSKAGSFYKFSLLCNTTTGRFYGRFHEANFVDVVSIGQFY >CRE23802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1037:3154:5692:-1 gene:WBGene00073826 transcript:CRE23802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23802 MYWSPLLLLPITILAATCPDCPVGGIWSDWATTETCNATCGACANRTYTRTCLSDAMTNCKCDGPKIQSMPCNTQACNWPRFNSSRENCCFNKTTMTIKNWVHCVPLPDIYALPCCPDNGYWSTWSGWSKVANQASWQRTRVCLSGGHNCPCKGEAVDLKHSCPCAPVDVITNVTTTCAINDDKNDPFSVRKPVFLGSRCLTQVVIEISSFRQAFWTQRSSRIFEGRIGWIDSTGQCLQATITREDVETTQATTINQLILKQQYEIERQGDHHLPKALHRHPFIKKIVRNHVRVLVYYGDTDMACNFMMGQQFVDQLGLRRTLKKTPLKFDRQIAGFKTLFDGLSFITIRGAGHMAPQWRAPHMYYAVQQFLLNHPL >CRE16537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1145:3468:5501:1 gene:WBGene00073828 transcript:CRE16537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16537 MHPSTSTPRLIRVPLRHVPCPGSRVDPGTTVPSPTSQVAPGTKFSPSHFAPKQYKTKQTQVTPNKLEGFMQLKMTQTEAEEKTETATVGVQTSSEKKEVLVVTAHDHFKKKVEEVYMRIGKAFATLKPEEFPKIQTSKILANSGEEIVSHISTVVKTIKEILGDDAVYTMFHAVNNATASFSGFHRTTVTRYCKNLPIPAKRRRMKDMSKKERSRKMASRITLCERSKIVKQVHQYWERKEKVTAEAIWKWAKSAIQYRYGLSYFRILLDGIGFCFKKHDRMSVIQERPNVISARMQYLTRKKYLDDENAFFAAFDETWAHDGMGASTGWQHKNGTMYKKMAMADVGAPVVGPEKPKEKGKRGIVLAVLTELGTLPGSVEFRVSGKKVDDQLEDYHKEMNSSACEEYMHKVIPLLAAAAAPTGRKPVLIMDNAPYHNRTRVKPPVSDSSKGDIKKWLTEHNIAFPSKALRPALLKIAQNFVRNNGGREAFTVYELDAWAEEMWGVEILRLPPYHCYWNPIEFLWSQTKENIRSMGNRDDKVSIVENRTLQFLRDFKAEDAKALFEKTRRDENDVREMMLEKAKIIEDTDFTLLYETDEHGRLVNIHIDDSEFEDDFSQEDISAEVCEEHECSDIDDLFDDVIDDVFD >CRE10611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:1045:4586:-1 gene:WBGene00073829 transcript:CRE10611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10611 MEPPKVIGYILIAAVLCTLGYTAKQAVVSRKSKLCGNAECGGKNWIFSKISNFFAEILFKARVKRVMGVNSDPSFLNLVENAVISVVAVKFSDRPDIMEGKLNDEGPSGFFYAGAIDIMPFAEFLRAAIDEKKEMLMISQNPADIGDKRIVGYVHSETDLVRDYNAKNAQAAAENGLPPPEALPIPEPPASGHGHSHGGHGHSHGGHGHSHGHPAPTPEPAKAPEMTPEVEKSAENAPKLTETAPESAENAPKLTENAPDVASDAVKSPSPPPPNLNNVLGGKPEAKIGLSEMDLEIERMIREEEERMTAGKQEEKQEDLVLKSLPESLKFEQIQPEVVENAPEVAPKVVTTPESVTTTTLTPEDVPPPPITQATPTTAPVVLEEPAVPTTTPPPLVLPEEPVLTEGLPEEPVAQTVPVEPPVVAQDELTGVCYKENCDGLQTPPPPQIVVAAETAPEVTTTTPTPESPESAHLHHGHSHDGAEGHGHSHDAPEDVRVPEVVPEDVKAPEDVKRSPEEEEFLKWENERSKREAAPYEDAQSHQFQHGLPPNPPTSAGIFDWIRSALSLGNVTDGTVLLYINLTFFIASLVFYVIVRSLSTGGDSDVLDRQ >CRE25808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:158851:160038:-1 gene:WBGene00073831 transcript:CRE25808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25808 MDFLNDFETSDDEDQTRTQKTPIYPPFSTNLHDKFWFRDVSKENQTPTAVNEIDLFRVADYFYTGDFEAAENILKELRENTKNNRTHEVMLIDSLLQCSIGKKDKLSSSEISSCLRLLTDYESLLVDFGDQVQFLRTKALLLAKLPDDTRRENFRNTMALLCQLCGSFENWQLFENGAKYFSDLEMYGLKLKTKKVLHYEIEHSRGFVKEKLQKKLKRIEDETKVIEKKLQQNDVRFQIDLILSSLNSHKDTVNSSESATSSQFRAHDSRSKNKLIPSSDQPAVISDFFHRFPFLSSS >CRE31629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1767:5984:7186:1 gene:WBGene00073833 transcript:CRE31629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31629 MAMLFGFGQSADIQIRLSNEDTRKVIKSRGDDGNMHDNFLYYDGESVTGTVQVNLKKANHKFEHQGIRIEFIGQIEVYYDRGNQQDFISLTRELARPGDLTQNAQFPFEFNNVEKPFETYMGTNVKLRLEFKELNRKCSKFIISRYFLRVTVIRRLTDLTKEIDLVVHALSSYPDNDKSIKMEVGIEDCLHIEFEYNKNKYHLQDVIVGKIYFLLVRINIKYMEIAILKTEIVGSGPNTFKESETVAKFEIMDGAPVRGESIPNSIVLWLDMIWR >CRE31628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1767:4574:5615:1 gene:WBGene00073835 transcript:CRE31628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31628 MTSPTRWQKILYRKQPFSDNYSGGDAQFLKELRKNVSVVHYDYKSAVFGCMNFLTHLDMLTMYFVLFLNILHSNWSPNILYGVFTSTIVFYLFFCKFLTPNVANGKEHARTIVTLFLFAYAFTPVIRTLTTSISTDTIYSTSIITAILSCFFHDYGVKAPVSGLSSAIFLLSRLEEDTPTLLLLVVAFTLHAYGAEFRNRLFHVYPSISSILFCALSLFSIYCISSFSVELSVFWTLLHVFILFVCPLILVLKQTGKCTIHGPWDEAVPIKSANR >CRE31627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1767:3246:4035:1 gene:WBGene00073836 transcript:CRE31627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31627 MKFPIVFFLILPVIATYELDIYERLRNLTSPEYQDQFQFIIEDLSVSEEETNRKLIELATSFDQQVFRSSTKLFKILFFQNLFRRELVANKILRNMLYADFLSATQRIKEAKRQAQAILANRNRTAEEQYQAAVKLDKHFPMEMSVLLDICKGMGYKNAKEVIASSLKDIPKTVQLAKDLQKYADEAQENGYAIAQALNDLPFVSRKSRLDARKEFLNNTPKAMVVHDFVVKAVMEGLNSILINE >CRE31626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1767:961:2454:1 gene:WBGene00073837 transcript:CRE31626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31626 MKVVPTEFLNSINTSSLPPHGLKLKVGSIRFLRNLDVASGLCHGTRLMVLELVRKMLEVLSGEQFKLLELTVTTTTTWHPISDVPSCQSVWNLLSPSTSHRDNPTLALVFGFIEQALRLHDRLTESGFFEVYAEFSDYQRLKHSRVAAWTDGNVELIRSATEKFFRKFYDLRRGEQDSEFICIFETRDADLGGRPLVKIHGKADALKYNVKCHHHGPKGSSSGQVPDVTEFYIYKLLYLIGVGPRTHIVPPETTTGSKTSTYIATQWDDRFELLKDVIGKNKLCEDVAVQLVMLRVLLFIADLHQENCGRWRGTTNAAIVDFAPTSDFEVYEDIRFALRTRFPHRSWKNEYTAVRNKFDEKSWLKIGKVHFDRWDLVNKIELAREEFDPTKGILKELEIGFKKRRSRESPTDQLNEYIDTLRKNLMLLQSLLNSLD >CRE24298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig676:7939:8594:1 gene:WBGene00073838 transcript:CRE24298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24298 MSVFLGYLGFIDFYHNTNTKLDDLKCSELQKIVFYSAIKTILFSLYYFNQNRVSWMISNETMLTYGMCGYGLITAWLPMLKAYQFVSLFLMCLQTLFLVIGALHTIKMALLYRNIPLVFLIWEWKFCMINFIPLYMSRIIDFFKPETKETLLEYIKETFIPPPSSPVDLNPVLSITCVITAAIVFLKSVQWGFEELRWDQ >CRE20102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig789:2185:18668:1 gene:WBGene00073839 transcript:CRE20102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20102 MAHMNEEQIRKLQKDIIADISSGAEQHSGQDSGTTQKKETAEEGFTNEDGSVVVSKKMTRVVTTTRTTLPDSPVESLGSVKDRIAKFEQFKTLPIDPSVSGPPPSIHALTQEDEEPEEHVESTQQYAHEEPTQSSEGRDSGRSGHTEQSIPEVHFTESDTEIEHHDDHHEESHLEPTVGIVITPHTPIPPEHPDDREEIEDEQPQEPLEPSEPEHVARAEIKDTREYSDEELAHELSPSEEHVSTAEIKDIKSYSPTSSDDERSSQKGKVSPPIPQVRHVISPSVASEVLSSHDDELAAHYVAESFEKHDEGRVETMEHQPVYRGHHSPGQTSPIVSEHPIAQEYAESVTSHDEGDNLSLKSGHEPEIARHSVASVQEEEEEKSGLGDFAKKAGMIVGGVIAAPVALAAVGAKAAYDALKKDDDDEEKDHEAQEVLTTQTTIMESPIQHEDIPPPHMEDVTPKVSHHEQPHEIVTETTTTVTREHYDEPEDAEVSSVKHSPVPSEKEQEPHLVSETTTTTTVTREFYNEPEQMHEEVQQESHSPAPTSHVQSERHQAGSPALSEQEHPHVVETTTTTTVTREYEEEEPEVEDRESIKELPHVTETTTTTTVTREYDQEEGGFDSERLSEPAHSPEPATVGTPAESEKSIPHVVETTTTTTVTREFYDEEDPEHRESSPVAHKSIHVVEEQREVSPSESPIPSERSVRSIQQHPESPAGERHSPVPSEPMSEKDAHHVTETTTTTTVTRDYFEEPQDVSHSPAPSSHVDSEHPISESPAFSEREVPHVVEITTTTTVTRDYEVAPEHDHPESSDQDIASHVEPTPSSIHEFQHEEYPRPESPAEVYPIPSNEEQELHLVSETTTRTTVTREFYDEPEHGQEHPDDSYSPAPSSHAESAHHVPESPVESEKEHPHVVETTTTTTVTREFHDEEFDRPESPSDVVHDARSSAPASEIAEHRDMESPAVSEKEVTHVVETTTTTTVTQEVYDDDFEKPESRLSEQEQKGFDSPASAAHEFYGDQPQQNMPESPHAQYFQEEQRVFEQHQPESGDESDGEGFGSKVLGFAKKAEMVAGGVVAAPVALAAVGAKAAYDALKKDDDEEEEDRSHEEPQDVSHSPAPSSHAGAEHPVPESPALSEKELPHVVETTTTTTVTREFYDEEQEHAELSQESHFQAPSSHAEPDRHLGGSSALSEQEHPHVIETSTTTTVTREFDEEPDTEQFEKSYEEKERSPSPTELQEHLVKESTTTTTVTREFYDEPEHGQEHPEESYSSAPSSHAESAHHVPESPVESEKEHPHVVETTTTTTVTREFHDEEFDRPESLSDVAHDARSSAPASEVAEHRDLESPALSEKEIPHVIETTTTTTTTREFYNEEAEPDFPQTASHGESPIAHHQEKDYDRAASPAKSEEGSEKHFPHVTETTTTTTVTREYDQEEEGFDSERLSEPAHSPEPATVGTPTESEKSIPHVVETTTTTTVTREFYDEEDPEHREASPVHSEEQFGGEHPVESTTSIRGSPVPSENVEDSHLVKETTTTTTVTREFYDEPEHGQEHPEESYSPAPSSHVESERHIPESPVASEKESQFVEENVEPRFEQTQQRTSVTQEDSPAAQYFHEQLEHQTESPVPTEKAPLLTEQHQPESGDESDGEGFGSKVLGFAKKAGMVAGGVVAAPVALAAVGAKAAYDALKKDDGEEETSYSQEHAQESPTASEKHHVTETTTTTTVTREYFDEPESHEEHGQESVAGSEHSIPHVVESTTTTTVKRDYDEEPISESPVPPEKESTTVSREVFETAQGNEPEHHYIETTTTTVQKEIYDEPEEAEDDGEPKQETPHVVETTTTTKTTREYEDDEEISESSAPHVTETTTTTTVVREFYGDQPEESEEPIDEPVSAQIDEEDAVSPDSDSTTSVSREIHTEEPHVVETTTTTVVTREYHDEPEETEESDVKETTTTTTLTREYYEDEPESPIKEHLEEDRASPVDSEKFVPQVMEATTATTVTRQFYDEEEPEFKQTELHGESPIAHHQEQNYDRAASPEKSEEESEKHFPDVTETTTTTTVTREYDQEEGGFDSERLSEPAHLPEPATVGTPTESEKSIPHVVETTTTTTVTREFYDEEDPEHREASPVHFEKQFGGEPPLESTTSLRGSPVPSETVEESHLVKKTTTTTTATREFFDEPEYGQEHPEESYSPASSSHVESERHIPESPVASEKESHFVQQDIEPRFEQTQQRTSLTQEDSPAAQYFHEQLEHQTESPVPTEKAPLLTEQHQSESGDESDGEGFGSKVLGFAKKAGMVAGGVVAAPVALAAVGAKAAYDALKKDDDEDDEEEQHALLGDVHEQHLSGSPVRSEAYHQFEQTEQRFEKSPEPSERSDGYIPETTTSHEEYHEPPTTDVASQQAYDQESLKSPVDSEKSFRQVVETTTTETREQFGFESPEQQRSGSASPSHKEEIMQFQGEHSSESSVKEREKETENEKDTRPELERQGSYSPGYSPNSPRGSLAESDGESRQALETVPSAIAEQHVKPEEQPAGSPVPSEESSTKFVETTTTTTVTREHFESEDEPTGEHVVESEHYPSGSPVPSEEDVRRVIETTTTTTVKREDYDDEQEPHHVVESREYSASGSPVSSEKSVGYTIETTTTTTGTREHVEHEDDFGSSPTEVERSSVPVESSSPVPSEEDVHGYMKTTTTTTTLTRELFEPENEPIGGHVVESEEYASGSPIPSEKSVGKTSETHEPEEHQYSTSPVQSEKQSEEPSIAPYEQPTEYGRVDSHDAPASPAPSAESPIRKEFDTTSPLATQEYSQPEGGFESERLSEPTQSPEPHAIHEKDREYDDPHFEQTQQRTSLTYEDSPAAQYFHEEPEHQTESPVPTEKAPLLTEQHQPESGDESDGEGFGRKVLGFAKKAGMVAGGVVAAPVALAAVGAKAAYDALKKDDDEDDEEEQHALLGDTQEQTSSQGHPKREDVLVSPKRRALINPVSDDDSQSEIEAEYTEPTGSDSEQSHRYTETIRKTTVTREYLDDDESQHSRSDSEHLPVESPSEQVVETTTTVIRHYHDEPQPEVEGEEKTLPEEVTVLREVYEAPEGDEPEHHYIETKTTTIITKEVYEPENEDAPASPIGSEKNASEKDFPIRDTRFDDDEPHAGDTTITTVTRELYEEPEGVRPASGSEADDESHAPRYLETTTTTTVTREYEVSDEETEGEESHPVVQQREGSPAPSEESVTHVVEKTTTTTVTEERFEQEEPSSAVPSEEDAPEYVKTTTTTTTVTHERLEPEDEPTGEHVVESEQYPSGSPVPSEEDAHRVIETTTTTTVTHEHYEPEEEHEILAAGSPVLSEKSAPSVTVVEQSSVHAERSSPVPSEEDVHGYMKTTTTTTTVTREHFEPEDEPTGEHVVESEEYSPASPIPSEQESSRVIETTTTTTVTHERYEPEEERHLVESRDYDAESGRNVSESPVASEKESHFIEEDIEPRFEQTQQRTSLTHEDSPAAQYFHEEPEHQTESPVPTEKAPLLTEQHQPESGDESDGEGFGSKVLGFAKKAGMVAGGVVAAPVALAAVGAKTAYDALKKDNDEDDDEEEKHALLGDSRERRAIDSETQEPGATFYEPEEEDKVISETAEASSPKQEEPKIVFPVDSPAEQYVRDKTVFESMVQAEGPYIIESEDYEPSTQQEQRVSSPVPSDSDEIQTTPQQEQQVEDEEAQRHQETSETDAPYIIDSEEYEFSNREEQRISSPVHSDEEERDDVQNDDVIETEAYGNDQQDDEVDPSIVESEEYLGSGQGSPRPFEDSTTTTVLSVHHEPPAIPEPEVDEEELGQDRSVIESGEYSSGSPLPPTTITTVEHVDPAEEHEYTPESPTTVTTVRSEHFEQHHDPSVVESEEYVKSSPIGHERPESPSGSPTHPDDYDNSHVIESQEYSGSPVPSEDSVKHVVEKTTTTTTTEEHFEPEDPSEDIQDYVKTTTTTTTVTREPEGEEHLVESHEYSASGSPVPSEKSVGHFIETTTTTTVTREHVEHEDHPSSHTGTEHFEQSSEQSESKSPVPSEEDVHGYMKTTTTTTTVTREHFDSEDEPTGDHVVESEEYASGSPIPSEQESSRVIETITTTTTTREHFEPEEEQDAHHVVESREYYSSGSPVPSERSVGHVIGTTSTTTVTREYVEPEGYEHSISGKQSPVHSEKSVEHVLETRSTTDESHSRFEPEEEKISSPKGEVHESMKTTSAITSESRYEPENFQKEEEEGDESDGEGFGSKVLGFAKKAGMVAGGVVAAPVALAAVGAKAAYDALKKDDDDEEHDQFRDQEHVQYDSAELHKQTPSIETHQEKDDSESEGSFVDHGEESQHLPLQSTEAAFKLSSEDVAEQTAEKLVSEVFSPLDRTLIESEQYRASIESLNRRSPVEPKRSVEDLASEALQNVTKISFGHADDSDDKHDDHDEEWKVYDRHGEILEEFSTQLTDDVIQEAEGDATTQIMMTQAEYSPRRSKFLKQESCQEISNEPEVDYYSDLQEKLNILAGEKNNLHALVEEEPSSSASDQLDVIHESDQEAHLEQEHEEEAAAQKEQEEKEEAAEYTATQLVDEVLQQVVHEIREEEDDQKTMTSDAYLTATEKDDQEYDTCVTSQDDTYESAQGWTSQDSEYTTATSQAPSRLSDSDGEHTARDRDQERQETSTPQAILSPVDSDRQFTVQQDFDMPVIRAFDPDDFMQTTARSTPDVALQVTIEEEDESDDKLPISPSGILLPPQHDPGRPISPVPPRKSDGTMKKEGDHFVFVREEDFTEPTPPPQLSEQTAADEARKLSEDTQITTETVPQEGEETEMRRQETERIHSLAMEASSDLGNSESSRYSRQLSDLSSSAESHADTVIRVESERSGSSDSLEVVSVISAGKDARTSEKSLTPEDPEKPLDVEQKSEGEEKEEHPGQEAEDLGFE >CRE13163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig700:5164:8133:1 gene:WBGene00073842 transcript:CRE13163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13163 MCDALFRGNLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKNERGYLKMCDALFRGNLKMCDALFRGYQKIRKKKERGYLKSENKIRKKKERGYLKMCDALFRGNLKMCDALFRGYQKIRKKNERGYLKMCDALFRGNLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKKERGYLKSENKIRKKKERGYLKMCDALFRGYQKIRKKKERGYLKMCDALFRGNLKMCDALFRGYQKIRKKNERGYLKMCDALFRGNLKMCDAHFRGYQKIRKKKERGYLKMCDALFRGNLKMCDALFRGNLKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQNIRKKKERGYLKSENKIRKKNERGYLKMCDALFRGNLKMCDAHFRGYQKIRKKKERGYLKMCDALFRGNLKMCDALFRGNLKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKKERGYLKSENVRRTFSRKSENVRRTFSRISEDLKKERKRISENVRRTFSRISEDQKKDRKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRKSENVRRTFSRISEDQKKERKRKTENVRRTFSRISEDQKKGRKRKTENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRISENVRRTFSRKSENVRRTFSRISEDQKKERKRKTENVRRTFSRISEDQKKGRKRKTENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRISEI >CRE13810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig821:6809:10503:1 gene:WBGene00073845 transcript:CRE13810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13810 MDSEDFIQDLKESGLNRKLAAILAEEKTEKWESDRRTAGRAVRKERQKIKRRIRSQRKKWEMVQEEFQIARKSKMMSQRKARKLYRRKMKKAKDRHWLKFRELKYKFVFRSQIITRQPILWVSPGIYRTSVFPIISHFHKTIILFYLFLLPTMPCSVYYIVSESKTNFKKHPLGPAETTLQVYILSFAVFGLVLYTNAHHIMLSLLAIQRFILYFYPASEKILNWKQSTTSTVWDCIFLFCCFIPIGFWIICTLIIPASSLDSIGVIFYIAINIILFASAALYIPIFISIRKLGPLPSIIEFKPHKYVLYQTMAVVSSKFVRCSYDDSDAIPVSVGSQTQNTSTPKKGVRAYMLKKFALNSTVGNDVETELLKYRALLNSNRPDIDSSPLHFWSTHASSFPIMLKVARRLLAAPASSSASERLFSKCSDVARQSKRAGIKTETLNSILMTTALTKISKEPVEDSFHSDDEEQDESDLLEDTVNSSGLESGDESMNKSVDIFGEPEENEQDRDIKNKSNRFDEYSPEY >CRE13812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig821:798:3709:-1 gene:WBGene00073846 transcript:CRE13812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13812 MGQLMNFSTDPKTGESNAVALLLCRGTGECDLNFTDPKVVDQWKNVAICDRHVKELLSKWDDSLTFRDSHTYRVQKQGKKEKACSMPDEIGIKHLHSRPGPEKLETLTIDESDVINKRLGYLVHPGIPICLIHKKFVADLKINMTKTDRKRVRIQSGFFANADDETCSRDTSFVGPSPKKQRTQGEYALRSFANFLNIDRVCFPNKKFEELGELTKQKKVCTARKMIDGMLDVISGGDSALLKKKVLTKLYDDESWTTGSSKNFDLIMKQVAAQFYVAHDRRNKRIILSIVANVIPYSTVEKYIPSLSRYMYHRARLYARQYKENTLPATKDVREKYNKIAVQYFVDFITSPTVMIGLPYGVKKVKMANGTKQEIPNTIRQQSSTEIYEMYKSLLKNTNQTELMLSRSSVFRILDVCVATDRKATTCVDYFTANGMEGFDGLHDILDTWIEEKLFDLESLHQLKTGLFEAAQYLRTDYRLHVKKCSRVADHCATLALSDPMDKSMASSCSTGNYTHKYDLKCERCEQVNNTLNEIESLATQLASSASLTNSESLERRKDEVFQIKKYIEDIFELKKHYLRAAYTNQEREDILSSLKDNEALITLDFAQKYLPRWHREKQSDYYGKKGISYHVAHTTARIGDIYTQHSFVHIYSKEVPQDSKLVVMTLLHVLRQLKKVGIIRASIRSDNAGAYHCAATINSLHWLMEMSGVSISTYTFSEAQNGKSSSDRDASRVKRKAENYVAKDGNIMTSEHFFTALTQGRLLNGMSIYHGYVTVDGNVSSKWTGISNLNHFTVEKNGIRGRRYGSIGSGQLLKKESLNPMNGTYHFEEAGFTPSKIESMPLKEPM >CRE11552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig737:10885:12071:1 gene:WBGene00073847 transcript:CRE11552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11552 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NN22] MSKISESLVFTHIIYIPPFTLERSKKPRSATTERSQKSTVLDEPTTIERKQQKKQILKFVARTLEKMPQGLRAEFSTMKRYNDFEKMKAFKNAQENGKNRYKDVGCLDNNRVKLNSPWPHEYIHANYVAVPTNPQRFICTQAPLEKTCADFWYMCLQERVEFIFMLCNLTEKGSKKCYEYYPNKKKDVLEFEEGGQKITVKLESSSQFQFRAPDAKAKVIASEVLIEGPGGNSLKTIHYHWNDWPDRGVPAADMAILELLDQARPSKGPIVVHCSAGIGRTGSVVMLEYVMDQLISGQSIEDGDKILVKIREQRNNSIQTDAQYLFVHQVILNYFRKKKLMEEAGVQEAHDAFIEQYKKSVV >CRE11551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig737:7355:10601:1 gene:WBGene00073848 transcript:CRE11551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11551 MWYDVNRFHIFAFITWQFANFFGSQNIFGIYSNHVPKWKCGNDSQPTKDCKVYSSCPKNKLMFVDPAFYSAAIEFDWICGSSSFNQSFFTQIQYFGVLIGTLLFGYLSDRFGRKPVGIFVLSNGICSTFASGLAPNVTVLFALRFCVGLSIGGTIVALCAWITEVILPQQRMVLRGFFNWGWSRIGLTILCYLTREWRFASFTAAISLIPALILVIFVLPESPIWLHSKGFTARMTQSEIHIAKVAGVPYTPVEHKTLRPKTLKETLKTKGMFKKLRILWSMWFIIAICSTAMDLNSGNLAGDLYLNQLCFGVLLVFSKMLLLLVDTHFSSFKRRTLHQGSLIGTLFCITVLTCFMAKDYHSTGVLIVYLFGTVFIEYTWDACYLCAIELMETSSRASATGSCSLFARIGKILAPILIHANAWWPYSVNTTVLLLGVINLLISYFFLPESKGVNWDDVHVDDEENVEVLLAGRLILEDSQFD >CRE11549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig737:4017:5227:1 gene:WBGene00073850 transcript:CRE11549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11549 MAGFSLEESAPKILGVSIAVIAAIYVIYPPGLLLIPLSLFVFAYTTKNEKCSSSDVSFLKVHLVETFFSGFRIGGHRGAPKSFPENSMAGFAQAKKDGADLIEFDVALTKDGKAVLMHDDDLDRTTDMTGPIRNKTRAELDRCNISATFIRTAPGDHNRLEAVARERVPDMEDVVKWAVENNTRMLFDVKDSDNELVDQIANLFSKYNLYDKAIVCSFFPWVVYRIKREDQRILTGLTWRLKFWSYHDIENLRQRYSGPKQTLFELIDVAHVWLLKRVTPWYLGADLLLTNNLDISQALILDQKRRGMRVAVWTVNDMAEMHWMLKTLHIPILTDYPELTKQAAHLDELQKIDYMPMHKSSSDL >CRE31579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig767:8697:9768:-1 gene:WBGene00073854 transcript:CRE31579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31579 MVCLEHLKNAGSPEDRQHSQFSENNLIISKQIGKGVFGAVFIGQTSANTTKVAVKRMLNPRKEFQKYAQLMEARIHMLCNNKNIVPLYGYFQTDQYFHVVMPFYVKGSLSTYMDKKYSIDRIESAKISYEILNALVFLHSKKIVHRDLKPKNVLIGEDEEMRVSDFGLAEFQKRIEGKCGTLNYMAPEVIKCQQQSYSVDVWSSGCIVFEMLTGQYAFNDEDDGCLEKKICSGSYKIHDKIPISATTLISECLQKIPSQRPSTKTLFFHTWIIDTTEEAEKKRKMEDINKVLGKNALKSDTANQWKRNNKSAMKDSGKVESSDAGRKTTLRNKPKIDYKE >CRE18753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:168:27674:-1 gene:WBGene00073857 transcript:CRE18753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18753 MFKVRLFGVFFIFLICFFLLKTEACRPPLPCKDGNCITATELSRITTSQSFVNDEVSKTTKDKTETISSVETSPTYGEETSTLLSTKNDLISSISASTTSKLQTRLETTESRISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTESCVIETEETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTEYSISTESVSESSSTEPCVIETEETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETGETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTKSVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTVSSISTKSVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETGETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTKSVSESSSTEPCVTETEETSSTTSRPPFITPSEFQSPSPAFLQNLFLNQAPLNHVSPKLKRLLHLLLQSPKSVSESSSTEPCVTETEETSSTTSSVTVSSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTEFLQNLISTESVSESSSTEPCVTETEETSSTTSSVTVSSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESNISTESVSESSSTEPCVTETEETSSTTSSVTESSIFYKIFPSPAFYRIFFESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCFTETEETSSTTSSVTQSSISTESVSESSSTEPCVTETEETSSTTSSVTQSSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETEETFSTTSSVAESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTDISTESVSESSSTEPCVTETEETSSTTSSVTVSSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESTISTESVSESSSTEPCVTETEETSSTTSSVTESNISTESVSESSSTEPFTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETGETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTVSSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETEETFSTTSSVTESSISTESVSESSSTEPCVTETEETSSSTSSVTESSISTESVSESSSTEPSTTKQETFTSSSPQFLETSSSYKTSTRFSTRHSGGCGFPTKSSTSTSGTSTAISTSHTTTLESSTTETLSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETLSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETFVKCPKQF >CRE26884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1165:1150:5657:-1 gene:WBGene00073859 transcript:CRE26884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26884 MLENFEEVSVDVSGVVTNVNVYQEQIGGAKVRVLGVLEKKPEGNFEGLLNSRTLSLELPDSMTTHPRGPEIFAETLIELVHRYDPFNNPRTKVGIVFESPEIPESIGLCYQPIDRIKVESIVESLARQSQSNKSVLELETPKITARFTYLNLPAGTGKRRFDTGKILELTAFEKRRKSSESEREMNEELPKVKQLRSNIMPNEVIEDCLVHALYQTLMYHKWKLCRTLKNMNKYRSAVRKTFKRPRICPEVYEAVKAMKEQAGVSKSSNFCRMDVERFQNTVFAGTHQLIVFVKNSTIPFYSGPYVGEKKQLALYLDDGHYRGVRSICALLRTDYYCALCIRGYRSTALHYKCPLVHRLCGQRQGNCPVTESDQPTRCKTCTVLFKSQICYENHIKKGPQNGKSRCEYTKVCRKCEGIYYTNKGNAHKCGEKWCYRCNCQRMMPHSCLMPVSKKNEKKLTRRRVYFDIESRADESTGQQHPVLFVALRCCSDCSTIIPNDIEQARNMNCSKCAPDGRLKVIECITIENRNVNVGSQMTKWLFADHHRGAVAVAHNASGDFPVLFIKPENYTYVGPLPDDHHYAMDNKSSVVKEQLSTFLSAQRAEGKIFNFVEEIFKYCYNDVYILATSMGLFEREFEKITNVCLLEVSFSETFVPITHTHDHVQESTTAASAAALVFRRNHLDSEKPIVLDVKPSISMNASEISQKYLAWFTSKEGVQLNMSTTYGEEKIGRYRVDGFVPQCPKYPQGLIIEFFGCYWHAHDCTYSEESMIGCESAKEIRLKDEERLSALREFHPVKVVWECEVKKKLQRNPEMAAFFQDYEAVGLLHSERALTGGRTEVFRLYANNEGKTLRYADVVSLYPTVMKHDPFPIGSPKNVPKNSMEVPMRKPTDLTFRGFLSCKVLPPRHLKLPVLPIKDNGKLLFGLCKKCCRDSNQHDCEHSDNDRSFSGTFTTVELQKALSLGYEITEVFHVKTGIWWIHVILFLQGVKYENWVQNDESGRGGLFTSYINQMMEEKIYSTGWPANVTTDAQKDAYCKAYFDKEQIHLTDYSRFSKNPGKRAVAKLMLNSLWGKFAQRVDRETTCIVTDPAIFWNIVYDTTIVISDVLCVNDALIIKYRKQAETLESFKTSAMQLAALTTSYARLRLYRFMELVGAENIMYTDTDSIIYAVPEGSVDPLRGEIGPYLGQLTDELDGAMTEFVTLGPKTYCYKEVSTDESLKVSGKQV >CRE07925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1055:235:1663:-1 gene:WBGene00073860 transcript:CRE07925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07925 MKYKTGAENKPFLRDVESPSDNPSNPFHFRKNEYFGNEKPIAKKESWHQVLNNRLRHYTVLEAFLFVFLVILLFKIYSLQSQIDTLERKLDSKKNAESHLMKTKEILEEKKVIHEIVQNVINPSSPFPKEKEGKVKLNSEFNAASLVLGASIETRQSSHSVSPGNSYFDIVSFALGSDQSAFSLLDRVELPVDKAWCTDDRKPVLTVNLADYIKPISVSYQHSKWNRTVPNGAPKLYDVVACIDGDCNQPLVSNCEYSKSGNQEQKCLISTGLPLVNKIQFRFHENHGNLNKTCVYLVRVYGEPSGSKEVKIQVKNQKEEEETAKICSRLAWFHDNIPVFYNGLASKNCSTLYSNNCCHECPNCCSECQINDSTLLNNLQFFIIFFVLFFILFPMYIAGISACCFGLKRFFGI >CRE07926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1055:2700:4140:-1 gene:WBGene00073861 transcript:CRE07926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07926 MNRLNIEEEENNTKNAPGIWYQWLEYRLRYYMVLEGIIIIILFFSLSNYHDLASRNMELNAKLETQIDNLDKRLDEIYELLKTNSVPKTERNEMPKNIQEESIRPVEVKTSEKLIEKSNSFPINSLNYSRFEMNAANILMGASVDLGLSSSSVSSEDGFFNNFFYPFTRDQSGYILLDREELPPNKPWCSDEEKPVLTINLAKNTEILYVSYQHSKWNGVIPDGAPKIYNVLACLDSKCENLEPLASNCEYEKSVNGQDIQEQMCQISSDSVAPPVRKVQFHFLENHGNVEKTCIYSIRVFGIRRNLFKTEQKKLEDKKKCEELAWNHKHSSLTYSWQEKNCTLLYSMECCSDCPECCSECKMNDFNYVFVGNILLVLLFLLIFVCWIIIAFAYCKKNIKAGSVNA >CRE07923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1055:6582:8145:1 gene:WBGene00073862 transcript:CRE07923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07923 MDNKPKEQTNLVGESMSSINISMNKGRFSPNKANSSNGLWSQWIRYQLKHYMILEGLFLISILFLLINSYNVSTQNHQTNEMISKLQNRVEILEKQLNISTNSEAFNEIYSEKKEEKPIETKVVIEDIEEPETANESISVQENLSTSTHIPVISNDSVPFNAADIILGASIDYDQSSQVISTREGFLGDVENFFGTVQSDYVLLDRDELPLNKAWCSLEKYPILTVNLAKSIRLNSVSYQHSKWNGTIPVDAPKLYEIMVSCLACLNSNCEKWELVASNCEYKMTDEENQEQNCTIVEKFNWYPINKIRIRFVENQGNVNKTCAYLIRVYGEPIEYEKEEKKEKEEDSKRQMSDEERQIKQLEKIMNEKKKKKEKEDAILQHCTQLKWFHDNARVLYNAKTEKNCVPLYSKNCCSVCPECCLECEMSLGLYNTLLALSILFGPTLIIIGLYFVLRSFQYM >CRE07924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1055:8564:9616:1 gene:WBGene00073863 transcript:CRE07924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07924 MRDHILLRGNSESEYGSEESSNATFNLQKDRFQIEESTSKQEIWYEWIKQRVQRHMILELFVLICIVLVISKLHQSLSQNERNHEFVRRNRAIYDLFFIFQISNIQSELKNFKLDIESKMHSNREDEKYDEEVIEDFESSSAGKRNKLKKIPKHPFRDQKNSLREFPGNQMNAASLILGATVDSSRSSSSDNNPFFGRDQSGYVLIDRFDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGIVPDGAPRRYDVFVSLFIISRVFNSFLFIQACLDYYCNNLEPLVSNCEYRATRDNEQEQFCSIPFNKNHSSIGKIQFHFRQNHGNVVKTC >CRE01494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1560:6053:7676:1 gene:WBGene00073864 transcript:CRE01494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01494 MDVQIRQRKNDSLVRCSVCFGLRTIMAKATTEEERKEAKRKLDLHFEYISQQKVIIQTMCLQSRDDRFDLSVFLIDGMSNRHTKLPNRGDRPKFVTDSIRVIVCLTTVQVAISQGPYEFTNFDYPSIQSVFSHDSSYVLSLFLDGLSKLKSIPSVITVILDSAPNNKSYVMLGGMGAILARISAIRKIFLLYPSTGHTHMSVDGHFGSLSKSLGSRDLLDPQDFVNFLESCPSVAEVNVAPTIYDFVPVQQHMVKVPNLFSNSQICVSKGDGGKVYWSAASSLHSSILFKTESDENAFPLFKEDFNPTTFSATIRKPEIEPIVKKIDGLFKNSGNLYSEAQKHNFSTFVETYGKKAFRHSITDLNRKQSKLTQPSHPSQVQDPHITVLQYLEKNKYPTGKVPKTPLV >CRE01493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1560:3268:4239:1 gene:WBGene00073865 transcript:CRE01493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01493 MDPSKPFSILRLPFLAIEEVFKAMDPFEIINFSMISKRTKGIAKNMSFYSKYSIQIDIDEEPEVSVVGPEYTTECVYIFTSNEEMNGKVVEEGDWDDMNELRAWKYSNNPVEEWMQLCIYVLEIFKKQTIDLSMAMDAFVDQNVSIIDFLKTNVKTVDKCYLYQLRNEKNVDEHAAYLLNNITINARLLSLLNINNENFDGKIPKNLKEIHIDNSKWIRYERMLEIDCKSVILEENRISNEQWNLFIKKWIAMETNQNLEHLELKYRVLEEFRDRVLHDIRRVKFQKL >CRE01491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1560:1266:1787:1 gene:WBGene00073866 transcript:CRE01491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01491 MNHPKPFPILQLPFLAIEEVFKAMDPFEIINFSMISKRSKGITMQMSFCVRYSIELHIHETLEIRFLGTKSEISCSYVMTSNKEMDGRVVETECGRHINRNVLKYSDYPADEWKQLCQHVLEIFKNRQSTF >CRE26927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2964:486:1668:-1 gene:WBGene00073867 transcript:CRE26927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26927 MDPPNPFPILRLPFLAIEEIFKAMDPIEIINFSMISKRTKGIAMHMSFYPKYKLELYMHQRLEIRLHGTNDVVSCFYVMTSNKHMDGKIVEKSFGRYITRRVFKYDPFDEWKHLFKYIDEIFKKQAIDVLTMTLTGFVDQKISIIDFLKANEISVDECNLYQRDKQINVDKHTAYLLNNIKINSVLCYDVYINNDGFNPKIPKNLQELRIYNSKWIGYERLLKIDCKSVILEKNRISNEQWNSFIKKWIAMETNQNLEYLELDYREIEEFRTFVLYDIPHEVVDGAVKRILKTRRNETEEISGGIDIRRIDGKTATFFVYRELRKESFAMSIH >CRE01497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1680:1220:2168:-1 gene:WBGene00073868 transcript:CRE01497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01497 MISNRKNNTFPILRLPFLAIEEIFKTMDLIEIINFSMISKRTRTVAKSMNFFSKYSIDFYINETLDVRLYGTKVMVSYIYVMTSDIKMNEKSEEKKQNGNIIRKVYKYSKDPVKEWKQLSTLVLDIFKKQTIDYLSMQMDAFVDHISIINVFKATAKLVDDCFIYQLDQQNNVDENAAYLLNNVTISNSLETWLHIKKYFFDGKIPKNLKELYINDSRWIGYEKLLEIDCKNVILKNDRIWEKDWNSFFKKWIAMKTNFNLECLQLSHKHLETFRAHVLYDIPHKVMDGGVKRVLKT >CRE15185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1027:7:2258:-1 gene:WBGene00073869 transcript:CRE15185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15185 MQILKGHKDLVYTVAWSHDGEMFASGGADKLVILWNEKHEGYLRYSHTDVIQSMVFNPVNLILLTCALNEFGLWSTTNKNVVKQRSNTRCCSCAWNTDGTVYAIGHGDGTVALRKGTIVTEEASMIIQRDSTEPVWGIAFSSNKLFAPKDSQGNPTNIDEIMAVIDWSKTLSFYSMEGELKESRQLDFEPHCIKYCIQGEYLLIGGSDRKLKIYTRTEIFKFYALFPGVLLSTVAQMDH >CRE15183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1027:2752:4599:1 gene:WBGene00073870 transcript:CRE15183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15183 description:CV-FLP-1B [Source:UniProtKB/TrEMBL;Acc:Q23806] MTLLYQVGLLLLVAATYKVSAECCTPGATSDFCTVFSMLSTMEQNEVMSYLGENCEGDAEVALQKMEKRKPNFMRYGRSAAVKSLGKKAGSDPNFLRFGRSQPNFLRFGKASGDPNFLRFGRSDPNFLRFGKAAADPNFLRFGKRSADPNFLRFGRSFDNFDRESRKPNFLRFGK >CRE15184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1027:6391:7439:1 gene:WBGene00073872 transcript:CRE15184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15184 MSFSTCYSFIGDHFFVLQIMLPLFSRIFAPFNVNLFCFRSFQNFFLLTSSNSSLILQHFSTKMVKATPIDMALSDIISTKRKTKKGVKRPIKKSAGGIKKRGSFSNAGTPRRQSGGGQGGVRKVIRKSVGGGSNDNRPVRINISNLAGTVLSSDLQELFNAFNLRKVSVNFNEDGTPAGTGDLTLSKHNADRLIQKFAGVALDGKVRKVMHFAVIETTNVKPTRKPEIRGTPNRRNSAGRPNNNKKIVQKSPRNQNAARPAKKTQKPKREQKPQKTAAELDAELDAYMSRS >CRE15186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1027:8025:14608:-1 gene:WBGene00073873 transcript:CRE15186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15186 MRRCSNPFNFLIQGCSSSCSKSKETPVFVPPPPPPTDAMPYLPRLAELKKSTPDQEFGFNLHAERNRGHFIGTVDNGGIGQAAGLVIGQRIVGVNGELIYPNTGHKEVVSLIKKDNMKTTLLVASEEVDKYHRDHSIPYSWDNVERFAPEINVETYHEEVPIAKTNGYDIQPLNPHSIQVNEEREISKMTTTTKTETISSNTASYQYKESTTAYDAYAAPPAESGDLMDQVFGNVSLPTVGNSVTIHSHTEELPSAEDASSVSSLSSHRESAVDVPVSHQYVPSYATQSHQQHEQHSQTHHHHHHHSQQQPSPLSNGSSHGYAASSTSGYDDDDIYHLSASEARERLRMKNRKNHLHEMSLHEKYQMVSNM >CRE09903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig899:157:4978:-1 gene:WBGene00073874 transcript:CRE09903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-3.H description:Eukaryotic translation initiation factor 3 subunit H [Source:UniProtKB/TrEMBL;Acc:E3NP39] MIFRNTFISDFIIFSNLHFFSFFCGFYRNFSLFFLLFLLFPSKIHISRVHKMSMSVTITAPSVKHILLDSLVVMKIVKHVDSELHAGISEVSGDACAGVLTGLVFLDDSRLEITNCFPTVRNEPVIDDDANAAAQYEEQKQQEMLDMLRKFRTMNIDYEIVGFYQSHQFGAGFSHDLVESMFDYQAMGPENVVLIYDPIKTRQGQLSLRAWRLSTAALDLASKNDWRPELVKAAGLTYQNMFEELPIIIKSSYLNNVLMSELALSKSYSSEKYSTRHFDLGSKKSLEKSVRAMMANVDELNKSIQSLTKYTIDKQRHDNMVFSMTQKRVSFEEYGVLSESLPFLAKNPTTVVLILGSKC >CRE24716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:196245:196520:-1 gene:WBGene00073876 transcript:CRE24716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24716 MYILCFWLYVRRSALGKSDRGKERGKEGKEELGGALRGYAQHVSSRWAREKAEKYLEMESVLLKNGEKRKRDGERIRGAEEDNRHVWSERE >CRE24718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:199744:203775:-1 gene:WBGene00073877 transcript:CRE24718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24718 MKFLSAVLVLLVASSHALGDSSSTDTTTPTSEPSTSTPVVPTDGSSASTVTEGSTASAGTGSTVSAGTGPTAAPGSTVTDESNTGSTVPAGTTTPTPLKLSTFPVSNDVTVLDAKFILQQAVQLNQQINSLNDYLKKAQADTDPNKSPLFAQLNSFSTNITAQNTTLQGYVTKLQALADTQSNLDKRVTSATNTFVCFSQSSCVTDVPTTPLPTSPGSTPAITTCSNKTTTATFTSQSYPPVSVQNAAECSIAVKAADLSNNVTVTISATFTGSDAYVRLVETRTQQSVIINGTISNYNFTAFQEVDVFYYSGPRSTIQFSFDYIEVNTCVLNCNAPNGTCKVSQSGVQYCECKKCEYTGDHCEAAITDPCQAKQQRACGAKATQPFGTCYRNPCMDQCYACLCVDGATDPDSSLKCNQPNGTYSPGPIPTPNPDSIVCTTTAAPTTTALFTSTVSLSGSTQSSGTVNPSQPTDGSTASPSSTGTTVTDPNASTASTTTTGGDPVTSPSTVASSTETSVASTATIST >CRE22238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1391:10407:13508:-1 gene:WBGene00073880 transcript:CRE22238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22238 MTEFISNRSLRPIINEYYHFSDIPIGSCGDDSYFGLGIMDGGLEECDRWKLETTNPEYEEYKCKVLRVHASVKNGKCTCNENWKGPICNEYNGCGKGETLFGSSCTPHMCHHNGTIAVGKKEVECICPPPWDGRLCDRLACWRKTIPTQQHRYRNNGDHCICGNHYSGENCDIVKSCLNNGQLIDGKCKCGDGWHGELCDKRCPKGHVTCSTCSSFTAATLVAIVLLCVNKFNY >CRE30370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:150247:155044:1 gene:WBGene00073883 transcript:CRE30370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30370 MKEAIIGFSDKDNQLGATMRSDSGAGICRSGNRGFQYCMVTSYLTESLAGPTSARGSSYSYSYESHYDNPPEEEYEHFTNDDGVHQMQKNIRTVFMKANFANEKCTDREI >CRE13170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig740:11992:13765:-1 gene:WBGene00073884 transcript:CRE13170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13170 MIWLGFLLIFSALGDENTQNIPVNACLEIQEVNPELPINSSETVSFPNGTSNNFLENKACSWSINIPANYFVILYINATVPIGGDISVSQAPNHTEKFLDGHVEVALLFVAPKFDLHWFSGNSSNGSIHFTVNWQPFPENMTSRIYNLENNSSSIILDHKDTYSPVVFHAATKVSILCLPGTLKEEDTYLRTVIVFDGPDTNSPFLGSLYSINQLKSQLISTNSTVTIFSIVPIMSHHFVIVQDASMYQKISQITGLNVNDSSIEVVKMGSQSGKSVVFTHINNPLIDEYLTAVELEEGSELQVYFEGLSENQRIATYTTQNNKTHLPQKLQGRLRYYVLSSGNATLSLTRDKWKAKWEKAFEGRRGFFTSKYWKNDKKEMGMQQDSFHFIGEEVKNATKFKFSYRFIEADLTAENSIHVVVSDNVQRIHYYDHRTAFEYTNDTQWYSHEGHSISLVYSSPNSDQSTGFYAEFQIDSSFENLSLWLIFLIVVFLLV >CRE13169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig740:6769:11672:-1 gene:WBGene00073885 transcript:CRE13169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13169 MISFALLFLAISLKNVYCAPDCPGTAFDLPADTSEWTWYPNNFQGAQPPLFPNNFNCNYKINVPQGWSVDMILTVNMTIPQDFAASVQVFDQNQNREVVYSASAEHYFFIANGGSIQLNTREQNIQFGFALKWSKFAVFAPSLGNVTVAAPQPLVLWASNSPYQIKAETRVSLVIVPPKEDFYVQYLRSVMIFDGPDWNSPCLGNALQAIRNKTQLVSTGKYMTFSQLRPYYQTGRTMLILQDYENTKDIGQYLGFACVHPSDCGTVNMDGTTGLAAISTINDDVTAEYLTKLSGTGTLDVYIGGKTTSKANLITSYRLVSVVSNKNHKSISFRMDSGTSSYLPQEFLGYVRTYVLTGPTASLNLARSSLDFSKSSSIGRKGFLASRYYKYGVPVADSSTYDFIKSPTNQLSTYSFIIRDADFVGNTSLSVSISKGVRQSMTNISFVFYFNMLTFLIACFIVSRASTYSYEPEDNGNVQCDRTTDIPNFQSSEPYYFPSFWNENISAPIMAHSQHCCWTAYVPVGYYAFFAMNASIGGDSLLNITDYNGKSEIVMSSDLQPYIFVGKFTIDLTVGAAQNATSFGFRVQWSKIPDPKTAQYSINKTSDALYFDEMLDENSVTVTAETRVSLTAFPSDYPDLTPLLRLTLVFDGPNITSPYIGTLFQAVRCNKPMVSQSNKMTIFTFEDDYIVGSYFVIQDYYNVQKLTEVKGITCWSQSSCPVTLNAANGPVSAMTLNFDDGDEFVKRLDLSPDAVLKFISELGGKTMTQTPLLLMKSNQTFLFKINVTYVDVSNDAELTIGFGTGSGVKEYKFSNETSQPSFSFSEIASNMTVTYFTNETLTKGFYLDFKIESAISSSFYASTFWAFLTVLIFFLMQ >CRE13168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig740:4895:6060:-1 gene:WBGene00073886 transcript:CRE13168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13168 MIRSIVLVLASFVLATSCYECQNGTTVINPPSDLTQPTYFPSGWTEDQPLPQMDSDQSCFLNVNVPSGYYASVTFHKHMDLPGGYVYYSNRKISILENDDFNPFFFTKPYFKVSVGTNTSPGLSGFAFKIVWIPIPDVQRKVIEVTKGQPPVAVSPSSDFITFRGDSSSMLSLIGFSLKDPSTNYLLRQTALFGGDTFDDDYIGTLDQIVNSQQILTTYGSKISVYTFGLNTLIDYPLFMAQNNPDAKGYYIYKGVNCPSTGNCSVLLNGNYGNSLTVTDFNGSEYIKEFNTFPDTATINVYENSVSSTTRIASLTVDNYQQQLPLEVKGTMKFYELVGYGKYEMVVTRDVSRAARL >CRE15081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1063:384:1939:1 gene:WBGene00073887 transcript:CRE15081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15081 MVEQTTRPEENKVQASAPAVVIDVDVDSDEEREQDEMERAAKRRRMTHFEDGDVQGDVDKAKEAAVIPMVVEHDWRTRSCLKGKAGTLTEEERAKLALVLPNGIEDENGVEGGGEKIVVEEGRVDTEDADYSAIPIESFGLAILRGCNWKDGDGIGKNPQKVALKLPNRRPPGLGLGATPKNPSGDKKNKSTGKEKEEKIEEIKAGSLIKVVDGRNKGIYGKVEARDDDSNSLFIRTAIGGRAIKVSQIVAVAVSAKEYERDSKCLNKSEYDRERDRIEEERKKHETERPSTSTSSSNHHKSSSSSKSSSSKNEKSDDSRRSEKLWARTDLLVRFIDEDFKRGSLFKQKVWIVDVAGKDDITIEDDRGNTHYSKLIFILFHSTKQFLADVRQSWIETVIPRDVGEKLMIVAGKRAGKLAAMLDKDKRKEKVTVRLVETNDVVTAYFEDVCAVKIRHEEDYE >CRE15084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1063:3745:5740:-1 gene:WBGene00073888 transcript:CRE15084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15084 MHSLITRRVLVLGNASFRKLTTARMTQTNGINGNGNSASTDLLDTPSAYTQKSNKTHKSIDLRSDTVTVPSTEMRKAMAEAIVGDDVYGEDTTTTRLEQRCAELFGKEAGLFVASGTMGNLLAIMAHCQRGDEIIVGRYNHIHRWEQGNYAQLAGISATTLEVKPDGTMDLDDIEQAIRVKGGYIQIYDESCDVEVTSIPDCHMPTSKLICIENTHNYTGGKALPIDWMKSVKMLAERRDLKVHMDGARIYNAAVASNTSVKHIASFADTVQMCFSKGLGAPVGSIVVGPKAFIDRARHSRKALGGGWRQSGVLAAAAHVALDHADATIRADHDRAKKLSKMINDATPENLRNKVYAAENDITNMVLIHCVNGVSVQQLNDFFQKHDVLAMTFDARRIRMVLNWNVNDENLETIVEVYKQFLKQL >CRE15085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1063:6024:7505:-1 gene:WBGene00073889 transcript:CRE15085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15085 MNDPLDSLSNDEFEFIETFDEDSEDRDDQWSMQQSIKIEPISIQMPNTIQSERAPSPVGTRAPESLSDPDRTPEASLIESPLLTQSLKENTPMHTPIASLVDPMSTAVPTLQNMSLVSESECSNNSSLVNVADVESTEVALRTSLLLVGELKAQLNAQASTLQKLNSSSNLEENRKLSDQMKDEFQLKMQESAASVEKIIAEKDLAIEQLKVQLAQSQQVAQLWKQGAEKNSNASYSDSKTVIDRLLEENSRLRNQVDEEVARRLQETDHRKMLAEQLKEARGGSTFDPPATMIARQLADRTEYSLRLEQELVTVRQELEEAKNALKKATEESSNKDQIVSALHEDQQESTRMLVSNEQLINSLKQKCRQLGVLEDFAS >CRE15086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1063:8753:9273:-1 gene:WBGene00073890 transcript:CRE15086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15086 MLLILILFYSAPLLVNSFLFGFGGGGGGCGCGSSCGSCNMGCSCLPPPPAPVCLPPPPPPCPMPVPCPPPPPPCSCPPPVTMYQPCMVPQYVPRCGGCDGYSGGYGGRYGGYGGGCGRRRRSVNNQTIH >CRE15087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1063:9909:11616:-1 gene:WBGene00073891 transcript:CRE15087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15087 MSKLSDCEPLQKRDSITPRAYVKSYCCCCMFDRRREDPAIFDEENIRYRAFFGTMHIRQVLVILAIIKTILVFSFLFLQVMDTESPLGVFSATFAFLTVCITNILLVAGVRLKRYIFLIPYFTVCVLFIFILILHLFVDFLDTANSKNTVEIQPILHNTVLLFMICFEVYMLSVVWRAFVYICDFNMQRQIEKIVKKKLVIRSDRNEILTTIWCNKRGLL >CRE07117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig854:3295:5714:1 gene:WBGene00073892 transcript:CRE07117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07117 MKLKKRPMKLSAKKPVEKLGKAQKLKQKETDLANAEKVMAQFESGAVDTKKKKQNGAAKSNGNAAPTTVPKPENTNQKRQLKRILGQDGLLVKHQEGAKWYSYQIDHVHDEKTEKMSASEIQKLLEEGKDALAQDAALLQTKDKQENGSEASWLYSVISKGTAADKRTAMQLQMHKSPVHSLEYVEKLIASCKKQGTRDVVDIIPILEDVFINHCLPENRKLIPFSKRAFKELTALSSGNQRSRRKILLMWAFEHELKILYQQFIETLVEIIKRPLEEVIKRSLKTLANCLMGRPESENLILSSLVNAFGHPNYKIGAFVVNLLEGISRKHPAMRLVMVEEIERLAFRKNVNERAHLYSMTFLTQMKFSKKDSDLCCRLMSIYLSLFKTIVGKKITDNRLLPIILAGANRAFPFAKDADKLLEDVKDVYFLAHNSNYRTAIPALKLLFQFHKMNDYVSDRFYNALYRKLLDNCPAGAYAQLLKLMFDTMKEDSSAQRIRTFVKRLLQVAVNSQPDFTASILILISRLQKLRGPTEKLIVLTKDIDTAARVVEQMQNDDDDEERYVDLDVEGNEIARDGVKKEDEPSEDIVVDDDDSEDKKKVQAGQLGASSTGGWVHRNIGARGAKSPYDSVARNPLFVDASQVADSELLLLSNHYHPSVAVFAKALMEGREINYGGEALNDFTLMAFLDRFAFRNPKDVTKTTGSRIVRKKSHDPWGVRKLAVG >CRE07116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig854:2049:3042:1 gene:WBGene00073893 transcript:CRE07116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07116 MCLVSLQTEEALRSINKETLFNFLKKSKHESGGFYMHEGGEIDMRSAFCALSTCVVVGLPLEEISEGVAEWIISCQSYEGGFGGEPYTEAHGGYTFCAVASLVLLNRFRLADMESLLRWTTRRQMRYEGGFQGRTNKLVDGCYSFWQGAIFPLLDGEMEREGRSLEKGLFEARMLEEYILVGCQSIHGGFRDKPDKPVDLYHTCYVLSGLSIAQKYSLARDGKILGGDVNTLAEINPVFNVTVASEQFAKEFFTSQ >CRE23209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:41154:43206:-1 gene:WBGene00073894 transcript:CRE23209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23209 MLRLQFSRSIRIQKKIAKQFVIVRSCASSPTKTNVSAKQQDSLKNIDPGENYTALGNLRQKKDVFHYTDRFYFRASGTGYSHYSNSVYQHRPYIWPPLRKLYNWNYALVIAGMVILMSDFEWFVLKVSTYHEVAYFRLKEQIKGASAPFRPQASQIEEQKESISEIEVVKEKPKKKKLGFRERRIIEYEDRLRLYSTPDKIFRYFATLKIIDPNDESGRIFEVFMTPEDFLRSFTPGVMQPRRWGLDSFKAYNPEKHKRHKFSDPNSIFYKLGENGLINFSDYLTWLVIRFFTASHADFALAFKIFDVDGNGALDKEEFTKVQQLIMSQTTVGQRHRDHVTPNSSFRVETNSALETYFFGKDGKGSLSSEKFIEFQERLQHDILKMEFERRDAMDSLDGLITEESFAQLLLLHAQIAEKKQKHMLKRVKRRFKGEESKGVSFEETKAFFEFLYHIDDVDIALHFHKMAGMSIDAKLLKRVAVKVTGIPLSDHVVDVVITLFDDNLDGKLSHEEMVAVMRRRMRRGLERPRDTGLFRLFDAVLECSKRAYHASPLPFY >CRE23208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:40565:41002:-1 gene:WBGene00073895 transcript:CRE23208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23208 MSLALRKTLGVARFSMRTASFQAVPTNAGKTPPTLEQFDPLNPGEWQLGAGGKILPRLPEGTKVGNLVMGKYGLYDPVLKKRVDTYANALLEGKKSEEAGPFDAAVSKIAKVLSYICFVIAIYNLISLVVGKPLPPLSHVKAPGS >CRE23207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:38853:40349:-1 gene:WBGene00073896 transcript:CRE23207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23207 MALNSPSGSCSKVLLHPLVIMQMSEHYSRTKVQQGADVKKVFGAVLGKQNGRQVEAVNSFVLKMESEDMSPSITFSAEHLLLRAEQYLEVFPELQVIGLYCAGEDDELTTEEKTILSKLTTAVRNAEKAGQIDATLFLKLNSLTAGSTRKLPLFAFETDVADAEKYRQIEWVLVSEESERVGVNHIAKLSTKHGKDETSVGKKHAEAQDAAMSMLQNRVDLIVAYLEKIQDGSLQPNFEILKEANLLAQKLKTIDRYATEFTDSFEKEEKTMTVFSLMPKLTTLLGNMQSVWAKLSAQRADLLSDDGFHGKSSGSRWAHPLRFKSQHMGRAQQADVSENFIMCIEKYKLQEDEYFDEEDMENEMSGPRRKIHAADSPAGFRRRRAPPRAINVSRNAPNVLAPTGTDEMELSGQEENFGPSSRNYVPEAPRPSVLGNNESDESSQAS >CRE23206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:36226:38682:-1 gene:WBGene00073897 transcript:CRE23206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23206 MLRSVILRHSRTVTRNNWVASEWKRTFRSDNIEKQTGLFGNAELKTSKSLNKLPAAVKSSTDSLIQELLSPSSNPRTSIEIVDDISNEICKSADLVSCMFQDSKLKFYLFQAECVRQLHCESEFREAAENASRKFCELVESLNTNTALYQKLKSSEVTEASRLDDVDRRTLTLLLDDFEQSGVHLEDDQKSKFVQLSSEIFEAGARFQENCDRIVPVGKFDQAKYGLPSQIYSPVSNSLDRSKRKMVYNTFYRHDDQQEVYLRKLVSSRQELAQLTGFESFAHRAQRNSLLENYDNVRNFLWGVVEECRSAFEKELAVLIDVSTQCSQQVRNGDNDVSTIAEHDLGFLMHLYRESAYDIAKVSHESSQFFTFSSVWKGFSTLTQRLYGVRLIEDPINHGEMWNTAGVLKLKALDDQNNELGIIYADVSIRPEKAVGDCHYTVRCSKQLSNGTWQMPILVLSLGLVDGHSTEWKDSGISFHSAETMFHELGHAMHSILGRTKYQHVAGTRCPQDFSEIPSNLMEYFFSDLGVMRDIIRRPNQPNEQLPIESAATLLASRHSFTAIETVQQAAYGLYDLEVHGPIAAPQIASGRMTTTELFYDIMSKAMPHVQRSPDSAFQHRFHHTVQYGAKYYSYLVARASACLIWQQRFQNEPFSRKWGGCWAEVQSHGGGHPPAILLKKILGFRPTSKDLTHALSKESQHLANLDAVTV >CRE23205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:33060:34354:-1 gene:WBGene00073898 transcript:CRE23205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fat-1 description:CRE-FAT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NMH3] MVAHSSEGLSATAPVTGGDVLVDARVSLEEKPPRLDSTQQSTEEERVQLPTVDAFRRAIPPHCFERDLVKSIRYLVQDFAALTFLYFSLPVFEYFGLFGYLAWNVLMGVFGFALFVVGHDCLHGSFSDNQTLNDIIGHIAFSPLFSPYFPWQKSHKLHHAFTNHIDKDHGHVWVQDKDYEKMPLWKKLFNPMPFSGWVKWFPVYTVFGYCDGSHFWPYSALFVRDSERVQCVVSAVCCVACASIALAIAGSYSNWFWYYWVPLSFFGCMLVIVTYLQHADEVAEVYEADEWSFVRGQTQTIDRFYGFGLDETMHHITDGHVAHHFFNKIPHYHLIEATDGIKKVLEPYFETQYGYKYQVNYDFFVRFLWYNIKLDYLVHKTKGILQFRTTLEEKAKAK >CRE23204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:30340:31805:-1 gene:WBGene00073899 transcript:CRE23204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fat-2 description:CRE-FAT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NMH2] MTIATKVNTSKKDLDTIKVPELPSVAALKAAIPEHCFVKDPLISIAYLVKDYLLLAGLYFAVPYIEHYLGWIGLLGWYWAMGIVGSALFCVGHDCGHGSFSDYEWLNDLCGHLAHAPILAPFWPWQKSHRQHHQYTSHLEKDKGHPWVTEEDYNNRTAIEKYFAVIPISGWLRWNPIYTIVGLPDGSHFWPWSRLFETTEDRVKCVVSGVACAICAYIAFALSGYSVYTFVKYYYIPLLFQGLILVIITYLQHQNENIEVYEPEEWGFVRGQTQTIDRHWGFGLDNIMHNITNGHVAHHFFFTKIPHYHLLEATPAIQKALEPLKNTQYGYKREVNYNWFFKYLHYNVTLDYLTHKAKGVLQYRTGVEASKKAH >CRE23200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:27168:28260:1 gene:WBGene00073900 transcript:CRE23200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23200 MARLLLIIGCATLAAFASCESKSGEETPQYWRAILGDICQLPSFPRATGDPTRYVECVRQSSFAADRKDLGIWLLRECLPGYEFVASARRCKTVRSVNKQQELCESANATEYNFCPTASAMQFLVEETREAPRQCACPNGEQNCVCPSPEILEPISAAKKVRRSAQQQPLRFSQYPSCPCPQNQPACTCSTNNQQNEQILVSISCCQQDVATTQAPVQMQPCQCTPTQIQQNCQTTTTQQQYCPQQSAPVVQPQPCPLVQGSGVQNAQYQESARG >CRE10736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1098:7413:8810:1 gene:WBGene00073901 transcript:CRE10736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10736 MSFSTERIKERSSSVISSEKSWAVQMNEVRLKERRKLVKGFTYFLKSSGRMESDMYEALKAACKDNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLTEEREASSELRGLLRKQENEPKKAGQARDTKEVPVPSRLEVVRKWSSRDSDDEFSMHGRRGEFSDSERSWGEDWKSGRSSRYSAGNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRTFLLKYQQVTDEDDELVAILEERYLKGAAKSLFKSLEDRHERPIAELFVEFERKLRKRQGDAKAEALHEFDRLQRAPGQKLWEYLVEVEKWSKRAYPEVEKATLSQMRTTKLMRATENDDLLQSVLVAKRLELPLRDQYEHLKDIVLQRENEKIRKQKERAGQ >CRE10735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1098:5490:6667:1 gene:WBGene00073902 transcript:CRE10735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10735 MSEPDSVFKLRKLPFVVLRKVFQYLGPVEILQFSMVSAVYRRTIISLRSHCLNNGYIGINNSSRLFHFEIWPKLGGPFRDFGHLKFREFEYPKLKKYETRSSFTLNGVKVILVFSSKKNYGTLYIPSETNKVLSVMQYFVETFGCVYYKIDVNLAYGNYWECGPLVEAVEFKTMSFHDCGPVSTRRPSNEELYKVFKNLKVSKKLEFEFIPQEPGFKFLPLFQSEIGKIVLYGDDYVTGEMILSMKAHEIDISDCLVTTEDIMAFITRWFNSEDRKFKKLELSGKAIGPLDLTAFNATKFEPMKRIMVGKEDWTTMECEIGYDIKRADGLVGSVLQDGTQFEFFVWQKKFIDKKRL >CRE10739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1098:3236:5306:-1 gene:WBGene00073903 transcript:CRE10739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10739 MFSEVVASAQGVTISDWISLCFQNLNCKSINYDRTASSCFIYAVGRQDANIKANPSMDYYEFNCESQFGGMALCTNEGIRFIVNTKEPYTGAIYAAERFSTCSQVVENAKQISITFPPPTVTSDCGTVIRDGKMEALVVVSLDGVLPHQVTTEWDRFYRVSCDVSMDKMVKEGSVVVTTIYEASSQNTTVLDVATPPPVTAELQILNQLEEPLHKASIGDPLLLVITSEQAGPHNMMVTECTATRVGGFGDTVPFTLIENGCPRYPALVGPVEQDFDKNRLKSDLRAFRLDGSYDVQIVCSIMFCAGPNGCPVSNCLDSGTNELFMSHGRKKRSADVEAGETEEKLSAIIRVFAKGEENEEEMEMGNNTMMTSLSDSTDLLCISEPFFVSSVVSLSVLCFALSAIIAIWGCHSLHSTPAKQVAA >CRE20143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1628:3449:7773:-1 gene:WBGene00073904 transcript:CRE20143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20143 ETTGKGVFTACCSSGAIRLENQNGLPSFLTKLYVTPVEEEPNDDDEKKKLRVDAKNFRENIRQYNNSTAMACMKAEVKLPSGGPYTYCVHKQVYHLLGDLHPTPGEPRNFAQIFIIDTEQAAAELAGREMNSSCSKEIFEKLIDILKQHHPHAKSFKMMFEVEKEEKEKAALEKRPERSVKMTFQIRSQDDQRRYQNPTADEVAVVYVGDEEEIPGKRGLTVHQKSGKLQSLHVIDPNCDPMTYPLLFPKGQMGWHPKIPYIRKKGNRVNVTMREFYAFNLHVRKTFRPLFRAGKLMQQYVVDVWSRVEQNRLNFYMQNQASLRSEQMSGLQDYVAGEEKGPVGVRIILPASHTGSPRDMVQKYQDAMSVVAKYGKPDFFITITCNPKWDEIQECLANGQTATDRPDVVARVFKMKIDEIKRDLFQRNGLGEVMAYIYVVEFQKRGLPHVHMLLIMKPGSKPRTAADVDRLISAEIPDKEKNPLLYELVTTMMMHRPCGVHNPSSPCMRGDVCTKKFPKEFRETTSTDNDGFSLYRRRDDGRFFEYKMGRNRVKLTNQHVVPYNSWFLMKYKCHINVEVCGAISSVKYLYKYVYKGTTRASIVLRFDEAGNPNQVIDEIKQYLDTRYVCAPEAAHHLFGFPMSERSVSVVQLPVHLPGDQTVLFQQGQEAEALARAESKNSKLTAWFEVNKKSAEAVQSDGTFPLNLRDSRSFYYHEMPEHFIFNSKTGTWNSRKTKEFSIGRMYFISPRNREKFALRQLLLYTKGSTSFEDLRTVQGHKWDTFVEAARASGFLSDDTIYEQTLQEAVHFHSPCQLRGLFVTLLLFETIDNADALWDKFLDDLSEDFEHQGYSKSESEAMAYFDMFDRMEAMNENLRKWIKRSYTRVHRYGQVIDHEMCKKLGEQMRESLNKEQAEFIDAVLNSLDVGGLFFLDGPGGSGKTYVYNCLANIIMGMKKKILTMAWVGIAAALLPNGRTVASIMKLDINNGCKTCRINLRSDLARWLLECEFILWDEAPMSPKASMETVDRFMREVTGIDLPFGGKVVVLGGDFRQVLPVIERGGADEQTANCISRSLLWKDFEIFHLTTNMRLTGDALDWKKRLLEIGDGKMGDPVTGEMLIPEGLESTGDLADEVFGDLLESGDVEKLAKVAILTPRNKEALEMNNSVLDKMPGVLRRYYSLDEISNKDGKPEIRDSMHFTTEFLNKMTPSGMPPHELRLKKGAIVMLLRNLDVKNSLCNGTRFVVVEMGDRVLQCKFVSGARQGQTVLIPRIKLNYEKNLPFTMSRLQFPLRLSFAMTINKSQGQTFDKIGLRLDDPIFSHGQLYVALSRTTTREGIHIQAPSGVVNNVVFKEVLL >CRE26913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2124:6129:7030:1 gene:WBGene00073907 transcript:CRE26913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26913 MHYSAFQIDEQPVHILIDYEQANDFGRKKQLGIIIKTTTPLIDLALTAVPIEEGKGLVGDGLKVAELKKQEKDLKWIDKETLEEKEREKIMKYSEEVMKHLVAKDKCINPQGSVTFLIVTELVFLMALGVSANYSFLDYLDYVVSYIILCLVMAVFFYLLLQLEDTKESLNSVQSCLESLQ >CRE26914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2124:2566:3721:-1 gene:WBGene00073908 transcript:CRE26914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26914 MDFGANWAYKEIDVKVSGTLQEAADILRKMKEEKADDKEKSLISRNFSGVSITSTESHKTISISSIHRSSSKEPKPTVRTPRDAGKNRKILFPKAVIVNSKKESLVSASYAESSNESSVDPITPIPDSENLFPEAIYIPANKGKTKIDVAVGRSRESCDSIRSIQRKHVQQMGQEPLEDIQHLEFRKACVIHTDPLLFSKIFHCLVFQERRGLKKSKPDSMSHRPFILLEERTQMTYSFSVIPI >CRE23378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:529493:532845:1 gene:WBGene00073909 transcript:CRE23378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23378 MWHDDQNSLNFTNLNLPPASQIRNYVMQYGPADGGASYERHQVPPMNSNTHPIHSIGEISTGEEEDNIFQSVSGESEGNQGNPEAFNNVLSHMPARAESRFSRRFNNTVDRLGSMAEELMGFQMENPAVARPPAVARPPAVSRSPAAQGSNETAEAISRELRGNSHMIDQLASQLTALQMKTTNIESRVTLKSAPRGTAFSIQGAAKWSQSRRFMGAHVIISMTGPAVANSATYIIYNSFAKQVFHINERVPAGAEKKVKMVKHQEQWIKDHQGNQVIKESADFPLVAETNTAHFPPTQCPCIPNMLTSSVLHDGDVHCESRRLFQQLALEVHHQFTKRTLQELKSIKIDWFPPKERKVFTHRRENHCDQINMANDVKSPPKRDKKTDEEADDSDSENPPKTSPAAGPSNGIGKVPPQKKIRKSQKGDAKSSDSSSQDVKLPEQLPAENKDDPDDLIVLNATFGNKKEPSQETQADIESKQHILVTKTNVETIIKMLQVPPECIQSCARLSADMRQIDTSIKRLIESSNSVVKVLQELCTRADTDIHGDREKLWLENMKRVAEINEEVRNTINSFGRSIGRVEGLLTREQPPAVLLPIPPSQGRQDHPTKGNSVNRGCVLCGKPNHPTHICKTYIKSAERIKRAEEIGICMKCLETIPEEDCGVHNNCPNKHVECRNCLDTFDSPAASNHNQVFCSVKAPLKVKEPAAPSSSRNGSKRPAGKQLHLSGPEKIPRTFWN >CRE13006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:252620:254717:-1 gene:WBGene00073910 transcript:CRE13006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13006 MAHSQLRLAHVSSDEDNRPPDIRGPARRFPPRHVPPRTPDIKEVNIKYETFFGVNGAFTWNDIRRFVALESDWPTEMLPRSVFDGSSLRLFVWAGKRPTPTKHWEQVTIRLGPAFDHEAIRKFFLRYARAVLEPSKNGVSRRCPLCASMILCFAVYDHGLRECPFRQVSTVLRFRFLCVNSVAYCYKCNSRSTTHYECTPLPCNGCHSDQHTTATGFCWPDAPPELLTVDEHRLRYNANIVRNQHYTRVRELANNHLLEYRLTSDSPYTEFRGAQQPLRGEIRGLHLYVDTVPPEFPPIEDWEYTDEIVEYPSMVNPEFHHDRQDRIPRFDIESAQYLEDIGRVVTALRANPDAERTIQLPNPPAVNRIPTFQRRIPAPLEMNQIPPVHNQQRVGQQRPAAPIMPHPAPMPEPARPPPAVSLETYNRAAALGIQTTPRNGARANGNGRGGSQQRRRTRTPPPPMFDHPDDQPSSSNQVRFRERGSVSLIRDFNVEKDLAAYSSPSAIFQRETYAATSGQWSDLMNQFDEEENRANSVLQFNSSTSQHASSSEVTIREPTVPTGHQQGPAEIQSLTEQNENPAVSIQPERSSLEETNGRISAASNGSTETGDNSQDSGENAETQVEKSHP >CRE29032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:23358:24911:-1 gene:WBGene00073912 transcript:CRE29032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29032 MLRDRSFNGRPLDMIVGNDMLAHVLGNSNRVLLPSGRYVEISPFGPIIFPAPRVLQFPSRIVTQASSPHLTADNLSRIAPSKVPTDIFTTDLAQSRLNVKPGPLHVVSSNLNDVRSSLQVAFNSWIHPHLGPDMNLQLGISGRNSAHVVNPQGTCNLVQNGLGISHRGTSDGQYGRETPRFLTVACEGTSIPRLEPRKTQDNGKLMVPRCLGIHCFNDTELIPEPKGRMVKSSHVSTALQWVNHSLKNGDEGKRLAKVKVQGLSEMLNKADSNFPDLDVGRSGTSRGGMTIPSAPDSDACRSGTSGDGADRKVLTLDAGRSGASNIRNGLKSSGPGKISDSGSPRNANSLVFDGTPRPDTPRPVESATDLDVGRSGTPKEGMKIPSAPDSDACRSGTSGDGADRKVPTLDAGRSGASNIMDDLTKPSGPAISHGDDGPPDTVNQSPGVSYPMMSARPYGVDLAKARLSSHRVRPFNPRIPKAKLACYACITQTAGPQTPRSVDSLLVPESGSCPTPN >CRE21668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1432:1108:4433:-1 gene:WBGene00073913 transcript:CRE21668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21668 MSTSSEAEDDNFIEDVEDQKTLEVMTSSSKFKSLDDLVELRWYCLLVLFMAELTAFTALASVTMMVFAGANPTVVGCDHAIVNGCHEYYSLQNRSGCTPVLEYQFESVQVEFNYICDDAKKVKNTITVQTFGVLIGAAIFGQVSDNFGRRKALIISCIGNAVFNLISSYSPDLFYFALWRTIAGIFAGGITVVQMVYMVENIPRNHRMWIQNSITWSPNLILFPYVAWLAYDWRTLSVVISAASVLSFFALMLLEESPRWLVQKGKMDEARRLLVKIRKIDRLYSEEFEKDLDEVLRIEAEKHARSNKKQKKYTFIHLFCTWKMMAQTLTFIVGM >CRE03539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig930:12577:13345:-1 gene:WBGene00073914 transcript:CRE03539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03539 MNASDSHDDTRIDFLSLIIKDESEYAVMIGQAQSADGPKNPNLCAAYFSQGPGGSVKIGPFTVETLDETPFMCQGTVQIDVTLRTLKITDKRKKKVSRTIKHFHMSTWNDEDIPPFGYETCYQVMQTIIKSKSENILIIFQKPILVHNTKGVGSAMAFVGLEYTSRMMEYHEEYTYKDAFGKLIEKRYCSFQNVRQVGWMHVGSVYFTTRNYDLDPYMYEQMQKTFSEMIETGTGVPADQDGIKWMN >CRE03538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig930:6746:12061:-1 gene:WBGene00073915 transcript:CRE03538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03538 MHHLNPFLWITICIVVSNGLLDENSDPDSRDLSSSGYDQNLKADFSNSLNFTEYLRNPRNANDKSSEYLNHITSIAHIINGIALQNGLMNGNVPIDSVIEELLVFGTVKLSSVIQFKPESIKNLGSKLKNVPGKFDESAAELEKQALKWNKWYNQYLSVKDVKFENIPRSSEYFSGAKTINSSKFLNNFKKLKESMGLLLDCTSTIEQQIHMNLDQKTTRALQTAFMNINENTQDSTNAINAMRDDIKNKLSQSLVKEGHVIFEPLETMLEVMKGRKVIVQSTAALITTIKSNVKIAAGLAKNSKAADSDIALITELMTSQNGRKSNQHTIGFPNGASDMKQLEKDVVDSWIAKILSLDVTRLSALSDGLKPLFLINEKLDGLDGKVKSIVSDNSYESLLKLQEIQKDLVAVSDDSANSVGVLKEYDECITRAKTAGDTTVSEDFISNIHELTKGLMDIEKLVNDLKDDTLKQEVDEYLKSLGFTNIADDATVKKELPEAVKKYNGGNNLEKIKKHVTDLQSKLAVVNGADLQSKLSSVVAANGKITDQNFKTEIGILGGIHICLQNHLANSDKSSKAIQAIQNLKNIDTKSIDDVESLATTISEISKSLSGIQSIRDVMKKDVKEVTKEINKLDEPTTKSEVIGQSMVSLKYALGLIELETEIAHLKGLGDAVEAEIRKVVVFKEKMGIQKQWGDHKKDVSDLEKSLAGIKSFDRKLNVSMNGTLGEYGTPLNQLTSFNDVKVDFKGKSKALGALITQPKIDQNVKSDLEKAQKTLDKLSNLDLEFSSHSAQYKSAPSAFLAVQDFLTKFLSIDHNVTVIEEKAAAFPWAIVAGVALSLIILFFTGMAASFFWERHKSRRGYMKARQNAWREKGASSDDLMLWVSGRRYKSSKKWSEVHNGTETIVRHKERQCEKVKIPKKFKKVSRSPGVIRCNPATAVSYKYGIFPWTKLTIHANWIVTADGKEFIATQAPVKNEDGLRNTDVDFWRMVIMSKSDTIVMLCKKMEMFEGKEFQVCGEYFSEEEATQIQCGPYTIATLCVMPLANDVIKRTLSVTYKNFTKEITHYQFVNWSLSSHPPDHANVLELMKEVYKSNKPIVVHCTDGAARTMDFIALRYIYEEVIRDATIVFGDCCLKLRDCRWYSFQNAIQSQWVEAGVVRQIKMAPHQEEIDEIYQKLLNYLNREK >CRE03537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig930:3940:5786:-1 gene:WBGene00073916 transcript:CRE03537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03537 MICWFQQWLCFSKKKKKVDCSIIDLDEKEDHIPPLSEDLLVVVTGSMTFGTHFDKYEQWIKLLKKVMDQKRDETREYPFRRLSPQKYRKRSIKLNPLNSLQTLHGNLIRTRTNTIFYATQMPMENVDTQVDTRIDFLALIMKDEIEIVVMLGPTRPFDGKQYVPLNGMYFCEATGGILSIGSYTVETIKEEPFLVNGVHFKDISMRTLKITDKKKQSREITHYQYITWNEKENPPPNGYETVYELMKRVKMSQKPIMVHCTYGIGRTMLFIGLEYITSHLEIHDDWTFKDAFEKLIEKRYCSFLNAGQIGWLEVGVVYFMVRRYELEWVMFEEFNRKYLEMCQGSWGPGGYGAK >CRE03536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig930:246:2857:-1 gene:WBGene00073917 transcript:CRE03536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03536 MPTLLRTFEKKVFRFSSTMKIRMKEQLVSIRCNQMLMILIVHLLLVQVASGFPIGNSDSSNQDVHGSGSRRLQSREMRSSSEYDHDPGDFEILGFKFFPSKYFSNRNVRNGKKPHSSYFHNPFTLANEKTAEFVKHTTVVAHIINGISLQTGLLNGNISIDNVVGDLLGFGNVELPAVINFKPDGIIESAKKMKSIRDSIDVNVMAVMTQILDWDRWTVDAGTIETTDFTKLPGVPEYFEEVKNLNANFNVTFLKKVVDSSSGMSNAFTTIVSSVKKTTLDTNDIDETKTAIGSLKNRFITFIKSLKLLNNQVESFKKVQTLTKTSDAFKPLRTVTQLMDNRPKLNPIFDSSQVLKLKTSMQNALDSFKDLKTSADDTSLVTELMKSRTQLNVKKYTIGFPNGVSELKKLVDDVRDPWIGKILNLETSQLHVLSDGLQPLIDINDKLSKLDEKLKPVASNKLYESLLNFRDILKDLEGMPADSINSIDVLNKYGGL >CRE24263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:107825:108322:1 gene:WBGene00073920 transcript:CRE24263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24263 MTEEEDPYALSSSESEDEGPKKPIKIEGRSGDLKQLCDDFMTTQLAIVES >CRE15199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1307:13840:14130:-1 gene:WBGene00073921 transcript:CRE15199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15199 MSVSSKTLLFFFFFTVLLLVTIVDAQRFIDVMMARNEELNGLLSNNRRYAASGNSPMLKRNSLLDNLYNIGYFQY >CRE05320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1212:6911:8783:1 gene:WBGene00073922 transcript:CRE05320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05320 MVAPSKFPFLHLPHLARNEVLRQLTPFEIIILSLCSKSANKLCKDIRKRAINGGCCGNGNRIELKLSSKNEITLRFRDPRLTTWSFYFEDSIDSRSFKVIKNLYTSSWTPSEDQVKFIIFRNERTRLDHNLRLFTTGPDDIEVIERWVLYLSDLFNASLDKLHLNSEYFGIEENKRIINAFGTEGSMTTFVLEHGDVKGKEDEELIRWILENQPARRHLTLNFLPNEGFSFDFKTLKYYFWDIKIENSKWISLEQTFDINSMIIKLAGSSFTNNEFKIIMNKWKNGWNPNWSSMKIEFSETLDVENFVSENLSDNEVDPASKPLLNVFANLNLRLGGAEDTIVYNVSRPDKTVMTIRINDKIVDFILYNLR >CRE05317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1212:149:935:1 gene:WBGene00073923 transcript:CRE05317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05317 MTIKVLRFKRHISRPTSFPPLYKHEGFTNDTLVLYNLKGYFHLGLDIKELDGKLIVCDFVENSLADITFSLGESILDVDGEKITTCAAFNDRVRKSLEIRNFCLITVEVPSTDPLKNLLRNQISKAVKDAARVNKLPPDAVAFLAEGLAVFKKLEREPLKTVWMGDRHGKGGTSENGNHLKMEDKVKETDVPTGWNSRLFVRLPPMKTFETENLPQ >CRE26880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1085:13838:18189:-1 gene:WBGene00073924 transcript:CRE26880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26880 MPTGIFFNVNGRDIHEENVDPELTLAYYLRNKLGLRGTKLGCEEGVCGSCTVVIGTWDDGENKAVYRAVNACLVPLFHVHRTFVITVEGVGSREKITRFMIEWQEDMRCNTYVDSGDLVILKGDRVELAIPKTLEQFKSARADRNVISSGLITRFVTSRNPAGFSQKWITTRYVKEFNEINMSQESIEIGAAVSIQRLADTLSANLSDNIAPEVAAFIQKFSSPQIANFATWSGAIVSAAKSSISVSDVLLLLNVLEAQLTVLFSDGSLQQIPIEKYPLDKLYETGTIVNVQISRNDTRRLFCLKLGETSEEDSTNFNFAALIGDKKQESRIFVGLGGQPKRLKELEKYVDSGDSYELEEMYKVSGLERNNNSAVALTRLMSFLKDGRKVEAKENVNYLQYFKPTTNESAGRPIANYFNQRAITGEAIYVNDIQAYNAVHLGFVLSTVPHGEILNIDYSEALKLEGVAGYFGVSDVLGNNKPGLQQANMNFPDDTTVFAEGKVSIEYGLQTTKNNKNNVQVECVGQVIGVIAANDVVLARRAAKLVKVEYKKLKPLTDFKEARNAESYLGPVQHFGKDEKILKEALEKSNKVLEGEVSVGGQEHYYLETQSSLVVPGEGDELVVHCSTQGTSFTQLMVAEFMKVPAHKVIVKTKRLGGGFGGKVNNASWIACMCSVVARKLNRPTYGFSQELMTWLSLENGMECMPSIS >CRE26878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1085:7396:12019:-1 gene:WBGene00073925 transcript:CRE26878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26878 MPNGIFFNVNGRDIHEENVDPELTLAYYLRNKGKLLPPFLKLLIFLSIPVGLRGTKLGCEEGVCGSCTVVLGTWDDGENKAVYRAVNACLVPLFHVHRTFVITVEGVGSREKIHPIQDRMARGHALQCGFCSPGFVMSAYALLRNHPDPSIEQINAAIRANLCRCTGYRPILEALYSFSPESGGCCGGNKNGGGCCKDQKSSDDDEGYDDKLLSFNDFPKYDSTQEIIFPPSLRSFQTYVDSGDLVTLKGDRVELAIPKTLEQFKSARADRNVISSGLITRFVTSRNPAGFSQKWITTRYVKEFNEIKMSQDHIEIGAAVSIQTLADTLSANLSDSIAPEVTAFIQKFSSPQIANFATWSGAIVSASKSSISVSDVLLLLNVLNASLVVLSSDGDLERVSLDTFVLNKLYETGTIVSSQFLRKDTRRLFCLKLGETSEEDSTNFNFAALIGNKKQETRIFVGLGGQPKKLKELEKYVDCGDSYELEEMYKVSGLERNNNSAIALTRLMSFLKDGRKVEAKENVNYLQYFKPTTNDCVGRPIANYFNERAITGEAVYVNDIQTYNAVHLGFVLSTVPHAEIVHVDFTEALKLEGVIGYFGASDIPGSNIPGLQKSNIMFPDDTPIFADKKVESVGQVIGVIAANDVVLARRAAKLVKIKFNLLKPLTDFKEARETGSLHGRVQHYGKEEKELVESFGKAQKVLEGEVSMGGQEHYYLETQSSLVVPGEGDELVVHCSTQGTSFTQLMVAEVLKVPAHKVIVKTKRLGGAFGGKISNPAWIACMCAVVARKLNRPTYGFLSRADDLAITGKRHGVYAKYKVGIDSNGKVQGIHYQAWLNGGWSKDHTEPITMIMGTLVDDAYYMGAVRFDGYPVKTNSNSNTAFRGYGNPQAKMINEGVMRRIAREVNKDVEDIKKLNFAREGETRYLGDRILNDALLECWEYCTKWSDFEKRKREIHQFNRTSPMVKRGIAMSCVRHGLPLPGHQGHGIASLLINLDGSVQLSIGGTEMGQGLNQKMMQVCSQALNRPIETITIVDTSTDKITNAPETGGSHNSDTNGLAVLACCEKIMSKFKPILDKNEGDWEKSVREAYGAFVPLQCTEYGYVDRKKFGFGDFEPPYNTTGACAVEVEIDTLTGYNKVLRVDIVMDVGESLNPAIDIGQIEGAFMQGYGWVTCEKITFNHTTGFLDQNSAGKYKIPKASDVPKDFRIKLLGINKANGAQVYSSKGIGEPPLMMSCGAVHSAIMYCVDDWRKENGIEEFVDMISPLSAEKIQQLCSK >CRE26875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1085:6380:7136:1 gene:WBGene00073926 transcript:CRE26875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26875 MWLSKNWLKHRSKTTTEVQFPSPSVQRVLEAMASDPPPPQLTERWANVALENKSGHIFKLEVMHQYTGHEVQSSGWHILKPNEKLTVLKVNFNTGVFTTGTDNWKVHGLKKKEKDSQDFTESWRSGTGAAGTDWKKHTLRTEDDGEVTEIKVFESEIQFVSKSGTSTTSFYRHDE >CRE26877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1085:4716:5221:-1 gene:WBGene00073927 transcript:CRE26877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26877 MSSDPAPPQATRRSAKIDIDNQTGTNFRFKVQHQYTGWETDVSKEIIYKPDEKKTIFDNVEYNTGFLTTGVDNWIVEGTKLNQETVNGKKELVDGAKFQSGTGALASWKVHTLTAEDDGKTTVIRVFPTEIHFISESGKSTTAFTVVKD >CRE31583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig847:16620:17819:1 gene:WBGene00073929 transcript:CRE31583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31583 MRPPTMLLELWNETRVTFGKKDKMKAKRVEMKNQKMPKVTSSSSGLNLLKSNSGSGRAPLAAPISARSYISMDDMKREAARRNWRRLVKKINSNKQNGVKNCGGGERGQLRQAGRKKVPAAISVPHGEPGPLMLSASAISAFSVTGDSTILESKLKRRYALEWEYLASVLDRVLLIVFSLVVFTVTSIMILVGEAMHLSYELAAKEF >CRE31582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig847:11707:13686:1 gene:WBGene00073930 transcript:CRE31582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31582 MREYDSRVRPVMNHSKPTTVSFSMSLYQILSINEKQQNIDLNVWAIQKWNDDFLGWNPYLYGMINTTILPFDAIWLPDTYLYTMIFSIVVLIITFRNSFRFFPYDQQACKLTISSWTSSKSDINYEPEYESVNMDNFLPNEEWVVVSFNIKRVEEKFVCCPEPWVLLEAVLVVRRKPLYYIVNLVIPTSVITLVVVTSLLLLPVLRGEMF >CRE31581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig847:7810:9206:1 gene:WBGene00073931 transcript:CRE31581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31581 MPTSSDFVPLFGIFYLSIIFIIFIGTLFTAFILNVHLQKMYAKPVSPIVSYIFFGRIAQWLRMRPPTMLLELWNETGVTFGKKDKIKLNRVKMKNQKMPKVTSSSSGLNLLKSNSGSGRAPLAAPISARSYISMDDMKREAARRNWRRLVKKINSNKQNGVKNCGGGERGQLRQAGRKKVPAAISVPHGEPGPLMLSESAISAFSVTGDSTILESKLKRRYALEWEYLASVLDRVLLIVFSLVVFTVTSIMILVGEAMHLSYELAAKEF >CRE17705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1703:3041:4863:-1 gene:WBGene00073932 transcript:CRE17705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-csn-1 description:CRE-CSN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NT33] MWLNWIEVSICANDWAKLENITSTAYRSLKDADDAEKNAQQPRGENSTYLVERDANAAVASLTNRQLIETALAKCIAAQVILKLKHKKYHQVAETILQIKVESLQQKWFVTSSDLGIYILLCSMATMARKCLKMMVSSTPTIRKLLESEPLFIELLNAYSSSRFGKCFEIMKSVRSRLLLDPFLSRNVDELFSKIRQRCVIQYLRPYSTIKMETMCEALVTTMPDLQLSLLELVEAGHVQLRIDQNAGIIRLIDERDEESTLRRVNETCDRSILRAKSLLWKTSMARANIHSISDKETRQKRKKDGRADRNTLDYDDVGALGPISDDFNMSFESASGQNYIEDLGDI >CRE16544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1385:186:2246:-1 gene:WBGene00073934 transcript:CRE16544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16544 MWSSDRARERFSKICRTFPSFRLLFGGDTYTKPLEYCIMQMKNLDSVNQDSPEYNYSREYLEKCFRFMMTIDTTIVHELVSQLLKELDNGLRGAVEEVTVGVIRKMSIDFPNDIGIFSPLFLNHMILEPGQCCYYAAGELHAYLSGECVECVGCSNNTIRAAMTPKYIDRDALCKTLNYKMTEQNDYLVPEMKLTECVDMYAPDCKDFQLHRIRVGVDQSEEEMMMPTLDCASILVVTSGKGTIEEATTKNQLIGQYEVKRGDIFYIPPNHNIRYVGFGELQTKAIIATTC >CRE16546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1385:9132:9974:-1 gene:WBGene00073935 transcript:CRE16546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16546 MAQLVLPSTYTTFLMVSGFIQRDPKTQIYCTVPLAFAGPTFSQFNTSGIFVNIAIVIVYFFTYLQLRSYAGASQMKVVFRSILWTVILVIIGWSSVTIANQFAIFAKDAATRKLISIYAGIGVNLACASNVFVFYTINTEYRHAIRRLFGLSSAQTIRLTSMGPKSTTKVIITTT >CRE02746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1241:2449:6542:-1 gene:WBGene00073936 transcript:CRE02746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02746 MLVVAKKISNFLQFRPILILFFWSLASFTFFLMTLSFELMHIQVMSDNNTIPVAKESSGIWQDPQILLPFILIPLTCLTIFSRSIPVIISTAISTFLSICILSFLSRLNHYFDPDPLYIVVILHVTTANSIFLRRCWDKYRRGPCSSTIVRLLLATVDKSLPMLLTTSTCASIIPLVSIIVSPAKTIIPSVTLCLLNLLQNVCTMTVILSVLLIRNTYNWCIPSIRLSCVRGIQAFFEEKLVYFAHLMCQIGQRMLSSPVKIPFVFTYLVVIIGFVIMNLIRLINFMNVSIDKGTVECSFFRCYESITLTLSLFIVPPVFFCTINKIQVNTMIALIGFSFCLVVFELLREFVFESVRVEPIQHVVLSIIPADGALRFCNMYSMSRKKNHAAKVLDTVDTLFSQVTISSICSISALIYLFYYLNNSYLILLVLILLVTNWVTVIFFYPSAIAMIGSMACVGKRRGSQISETRSMNTDRFSSHLLVDNPDYTKKYSTSNYYGPAMQKRSYNVLVTDSRRASMPVSVQQIAISSSTGPILKKRSWANGACFSVDGVITMTPREALKLMRKEDSITSDNILIKELALI >CRE24300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig756:1400:3218:1 gene:WBGene00073937 transcript:CRE24300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24300 MAPPHPRDPSTASNYEQVSVSHYVVKWNVDFDKKCIDGDVQLVLDVKQETSEVILDTRELTIRSVSCASNGETKEVEYAMKDNGALGQKLIVSTALLKPGDKPVLTVKYESSNNAAALQFLTAEQTTDKVAPYLFSQCQAINARSIVPCMDTPSVKSTYEAEVCVPVGLTCLMSAIGQGSKSSECGKHTIFSFKQPVSIPSYLLAIVVGHLERKEISDRCAVWSEPSQAEAALYEFGETEKILKVAEDLVGPYVWGRYDLVVLPATFPFGGMENPCLTFITPTLLAGDRSLVNVIAHEITHSWTGNLVTNFSWEHFWLNEGFTVFIERKIHGRMYGELERQFESESGYDESLVRTVNDVFGPDHEYTKLVQDLGSADPDEAFSSIPYEKGSALLFTVEQTIGDNARFEQFLKNYIQKYAYKTVSTEEWKEYLYDTFSDKKVILDNINWDLWLHKAGLPPKPEYDSTLMRACKDLANKWTAEGSEAPTDGEVFEKMSNSQKLAVIDAIRVNKGIFGDRMPSLTATYKLDQAKNAELKFSWLMLGLETKWTPIIDASLAFALAVGRMKYCKPIYK >CRE12787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:914915:921900:-1 gene:WBGene00073938 transcript:CRE12787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12787 MAAKVEAEFKECTARIEQLEQQSSLKEQLLTSMMEDKNLVDEIEGLVPTDLRKSRTSSQSSLLRSVSPSVVEESHTLQNYKVRRHTATQEELLFAGSDMNSSDGNANPIVDTTAGDVGGDSEEKKDKKKRILFLFISIFCVVVRSLYVVVLNVVCVFVTSEVILHAIRLLSACYHSLSASYRFDILCRFSAFVSTSPASTSFSATPTTTSSLFSRNTRLRSTVGGGVPNNNNIRKSVQPPTAVNGNGKGLSVRKGIFRLPSVTEDPEHGVFAKSFPGRSRSNLTSSSSTSILMNARGSTSTSSKSVFARISPSWLSDTCAELIMRNNNRKQSRILPLKDGRRGNIITRTHTLEGHARGVLSVDVSENLMVTGSKDRTAKLWDIEACREIRALGVHPNNVHLVKFVPFSNYVFTFSMYEARAWDYRSPECICVKVLNSSGQVNDGDSINTSQVMPRQNTIPFLETVITAADVDPTGRHLFTSFAAYVRVWNLSEWKPLGRLNAASHSPKSEVSCLKTTLSSEGSILAYTGSRDHYVKEYDVGFGTGVIESKCEFAPPHYDNVTAVLPLNGHLFTASKDLNIMKFSLKNGKREHFETRAHQQYIQSLTGFGPKGKELLVSACKDGTLRFWDVASYSGMKLVEEYSKAHEEGISGMCTSKSMLFTASGDATVGFWKSNIVEQF >CRE04425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1011:6836:8969:1 gene:WBGene00073939 transcript:CRE04425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04425 MSLFGSSTPQKPAFTFPTPSAPATSAGSLFGNTTPAKPLFGSTTQASSTPSLFGTTTTSTPSGGLFGKSGTTTTTTSSAGTLFGAAPATTTTPSLFGSSTPGSTGFGSTGTTGGSLFGSNAAKPASTGLFGSSTAKPAPTGLFGSSSSGFGGLGTTQQQQPVIQQQQVVQISNQIHMFQSYHPFVRACGDPKILGNDNDGTIAKLNQVSASLGVGKAPYKDGNQIQTFSMEGNLFEKFKVIHRFL >CRE04424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1011:5113:6433:1 gene:WBGene00073940 transcript:CRE04424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04424 MATTEAPVDTSLQVIGYAALLLSCCAFGTMFVPLRRRNCKDGFFVQWIECGVVFIIGCIFYAARGFPKFEPIACIGGFLYATGNVFSVPIVEGIGMGIGFLIWTSLQIIVGWGVARFGLFQWIAPQTVKNDVLNYIGIALTIVSGVLLIFVKHVEPEPENEYDVTSKETEHRDEIEQTSSESERSSVDMSGVAKKVPYIIMAMILACLHGLMMSPIEYLKQKNPPADSFQVFDYIFPFYTSVFLFSTIYFFAYCVILRRRAYVERNLVIPSIGYGLLWTAGMTLWFVSSDKLSQVVAYPCTARLPPLISGALDVFVFKSIQGTRSYATLAVSSVIGVISVIMIALSNQI >CRE04427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1011:1299:3229:-1 gene:WBGene00073941 transcript:CRE04427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04427 MNSFFLFSFILIFNFTNGQDFDDSVRKLITEKCIKDIDTWKKKLDSFAKLPPNLLGFNKVWSGDYDECLEVENPNDNTYQTQFCWAHLNLPIGKILAKSGDAVKTVSTTCGAGKPTDVKMSICMPRSCSEHDLKLALNHLRILPMTTKEYLNHSIVPVDDDKFVCDVTCRPVNFEPDYLFWIVTVILGTITFICVVATIADYYQEVENEKMEMSLGEKTIKEGGVSEEGLFKYFLAFSMLSNGRSLMRISNNLNNLKGVECIRFISFTWVVSGHIWGTWKNADNPFKIIDILKTRSYEIWLNAFFSVDTFFFLSGLMLSYSFLPKLSKRKAMDPMVWAVFYLHRILRLTPAYLSFIIFYATYGPLTDFGPNELVRREDMENCKKYGWKNLLYINNIYEPRKNCLSISWYMASDTQMYLFSPLLLVAFLFGPIPGILFSITVIVLSTFLNYWLFLHYDLPLTLIQA >CRE25814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:172847:181564:-1 gene:WBGene00073943 transcript:CRE25814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25814 MVYGEFVHILDYYFRQLREYSRQAQLERQGDPERRRSSWSPKHWFGVKNGINPDDILKLPIHFDDLPLSPSSSHPANIHSQHHQHHNYPDTTLSSSSAPSTSNYLSTSQYSDHRRSLYKVDVEKYWEQLLLHKLLPSPTDRNMLTKSIVSSSGDSGIYDDGSGGIMPYIDEDDDLTPIIVARPPIGSGYSNGRNGWHRSPRQYITNWWSDTLIKKLHVLVNNTIELLWRILEVHISKIVFIVIASLLSANMDAIFIPLVILLSLAVCLPSAADGIFSLFMCAYLFIIALTKMVYQLDVIPELSGIDRGVGAENCTFSNISMADWFGLKKEQHSEPIYMIFGVIGSIVALAFQSIVMYRQKHHRSALGLPEALRGKVFPDFYPAQYDRSLKHAIQFFIDYGFYKFGFEITLIVMGVDIWLRMDALAAIQCVWLLLFALNKRLFNRRLWVFYVIYMSIIFPLEFIMYVGLPPDSCIEYPWSEWLSSYSPDVNYNLGMLLNLPTYGRKWKKVYFLCDFFVLLLASCQLAVFRREGEDNDSIYKDGQYIIKHENPHHDFIDSKKSYVDYFKSFVFHYGHWITLMATLGAGIAGTSLFALGYIIFTLTMLWSGNNLYVMNARLRSFEHTLKRWNILLSYTLLSITMKVCIQLFGCVFLSWFDKTGTAGKVLCITRQLFSIICVNDQCHDLLDLDSFNKSCMVDKKEAQIGFDIVALGFIVFQIRILHSWYFQHCMVEYRSEVILANRGAVLKNQLIEKEMKEQNEQQKAKFNDIRRRTEAIRDRYQKQIERGSVERDFEPKTYGQAKRAGDYYMFKYDPENDDLVEPVDSFVPEMDPKATAYDRLDPGQIMYAATAHDLDLAKTVQQVKKGDSIKDADDRALTAVSEPEMRKKGGTEATDGDKQEDEENKDSKVESTAKFVQKMIASALDLFSVTLNKLCREHRYVGFVLSKEKQKLKAGHSESLSNTSRKLTEIRTDVDLPSLQLVHSENDVEKMETAVSVDWQQKSSATRLLNAVVNCIGAHTDILCYFFAIMTQVDTGGLLTIPLPLMSLFWGNLSNPRPSKFFWVTMITYTEFVIVAKFICQFAFMPYNQNAYLTENEVNPMSLDKLFGVQKKNSFAFYDILLLFSLFFHRYMLRKLGLWKDANLTETFKVTIFKEGELFHNKLSLQDETTESRSPAGSDAGTPKKKKQEPKVVVTQSDPLEGTSGGEIVIPSDSNAVAVVEDVDETIEAPPEKPSGPIGRFIHQLFHPKFRYIRDLYPIMFGIDVICFLIMTIGYSSFGEGGSGNVLDDVKASRIPVTLVVMLVGMTLAIIVDRALYLRKSVVGKLVYQFFMIAFLHIWIFLVLPNMTRRAAVVNGVAKALYVIKSCYFLVSAWQIRNGYPELCIGNLLTHSYGMTNMIAFKVFMNIPFLFELRTAIDWTWTDTSMPLFDFFNMENFYAHIFNIKCARQFEAAYPAPRGIAKGKLVKYMMGFPIIIGVVIFIFSPLLLWSLLNQIGTISMPEKVNLKISIEGYPPLYEMEAQGRNHDNKELSLITPDQLGVLNTALTELYTTRDSTSVLRSRMSVSYLKGYTYEDILIVRFRPESEIYWPISQDSRDAMIDKLNSNITSVNFEVTLEFTRPYDPNENAALKHSKSWLVPIPQDAKVRGDIREALRGSSGHPILIPNSIPAFIQVPNAGELSLPSSIGTSITQDTTPQINTTGMTNTQISQAWFDSLTLQLEQGNGANEKMWIATSEHPGNPNEKVWIPTENTTYSHRPYLQVVGFIDRAFPSILAKVFKGGVIAVYLSVILVVGRGLVRGIFTTSPSTVMFTELPNADHLLKICLDIYLVREAKDFMLEQDLFAKLIFLFRSPATLIEWTRMSKKKQE >CRE07136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1134:8461:9375:-1 gene:WBGene00073944 transcript:CRE07136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07136 QFSFRLYRGLAYAFWLTLTFYTSFVIIALYIYQFPGVSQWIIDNTNLSKEWLDAIGLVDYSAIGESGALFLQLFAPIALFVVTMLQLKFFHGPWSRATSPRRAAEDPPTESAVATTSETGRANAGGWLITDWDT >CRE16530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig985:8348:11630:1 gene:WBGene00073945 transcript:CRE16530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16530 MGIKTLFILALLTVGVYSDLNEDFSPVHYCFVNPPVKTRLSPNLLENITSCTHLVYGRIPIDRDNGYPEYSVSDVESGYDIDNIRTLLRMKSQHPRAKFLMGVERTTPFEDTLHAGKVANGLKKHAKSKRFDGIFVTLNGIHLEYRSSTTFLETISKEKSLILTFGITGRRVFAHEAVRRLQEINSLVEHIYLDMGELPSNEEPSKITQINPLFSNTSIPFEETIQGTVEELSKEGILPSRIVVGLTAGGWKYEIKDSQDPLRISHGMFAKEAGKRVAYQDACKARGAVIYDWKSMNEITVYRQSWMSVNLPTMTAMGEKIKWILGQNFAGVGISDALTDDPRGDCGTDPFPAHRLAMDLIRDTIPANPAKCTRLCYLDPEEVDETFPIDNLKSDYCSHIVVHYFDLDLKNTVVFSEKAVKLVKKIDQWKNKIIDVAPDLILSLGSKQITGVWQFILANDFRRKELAEELVKTLNTSTAAGLEISWTLEPMANEFDKKNLKALIDDVVLADVEKKVDLLVATTPLSSYSNFYDYQHLNELVLIFFSSSSSIFSRTADLIVLHSHRLHSESLPMTGHPSPLRATSSMRDSKMTWEALLNHWTDQKVLRSKLVLSLTASTLSMQSLADVRNSLSEPFGQPAFVSLLRSKNSDIHSQQEICESLEAATGITHWVDVAEVPYLRLIFRRYDQMVAYENTRSAHIKAVWVSMEGVGGLALHNIQQDDPNAVCNNRTSFPLLDSLSRAQVCQKCLKQHDFKKCEQHDFIVSCNFELKKNTPLFKTDIVPYERCTEVVVEQAKLVLGGNITFKDSQQEQVLKNLTAMRPKMLKCGMVLSLSCGDSEKHLNYILGDNMTAAIDNVMNVMDKYKFSGVQLDCEKAIRRGNHQSIQNNFTEFQIFFNTFVRKLAKKIENAKASNGCNRTLSARFLSFLLISQVIYIFQILPFH >CRE15124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1202:5429:5918:-1 gene:WBGene00073946 transcript:CRE15124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15124 MTRSRRACFDVANVLETYQPRNRTHGHLFVPDPPTPCVKIDEDGSHDMPKEKNAFSNARDSHYENMYQKAIQMAKEMDQMEKIAASPNLDASQNVELKPANPEQDKKESEEKAKQAKKKYDDDMSKPFSC >CRE15125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1202:6983:8242:-1 gene:WBGene00073947 transcript:CRE15125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15125 MRCAREPTKRKSNNLLREDVNYKRHKSMSKESSPCPEEVLTPDVMISNLHELVNEVIEDQDLPEAAIIELKGFDASATTMEEYMQKREEIRRMVGDSEFFDRKTELNLGVASLAGCQLEVDKRVTTRRMSRKLHDECGINEKDILYKGLDYQGTEAKASKELRDSRQASIIESCVDFQKQLQWKIGIMDQVKAKSKPLLGKTYPMSDGPSFRTRNGQDKQRETMKQQQKELDNQRKTRQKKEDDPFQTPQKKVEFNALDERRIIENYQLEVASEVRSHRPTVSRGIAPIPYNINILDDIDEDD >CRE15126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1202:9375:9828:-1 gene:WBGene00073948 transcript:CRE15126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15126 MKSVTIILLTILIGESISLTMLGLERGSRVGENMEPPYFPEEDDMPPARDPGVPPSYAKAIGTIAGRDLVARRWKENEPIRRQILDVEGVPLRRRPLIARVLNKGGGLHLP >CRE23593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:5912:9439:-1 gene:WBGene00073950 transcript:CRE23593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23593 MSRNNENKISENTHLHMQSNESPPPLERSLSEILLERTPSETEQEEPMDTEAVLEHLMDLEEDPVSPVAKKMRQANEEPEAGSTSEMTESGVQSDSRTSSRAPSPAASEVQNPVHNPKEAKEEPTAGSTSEMTESRVQSDFRASSRASGTATSEIEILIPDSENKAKEVSTAGSTSEMTESRVQSDSGASSRAPGPAVLTISASEPDLVLPERKLPKNLVEKEHHPWENNYNKDLGHVPKNVAKAIAASATIEDVQEFRNKSVQWARAVTPSGGPTLKGRFVEEMKTIKSRPQTPGVIYILKSSNGNLWLATPLNLEVSHPDRPDLHGAYLSTFSEDLLNGTQLHHNNFVLGDAVYVTEMRPRPKRETEDIATHWKDVHAKETKGFWDISKFYILERTYKDALTSRMPHNKKNSKNTMVTASGVNVPISVRIELYHAAKIPNVPNAKARGRIYLPSLQPGQPLSSIIPGKPRNMVLARTCDKETFTTVYPSVLSFQHVSAEEAESLRHPDVFKDWTPQKPDPLRAMIRSTFLAFSGTLAVANQDKDLQPKVTIVDNVSWVRGRPVVSCDIRSLYGQPHPEKWTRGTKLVMETADRPFDVEIESSTPDLGKITLTLRPMSSKPTVGDSARNWKGQQVILAQQLENNKRSFQTFPLVRDFQEMRKDAPIRLLLEAVLGGNKIARQEVRDQEVKVALEGCPLTAEQKNYVNGFVRSNHPAVVADSPFGTGKTYLIIVALRLAALELEKDKLHMATAVTNGAVAALVSTFLKFPEDKKIRALRVISPSNHDQIEEKVRTPIDYPTLAISTLLDYVKKVDSETGEDRVPCHLARTAVQHLVRDNASALDGWCPKNKELQSVFQTKEEPSSSCWSTFLRIYKPNVLFGTAASMIEFLYDGPLKNHRNTIITNQIDEASQFPMHQLITLGSLCPNARYALIGDVRQLAPYAHTGLSNEFKKIAVGALLESAAKSIPVFSIMTVRRCPQKLTQVCSDLFYGGKLKSARSSTESNPYTECLGIESKFPIQIITTEGEDQLAGTSRLNVEEAGIAAAIVKKIQGAHPSKTVAVLTFYKAQCGHLSRMDALNNSFVGTIDASQGLEFDVTIVLTTKSSDFNSKDAREDQTSFVEDVRRINVALSRAKGQVFVLLNRKAAETSKIWNLFFRKVPKGSTHQGSRFVQH >CRE08610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:16725:19835:-1 gene:WBGene00073951 transcript:CRE08610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08610 MVKFQECEFGDLHHRAGIFKTENAHTINWPSAFNIFAGTQVVETTNCFMMPTSVYSRFGSETPSSPAGSLVGCRFSDGSCTTRGGATFIWTPTQDQQCRFVFWKKLRGVQSGRIWLSEDKEFALSFLPNNSRIADCGRKIVVTDQGYGIVLPSRTKRQAEGLNEPTNFVTSNQLAAQLLANEEAVLESASKLVKFGYKNLCHASNSQRAMVLSAVVSNPTMAARKLTEKDQIRAKFLGEGFLAIKKNMLSHSERIFRVYPFQRNALLKAMQGSPEALTRIVSAHSDPSSGPLLATQIEEAFDLWERIKSVGRVIFVIWTGVCNTVITILTLVAIIAGVARFYIGPWLVSLKRTEPKATQFIGTGADVQSPAADTPVVSPQETPRIHNKLAGPFPNCARQMKRLRSPASGLSYTDPKYFTAQIPIRVNGIHFWALVDTGAGFTVAGREICALIGVGKLNPPTVDHALGLGGNEVGMAGSATIKFEIGPNTISQTTNFTSGQCCPERVGDEKLPLGSPKKETIFPYRYKVHVAKNTVISPKSEAFVKCVVPLCQEEKDLVLLSQANSLVAQDLIVAPAIFVPSKACLLVTNPTNEPKTLTPNTGPNPAGNAYDVGETEADPEFVVDLSHAKLSDVERELLLSLTRNRFKHLRHLHLHVPPEAVIQDICSPKEAPRRVHLDQIKKFVEITGPAATDRDEGPDSDEEAEQDVRVAQVDQVEAEDIDTTAEREVRPLETIPEEDLDLAAEVNDCDSPPTEGDEQQHRYNLRRNRNPPERFEAKFERR >CRE04369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:59056:60058:-1 gene:WBGene00073954 transcript:CRE04369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04369 MKLLDLPDDMLSEISDKLDLRGVSNFRKVHPRLRQLKPITNNFLEISIIKEDDSISVNFESDWERVGILYQKTEDGCLVNQKTLVGQNFLEIALQDFAINLRYQKGKLREFVVEDDDNNEVVEKVSNILKSGVKPLATKNFVLRVPNSNEVSNFLPYLDATSLRDICLNSKERDIPLRFEEFEHLEQWKTAEHFCCTVYLGDSEVLSRLIHLDTVDVHVHNLYMKDLFPLRDVILNDAFKFSIFTVNYTNFAEEEEFVNLVKTEKDKWPTVCFTHRERLETTELSPQKFQVVSLQQDLL >CRE04351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:54131:54424:1 gene:WBGene00073955 transcript:CRE04351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbxa-178 description:CRE-FBXA-178 protein [Source:UniProtKB/TrEMBL;Acc:E3NIC5] MAPLLDSFKNNPTFLKSCIFYETLHKKSVFKSYKNFCEKIGDDVMSYYDFEYWYCRFCQGEMDFDHDRSTDPPHHTFMQLPPEVHEMILKNLNCKAK >CRE15868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7185:597:1342:-1 gene:WBGene00073956 transcript:CRE15868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15868 MAQVVTLVGGTQGFFGSTPAATKKNPLAVTLFRQIIEAINYLHTRGITHRDVKLENILIDGNGDVKLIDFGFSRHVERRERSRSFCGTQPYTCPQMKKFRPYVPFCADFYACGVVLFTMVVGKWPSKLEFFSQIFEIFNQSCEFSAKILKFSAKISKFKEKCSNFQRKF >CRE18376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2323:1498:3965:1 gene:WBGene00073958 transcript:CRE18376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18376 MKILVGVKRVVDYAVKIRVKPDRSGVVTEGVQHSMNPFDEIALEEAVKLKEKKLATEVVAFSLGGPKSQEVLRTALAKGADKAIHVQVSDEEASKLESFHVAKTLKKIVESEKFDAVFLGKQAIDDDSSQTAPILAGLLDWPQALYASKVEEGGDGHMKVKIPFVLSADLRLNEPRYATLPNIMKAKKKPLKAIPIKDLGVDIKPQTETIEVTEPPVRQAGGFVEDVAGLVAKLKEKGFVKA >CRE17731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig950:10989:13023:1 gene:WBGene00073959 transcript:CRE17731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17731 description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:E3M514] MSDQPSAENAEVRQRRVPDSPEANLRAPDRDDVRPTSDESDMDAILQDEDRMERLTKAIPQDKGSLGEFADSMLEALPPRWRNWVVRGLFSIIMISTFTFIVNRGATWLMFLVFLIQFKCFQEIISIGLAVYRLYDFPWFRALSWYFLLTSNYFFFGESLIDYWGIVLKKDNFLHFLVAYHRLVSFALYCIGFVSFVLSLRKGYYMRQFSLFAWTHLTLLLIVSQSFFIIQNIFQGLIWFLAPVAMIICCDVMSYMFGFFWGKTPLIKLSPKKTWEGFIGGAFSTVIFGILLSLALYNRPFFVCPVQHYQTDSSNCTIPLAFQLQDYPVPRPFSFFFKILRKEPVIQLFPFVFHSIALSLFASILGPFGGFFASGFKRAFKIKDFGDVIPGHGGLMDRFDCQLLMGTFVMVYIHSFIRVPDASKLIKQIMTLEPQEQMDIFNLLQAELSKSGLL >CRE17732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig950:6479:8786:-1 gene:WBGene00073960 transcript:CRE17732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17732 MPKVIEKERHRDNREREKRKRRRRDDSFDDYEEEGGISGWKLGLIVGVIVVCFAMLYPTLFHPMLMGFLGRSSTPSPSINQQRPPIHPAMGGGGGGGQRHPGGHPSRPDVHPAMRMAQAQAEGQSGGSKGMFTWMLPIYTIGVVLFLLYTLFKSKGKKTKRKRRNNYFDSEEDEDDDESETETKYGGKFGKKKLKGLQERLRQTEDAMSKILEQLESVQAGANPVDLDAADKLADQLEDDKSAKEAVGLNETNEQYIKDLEVALKEFQSLSKAYDKEKMKKLKKRGDTSSEEEEEEEDMEEEEEEEEESELSEIEEEEEDVKPAKKRAVDNKKKKSKEIRQKSTSEEEEEEEKREEEDAEEEGIDIDSEIQEQAERDKKNKNVRRRKPKKI >CRE17730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig950:5185:6072:1 gene:WBGene00073961 transcript:CRE17730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17730 MSSSKTLILLALLAVSALAIPSRVKRAPEPQTEAIDGTTAELSPGDDTPAQFEEEASGEEEHHEHHMEASGEIPTESSSAVAPMSSDEPKIEEPKAEEPKIEETTEETAVLSKEPEVEEKPIEAATVVSSAEEQPSTSEAPMTSEEPSTSSETPVSSATSFVSSLFVLVCVYLIAM >CRE26912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2084:956:3460:1 gene:WBGene00073962 transcript:CRE26912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26912 MVKSGERVVVKKLNLEKVIQNLARINSTLVSNLGNQIFCVVPRIKDSTNKEQGYRKEKQLKFLVSFRSIKSQVPPYLRGGGDVMEDTEIRGIRKLEPEAQLDSSKPLICRVLYPTQGYMYKCFYPKCKGHSNGSTDLRSLKKHMVDKHFTNIEFAYKCATCMFLTTGKSATALKSIKAHMASHHKVTMEPGKKSLVQKLNARLEEAAPSLPMPRNRSKVIQLTPEKSISELEKKKQTRSVAKQLSTLKESAQKKEEEVKIAEVKKREPRLSIIPESNVRRSLAAGLEQCINPEQSVAQRIREKREEYAKASREAAAKRRSSLAMKPARLPDKENEITLQETKKIDDPIVIDLEKEGILTTVLKVPRNQFNSWCLEHETTIDAWLTDEVIHMYMCTITENRKYFMAIDPVLWPVYVRNGAEDLLRRTSCPGTFFFPICESNHWVLLVIEHDVYWYLDPKGEEPKGNVEILLESMKRKRQYYEFPPPPQRDNVNCGVHVCLMAKSIVDECGYNWYSEEDVRSFRTNMKDILKSKGYELCPEPYNRQNLLKTEKQKEVILEEMIDSFVVEDDMTFTVHRDSDRGDDEIEHLKTIEQEPENEISEIENVEGSVDSVIPKLMEMRVQTPSVINEKRSKKRVSAKEKPRKQKEKEQKVPTGKPDELVKRVRVWFEKEFKSYVEDGKSFPRLEWLTDVLTAAIQKASAGDEKAVELIEKRCPPLEIEEGEMCTQTEKKKKPKSGKGNGDQESMKSLMASYNENRAKTYNILFGNHSKQCEIPIAKVQKFFEGTTAETNVPKETLKEMCSRLPKVEVGTWIEGEFSESEVTEALKKTKDTAPG >CRE30333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2208:35:3757:-1 gene:WBGene00073963 transcript:CRE30333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30333 MSGXXXXVQKVEPLTTRQQIANELNDAAPFVDIRKPKANVVEEKKTENGALLKFLTKSNKDEQEHSQSSDSPNVESPEKETQALTIDPKGNNSPSKSSMRSSQSSASSVCQEIQEIITLSEDEEPKAARPKPGINVWSLINETGKDAYIDTDIMMAFLKMRVENYDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKKRVVFPICADEHWTLLTISNGIAAFYDPTGSRMSSYIEELVNELGLIIPKEQDEQPRQRDSYNCGVFVMKMAEAFIQDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKSFAQSRPTSRSSQCAVCPTCSRSATPMMDVGNMEVDPIPQQQETTKSREPEQDKGWKVVGNTRKRGVVTERSPNISPEAKRQFTGPEIKVVSPGKFHPLVGETEEMEVTCDSPPTKEPTTEPKVTPSLPAMKIASPEVTKKQTSKKKGKYGKKNLQAKTTQPKGESSKKAQPKGGPTKKAQPKGEPAKLIEQVRTWFDRQMESYQEQGSNIQTLTWIADSLTAAIFKACSGNKYLVDKITARCPPPLLNEGEMATQTSRRTETVKPKDRFVKESNEPLRIQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTEDALNTVCSGIKRAKVDPSIEGPISGGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLAMLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNKRISSVPGVISRCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCAWLDLTNAFGSVPHELIRRSLASFGYPASVINIISDMYDGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQARKIGYSCVSNDVRCLAFADDLAILTNNKEEMQDMLNQLDKDCRSVALIFKPKKCASLTIKKGSVDQFARIKIQGMAIRTMKDGDTYKYLGVKTGNGGRASESESLTQIAAELQKVHDTDLAPNQKLDVLKAFILPRLQHMFRNATPKLTELKEFENTVMKSVKMYHNIPIKGSPLEYVQIPVKNGGLGVMSPRFTCLITFLASTLFKLWSDDEYISSIHKKALSRITAKVMGLNTQKATLQEQCDYLNTKKAITKGGYSLFSRMNEAIRTLSVNQGAPLKSMRFIPENGEIALEVQASENSQIKVFSKADSMKLVTKLKDLVKSAMLKNFLENKKVKSKVVQVLQHHPQSNKF >CRE24355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2195:263:1690:1 gene:WBGene00073964 transcript:CRE24355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24355 MSRDSTNCSLTILLLFFLLFFSITNYLKFRNIELFLTSSTNSDPSDVVALYQQESIKQLRKNALLTAENDRKILFNASRLTNNQDFYRKVVEEAYCGQKERIGEKGDGGKYVCNPKKVKKDCTLISLGLNNQIGFDKHIYEATGRQCKILGADIVSFTLNKNFLMFRILDMKFYPSSSQFFFCRIETQFQYQQEQPTRDAYEKMNGELFAAKIPNDLTIPQMLEKTERIDVEFLKIDIEKGEFTALEPLIKDYFVCQIFIEIHGLPSDHLRMLQIIAKCIRFRIFNVDENLLCPLCCEYSMINELCMAQFEVVPLAITIPQLNS >CRE30306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1209:4423:7812:1 gene:WBGene00073965 transcript:CRE30306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30306 MRNEGGSHELFDILAQYLFHVIVLYPSVLLTSIIKNMVVVIDSRADMAQERILMKKLKQYHIGILVLSVLGVILLLWAIIATVYNFTGNRHTDHVVIEPKSKSAKYKNAAAVADSRFCAEIARNVIIQGGNAVDAAIAATFCNGVVIPFATGIGGGDFIVIYLKWVFYSFINLIYLTEFLKILFLEYSREEKKCVFLNSRETAPALATEKMYTHDKESAQFGYQSIGIPGELHGLWTAYKKYGSKVIPWSDLVMPAAQLAKGFPMHKAMVNYFDRISKYKGRPEIEGLRSLYTSKFTGEFYKIGEIVSNYPLAKLLRVIANSQDPVQLFYNGMVFEEGIVRVIFENPISENRETVPKISIPGSIAEGIIKEMIANGGIITIDDLRNYKTHVTETLYADLGKYRMCGPPPPSSWTITQAIPRIVEMQYRDKKMFNDAEFYHTLIEAQKLSYGQRGHLGDYLFSEVSMQLAKNLTDRKFIKFLSKRVMDKSQDLEYYLAAAPAVLDSGTSQISVVDDDGNAVSLTSSINTAFGSKMLSKYGFIYNNQMDDFSTPGFRNHWGFEPTEDNFIQPGRRPMSSMSPTIVFDPKSGEVKMVTGGTGGSKIISAVAQTLVRGLLLGQNAAEIVEMPRVHNQLTPFETEVEEDFSVKILEQLEKEHNQKMEKTEESLAIVYPITRDGDEYTVAANYRRESGNSPAGY >CRE15203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1387:4937:6212:1 gene:WBGene00073966 transcript:CRE15203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15203 MTRDSNNCSLITLLLFFLLLISITNYFRFRNIELYLTSSTNSDSSDVVAHYQQESIKQLRKNALLTAENDRKILFNASRLTNNQDFYRKVVEEAYCAQKERIGEKGDGGKYVCNPKKVKKNCTLISSGLNNQIGFDKHIYEATGRQCKILGADIYQQEQPTRDAYEQMNGELFAAKIPNEITIPQILEKTGRIDVEFLKIDIEKGEFTALEPLIKDYFVCQIFIEIHGLPSDHLRMLQIIAKCVSLFLFIF >CRE12603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:992873:994681:1 gene:WBGene00073967 transcript:CRE12603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12603 MEGHSNTSVILHTYQQQQTQLIPSIIPQNLPDGYGIPTTISQADFSANNNFINTNPWNSTNFQNYQDNQFTNEVSVLNYPTVYDDFSKESTTGTLSDPSLHGSNSSSSTSDVGSSIDCSISPEPILMPSVKRGRPTENPCWAYFHRIDDQLVKCRLCTKILQVVRSACATNMTKHLERHHTDDYQKVTGQLKLFRMNDAGIRSKMHYEISENPLTNIPVLATSYILPKMDSMETFDASQYYPIQQQQQQQPQQDSSINPQQFVQFEQPQTSSDLQTWPLTHFWQNGATTTQTNMMGHLGEPSTSSIGASVIQEIHKLSINPEQKNIQLELEQQRNLIIAQKAEEKGRIIRQTTKPYQKRNRKTEHPVWAFFKRTGDGNAECIICQGVVKSPCSSNFMRHLMRHHSTEYNDVYLKWIEKRNVTHPGVHCTSVPPPLSFPNNDAPRQEQIFSVT >CRE12792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:994898:996580:-1 gene:WBGene00073968 transcript:CRE12792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12792 MRTSANRRAYIYGLPALLLAFVVLWNESWSYWWLSTQWPEHEENGRCDRIIIVADPQLIGYRNEKYGAIARWDSDRYLSTGYGYAKWRFRPNAVIFLGDLFDEGLESNDDEWHETYERFVGIYTIDAGDNSIYIAGDNDIGGESEIISESRRNQFNNYFRNNVTDLKNRYSFSETYLFENQNLKHLTKAQASIAKIMLTHVPYLVEGYKHTDVGLNMDLILSAHDHTTGIYEYQRSAPRAVLFTRVSDASPTYIKTIGPSEPLIELQTPTCSYRMGVYSMGYGALSICRLNDGYRSTQVQYSVMWLPSRFAQLFLYLFTVISCASWIIFQTKCRSRRYSWLM >CRE09865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:753:2854:1 gene:WBGene00073970 transcript:CRE09865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09865 MTRKRKNVESEEKPPAKNAFEGYGYTEQEPVEKKEFKTFPVKSDGFGVGNGGGGGNRGGGRGRGDGRFRRNDRNDRGGNREFRESDFEKQYGRVGGNDESSSQNDYSTNNNTNQFNDSRPDGDGREFPKEIVGYLRSIEQIKKKEGKIEDFILEKCAEEVAGQEKVLLEWTEAAVVVESVFGSCPQGAALFLSSISRLKHKTLADLMFGGASARTIENLIYSLCPISDPEHVDLLQKLSGILLDNWADAVTVQPSSFLIRAIVWVCCGLSAKPKVGEEKKRIYKGQDMKSSLKRVYEKFALLAFDENLNQNAMNSQSFVTLFQDFIEADGLWGDKRGDEYVKKKLEKEDYDGISKAWYSQNGSRVWEKLMETCSEDARSLLWIDFCSKNVAELTDNKFSNFPLQKMINSSTSLEMVTEIIEAMSPKCSYLIEHQNSGVFYAVIRCAARYPSSEKTMLQQLRKYFRSASEAKKGHFLLNVLTLNGYDGSNFDSQKFTQKGTMLVSELVNFSKTKTLSAGFEQLTEQQIQEMSCNKYTSRLIQSVLASKTMSEEVKEKIIGAFDKDTWETLITDTYGSRVFEKIWDFVDVKRKQEIMKVLVGIHNTSKFWKFAMLRCDLYMFRKSRKDWIEKMKKAKD >CRE12427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1336:10792:13724:1 gene:WBGene00073973 transcript:CRE12427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12427 MGATHLQNDPRPGSPVDHQPTTWGEMIKKWPKQTFLIVGNELCERFSFYGMRAVLTLYFFNILGFTQSSSTVLFHAFTVICYTSPLLGSILADGYIGKFWTIFFVSIFYSCGQILLAFSSIAPSESSHHPFLDLLGLLIVGLGTGGIKPCVSAFGGDQFPAHYTRMISIFFSMFYFSINAGSLISMFLTPIMRSMSCFGGDSCYPLAFGIPAFLMIVATLVFMSGSYWYKKVPPKENIIFRVIGTIATALRNKATSSSTHHRAHWLEYSLDGHDCAMSPECKALHGNCAQRRYIQDIKQLFRVMVMMIPVPMFWALYDQQGSTWVLQAIGMDANVWGWEILPDQMGVLNAFLILFFIPIFQSLVYPAIERCGFELTMLRKMGCGGVLTALAFFVCGVVQLFVNSSLPYLPAANEAHLTIINTLPTCDFKVQIDGREPFDLLSKTGINPEDLVTKPISFTGSTVFQPNITFDNTSPNCPKFTANPTFASATSYVLTLSPNGWTYNSVKPQKPKSGNGEFGIGNLIVPCDKIPSNVTWETCNGTEGYSGSIAMCKEEKNEVMET >CRE11042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:279908:281714:-1 gene:WBGene00073974 transcript:CRE11042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11042 MTVGYLALQSCLAVALLLVTAISGWGPLVLLTVMKKKGKDQHDSGWLSYLSCFSGGVFMATCFLDVIPHVTQNYDSMVNNYDLHYPVPLFQVFICCGFFVVYFIEEITAMVWFFQRREVLNVLDLKVFGSEGHSHGHSHGPPKPMTVDIKKENVTSLVVEEASPWVVSDEKSNLLKSLTFAIAMSFHSLLEGFALGVQDSDTAIWTLFFSLLLHKSIEAFSVGLQISRSNSDKKKIVIFTILIYALMTPLGTVLGTLLQNTGGASFGKDFLIVFLESMAAGTFIYVTFLEVLAAEKGNRFNSLKQLASIVAGFVVIFLLQVAFGHEAHGHSHPVPAGSPDPSVIPPLPFSPSISPN >CRE22181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig632:6512:13278:-1 gene:WBGene00073975 transcript:CRE22181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22181 MEEASRLLVKIRKIDRLYSEEFEKDLDEVLRIEAEKHAKSNKKQKKYTFIHLFCTWKMMAQTLTFIVGIICTTFIVYALMYNMEKLSGSLYWNSAAIGASRWVVNIIVSIADYKLHWFGRKLINILSMLFTLASLGVMAVYMYTGHGGSILAIGTTVAIAMCSQLFIAKYLMVNELYPTAVRNVAVSAVSTMSRIGSMFSPQLFYLSDIAEWIPYAVLVGFQLVDLIIFCVFIPETKGVHLENHLPPKHKRIFGKRT >CRE08331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:160723:164146:1 gene:WBGene00073977 transcript:CRE08331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08331 MICCFRLLNSRRRRNVSTRTIKFLQEDGCSQIQRIGSKIVRCRCQGGETEELRQEMNFGYNDEGIPDGKFVVTEELTLEEAANNNVVSVIKMARRFEYRKPSILPNFLVRNDVLLNEPSTIQCYNDFVLKSESPTEWESPRTQSDFYGIINFIGCNAGSFEHLEQFINDDRSTAKIRTLFITQAAISKEFREKITSLGRKDEVGFDKEELV >CRE17669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig784:1172:2319:-1 gene:WBGene00073981 transcript:CRE17669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17669 MQYELTDILTKLGFLLSFLFNSFFIYLTVIYIQNVSGLYKKLVIFFSIVGILFSGLEVYARPFAHNFNNSLFYFSLNNSGPESLVIFAIMLWAGFYVVIVSSIAVQFIYRYMCLLDSEKTMNMSSLKNLGWMFYPVLPGAFYTGALYMLCWPDEYSDSYVRNVIFDNYQLDVANLRRFVMTPYNTDESIRWNNFTFHVIAAVLVCFHYSIIIFCGFRMHISMKHELEKFSVQNRKLQKQFFKALLFQSLGPSIFIFMPAVPVLLSPLLPPVLGIKINWQTGWLYSFIGVYPPFDSIAFMVIVTEYKVLIRRGRFLKLFNKNHKSTVHASGTSQMNNRSSALDST >CRE05336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1452:2824:4549:-1 gene:WBGene00073982 transcript:CRE05336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05336 MNSLLSVVLIFSVAVMMAAGSSISSNVDSSKLCNKILCEVNATWKSEQNGDCFESTYHKKTYKSTHNGTVDPLVGVADCTKTLCGVTEKVKSDCPAAFGEKWDEFVNKPVLNNSLTARSHKRNSGDQDEIRTHAGKPCFDCGRRGHISKKCREQQDDEKSDRSGHRVNHVVAKTPRRRRDIYKVNGIYVKESKPRKGSTEVVKNGSRKRHVRRWPDEKFVKIQKNREETGTERCKSFVTRSPNPSRKENIGVFERHDRWGKKNPKENSRLQPELKVSFPETMRQHSAWNRGWKQQGSSTPTLPIPPQSSFAMYPWRNNFGCSEVTGRYVWIPNCYELRMMSGRDANWREFHQNDVAPVDFRC >CRE05335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1452:5349:6656:1 gene:WBGene00073983 transcript:CRE05335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05335 MTRFSGKSVIITGSSNGIGRATAVLFARYGAQVTITGRDSDRLEVTRQKMLKAGGLPENVNVVVVNLTDSDGQDQIIQSTLNRFGKIDVLINNAGANFMDGTMNTDQSMDLYHKIFRINFQAVVEMIKKTKEHLIKTKGEIVNISAIAAGPQALPIAPYYAASKAALDQYTRCVAVDLIQYGVRVNSVSPGVVTTGFMNAMGLPDQIQEKAEAFMASRKECIPAGVCGKPEDIAELIVFLADRKRASYIIGQSIVADGGSSLVGGMHAHDLKDMLGL >CRE05337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1452:6707:8391:-1 gene:WBGene00073985 transcript:CRE05337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05337 MSTRLAEYYIFNYSKCSIDESFLASWKGLVYPSHAFQVIGLPLQLITFWFILKKTPENMKSIKGPLRIPMFICLRCSLLDAQFCSISTPYIFFPSFAFLTIGTLNWTGMSMIVQMGLLVFTLISTCISLVYLFESRSSSIPENRFKITRTSSRIVYYFLIYLFATPSCFLVIQIPENQEDALLESLKIIPCPTREFFTEKVVVALSDPFLIKVILIIGIPVLGTFIFFHIFFHVASCIYYLYISPSNLTSSRTRKIQKSFFIGILIQTGIPIVILAAPYIIMATALLLDRLSQGLTNSVMIIFGIHGILESVCIILVHRSYRQSFLKTLGEHRAKSSEFTFYTWLTKDIFQNRLFSVWLETVYWFCLVFPNEICFIQSICHSTVNASCIRVILITEYSYLIYDVCNRKTGF >CRE14441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2262:3428:4006:1 gene:WBGene00073986 transcript:CRE14441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14441 MVSAQSLSEYYSNNFSKCSVDNSFLASWQGLAYPSHVFQAIGFPFQMLTFWLILKKTPDNMKSIKKPLLIAHILCTLLDIHFSTLVTPYMFLPSFTYLPLGVLGLFKVPVLVQSFLMVETLIGKIKKKNLKQFFNRIPYLRFRWNRKCLVLKLPLWNALFSKFFKMIFLVLKLQTFRKIIWPQFLKVMKDQK >CRE02720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig921:5200:7014:-1 gene:WBGene00073988 transcript:CRE02720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02720 MKIWILIPFLFWSSIATANEPQLPLVSTTISPNTEFVIFGTRHGNRNPDEFLSGIDRSWGQEGSLELTSIGKRQSYGLGTELRKFIGNLTTNNFNVSEVKYYSSSANRCQMTLQVAIAGLHPPQAWNDWNTQKFDDWSPIPYTISDPILRMYSVKSCKKSVEVWAPIDNDDLPELENLKNDNSQVLQYLSQETGWNMTGNLGKAADLADNLIQMDFYNTTYPVWLTQPTIDGYDGNELKKTIMEFAEIHPRSCAYYYPCRYLMGGLWLDDIINKLNDANSTKNALKIIGYASHTEITLALMKLMGIEKEEVTTSAGFVIEFRRRPNAAIRILNHDPNPIDAHVIYPANLTKELSDVQESDGFIRLTDFIRIVRPESYSDWPKQCDAPSCALDNPNQDFSLSASSPSGLTVVVLTLLAFFTR >CRE02719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig921:2148:3530:-1 gene:WBGene00073989 transcript:CRE02719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02719 MNLLVFFALAITASAEHLLPKKSVAIDKNSVLVLFGTRHGNRHPEVFLQENPRSWGHEGNTELTSFGKRQGLGLGKELRSFVGNLISRNYNSSQVKYYSSSANRCQMTLQTVTAALHDPEQWGDWDKKWYDHWSPVPYAIDDPLLRMYAVKECKGNDKVWGPIDKDSLPTLKKLKTSNVAELKYFAENTKWNMENLGKAADLADNLIEIDFYKAEYPSWIKSPKLKGYTFEKLKAKILEFAEVHQNACAEYGPCGNLMAGYWLQNVLEKLADANSGKGPQVIGYASHTEITLSVMKLMGYEKDELTTSAGFVVEFKRLPKPAVRLLNHDPNPVDEHVIYPAELTPKLKKLADKDGFIPLDQFNSYAKQFAFSDWKAQCDKA >CRE16973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:273114:277545:-1 gene:WBGene00073990 transcript:CRE16973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16973 MAFFDEFDIQHLPELLLFAYIIGVIFVLICFCVLLPFYAYVYKINRERDKKSAVFPIVDHFFEMIKKFYYLVSCFIPCVIFVSLTSNDRFQTARNAVSTVMIFIIFLLHIIVEVLHLLISYLAIQRCLLFLFESYEKQLVVVQNKILSKIWYLYILFIAFDFINIMLDPMCAMNPCSERKTFQAKIIRKFSHLPVSRQNKPERYILIQMVATLLFKSIAVFPIFDHLYEMIKKFYYLVSCVIPCIIFVHYISNEGTTRDAVAIVMAFILFLLHIIVEVLHLLISYLAIQRCLLFLFDSFEKQLVAVQNMILSNIWYLYILFIAIDIINLIYVPLKNPSNERKIFQAKMFFLVTWLFYNFLLVLSAFLYIPIIISIRKLSHLPVARQNKPEQYILIQMVATLVFKSTCIPILLAWSDVESNFLSIKFLFYVLDIFVIPVIIQFSYLFCNKRNVKTLLSSFDLIQFLKVILNLRVEVPVQPMSFTQSSAQYVV >CRE12413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1056:292:1220:1 gene:WBGene00073991 transcript:CRE12413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12413 ISIFPVIDHFYETIKKFYYVFSWLLFCILPAWYTARNGYENTQDALFIAIVFIVFLLHVIVQVLHVLISLLAIQRVLIYFFQSIEKHLVAVQNKILDNIRYLYIAFLGFDILAVFYGFKCVPCGFTPLVGMVFFCNILLLSSSFLYIPIMISIRKYSYMPTAQKYRPQLYIFLQTCFIVIFKLVCLRRQTFFTRKKLQFYTPVLILSMNAIIEFALAVSLIDIIMIPLMIQFSYLFSNRKNVKTLLSGLKFKRFIRVLFYSNGVSSVHPQISYTQASGS >CRE12414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1056:1851:4141:1 gene:WBGene00073992 transcript:CRE12414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12414 MLGSLWLEISSIVEVVSVILAIPNNLLLLFCIFNKSPKTFGNYRYLMAFFTYQSTWFSIITLFLNVNYFTHQTSISIFVRRNLLGLPDLGMMILTCLVCSSNCMMFVALAVQFVYRYFAMTKNPNLSLFNSWRMSLWYAAMIFISICFGIITFICGYLMEGARVDGFRESLFSTFELPENSTTYYSRMTYYASQLSHSFSYILPNFRLETAQQVK >CRE23734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig237:54515:59842:1 gene:WBGene00073993 transcript:CRE23734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sta-1 description:Signal transducer and activator of transcription [Source:UniProtKB/TrEMBL;Acc:E3NFV0] MMGPSTQELQAALTDTSKACHHLWEENKDLQGRFVNELGELQRLQVAIQQLEQNQRAEQAFAAKQSMAEMQKRATTLYELLGQKRSEIVQKLHDGTNIATGLQTQLITDKLFNWKNAQKLAQIGVPFDERDSFLDEIQMEFEFLAEHNWQLNMFACWMCDLLRRAPQLNDGLAQSTIGKLTVISEQMNKLLFMLVSQSFIVSVQPEPVLKTQHKFVTEVRLLIGDKLGIRQQLSNTNVSVKIIAEDEAKQMSADYDSHKEIRNNKTVGTISNDFEKLTMDERGHLAAKFNNSKLTRIAHRKPPPKGASDMKCAANMQAATDQKYALLFYITPFQMGNLSKASGVRMEEQFDVWTLSLPIMVTVHGSQDCDAQVAILWHRAFASISRNPNATDVTAVTWDNLALMLRNKFQLFTGARRPLSESDLAYLSEKMLMPNVADQKPITFHRFAKQAMRDELAFSFWEWFFSIMQLIKQKLLKYWDEGWCIGFISKHDASQSMMMSPHSSFLLRFSDTQTGAVSIGFVCDEEGQKVPFHLAPLTIKDLDQLSLASRIASCPQLRDIRYSHHSQLSAVSFFLFQIHVSPHRQGRDTNGNFRRMSNAPSMFGADSPSPLSIQSKLDWSPGEVHQNSMMEMADDLGQILTVSGMDSMSGDVETLLGPAFKNQITNFHPHDNSHQQHNLHFVDMSHPAIMLQQQQQQQQHHHTHNHNHNQFYPS >CRE07134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1094:2243:12631:1 gene:WBGene00073994 transcript:CRE07134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07134 MQSESGQAIAELTEQLEKARTDRQQWETERQKMEAELQTERAARHALDSEVVAMREQLMKNVDLFESSTFQKRSSPKKLRDEDGCSRTTSNLSQLTGSFTAETAPRGSPESLLDNMAITFEQLRMINDLRQRNEHCQRETERMKAILEASTLIETLDKKTSLKAFESIRVGELEGAYNRLKNDMERIVSGQNGATHSVFERIMEENERLRDEAVELRSMLSSHFERQSVAGSSGYRRSPRPDSGHCSGADSEDGSSAADLEEDLCIERQCRHLKNLAENLTRMLTNQNLEIERLQQQLRFSESQTVFRPSDCSLDEAVRGAHKQTQLLAQQNMDLNDKLTRQSEELTEARAQLRGYSGPLGLGEHSQQEQQEVCRGMSPAKSLLSSITDSDAVDGGASGDYSSRRHRNRRPADLEPPPSSSASCSSRASSIYHSLNTSQWHWSHVSSSTPKLSTSTPLSTASTTSSTATTARQFVYPPNVNAFYSLRDAMGTLLSQLARSLGLASSTP >CRE13704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:87495:89626:1 gene:WBGene00073996 transcript:CRE13704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13704 MSETPSISIYESLFAQSDETDAILVVEGKKMHVNKTVLSFHSDYFKTLFNGEFKEKSMPEIPIEDVNFEDFAATLSLLFPSPIKPTDENVERLLEIADRFLIPSAKDSLKLFMKLCKMEKMNKIRIQRPKRLHTHIPVRIADKNKFMDSPIDLAAFVLYNLRMWESAERTHYSGEIPDKTSYEKYEKLCKAMGKEAISYEEYKYWFHMYYKQRERDDLPIPDIRGCILSDVINGKTAEKSMNDLSEAFKNHKIDKEDHGYWYKRFENGHLFSQVTFSNLPENVVSEIAEKCDLMSYLQLRKVSNGLRSILDHSKPPLTHFSFEFEENQISLNLNNEVPVIFTDLNDLDPPSHFPGHFYKFKDNDYAKVAFNYLEMVLKNRKLQLNHFGVVFPKEKHNKSNQMLRDLLSSLSHKIHAKHFVIRFQNDEDLITMLKCIKPGTLKSLSLGAYIEDDGELPELPSIASIDILVEMEQWKQAKYLRILLFLDTSIEHFFHFKAFDIYIISLSIEDALNLAHALSNNSDFNSCRIEVERYDQEAVKNALRIDQDNLTELFPNLYIQFDISEIVIRNVDSPLMFHFH >CRE13724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:86253:87203:-1 gene:WBGene00073997 transcript:CRE13724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13724 MENVILELKMRCSHRSSGSFIFVFTCLPNFLRLRDSEGNEFLVDQEIPSAETPKSDTEIKKFGYGFGWTKIGIIERLRGEIGNLVDIQNPEDVEIQIGILMKVCGEKF >CRE10610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:176590:177165:1 gene:WBGene00073998 transcript:CRE10610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10610 MDVYHSKRTKLTRPKTRKTDLRICTFNCRSVATDNRLAELLEETNRIKYDIIGLSETKRSAEVHMTNRDGTGVILGKRNDSSVSGGVGFIINKSLMPKIKEIKIVSHRIGYITLQVNKNQCTIIQGYAPTADYEEEEHSNFYENLEDVYKSCKSRYKMVIGDFNARIGEKKGNEVFIGPHSMEKNDSGERLL >CRE04420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig851:46:1759:-1 gene:WBGene00073999 transcript:CRE04420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04420 MLPHIRHGADNHRRQRPLQMQRQHPAPRIENVFIQDYTVEQYFQSYQLPFTIEDIDRFAMLEQDWPLDELPDDVEDRGGLRLAVWRETRPTPHTHWEQIALRLPIITNVPGAREYFVKNARVQNELREGATTSRCPECGSQLGGFPVPDHSCKVCPFSPLKNMDRLEFMASNLIAYCNACNSRSASHNECKRNVCRRCEDSNHTLAQDLCNWHIGTNAGFQEQLDNVEQRRIERLELIDRLIHQPTQPLRYFSYLDEPPFNAIRRIRPGSDIYGWGPLLDYPARFDQPVNRNIYGNSLEGKKTEYPSLVPPEYDNNPQVPIPRFSEADREYLNRVADAVTALRENYEAYHHIEVPQLPPRGGRPDEEHQRADDGHQAPMPQVEPAPPQRPLRLQEAAPQHAQLPGPPPVEIPPPKLVWEDGTIIVLEPVVNQATEPRAQHGRIDGANQRPRALPEQRPIQVRQANRPLPPPVEARRQITPVVNVAEQVPIAPIVPPVERSSPTDRPPSTNGNTTQVKLYT >CRE04421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig851:3564:6668:-1 gene:WBGene00074000 transcript:CRE04421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04421 MSQNSESARSPTPHTRNAPRQTISSTMSQTASAPPSYEESTSIATRPLRGNMIPREFQSDASILEAKSTPSTTSSSLTGPLSIIGQIRQRCEPIARALMGTPQLSDQTTPDSSTSSDLKEIKSLLQSIVQDVEILKEKASAPSEQMEQGSREPLAASASIAMRFAGDIRPLDSRVYVQIKTASKVLHMLFQPVGEYVHLMYSSTSTPAQANSEEDRFLRDIQKWRQSWKTGLTWTAYTVWKPPTTQSALPYTHFRPCRCIPSLLLGNERHGDSKTCSTRRLGQEVLIAALHNLVVGNGPLMPLSIRIFWDSNQLAAPYFTSTHHNKALTMGRKNSTSILIPDVRETVDIVRDIRDKVDDETTNDLVRDVLDKLESREQLKALEVAPLLMPEMKKIGKLVAKTGEETQTAIVKQIEALVSKVTAVAQSQHATQISTIVRSMEDKMAAIIAPLKSEVTRLADRDQRPLQREKDREKSQKPLQSVSQTTINKNQFHSFQNHCLFCERGNHSTESCRTVMTCSDRLATAKAKGICTRCLNKFNDNGFGHSACPKADALCTNCSSIMTDTAMSAHHEAFCAIKKSATSSRRRPEANHSGDYKKPRYSTDGNNKPGTSGTAPR >CRE06215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1013:9670:10674:-1 gene:WBGene00074001 transcript:CRE06215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06215 MYNGFGYRESPPRYFEGFQSQDMWNDSKMSNRFNNHHSPPRNQDRLRTRDHYRSNSPSGGFGGSGAYNDERRFENRNQGHYSPNPPNWQYDERGRSNSPRGQQTLNFRQRSWSPIPGERRCRSPSAQNGYSVRNRSPSPYGQVYSNYHQDNWQPRPEQFRNRSPSQGPRDDWSPIPGDRRCRSPSRQYEDDTQGNSRNSWSPQQNWNNQQRNRSLSPEGPSTSRQYDDRSRAPSYQYDHSSYSREVQGTSNYHQNRWSPSPTPHQENQNRNRSGILQEPGLSNNRDNSWSSDRTRNVKPSLPVSGFQQPVPPLQYERRVESPYPNERQEEKPKG >CRE06214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1013:2059:5648:-1 gene:WBGene00074002 transcript:CRE06214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06214 MDRLIEYGSVDEIPYYNCSAKSQNEWLATGVKRPWLGYPITVFGVFIEILYLPILYIIFKSKLIKMTCYKIMVLLAFTDMTATACSCLITGPLLIVGSVFCVYPTFTYIAVIDSKELFCFQLVFHSSGGFAIATWCMSCSVTTSLFLNRVISVAFHGLSNSIEKKLAYICIFLCIFYGFYVLFFTPVVCFNSEWLIWLPDPLSEMKPSEQTAEYYRNRVQAWNNWIFVTCMFVLFTLYLAMINKISMGQNSKAAKSIFIQCCIICFFNTVIALVYNALTLITPDYWMLLLCQFCWSVNHGCPALIYITMNQTIKREFKKMILGSDKRIGSVSTHPASLTHLSTH >CRE31585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig887:7107:9537:1 gene:WBGene00074003 transcript:CRE31585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31585 MPNGNEKSDLYAVLKNAVNIYTPIVKGLLQPQLVAERPAGDLERVLTFRKQEKTRFNDESDKTRGVMVKEDIGKGEWVLEMTGEIYLESQVKDRSIMEGENSHHLYKDTRLGAGNEPICMAVWKQETAGRYIRRSCQPTCRLVHVYGTELHLIVEALQPMKSGKEVTLPFEADCQGFKDQLKCLHHQANPENCPLEKERLLRKAQKENPTAYSTVVTLTDSDEEIEIIVPRQPELVESPGPSSSDASARSSPEESKAPPVLLDSEIQGTSNRENENRRENEENLPVASQVLMPSPNPVAQAEIDEPVENDDVPALNGPREPRSLRAVSPPNDAASSSSISSNNAEPETAPEPMDVPEPMNAPEAADQEPQEGATPLEADDIPAVAIDPSTRRRLRSAGFEREALDLTEPEQVAVPVNVAPAPRTRKHLRACKKSAGAPSRRARVQNTRSGNSAAVMSPRTDCAHHTRSNARSVADVPPTIHNAPVVAPPAGRKNRRAQRQERAAYARRCHRDQNEALAAANVDPAPASPGAPTASVVVNLPTTRRRRSSQACSLVAPVSTRRPAASRSESRPAPSSFPAPPPTSRTAALRRSTSGPSVPPAVSAPPRARQISMGDQDAIALIGQSMHPTRAAVKQLRDKNPNEGQVGQGSTSGAPAVKKPRKTAIHQEPKRDRGGKK >CRE22196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig751:2227:5336:-1 gene:WBGene00074004 transcript:CRE22196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22196 MGDPNRTVSASRRPKAIVRLLDFQQIEIVLHSRLSVEDVLRLVSEKLELSFSDSQYFCLGFTDNLNHFHWLDGSVILYDLVASTSNPKGTLTLSHHVRFFVDTIYEVQCASTAKLFFFDIHNQLTRHELLVASEDYFELVAILVSVFVPDCQEHTIAEAISRVMPLSHPPYILLKTDQSDVEKKVFEKFRYYRRLPVGTGMISFIKLAEKSESYGYRMYEALNENNDKCILSIGYKGIYIYRRSRHQNLITPYLAYPWRVIDNLYYRDKKFSIEIREPKKTESSENVADDVILINDRQLSEAFSHPTTQVSCGRRRSNNQQPRVLLFPFTCATPLVCRTVWMSAIAQHRFFLERKELKKVIFIFDFLLNSFSGYLLVLFIAQKA >CRE22197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig751:10979:12245:-1 gene:WBGene00074005 transcript:CRE22197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pin-2 description:CRE-PIN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NN53] MTTSAKITEQRNSGKRNRCCERCKDQFELDEPYFLLGSASWHMRCFLCAQCMEPLVATTYFQFENRIYCEHDFKTLYAPVCAKCNEFVIGQVVHSSNYSFHFACFTCDDCDTQLNSHGAYRYHGKILCFSCNQKMPKLKIYNCTKCKQRVEDEDLLMYQHEPYHAYHFKCTTCKKVLEVDARTVKDDLFCPRCFDFQCEVCFDCKKAIDPQIEQSLFTMNKHWHIHHFRCATCSRPFNGHEHYEKNGKAYCRDDFLELIGHHCFICDKNVTGAMVHVFGKAFCPDCYRCRGCDKILHYKDKVMELDLMPLCKKCIGHKNFQKSLKYKSI >CRE22198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig751:12979:13374:-1 gene:WBGene00074006 transcript:CRE22198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22198 MNIQAIVCSFILAIGLVLICTSTNTVDEDTDFTKTTYTVLFVIGCVMTVVGGLGYLYTIYEVLMRAHQKFKARQLRKLEQQVVRRRTETMARTRTIALTMEREKAMSMNGGGPA >CRE22199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig751:14514:15478:-1 gene:WBGene00074007 transcript:CRE22199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22199 MRVIPFFTLLLVLNTVSLVGTQQAFGSVSYAAIQRIIPHATNANAFALAQGLNQNVGKYAAMRTLVNQFFTQNKAGKPNPKTLSLYDNSTYSRQKLVALVNNRQAIANWWSQDLTPGFTKIYNAATATTYKNLYAYFDSRYTNSFTYTVVYWMLTVLMKDMWNEVTYNATVDLISDTMNTQVEKSPTLVFEIYTEAFEANHNQTYMKGKW >CRE09912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1059:12278:13397:-1 gene:WBGene00074008 transcript:CRE09912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09912 MSLQEMPNEVMEKLVDDADYATIQSIRKVSRELRSFIDGKTWQFPMYELSLDAYDDFIKLQWYHLHGRYQPFFFNGILEDYSMYYAQEKLRVVVDGGRSVEKMLKSVKVHTVGFNDLEILLNSSLLKLKNLRTSFYTVEKKECRLDYLLFKEKLKNLFATRKQKVEAENLCFKVTDQSEILLILPHVDPSSVEDIFIEDSREIRNTVLDIGEVVQTAQWKNARTLTLQNCILSAPLKHFTHFDEAYINVGSISMGDVIDMKNGICQRNNFEKFKRIHLYYVYVSDEEELIRLLGEQTSDWSYTQVWLYETVDPRTALRLEKFENRITFQTRYVCPNMTLIPLE >CRE09911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1059:654:8692:1 gene:WBGene00074009 transcript:CRE09911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09911 MSGDAQPSSNQRATDAHPPPSPPIIKEKAAIGALCVVCGDRACSHLYYGVAACHGCKCFFWRTVKSKLNYTCRYGGNCSISTAGRNACRYCRFHQCLSVGMKMEAVKMDRKLTKRKREKTDGDDTDDGGNQESYDNKIDAKRAKSDNRLLVSSLLLIDKTSSDGNAKLSSLHFVQPSLQNLLEEPELLDGFRSEMSYRATRQADDQLCYDNERRLVTWAIDWCRQTAEIGDVLHTNDKIALLRACCGPLVLLELGCQSSSFSQSDAQIPLCNNSFLPAHIIPPSASFLNWKTIQSLSKWTQRELKPLCLQAKEIVLLKALIVLNPEAHGLSQDAESSIRMLRERVHTALFQQLLENSEPFAAASRLAQIMLLIPQLALMGVEVIEQVRVRNTFNKYSAFGEGLLFWQLYGDIFDDQHNDDNYLEHSASCSPTDSQYTNDSS >CRE07441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:590017:590886:-1 gene:WBGene00074010 transcript:CRE07441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07441 MKNHFGTGILLSLLICVHGAYVNAEKGRKFDPQQLLSEINEKRREWAEKFQIKNMNELNYNMDLEEKAKEMRTCDNLTSGIDYMYTVISIDQGLAIPEVESVKEQLNMTIDTFPGLIISEQSKVGCAEIECEGKGNKGKIVVCLTGPKSEFRYEDLKTGPPGSECPNGKGENGLCFSEEERISNGFGPKEDLENREPKGGEEENSSSYGISELVFCFIFMMNGLL >CRE23872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5355:684:1834:1 gene:WBGene00074013 transcript:CRE23872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23872 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NWW0] MNASSIYVIVSIIYGFPSVLLYIFSFFVWREFTWILVILVYFMPFLDTYRYFVHTAEMKYYGETEGYTPISSVPINDSFAYLIPFMIISTICSVALNITSLLFVKQVKLRKKNEVESNFLIIMSITCTAQLFGMILSVSRVILAGTKVANTLAQILPFVSDGLTLVQPWLLVVFCHSVSLKFVLIENQVNI >CRE06493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:90180:91462:-1 gene:WBGene00074014 transcript:CRE06493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06493 MTISYSGNSFRLLLRWKGSIWRSVWRELFLFLILFYSIRFSAPHFFNWADPDETKGYRKIFKVMCNEFHEYTKMIPLTFLLGFYVSNVVSRWWRQFECLKWPEDFLSILCLLLPSKESRPARHQIARYLNLTCALAWRDVSTKIRLRFPSLTNIIDAGLLTEKEYEKLQDINEPSPGIRWLTPLHWVQQLIDAEIAAGRGSVNYVSVAMNELKAFRISFRRLYCHDWVCVPLVYTQVAALATYSYFFFCLFGRQDLNHDDFYSLDAFFPLFTVVQFLFFVGWFKVGQDLMRPFGLDDDDRQKWKTLCFTCNFF >CRE24729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:260362:263979:-1 gene:WBGene00074016 transcript:CRE24729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-try-3 description:CRE-TRY-3 protein [Source:UniProtKB/TrEMBL;Acc:E3N418] MFSPKFQYHFYHFLILILVFHSNYSNALNFCEQGYRPFFSFRIIGGSNVDTGVNWMSKLVSYGDDGQGILCGATVVDNFWLLTAAHCALQLQKRSFIYVRKPTTNKEYSFKVVEAYVHAGYNNQTADHDIALLKLFQISFDLSKLGINPVCLVHDDSKLLKDYKNGMVIGYGLTLGEDSSGSPKLLNSQTLQSTSVPIIPDAECVKTWRFLSLLSVKITYNQICAGSYMHGTAPGDSGGPLLIRKSDGEYVQIGITSYGADGLDGVIDQGKFPGVYTRVSKYVPWIEGVIGKTSKQKSSSTSSSSSSTPSTSIIFFFFFPLLLALLRTMK >CRE06232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1413:540:3628:1 gene:WBGene00074017 transcript:CRE06232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06232 MSKLSSLFPLIEKLTEKLSIIDNEWTKFKKSSDKTTHVTELSGILEILAQDGKALGESSGLESTVGTLTGAIKDLKKIEGSPPFEGFETLYTYSSKVSEYAEKFEKAFEKMSKIGYAKAKEAFSLIHEHSKKAPTGDDTPGMIAIIEKMKAVEGLDDLVKITTIADEELKPLLSKEFATFVKAAPDWTSIEPVQRSLDNTGLQNALKELSDNPVDLDLIDKMLKYGANIRQVQKGSIESLNSVLATLEEIKSGINQTKKVFEKATRHRRDVGDKLKKLKNSKSIAFDLAKGVNLLQSLATVYDRRDELVTAAEFPEIVNSALSSLPSLQSVWTENNRKALKEMPVELGKLEKYAQENQKSTDLIQIGSVFGKASEIKGAPIDNMLIRGTVVPALQKNSVLIIQNAASTLSKLGELELDFSKHSTRINAVTLTLPSLRDYFDEVFEISRTPKIVVAQDEKNDQKKDEAQSGLSIEMLGIIVGCIIVFLLLLGGIIFCIVRCRKRRREQKHRNILNENLFSEYNARLNDPETWVLLSFTAENTPNTFGSGFSIEAHKHIIKNNYDSFKKCLKNGAYVDAKLQTDKQSNTMLHEAVLHDKHKYVEALIKHGATREILNHEFETPMQLAVRLKKKKCIKMFKKYEHKKFKIVLPEVFTKNNYLIDVDRAIPIEEHYHGDFFKKFGQYQHEHPKRPTHYVAKTDKDNVLHVKDHHLPIIFSATMIMGHRWLKACLDNPSAIGNNKEWRVTKMSFRGKEYDTLLAIKDYINRMNVPYMFGIGVSYNNHTLAQEWSLMRTVTTQLGVYNGTGEFPFMCPKPGHCYHRDDLPRNFFLYHRNDKAQVQLNFKSTWMDNPAYLFMNTDDFTHFLLNFKIVSKKIEKIDKKNGVVKKKDEKNKRKDKLVSEESCSVTDCSDSCASTMSVGTPTSRTPTSGTPNSRTPNSVTPTSRTPGKNRRH >CRE06233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1413:4126:8355:-1 gene:WBGene00074018 transcript:CRE06233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06233 MRILLLVFCLFSFVLLEEAPNIRQVTSQLSIVSRLTSGITIQNELLDSKLTEIQAMASMIHIKNPQSLESLKGGNVVGGANCTSSISDLQTSEASKKLDDLSTLLKPLEGESKVQKPGWLRKSLQVLSEFSNELETLNTASKTSLTDDFKAKALAITGGKVNIRETIVGKWPLSVLADSLSTIMNETMTAVPTEEKELFKLKNAILRFRFEMETYEEYIKKLKDYETELKTLFDYKDLKMDEVLKPVKIIKDVATRFDRTKLGGNRFLGWLTSLKEEADKLESTNIMKSQEQLEDLKKDLDALFPIVRDISAVRISLKPSQLAQIFKSDLSEKWFLDSFAEGDKKSIEKLKKSLTLLESFSEKATKITLGLNDFTSKYNRYQIFAFKRVFARVKNTVEKLKAFEEASEKFKTALDSAHTCLSNTKLKSRRKEIDLELFEKSYEFAMKLYEKVTNLHDSIQKVLGIPEIENYDVLKKLNEETKGIKDDTLSNDEAWNIVEKMRKVADVSTLLPKLQEASTTLSETISSELDELLMETVDFDIIQTTQSILEDTNLLAALECLKNNAADFDTKHSFELMALGNQIRFIKEEDIQEAKGILESMEKIKTDLNSLDSKSKKTKRAAAKNETGEQELLKLEESKTIGSELESGVNTLNRLVAVIDQKESVLAATKFGEKVDKEIWSIRVPPMQSVWTPITRQKINRLFEEIEQFEKMAGHYKTKGVESVFGIMENATSVNGLKLDSSLFKNYVPTSLSASKDSEVQAAAPVLEKLSSLDLDFAANHEALKTASLTFTPLRKFFDDFFGIDRTLKNAQAQNGTAGAGAEAENGPAASYLLLLAAVLIVFLVVLVAIIFCMKRRSRLAKLGRKETWRHLRFLSEGALTNNDGTQYTQLHLAVLKKRGEEVKRLVKNGAYIDVHCYGKVIETPLHTAVSNKSVDTVRFLIKHGADMDALDSNYETPLDRAKGNKEMLKIINGFKKKNFRKTLPQPLPIDKYKIFIDEKVKGKKQFCDKFKQNIVSKIEKATHVIVKTGKDGLFELDKDDPSAIVYLGVVCSPKILMTSDWLTAAVQKKNNFRDDFKFQVKKIKFNGKTYKGIDDIQLNNSKMFVPYLTNAIIHFDQADLHTIDWTALKKVSTDLGAQNVDEFPVMQGMIPGKCPYYRDDLGHIFVIYIQSNADKLTALYPILKTEKAYTFLERDEFIAMLLSQEICHKKMKSTKKAKKSASTEGTTGTTGGTTGTACNTLCELNGSTATTLGITGTTGTTSGAE >CRE27969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1284:2589:5529:1 gene:WBGene00074019 transcript:CRE27969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27969 MQLDPTMTTAPIDQMEYSTPSETDYREPSWSRTEKKPMGIPTPNLMNLKDWSPFELNQEQRDNIAIGVSTIEEDEETKHFIVSQWNIRGGHGIMPSGTGDNTMVYIKRVSDRPFPTVEEFQNRKSQLAEEMLDVYIRKCIGMVSRLSNGEERGIRDVRVSVFERICEISFDEMWRNSGEPRLDKILKEEFDVIRRQLLQECQALVFASGKDGVITMKRLEEMVNSHKTSDTKKITSTSSTPSKESKACKKCFRSSERCNEAKLEAKTAQNKAEKYEKKAKRTDELEKKLKEMEKEMKQMRQKLSTYSKKDEEIEKLRARIFKKTEIEKVLRAEKNELESIIQCLELQVSTLKQENEQQRHGAPPAPVVTDWRHKLLEFKQIKDDFSKDRRLEEARRLISNSDCAETEDLAMYELNQLEGSTRILMEALDLNIQKIERIHDCSNLLPLWDFPTLSQGFLEKYRLEMDKKPLEISEDTHCFICFERKREGHRILRCKGCKKEVHEECGIKWLMANPTCAFCRRKMVVLKRISA >CRE19581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1149:430:1584:-1 gene:WBGene00074020 transcript:CRE19581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19581 MSCYTLRLLFLFAFALLFSLNESVNLLLFWGYPSDTSETKYCDVQSGSGNFESCMDLCLKSNTCMLAFGTDNSCTLCDTYSISVITQTDASNGIKTAIKIDQQQQCPSMQNDLYTRSDTPDNYTLSLSNSTWKLSYGKKCVTGSWKIFLRPAGPTCFKVEKAPKFINYADAMNFCRAQGNLIAPAGLQAEHERHFILETAKSIGGAYPTLEYTSVWLTGTMKIACYQQPTPSNCTDIKAFQAFAWQNNFDSYKFAPGYPTFVKSSSNQFQKCLQMMIAQKSEDWDGMITNTV >CRE19580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1149:3299:6097:1 gene:WBGene00074021 transcript:CRE19580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19580 MNLAVKNIIQNICFSFALITNCTLIFLIIKRSPPKLGTYKYLMIFFSTVSTGYSFMEYFLNPLIFSYKDCFQVIAKVQFANPLVDRYLLYYGCGFCGVIMSMFVVHFVFRFFALERKGNLKYFEGWFFICWLAVPIFMGFIWAQTVLAFLHEDPESSEYMREILLQNYNLTIPEIMYVGVLYHKKTADGKDILNYKGIQGVCILGTIMTVCFVFIIYYGSLTYKRIKHLILEGRSEYTRKLQKQLYQALVLQTIIPVFFMIVPLSIYFFSPLFHLGFQIIGDVTTFTTALYPIIDPLPVIFVIDNYRNAVFEFFGCLKAAREVNINVTSSSVVN >CRE21049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig914:10556:11814:-1 gene:WBGene00074023 transcript:CRE21049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21049 MTYSLIIFSVVLATTVLAGPRGGQGGFGGGRGGRHGPPMPPFLQNVTDEGRRAFFDIMSNQNLTIADMESQTSTWAQTYGVSGIYNEFEANMTAHKNEVQQNVTQVVSQLSAAQTALESVMNNKNQTRQQMKEAIDQLKTQYPQEIPALFFISGQFRRGGPGGRHGGPGGRRGGPGGRGGDSGEMMMGGPGGRGMGGGMGGGFGGNMGGNGMQMGGMMGRRGGPDSSSDNNDF >CRE21050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig914:14304:15342:-1 gene:WBGene00074024 transcript:CRE21050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21050 MYMSTVLFLTYNQFDGKGICTAMHTCEKKESALLALAKPEKAMIGCEHCQAVEQFIAQNHEALHAHAVEGIYSNVCQKLPTALGTMCESSAIRLSRKFFARTAELAASGAVCSQVCINI >CRE19589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1309:27:6898:1 gene:WBGene00074025 transcript:CRE19589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19589 MLMMLNGVLPKRKGSKQPGMHDLLIQHTRLTCSLICSSFNNKWSPMKLIKSADKDTPNLNKVLEGLQIGYGFTAEGKINFPPPPCRVDMDKLYNGELVPNIKLWAELVAHGWMEMERKVGRPVEMSSFQICSEGHSTNAAAAVSAVAAAATGMDSQAYLASVFAKMNNGEEMPRVPATVGSLNAASAAMTGISFHSDDAMRLFGGASFATKMVSDNKKTCNHQQFATVHHIQEAAFRAALLKMDAMYKERKGAAISVVDMESNFPIDAKETRISAKSPPVGPKTTSMTVPKPEKNSAEVEVTTEQPGVDMSFQKDGMEPSQVYPKILKLAIEMEQMYRSNPTDKAREIAVTTAYIASTLPEQICLEMNVESGDRLLKKLLSGMSEKQKLAMMTRARKTITTETDNELLRRSADSLSDKRLKEEYLKIFRETADCAFYDKCVREKKLKAAEQKHARTSTANVGSQNSMAPAKSQAGKVVASQQQSGQLRRTHSEGDGAERAKARSNAIDKSTDTTLETPLTIACANGHRDIVELLLKEGANIEHRDKKGFSPLIIAATAGHASVVEVLLKNHAAIEAQSDRTKDTALSLACSGGRKDVVELLLSHGANKEHRNVSDYTPLSLASSGGYIDIVNMLLSSGSEINSRTGSKLGISPLMLASMNGHKEATKVLLEKGSDINAQIETNRNTALTLASFQGRTEVVKLLLQYHANVEHRAKTGLTPLMECATGGYVEVGTLLIEAGADPNASPVQATKDTALTIAAEKGNDKFVEMLLDHDAAIDARNKKGCSALWLACNNGHLSTAEVLITKGADPDTFDNRKISPMMAAFRKGHIEMVTFMVGHAKQFPNETDLSRAVQAIESEDTKAKCNSCIDVIRNAKKAQAESAEKAANSLLEQIDEENAKNEEKKQKQKEKKNKKKEAKKKEKVEGASQQPEPEPEPAEENVEEPEPAPAPEPEPEPEPENVPVPEPTPAVVEEPPKDPPKPRRNRRKTNPDGVPKGPKVVKEVKPIVEEEPSELPYAPIKVTIPPPAQVQAPMVSPSSYSESEEWCKAGKEGKKARPAKRPDGRQTAPSSGGSSQPKNASATSSVASERQNPWEVDTKGSKVFEFTVLGNIVSRVIGKSGSNINAVREATGAQIEIDKLGGSKEDDRHITVRGSADTVSHATNIIYLLIHDKNMLITDAIRTVLRGNLSVASSLSSEGTSRSAVDSTSYAPSSIPQSMSSASLARQSSSPAPVSTQPQAHPKPSKSHGHQTSKDHSGGSSGGGNVWQQRMAARQEKEPAPISQSPKPTVPSPQQVRQQTPPQPIRQQSVPVQTATVPTPLKATTPTPARATTPLDRVIAPPVRRETPVAAASVQPVQQVHVAQPRQEPVSAQQQQRLPEPVQRHPEPSGQAQRYPEPISRPQSSAHPMQQVQQQQPTFSKAPGTRVSTDFSRAPGPPTQAASNVPQTKAEVFDDRLAFGQFKQTAPGPPGTPNAQSASSLNTSLNDPSNGSIDFDISKLRMFDDGKTGGNIWGKGGEDSDTWGGLFTQFFPTSSTASVSSPLSSTVPTPMRSETRNDTEWPQSDAFNQLLSEQSQMSSNLGASTSSRQQQVTPGMSSLESKGWMPSSFTPSARDPNRTQPPLFARSPSSSAAATNPSTLLQQQQQQQQRYQQQIHEQQQQQQQALQQQRMFQQQFSQTQSTLQQQQQQMYQLRMNPAYGQLAQQLLDHEKTSAPGPSHQPPSSSQLANSYYPSPSYTDNSVLGQLNLATMAQRGIKQFDGFNNDQPVNDSILAAIIEQKKNHSASVAKSRPNSSPPQVWEKAATSLGQTLRLSPH >CRE11559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig817:1532:3727:-1 gene:WBGene00074029 transcript:CRE11559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-bcc-1.2 description:CRE-BCC-1.2 protein [Source:UniProtKB/TrEMBL;Acc:E3NNJ9] MNVYEALKHLRVRYKLTVITSQNVKFQTMCPLTVYMKLPWYNPGSPDLRPLMSQMDLEFSVEQNIYTLAIKMTGSQDASVLFAIRLVLHHFLLTEEYLNISTTVLAREELNYQLENIEEHRERLREVCNKNNVTIQTFPETQSISVVGPPAGVLNVRKMLIGFANVTVQFDCNVMDIHYPVQQLEQERGLQIGCKRKNGDVMTITMKSTESKLAEVLQSRELLLALPPTTYSCTDDYDPNPVMNISGPSLTPLQTEMASGVRVFLTPPIESPRSPDPEDSPLAASILKGAKDISKNSDIWKKKPKADRGEMLMKATQAIFDDTVLSSPRYPTDLWSGYGFSSSLPADLLKGMLDLSTNDQATASSSLLMNHSQRGLCSVREEDEELSDFSASSTNYGMSRVFEQPSRNVFSASTSVFDSNSLPYDLQWDINYFTDPSMVLAQLGCSEYMIQLRDQEIDMHAFLLLDEQNLKDIGVSTIGARKKIHHAILSGFLFCLVLAAREHNPHFHITMTTFTELRDSARLNGYAV >CRE11558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig817:4202:5468:1 gene:WBGene00074030 transcript:CRE11558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11558 MEHQAGAQAMLPPGTRCDQFILQKMLSVGGFGQIFEAGTIGNSREKSVVKVEAKTAMVQCYSEIQTIFLDFQFQLLYNECGCIKSLNSAYNPNGLLEATPFLRFHGYGTIDGYRWLAMEKCGSNLSDLRKATPLNRFSIPTSLFILSKFIEALQMMHTIGWLHRDVKPANVCIGLHSPRHLYLLDFGMSRIYVEKDGTIKPRRVTAPFRGTLRYVSVNIHRRQDASRWDDIWSAFYIATENMVGYLPWRRMGDTKKVEDTKVLSDLSRLKYGSESARPNCMRVIEDYLNVSQINPSYFYESPPYDLMRREIDTDLRLRGYSLSSVRLDWMTVQYAPTSQYHMSPYSNQNRMMTPQKIQKKVDRMEREYERSVCFY >CRE11561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig817:6492:7484:-1 gene:WBGene00074031 transcript:CRE11561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11561 MSHKLPSSTDKYYFEVLQNEQKSEMLKFLFESFRVDEPLNRASKISREEIEKCLNGALDRALKTESSILAKTKDTHEIVGCMLNSVWRRDESTSTPEEGEDKDFEFHTIRKEVAVVGEILNELHESFWSLRPDQDVVLHFEISSVSVNHRRQGLASKFMNWSENEEFLKSLGATGIATEASSLANQLLLTKRGYQTLATTLLETKVDSETGKPVLVCDDGTDRVNLMFKEI >CRE11565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig817:12820:13544:-1 gene:WBGene00074032 transcript:CRE11565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11565 MSTKLIFSVLLISVMVLARPDGPKEHGKPEGHKGPKGPGGPKGPHEHGGPGLPPFLANVSAEGKKEFEKIFKNDSLKISEVDTQLAALAEKYGVAAIFKQFQANITAHLAEIKKNQTAVISNLSSVSDKLQTIFSNKDQTRAQQREAVEEVRKEHPVEVDALRFIRSKLEGPKGRHGGPHGGPHGGPHGGPHGGPHGGPKGGPRKEEKEKAASGSDEDSADAQE >CRE09966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:17991:19637:1 gene:WBGene00074033 transcript:CRE09966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-24 description:CRE-UNC-24 protein [Source:UniProtKB/TrEMBL;Acc:E3M6J8] MFSSSYKYSALTQNDPESPGDVIEMESIEGYSGVVDFGDPNESVMEYGMPEGSFDSVFTYAPYNDLDKMGYMGPKRHGMVLGSKYGNFTYTRDYGNDMEENIKPLTAVELLIYGLSMLFVVMTMPLSLLFALKFISTSEKLVVLRLGRAQKTRGPGIALVVPCIDTTHKVTTSITAFNVPPLQIITTDRGLVELGATVFLKIRDPIAAVCGVQDRNASVRTLANTMLYRYISKKRVCDVTNSQDRRIMAANFKDELGAFTCQFGTEITDVEMSDVKVVKEGENMGMSALSSVAKSDAGQQLWQVIGPAFEDFAKECAAEAKEKEAAPLVDLSDGPSTSTSVPTSSTSNESSIDIDHLITVASMAIDEHLVRLIGKVFQINCKDVDPICIDLKHGAGSAYKGTALNPDVVFESNFAVFGKILTKELSPVNAYMNGSLKVRGSIQDAMQLKYLVERMADWI >CRE22732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1958:1676:2966:1 gene:WBGene00074034 transcript:CRE22732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22732 MGLTISSLFNRLFGKKQLGETSLLFRLLNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDKERIEESREELHKMLNEDELRDATLLVFANKQDLPNAMTAAELTDKLGLHNLRSRQWYIQATCATQGHGLYEGLDWLSNQLSKT >CRE09969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:26157:27379:1 gene:WBGene00074037 transcript:CRE09969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09969 MDFNPEGKTFPILKLPFLPLSNVLHNFDYLEMLDFSFVSKKCQFVIQLIKSDFEICLNFQRNRSPKKLIAIIFYRRKKCIFEFLIDELNSRKKYLKRNDESTQSMDDKHMIWVDSAKEWTDYICGLFQKELNYIYLHSDGSIQEMSDISEWINNRQNALDYCEFSGEETNSVSIELFFQKAQFSIHNLSFALEQPYLRKPLPFEALDLTELSFSSKTWKKPITWITVNDIINLNYRRIVMGVCQFSENDINRIIKRWLDGNNPQMEFFTVALKPLNFHLVLDGIEFERRDRMDKIMFVYDFMGREECHIFEGGYDIRGKDGTLATIQQIRSFHSDTSKFWFAMAVWPYNKFPYKGEERSNL >CRE31600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1247:1967:7690:-1 gene:WBGene00074040 transcript:CRE31600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31600 MRSFRINFSIISKRTKALTKKMTFYSQYSIQLSIDDDIEVWVIGPKYMTACCYIFTPNEKMNGKVAENTYNDCNELHMWKCSNNLVDEWKQLCKHVLDIFKIQTIDYLSMIMDAFVDQNVSIIDILIANVKSVNRCDVYQSEENNDVDEHVAYLLENIKINDNLHNYLQTRNDSLNSGILQGLKELHIVRSEWIRYEILLEIDSKIVILTDNRISDEEWNLFFKKWIAMKTNQNLEYLELDTRELDRFRDRVLYGIPHEVVDEGVKRLLKTRRTETQEISGGIDIRRTDGKTATFFVIKVISSRRCNEFPILGLPFLAFEEIFKAMHPIEIISFSMISKRARTVTKLRSFYSKYSVHLFVENFKLFIGLYGTNEMVACTYIMTSEKHMDGKIEEKEHNERMQLKYRELDIFRDCVLYDIPHEVVHKGVKRTLISFPEPPQSLRMFSNVLPSSFHRLLPLIPPHLLSSILPFLVYRFASPKWALRNHFRYSVYRFLPLKKLSRRCTHSKCKLVIYWILTLFSFRINFSMISKRTKTVTKHMNFFSKYSIGIDIDEEQQVSVVGPEYITECVYIFTSNEEMNGKVVEEGDWDDMNYELRAWKYSNNPVEEWMQLLKHVLDIFQKQSIDSLSMTMDAFVDQNVSIIDFLKSNVKSVDNCNLYQLHDETNVDDHSAYLLKNITISSTLFSLVKIKNNNFNLKIPKNLKELTILESKWIGYERLLEIDCKSVILEKNRISDEQWNSFFKKWIAMETNQNLEYLELKYRVLEEFRDLVLHDIPYEVVSEEISRIVTW >CRE31602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1247:10550:11532:-1 gene:WBGene00074041 transcript:CRE31602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31602 MDLPKPFPILRLPFVPLQEVFKAMDPFQIINFSMISKRTKAVTKQMTFYSKYSIQLIIDEELKVSIHGSKNMTQCVYIFTSKEEKGGKVAENSRKSWNKLIVWKYSNNLIEEWKQLCKHVLEIFKKQTIDILSMTLDAFVDEKVSIINFLKTNWKSVTDCNLFQSEEENDVDEHAVYLLENIKITSNLLFLFDVKNDNFDGKIPKNLKELYLPNSHWIGYERLLEIDCKIVILEKNRISDEQWNLFIKKWIAMETHLNLVYLQIIYRNFEEFRELVLHDIPHEVVDGAVKRVLKT >CRE23276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2237:4255:5565:-1 gene:WBGene00074042 transcript:CRE23276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23276 MNLLLPIFRLPFRAMEEVSKALHSIIKMINNRRSNKFPILRLPFLAIEEIFKAMDPIEIINFSMTSKRAKTVTKEMVFNSKYALCLSIRVIKDKTLGISIKGTNNLVSCIYLMTSNERMDGKTEEDKRDRFITRYVFKYSNNPIEEWKQLCKYVLDIFKKQTIDVLALYMDEFVDHNVSIIDFLRTNVKSVDVCYLFQSEKNNDVDEHTAYLLDNLKVNDTLNFLLYIKNDNFNGKIPKNLKELYLPNSHWIGYEKLLDIDCKHVVLANNRIWEEEWNLFLKKWIAMDTHLNLEYLELDYRQLDIFRSHVLHDIPHEVVHEGVNRILKTRFYQTKKISGGIDIRRIRWNDCHIRRVPSI >CRE20627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1194:5:1315:-1 gene:WBGene00074043 transcript:CRE20627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20627 MLRFEKDHPMIWLFGEENKDAFYKFKNNITGGASIVFHRYHEVNKTEITRPHYNDGEWTYDEKGKTVKKIVGFDANGLYLHSLGEEMPCGKLYFKENDDWRTIEEQVLCDSFFGFLEVDISVPKDKWNYFSEMSPIFVNKEYDETICGDYTQKLLKSLDRKPTKSRKLVVSLQAKKILIKSTRLRWMLEHGCIVTKLYGYIEAKRRRIFKGNSAFGRTGMDKNKHKKVTFCDEVQFNRAKNDFFYYDAEEYNGAYEVSKRSRKVKQNMTLQIACSVYDDSKLRMLKFYYDCIDKYVDRSDFQYIEMDTDSAYMAISNNSLEDLIKPEMREEFEKYKNNWFPRTDTEEHCRIDKRTPGLFKIEKEGDGMVALCSKTYCIWTNDNQSKVSSKGVQQKRNSSILTKEKYLECLVNKQTTDGVNKGYRYQNQEMKTYEQKK >CRE26031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2845:1462:3432:1 gene:WBGene00074044 transcript:CRE26031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26031 MMKSYLNINSLILVGVRKNYVTTFYKGLNVIYGDSDTGKSSILEFINYLLGASSIDLADEIKTSVNYAALEVVINDTVFTIDDIGSKSFLRLEDWSRYAFTKEVFKYMFNVLDESIARLQGEISAKSSLVTELNKKYQIISEFLRDTDYETIESIDDEINRIDLILEELVAGLSALDKNMKADSMQYTELKDAHNFISLKYKECILNISTLEDKIDKYSRLKNDYDNDIEKLKAIKTANSRIGVLDKEVFSCPICDSHIKIDDSDFPFEISSEKDLNEELNSLSRRRRNINDMISEMSFKLKKESGYKKELDEQLDELRELIDEESQSMITPFLTQRDFYIKEISKNEKVREQLVKDLKVRNQQEELLEKHQVLIKDIETLTERLDALKKNAPSMEGILQGLGDRFSQYLKGINIKNRTGIKISERYYTPVIRGKEYFKITSGGLRTISSIGYLLSILDYAIDHNVNHPLLLIFDTVGKYLGKQTKEKYSKETLAVEDDLEGMSDPMKYQNIYEQLLNTVQKAERKNVPCQIILVDNDLPNSFSTGELSNIIAHYSSVGEDGLPLGLIDDL >CRE30575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1048:1993:3060:1 gene:WBGene00074049 transcript:CRE30575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30575 MNSNNEISHDINCGKIKLTTNDFKGNEKSTDGSVTIQSIPWFVISLTNWNIMSLFRNLRVSETNKKLNFKLSCNENSANTMWNCEADIEIHVNKLHGKRRGNYKKMKVISEKKVFDFKNKSMEGTLVANEKVDDIESLLEKKQFEIEAKLKLTRIYGYRKRKIIDYSIEHPRFSNGIIVVDGSEFHVNKQILAMHSKYFYNLFFGNFTEKNSKSVEIPGVSYDDFVIFLDFVHPTGRKMEVEFVENLMELADLFLADRIMDYCEEFLMTTEVWDVGKKMLLAEKFSLSELMASIDSFGFLERSNFQNHCLNSFKTTKSLLELKKSNNFFDISDEIKSCLLERAVQLNKQRALRFF >CRE30578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1048:3271:5239:-1 gene:WBGene00074050 transcript:CRE30578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30578 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3MM21] MGMKKDLLLVLTIESVVLGVVLGFVIRPFNPSNDTISLIGFPGEIFMQIVEMMILPLIMSSVISALAQVRARDARRIGIVTIIYYMTTTFLSTFTGIILVSSIHPGDPELIHELGEGTLENTALSTLDTFLDQIRNMFPENIIQATFQQVQTEYMPIKPSRVRNSTSMNMTSEILHKQTLTYTNEMNVLGLIVFCSGFGIILSILGDQARLMINFFIVLDAIIMRWISALMWCYPIGILSLVCKNIIDIDNLTETAQALAMYVVTVICGLMIHSLLTLPLLYFLVTKKSPFAFMTGMLQALATAFGTASSGATLPVTFRALEENLKIDRRVTRFVLPLGATITMDGTALYEAVAVIFIAQLHNIKLSLMDLVTISITTTVASIGSGSVPAGLDTIVIVLTTVGLPAKDLSLLLTVDWLLDRIRTSVNVLGDSFGAGIIHHLTRSSLLEADTDDLIRQIREDIDILNNPHQDTLPISHHSVQSTIQNTQNSMQAPHIYSKSARASFAPVPNEEERKALLKESIALNKSETHIV >CRE30579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1048:5822:6573:-1 gene:WBGene00074052 transcript:CRE30579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30579 MKFSLLVLAWFVSVDASAVELHDRDSFPTDRFEILKGSPNTKFAEIPPQMTVYYHVVVVPRNVQPIEDKNVTVDYTDSETKQVSHVSTLWYSKGRFTHYLHEDALKAVIGTSSKPFLGFAAIALPSTGPSSCLFPVRNLAIQSQSNLNSDMSHY >CRE30576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1048:6993:8585:1 gene:WBGene00074053 transcript:CRE30576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30576 MEPINGNLSVAAAPIEPLTVYAAEQVGGAFCHHFYITVSENRASITKFYGHESKFYMEDQTVTGSQEIANLYNHLPESTHFKIHCIKGYPSPHKQGVIVNVIGTVNLRPFMQTFLLGQQGQKKYYVETDAFQYLDGYFDFNIEQEKTVSPTGVNGNSNGSNGKVTKAIKTPEQPKEQPKPAAPKQQTPKKAEETSRPAKQDTPKASEKEVVRTPQKPETVQHPQQQQPRAQAPPQAPVPAPEPVQEQPKAPKTWANLVGGGAKQQPPQQQSYGQGQQAHQQQMHQMQQAAALHQQQQAQAQIYQQQRHAQQSSQHPANDLRQAQENSDASLNERKIYLGGITREIVPSNTAQAETEIKQVFYKFGEVEEVRMPRKVLDSPNDTSKHRVRIHYNEKQGWSSSHFRCCHSR >CRE30577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1048:9424:10987:1 gene:WBGene00074056 transcript:CRE30577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30577 MDDEYNVLSTGFADDDGELQYPDDDREPLAVISNKDTENQRLSEVREALEEVGYDWHMRMEPVAPDVAEKSQMNAAKRRALESLEQKINEAIVEAEAIKENDPENFGADGEQTMYSLCEQEMSRRYHRRKEVMKNPPTDGSPWIGVSDVLRGQRFYIRTFREDRSSVPLVEAITQQATRSRVGYRAFQAICEEAENIRKEKEQNCVKQQELEFSRMLEESSELETMTTSHVESSLWVDKYKAKNFSDLLSDNTVNRNILAWLKMWDECVFHRKVDDLLSSLGEKEREVLQMDNGKIRRPLSKMLLISGPAGLGKSTLARVVARQAGYATIDVNASDARTVADLNKVLEGAVKTSRTLDADQRPACLILDEIDGTPIDTIRHLVRCLQATGKKAVRRPIIGICNNL >CRE29989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:289853:291136:-1 gene:WBGene00074058 transcript:CRE29989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29989 MRHSINTLQWAAVTARKANRIVTMKLINEVVEKEKGGAASIFEHWSAVLELSKHTDAKGGIKSVRERVYAIERISAEHGGEDRFVSGLHSNYLVSLPIGVIRKASSWFEFYDDIQKIIHSHQNWSVQKYAFSFFVSLHLNIATHARVHIQYPQFEQNIFLKTKESEETISALHSIGQAGRGASKNQLLLDLLPFIVGIVQPPIKPMNESLYTQRELSTFNQTVSIMCDYGLTYTATMLKDQVNWLFTPSIDVLTMFPLEEPKRPYLANATRQLIAHKITLMRVRLADSTQHSTQQKVATNADKIKDIIDSENRKQKRLSAGAVKRIEHGKLTDIVFIHQDGDSSAVKKKVTVQQMNSIIFGES >CRE15046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig463:9948:10764:1 gene:WBGene00074059 transcript:CRE15046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15046 MIEVKIDMEEEEPLGATSNDKLVITKIQSETISEGKLRVGDQVKKVNAVGCKDANDYFRAIQYAAPLARILVNRDEKKAEELEVRVHIPEDSAKIIQRRDGYVYEMTTLVWVPKGTEARIGNQAFPEPRARFAYRSKTNIQGKGNVSFVVERPDCIEEKQWAKNALAANILTPPSIIMTDDVRSIAAKYRQELKGLKGPEKSVMSVGGGPSGRKVYIVEKTATNEIGHDHERKALRKGK >CRE31595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1087:1815:4938:-1 gene:WBGene00074060 transcript:CRE31595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31595 MEHIFQAETYPKKHEKRKMDDLADIADCSSERSELVPKDKGRMVYWIILLNGIGVLLPWNMFITIAPEYYVDYWFTVNGTKTSYADSFMSAMGIVAQVPNCIIAVINVMNLIRGPLIYRVLAPLAFNSLLIIVILALVIFQQPSDDARNWFYIVSLIIIMAMNGSNGLYQNSFFGLAADFPAQYSNAVVIGTNICGTFTSVLAIVATLAFSNQPKTVALIYFAISLSILIICLISWWFCKKQEFYNYFVSKGNRARAAQAQSSFDYRQYLETFKYCWLQCLCVFLVYFVTLSVFPTVLVEFSPTKKNGDWNSVIPKNVYNGITTFLNFNLLAAIGNVCATFVTIPGPRLLIVPCLIRLIFIPFFMFGNCLPDTRSMPVLYSNEWVFFFGNTIMAFTSGYFSSLGMMYAPRVCPPEYSKLAGQVSALSLVLGITAGVGFTYAINAMMNNF >CRE23798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig797:7595:10861:-1 gene:WBGene00074061 transcript:CRE23798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23798 MISSLFSKRGELKYDSSWEETDDRLLLIVPLLKQGEKIYEHTNRTVNVVADQEIMLKPRSCKQLRITFDTEEPVHLKPNKRSPMQFEECIINPWIGNSADVVIHNHGHSVFHINHGELLGNAAVVKLPLEEETFPTDNHQELELGAPEADWTQKLPQLPKTESEFIEEIHVKETIFSPLNRQKLVNLIILFKATFFNEDGKIGHFLGPIQHSIKLISPLPRPRKTRIPYGKREEINRQVQQLLKQGVIEVSNSTFTSPIVLVKKKDSTFRFTVDYRMLNAVSEKRNYQIPNITELLDMATGSFISSSFDFISGFFQIDLKKEDRHLTAFATEEETYQFQRMPMGVSGAPFTFQQVARYLQKSMKARVFTYLDDILLVSSSEEEHLEDIKELLENVVKNGLKLKLKKCVFARKELEFLGYVIGRDGLKPNPKKTEAIQNFPVPTNATAVRSFIGMIGYFRRFIKNFAGIAAPLHSLTEKDKAFEWKEIHQHAFEELKTALVNPPILAGPNLNKPYVLETDASSFAIAAVLLQKNDDGLLNVISFASRKLSKAEAKYPPIEGEALAVLFGLQHYRQYLLGNHTLIVTDHQPLTSLLKRKNLEGRLLKYQIMIQEFDIEFLYRPGRQNVVADALSRYLPDDSEAEQPLVMAVQTEDPTAGITLEEVINAQSSAKWINEAIEAIQSMDESRKGVAWRSRFALENGTLRHRSTKSNHLPFVIPIGHPLTKRIIQKFHESRCSHLGSEKTLEMIKRYFQWTSMKAQVVQEINRCILCRRIKTDRHQTTKEPMGPVDKVDTPVSHWHIDHCGPLPITEKGNRFILVFRDPFTKYLVTAPVPNQNAETTSDVFIERILAIHGTPKSITTDCGTSFCSELFGATLKKFGVVHRKSAPYHHESNGIVERANRTIEEALSTYVNQTQSDWDNFLPLVTFAINATISKTTGLCPYQMLFGRLPPLPEDNLLRTYQTFGDDYQSTLENQLNLFWTMVKNRHTVAQEDSQRTKQKQIKVGDAILMRRARPPNKLAPRLLGGIVGEVVGKNVIVDVKGKKKMAHKNDIRILPSSEPEGGKPVAVKDGAVRRSERIREKIMGRE >CRE23712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:50871:51974:-1 gene:WBGene00074065 transcript:CRE23712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23712 MPQIQDKDINTALNEDQESKKMSTSPAEQVNKTRRTESNSTTNDTSPESEDDSSEANNQYEIEPPPRLGTITQLILPFDGKMTNYGIFNSQFDHLINGQRYQARAEATCQALAIESSRRILPSRVLGARIHLASETLGPAVQSPSSTDCSDGGNEELGVPQRRLRVADKLTEDTWIQSGQRIQPTWFRLRVERKHSATTSSKQVATRRRATTRCERRVQTDKKEDDVDEKTLTNKLIKEFQKSFTPDGEGRIHIGLPYTGRQGELVDNSVVAKQRLSSLLSHLQEKEAKEAYQSIIAEQKDSGITEEVKPKTSTLGPEEDSFNKYFIKHSMMIKIRADKKSEEHQLFRVEGAETSDH >CRE07041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:15290:17095:-1 gene:WBGene00074066 transcript:CRE07041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07041 MFRVSKEEGDEILKRCFNNRLDGQSALNALRKKYPEAAEEQDKLENLASDQTLLSSSSGETSEKSSLKRQVSDDSSETSGSKKSREDTPEDEVLSGGDSSQKGPSVSNPEEREETPDSEEELLNDFEDETFFDDEIRKMKESTKNCSEEEIWKDSRRGVFLRFEEWALEEIEAQKNTIPIPRLMYLRKLSPDCRELVENIKLSIEKIKLTIGRNTACIETYINGDKKEIKYRGTPESGGTEFSRNNIFRAYGAHPNFRAVVVWDLIALIRMSSFKLNELEITMGQVFKEDVPSYSDVKFYHCLRKHLKQLSREVEVEKFSFTISLREQEGYLLDNPRLCSVSSVMKYLKPRTLKYLKFRIWRVQFPLQPPSGTEFRFIQLNEPEPNEPTRPTDIYFEQSHYEFPSTITKLKSWKAAAELDIEDDKIVGTVIANTHFERISFGLISDPEIAVDIIKTIAAHPPTVEMCVVTFTTEIDSESLREAIKYSCPDVCSDDNNDILIQPKDKEKRKVRIRFTKNGFNLTLDEIPQ >CRE07042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:17965:22076:-1 gene:WBGene00074067 transcript:CRE07042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07042 MICSICSDKAEGYHFGAISCAACGAFFRRSVSDQKVYSCSNRQCNIAHDPTKRGGSCRFCRFLKCVSSGMMPQDVKAKRTASSQQNVTSLYRNMNQSSILLIDQIIVFRRNIAAERQMFDSISRSTNRTNLIISLHQEFEMLRRVALGCPFFIQITEHFSIPYLGTDSKIMNDPLSDLLTLLFVFEACFLTGVKGGVQLDRLFFPNMLHVDLSEVLFTEFLECDVHASMDPYRSILNAFSYLVQMVSRSFQSANFDEPILSILLYKCLTETVPINYRHRFAEVNRDSLLTDLNRVDSTAFQHTQNIASQIHQGARAYKEAISSFW >CRE02705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig641:3393:6859:1 gene:WBGene00074070 transcript:CRE02705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02705 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NMI0] MSADGNNNKSGSKELPKQETKRLDLAKVDPKCAEWMDDCIKRLNSLYNNTGTDICNIMSGFEIIAIIRLVENIFMDESNLCEAEAPIKVVGDIHAQFQDLNRLFDLIGRVPEEKMMFLGDYVDRGPQGIEVLMLLFCLKIRYRDRIFILRGNHETASVNKIYGFYVECQMKYGVGIWWDFQSCFNRIPMAGLISKKVLCMHGGLSPELVSLDTIRNIPRPCEPLDRGLLIDLLCLVEQACKTLDIDLIIRAHQVVQDGYEMMTGRRLITVFSVPNYCSQFTNAAAVVCLNANLEISFQQMLPPPLPPTAKAKAAPSIANDTNVENAKADKEFIKPFVKV >CRE02706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig641:7429:8618:1 gene:WBGene00074071 transcript:CRE02706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02706 MDGWKWRTSQHYFHFVSTSLSIILNLLLICLVKSRSPRTMGTYKYLLIGVSVFEIFYSSIEIIVSPIVHSFHTVVVVMTIVKDTWIPKSVMLILTATYCGSFGCFLAFFGILFIYRYYVISGNPNIKYFDSWNMIFWIIITLLCGSIWGAIGFFTLSQNSEIDEFIRSDLYTELNLFPEDITYLAPHFYLKDKNGEKMLNWKSIAGMNGVSLIVGVTCFFIIRYGLKTYKCIKHFSTIQKFSDRSKMIQKQLFYALVIQSSIPFLFLQLPLTILFICGVLDIHLGQLGGIDTFSISLFPVFDPLPTIFVIRAYRVAVLGKIHCWLLYSM >CRE02707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig641:8913:10219:-1 gene:WBGene00074072 transcript:CRE02707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02707 MMSKCIYGFFALVFIEIIQCDLLVFWGYPTVGTTFCVNITVVSFDNCKETCQKSLSCMLASGSGNNCMLCDIYTVSSIAKSTKEIGIKTAVKVNPIDGDKCTSDTDGTVFSGQHSENNTYTVTSTGQTWTISHAKKCKESSWRIFPRPFGPFCIGVRSRNHVRFLFSIHFQVANNTTPLSRNSAASACKSKWNIGLTALNSIAERNYVLGIVALTDFKTMCYLLESAREIIGKHSAYKYTSIWLNGALRADCDFVGSQNTAECSGMKAYEYIGDLITSLEAYKFAPGTPNYTKIEGSSQSCLQLMSSQSETTDNGLVKNAVYVSLIECSFGEKREIYFRCGSNCNEDNSICALSFVCGH >CRE06209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig853:2027:4309:-1 gene:WBGene00074073 transcript:CRE06209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06209 MPPDTSPPIIHFQVSKITDAQAQLPFIQLQTPSGEKIIALVDSGAQVSIIATKAAERLKMTVVGKRMTRYSGFVADSQPTECIFYKLEIKDLSGKCWSSCIPSYHRMTTEFRAPSHTREDLEKLKRWSLNTEGIIDLGTRDGQQIDMILGNNILNKIKSIERPKTYCLPSGRSIEKLMIGYVNHPPVMEDSFVPINESTQVNIVADMEQIWIHTLDSEDMDLEEANANKVPSAVSNKKFEKQLEQLWSLEVIGIEPPTKREDKEALNNDLIKEFKRSAVKDPDGRIQVALPYNGRQKELGNNLAVAKRRLVSLLGRQLQKKEDREAYHQILMGQLASGIIEEVIPGTPADGPEYYIPHRVVIKQESLTTKLRIVLDASSHMKNQLSLNDCLYPGPSILQSILGILLRSRLPKFLMTADIEKAFHAVMVQEKFRDAMKFIWLKNPEEGFKENNIATYRFSRLPFGVTCSPFLLAVTILTYLDLDLDDFNERFLENLYVDNVMFTSNSEEDLMNCYTKSKSTCDKMHMNLREFMCNNGVVRAKIPEKDRSAQTTGKLLGHQWNSEEDTIHIKIATPPEGIPTKRDIVAFNATTYDPSGLLSPILVLLKRFITIMWEKDIDWDQKIPQDMWPLWKTVAAQFTEKVYSMPRQLVTNYDYDSIQLAVFSDASKYHYATTAYLRFGFKDERFESKLIFAKSRVRPSSGGSEYSIPRMELVALEIGVNSAVNIAKELHIKIKDVNIFSDSTCCLYWILSKVSNNLGQ >CRE24885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig995:1348:2632:1 gene:WBGene00074074 transcript:CRE24885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24885 MIQRCKKIFFYLQPEKSDYNLWNLLIGLTTMLLIHDMENGQRTWKVVDGHRIECSYLEVVLNSSPNSFVATLCLLSPFIPAIYHGFSIPLVLNIIPIICEEFGFLSIGSAIGLAFSAFHIGRGYGGRVTSIVTAISFLLGPIFTCALEATVSSFIGFWIVAIFKWIGESSPVSDDDISKNVYIKTLVVYTVQAVLYYCSRKCPAFFENYAIIVLDHFKIDKEQQKDLLRAARNFEFELEYSKVLMLSNLLQKRIHRRCSEVATSRVRCPTEIDMKTIGEVVTKYFGVSSSIQNCRVTQHIVAPTEKGESIVRFGSPLHRVIELVRELSESGSAWKINVDNFDKDLGGSNVELCLKNGWLKRKKGVKYDYYVIGKQFKSRDIVREVMEGVMMGGGRYTVADLQKHGVLDAMSVE >CRE09919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1419:3217:4780:1 gene:WBGene00074078 transcript:CRE09919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09919 MVGKDRIVFQSIEMRQKWLKMDIFGISAGLLGMYLNEINTAFFCFQDHLTSYIYILLGIFVITAYVPTRQDFFERKIVGSRVGLLHIIYCIIITFGICPTVHWVFLHGGFDSDHVVKWFPNVIVLYSLIAAAFMFYVTMVPERLWPGKFDVVGCSHQWWHIFILGAMIYWQQSGNQLLTEYRSFSDSCHRFIPQQNFSEISHSIFNYSHPSM >CRE15149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1922:516:1448:1 gene:WBGene00074080 transcript:CRE15149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15149 METVKLYQNIIFTEKFQAVPQKDPDESNPEWRITVNPAAELVGSPRLRTLIDRLYHHQKLLTDFDAKQILSALQCMDPTAELLLPLLTVLSNATAYPSNQLLMREFRLTNRVVEMLPDSKHWPRSTRVILLQCIANMAVSSDNMEVMKLALNHIVSRLTSEDEMEVVVAMQALTNLSINIRKEQIPKFVPVIPHCLNRLWIRGEVNLNAL >CRE17688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1264:110:1501:1 gene:WBGene00074082 transcript:CRE17688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17688 MDSLDTANDPFDTTTRATPVPTTSESPTTSTTLPLHILPTSSFHSSGSHPDAQPATNLFRYPDQVKIPFFGAKTEEDSGDTTVHPMRPTTPEPFRSEEIQNLEEVEKEDGNMTLAEDLASDSDDVNPSDSETESELEENGEIEKSEFDGDEEIDEITASTTPRGIEQNEDYVEETFSQEKSSEASNLKIPESFGIGKEPGPPMDLSPGSNVFGSPPGSQKPRAKAFGTLPSSSGPTESEFGEFGSPPGSSVPKLGAFGSDDIFGSPPGSGSSGPQGPSGLPLEEKIIDSDSAPSFGIGRSPPGFSQPIGPTLPPAAQPQKPGVKFPTLVEQVESFFNEDGSSVEDTGASSALGSFASAEQVANVPVSQNIQVLDDPKPVTRPPIHMPTSRNPVGIFGTKLKTINERAYKPPMFPSALSSETVKMTQLGNPYQQRTTVLPIGPHGLAEPVISVDTELPAWGVEK >CRE16536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1105:3570:5301:-1 gene:WBGene00074084 transcript:CRE16536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16536 MARAFSVLREESESVLALKGLTPTGALPAGTLQGGSRGIREVAAVSGVDMGHTIQTFEEARRLDKINERMPPTMATPPAQSPSQSPIPSRQTTPQPPQNGPSNSSSS >CRE16535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1105:26:1089:1 gene:WBGene00074085 transcript:CRE16535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16535 RYSYYNQYNHEELYVKYIYKLYDLHISYGNKIEAAKTLLRHATMLNFEDEALPPWLISRVLNRHCQTNRQLKEDLMQEAGALFTKGEDWEDALIVYNQLIPVYQSILIDYHKLSELLKKIAQLYTSIDRTERAYFYYYLVAFYGQGFPAYLNGHKFVFRSEQLEMHGEFMQRIMKMYDNPEKIMKTDPCPHLVSSPGRYIQVFNIDPIATGCSFDDNPAVNPAIKKYYRHYNIQTFEYSKVEDRKETKWTSIDPSSEFMRNWLVRWRIKTADSLPTDLRFTEVVESAEPIYVSPLQNAVDR >CRE06955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:92335:97394:-1 gene:WBGene00074086 transcript:CRE06955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06955 MVFELIISSNKPKYLVTFPFPYMNGRMHLGHTFSASKCEFAAGFQRLQGKQVLFPFGFHCTGMPIKACADKLKREMEDFGYPPNFPEDVEEVVKEEVSAVDEIIKDKSKGKKSKLVAKTGNAKYQWQIMKSLGLEDEEIKKFSDPTYWFYYFPPHCINDLKKMGLKKAIPRLDASGGFGIHLKPPVPDDIMCNMQLLNESKSFVFTFLEITILVNEFLSSIVVAPSSPPTSTPYFDSFVRWQFNLLRAAKKIDFGKRYTIYSPKDGQPCMDHDRASGEGVGPQEYTLIKLKVLDPKPQALAHIKEDIYLVAATLRPETMYGQTNCYLHPDIQYSVFYATENESQVFVATARSARIMSYQGLTKENGKVRYVAGLEKIAGAKLLGAPLSAPLAKYERVYALPMLTIKDDKGTGVITSVPSDSPDDFAALSDLKKKKPLREKYGLTDQMVLCLLAKAAAKKVLEPMRTFNAETDGCVLSNYHFSDSPCTNVDWLHENACTKLPWDTQYLIESIFFPPSIMLTTPLLTFFNMEYSMDLLLDERDTYRELCAGIDEPMSESLVFRFIESQMVILSPICPHIAEYIWQLLKKDGLIIDAPWPATDAVDEKLALGSRFISDSMTEFFQCSLSRTIRELESSHLSLQILQMILLLFQISTKAAAKKVLEPMRPFNDETRRSLETTVDWLHEYACSRSYGLGTKLPWDTQYLIESLSDSTIYNAYYTVAHLLQQGAFDGSVVGPAGIKADQMTYGSWSYVFLGEKKKKRIQKTSPLQKPQKVKVEPIDLDDEGDVQVTSEIKKTSSDVVVKREDDEESDNEDILALPTTTVINRKKVLAKANNGALPDNKVISQMIGK >CRE06956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:97950:100344:-1 gene:WBGene00074087 transcript:CRE06956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06956 MIITKSFKRPHSFIIISSQFQPNPLPGRLASTPYFDSFVRWQFSLLRAAKKIDFGKRYTIYSPKDGQPCMDHDRASGEGVGPQEYTLIKLKVLDPKPQALAHIKEDIYLVAATLRPETMYGQTNCYLHPDIQYSVFYATENESQVFVATARSARIMSYQGLTKENGKVRYVTGLEKIAGAKLLGAPLSAPLAKYERVYALPMLTIKDDKGTGVVTSVPSDSPDDFAALSDLKKKKPLREKYGLTDEMVLPLLAKAAAKKVLEPMRTFNDETRRSLETTVDWLHEYACSRSYGLGTKLPWDTQYLIESLSDSTIAYYAVAHLLQQGVFDGSAVGRERLCAGIDEPMSESLVFRFIESQMVILSPICPHIAEYIWQLLKKDGLIIDAPWPVTDAVDEKLALGSRFISDSMAEFRARLKTYMSPKKKGAKENTTPPTEAVIFTKAKITTEVQVTSEVKKTSSDVVVKREDDEESDNEDILALPTTTVINRKKVLAKANNGALPDNKVISQMIGKEESLKKFAKKAMPFVQMIKERYEQKGASALASSSPIDQTAILNENIDFIMNALDLDSFSIRHTDEEGVDPNFVETTVPLVPMMSFLPQRPNVNLVFRNVQICNAMFDVVVPICDGDTVSMIIRKLRRISKAIKPKYEVTLWRYKDSVWGDRKVISFNSLFEENLQLADGDLFHLEADNKITVTSGSEKIDIGQTIVYKANVPE >CRE25980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig806:39476:42322:-1 gene:WBGene00074088 transcript:CRE25980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25980 MYCIHITSLLFILYSFTTCQQICTDQDYEFTYTNCDENGERWRVAVPRSGMQCSNLPTPRRGLNCSFSCEPGHYLDLDSQHCRPCNPGFFSLGGGIRYEEFVTLPSGFSVDNMDSNPDTQFSSQQSQVVECPKE >CRE01478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1240:8838:10142:-1 gene:WBGene00074089 transcript:CRE01478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01478 MGPDENSLNAEIAAMSLGVSNGLNNSVGDDAKAQADDTMRWCQSIKDQVSVMDNRIRSNLARGRPVFNDSAIQDLFTRLTEFHSHVLSRMHTLDEQRGYYEGLQDHLANIGEARQAIDEMRDEHERKRQERLAEEQRLRQAQMQQTLEMMRMKKHAMLMEQREQALQRFQQQQQEMAMRRQQQAYYNPQIGYGAPPGQPQQPYYGYPQGQHAPNQYQQPQQQSQQQYYQHYQNGPAVPQTQTTTNQHQASMPHAQQYQQQYQGYYPQQGYQQQQGGYPSQPQQHPNYQNGTSTPVENGQYSNQHSAEIKQEQPVHMYQQPAANGHNSYGNVDQNAGQQIHQPQQQMAEQPLISFD >CRE01477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1240:5603:6585:-1 gene:WBGene00074091 transcript:CRE01477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01477 MSVYPPTPTISMIHAGADRKKAKRPPNVGSRELNSQENEMLFQLVGSDAVSLTAAVVQLLKSDRGSWRIELQHGVVSLVKDYAQRAYFLRIFDILDERVVWDFKLYKAFRVQSFPQCRKLLAFEQMENGEDGVILGLNFFSEYEAAEFKEHLDRRHAQERKSNTPVRPGMPMVMSSSSG >CRE01476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1240:3:2118:-1 gene:WBGene00074092 transcript:CRE01476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01476 MWMVVSEDPAGRLRYQYHNLPPPSGTQTLPPPPTPSSNPSTVSAAQIYSSSPSGHHSHMQRFARGGCLSFPDPMGASPRTNTRSHTLPSGGHYYEMEQYDNVNDYSTYGGPDSSSSTSSSAEYQDPVYSTRYGGSTGTYARRHNYARCYVPISADAFYYYHNQQQQQQQQPQSYRPRHRSSHQQEQRRHRAPSPDPDYSPPLSRNKVRFHLPEEPMSRGEYVSNTYQSSRQMKVFNTDDFGDEEDDYEAVSMNPDPEPHHHDSVLVHGSNGQVSVMVKKEEPIYCSGGSDSAESSSATPSSVEADTPPPIPSHPPARHRLVKKSQKELNARSSNSSPHNGIGSTPTRQFEITQAYGGTIRGPPMTIGGGQGITPMGTMAAAPHSHTQTDGNASSSGSWFRKDKNKKKDKKSKIKKEDISNPTNFQHKAHVGWNQDSGFSNTVYDDDYGRSNEKYIE >CRE01465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig920:6319:12388:1 gene:WBGene00074093 transcript:CRE01465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01465 MTSSPTSSDSSLEEEKFLKPEDVEECIPPKELEMMIEEKKVGTLDDFVTLRWYCMLVLLMAELTAFTATASSKVMVFAGATPTVVGCGGTPLNGCHELAAFRNGTNDCVPDLKYQFKSVQVEFNYVCDDAKKVKNTITVQTFGVLVGAAIFGQVSDTFGRRKALLISTLGNAIFNWISAYSPDLFYFMVWRTMAGVFTGGVTVVQMVFMVENIPRKDRMWIQNSITWSPNLILFPFVAWLCHDWRTMSVVIAAASIATFFAVFLLEESPRWLIQKGRLDEARKSLIKIRKTDRLYDETFEKQLDEVLHVESEKHARSSKKAKKYTFIHLFCTWKMMAQSLTFVSGM >CRE12226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:9328:9549:1 gene:WBGene00074094 transcript:CRE12226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12226 MSTPVQYLLLTLFSLKIPLVLTCMSTRTTGTIPALRNCPSCDVSTLSGSSFTPTATQTPGTAYFLRGVDPVNW >CRE16521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig865:14490:19948:1 gene:WBGene00074095 transcript:CRE16521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16521 MGGGSSRNKTEPRGEGVKLAFDPDEKWSNLYREREKNHLYKWVGVRKGGELINIYERDGEEGVLKFAEEKLLTILYEDGNTPKLVTYSDYIKWKKGVNVQLGLSEESVDMQQSRFKEHHALWKLNKRGVEGENLIHLLLNREQQVCYEIARILLKRFPGMANDIYLGDEQFGQSALHLAIVHDDYETVSLLLNNKADVNARACGNFFLPEDYKLTNKITDYQGYAYYGEYPLAFAACFGNKDIYDLLIQFGANPNLQDSFGNTILHIPCSSYAVRHWAKPADPHVVNHAGFTPLTLATKLGRKHIFEEMLEIMKVEFWRFSDMTCSAYPLNTLDTIQPDGSTNYDSALMTVINGSTPEHLDMIGSEVIQRLLADKWKAFAQRKLIERLVLLIFQLITLSIVVYIRPTELPRLYMEEPQWDDWVRTVCEILTIGNCVFFVGYQQFGEIRTQGMRGYLRNLKTAPAKAVFCIANLFLLLCIPFRLLRKHEIEEALFVFALPGSWIFLLFFARSAKLTGPFVQMIYSMIAGDMIRFAIISAIFLVSFSQGSYFYTEITLIDAS >CRE14108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:419519:421067:1 gene:WBGene00074096 transcript:CRE14108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14108 MLDHFPRCIKHGLFSLLLPLFRCSVYFDKMKKDKTSEIIYLKNNFLPRYLFVLVASGLICLIRYTSIIRYGYEKESQESSRLIMRAGLLSLVICTSFGYFSCWYCDYNFMDGMYYVSLFHFVATATLLPILLEYSYMCKFTRNIYCDQNFMLLTGVLAGCGRAVYTHNIAEMYHGAYIYFIGMFVIDSCALFGKPTKVVFLRGLVGKYVICQIGLKVRQGYLKSLLSGDELNLELIYSQRVFNGKVRKRLMIIRLYNVDSVKERCKLVHS >CRE23256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1398:7172:8623:-1 gene:WBGene00074097 transcript:CRE23256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23256 MALSKPVPYEEVAVTSGLFPSKEAFWKDFVRRRPRLDQPTLRHWFLKNARFTMEEQRYDQQGRYTAKMRCAICSNNWSGFPVAPHSADNCPIPKDYQLRFIATNTPAVCLACFGRPEFHVSCRKHDEFCKRCRADGKGIRNHVPMARVCHLKRGEEEELFNELRVEHYERVRDISNKEPLAIQMENDKPMKPYFRRDFFGIPPLNVPLGTYGPVHYKQNSYFQGIIPVYKDVDRDLVDHMLRDYQKAKTDQLAEQKAEEEDKLDLVRKVESVSLIEGSSAETGKLYEKEESGSKITFSVQKWNELIRHVDSLWITDTKEVEELRIRFSDAKPVMPCGTFDGFYTPRDLTYDFTKDQLEKVVKEMMFEDKPKETLDKILALQMLLTGQSEQKKYIGAEGFFGWLGTGEQDYFELLRDFTIMLTRVSNPPYARLQSVDKKEFPFHEHSKRGITIPSANCYISYKLDTRKEIFKLWLHNVAQTMPK >CRE10307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1095439:1096210:-1 gene:WBGene00074098 transcript:CRE10307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10307 MKTLLLLAALCIGAYAQFSSNGQTAIVNVHNTLRSSIAKGTYVAKGTKKAAGSNMLKMKWDATIATSAQTYANSCPSGHSGAAGLGENLYYYWTTGTVSNLDSFGATASAAWEKEFQDFGWQTNLLDLTLFKTGIGHATQMAWAKTNLIGCGVKNCGPDASKRGMTRVIVVCQYKPQGNFLNQNIYTTGATCSACPTGTKCETATGLCA >CRE04454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1611:2628:5413:1 gene:WBGene00074099 transcript:CRE04454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04454 MQRVPGVLRILGSVTQRCTASSTSTPSRFQTMNSRRKRNSVRKAATIEDLVEPRKVKHVTQAAAGMEEWIGALNNTNIHMSLDEFMRRPMVRQLAKENGINDKLFMRSFKSFREYCTPDDLSSVDPALLILLSDISKGVKDCEMLYPFFLDHSKQVFPHLEAMDDLRIISDLTRPHNWYPEARSITRKIFFHAGPTNSGKTYHALKRFGEAKSAVFCGPLKLLASEVFTRTNALGIPCDLVTGEERRFAKDNHHPSQHLSSTVEMLSTQMRVEIHLCGEPAAIDIVKKLLEPIGETVEIRYYDRKSPLTIADKAIESYSNIEPGDCIVCFSKKAVFFNSKKLEENGIKPAVIYGDLPPGTKLAQAAKFNDPDDECSVLVATDAIGMGLNLNIRRVIFNSCTRQTELLPTYAALQIAGRAGRFGTAYANGVATTMRKEDLGTLKTILAEKVEPIANVGIAPTYDQIETFSFHLPQASFVRLLDLFVSVCSVSDHFFICTVYDMRELAVLIDQVPLPLKVRYTFCTSPLNTDDKRTAAVFVKMARRFATGQALTYEWLMDMLEWPPKPASTLSELSLLEQNYEILDQYMWLSMRFPDMLPDEPRVREASKILDTMIQEGVEGFMSLLAIGSSESSDPKIRKTTKSLEEKLIKSSEKSETPAKKSSILEALLKRADISEEDLEQLREELNKKKK >CRE04453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1611:1741:2436:1 gene:WBGene00074100 transcript:CRE04453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04453 description:CRE-PFD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Q7] MADEEISKAFRDLQFKTNETRMRIVQGEQNKKINYQKMRISESTKKNLIGLDENLKYYRSVGRMFLLTDKTAEISRHETEAKQSKDKIEAIEKQKEYLEKGLVEAESNLRELIQSRR >CRE26044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3925:1195:2296:1 gene:WBGene00074102 transcript:CRE26044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26044 MEKITKNSVLIRTTEAKKQMIRSFPFIRLLVLDFALSIYLWYKWKPDWDYTVDTFWKQTGHVADNLNGTITWLRSNPAGLKLNTPVNDTLAWFFTYHIYLWTTFIGFLRSDAFFRFITYSLIGGVSTFSSMVYDFSQIFFLHFNCFDAYATKLCYLCYYTLTVLWSLVRGKKWNPLRERMDTVILDTRQQFLATSLFVILLFILPTIFVYFVVFRCLRLAVSALQTVIYFFATWPFQLFALEKYFKEKYGKQKTSEGNDAASTE >CRE14413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1302:1369:2232:1 gene:WBGene00074103 transcript:CRE14413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14413 MFEEKPVKFDEPSTSTVSHPPLPTTSQMMTEESSRTSSFDGGYCSSLPSSSNVIHPSPPGIGLTTDHNSILQYYHSMETGLCSRRRIMYTNTDMDYILDSHSTLQCPYTVSDLRPHDFRNFRGMLRHDFVILFDYATRFPDFNSFTSHEKNMFYRLILAVDFILSSAYYSAK >CRE13184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig980:4642:4912:1 gene:WBGene00074107 transcript:CRE13184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13184 MFFSTIRTIFFFLGLILMATAQLGVNTGLGVGPAGANANLQGGGYGNQGQGAYGNAGLGVQPGGVAGGLVGK >CRE13187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig980:6260:6919:-1 gene:WBGene00074108 transcript:CRE13187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13187 MPIGLSYPGLRCVLEHLDAVKRQATFKTFKIAVLQRSEKTIPLRLNYLQIYKAVTSLDDFSFDLREFNEELQFSCDDRKTIWKRNIPQNLDTQTVENKINQYYMGGRTSVYVKRLEVFGPHADLALPVDSKFKVTELDAKHCNFEYYLPIIDPISFPLKYLRCKLAGLHTYYYPVFRSAESLSFNIFEEQSEEEMICLHKLPCKTI >CRE03546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1050:10244:13272:1 gene:WBGene00074109 transcript:CRE03546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03546 MNRLIQYGNVESIPFYNCSRQSFEEWEATGVKRQWLGYPLIVFGTFIELLYIPIIYIIFKTRLIKHACYKIIVVLAFIDMCATCCSCLITGPLLILGSVFCMYPTFTYVAGSFALAMWCMACATTVSLFANRILSIAFHEYADVIEKRLAYFSIIFSISYGFYMFIFTPTICYNSVWISWIPDPLSELTPSEKAADMYKNRPQAWNNWVFVSCMFILFSIYCGMVKKIARGQKSKASMAIFFQCIIICFFNTVSALIYNALSFVTPDFWILLLGQLCWSINHGCPALIYITMNETIKREFKKLVFGITTKKIETSSVNTASRSRI >CRE03544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1050:2631:2985:1 gene:WBGene00074111 transcript:CRE03544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03544 MMFRYFMLFALVSAASAVTRAICENYCSSVNGRASYDNCSPWISFATQQNQTCYNECVYKCAVVYKGSCMTGNKYRCCLETFPAKKQPFKISGCNKLYNNLI >CRE03543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1050:610:1665:1 gene:WBGene00074112 transcript:CRE03543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03543 MDADMDIADKEFIKFFSKTASKVNFSVYRRDLIRAFIAEGGTDTHRRYVHNTKMTRMILGSEYSMEQKAKMFFVARVPMTDKEFLRKLEQDFTVKTSQKMIIGLYSKTDGKSIFEAVDSKQKRIRIGPAPSTPTTSGPVKRAASEPLIPSPEQKKMAMELHDSKETPTPEVSMVRPNPNTPELEIQSVVPPTILPACRNHVIVNFLQRLQMTITGFHRHELGGLCKKITEAIQLVGSSETVLLPFKIAGFMDYFMGKLKGQRCVGPLNGKFFIFECDLVHILLERMEDCTSLEHFVEAFKNKQMEVRMNEELIVSYLEVGEVFSNFVGYIANKC >CRE19579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1109:11067:12111:-1 gene:WBGene00074113 transcript:CRE19579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19579 description:CRE-VHA-8 protein [Source:UniProtKB/TrEMBL;Acc:E3N3X4] MGISDNDVQKQLRHMMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQQQRQKIMEFFEKKEKQVELQRKIQASNSLNAGRLRCLKAREDHIGAVLDEARSNLSRISGDAARYPAILKGLVMQGLLQLLEKEVVLRCREKDLRLVEQLLPECLDGLQKEWGSTTKVVIDKHNFLPSESAGGVELSSRAGKIKVSSTLESRLELIASQIVPQVRTALFGPNPNRAFFD >CRE19574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1109:9235:10539:1 gene:WBGene00074114 transcript:CRE19574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19574 MKPAAVLDIFKKKTTRKERKRGNTKTEHSRKKNKDKGGKTIDEDLTMTVMAPPPAAPAAPPAPSAPQAPPTQQAAVQSHPVVGQWVQRALDFGVEKLRDEFRQMAKYTRPDMTQNAFNANCSANINETKNRYADVPCQDQNIVQLIKPPAPNDYIHANYVACPQIRFICTQGPLDHTVEDFWWMVVQQKVEEIIMLCKTIETGKYKCAQYWPLNPKEKREFRNGITVENMNGTVPLARDPDIHCTDLLVTNCGQSMKVRHLHWSEWPDRGVPPCKLTSMELLSTVRGSKLPVIVHCSAGIGRTGTIVAIEYILEKILENKSVPPMPELFKGLRDQRAYSIQTDLQYLYIHRVMLSYFLDKYRDRYSALLHPENAQKYEKFIKDYNLATGQ >CRE19578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1109:6874:9015:-1 gene:WBGene00074115 transcript:CRE19578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19578 MANSFFITEEVYSEEKKKELKRKRLNGDFSQCNISLILENGEVEKVDAFLINEHSEKYKTTGYIFSKRIDVSMLETGSVKDFVHWMYTKDIVVMESNVSNLLKTNMELIDIIVSRMKKNIDLLITSLNGITEAVITETPQTHFRPYSSLYHHSNQFISKRLIPLFCELEPRISMTEISKLNVTSLYVLMNSLVGIPTKVRLIFLAMDWIVMTNPSNKTMNGIIQSVIIEVGQNCFTHDIRYNMHEYLTKVLPISKLCVYMDGSSEIIPVFEKEQSVIPPNCVTDPIEVIPRVVRENTFLRKPTDLTRLRRKARIDNPSGREPICGFYQRIIIGENSNTKLSAIRSFSTADQVSNDVTNIRWMRGKWHCDGYVTLSSSISSESRGSVNLNESHRNPSNNRSQYLMDRGNTSIDGHKSYCFYDVMAVSKSNESFTGYSNNKKTKEEQIAEPSRELYSNYRNHKRPTEPTEGTSSNTNKTNQRSHQSTSSESNYSFANLANNGSAFVSQFGTTNQRKPPSHRQRGGNDYYPGHAGCLYVPGAVEDLYQQFYSSPYF >CRE19573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1109:4535:6732:1 gene:WBGene00074116 transcript:CRE19573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19573 MKDFRVLFFRFTLLFCFLLYCNSEIVSTSYGDLDGDQIGEFHLFKKIPFARPPLGKLRFQKPEEPEKWDGVRNAKGKSCLLSAIKLESVSITKLSEYGPACLSNSTVTTSPQKWVDEDCLHVNIFTSSKCLKSKDCAVVTYFHGGGLHYDSAVMFNDTYLLGTFVNQDILRALEFVKSEIHNFGGHNKKVTIMGHSYGGALASILTFSNRINNDLSLFQRAISMSSGHDFDPLEFQIQKTRRFAKRAKCVVPEEIGKKLTSSQKDLYSMKCLQNKDSMELLRIQRELEEEGYPTYAFTVQREPLFQEVPYHQFMNTSKHIPILTGCTRYEMDHSPSDKPIGESVGYDNPIEVDMKYRKDLKEGNYDFGNHADETQAIMVQVKIRAERMIRNGVPSYLYEYTYPKHAKHTDDLFYLMGVHRFDKDENEEKLARVYEKIFMNFAKYGEPREGFEVANIENTSYYDVYWNEETGERPQMKNGFEEKILNYWLKDMVEYDRNLTASRKKESVKPAMRFYKESSKQHQLPYMFISLMLVSSIFMAGCLFGRCCCNGSRNRNLYIRIDGNDVPAGTLKSF >CRE19577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1109:2668:3171:-1 gene:WBGene00074118 transcript:CRE19577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19577 MHIRLLTLLVFLLVFPIFSNSIEIRCYAGLKYVVGQEVLQDTENCDAILGMGESYCYKFMEETSLNEVVKMGCSSFFCNGIRNRCMETDLLGMRGTLCCCNDRHYCNSSRLSVPILLSVFLFFVLLF >CRE19575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1109:470:1561:-1 gene:WBGene00074119 transcript:CRE19575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19575 MKPSTSNQAVRSPLITTSPKKDFGGVKGPSGAQTAPVGSSGAGGGGAQAFLGKWQDETNQSQGSQDFARLIHTRYLSDKEKILLDALTEYKRVGYKRTLFKIILMIFVCIFLLVFTVVSIGCWSEHLELTEHLGVYDERYVRTRKRNQSEVNLDCPMIGFIGNIWSPQSSHVDLFGKIISIISIRKLIQVRFQVILR >CRE21054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1034:5551:6117:1 gene:WBGene00074120 transcript:CRE21054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21054 MPDGNELTEKRFRCFCGICHVVTGTQLCLIWYILTSGLSLLFGMRSTCTWLVVPICVVGLGMYAFYSKRHKFLYPFLIITVVQQLVCMLMATIITIFSLCSFDTMRQIIGHTLDMAEPPSKTLALFVVCGTVSACILLSFIHVWQAIIIYT >CRE12581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:839692:842344:1 gene:WBGene00074121 transcript:CRE12581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12581 MPYNYHYDLATSQRKVILKLIFKWKGSIWKAIYQDLLIWCFCYGIISVIYRYALDRSQQDTFERFMQFCNRRLDYIPINFMLGFFVTTVINRWMTQFANLGMIDNIALFTSMYLNGNDERGRILRRSIVRFCVMSQTMVFRDIHIGVRKRFPTLETMVAAGIMTSADLKKYNEVESRYAKYWLGFNWSFNLLNEARREGRIESAYTQNAIAEVRYTFYWGLEIRTFRSGLSLIWTYDWVPLPLMYPQLVFLAVHCYYLVCLVSRQFVINSDAKMTTEIDLGVPFMTIIEFIFYMGWLKVAMDLLNPFGEDEDDFDCNFLIDRNLTVAMGIVDDTHDDGPLLEKDMFWNDTVSPLYSKAAAQRNVNFYFGSATNADSQIPDDVRQITMVPHPFNEKLDQMYGKRTNRPPVESVVELKRDQRFSSGNNRKQTVEGRISNKIGAMFQKRHSKSLTFSPEGFNTKARASTDIEKLPTFMTNQKPCYSNPDCIVEVDEEEQPKTSEETKQEPPRKRVNISNKRESVISMGRSRSFNHFGEHVDYHDYYDFDKE >CRE06247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1853:127:2514:1 gene:WBGene00074122 transcript:CRE06247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06247 MVGNLRLQRWVLSMIRGTYLRTHTNPWDRLVTNACSCCGSSCLGMALENHSEDTCGFVKYLTSEQKLEFAVANTFAFCGYCNSRSVTHTRCDKPRACRNCSEEGHQHYHGVCALNLSPDEFREKVLKMRIQRGRRILWLLENGSLAFQLPNDYIPNAIHEEIRIVLGKGLHIRGVGALNRPAADEFGFIPEQVYRWKAYHGLANREVDHRDLLQPVLFSEGEFDWFKLLEQEARALYKRIRASNWVPFIIRFTLNLADPQFPVEIFALGNDQDYEPKSIKASNDLVQSYKDYIHSHPPQASDLTLSDRVIMDKPIGPLKEDIIRLSSQYVNLRAGTVLTMDDGMETPDLELHKEQQLSNLVRHYVRNTFNCIPDDRYSFGVSRPVFESFETLETPSSRDAQLMRIATWQLILTGQSDPEGVSEDVSEDIILRYIRYLVDCGISLSAFPRCYVRLACGTFGASSKGVFILVPSIRYFRDPVMVQVVTSWNAAERAQFAISNAPINSFPYSEDTRNPVGGGKTENEEEARESEIQAVLHSLDSDRRVLGYRVHLPSLEVVDLFLVYPFPEHKSQIIRRIQQLQFTMTGSSELTMHLDECQASQLGQYYEFWKASLLAIRCLINTEATAPMRMSECIFDLLHGGKTSFDLALPSMRAYRWEAHGWWLLWIDKTLIPQLRRISGIDCGCPHHKFSPRSPEGQI >CRE23791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig717:6127:7236:-1 gene:WBGene00074124 transcript:CRE23791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23791 MSDFRLTVPGRRRTVSGAPLNDYTELARLVREAGLLRRRYGYYWTKLIAAPLALAAGIAVFIWIGDTWWQLCTAAYFAILFTQTAFLGHDAAHRQIFVSGKWNTWVSIVLGDLLVGMSYGWWQHKHTRHHGNPNKLGVDPDIELPVIAVTAEQVQRGNARAPGWLRSHQGVFFFPVLLLEGLSLHASSVRRVLARGHLDRRWVEIAFLSVRIIGYLGLVFWVLSPGIAAAFLGVQLGLFGFYMGLSFAPNHKGMPVVPREMTLDFLRRQVLMSRDVRGNRFLDTAMGGLNYQIEHHLFPSMPRPHLRRAAPLISDYCRARDVHYTQTGLFESYGIVVRYINRVGLGERDAFSCPLLEQRTDPLKIVKES >CRE20087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig429:27227:28246:1 gene:WBGene00074125 transcript:CRE20087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20087 MGPGGSRRPRNSQHATASSASAASRDEQQQNKDTEHEFDIVAYRTTLWRTFFFYALSFGTCGIFRLILHWCPKRLIQFRAKRCSVECADLVLVVDNHARHDICKVYHRNRSGTEHTVVANTDGTLSELEDLRWFKYRKLQYTWIDGEWSTPSRSYSHITPEALAKSAPASGLKSDDVALRRTYFGMNVMPVKLSPFYELVYKEVLSPFYIFQIISVSVWYVDDYVWYAALIIVMSLYSVIMTLRQTRSQQRRLQSMVVEHDEVEVIRENGRVCKMDSSEIVPGDVLVIPPQGCMMYCDCVLMNGTVIVNESMLTGESIPITNVSEGNYEWAGLKYESAS >CRE03551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1130:1524:3992:-1 gene:WBGene00074127 transcript:CRE03551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03551 MEVPMDDSPVVQNGVLLEKEELMKKTKEDERLTNWRLVTIAGIVSCLNAVENSVLGIGEWPYMKEIDENATAQFFGLATSASKCGHAVFALIFSIWSWKTHSVRIPLLASRFIAIIACIIYLCIEYVENDKRYVLMSVYVLLGIANSGGTVLRGYITLCSSNEDRPRAFAVLGLSFIVSIIVGPTIQLLFSAFPYPGHAIFPGIRFHLYSAPIWISFILTILTVFVIHFFMKDIIREKSKKLRPSDSQSSFSMEKMRYAFQKIKKSNLDWKLIGVCFFVKMAVTFSHATMSSIGSILYMVQFGWDGTTTVQVGSFTMVVFGVMSSTVLLLYIFCHLGKIVPQHKMFLFCTIAFGSVYVITYPYEFTSTPVARYNETTRAGCDPREYDWCFTALAVNPILYITVSLLVAGPAIPTMSTSLDTVYSRILGNIDQSIAHGAMTVVDDVLYMITPIFTTTMFTLYGVGPLWIIKSLVFVVIALTWAFNFKKIEKHLY >CRE03552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1130:4925:7281:-1 gene:WBGene00074128 transcript:CRE03552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03552 MINPEGFELFEQDVSQEESTSMSTQEKSNWRLIIVTGVVSCLIAVENSVLGMGEWPYMKEVRLYPMIVSRLIALLACAIYLSVEYFTSDKRYVLMSVYVLIGISNSKSSTEKTFESLILGACTILRGYIVMISSSQDRPRAFAIIGLSVITAIVVGPTLQLIFSGIAYPGIEVFPGIRFHVYSVPIWFSLILSAITTVIIWVYMTDVHRVSSNDDEESSKFISLKKLRENYEGLKNSNLKWKLIAVCLTVKISVTFLSALLGSIMSIIFMVQYGWTGTETVRYGATLMIAFGVLSCSVLILYIFCRLGEIIPQTYVFLACTICVGSYFIITYPFAFTSQPLAPYNETTHAGCNPSEYSWCDTTLAVDPLFFLTATVLIFAPSLPMMGTALDTVYSKVLGDIDQNIAQGCMTIMDDVIFMITPVLTTSIFAIFGVGPLWIMEAALFLGMAALWTFNLKALREVE >CRE03553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1130:8770:11055:-1 gene:WBGene00074129 transcript:CRE03553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03553 MGVSNNFEMLEKRRPSVKRDSLVVPDSEDTTNWRLIIVAGIVSALNAVENSVLGIGEWPYMKEIDKDATAQFFGFATSASKCGHALFALVFSIWSYKSHSVKYEIVKNHQLKKIPLLVSRLVAIVACVIYLNIEYVPSGKRYVLGAVYVLLGIANSASTVLRGYIAMCSSIQDRPRAFAVIGLSIIVSIVVGPTLQLIFSSIPYPGYEIFHGVRFHIYSAPIWFSFTLTILTVFFIGFFMQDVHRASIESKLEEESAKPMFSIEQLKETLSKLKNSNLDWTLIGVCLFVKIAVTFSHATMQSIMSILFMVQYGWSGTETVRMGSTLMVGFGVFSCVILLLYIFCKLGQILPQEKVYLFCTIASGCVFLVTYPFDFNSQPIAVYNETTHAGCNPMEYSWCESAMAVNPYFFMIVTMLISAPSIPMMHTALDTVYSRILGNVDQSVAQGAMTIVDDIVFMVTPIFTTTMFTLVGVGPLWLIKSSVFFAIAAVWFVNLKKISAHMY >CRE21466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:217287:223999:-1 gene:WBGene00074130 transcript:CRE21466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21466 MSCYRNGIKCMILLFFMGLAALKTYSYWKDSRIGNTLNTSMHPDVTVEQSSNTPFQCPFESWNQVHSDTVTNENLHLEWIQKNISRRDNILESQIRLLSSFVYSDHISITTNSQRSYGQKVYCRYYNCLREEISNSSYQSIFFPMNVIRCPRRIGVKYMSISFDSEEIPQEPIPLVYRVFEAPVHEVSVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYFTVFNMNEYSRKIIDEYLRTGEIELTVIQSEYKLIDWQFHLLQINECHQRSKHHSKWVINVDIDERLVILDDKIKSVGSLLSGYNDTVAEVGFAIRRIQKTEKLPEKYESDEQIISEMEFLKYNVSSQVSWGAYKTIYRPEKIAAMYYHWAYQRYPETVAEYVKSEVALFRHYRTTEKNILGSGWLTDPNYKNFSIVPEETKFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNSIFSSTLSDFQKCERNTYSSLSIPTSFCCTTRIRDQSPEQCATVQCGTEFIHNLFSTDRSRKPASVSGAHDENANIGGTGSGDSQRGFGGVDPDSTITSFEKPDQPAPPTRVDATWTPNSNSNSNLNLNPGSNSGDARVGGASRALFETTTPTPEIPTTTLPTTSSGFPTLIPFSSESWSTVTQAPLAPSLESRPELPPTPSRIPSPTGQNSGFTGSFGEQLPVRIPSPTPIPFNPSPRRDGHRRRTTPDPRIIRPPESLVVIGDYDEDEPPSENAVVDSPQPLAPSQPSFFSQTPPPTPPPAPSVISNSGDVFARSRKEISATPDFEQQSGTAATLRDSSVPSSLPSSFNHLRRFSSRSSSPSFAHSRAHHRLICRLTTPGRLLCNRPMPRLHRNRYVTPPKYWKTSEVRMKNPSGMRIRKKNFATTRIHITPFMGELARKRRKLDSGSSHEWKVSIIPRGQNNKPTGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDQNTREKEPQIRLESAPPLSFDEHFSQGSTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPASGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLRAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE30331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2088:3:1636:1 gene:WBGene00074131 transcript:CRE30331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30331 TKEEVTCVNGPSIEANETYFGPILETVTIIFQDFQGNSEWDFEGIVSSVGQTEDPDVNFTFSEVHFALTVKRRPLFYVWVLLIPTYIITVVCIFGLFTPTANHGLREERVNLGITTLLSSAVILQIVANAMPKTSELPLLGNFILAEIFVVAIGVLCSVFVLTLHQRAHTREWKPPAWMLWILRMTGSSKFLSRNITKIRHKSSTAERINYTGEGAYLFKNLDESLQSVREYIQEEDRDYFRELTYIKFFDRLDFLLLVIFQVGNALVTLFLVKQ >CRE28010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3319:1879:2144:-1 gene:WBGene00074132 transcript:CRE28010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28010 MYYHWAYQRYPDTVAEYVKSEVALFRKLSIGIQCLITIRPLRIFPDTTVPVRRISLDPGG >CRE13533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:339277:340890:-1 gene:WBGene00074133 transcript:CRE13533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13533 MRVLQKLGFCLDKQKRETPPTQNTGASTTKRVSVIATDRDRAYFLRQKNMRSNKNSADKKPIESSFFYPFFFFFPTAEKNSLKSTNDT >CRE21065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1153:546:860:-1 gene:WBGene00074135 transcript:CRE21065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21065 MSSKKRYGTYGRAITSPALLSSSVGWTRPDATSQIDRLRSQATSVISSPPPHEYAVPHAYGGSSNGTLASRPPADPKQPQRSRAERLRHRISERRTNAYSELGD >CRE21066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1153:2736:4104:-1 gene:WBGene00074136 transcript:CRE21066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21066 MKKKRFNFQKMLEDLLYEILPVVGFAMVACTVFILIVMRKNTNDTLIFCLFFAIADLFSGIGTLIDGFYGVIVTIYGSTVETITPFDCLTRAVNIPIFLITDYLHLLLLAAYAVDRLIQIVFPVSYGKIYPYFLNWKLFIVLCFASAGLSVPGLAYPIESRFNTSIRVMSQCRFDEVVGEEFYLRHILTIQWGPIVCIGALSLNIILYCIRQSKHKWSYNWSEQTGTTKQLFGTIFIRCFLSCISLHVPLLLIARTTEGHELISIKDHIIRVSYWIVVIIFQPLWHILILSSFQANVFSLFNRYSENTERKWQSANDPPEDGPSHFDRHGSPNPFGSWYSMTGNVTGEAGVPVGNERSVSFYYQD >CRE21067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1153:5511:6336:-1 gene:WBGene00074137 transcript:CRE21067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21067 MSVEFLEWINIRIHDKKYFTARYLAHLSDISGMQSETISKILCGFLFTILTFCDQAHFFANSILIGVPLLLIFCYPEEKPGDESFYIYFPVFGGITLFDRNLESVPCYYVMKLMLFLLFFTPPYTLHKQISELLSKDPGVENTIQSRASTGKSTGKPLEHSTRTAVSRQPTSKSSVKTDVTQLTTPSPMTIRSKPKNSDVKVTTIEEYYREEELLSPNGTVINRVITGPFRKETTRIENKKDK >CRE21068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1153:6776:12025:-1 gene:WBGene00074138 transcript:CRE21068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21068 MKSFQALPYVALLIVLLFFIYAVIGMQFFGKVALDDSTSVHRNNNFHSFPAAILVLFRSATGEAWQDIMLSCSDREDVRCDPLSDDYSKGGFNESRCGNNFAYPYFISFFMLCSFLVINLFVAVIMDNFDYLTRDWSILGPHHLEEFVRLWSEYDPDAKGRIKHLDVVTLLRKISPPLGFGKLCPHRLACKRLVSMNMPLNSDGTVCFNATLFALVRTNLKIYTEFFTEGNIDEANEQLRSAIKRIWKRTHKDLLDEVVPPAGKEDDVTVGKFYATFLIQDYFRRFKKRKEMEAKGVLPAQTPQAMALQAGLRTLHEIGPELKRAISGNLETDFNFDEPEPQHRYPILQRPHTLFNNLVHRLSQVGQKSPTEHEQLERGAKLLPYGTSLFQKTSYSRSFSPTHSLAGAEGSPVPSQMHRGAPINQSINLPPVNGSARRLPALPPYANHIHDETDDGPRYRDTGDRAGYDQSQNRMVVANRNLPVDPDEEEQWMRGGPSNRSDRRNLPIRDPILMARGAALSLAGMSSEAYEGTYRPVGEGKSVRLPFSSRPVLRPAEDSRPADRLIGQSLGLGRYADARVVGAARREIEEAYSLGEQEIDMAADSLAPLMQHVGMHDIRDINENSRSALLRPAENSSRQHDSQGGSQEDLLLVTTL >CRE02764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1641:1074:5124:1 gene:WBGene00074140 transcript:CRE02764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02764 MHNFEEERPTSLVASIRESAKSSKRKINYYCSFVCTPIKNLLLTFKLLIIIGLYSFIGAHIFMYLEVPTDLKAKEDGFHQRKIAREVMVLNLRAIYYDNRNDREERWKHAILKFEEDMGLEEPVVETVWTFWMSFLYAGTIFTTIGYGNIACKTQAGQIATMVYAFVGIPIMLVMLTSLNNFLLKWIKIVTNGFSDILLYVGAFFLLRYTKFAKKMKEWKLSRHAAPSSIAISSSEENRLDICPEDEDEEEMELDPPVLSTLFATVSWIMLSAAVFCLFEDWTFFTSFYFCFISLTTIGLGDVTPANPEYMIATFGVVIVGLSMLTVCIDVIKEKLAQMYMALLQKLLREYMEAVKSGDPNAASAMMAGFQGRAKFLMPLISKNEGAKVMDKFKQDCSKKGIDPPPVLTNINPETGMPAFANAPKEDFRDYIDVVSSLAEERFAEEQQKLTQTPLSKSTDFLQSPTPRPTVSAAVTQTSSPPPTVSLEVQAGTTMGKFHDYGSQTQQESSDEGIQTDYSMDFDDEKKKEPEKVMADAGTQYEIVL >CRE11590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1137:11439:14969:1 gene:WBGene00074141 transcript:CRE11590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11590 MDGDDGSGTRVNGFAKPFPPKPRDASTVATPSNSTPNVQAPPPVVDAPDKYYVPGVRDKSKFRVLSQQVEISNLSIERRSFNVRSEMVILPLEKSLHHLDLHIGESSLLPNEIPGSTSKITINGIECEYTRRRHLKDVTEAIEGQLDTKNIPSLETKLNEKLQENDYELQITVPKDLKKRMKHRKAVRLRVDTVVQQPTRGIQFVDFTGGRKDDVHVFTYHTPYLSGAREWTVCLDEPEQLSLWELTFELDPELVPVFSGELTEKRLKNGKIRYRFHQTVPTDACNIGWAIGKFRMEPHPESPTIYTFSLPGLEPFVNHTTMYLDKMVEFLEEKLSCRFPFPTMKVVFVDQSTEEIQVYSSLLIVPTSLLYHKKIIDVVQETRQKLIQAIAQQFFGCLVSPGHWWHWWIPQSLARFLTSLYVETKLGTAEARWQLKRAMDDVCDYEHQWGKIILSPDQMENKKLSLHVDPRNEYTASPLYVDAMLKKGFLTMRMLQKRIGLEPFMRVLHRLLTVGLDMSEKKTTPAAWRHLLISTEAFFRSVSSVTGREIPTFLEQFVRTGGHAAFAVKFDFNRKRNIVEIEVKQDDTEGNGRTQYTGPLSVVVQEVDGAFSHTIQIDGAISHAEISCHSKGRKQKKKKVPILTGEEIEIDLTNMDAESPILWLRIDNDYLLIREISISQPMFHWEYMLKYERDVIAQMEALERIQALPSAHSRSVIVDAVSNERFFYRIRCRAAFVLTSVQNRKSEAIAVGTPVLINMFRESFGCKSSTNIPKPNNFVVTAQNLQQYFVMQSLPQAISRLRKQTGECHEDVQSFLLDLIKFNDNSTNRYSDDFYRASLFNSLAASIHPSEFLPFRVDLPENLSSEFRILIKEFTYALNMDTVNPSYGRVVGIAALSGLYQLQKCGYLPLDSKLLWAFAHRNSCVQMRRCAVTLIIDRIVNDIHAVDTKMYDLSMLLNLVLTEKDPSIRMLIPKLLAQTPPFGTYNNTVFGSDNPCNTPEIAEKLWSLCTNPQLDGCIRSGFLDVYYSLYALGTPPAIGGPDESIGIHRAYVTVPNAASTFATSQWHNSGYEAARRSPPRRDFGDEMMNLMH >CRE11589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1137:9393:11338:1 gene:WBGene00074142 transcript:CRE11589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11589 description:tRNA-dihydrouridine(47) synthase [NAD(P)(+)] [Source:UniProtKB/TrEMBL;Acc:E3NQM1] MTETPEKLVQDSRDINVAPIKEEFVLPKEDHELPAEVQALPKRERRGMNKTRRKDMKHAETRIRASAVRLCPSVIQPVACKFGEKCNCEHDISAFLEKKPADIDTECPLFDARGTCPFSYACRFGSAHLDENGKQTEKSPSKPYEATTNCHSMKIQVALRKHDYPFEKSENALESIKNETIGSMWQEKERKLDMKKLDGLKYLAPLTTVGNLPFRRICVDYGADITCGEMALATSILSGAASEYSLLKRHPSEKIFGVQLAGGFADTMAKAAQIVVENFDVDFVDINMGCPIDVVNQKGGGCALPSRPNKLYEVLAATKSVLGDCPMTVKIRTGQKEGVLKAPETVEFMKKSPWHCPDLITFHPRSKEQRYTKLANWDYTHAVAEASKQVPLWVCGDVLSWEDYYERLENHPVNGIMIGRGALIKPWIFTEIDERRTWDISATERFDLLKKFTNYGLDHWGSDDAGVERTRRFLLEFLSFQCRYIPVGILERLPQRINDRPPRYQGRNELETLLSSQKADDWIEISKRLLGPTPEGFQFIPKHKASSY >CRE11592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1137:5135:8410:-1 gene:WBGene00074143 transcript:CRE11592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11592 MEMRVDEDHIGNFMVLNSRLVKIKETPSKSEEEKNGLIKQIGEKCVPKQFIPVLKREMKEEGSQTEDISKSKRKCPETRAPSEIPVSEPKPSPTLDDIISFISSTSADFTNVSKQLTREAANAIGGCTRLILRTIIENAKTNALRENRQRIVPSDVDLAICSAEINSDFTCPLLKPTPATDRMYWGRKMTKPKKTIDANSSEIVSHERFCDSIMIKDHWLVVDGVQPCVPENVIPTEVKQKYQEQQQETQRVFGYGVSGVRKQIPEKRPTTQTVLMLQEHQVLYAEMTKILTNGSALERQKVLETIETDTGFQFLAGRFVILIAEGVRLHIGTKNIRGLANLLKLAWSLMKNPHIWLEKYLYVLVPSLISCVVSKSMVPIVDPARAGLKTKTSTVNVGTPELTAEDRERIIRDLEFEFKLRESTGKLLAELASIYKDQNLRVRIIQMLRKVLTGNKDPVAIYGVLCTFFAFGSLTINTVVLPRMHDIFCSLQASRNDIPSVKATMTKLRKLLVETEIERMEVIQNKTIELIMKIIFENEIFNERKLADXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAANPSAVTVDEMRRGRFLHRADRLDNV >CRE10941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:898768:900185:1 gene:WBGene00074144 transcript:CRE10941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-map-1 description:Methionine aminopeptidase 1 [Source:UniProtKB/TrEMBL;Acc:E3M5M9] MESLEGKKCIGCQKPAKLRCPTCIKMSLPDAYFCDQTCFKAFWPIHKISHTDVSGAYNPWPCYSFTGALRPARVTDRRTVPDHIPRPDYALHPQGVSLEERQSKSERIIKVLTDEEKEGLKVACKLGRECLNEAGRACEPGVTTDELDRVVHEAAIERDCYPSPLGYYKFPKSCCTSVNEVICHGIPDMRVLENGDLCNVDVTVYHRGFHGDLNETFLVGDKVDEESRKLVQTTWECLQQAIAIVKPGVKFREIGNVIQKHANANGFSVVKGYCGHGIHRLFHTAPNVPHYAKNNATGVMKAGNSFTIEPMINAGTFHDDKWPDDWTAVTRDGRRSAQFEQTLLVTDTGCEILTKRDQNRPWFMDQIAQKY >CRE31567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig447:20457:22361:-1 gene:WBGene00074146 transcript:CRE31567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-89 description:CRE-TAG-89 protein [Source:UniProtKB/TrEMBL;Acc:E3NL16] MRTAFFFFPSISSFSATFSYKTILLKSLFLTWFLFPEDVMLTSTMIPLILDALLDNSTSTVSTTQSPISIEVLDYVKRVKSIYHWLIPMMIIILLIAIIGNGLIVISAKWLSSPVSPYLKLCISLAAADTWAAFLLILGLIVNSYLPVIWGYQKNSMCFEALLEMFRISGMLTSDMHLFALAINQFFGTMYPLKYKIMITTRRTRFIVFCLWTIPLMFVFGWFIAQPDDGLRHPTCSFTFYNRFPFRITIFLIFMLPLISTLIIYGCILVKLLKAKVEFETYCNDQQMEISQNNNYNKNNKTPATRSYSTRSTNVYSKLKLVWTTLLIVSTFSLSWGLCVLYFVMVCADGCIIIYRQNIGLYMSLFLSSTVNLLVMVKLASNPFIYTLRIKAIRSSVDRFLNKVRRRPNDAKSYFMTSQVHTSAIEPTAI >CRE31563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig447:17164:19860:1 gene:WBGene00074147 transcript:CRE31563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31563 MNQPSALGLNESRPKTNVRLTISAKFVYFSHFKFLDFYTYSNLMDLDVFSVSDPICIIYEKTSGRKSTTTDPITLPTWRDRQWTERGRTEIVWNNLNPQFKTTFLLPYFFEETQLLRFELYDVDSKVVGTDLTAHDFLGRFECVLAQIVSYSTFRAHLGDKEQIGSQVKPKSVLDFVNSFKFISTFRDKNSKNGTITIRAEEDEKNEKIQFDVCGEGLDRKDFFGKSDPYLNFKRRFDDGSSHLIHQTEVKPRNLNPRWATVQINTQALCGKNLDRPILIECFDHEKFKKQDDIIGTSQTTLNELLTEGGKTGSTLQIPLINEKKKTKKSKYRNSGILKIWNAKIIIEPTFLDFISGGTQLDFSVAVDFTASNGNPSTPSSLHYMASGVPNQYEIALRAVLSICQHYNSSKTFEAYGFGAKLPGHHLVSAVFPLDLQSKNSSVVGINGVMHAYRHALQNVSLYGPTNFSPIIEQVAKKAQKMINSTARYQVIKIKRSFNRFSKSNLQILLIITDGIISDMYATINTVISVSSENQRERVLQVFIVQASGLPLSIIIIGVGNEDFERMHELDSDDALLQQDSRIAQRDIVQFVTMRDFLSRTNGGYLDADTVMENLAREVLYEVPAQLTGYMKQRGFTPRSSDDPWQRDSPPDEYDPRMDNIHNIRASAPPLYPQVN >CRE24701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:105405:109093:-1 gene:WBGene00074148 transcript:CRE24701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24701 MTFYIINLNFFIFLISICSGLSLNCTSIPQSDQVAGKQLSVPNGAAMPVLLPANFNCIYTISPPLMVYAKVLVENKLKGVNDVIIVRDTLGKSTIINSKSNFIALFTVFPNTITTIQVTTKSVQMNSMFLLNISFEKMPTPISRLLETGTNTMNYRILNETQVELSGRQLVTYQTTDRITVTLANSLNRDDIFNNFYVVDGDFHYPTVIQRVSDFNQCGNQCYRSQTSTVTIVGLDEYTDESSVILMPTSESEVYDEVTAMTLYDSENYFDITNRTGNFGSKTSNVAYVILSKDSDGIVILNFEFTQITPGMVAKAVAGPPNSASKVLVDFTQNSNTFPVKLDSYCLILSLQKKNMLIYSISFTFLLVFSSFWGLSEELDCTQVPVNDQSAGKVLYIPDNQNTSVLLPSNFNCSYVINPPKLTYAQITVTNNLKGVNDIIIVTDGQQKTTKVNRNNPSTVVFYVFPQTSTTVDVQSFDDTSRFQMTVSYIALPAPQQRALQKGENLNYLSLSSIQRKPLSLSGDGTITLTIARSNYSSDVFTNYFVIDGDINNPRSIRRLDDFTLSNFNTSSNVITVVGLDDVVSHSSIILTTSSDLAGFTKFAGVSVDGSSGIVHVSATYGQKIGVIIVAKDIQQLVLNKMEIGESSSCSSIAVTGSPTVNSKTLMNFHTDQYTLPQLFPYPYLSIIVENCDVRFSFSTSLPPNYYQLDSDRSGFIYSPIFFNSETTNGYVNLTFGKRRYRIQEELFFQLIVYTGDEKKQFVVDVDRAMLTSNSELDINIYDSDWRKTLSTVITGNQEGTRSRAFGSYLNVQMSGYTSAKLHWQLSSSIVSVFGIHSITLIVALILMISY >CRE22228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1191:351:1713:-1 gene:WBGene00074149 transcript:CRE22228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22228 FVFCYTGKYCQQIPDTEIFAGDQFWYPYNSTNYVRIPPHFNCTYVISMSLATSAYMFPTLYLFHSYVIDGDFYNQTSVHRLIDFEHATPFVSTQNKITLVTFQTESYYATAAVLNPLSEAKQFNPLSSQASVNGEIDRVGLIPEGQDQEACQVLAVDSKTIIMTSVSLGSNVLSSCVAQVVTGPPNNSSQVLLDLTKAQGLMPFTFNLKYFTVIAQGCSFSFTIMSPEH >CRE24651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:111406:112791:1 gene:WBGene00074150 transcript:CRE24651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24651 MQCIRVLACLLSVPVSISFSSSGKASRDTYFIYFYHSECQTIQESQIFNGSTIYFPGNSSEPVELPANFRCTYTIKAPLNSTNGIYSYVELRNGIRGVNDYISVIDTTGFKYRFNNRSERFYQFFVIPGREMSVDVITKSVLMGSKISITVQYRAAEFGLTKSMETENKMNFVNLADLRSNYWYDKGFSSSVTYIGEEPIYLTLASPADIPYEYLCSCFLIDGNIYNQTNVRRLADFLYYETFTSTTNSITILSFWTEYGDDYGVVLNPLSESLQFASLVSIGSSTDDPNTIYLNQRNVKEAVEIIDFHTEEITMTSLKIDSLPGECSAYAVTGPPNNSSTIILDLTKSEHLIPYSFKMKYFSVIYQNCSFSFTIHSPFVPLKI >CRE24704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:115327:117771:-1 gene:WBGene00074151 transcript:CRE24704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-48 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3N3W7] MEALTDSGSDPLLSAALRSPMHNPILSMDDEVDDSCDDVDEVSPTSIHDNHFIDFAEDLVVSERKLAQQGRSTIRSGPLICDNYATLTNLRPLPPISTVTSKKYQNTRQSPSPNPSSLNYYFPTSSTSSYELKYEEEEDDECGGLTHSSSSPSDFSNHGTEIHHPFSASSFDSFDVSTSSNATNQTIMNSTDKFIARIQEADSTTKSPKGTSPAALIMGHSEDDIDDGEELNTKELALQIASELKRYSIPQAIFAERVLCRSQGTLSDLLRNPKPWNKLKSGRETFRRMAKWLEEPEFQRMSALRLAACKRKEELSTSPTTPMIVPKKTRLVFTDIQRRTLQAIFRETKRPSREMQITISQQLNLDPTTVANFFMNARRRGHDLKQESPEREMEESNIHHVDHHSSSSSCASTSSSSSMQMDNYICDINTINILEEDESTPPRETLYSIQLHEHELDFPANILEP >CRE24705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:120109:122415:-1 gene:WBGene00074152 transcript:CRE24705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24705 MKPSTSNQAVRSPLITTSPKKDFGGVKGPSGAQTAPVGSSEAGGGGGAQAFLGKWQDETNQSQGSQDFARLIHTRYLSDKEKILLDALTEYKRVGYKRTLFKIILMIFVCIFLLVFTVVSIGCWSEHLELTEHLGVYDERYVRTRKRNQSEVNLDCPMIGFIGNIWSPQSSHVDLFGKIISIISIRKLIQLIIRLIRCIRLKTTTEAEARKHVDETQKDLNGLAESIIETLCEV >CRE09896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig859:653:1652:1 gene:WBGene00074153 transcript:CRE09896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09896 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3NNV5] MNTANGVKAAMDLEEETTIKELIGKDGDIENAIEQAEIGLLDGFLDFFLQDGAAPEDPLFHLLDQICTEDVVEKENDENKDPFGNGFYGQQPSYAVSFGHYPNQQADSSFGPIRKTEKKKKVSKPYEIAAPVHASVHRDVTAPEVRHILDFPVADAIDTKRTVGDVNNWLKLNGVNQTKFAEKVLEKTQGHFSVISRNPAPWEELLAPGRAVFVRMYNWMKLSDEEKMKILNTEKVSEKKDLQEKKKKTRFTFSKEQMEVLMGIYEVNDRPGKELIKELAEKFSLSPNQIKDFFLNRRRRAKKSNL >CRE16981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:297239:300284:-1 gene:WBGene00074154 transcript:CRE16981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16981 MSTRNPPNEPKGYHTQIPSTSHQMTVSVPSTSNDSNRRSETTSRSQESSSEEQEMSNMTPTYRSQKSKNGVASAPSGDEDPYFQLIYSPTTSSRKQNTSTSDEELLLPLPVFVPSTSEDMPFPWYLMKHHGNTYSKLVQSGVDDTFPEDLLDDSPWSREMVRRERDSKENQNEKDKIVDGSAGISEIDLSKLSVRETKGDGKRKPLGEVNRKQVVDKKNFHKISNPYVSPIQPIVTRKPSNLTSTPKKKNENSTSLKSSSYPKTFHQVATGEPNKKKDQVLTQSTSELKFPIPPMSVPGARLILSDDSFLSLPPSRHLIKQIEFWRYGDDILSQHESLMDTKILGQPKGTYKNLLDNPFELRKRRDLYKKLYNWLITPESIKQEIIGLDLYGENDKPEFRVSIGKCNDWNYSDQQTILTEIFSQCPNPSEAVTEKISRHVQLPVKGVEAFLDNYLKNLKKNDDKM >CRE24896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1315:2794:4080:-1 gene:WBGene00074156 transcript:CRE24896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24896 MTDEILLDVDFETPQFLVHHYYISGSISIIINTFVFYLLLFHKGKMDSFRYYMLAFQLTCSLCDIHLTFLMQPVTLFPMASGYCTGVLIKLIDVTPHFLMTILGFFVGYQVNVLNLCFLRKHQAIAKISNKYVLSEKVYNAIVLFFMTYTFNYVIPFYLAHLTKEEEYQIIDRNYPKLRHKFETLSNFDIFEFNIMIQLSVAMIMAGCMQSTITVSVLAFQMYQVLMQCKLNLSKSTLEKHKSALKSLVGQFMTTPIAILPAMLIVSTLFFPFKGTQVFTWIMLMVMTTHSSINCLVVIFTVPEFRAFVLFWTTAGKLQRHRKSVSFVSNSVGSTRTIRVSPRSSIL >CRE24897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1315:4744:7420:-1 gene:WBGene00074157 transcript:CRE24897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24897 MPQNYLDTDLEIPNFLIHHYFISGSLCIVMNFFVFYLLIFRKGRLDTFRFYLLAFQVGSAIIFSFHLNFQIICFSCDFHLSILMQLVPFFPYIVGGFAVGLLPTFSILTPHYCMTILSFLVGFQTNMLTICFLRKHRAISKIGGKYKLSQRVYNFLVFFCLLIPFTHSVPFHLTGKTKEEQFQIIDQKYPLYRAKFEKLSNFEIYEFDTMMKIFSIMGSFGCVHSATTVSILVFQMYRTLILYSARLSKATLEKHTSSLKSLIAQFFFQFLTTPIAIFPAVVIVLTMVFQFEGGQVLTWYMLMMMTMHSTINSLVVIFTVPEFRAFVFFWSREGRNLRKSILIKKMTEKSENYRNTDLEIPQYLIYHYYISGSISVLMNSFVFYLLIFRKGRLDTFRFYLLSFQIICFLCDFHLSFLMQLVPFFPYVIGGYAAGLLPRFSILSPHYCMTILSFLVGFQVNMLTICFLRKHQIISNISGKYKLSTKIYNFIAMLCLLIPITYSIPFHLTGKTRNEQFKIIEMNYPLFHEKFLQLTNFAIYEFDIMMKTFATIVLIGCVQSVNTVFLLTFQMYRALVLCSSSLSKETLEKHKSSLRSLIGQFMVTPIAVLPAMLIVSTIVFPFDGAQVFTWFMLMIMTTHSTINCLVLIFTIPKFRTIILFWTEEGKKLRRIRMESRSVSFMGNRATRNSFRNSMS >CRE15123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1122:36734:37910:1 gene:WBGene00074158 transcript:CRE15123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15123 MSDNYLETDLKIPQFLIHHYYISGSICIAMNTFVIYLLVFRKGRLDTFRFYLLAFQLICFSCDFHLSFLMQLVPFFPYIVGGFAVGILPRHSILTPHYCMTILSFLVGFQINTLTICFLRKHRAITRMSGKYAISKKVYNCIAISCLLIPFSYSIPFHLTGKTKEEQFQIIDIKYPLFRSKFEKLSNFEIYEFDIMMKTFSAMVSFGCVHSVSTVSVLVFQMYHALILCSLSLSKATLEKHKSSLKSLIGQVCRFFLTTPIAILPSVMIVLTIVVPFEGAQVFTWYLLMIMTTHSTINCLVVIFTFPEFRAFVLFWSKEGRRWRQSRLVSRSTSLVGTRTVRNTFVL >CRE15122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1122:33975:34423:1 gene:WBGene00074159 transcript:CRE15122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15122 MRSICGFIYYYTKEFSECADRLYERRNDVPCLGEIFNENNRTPKEACQKWKSINPCVKEAIRNECNDKLGILQFKWEQVSKSQKANSIYCEEDHRITLGSEEAPDN >CRE15812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig946:5616:10341:1 gene:WBGene00074160 transcript:CRE15812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15812 MRLLFLFLAVVVTDTIANELTRCCAGGTRHFKNSNTCSSIKSEGTSMTCQRAASICCLRSLLDNACDSGTDIAKEEESCPSNINILGGGLKKECCDCCLLAKDLLSRNEACIAPAGFSAGCLRSFNKCCNGDFEITHASEIITGRPLNDPHVLHLGDRCSTAKCEHLCHDRGGEKVECSCRAGYDLAPDGMACIDRNECTTRPSPCSSAEDCVNTIGAYICQRRITRLVPHRHRANRVGNAPRRMRDDPYSRAGEYREASQANTEFGCPMGWLYQHGHCVVFIQPFVSLSLQNAPSTTPASTLTNTVSLPLTTIVEMEHASVIEDTIGVILIHNIDECATLMDDCLESQRCLNTPGSFKCIRTLSCGTGYAMDSSTEQCRVPKFIECFQMLTNVIWDLMTVEPLYQCRNTQGSYRYMNWDFRCDPKKCGDGELQNPMTGECTSITCPNGYYPKNGMCNDIDECVTGHNCGAGEECVNTPGSFRCQQKGNLCAHGYEVNDATGFCEDVNECQQGVCGSMECINLPGTYKCKCGSGYEFNDAKKRCEDIDECIKFAGHVCDLSAECINTIGSFECKCKPGFQLAADGRRCEDVNECTTGIATCEQKCVNIPGSYQCICDRGFALGPDGTKCEDIDECSIWAGSGNDLCMGGCINTKGSYLCQCPPGYKIQPDGRTCVDVDECAMGECSGSDKVCVNTLGSFKCHSIDCPTNYIHDSLNKNRCNRVPSACGLPEECSKVPLFLTYQFISLARAVPISSHRPAITLFKVSAPNHPDTEVAFELQLKTTIVDAPGVLPAIRANFLLQKGEKRNSAVVTLRDSLDGPQTVKLQLLLRMSKKGKSFNTYAANLIVDVAAHKRHNTVHQPIKKIR >CRE15814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig946:12050:14277:1 gene:WBGene00074161 transcript:CRE15814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15814 MVSLSLPNSLVTDVLLEDEEHEDISIKKGSSGKLSDEELLARTIEKALKTKKLAQSSNMTSSVKVALTAEDLLDQIGIWHPYPLFITFSMAFLWLLSVMPTMSPSYMAPSSPCTLDNCSFVTVQNEFNITKTLIDPGEMTSSVFFLGNGVLGQIYAVAADRIGRRPVLITSLFISGLSGIGAAYAPSFELMLVGRFFQGSCFTVTLPIRNIINCAFQALTMINWVMCCESISFSGHGYAFGSIRIMLGHWILFCVSTCYLFFNVAICSTGHLDSMRSFWNFDAFSFSFLVAKRKRDDLVKWIEMAERVGNEEIDYDADQIVDMSSREDDNKSLLQTLKIVLQSKLMMTYTAVESFLWIIDLMIYSALSLSSTGVGSHNMHLSYIFSGLVEIPSYFLIPAAIDWLGRKPSVMVCHLILAFSLLSMYLFDHEADPEIFLIIWLIAKFAVASAFMLCFVYGAELFPTNCR >CRE23234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1038:11164:12330:-1 gene:WBGene00074162 transcript:CRE23234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23234 MGPEMLKKFDLARQQIRQLLPDPTVKQRRIEYEDSDDEFDDSFDRQAIQAKNLDAAKHKKCLAEILKSDTLSMPSIQYALEQLTLNQTLHQSNASIIRGRSASRNESSASPTASFEADKTLTSVFEYHAPNMTSHNVTEIMKALTEVPDYQEEDEEREDDNQGDEEEGSSSNTEPTSSKYGTANTENRKVQIRGCHTLLSLALSMPSKMGENVRPSSIVSFLLHIANENGLQIVQDRSKRSWMSDFIVLNQSEALPRGLKMGKIEDQDDFWKRTQDPDAIEGGETDQNSVFGNIKTRRPKAVPSRKGVRGAAPQGKSPELGAIAEEDEMDL >CRE23233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1038:10037:10621:-1 gene:WBGene00074163 transcript:CRE23233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23233 MIDMNFCIQAIGKSFQKSMGTRYGIDMGLEDRLLLVSLGTKQRRLDEKKVIQLSRILEERVGEVIATDETLGQLQLQITRVRVDRGFTQVSVYWMCRGEGDLEVIDILDQTKNQIKRRISESIGITCPEMKFIGDKSLLMKQEMDKLFREADYGIDYRSLSRSASILGNVKSEDEQVGRKRNVPKWLTGIREKK >CRE23232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1038:9072:9822:-1 gene:WBGene00074164 transcript:CRE23232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23232 MSTQPKLRVLCLHGYRQCDQSFRQKTGSTRKLVKSLADFEFVNGPHSVAVDEHLPTSRAWWFSNAGAMSFSSREQTDVVVGFDESVEAVVKFIEENGPFDGLLGFSQGASMVHLLIAKAQLGEIKLPGIRFAIFFSGFLSLSSTHDYLTSLRIKDFPSLHVFGDADEIVARPKSEKLADQFEVPPLRIAHDGGHLVPAMSKHKEKIAGFMKEQLDNIISA >CRE23230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1038:8020:8866:1 gene:WBGene00074165 transcript:CRE23230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23230 MLFFTSILLLVLANLCSSVEVNPCDSTWHYYNKTGCCYKTSTDLGTWFDGSAICAKMHVGAHLASLRNEDESKFVAKTHRNGLDGIHAWTGLSQTQNANNWTFTDGSKPWSSFMTPYIFPNNHTSCVEIVDNWLVELFQNTGKTQPTFCYHYRKSLCKYCPVPVVPTITTTTTVRTPAARIEKAHPRSNQPELVSGCSSGLAANQVPVIPVSNATTPVPTTTKTAKAGIIPAASLHTQNGNPSNKTRSI >CRE23229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1038:3318:7191:1 gene:WBGene00074166 transcript:CRE23229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-184 description:CRE-CLEC-184 protein [Source:UniProtKB/TrEMBL;Acc:E3NQ02] MFSSFVFIFCFSQVFCSTDDHVDQFLQNKTFEDVIGLSKKYHRAKYLETQQKLSDASQPSLVLAADGAILPCDANWHQYPDTGCCYRISDEKSDWYGGTNICKALNSDAQMASFHSQAESLFFANKYSSIHAWTGLSQTEVPNTWTYTDGTPDWHWFPALTSAPSAADSSCVEMMDGLLGLLFALSLQKGQTNPYSCTEVNQIICKYCPKETTSSTTTTTTTTTATTTTKTTTKTTTPTTTTKATTKKTTTLPSASVTCTSNCPAQSVNFNGKCYKFQKCRGSVKFEDSCNECGGTMITISNKAEKDFVSRVFGENDGTVSQIWIGNTESNGYLDWEYGQPSKPDNSLDYCISMDLTAVDHVDEFLQNKTFNDILEMSQKFQRAMYLETQQKLSDASQPDLVLAAEGAVLPCEAGWHQYSGTGCCYKKTDAISAWYGGTDLCKALHPEAQMASFHSQGESEFVCKKYSSIHAWTGLSQTGTPGVWTYTDGTPDWHWFFAQSSSMKPESSCVEMLDGVLVYLFSWSAKKGQTQPYSCTEEIASICKYCPKETTSTSTTTTTTTTTSTTTTTPTTTTETTTETATPTTTTTETTITTTTATTTPTTTTEVTTEPTTTTETTTPTTTTTTETTTPTTTTETTTTPTTTSTTTPTTTTETTTTVTTTTPTTTTETATTTETTTTQTTTTPTTPTTTTPTTPTTTTPTTTTTETRPTPTTPTTTTTTTTPTTTITSPTSPTTITALTTSLKPTTCTSICPSPSIEFKGKCYKVKSTKCRGSVKFDESSDWCGGTMVTISNKEENDFISRVFGENDGTVSQIWIGNTESNGYLDWEYGQPSKPNSALDYCISMDLTAGPWRGKYKYLPCESTVVSSITSMNP >CRE15173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig787:7267:12536:1 gene:WBGene00074168 transcript:CRE15173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15173 MILHLIVVALVAHSAVSLDTTKTLTSQLHEFQLNNSAGVMSALDMLPKRGWPANMIFSRNAPRKYKPDESFEIGNLRYKCQNYGVYTIEGCKRKDGTAMKLGESVVIDNVKHQCLGMGSSVFYKETTCGVMGQPECDKIPLPKGFEEAMKRNGGKTETQGETSVDGVNLPKGWSLVEGGKKQVTGTNASVVTHILMFNPTPTRVRRDGFNGKGNSTEVKDTDTAQNENSNKSGEADTFEKVHEPAGKTNLEKFDEGLESDKKEYVQKRAEIAPIPSNSTDNLTEEDRKMMDQFNKTIETDEEMQRSNETVLGIDTFGAQGF >CRE26845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig445:11913:15367:-1 gene:WBGene00074169 transcript:CRE26845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26845 MSDSNPPAEPNRNRKRKSDSSSDTPSSSSRPPQPVPISSSSSTQSSSSITVEDIIETLSKPLEGRNLDMNNIAEKVLKFTVEYGEKYRIHPFTHANRRKEMSEKEQIPYLRLSNWFEYYEESKDKEAVLDLHKKLYERWEVLALLDSNPPAEPNRNRKRKSDSCSDTPSSSSRPPQPVPISSSSSTQSSSSITVENVIETLSKPLEGRNLNVNNIAEKVLKFTDEYGEKVAASIEKNWSNVRREMNHCIRSGNQYEKMTMRKQMVYLRLFNWFEYYEESQVKEAVLDLHKELEKRWRKMDKELEESKKSKEEIEVTKRKKAVDRIIKRLSTRLEGRDLNEDAIAEKVKEFTEEYGLKVAASIGQNWDIVREEMNSRLPVRIKDMEDEKQRMYMRMFNWLDYYEDSDVKEEVLNLHLELNERWLDIKHKWEWIENLTVDDILEELRKPLGDRTLDVKDVIVRVNEFIERYRRCKNPTTPIAVMFGESWATFTRKRNIYLVEKTYEEMSPDLQEFYLKLFNWLEFYKEDWQRCSTMEFGLYQAIHTFLSVFGVGINIFLLYLALTKSPKIMRPCSAFITNKSLTDIMSSLANLIITDGSSVTIIPTGPCTKLFDSENKDMMKNSTGSDNSTFSEMILTGSAVYWSSLVVYVQLVITAILVVIAYTWISNVLINFILSMGATLSKDVKIINEQLVKYSITISFMLAPVISPFAYIFFVPHYWNFCIGKKYVTPSTTSICGSSSNCGSIEKKSMA >CRE26846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig445:16100:19695:-1 gene:WBGene00074170 transcript:CRE26846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26846 MNPPPVENQPEKLEDNKPNPPQNPIHPPPVSQQSAPRYPQSTSNEQFPTLSSQQGRGIELSGLQQGNRPSVDDVDTEQRLQEWWETCANPENFATPQSSVEGQIVQSNVQQNLAPPGYQYHPSAPIPIHSGVAGPMRSPAGGGMNHLPGQRSPSPYARPSHSSRGEPTTDGMHLMPQNATNPGISIASDYWTTSQHDYCGNPVGSHQVDLKKSLSLPQLYMNASNTDRSSWGFRSQPMYWDSPGMGHQFEGDTHHDPMIQTSRLNDPQNSEILGFRNQPIYPPLPKFDSTTQRQMSSNSEEQISGLPVKDYLNATYPRPSSSYAKNRTIRIARNERLMQERSEECTRFIKKLDTPLAMGITKNTEHLSELYEKWAELPKHRSKKHDLCDTIGVNNGDLVVAVLKEAVNKDEEMDAVCRRLVNFLKDDERDKMLEYSNRHRRLLCHNHPTGPCTSIGPTACYAGHMFMGSFLEHNLIWLIACYLFRYYILYVRDPSIKSIIFAALVVYTPSFIHMAVWIKLFDSQHKDTMMNSTGSDNLSLSKSSEMILTGFAVYWSSLVVYAQLVITAILVVIAYTWIRNVLINFILNMGATLSKDVKIINKQLVKVSFELILTFQVCIPIWIFLGVFFFLAMYTQNAQPDILQYSITISFMLAPVISPFAYIFFVPHYWNFCIGKKYVTPSTTSICGSSSNCGSVEKKSMS >CRE26847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig445:20802:22201:-1 gene:WBGene00074171 transcript:CRE26847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26847 MEFGLYQAIHTFLSVFGVGINIFLLYLALTKSPKIMRPCSALITNKSLTDIMSSLANLFVMQRIITDGSSVTIIPTGPCTSIGPTACYAGHMFMGSFLEHNLIWLIACYLFRYYILYVRDPSIKSIIFAALVVYTPSFIHMAVWIKLFHSENKDMIMNSTGTDNSTSSEMVLTGSAVYWSSLVVYVQLVITAILVVIAYTWIRNVLINFILSMGATLSKDVKIINKQLVKYSITYFVHVARVISPFAYIFFVLTTGISVSERNM >CRE18365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1803:103:3144:-1 gene:WBGene00074172 transcript:CRE18365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18365 MVTVTAALPGAAPPQLETEVARKIENSIATLQGLKNQYTNIQDGVVTVTAEFQLEKPLQEAVDDVRNAVSQVRSDLPADLRDPIVSKINLSGSPILTYTIQSPRMDEEALSWFVDYDVARAMLKVKGVGAVSRVGGVTRQVEVELDPEKLLALNATATDITRQLRLVQQDASGGQTKIGGSEQSIRTIATVKTAAEIAAMDIALSDGRHIRLDQVASIRDGIAERRSAALLNGHPVIGFEITRSKGASEVDVEIGVKEALETLKAAHPDIKITEAFNFVNPVVDNYKGSMSLLYEGALLAILVVWLFLRDWRATIIAATALPLSILPALIGMYYLGFTLNIVTLLAMSLVVGILVDDAIVEIENIIRHLRMGKTPYEAAMEAADEIGLAVIATTFTLIAVFLPTAFMSGIAGKFFVQFGWTAALAIFASLLVARLLTPMMSAYILKPWVGKVESHHDNQVLDDQSQAIKDHGDLELQHDRAKDGRVMRAYMRMVTWCLNHRWITLSSAIIFFIASLMLIPLLPTGFVPPPDTGQTQVRVELPPGSQFPDTLKAAEYARSLIKDHPEIKSVYTTIGGGSAGTDPFAGGASSEPRKATLTIQVTARSDRAASLQKIENDLRQRLAPLPGARIQVGIAGNNSQYQIALSGDDPDVLISTARQVEREIRTIPNIGSITSSAALIRPELVIRPDFAKAADLGITTQNIAETVRIATAGDFDQNLAKLNLSQRQIPIVIKLPLSARQDQDLIKRLMITGSKGPVMLGTIAQVNIESGPSQIDRFNRLRNINFNIELNDQPLGDVAAAVDKLPTIKNLPPTVKRTNLGDADVMEQLFASFGLAMLTGVLCIYVVLVLLFKDFLQPITILVALPLALGGAFVLLLLAKSSFSMPSLIGLIMLMGIASKNSILLVDYAIIARNERQYSRMNALLDACHKRARPIIMTTLAMGAGMFPIALGIGTDPSFRAPMAISVIGGLITSTFLSLLVIPVVYTFIDDIHNMLFKRNKANKSKEASVSHS >CRE23203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:7952:8834:-1 gene:WBGene00074174 transcript:CRE23203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23203 MEKLTRNVCTFCTALLRRTELQVIVERKEALKESGYKMEGRLAFLDLLLDMVQSGQMDETDVQAEVDTFMFEGHDTTSTGLMWAIHLIGNHPEIQRKIQAELDEVMGDEEDVTTEHLARLKYLECVLKEALRLFPSVPIIMRELSEDQVIGGVSVPEGVTLLLNLLLVHRDPAQWKDPELFDPDRFLPENSVGRKPFAFVPFSAGSRNCIGQRFALIEEKVIMAHILRHFNVTAMERVHEVRPKMEIIVRPVSPVHIKITRRRPIVSP >CRE23199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:4432:7807:1 gene:WBGene00074175 transcript:CRE23199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23199 MGVSQSHSRSPSREPLPNDHQVMDVLEPTDKSSKRGRKRKVANVNGVEMKKVAKLNTCAYVYQKLFLEGEDSDITIAACGREWKVHKLYLKQTKFFESMFDGAWAESKTGRVDMEITDPNIDGDGLNAVLGCLYHNEIEIDLENIEATVAAASYIVLESVTERCAEMMIEALSTNNAIRYYELSTKYGLDGVRVKSLELLLHQFFKIMTDKEMLRELDHDLLVALLTSPNLFIMEGEYDLYKTVRLWIYMKECPDCDIDQKPETFSQNVSRYFRDAPQNSLFLKYSEIFSFLRIEQFLNCSETIKAIKADCLIPSSLITDMTSDLWMSLLENEESQKALEIDDDEFFSRCIRLGRSLEVFPKCWRWVGYNFGIDLLLHVNDYSVSIKRNCLNQKAPYSVNLKTKQVLHYRLVICESSGRICFDSGRTTWEMKPDEAKTVCRMNEDISTPISVHFQYLIHKPIDVEKKEKKEEESDDTKKKAPFRRVQLKLQKKRETERDVDIEPAVESANPFEEIATRSPPKDWMSMRQLRNRNRKNKKKRRYRKNGFLTRDDIIKSNKVNNPSVNAVDRTMEKAEFDEKLRNHAQDTWERENKAAEKIREDMKSGRKEEKEEEHISPAMQLLAKENSDLDRRIAIAEQTMSRKVDRLPNNPYKNVDLGKPTVLTLPLPPFETLKEENQ >CRE23202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:2092:3769:-1 gene:WBGene00074176 transcript:CRE23202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23202 MEDSDTVDGLPEENMISFLRRETLQLICDILDTDNTWETIAPYMPGIQMRDVDGCRRFASYNQSPTKMLLRIWCSKGYNATHLYQLFAKTKLIRLMRLMRSEVDEKYHCLETKILNPARRFRPNIPPPGSQSASRSKKTETNEASPAPTQSSSSSTNDPLRVAIEGTLPVTYLELLEATNGFAASNVIGKGGYGTVYKGEIKTTGGMVAVKRILAGNDSSAHGSKVEKERLRQSLTELRTLARFRHDNILPIYAYSLEGPEPCLVYQFMANGSLEDRILCRVSDYRITTVKKYLFQKGTTPLTWIQRKEISIGAARGILFLHSFAKTPIIHGDVKTANILLDKHMEPKLGDFGLSRDGQVEAEATEKCPLIASHIKGTLAYLAPEFITSKILTTKLDVYSYGIVLLEIASGQRAYSDSRETRGLVEYCQFNKELAARQNTRLREILIDKRAPPLANEAEELFLETLIEVGLAGAYSDRRLRPSMAQIVEHLCKNTIPVIE >CRE23201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig638:35:1882:-1 gene:WBGene00074177 transcript:CRE23201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nol-9 description:CRE-NOL-9 protein [Source:UniProtKB/TrEMBL;Acc:E3NMG7] MSEVLRYECRDQNLEILIVKPGERLSIYGSCSILCLAGNASINDFVLPTVSWEPSNFIKISAPQRMDVPVILQVSNDCSAYKHARLKFRLKAVAPNNYETIMEGIGTTQPAVFVLSKILDIAEGTISGAISNFLIHCSIQKQIILPPHFFICRDDFRIFPQEQESQLKAHINRLSRLRSDGQKTSILPIGHKGAGKSNLMRNLVNRCLSNGYDHVYVLDCDIGQSEFTPSGCLSLTKVTSPILDKPYGHQKKTFENSYFYGDNTVTKLPLYLDIFERLFNKFKLISEPGSVCIINSMGWVVDVGADILDSITKVAEPDLFIEIFRDQTEYRYNFLEQVDRNNVIEIFANNSLGVIGLPNQKRLPAALIRDLTVAGYFSSLLPRPTIASFSTVAPYKLKFQNVTICVPVDLLVEDSHIFSSINTQLVALCVKNIDLKTRKLCGKADMPLISVVDENSPSLQCFGFGIIRGVNVEERSVYVVTPVDLLKLEEPPLL >CRE30304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1169:1085:1549:-1 gene:WBGene00074178 transcript:CRE30304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30304 MSLFRNFFGGGQPDDDEDNGAEFVETLVERVETCSAPEDRRDALRALKGVAKQYRLAVGTMGMNAYIDVLETDRLNSETMTLVLDTLATVLSADDDSSESDELGERLAEMMIKRKGFIASVLAAVDQFDFGVRRFEIF >CRE10296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1059297:1060692:-1 gene:WBGene00074182 transcript:CRE10296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10296 MDVVTLLTELEQTKSAEKDYVCIVADDIIRMVDGKTKRVAIYVVFLENLILNESFKLLYFNNNDSVTQLPGSFAKQKGFNIWQVSEWRSSTEKIYRWTDHHYSNRKDSPSPETPRHLKAPQSPNKGLYLILNIQDTKIMVLSEKGFGSYNAMKSRGEVISKNIAYCNIGHGYDCDPAKLMKLKCGHCFCIEDWAKWSNNQRTACALCNTPDNGEIRLKLRHGPCPIDMCIQDVYQNPDQKTAGAVLIPCGCRIRCQKLEDVYNKYRSDIEPILNVLSICPYDACKKKVTDIKPIRMK >CRE25931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:35668:42163:-1 gene:WBGene00074186 transcript:CRE25931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-app-1 description:CRE-APP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NJA8] MSAAVEKLSKLRQLFSSERVLALTANKPLSAYLLPSTDAHHSEYLADYDFRVKFLSGFSGSNAYVVVTNKDALLWTDGRYFTQAGNQLDSAHWTLMKQGIPESVTVVDWLVKEMERGSVIGFDPTLATFDLGSKTVKRLKAAGLIPVSIAGNLVDTFWTDRPKLAGHPVVVLDKAVAGKTTAQKVDELREKMKTKKAAAAVFTLLDDVMWLLNIRGSDIPFNPLAYSYLFIGMREIHLFIDGEKLNSESREHLHESSVSIHEYAEVYTWIADWLKTKQEAGEPHMAYLTPETNYAIGSIFGEENSMIDVSLAQVAKATKNHREMEGMRVSHVRDSAALVEFLCWLEKELVSGKTYSETQLAEKIDHLRSLQEKYVTLSFDTISAAGDHAALPHYKPEGENGKREATGSSVYLVDSGAHYQDGTTDVTRTVWFTSPPKEFITHNTLVLKGHINLATAKFPDGIYGSRLDTLTRDALWRVGLDFEHGTGHGVGHYLNVHEGPSKEILTGGERSYAEGGF >CRE26005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1526:974:2124:1 gene:WBGene00074187 transcript:CRE26005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26005 MYLFLFLFSFPFLANYVKSDDNILMPFERFQYDLERFLPVMEQEVEFAELSSLTDDQAYEQIKTFFPTLQLRIQQLRNTGIIADTNRIKHKEGATQMCGQGHNFAYLLVDHKLRNINVVLNRKRISATVCPFFSSFSPTILSFQNNLKMLREIRDALIKWGISSKFPCQRAHAYKKG >CRE26006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1526:4012:6996:1 gene:WBGene00074188 transcript:CRE26006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26006 MATMGEDDAPELPKKSPVPGQIDDSDGEDDIEDKVIKIVVVGDGASGKTSICQRFAKESFDKSYHQTLGLDFFSRRIMLPQEMQVLVQVWDIGGQSIAGEMIDKYLTGANIVFFVYDVTNSKSFENVGDWLSVVKKNLKGSENDVKLVLMGNKTDLEERRVVPVDSHRNFSNSNNMMPTYVSAKTGDTVYMIFRQAVADCLKIGLSRAEIESDIDIVQGSVIEATKVGGKDGVGDTRKVHFVEDKDTAQLSRSDQSRNTS >CRE26896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1525:725:1624:-1 gene:WBGene00074189 transcript:CRE26896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26896 MELTPRRHELLCTYLLGIGTLFLYTGYSLQQFIGESVIHSIHEKSPDTISAYAGYYGAAFHLSAFALCTLVTPSLQHFVPSKWFLTLASALFAVYYLGFFHLNKYYFYLTQALMGIGYSFYNNGEGQYLSEHSSRRTIESNTGIETAVGHASMFFGGIALIVLCFLLHTEGGNGIEYSDLQIRLVQG >CRE20136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1308:5123:9757:-1 gene:WBGene00074190 transcript:CRE20136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20136 SSILFTTCYIAYIHVNSYIFYSSQLLLGFAYAMYNSAEGTYLSEHSSRRTIDSNSALETGLGHTSLFFGGVTMLFVFHFVPHTFDGHFLNFDEHVVQVIYFSLMTLTIVSVVLFTFLPTKQFDSIALNTPRVTPSLLSQFKRFGESFTHLNTSLLIFTYVYMGCMVSFMYGIYPTSLSFTSETASDVYIIALYLLSSGAAAFLSAMFIRPMIKSLHKYKLIVPMAIHCISMAIVMILVYCSVPNEATQKPTSNMNVLITPSRYLSIIIGFLLGFADFTITMTRSVICQIAVPEYRAEMFSLTRIYQCVASCVILFISPYLTVTSWILILITFLLAGIAAMFAVLCRTHNNTVAAPIEPLEEEKDEKFTEEKCVASCMILFISPYLTVTSWILILITFLLAGIAAMFAVLCRTHNNTVAAPIEPLEEEKDEKFTEDKA >CRE15863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3625:669:1634:-1 gene:WBGene00074193 transcript:CRE15863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15863 MSADSVYSLASKAFPSYDNGTRIDLYNVLIAFETATHPAIIVNIIVSILGVATTSIHIYILSRKSMLKSSVTSIMIGVGVCDFMALLSSIVSNIFYLLIEKNEKPCDPPLPLPSFYAYWIAVVIYDLFRRSSTWLSVLMALIRWIVMKFGTRRTFRKVTLVSFGSYVVLGTVLAGLPISGYIISGMIFCTGVPVMEGYIVYTLVQSTLYTVNNGIIGKIFQLINGVVSKVSGRGTES >CRE05323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1252:1903:2427:-1 gene:WBGene00074194 transcript:CRE05323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05323 MRSLVVCLLLAACVLECTARLQNITVKGVAVCNKKRLANVQIQLYEKDTLDPDDLLATKNTDAEGEFSVYGEEDETHTIAPYLLITHNCNPSKPNCVRVGKYLVPEDKIGGTYDMTYVTLDIKVHGEKEKCK >CRE05324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1252:3936:4549:-1 gene:WBGene00074195 transcript:CRE05324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05324 MSRLAIILLLSLAVFEISAKLQNVTVKGIAVCNKRRVANAHIVLIDKDTLDPNDELAQIHTNKEGEFELFGEEDEIGKIEPYIRIHHNCNTQPGCERVSEYQIPQEKIGEVYDMTYVTLDIIVHGEKTKCN >CRE05325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1252:5968:7773:-1 gene:WBGene00074196 transcript:CRE05325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05325 MVRYKCNECLKTFDLKRYLTKHELRMHKNKSRSEESKRSVEPLKCSMCDKVVFPRLSHLQRHQMTHLNVRDYSCDYCDEKFVQKAHLTRHLSRKHSNESGVEVNWIACDKCGNLFKTTYEMKIHRRTVHELHRCKRCREIIESGNDGLRQHYIRCRDREKMCEHCGASFSRKADLTAHQTSCLKKVAFVCIPCESFFKQRVEVESSSVNSVSTLFQLDRHIKKLHFRSVKCEKCEHISESPVQHSRHSLECLKINICGYCNVENPDKDHVAEFHWKRLKRAVPRRVAEKMIKKKEMVPSTSDCVVKTEEDSEEVEEDFEESTSGQMETEVEPDGNQEMKKRDSKEFDDPLFNFSEASTSQLDFCSTAFTEEDDSPEEYLTFSICPNDTDLSFHLRGRLPEQLVSLFPELENTCKFDKIFLNLKNLFAAIILLNSVALPRCRMTVRVPVTIPKSCDNEMEMRKWLGKSINLQED >CRE05326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1252:8023:9706:-1 gene:WBGene00074197 transcript:CRE05326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05326 MIHPIVKRFERCVACGDSIADQYQQNGWKFVRDVMNSPKRLEEVTGLDELQDSVDAIDIDFDDDESVVSN >CRE13201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1420:4864:8312:-1 gene:WBGene00074198 transcript:CRE13201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13201 MNSDYLALFFQPSPGGVGSKPRIEIETIHEDSDIVSSARSMDRNIVTPDLKSAEMAEQDSGGVALVINGDSLAFALGPRLERTFLEVACMCNAVICCRVTPLQKAQVVDLVKRNKKAVTLSIGDGANDVSMIKTAHIGVGISGQEGMQAVLASDYSIGQFKYLERLLLVHGRWPYIRMAKFLRYFFYKNFAFTLTMFWYSFFCGYSAQTVFDAILIACYNLFFTALPVLAMGSLDQDVDDHYSLRYPKLYLPGQFNLFFNMRIFIYSVLHGMFSSLVIFFIPYGAFYNAAASSGKDLDDYSSLAFTTFTALVVVVTGQIAFDTAYWTAISHFVIWGSLVLYFFVCFLLYEWLPVSWIVKTSSSISFGVVYRTMVTPHFWFSLLMVSVVLLLPVMLNRFFWLDTHPSFADRLRIRRKMGKKPSTKDDKKTAFKRTAATRRSVRGSLRSGYAFSHSQGFGELILKGKLFKNVENLRGKNNSGSKIHPTSDDYQPILVSSVPESSEGSSSGASSMHLPIGKHPENVPHTLNVNTEDWSHSDFRPSYAKEPSPLQGTVIRGDGRRQRAISRETQV >CRE27978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1564:4263:8542:1 gene:WBGene00074199 transcript:CRE27978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27978 description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3NSH1] MHLPKDGYELTIENTMHTPVAPVYSPPQQGYNDKPSEEKPTKSSKSGGGGGMFSWLPCCASTSKEKNAPTERRLRANDREYNAQFKYADNLIKTSKYNIITFIPQNLFEQFQRIANFYFLVLMILQFIPQISSISWYSTAVPLVIVLAFSAIKDGYDDVQRHVSDRNVNGRKSYVVRNGSLCEEDWSNVKVGDVIRMMSNQFVAADLLLLSTSEPYGVCFIETMELDGETNLKNRGAMSCTQVMGDDLDGITRFDGEVVCEPPNNKLDKFQGKLIWNNQEYGITNDNILLRGCILKNTRWCYGVVVFAGKDTKLMMNSGKTKFKRTSLDRFLNILIVGIVLFLIAMCLICTILCAVWEYQTGRYFTVYLPWDDIVPNPEQRGGRQIALIAFLQFFSYVILLNTVVPISLYVSVEIIRFIHSLWINYDTKMYYENGEKSVPAKAHTTTLNEELGQVQYVFSDKTGTLTQNIMTFNKCTINGISYGDVYDNKGEIVEPNDRTPSLDFSWNSSSESTFKFYDKNLMDATKRQVQEIDLFWRLLALCHTVMPERDKGQLVYQAQSPDEHALTSAARNFGYVFRARTPQSITIEVMGKEETHDLLSILDFNNDRKRMSVIVRGSDGKIRLYCKGADMMIMQRIH >CRE02394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:302801:307982:-1 gene:WBGene00074200 transcript:CRE02394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02394 MSQKFAVHALITIADVDRMKHDLSKFLSISRHNETEKSVARAAIKRALEAVGLNSMTHTFIHEESNEIGANVIAVQKGPYFGTGNDKMLILSANYDTVEGSPGVDDNGSGVAAVLEAARVLSTLDNLYSRQNTIVYVFFDMKHKALAGSHAFVEDVLLPLMERTNTKVIGTVIADGLLHFDPFPASQAMPPEFESFFPEAAQLLHEHSHMGDFIQISSRNDVDEELCDIAKNFDKTAAEFGLTPIENMLSHQLERNEIDGEKKIIQNSGEKQKGEVEKIKIDKHEAYAIDILFSTGKGQPKDMDTRTTVYKKNEAVTYQLKMKASRVFFSDVNKQYLNVKFQHGPMPFSLRGFEEEVKAKMGVVECEKYGLLTPYPVLYEKEGELVAQFKATVLVMPNGLLKIAGLPFDSDTYQSELSVKDAELQTVLKSALKPKKKKEVKKEEPAAAKKA >CRE10201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:444464:444852:-1 gene:WBGene00074202 transcript:CRE10201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10201 MHSISLIALLVLVAGASAQCFGGGCGGGCFGNNCGCNGNNCGPQVTVVSVPNNNNGCSCNPCYGNSCAPRCSYCPNNFGYSSCCNNNNFSCCGYRYRRHATAASETVAEAASN >CRE17659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig704:6120:6904:-1 gene:WBGene00074204 transcript:CRE17659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17659 MNLMTFSTFLFISTIGLVFTSKFFTVKNQIPLKGYVKTVSMFFIVNVVNNQALNYHVPVPLHIIFRSVCTSKLHSFNSELFNFRHFVSLPFFLIMGGDIVSASTKLSASAPYALLPWFPSLWVDLFASCVLQ >CRE17660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig704:8912:11252:-1 gene:WBGene00074205 transcript:CRE17660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17660 MNWEQSVHQIQALFMTPNSMILKQQSDSISSYLEHAYHVQIVQGADNVPVRSAIQSKDLIVATPQMIVNLCNEHRDELMKAEGVEQFFLSTFTIIFFDECHNTLKKSPYANIMREYHTLKNMGNMPEGHHLPQIIGLTASLGTGDGKNELGVKEHIASLCANMDVKELSVVTDNLEELQGYSPIIPDEVTYCERGTDGAIGLFTRWLCDMMREVENLITLALGKTCLDRSIEIIEYILAQELIPVQNGQPGRPIDDRQFGPINEFQSAPNDKEHSGYLNWVCNEMNLVSSKKFNESRTKIVINEALGILKECYWTLSYNVNFNPEVALRYLKSEINLRSSNFTPEMTRIWDRYQNHLVTTGTADNPMITEVEKKIVDQNSDQNDSRSIIFVRTRYEATILNEILNKNERLRNLGINSEWISGLNKSTAGSADISASKQKQMEKLRKFASGEIRVLVATSVAEEGLDIAKCNLVIKYNYATNEIAHVQRRGRGRAINSKCILITNSIPLRDQEGANRDKENMMNKALLTIQSNPFAFREAVTSEASNIWNRILREDAERAQRIADQISQNVTYHILCKKCEVFLCTNWDIRARNTQYLVCRPEFWSLVRKVELSPADADRCHSTGKVSLYFRFDGLSI >CRE11572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig897:578:1322:-1 gene:WBGene00074207 transcript:CRE11572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11572 MTYWDDKLTGLEAITDYVIDLFNIDVSEVCISKYSFKMIEWVNNRQTTPLKKIVYMAMAWSPCSSEDEMNYILRDCRCSSEILIYSEAPPNFRFLNNFRRIDCLDISNSKWVTIDNLLSMDGIDIILGSSTLTSSDMNVFLKHWLSGGCPRLKLFLARIDTVDVSQLLDGLEHNAVLVVDRRDYTSPFGHMRTLPSGFDIRRADGVTATVCYQQTGKLVIAVWPETTYNYN >CRE23844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2236:2771:3526:1 gene:WBGene00074212 transcript:CRE23844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-33E3 description:CRE-CYP-33E3 protein [Source:UniProtKB/TrEMBL;Acc:E3NUL3] MPNEMPTLRLILKTICNSKTNQIFPEGPYGIIDSYGNRWVQQRRYAIHILRDFGLGKNLMEEKVLSEVVAMIDRLKGMMNDVDMQSIFDASVGSIINNLMFGYRFDESNMHEFLELKKRMNKHFKMAAEPMAGLVGMYPWLGHFPFFKTYKTVIVDNWTSLLKMFREQAEEKLATIDYDSDEYSDYVEAFLKERKKHEHEEGYGGYE >CRE21078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1473:1440:2972:-1 gene:WBGene00074213 transcript:CRE21078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21078 MGWISLIKSYIQLVTVVFSLFVNSIFIFLVVTKSPKKLGNYKHLMCYFSFISMVYAILDYIVQPYIHSYRASFSMLMDLKGSAFENNPTVAFFLTASLTGCFASTIYAISINFVFRYFALQREGRLRYFSGKRLYLWISIPFLSGLAWVTNNWFLFSPNPEMTEYLRAEVKELYDLDADKMTYTGCLYWRTDVNGNIYLSKKDLIGAFNLIIIMMIPFFTILYFGSKSYSKITKLMSQGESDYSRKLQMQLYKALVAQTLIPMVFLFIPVGIFFTSPLLGVNIEWASFIITFFYSFYPAVDPIPIIMLIDEYRNAFFNFFRRAMSKNQVVSVVSIDLNYT >CRE22751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2798:1213:4191:1 gene:WBGene00074214 transcript:CRE22751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22751 MMMNPCVVCLARGNGKHFGVDACRGCTAFFRRTVVNKRKYKCSEDETCNIERSEESYTYKETYRLLLTEFYLVADWISNSFPHFTELPTNQKDILLRNFYLTFYNLEAGFFACQRNRNDVWFLPNGNFINCQNLESFYHDPNNLQSMTSADAAKLFKGTCTGCKRNVLEPMLRENVSQFEFLALAALILFDTGLDGQTDSCVDICRKVRTTVQKEMINYYSTKRVEEYPLRMANILSIIPSVQKASQKMQSDLELGHLFNAYSAEKTFFENCMGKR >CRE12424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1176:5645:8778:1 gene:WBGene00074215 transcript:CRE12424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12424 MSPFLLILLLYLLFEPTVTSYDSCLHYRSICLRNDTCNQHLKRFQTTCGYELNVCSGTSPSDCVYHLHRIRASFPTKTCTCYEAIGFSQECDFFRQVIWNHPCERKMKDIGEEIKVNNRKILQIETSRSSQNFHSPPNPNGSEKRRTTRTPVADQIHQWKRQLSGDLTKSTILQKTCDAALYQVCLKHVSCAQLWSMFRKNCDVDQDNQCRMADREICWQSFEGLTWSGLGDCQCASSNSSDCHWIRLHTNYNKCIYEISKSGQFPVLMTLAQKNREDRENEQRMMSEQYQRRYENREKSGELLE >CRE17676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1024:300:2725:-1 gene:WBGene00074216 transcript:CRE17676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17676 MHAKTFERVKFVFQSISVVFSWFINFFLIYLILTRSPKKMGNYRYLMIYFCCFSIFFSSMDIIVQPNIHTYKSAFFMVMDYNNRGIPKWMAKILICTMCGCFGTTIYGIAVHFIYRLFALERQGRLRFFQEKYLILWFLLPIAGGAAWFSVCFTLFSMDPLKSEYIRQTTKEFFDLNLDDAAYAGAAFYPYDRNGTQIINMRSFYGFGLFLTVMSIPFMVVLYAGGKSYMIISALLKQGETRYAKNLQMQLYKALVAQTVIPIFLLFIPFGTIFILPIFEINCQFLSAPITFVYALYPAVDPLPILFFVDYYRMAITDIFDKIRCKSPRVGIYEEDPSRSNDRI >CRE19991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:33287:34737:1 gene:WBGene00074217 transcript:CRE19991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19991 MYKFYNIKTTVQICSVVLSIFVNSISICLIITKSPKIMGTYRHLMIYFCSCSIIFSLCDAIVQPNCQTYKSSFFMLADVKNRPLTPWIAELFIDLLTSCIAVTVYSIGIHFIYRLLALERFVWWLKALKMNISRQGRLKYFNEQFLLVWFSIPFLAGAIWFAVTRFVFGMNPLTTNYIRNTVEEFFNLKMEDCVYGAAVFYPIDENGHQFISWKAFFGLGCYMTLLTIPFVTILVCGVKSFKKVRSLLDHGESDFARNLQMQLYKALIAQTVIPIFFFFIPFGFVFTLPIFEIDCQFLASLITFVIAMYPAIDPLPTLFFVDYYRNAIFEVFNVCRCKKARIEGVSDESVSRGYPNTV >CRE19992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:35714:36793:1 gene:WBGene00074219 transcript:CRE19992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19992 MQTFSNFKTTIQICSVLLSIFVNSISICLIITKSPKIMGTYRHLMIYFCCCAIIFSLCDAIVQPNCQTYKSSFFMVADVKNRHLTPWIAELFIELLSSCIAVTVYSIGIHFIYRLLALERQGRLKYFNDQFLLIWFSIPLLAGAIWFAVTIFVFGMNPLTTNYIRNTVEEFFNLKMEDCVYGAAVFYPIDENGQQFVSWKAFFGLACYMTLLTIPFVTILVCGVKSFKKVRSLLDHGESDFARNLQMQLYKALIAQVCFFYTLI >CRE17678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1024:6193:10866:-1 gene:WBGene00074220 transcript:CRE17678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17678 MNSETYRLIKLNIQVISVIFSILVNSILIYLIIKKSPINMGTYRHLMVYFCCCSIIFGVFDVIVQPNVQTYKSAFFMVVDVKQRNMSVGLGKFCVYGLCGCFGVAIYGIAIHFVYRFFALERRGRIRYFQGFYLAFWFVIPILGGVAWFLVTAMVFPKTKLETEYIRIAVRETFDIDIDDCVYNAGVFFPLDENGKRVIGWGSFAGFTCYLSVMTIPFTIILIFGLKSWKIVRELLDHGESEYSKNLQMQLYKALVAQTLVPLVLLFLPFGLLFSLPIFEIDCQFLAAIITLIFAIYPAVDPLPILYFVDYYRIPVIEVFQRTKCKKNRVSMNIDGSVIFDKTTTTPHFSAPVMKTQTFSYIKTTIQICSVLLSIFVNSISICLIITKSPKIMGTYRHLMIYFCSCSIIFSLCDAVVQPNCQTYKSSFFMLADIKNRHLITPWIAELFIDLLTSCIAVTVYSIGIHFIYRLLALERQGRLKYFNNQFLLVWFSIPFLAGAIWFAVSRFVFGMNPLTTNYIRNTVEEFFNLKMEDSVYGAAVFYPIDENGHQFISWKAFFGLACYMTLLTIPFVTILVCGVKSFKKVRSLLDHGESEFARNLQMQLYKALIAQTVIPIFFFFIPFGFVFILPIFEIDCQFLASLITFVIAMYPAIDPLPTLFFVDYYRNAIFGMRENNKKVFNVCRCKKARIEGVSDESVSRGYPNTV >CRE22191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig792:15034:18879:-1 gene:WBGene00074222 transcript:CRE22191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-seu-1 description:CRE-SEU-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NND0] DRTDSRRPKFRDHRTPQFGGGGGRRHENPAHYPQKHRVLSPIRRTAGSPDRRQRDQRHNSPEVRRDHRERHRSQEKETKDAVVTSSSTAAVSSGSSRGATSASVTSSSMSQRKESGERRREADREEKIKDKKNEERRKETTDRSRSSNVAARIQAPVVSSSSTSQNSHRHHHGQQRETDWKKPLEKRPVDNRPMTYATDKSSSSGPTSSSRPRHSSADRHKSSSSSSRRREEDKSKDRGHSKREEEKKTDDRSSSSRRRDEENKDRNTESKREKKEEIPKEEKLVADETKEEDEAVAMQGLEEVDSCKFEFGKKSKCTLVVSGEGDESAELVPKPTVAADEPAPPSPEKSVHSAVGSNASAESDSEEELDYEEDDIDVDIGDDIDVETMKLAARGDLKVDDTTDEEPYDENEAHDLIDDDDEVEARKDGEPETKKLKTEKKEDRKEHVQKLSPFNITFLGSSSSKSHRRRDENKSAQSSSDRKERRRSRSKERPRDVNRKDARRNERPSTTERSTHRGGAGTEKQRIPSLLTMRIAAPPGIKKMETFYHSSGDTSSHSSEGVGETFSNRWCQCSCAHHMPSTSTAGSLDASPASTSSSNSEVSASGIPSLMSLRTPFNYNCLFLAPPLPPQPPRRSHEPAARIRAPSPPRRSFGDRSAQRTEDHRGGGRHVAGEQQPRRRSPERVRRDDRRFGGFEDRKRIERAPIDVGKNRSPLHRRRLSPPRGRPGEKVVQSYRNGV >CRE22190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig792:13433:14493:-1 gene:WBGene00074223 transcript:CRE22190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22190 MLNFCHNPLILFSYFQKMAEINERASTSSPPVPSTPAPVPHIRQLADNMTDKVGQFFQHQIEGSIEEYKLLETMNNTTAQRYVDMKVVAEKLAGKLDNLNQKYDTLRPYLTQIDAMDESTRRLEEATAVLENYVSQLESKLTTIQQQNQ >CRE22187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig792:12908:13373:1 gene:WBGene00074224 transcript:CRE22187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22187 MQIVRIQTNIRSADIPEKLEQDVSYGLSIAMDMPSDKFVVILEPAVRIRVGFENKEVAVAVVNFQTTRPSSRTENDAYAKKLTSILSDQLKLDSTRIFISFDFKDAKSFAVQGKTIASLYE >CRE10719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig699:4745:6761:-1 gene:WBGene00074227 transcript:CRE10719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10719 MRVSLLFVFAVLGQQFQVTFTYFWNEETVANQRPSPSPNGNTPSNLGCFMCTQLLSVTKHRVGLSENQLRNQLYEKCRVLPSVFKEQCFAFVETSLPEIYYSINYDLSSKDVCVRMNFCDETNPFAVGGPLPPIEASTQYPEEEIEEIELPTTTTRRRTTTTTVPPTTTTRATTTTTTRPPPPPPVIPERREEHTDRIGHKNVLEILIPPPLRAKYVSRNTIVETSREKVPEKEEVDEKRLTCAFCERMLENAKNYAVTSKTDITSFANTACASLPKGRTSDQCYQMADKKIAELAKFVDQQVVDALWCAELNRC >CRE07958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1895:3572:4661:-1 gene:WBGene00074228 transcript:CRE07958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07958 MNQSILSTSNPKLSNSILEKIHEFWQQPENYKHVPVEIALELFNSNKIKMRELAWYLETGSNIPENFEEICKELVEKHFPQLIHEKEGMVYYNWYGFFQYCVYAQDDEDYNQQEEVHERKLKEYESQLTAMEMISAGTEMVQKIIENKRELMMTDPKKALKIVEPAQWIMYKRFNDIDRSKYQKEYIRLLSLNEEPREAHFLAFKEVMTALLKKQKQDLIEKENNKCLKTIPKKPKTIKKSETPVDLSFLKPYLSNDAVKRVEESVGNNSSKKGKVKKQENKRDEEVKEEEEEKVLTVRDFLKMKKESRKSSIDILKEQTNMLTAIESV >CRE10716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig699:507:2527:1 gene:WBGene00074229 transcript:CRE10716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10716 MVLGRSTFLTTFITVSYRFQAPKTLIHLTQEVVIGSVAAWLEQTNDSILSIEVAFQRDLTQTSNQDLIATTRIDILKGEDFRPMNRGKHMWNGEDQKMIEKMQRKRPEVKQKATIGLVKESVEKTKSKSKSISNEPEKKKGEDKSKKWSDKVEKKVAVKWEIPSTEYYPVRYDERIIRNPTAKKQCAELQTAQEAPAIRERGDANDRTDQDCLTHPRDLHSSKESLASQGSTQRDSSPERKQEMPVEPPPVKPIEEIVPEKIPEKIPEKVPEEPKKEEEVKKVEEVKKLEEVKPEEPKKEDPKIEEVVKKLEEPPPKVEEIKKVEELKKEEEIKKEDPPKKEEEVVKKEEPKKEDPPKHIGEKKEEKKEEKKEEKKEEKKEEKKEEPKKEDPPKKIEEKKEEKKEEKKVVEEKKEVTNEEPPVVVVEEKKPKKKIQMNVKRFPDMGELTILSENNGMVTFSCSTRHRNKKAKKSEK >CRE15077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig943:4223:5817:-1 gene:WBGene00074230 transcript:CRE15077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15077 MGANQSHTESDNSDSSGSSDSSESSESYDFEDHSNTSDCSAMEKRSYLENYPDPLGHFKSVHVIKPNSRIIEYFCNGFRKMNTSFSHSIFVSNVRTNLDIIVECDANNLIGNFWKVKANVTVNIRNFNNDSGSIIHNCGELSFGNHDLDRPDLHRRINIRLVDLLGEDSGFMRNNEIIMETDIRVVESREDXXXXSIAFRYNLLSGVPQGSVLGPLLFLLFINDIGDAFESNYLLYADDLKLFSTNADCIKKDLVRLSVWCDNWQMGVAPEKCEVISFNHSKKHSNSASLNFSINDAIIPQTKIIRDLGIIFNSDLNFSNHLDVTLRKAHQRVNIFFNVLRHADFEIFIKCFKIYVRPLLEYGSTVFSPTFKEQVRLIESVQKTFIFRVFRKFNIPYISYFESIKHCDILSLEHRRIIIDLVFMYKILVSKEVRIYNDVHISLPRFSNNLRRHPYYIKSKLSNSTKNTCQFFTNRIISCWNKLPPHIFPSFPNSDVFRCNISFNFVEPFLILKHSNF >CRE15078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig943:7861:13688:-1 gene:WBGene00074231 transcript:CRE15078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15078 MTDTILSQWLNPQYLRTLLLDPDKNKSTCIQIARFVAAYYLDYLLFLPEEEEGLLPSLYIWGNIQKDDLKPTDTILDRTKVSSLLPVEYSPALPALLMVKCDSLIEAISYVDHFDDRRTSLILRLLADSLHNKDLTIDFIKTMIVENLPLQMEESQKSPKSASKFSENFTESILQLDVALQDDLVLFMEQHLISSAEFLFSELPWESEELLPNPIYEDPGDTSDSDEAKAFSEIHGYVQLLLESFVRTNRLVDQMNFTVKLVTNDTKLPSDISHNYFLHRVLLLMLRITYRHLFSVALRENHPNLGKIAERYKQLLKDESGEHILLQEWFKTAEEDDQERSGVFIDWIQNNYQQTSSLLPLASRSRELWLRRIVLNSTINHRDIRQERTMDWFRSVCHPKHRVCDVVMSQMLFINSQWTSPGFTFIQPGCLALRLSIHPHDLCLGTTTATVNFSASARDILYSPQEELSKYQEKDEEKIFTPRNHPASPDLISKYAEKHEKMTREMAQTARFFTPFEEQLRVFSRQQDELQRELNKETEFLKRAGHYYYPDPLEDVEVLKAGKESVEPPTKQLVDEALAKQLNLLNERLDEVVKGLADRQKSMYIREEDEESEISEIPLHYDDAPVHDDAPVIEKLDFGMLSSRTPAPIGDLSFKESGSEREKYPTFLESGQKTPTFSDNSQKLSTAKSFANPLFPEKHPKIPIEWMRLLPLEGGSARFADNFLTFTKPKSENMTPRQEDFYKYPDRQIVSERGIGTQTSERMRNGETQMMTRGSYRNEQELVGENTKKVVNTKSVKKLSEDDMKIVMDRVTKY >CRE15181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig987:9074:10607:1 gene:WBGene00074232 transcript:CRE15181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15181 MINRNNTVLYAYSTDIDYFTYNEGTGNMINYAYKYSTTANVRFDTKQEEEIEYHTDKQSLSDSLDSHQPDPSLGYRNKTTGSNLYNVLKKFLNNGKVSLCGAQVFIAVKRYPDESDVSDIISQLRANHVMVYIAVDSVPSGGTNSAILYEVSYQTNGYCAFATGDDLPWPANKIWKILVETSVFHSITQTIKLNILGGDSHCILFLSNNLQGFYWMTAVLQSPYQFLAQNFVVSGSGRIEIPAFNTPIPVGFEKLSQIAVTIQNHTLDSSFVSLNYTIEKPNGYYVYKYTSANYRHYGTEQTSSLWLNGSLSYKWTIDYHYNTDAPQIIECRMYSH >CRE30031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:610270:611426:-1 gene:WBGene00074233 transcript:CRE30031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-13 description:CRE-SRU-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MMB3] MDMNNVSIQGNPLYINYEFDFFTFPVLVACLPMAYLVPTVFIILKIFKVYCRQLAGKREETMNPYVFLVIVTSQLTVTFLVGNGRDLTFNLFQSICYIISDYITIRLPFTGILTSWCASQEPNHFLKVLFFFSIYFSYTSWLFPFLLSTLRLIPVYYPRKHNQFCSRIVKFSIPLIFVYPFLFTFTLIPAVGFCRQLLGPYQFGAIYIWFSGNWFDTKLINGLVLNLMLWLILCSISNLLLYLKLKKLKNNRKSVILQRAELSLTLTTFSMLLSFITNLTCAMIFLIFPSLTVYFIALRPFGNDCEIVFVPWVFYLTHPTFKKKKVIPKEVSRHGTLHTTY >CRE30032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:612276:613522:-1 gene:WBGene00074234 transcript:CRE30032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sru-17 description:CRE-SRU-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MMB4] MGMDEFNPGIIINLNSNYSDFRFDPFTLPVFVAFIPFLYIIPTCFIILRIIQVYIEKGIKKNEEVVNKSVFLVIILCQLSCLSFFLGDLLTIRLPSTGIMTSWCYKQTPNRLLTLLFTFQIYSSYPVMIYPVLLNIVRFVPIHWPLNHRKINSKILRYSIPFIHLYPFFFTFFMFPAVGVCRQLKDPYDFGSIYVHFFGSWNDVCSFFCLLFPKTFQFQLRNAPFQLFNSFIWFIICLLSSFLLYRKLRNLKIARCQLNRSVKYQTAEVSLTLTATSMVLAYITNLVFLGNFIIDIRLGTYFAVFRPYGNDLEICVVTWVFYLTHPAFHEKKKLRNNHSTPHLL >CRE30033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:614024:615268:-1 gene:WBGene00074235 transcript:CRE30033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30033 MSMENDDLGVIINLNSNYSNFHFNFLTVPVFLSVFPFIYIIPTCCVIFRIIHVYIEKGIRKNDETVNKSVFLVIILSQVTCLGFFLSDYIIIRLPSTGIMTKWCYQQSPNRFLSLIFTSHIYFSYPLMIYPILLTVVRFTPIHYPHKHRELNTKILCYSIPIIHLYSFPFIFFMFPALGVCRQFMKPYPFGSAFIHFYGSWHGIMNMPFQILNTCFWLVLYLGSNFCLHRELRNLKLTSQCHLHANQSAKYRRAEFSLTVTTVSMILAYVVNIVFQVTFLVDYRLGTYFSLLRPYGNDLETCVVPWIFYLTHPAFRSKQGSITSSSGQQRTTIT >CRE02771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1761:940:2199:-1 gene:WBGene00074236 transcript:CRE02771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02771 MVNRELGLVINGNSNYSNYTFDPLTFSCLLSFGPLIYIIPTFVVIIRVIRTFYRNIIGKKDKNVNRNVFTVLVLSNFSSLGFFFSDLIVIRAPSTGIMTSWCYRQEPNRFLNIVFQAQAYFNYCTMFYPVLFSVVRLVITYVPNRHDEVNAKILKFAIPAIQIYPILLLLHMIPALGVCRQHSSPYSFGAVYVHFINSWRGIMNAPITVINSAVWLTTCLILNFILYRKLRKLKTVSLSQTQTHQNIRNRIIEVSLSLTTLAMLFAYATNLVFLGSFMIDYDVATYLVVFRPFGYDLELCVVPWVFYLTHPAFRKKSDSRIVVNSNRKPHLSV >CRE02772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1761:2762:5516:-1 gene:WBGene00074237 transcript:CRE02772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02772 MGNVQIFSVILMSQFMCLLFFIVDFFNTRLPSTGIFTRWCASVDPNMFLTFIYILSFHINLSTMIFPLLLSSMRLILIFYPQNHKRFNGKLLRLFLPLIFFYPFIFTVFMFPALGYCSSAKYPFQFGAVILRIERILFGNNFPLIFNTLFWMSICLINNSILLVQLWKLRCSISIQARSRYSYRIEKSLTLTSVSMILSFLSNGMTVFASLFHPNFKFYAIMLRPLGNDLYTCVVPWLFYLTHPTFRKQIFFEISESENKLNEMNNVSIQGNPLYINYQFDFFTFPVLFASIPFLYLIPTVFVMLQILRVYTRQLIKKRDDLMNPHVFFIIVLSQFMGFCYMISDYFTIRLPSTGLLTSWCASQEPNHFLKIIFFFSIYFNYTSMLFPFLLSTLRLIPIYYPNKHNELCAKIVKYSTPIIFFYPFLFTFTLIPALGFCRQLLGPYQFGAIYIFFSGNWFNLKLANLLVLNVVFWLMLCLITNILLYKKLKLIRNKRKSVTLQRAEFSLTVTTISMLSSYVTNLVFVIIFIIYPPLSTYFVALRPFGNDCDIVLVPWIFYLTHPAFKRKLLSKDVSRVKTLHTTV >CRE02773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1761:6213:7101:-1 gene:WBGene00074238 transcript:CRE02773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02773 CLLFFVSDFFYIRLPLTGILTSWCASIEPSGYLVILLLITYHINYVVILLPFLVALIRINLILMPQKHQKINKTLLKWAIPIIFIYPFIFTFGMIPAVGYCQSAGYPLSFGAIIFRVEYTSFGIRNAIGLIFNTFFWLFVCLIINSVIVVKLIKLKFTLSQHSKSQASHKAEISLTVTSLSMTFSYVTNGMIALGNFILPNLTFYFIALRPVMNDLDTCLVPWVFYLTHPIFKKKQSNNKIFVLTPDYS >CRE31599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1207:6874:7494:-1 gene:WBGene00074239 transcript:CRE31599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31599 MSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKISQVKDPLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE15170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig707:10600:11532:1 gene:WBGene00074240 transcript:CRE15170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15170 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NMW0] MFLISKIWLGYGLISVVMSAFLVLIISTSQLFNQSFYRLVTIHLVLVILSWINSWPSRIVYTEDSPYFARVLFEHSPRLFKSFTFLGVAFCHIQSWSSIVICINKLRTANPEKYEERNKFWNRWCLLIYGLIIGFGLMAANYLIVIPSIRYLPETGNFVFIVMNLGDGIMNIFLVGVFLILYILISLIIGLITICKIRKHEEKGYHHSSLVILAHTFFRVFCLLSLMGSFFLQIENFTVEMMITIFDFMTFSMTYMLLFCDENVKMAFRTSCSSGDST >CRE15172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig707:12845:13827:-1 gene:WBGene00074241 transcript:CRE15172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15172 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NMW2] MELILFVWLLFGIFSAVLSIFIITLLSVHTFFKYSFYRVVIVDLILNLMCWINTWPHRLTFRPEGEIFILPVFVHTPIVLKVSNFLVSFFFHVQSLSTIIICAHRLTTSIFSSALKFWNKWFLVLYFVVLGLSSLGSNLITVRPMYFDYELMKFISLPLSQGESEKNRVVLFTFIVFYFIIIVVISVVTFLQVRKKLSDQNDAHKKLLRRLTQISVVHSAVYSITLAWQITSFGVSYYLIVDIMMTVSDLVRIPKSVNFHNIIEFQINFSMTYIIVIFDQNLRQAIKTSIFVRKTNVRPLGIQNSSRGNIT >CRE24333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1475:29:1452:1 gene:WBGene00074242 transcript:CRE24333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24333 MFFSSFYSFSPSHYINFHFFRDDSFKKIDDQQTADYTLTELTTVQYPFFTHGIHPKRQESITCSERKMLIEEKKFEMRRQMTYGSGHRSNSKTLLNVNASSNVHEIETNRFQRIMDYESEKWAMATGENMNQGISESKLGEYEKVKIGENLTIFSEPKESTSVQHQPKSVQSCIDNFSSLPLLNASSPSPMTVEQAITLLTQPIPANINVNTTEIIKEIKEWLGSSSNTNKFFASNILNIKEKNLTNIFAQKRDFNSLRNTKETFIKMYNWLEMSEDMRTEMLKMNLYEYESPLQDENDTPKKIFRQNPATMTAERIRELMNNPVTYMSTKKVTSDIKMWLARTQTTRKWFATNIMGRAKRTLVINLNYPKEWEELTRGKEIYVRLYNWMRMSEEERQDIMRFYGAENVEEQKSEDEDSKSLDDILRELRRQFSECNKQ >CRE15211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1627:3005:4409:1 gene:WBGene00074243 transcript:CRE15211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15211 MIPNKNIHNQQSPDRMNYTLAQLTTIKYPIDTDGNSRFFRGVGFNEWDIVIKEEDIFEMKKTPYGSRELPIHFAFSVSLEVSVSELPFNLYSFSATIQENRKPAQPPIKSSTTPSQNSPPMAVERAIEMLTKPILSEISPIKITEDMKEWMTSNSCSQEFFASNILNVCRSRFNYLLNYPGLYGTLKSGKEYFVKMYNWLGMSKDERNQIMSMDLYGTRSVGKVNKTVQVSEDLGNFVDIDGGLLYIEGVKLIIITSEPPKKISKKRPASLRSDTPSESSSPPSPPGFTHQMVTELLNKPVDFVNTKRVSAEIKEWLVESQVTQEWFASTIVGRNRRTMGPAINYPRDWNDCASKGQEMFMRMHNWMKLSEMQRQEIMRQHKLKSEKCPQTTVLSMKSSKRSFRVQQVI >CRE16531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1025:50:14026:-1 gene:WBGene00074245 transcript:CRE16531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16531 MDSASGIDLDPNVLRHLQTKKVEERIEDLNNQIWMEDDAVGYRLVTVIDEGLSDLLVGFRDEQGFFEKKRIEKAKCEVPSLNNYSDDLCTLTQPNAATVLHALNIRYTSNVIHTYCGLFCVVINPWRTIPIYSDEVKQLYQHRNDLPPHVYSVAQNAFHGILKGGRNQSILITGESGAGKTENTKKIIDFILSSSGSNNTIGECVVTSGVLLEAMGNARTTHNSNSSRFGKFIRIEFDENSKLIGAKIECYLLEKSRVVSQSDGDRNFHIFYQMLSNYFDNPHKSFLKLSKKVEQYKYLRNDDASIDDAETAKLTDEAFSKIGFSEEEKIWIFQILSAVLWIGDIKFGERSGLDVSFVESVQEVDNIAELLEMKSSKLVDALTQPTIKVHDKLIRKNQNLAKTLSSASAMAKVLYERLFGWVVKRCNDAFSVDDTKSSCRNSRFIAVLDIAGFEIIEKNSFEQFCINYTNEKLQQFFNHFMFVKEQSDYLEEGIKWTQVNFANHLQPTIDLIEKPMGVLSFLEEECVVPNGSEKSLLEKLCSNLAGDSSFKKSKQSQKYSTVRHFAVQHYAGEVHYNIDGWLEKNRDNVETSVLDILSQSTHPLLKVLFPPVPANNLKARRGTITNSTVSFLYKNQLQCLLDTLNTSSAHFIRCVVPNYEKLPGKIDALLVLSQLKCNGVLEGIRICREGYPSRLPHSEFIERYSLLLKNKEKVSGASEKEKCAHICQDADVRKERYAVGKTKLFCKVGVISELERKRNEYISSFIVLIQANIRYLNVQADLLERRRKAEAIVTIQENVRQFAQLSQWPWYRIHHLARGLIPKNRDKERIQELEMEKMKLEEEIQEMEVKNEEALKENLKLSMLLDSEKTEKIKVQKEMEEVEKRGREKMNDVLRDYERRIEQLNMEKSDLEAENLKLKDAQNRQDSHYGNMEKELMEKTSMIDELQNQVQKLLDETNEQKITIAKLETALEDEKARHSRQNNTIGDMQKLITELNEKIARLDNVALNERNSTRKIEREKEKLNEELTTAKEIIQKQAKKIDELLDMYYHSILEKECRKLGRKSELVSLFHVEHNMFLLKFQSLVAFQTDYINSIELAIVTYLKLYYTFHQLNMMRYKAESINDSNKTKLSKTSET >CRE26893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1485:122:2201:-1 gene:WBGene00074249 transcript:CRE26893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26893 MISSGWMQEMEPIPEEGTEADGSEWGATSDGGTGKRTMRKKEVYTSEQINTLVRKMSDDEFFSEPREVEVENKESKTRKSYSLEFKKKVVRAARSGNISKASRIFNVSRQCIQEWMKRRSEISDEVERSGGKKKRLDGGGRPVGDKEFDDELLNWIKNLRKENKRVSRRIIQRKAQEMSKCDTFMASNGWLESFLKRHHLTTRRATTVCQRPPSDYQEKIVNFFLFVEKQRRNHKYDHIYACDETAVYLDNSNTLTVDEKGARQVSVHTTGHDKMHITVMLCAREDGYKCRPFVLLPNKRPIPVIVEKYGKKLELCWEGRTFFNDATTSNFLQKVLGTSLFGKRLLVWDSYRCHISAETKKKLKQLRLETAVIPGGTTKFIQAPDVFWNSPFKAKIRQQYEDWMIHGEKSLTAAGNMRAPPMDVYLGWICEAWNFLSSELIIKSFRGCGLGPNLDGEDDDCIQCFKKGGEMPEGLLLLKKRRDDAAEKEICDLIDEVEIEGDENEEEREDDPNFSDASVEFE >CRE21634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1033:5493:10459:-1 gene:WBGene00074250 transcript:CRE21634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21634 MISDDDPPPREEELEAPEDDDDDVLLRAESPPPLRAPPPIRAAHPPPLIPMALLAQQVMARREAPPPENVLPVAPAPPVIPNMQRRYRPRPRADLEADPPYFHRDRRNRIPVARIGVRERLLRNLGRYALAVFERTLGQFNQAVAICCRDGDNRPLLDMGFRREMARYHLPIDIMWQHGEYHPSGLADQVLILFFEDMDNVRLRTEWEQQQAENPEAMPDLDELNALLQERRNLQFPEIADAARRERMVARRAARRAIEAPGAAEAPRAPEGVPRPPGRRPPGRQNLEMQRRFAENPELFLPNPEELARQEAVRIRVRQRLAEMEANGEIARIMARANGDDVEEEQPAALGAQNAHVAE >CRE10726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig779:11458:12660:1 gene:WBGene00074251 transcript:CRE10726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10726 MTTNRPKIKVASVINRKYLAFRKLGEGGCGVIYEVAMVKCPGRRFACKAETTVFDEDPTLPMEHKVISKLNEKNSIHCVELIEKGQGENYQFIVMTLLGPSLDAIRSTLPTNKFSTYSALVMAIQALDSIREIHEIGFIHRDVKPANFAIGTLGTPKQRLLHVLDFGISRQFLVKDGEGGGLRIRKPRRIVPFRGTLRYCSVAAQERKEQGRHDDLWSLFYMIVEFVKGSLPWWVLEKK >CRE10727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig779:4345:8591:-1 gene:WBGene00074252 transcript:CRE10727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10727 MSNTCLNFYSCQHVSRKSSGFQLSNHIISIWKFHNFEPKFQASKLQTLQRLDESVETVRRKLSRPRKESTDTSSTSPTPRIKVVTLPKEHCPKTLNQPIRPKNWSPITDGKKAISAMIDQMWNVPYAPCQYIVQFMCTVSLVALQRHKYCVRWQNGRRSAIFYVNIDETKWETNVWVTFPGETKSHRPQTSSANFQRFLLRNLF >CRE25985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig926:8248:10406:-1 gene:WBGene00074253 transcript:CRE25985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25985 QITRTLKLSNSGNSSDIRCLLLFSRKSHFRGTVFFEIEVVTQPNCTGFSSMGLAVVPMFGESMDVVWRFFVRKIVTVFLPFAGIILKTSVFSFNFKTSVLAYFNAAIVMNVRRTDRDQTVKALVLFITVGTRGEVTRLRSRLRAVTRMLVMVVTGYLLANILDIIIAFWEVTVHFETPFVGFFQTINIQSLQEQPSLYTVLSDISSFLPIAACALRLPIYTINDRQIRVEVRRKFCDLITRCCPCVLCDNHQRKKLYDHQLESERLWPKNEPTPKLEIRNYGLQSLIMARASLSTKEIYDIQRFRESYDV >CRE25984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig926:70:4415:-1 gene:WBGene00074254 transcript:CRE25984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25984 MFRFRVPPAQYSSNTAHGVWKPKRSAWLNDSPPPDRKVGRRDFSDLVFVLGASSVNRARYISRSFGDHVSLITAKPPMSPNIWLSAEEIKDMTQPVAEASIEMKGQSEELLTSSEKHDGTKRIVKHITSLEPSGYEGGNEGNNLEAWQSVQSSNHGGVFPDGYDGLSAMPRTLINEMVGSSSGAPFQRPPPPPPQPPHPNPPRRVYPIPPPPRNPHPRYPPPPSRRHVPDMRPPGFIPPDMTALSPLSGDFDGEMPLDRSGRDSGDSGDSGVLASTTVREEPSTTTTEEISTTMTTETTTTTTTEITTEITTTESEVTDPPTTPDPPGDPTDDNETEDIGDNIEKKEVSSGFTVKTPFAPPVFENSVTALFEEQMMMISRPTTIERVHCRFCFILGSVLIFEISRFFALYLVSISFLAGVLGSMGGHGARESLITGSSAKRSTSYANPLTVDNAAAESRNTKLMCVPCAPGTVPVPPKEEKLPPIEPLPSVAGPYQPPKTLPVAPGEPKEPTFVNIHETEKPSARPPPPPASSTSYSPAPAPKRPVALPSAPSVSPSIPSPPVTISLPAPSRPAAPFRPTPSSPETKQPGPSSNNGTPPAAPVPSEPSPPKAEPIPSAPQPSYGSSPSVLPASPSPPRPEMTEVPPSRPTGPNPIATSAPVPSGNYGPVPAQSSTSETSHETAPPPPPSPSQPSPAAPSYGPAPSEPSEPVEPSQPSEPEEGPPPPTPPLGETSIPSTETEPVPSVSAPSPGPSSPAYGPASGPEETPEGPPPGPEVPVMIALPPPKSTYNGGEDNGGEADNSQEEVNPSERRPEISSISAEKSLENISKTNVLTGMPTRIAQLFLFFSFFFLFFSFLSSVPKSTTTGRMPSSVIPSDNLSEEEEIAHNQTPSVLPSEFSSNEISTKSLIPSKQSPSPPAISPSPIEQKRIKETSVVHPSSVIPSGFISESEEGEEQEEDGDLIRTKGPIPPPKKLPAGTSTSPPTLASKPAIVPPY >CRE15099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1423:2982:4045:-1 gene:WBGene00074256 transcript:CRE15099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-1 description:CRE-GPA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NRX2] MGNCDSRELAEQTKTNKKINTELATAKKDDENVIKLLLLGAGESGKSTVLKQMRIIHNSGFSQEESMTKRNVVCANTVQAMGALVEGMRSLRIDFSNRLCNAHEKMIRETLSENTEYNPFTDEMFTALTDLWADKGVQSAYEKRELFYLHDSAK >CRE23801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig997:6185:6916:-1 gene:WBGene00074257 transcript:CRE23801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23801 MRIALMQAEAAPLDPEKNLSAIDAAAAAALDAGAELLLAPELFVTGYVPRSLASWLTPERVAEFPAELSRIAAARGIAVAAGFPAARMDGGFAIAAGLWDRGGAEVLRYEKVHLWGAEERLAFIASDAEPRIAEWNGHRVAFQICYDIEFPEPARALAARGADLLLVPTAIDGESDYVAEVLVRARAGREPPRGGVRRSRALGGCPARRTDRRLRRAEHGGRPGGGRPGPGRARQRSADRGHP >CRE15192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1227:7298:8572:1 gene:WBGene00074259 transcript:CRE15192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15192 MEVDNVKPSGSVPQRNWEKENNVQNVDSIFEYNNQQQVEIRNAKPWDKDPHYFKQVLFDSILQIIDFLICRVNAQAQAYEYMTVYSDLCETEGRQEKVVGWYHSHPGYGCWLSGIDVSTQTLNQKFQEPWVAIVIDPLRTMSAGKVDIGAFRTYPEGYRPPDDVPSEYQSIPLAKIEDFGVHCKRYYSLDVSFFKSQLDAHILTALWNSYWISTLSNSPLFSNVEFINNQIHDINQKLLAVDKKLQLNERSTEAQEALSKVVTDAKAVGDELETGRISHFVKQLLFARQNGGGCGCGHASVVSESAMDVAVVPEVEREQVADGIIPEPAVEMTDA >CRE15195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1227:5596:6632:-1 gene:WBGene00074260 transcript:CRE15195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15195 MSSEADKLAESLRNIAISCMPIEQRINLGEQDPSFRQEESQVPYQIQMVHIDYKPRRIEINIDKHSFVLRPQPESVKVVVSNNGLIQGFNMPSGMTLDDSLKKITDYFLGRPGTKINQLLISSEDVLEKCVVPELIHQRYICYDSRNLEKAVVIKCPESFVFASRFGVDMIRTVYQQFKTLNYTAGMALEIGTGGIESRIFPRAIEALQDLNPKLGVQPKHECYDLECTRPDVEYATHLQCLVFDVEGSDCELVVCLPDFVRIPVIFGGDISHVVRFKMIQKGTTAICSEENWLSVFDVDGFISFVNMAV >CRE15191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1227:4391:5128:1 gene:WBGene00074261 transcript:CRE15191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15191 MKLHFLFTFSLCILSATCDYANSGGGGGGDVSPPAPPVEPAPAPEAPPADAGSYAAAAPAPPTGGSYPAKRRRVARAYAEGGDTPAAPEPAPAPEAAPEAAPEAPPADAGSYAAAPAPPPSYPAKRRRVVRAYAEGGEAPAAPEPAPEVAPAPEAPTSDAGGYAAAAPAPPAGGSYSAKRRRVARAYAEVGDTPAAIGPAPVPEPAPEAAPETPPADAGGYAASAPASGGSYPARKMIARVSRLV >CRE15194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1227:2695:3759:-1 gene:WBGene00074262 transcript:CRE15194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15194 MLPYLVLIFKITHTVCFMIGCFFNFLLCYVAIFHSPSLIKTYSIIVVNVALTNVGACVSDFLIQQRMIPSGFNLFYISYGPCVKSGPEMCFDIYGIMLHFYSHSLWLLFLAFAYRYYVMLREEPSRWMLQLSILIVYIPSFIMMVSLLLDHTDPEIVRKVLKSQFPNYNLSGLIITGSVDTTKFAAMFAIIHMSVLSTPISIGIWVLRRKIVKQLTYKGIDITKATRNLHAQLLRALTFQATIPVFYLLGVIFYFIAQFGIWSHPIIEFSIFTCFLIVPILTPLSSLIYVTPYKRFVLKIIKGEKSNEVSRARLHTNSMITIGA >CRE17911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:88255:91850:-1 gene:WBGene00074263 transcript:CRE17911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17911 MSNSDDNEMSPLSLEGHYDGSMSSDFVDEDSDGEGFQYYAETSLHDENSNYAESHYAENHRYFQHKEAQQIYDLFENPHHIEFRTTEERLTQFPEMRLIPATRHLRGISASDTCHLTTATTRLFYPKSPLEHEESYINHELTALPDRYFQKRLTLTAKQAGFDSDCATCDTCDFIWRQNKLYQTHLRKHAVYGSGNIMSLHVGLLCPVHNCKTRCDSIATIVKHMQLTHGIDNLPFESIIFKNITEFKLWKVELERLTTARFIPSSTKHNVFCKSTFYQCHMSGRRQYQGTDKIRNRRSRKIEKTCTAFFNVRENDDGTVVLRGCTKHFGHGMDVKSLPITKDIQMEIAHLLIEGYDEIEIADHMRLLSHPMDRRYYLQNYEVRNVLSKIESYKVEFKKKMVNGEKLPSLVNICKTPSNDQQSVVFPIVKAKLAQSRYPVDQDNDVENDSSTLIGGDTIDSI >CRE19399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:234950:236311:-1 gene:WBGene00074264 transcript:CRE19399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19399 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N564] MSNAMKQLRTDTASFISRLIRVNAWRNYRNVRHSLSRLMVQHINFQIVFKKEELMNLAEDAKEHFQNEPILARINPPVLVVGDIHGQYYDLIRILNTFTDDTGKTKKVGFFHNRFVFLGDYVDRGQNSVATIALLFALKLHYPQQYVLLRGNHETKAINFAYGFREELMIKMGEADGHTVWEQFNEAFSYMPLVCVIGRKILCMHGGISPKMENWKSFESIQRPLVDVSDNALAQDLVWADPASDGSSAALSREPVWSKNVTRGLSCTFNSQCVNDVCKSFEIELIVRAHQMIPDGFKFFAGRKLVTIFSAPRYMDETDNRGAIMNIRENGSFGFIIFDNSKKGGKNPLEDELTRADDIPNVSARRKSDAMINSLKSTELKAKKTKTPK >CRE19400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:240202:240917:-1 gene:WBGene00074265 transcript:CRE19400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19400 MTDKNQSDSDEDIESLTNHETSLEAAAKARKRRLLAMKSKIHGVEMQEEDYDQGETSTKKNRENGREFRNHKPDEAVGTQNTALDLDIVQREITDHLKDVLHEKAIDSVDLAMLAPKKIDWDLKRDIESKLQKLERKTQKAVATIIRQRLAEGKGDLAATVNAAAAQNL >CRE19363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:241476:243106:1 gene:WBGene00074266 transcript:CRE19363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19363 MLRQGAGTLQLVSHGFVNAEQARGFATLKDISIRLKSVKNIQKITKSMKMVAAAKYAKAERDLKGARAYGVGAKAFFDNIDPVAEDTATPKEESKKQVLVLITSDRGLCGAVHTSIVKEAKLILNNAGDKDIRVVAIGDKSRAGLQRLFAKHLLVSGNEIGRAPPTFADASIAAKAVLDSGFDFETGHIIFNKFKSVVSYETSKLPILPLEAIKAKEALTTYDSVDDDVLQSYSEYSLAQLIYYAMKESATSEQSSRMTAMDGASKNAGEMIDKLTLAFNRTRQAVITRELIEIISGAACV >CRE30403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:98527:100595:1 gene:WBGene00074268 transcript:CRE30403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30403 MEQFQNTMDLATIEGFAETLLAPPNVVTSESREQATFFFEDLKKKITISECLILLRESKNPFVLFQIGQAVGEIVLRDWSLIEPNDVQVAYKTLLEFVATSLSLESYVVGACLKSAAMIIKRGILDGKSGDQEELYQFIHQMLTNESSTIQAAGCLFISALIEQFSSAWRNSKFSITWDFHLQAKSTFEVSFCGVLILLVYYIVSRTMDSAVFLKCLSLHFMLYQIKKILLVTTSQEDYVIDFSKFLRIFCPGTSQADCIDDF >CRE22222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1151:724:921:-1 gene:WBGene00074269 transcript:CRE22222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22222 MFMVILMVSSESLPHEVLSHDELVEKENLRKACENNMCHAFTECQPVKQKNKDSGDWEYVPICMKG >CRE15097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1383:6207:7960:1 gene:WBGene00074270 transcript:CRE15097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15097 MMEIVPLNLQTTLNLFAVPLPPPRTMCKICGLPAHGVHFGVMACRACAAFFRRFVVLNLDYECLKDKSKCSLNKIRRSSCRHCRFQKCLKMGMTADNVQWNRDVYSTDLRYKKIKTPEPKNDENDLTIALPSTSTCLYSLIKTQDQLYTKSVLSEINYDNIERDMHRMFMSDVPSTDHGYFASLSPLYKIVEGLRLVRKSQRTADIKFENRLSMETLVPHWRAQAKNTAILSMHSMAFRDIPLTEKSRIFKSLWQNIYRFERIQMSTEIFGENCVSEKKLAISCERAIQLDSLFFDMKADYKAFAERCVEEVAKPLSQLKLSIEEVAYLIINFVLHNEEKIIGESFDICDKFRDSIADDLHEYYRKNDIVNYASRITKMMNTIVAMKKIHYDDLGGTFVTNTRNAKEVEVKEEDDDEDEEIIVD >CRE15096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1383:2270:5030:1 gene:WBGene00074271 transcript:CRE15096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15096 MILIILLLWFLVFLFHQYFWRRRGLPPGPTPIPIFGNLFQLSGSEAPGISIFQKWKDQYGPMFTFYMGPVPFIVLTDYQDIKETVIKDGETYADKYLSPEFNIYFRGGEYGIMDISGERWREHRKFAVLQLRELGVGKPLMESKILIEAEEMIRKLKTAEVIGEEFFLQTEIDVAVGSVINQFLFGYRFDESKLHEFVKIKTLVNNFMEEVGKPLGVLAFTCHGIPPFIVKMMVSGIEEQKRELFGFLRAQIQDAKVRINYEEEHNEDFVEAYLRKKYQREQNNDFESYCDSQLENVCFDIWAAGFDTLANTLGFLFAYAINYPEMQKLIHQEIDEYLGHHRRLLTMSDKNALVYFNAFANETQRLANILPMNLPHALTKDVELKGYHLKKGTGVIHQIANVMTDETIFKDSYRFNPSRFIDELGKLKKIEELCPFSMGKRQCLGEGLARMEIFLLAANLFNYFEFLPASDKGLPSLFKDFSLVSHVIPFKCRIRSRDE >CRE23811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1197:11341:12082:1 gene:WBGene00074274 transcript:CRE23811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23811 MQVLISFSETEFRSILFITLRDFLQNIIYIKFQVMLSTLARGARSVAVRNYSAATLDAHSQVLDDQKPMEEQVNPSFYKMVDFYFDKGAEVIAPKLVEELKSNSLSQKDKKNLVTGILGAIKPVNKVLYITFPIRRDNGEFEVVEAWRSQHSEHRTPTKGGIRYSLDVCEDEVKALSALMTYKCAVVDVPFGGAKGGVKIDPKQYTDYEIEKITRRIAIEFAKKGFLGPGS >CRE23814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1197:6399:9460:-1 gene:WBGene00074275 transcript:CRE23814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23814 MPITKDGPFCLKVMNKDKKAVAKFLRNEPKRSYKQTGLASLFGCCSDIEDKMEVLDDNGKVIATSFLHHDQFRGIEIAMKDPTGQVVIKIRGSREQKDAFAVISPENRFLGEIRQKIISSGNSTDNYKGVACWCMLLFESLIIPKLEFSVSPDVSLDVKVLFMSAAFLIEVDYFSESKNRQAPFRTPEADYLNPIIKTPPYNDRVPKLRKVTVKPKKKKGPSSKSSKESSRQSETKKEPLMMPEIHHTKHFDPIGGEDPTFAKKDKVEEYKQTETVKEEIEMKGMTREQFKKAAVKVVEYLMKQDENIRTARCSPALKPGYLKALLPTKAPTKAEDIDDILEDYHKLIVPGLSHSSHPNFQSFYPAGNAFHCLLADLLGGHIGDAGFYWTSNPALTELEVIMMDWLGEMMALPKEFLLFPEGSRGGGCMQRSDTESNFLVLIAARTDMIQKMKQRDRRLRSSDILARLVAYTSSDARRSIKKAAEVAMVKMRVLPTDENFVLRGDTLHAAMTADIERGLIPFFVGANFGTSGPCSFDHLHELGPVCRDHGTWLHVDAAYAGTALICPETRGLMRGIDWADSFCTTPSKLILAVCDVCCLWVRDRHKLQLASLENHPDLPFKGLPTSQRVGALKIWFMIRSFGVENLQNQIREHIKLGQAMAKILQRDSKFEVCNKVLMGLICFRAKANDMFNKALLYRCNETGKISLVRNTGFVLNLYVFMQASCILQNKFVIRMCINSPKCSEEDLEAIYKLICHEHDILHPFQSRIEVMNQEELEQFIRAPAKVHSSAEVSRRFPVINPLESSRSFAQITSRVQSLEYPPQKSSKSPQPTTDQPPSSSSNVPPIRTPALENSQKSDQ >CRE23813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1197:4680:6100:-1 gene:WBGene00074276 transcript:CRE23813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23813 MPRPSRTRNRFIIAFIIMMCLASLYILLLKIRKMNHLSELEENSRHFAIINDIVYYNSTYSASAKPTFMFLITSQIANFYLPMTCSSIDGYTETTSQIAIQQVGESLLVGTCTVVEDPLYVTLKLDDFSMQIDAPIPLEPLSIRKSIVKEDHVICMSHLVLYEDGTTILSLLKHFKNSAAKIMIYAASVSDSLYKALEEYSDNVEVVPWMLPEAKKRGDFDKLKLDPNYSKAGTEGSLTHCFLRYAPVVRKVTLIDLATLKFNSIPFNPDYTLSKEVTSMVTEGWRLKKLTNYRVTMNSRKMFKNVHGNEKKLAENDVVLNEKCFVRQDAQDLDKECGDLQSPEMETTPSRSIYIPKVVQFDNLSIYEDALGR >CRE23812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1197:3101:4139:-1 gene:WBGene00074277 transcript:CRE23812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23812 MSGQRFAGKVVLITGSSSGIGQSAAVLFAGEGAKVVITGRCAENVEKTRKMCMAVGAKSSDLHPTVGDVMDDGFLSILVETVIDTFGKLDILVSFLFLCLHTYFYTFQFQINNAGTLEVDMSGKEGWEMGYDVMQRSWDSNFKQVMILTQKAIPHLVKTKGDIVNVSTFLSSGPLGVMSMPYYAVPKAALDQMSRSMAHEFILKGVRLNTVNPGLVRTSFFSRLVGEDNARKMENYVQSKPEYIPLGRAATADDVAHAIIFLADRKVSECIVGQSIIIDGGSRLCCNIDMSDFKEKMAEA >CRE23249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1318:1746:2846:-1 gene:WBGene00074279 transcript:CRE23249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23249 MFVQISILLLATIGVVSSQTCKFSKNAVKANWKIQNGALQIQYQNNRITNNQWTAIGFGPGMSNLNVIVFMVQNGQVTTRTGRATGYGPPTFDNQNNVNVQMANHSGSTLNALVSVPLNFNGMNVQNCQTWNFVQSGPINNGQMGVHTSRPDQVNNVCASQCR >CRE23250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1318:6548:7532:-1 gene:WBGene00074280 transcript:CRE23250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23250 MMSKMVALLFALYFACVSAKTCKYDSSGFQSHWRFANNSIMLQFMNTDIKNNHWTGIGFGDDKNNLVGVFFMVSNNQVAVRTGATTEHGPPTFSQNGTNSAPIQTQSLLYFPEDKTMSAVVQIPVQFQGRNLQSCQKWRWIKSGKIENGQLTRNDKSPKDKKVCPMECN >CRE30607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1648:2386:4973:-1 gene:WBGene00074282 transcript:CRE30607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30607 MSVDSVLVDSFLQLTNHFATVFSDTESISSMTDLWEKQGGFIAKIMKCDTKEFRNSDLFYEVLHRKMNMVNGSLVEALDPKAAAKGNLLEICKFYALFLEFLRKDHSELLTNVLEKLATEIGFNSTILLDMFAYFDKLYSQDSVDDWWSFLIRPENVEVLSGMRTPPRNNFINSTFVTPTATARRLRTASSTARRSPIADAVDSPTMKFMRIELQLKQAQRQMLEAEQHVEELEQENGKLKTESRANKILETNYINKKYKNEFFSIDSLKQEVAGKRDNAELAEERVQKMTAEMESKQLEVEAVTKQLAESRTTLRSEQRHLEELEKEKEKLASKLATTTESLEKCMKEMRKLRDTNEMEFQGYQKKESDLEEQLRSVLEENRSMADHLASLEELKTNLHGENKRLTSTVESLSLESARNRQQADNAKTEMSEQRERFQAQLEKCQQDHTERAKMAESTVERLQNELDRAKSDKNGMETLLDELKVELLNTDMANHQNSEGFLSAKACLDGAKKKIEELQVDVKGKESMNLVLSQQLERTKDILRNEQLIRDASTAQFNDRYSKLQNALEDKGKEMELLRENMENIVLKHQNEVAQHESEMKECREQNEKLIEKLESMTTMKSEVEQKKCYLEERIKLFQEDPPSPIERCDTPDSLVEYLSKEGPLETEEELRRNVEQTPRKSIGFNFDMNSIDSIRGTPIGFKSNPRESICSNYDLFERCSTARSSMRSETNTLASTSEFKPPFTPSGTTKERIGILTSRNEKVKPHLQSSYVVEMADVNSPSADEENVRKGGGVEKKKRRNSIFAFKKH >CRE30291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig969:6987:8752:1 gene:WBGene00074283 transcript:CRE30291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30291 MLKTSNVEYCSSSLNVIRVGKKNLKIKEFSKQNENISVPPAQCLPSTDITVLYAYSTDIDADTYGYGASSVIGYANKYATMANVRFDTKQEEDIEYHTDSESLSDSLNSHLPDPSLGYGNKTTGSNLYTVLKKFLNNRKVSLCGAHVFIAVKRYPDESYVSDIITQLRANHVIVYIAVDSIPSGGSNSATLYEMSYQTNGYSLFATGSDLRYAFEWMTAILQTPYQIIAQNFVVSESGRIEVSAFTTPIPTGYASPCFFATTIQNHTLDNSFVSMNYTIESTDGSYVFKFPSQDALPLFGTAQTDFSTLNGSLSYKWTIDYNYDTDAPQIIQLRMYSHYYHDFLPLPVF >CRE19617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2429:3379:4619:-1 gene:WBGene00074284 transcript:CRE19617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19617 MTFLFSLLLFWIISVLFQTIKSLLKKTSPDIPDVPVPPPHFPLLRVPYVPLRRIIDFMDPDSLVSLSFCSQKSHSVIKTHRKVSFDGRLLIGGSDKNASFLSFTNYTFGIVLKRNQVLRAHKFVDNINYEDMELVKMGGQHVRVEVDHLHGYIISYWDNTVNGLKVITNYVTNLFNIDVSEIWASKQSLHIIEWVNRRQKTPLKNVLYSSAIAASEEEMIYILKDCRPISRLSIHLKPPQNFRFAEKFPKIDCLEISNSKWVTIDDLLSMDGIDIHLDNASLTNRCPRLKLFSAETGSVNILHVLDGLLHNAVLVENRRDYTSPFGYSINLSFGIDIQRAEGVTATVCKHENGILFIAVWPETAHNYN >CRE11636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2697:1634:2401:1 gene:WBGene00074287 transcript:CRE11636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11636 MASRWVIFIILLMACSIQLGCSSPILSKRETSQEVKKHFLILINEQRSSVAQTLKIANMNELVWDKELERKASKMTCNRMVTGPDYSVVVLPSERASSGLSSESWANLNGPTQTKIGCFDFHPPCVETNGADNGGVCLIGPKNKINKEDIIFGKPGSACPGETRHDGLCVTQVELVEHEEEVKKPPKSVEAKMDGANDTPWESNSYFGSLYMVFFCAAIMAYSS >CRE12444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1856:1655:2668:-1 gene:WBGene00074288 transcript:CRE12444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12444 MTGTQHWSLDGLHFKDWEYYANDNKKNCFATVPSHIAKNLIIHGKGKKFKVLRGYVFNICNTDKFEENYSPLLLEIDPPIRLDIPCLLEAQPLVEENNRKVVDSSDFDAYGLDGVSMKHHRVKYVGIQSEYTDYRYMKIIPFYQSSNDRGGPLVVNLDGKATVAGLKASSTDIYNGLIYFNFIPMLEKRICEHSGICSVENLAEALKKLPTTEAPPPTKSTEDGGLSQVTPSGSGNSGTPRQPSQMPMMQLNRKDQHIRKKLSRRRVNLVRTERILIYCSTRTSIEGRVW >CRE25991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1126:121:2298:1 gene:WBGene00074289 transcript:CRE25991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25991 MLSRIDPKSQDFITEELDFSTLPATQCGIVNSRFTYIPLKNQLTENGPWELHLTNNNLSYMNPKKTYIVFTFKITDEAGNHVQMGGTPEVLYAPINNIAHSIIKSYSMHINGQMVFHNSTNYAYQSYLESALMHGEEIKNSTLTAAGFFHDEVVGSPKSSGFLRRCEMVYKKGTVQVACNISIDLMNQNKVLINGCDVKLTLYPNSSEFLIEGQNLGANKMKFHVTDVFAMVNEFDLADGLSNELELALQSHKNIQYPLISPQIRSFYIEANRLDAPANTIFTSKMPRRVFVGLMSAEAYNGSYETSPFHFQNFDLSQIHIDYCGQSVPGRPFNLDFEGGKFVEPYILMQEALGHARTNFTSNSISKEMFRSGGYTIYGFELSVIAQYHNLFELVKQTNVSVCLNFAKKTPAGGLYAIIYGEFDNILNINDLRVPARPSIPKVVILTFCLAGCRRFFPLLSPPMPRKPVSPSRQLRRPKLPSQPITQPIIPPPTNQPTKPLAANGSDPSMKLVWILNQVTDLQQMVLNHSQRNHELALQKMGKYAMVAKVRYLGEKAATIEMPTAEAAELCPKFLYDDRTLIIHMCLPCRIASRTLDMQIVDDDNQQLAVNLCKICRSTFKSQLGVKFFKHDLPCVKRQLLDDAAKNKVDKKDLKKLVL >CRE26832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig365:51325:52038:-1 gene:WBGene00074290 transcript:CRE26832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26832 MSLTLYCRECGWMASDSIQLEEHWKFIHHGFRQPPPNITQKDFDNISNWGLHKQAHTCPVCLDHHTQMVGLAHHISSNHPVRDYFRPMTHTSQILLDHWVQIVHACFGKKIAENIIKGIKHRKCPECRNFFKSTEDCATHIFKEHFKNCQQAMNSETYINWSRYVNLVNPGVLPAMEKHHMDGKKSPRFVLHRQPDIEVLIDSPVMAQQQMAQDLQEELFAQI >CRE26828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig365:49432:51282:1 gene:WBGene00074291 transcript:CRE26828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26828 MDDFYVTLPSNVPNPPFPNTSSRYVTRLPEVLQLRKDKWMVALTDLVYPHSFVNVGKPLHYWIHFKTGRQPIRITFPSAHYLNLEQILLTLNARNRPKRGAEEEIDEVSRTKRAVSGGTSGGNASSGPVLTEKKKEILRLVNEKAARDKAAKEKADQEKADQERDAKEKADKEKADKEKADKEKSDKEKADKEKSDKEKADKEKADKEKADKEKADKEKADKEKADKEKADKDKAAKEKVERDRELLKLVNYKADKDRIAKEKKEADDRAKEKEEAEKEEAEKEKAKKDKAAKEKVERDRELLKLVNDKAEKDRIAKEKKEADDRAKEKEEAEKEEAEKEKAKKEKAERDKELLKLINDKAEKDRIAKEKKEADDRAKEKADEEAQKKAAEDAKKKIEADAKKKAELEKARKEQLLALVNNALAVKENLPVYKEILKSVKDRPEDPATYSELLIEFEKLRSIVSIDGANFDVTPYIKFSEEYGRVKVQFLHSDVLFVEFEKPFSYFLGFDDTIIRSSISAPHKVDLFGDVSVIYLYSDVVEPIIVGNKKTNLLSVIPCTGQHGSVVYYTVPNPRYVPIINSTIDSIRVELLTDGGDPIPFSWGTTIAVLHFKKLKM >CRE10679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig299:23004:24295:1 gene:WBGene00074292 transcript:CRE10679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10679 MARFDLEIRSMGADNVDRILRIRCYPSERPSCPYPTLSCVAEALLNPLGEDDDDFECNWLIDRNMSTGIEIVDTCHDSCPPLKLEEPDDEKGTMYWCHPTKNGPQAPPAAVAISQHKNPFAKVLNMVHTTTPESSPPSYRLDVPKQ >CRE24878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig835:10787:14175:-1 gene:WBGene00074293 transcript:CRE24878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24878 MSVKLVVLITIRFFDESKYEAFLSITETDRLGTKDTAVLEDFLAIEFSFERLQWFKMEISELSENGVKVFKASEIFSIAQICAGPIIFPMVDQSGYRVSEVEIWSQLRDRTQPIVMQLEAKNLSTKLVSSNSNNIEEFRQSMEMLTKYDWVPIPIAYPQVVFLAVRVYFIICLISRQYLLSAPPTEAQSIVPIMTILQFVFFVGWMKVAEALLNPLGEDDDDFECNWLIDRNMSTGIEIVDTCHDSCPPSKLEEPDDEKGTMYWCHTTKNGSQAPPTAVAISQHKNPFAKVLNMVHPTTPESSPPSYRLDVPKQ >CRE19143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:311494:314034:1 gene:WBGene00074294 transcript:CRE19143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19143 MLSAILKWFWCMVGVMGGSEPPTPAPEPRIIQSRLKTVRKLGSGGYGDVVLMVDSRNCSKQYAVKLITVGCWRWSEVFKEFRIHRFLSEEFHEHIIALFAVYHSADQYEMIMEFASGGDLFEHVKRNSQLDSETSRRFFQHLMAGIKFIHEHNLVHRDIKHENLLITANNVLKISDFGKATEYRDSGKEIWFFEKVGSLRYVAPELLSFQMYRGPPVDIWAAGVVLFGMITGCYPWKVACSINGSYREWKKRTQVEQLAQSTRLSTSWCSSIQREYPVPICLHGPHQALKETSFSPFMYVEKTRKISGTLFLSTSLLNPF >CRE09916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1339:2613:5427:1 gene:WBGene00074295 transcript:CRE09916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09916 MGVLMITWSKSRNYTNYEENKVLQQQIYTGNGIIDDRVFSVNRLEFSSVSFFEEKCVPMRRGGAPSKPAPQAAPAPGLPPELRPKFPRFDEFAPVEQPQTPQTPQTPPPQTPVEVDAPMKTLEGDAAVDPVDADGQNQEVPSKDVETPDAQVRTHISILIYLTSNLQKPKKKKKEKPKKVDDGTAVAVFVDDDEAKKDEGNNAMGLFVEDDEDEKKPKKKERKDRPRAGPTEKEKDSNRDKGKEGKDKDNAKAIKRQKQPKTESLVNIEKLSVSYHLLKLQRQKGKPTNQSNRQKKNQTSMRKVNAAKAPNPAQQPPPAAKVAPKQAAPAAQLPAAGVAVAPAPPVKSAGQPGAVDPRAPKIVAPVAKDPNEGFLKAMYAKAKQKIAAMSKNPNYVPTSDTAMAEDTLVATDEAIIKPEITAVYGTPLDYPKGVLPAKTDKYHPDKLFPGGRPFWMNRSEKPPQAKVIMIGEVEARLKAKTIKFEPPIPRTEPFTPYCQDFQLLKRTDEQFAQIRKTIQEEADPYEKTACLWNKRQENKSEEERFEFV >CRE09917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1339:861:2430:-1 gene:WBGene00074296 transcript:CRE09917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09917 MKHASLLLLLGITLFSFSLCDAKYQVRVQRGKLIKHSTQKYGLRRFDRLVGAQHQHVADFRDFAYFGNITIGTPIASKSEQTFLVVLDTGSSNLWVPDNTCGVNDVNSACKHKNKYFGTDSSSYEKDGRPFSISYGTGSASGYFGKDTLCFADTTLCIKSQIFGQASSIAPFFANQEIDGILGLGFTDLAVNKAPPPFVNAVDQGLVEEPIFTVYLEHHGINKAASGGYFTYGGEDPDHCGEIITWIPLTKAAYWQFRMQGVGIDSSSENTAGWEVISDTGTSFIGGPGKVIQELARKYGATYDEFNDSYVLPCSKVKTLPSLKLKINDMDFEIDPINLVAHPDATECDLTLFDMYGGGFGPSWILGDPFIRQFCNIHDIKNKRLGLAHSKQTEDVTF >CRE04435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1211:8829:10363:1 gene:WBGene00074297 transcript:CRE04435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04435 MNDDYKLVNGEGSHEDLIEGHDVYEETIGEEQIIYCDEDFDGDPGTIFQGEEEEEEEKVEPADVPCYVMEGSYFTQESIDAANQDHSVCYFCKEDLKNKRFYVHLFDHHGFTKQQCEVMKQHKRLENHKGNSGVCELHSLHKKIKSLHSCVNCGMEFITKTGLNNHLKKDNTPCGRILANEENGPGANNIVCPVYGCTSRWTTYLELAVHVDCAHRDLVCPSEIFMIRRKTFPDKATFLKWKKEMEKETTSEFFLRTSQKVNFAVRTLLYKCLCSNSRGQTKRACEQCPAFIKCCQRNHGQFEVVACFGHLGHEHPTETPKAIEFRHLANQERSNVRICFVDNRFKVIIFFQYPNRVRIPNQVINRRQHVDQYGNSTHSNGMMILMPGEVEEEDEFSQVIVDDDDIVGPSDPKTSVSERQVYMSSSHHYPRSSHY >CRE04437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1211:5753:8502:-1 gene:WBGene00074298 transcript:CRE04437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04437 MAELDESVLLGDSTDQHDGPIDENALLDAKDFKVEDPDDLYDDAIAPTSLEEPLKSSSPPATPVTAPTVSLTAKPATSSEGRKYCCYVGNLLWYTTDADLMKAISTTGLARSQFADMKFFENRTNGQSKGYALLVLNSDAAVKQIMETLPSKSIHGQSPTVLAYNKTNQAKLEEVQAKSQTRPDVKKKTFEDGCVNMGTIRIGTGNQAGRTTTNNTGRPGPPPLMMQQVRPTPLMSQQPSLMGNQNQVPQMRLQINGQPVPMVNRAPVPQQGLMGTAPMGGMPQQMPPQQQMMLGQPQVRPMMQPTMGVQQMMGVNMAAPPPMNNQFQQRPPQLGPMGVQPLMQMNTAMRPPVNGLPPVHVNPQMFPGIQGPTLSDAEFDDVMTRNQTVSSSAIARAITDASVGDIKGASETILTAINLIKNSRIGCSPEFKDQQYQMQRQMITLSKDLNRKDTLQDRNLIVIVPEAVKEIAREEDVVALVLGLFRFQISSINMIIFSSYSRSPSPRRRRY >CRE04436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1211:1948:4171:-1 gene:WBGene00074299 transcript:CRE04436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04436 MPSEEGSVVRWLVCGASAGLAVDIGLYPLDTIKSRMQSKQGFIAAGGFKDIYRCVKSFQITNFRLLSFRGMSSVLVGSAPGAAIFFLTYKYINGQMKRSIEGKDALVDAFSASLAEIAACAVRVPTELCKQRGQVNKNTRLTLICKEIMESKGLKGFYQGYGSTVAREIPFSIIQFPIWEGLKRMVAERNPLEGAACGSVAGCIAAGLTTPLDVAKTRIMLTKTGPTLGILSTLKEVIIFVPLPSNPSLFQVYTSGGIKGLYSGVVPRVMWISGGGFVFFGAYETAMHFTKFLD >CRE23246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1238:6132:7628:-1 gene:WBGene00074301 transcript:CRE23246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23246 MAHLLFPGVDSSIRYHHIESPKPSEDPLQNPWFPQTSSEPEPIVTENTRPESGRDPRHITEDSIEENGEERIAHFEYYEGAEEFPIKVPPEQSAALIHQSTPSNMAVIPGRIARGFLDSFSLIISFALVVLQFGLIDWYYLNVTGENIWAVWIGPDCVVLVVLGILGVLAIRHNTVQMEECCSIDSRVKYACFAWGVYALVLIFKIGTCFRLFYEDIPPTPLDNNDKLFDDFLFKAGLSLSVLIFLFIFESHHYTPLVSVRQVYISYLVAAICLDLIDNIYFLDLLWQASKDKWNLELWLEWTILVVACINFFMPTFALAKLRYAKVPRFLLVSEKLWAFLYVLLVNGPFLGLRIYLYIVLEVQQHGKKYDPSLFGVKNIAMIYIALRELWTRLQYWRMKRRAALSRNELAAAIHHQDEEQ >CRE23243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1238:1565:3444:1 gene:WBGene00074302 transcript:CRE23243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23243 MFRTLASRMTFTIRLSEEIVKPRIAYAERGGRRIKERVNKMLEKKKELGGTEEKPGKFVLKTGKGTRDYGPAQSALRNSVLQNVVETFNKYGAETIDTPVFELRDVLMGKYGEEGGKLVYDLQDQGGELLSLRYDLTVPFARYLAMNKITNITRYQIAKVYRRDQPVMTRGRYREFYQCDFDIAGQYDLMLPESECLGIVHELLTKLEIGEFFINLNHRLILEGMFAVSGISPKDFKTICSSVDKLDKTQWAEVEEEMIKEKFLTKEQTEKLGQLVRFRELNSGLSNLELLEKMSQLPELGQNEKFKKGAEELKVLIGYLDVDGVTSVRYEPSLARGLDYYTGAIYEAVAPKALEGTAVEKSEETAGQPVGVGSVAAGGRYDGLVNMFDAKLKVPCCGVSFGIERLFAIMEARQKNTVRTTQTEVYVASAQKNLVLERKKLLRTLRAAGIKSEMPLKANPKLLTQFQYAEERRIPLVVLIGERELQEGIVKLRNVVSREEKASFQTSEKSIIFFSFRTFHSIHSSPPFETLSLHSRQSIYCSHSILQFLVFFSSLPSFFLVQVIIMQLFLFLILHIRCV >CRE30565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig808:6677:8334:1 gene:WBGene00074303 transcript:CRE30565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30565 MQIPIEHPSHPLLYSLRLMIFRDIPTAKPSCVMLIFWILFPAIVNAATCPACPTGGMWSEWVANGNCAATCGACANLTYARTCLSSPDCPCNGANSAIKPCGTQACNYPRSNGPTKPCCDGSTPIVYNNLYHCGTVAQLAPISYCCPDNGTWSAWSAWKETAPGKIEYTRNRICVSSGYGCQCVGESAENKFECPCPPLKQSDCVYTPTNKAVYTARAPQYHAMQCKAVFLVETSSFRETFYDPQTGANNQIDMVTTVMYKKNDKCYETKFKTYEGAANSTDGQMQPVEFKCDIETMTWTGTNEYTKEVLTGVTAIGQYYTPKPKPTSP >CRE25982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig886:8047:11434:1 gene:WBGene00074305 transcript:CRE25982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25982 MVTDLYSLPAVQIIGDPLDETLEKVVETVQFSIFCFTLPFYIFVIYHLLDAQLRGVEDLSTPFFKLCVTTAIVDIWTLLNNYLGAMFPKWGWGTRIYLFLDGFYAHTYLYFAWTSDRYKFKG >CRE22741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2238:31:2403:-1 gene:WBGene00074307 transcript:CRE22741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22741 MLSPTSMYKLTVMNMTTAAIEQTDTIFRAALILEWVLISIGFGETMLLWYLLRYTNQYHRNLAMIVEQLPNQYFPSLLARMYMIYKQLTIPNTAELINDQSFLFAVWLRNSLLFVAFYFAPFPVIERCFATVYMQDYETNKRRWISYLLSTILYITAFTSAQFFIFGSYREVHIFLITSFNLVAFGLTFVMERYNKKRYSKLRKNVNSDYSLSVRAQLSENINSTLPFKVMCFLDCLLRFSVHFNAPRG >CRE13370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:333217:335119:-1 gene:WBGene00074309 transcript:CRE13370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13370 MYGFIFKNFICMFFNGVHYFIVCAYLLYHSCSTSIRTVSKIYRQVTVQCMIVCTCHFIGCFLYIYMQYRQLPNVFHVIAQLAWIGNHGLPPQVYLIFNTSIRSKISFCHLQVNRMVASSHNDQKSGGIVKTPKRILLLFVVVAGRMLVALI >CRE09918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1379:192:2138:1 gene:WBGene00074310 transcript:CRE09918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09918 MLYKIFLGQSFLDPVLYNCTGTEIHVDRHLVLGILYFSMGFMAQILYLFVLKTFWFHDPFWENACYRIMFFLENNCIGFCGFLSFHYTNIPGIPDMLSLIVCAEFAGIWSILGLHSCHNMKFGVFFGCLVFGTWHMSCFYVLILAFNRSCELVVPKFGRLLFSGKPLSIILCLPIFYFIYFAFFIKPLIYDVTESTFLLNPLTKATMGFDHAVYTVYGFIFNNFFCMFFIGVNYFVVCAYLLYHSCSTSIQTVSKIYRQVTVQCMIVCTCHFIGCFLYIYMQYRQLPDVFHVIAQLAWIGNHGLPPLVYLIFNKSIRSKISLCHLQVNRIAASSHTDQKSGWNTQKRITTVM >CRE13240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2940:938:2262:-1 gene:WBGene00074311 transcript:CRE13240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13240 VVCIISLLLAVFFGTPFIFVLGVVLTHATALKKEIFKWTAVFMCLCVPFCFVRLRVVASQLRTLPEYEAPLGHNNTGYEHATINPYKENLFFNWNFAIFYAFVGFVLSYKTFTKFRLPSNYEKRLFLPKDRNLLIDYLPILHCAFIVFMWSLVFGLPSTEEEHIAFPMYPFISLFAALAADSMLRLFFDKTKKLENNMYKNMLYSSIGLFALFSVMRAFSVYHSYGSHVEIYKGLHQELNAHEEFDRFNDPITLCIGKEWQYFPSSFFLPERVYDGKLNPRKFQMRFLQSSYNCSLQTKYAVVNNTTDTSIDENRRPTISNSLEFCDYVIDMDMPATEKEPNFGNMPEKWKPILSLTVIDANQSDMFFKNFYLPVFAGTLNKYTTCTLYRKKIT >CRE13224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2100:802:3477:-1 gene:WBGene00074312 transcript:CRE13224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13224 MSNVKKRPAKLYHPENVPDDDWQPSAGVVIKLLLSVRISAALWSSIDTKTEVFGFYEGLHRLLYGDGFNTWELLDNTNYYCRVFLIWIYSIPASIFVNCFGDSKIVVFTCVRLMTGLLCLLGEYYAFYAISKRINVATGRYFLLFTILSPGMFHASVTFSDLSFTMICTFYFIAAFLDEQWVVCIISLLLAVFFGTPFIFVLGVVLTHATALKKEIFKWTAVFMCLCVPFCFVRLRVVASQLRTLPEYEAPLGHNNTGYEHATINPYKENLFFNWNFAIFYAFVGFVLSYKTFTKFRLPSNYEKRLFLPKDRNLLIDYLPILHCAFIVFMWSLVFGLPSTEEEHIAFPMYPFISLFAALAADSMLRLFFDKTKKLENNMYKNMLYSSIGLFALFSVMRAFSVYHSYGSHVEIYKGLHQELNAHEEFDRFNDPITLCIGKEWQYFPSSFFLPERVYDGKLNPRKFQMRFLQSSYNCSLQTKYAVVNNTTDTSIDENRRPTISNSLEFCDYVIDMDMPATEKEPNFGNMPEKWKPILSLTVIDANQSDMFFKNFYLPVFAGTLNKYTTCTLYRKKIT >CRE13225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2100:3592:5139:-1 gene:WBGene00074313 transcript:CRE13225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13225 IFVSHFPLGCWPFVLSRDTICRVHAHVGLEVRASLNIVLYNVLSGPGPSLYGEESIIFYIKNLILNWNVAIFAFFAGVPLSFIAIRKALKEGKLPTFLEANTPVVLLAVTAALWMVIFGAQAHKEERFLFPIYPLIAFIAAIVCEHVYDQWLNRISFKNLLICFFFGSFVLLSASRSFSVYRNYSGHVNIYSSLNEELGNTEFSSDTVNRVCVGKEWHRFPSSFFIPKMDNSGRKVEFHFLQSEFRGLLPKPFKRGYSRIDSTRHEPTEMNNLNKEEVSRYVDLDSCNYVIDVDMPSTEFEPNFRNMSDKWMVSASHPFIDVSKSSGFAGLLRAFYIPYFSEKVNKMTTYTLYRRIIIEK >CRE14323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:756:3378:1 gene:WBGene00074314 transcript:CRE14323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-236 description:CRE-NHR-236 protein [Source:UniProtKB/TrEMBL;Acc:E3NH71] MLMLCGPEPPICRIAQALLKREVLNYDDVKQLIGTPKFGDKHVIDMVENVLPKEDIHLIFLPAVQHERLIPPETPTPTRPSPPKPKKLKVLTAIDQPQDPITCLSTVIHWWSSLPPANTFPASDRRIIFSNCWHSLFLFHIICQPGANLINGGSKKCFVKIKINISDCTNEKLRSIYKTIKNMNINVVEQWAITVVLIYRAEDGRLESKQDIIATQIGAMQILAENHAARVFQSGSNRCAQLLLIPLTIIQISEAEIRETFFKNQQDLEVVCRMAVH >CRE16593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3424:587:1375:1 gene:WBGene00074316 transcript:CRE16593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16593 MSSPKNVSEKLLDIIIKDAEKYVGTAGRRAIANAEEALSSILLGLQRDRKLSAFSVSEMGPIPKRGATSPVQIPSAPPNKKAKSATGKENPKQPMKPKQEEKNFGSWNTWKGLDLVVTPSRKPVVDPSDKRVIQVPVKLVKDKKNEQVQKLLGRTKTIIWVATKQLSHAKEAVSLVSNTNAKKVVILEPDTTEDVEKVFADGEFKVGKSEKATEIAISEIRAEFAKEAKEASGKSKISDNQTPEIK >CRE07930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1255:3628:4959:1 gene:WBGene00074317 transcript:CRE07930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07930 MNDRTRALNKQLFWTLGLQTLLPCFTQYMPVGLLFILPLFEIEVGKVGNIVGVTCCLYPAMDPLIAIFMIDRFRNCVFRKDNQSKTRSGRTWENGKRQFRVPDLLGGLLICNIIVSTFYLIASHNFITFQGLSFTTCIVCAYKTYKKLNDFSTQMSNRTRALNKQLFWTLGLQTLLPCFTQYMPVGLLFILPLFEIEVGKVGNIVGVTCCLYPAMDPLIAIFMID >CRE07931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1255:5374:7209:1 gene:WBGene00074318 transcript:CRE07931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07931 MGAPLYISISQHIGFFVSLLTNSLLLYLIKTRAGKLFGRYRVLMICFCVYCLFYATVETLASPVVHIHGAGILFYVNSFLKNDMFWGMLMAADPERRDYFRNMTKEVYDENIDKLAYIGPVYYTWENGKRQFRFQDVLGSMVISTIISISSTTCIVCAFKTYKKLDDLSNQISNKTRDLNKQLFWTLGLQTLLPCFTQYIPVGLNFTLPLFEIPVGKIANLVGVTPCLYPAMDPLIAIFMIKRFRNWLFRKESPSQGGSGARVHAHVVNIHDSN >CRE07932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1255:10464:14671:-1 gene:WBGene00074319 transcript:CRE07932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07932 MDAEEIAAKDQKFRDNLKYLDEMPQTQKALEMMLRMGNETKEEKEERQKMMREMRDELKTKEEIDREEKKKLDQKEKMEKMLKTVVRCSMWMPETKLTSAPRLISCNQLSRFLEVMADLIPNEKGSEGEVDYRRQIKCIDSVEFDKRLHQRPGKLLLTECSRQGQRSDVESSPHQNPSVHPLVSPRRPFSESEIPAQ >CRE13689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:228515:229876:-1 gene:WBGene00074321 transcript:CRE13689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13689 MLVHFSVFLCIFLYSCAYFCILVHFSVFLCTFLKLVHFSVFLCTFLYSCALFCILVHFSVFLCTFLYSCALFCILVHFSVFLCTFLYSCALFCILVHFSVFLCTFLYSCALFCILVHFSVFLCTFLYSCALFCILVHFSEGCAYFCILVHISVFLCIFLYLVHISVSCALFCILVHFLYSCALFCILCTFQYSCALSLVHFSAFLCTFLYSCALFCILVHFSVFLCTFLYSCAFFCILVHISVFLCTFLYSCALFCILVHFSVFLCTFLYSCALFCILVHFSDARPLFCILVHISVYLCTFLKVVHISVFLSIFLYSCALF >CRE17690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1264:8146:9487:1 gene:WBGene00074322 transcript:CRE17690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17690 MSKEVTWLHILNSFNFAAFNLLRPIKLPLAVIGALVNAFHIIVLSRKSMRSNCINAIMIGIGICDFYVMGYKVNDEIQEMIPVPDCSLPYSYTYMMIRAGFEIAESLLRRLSAYLAILMATVRVLAVKNPLNAKFDSLSTPIFAIKSIILLSLFSGINEAFFYGPSFYESDGMPYKPPERCGYGENFTAIVLVRTFNDIFYEHFYMQIFQLLDGASKVKEECKRCFIPTFSAHSCYFSPILSFLLIQEILNASKARKQFLTLHNSIEKKNSNLATKMIATMTIASVLAEGPYGITAIAASLSEVNTFQYNALWSLQGVYNYVIMLNTMTHFVVCLAISSQYREAVFQMLPCLKVIRRKKLEVIQVEPSSSRPTGGSGNLSQIA >CRE17689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1264:1583:5871:1 gene:WBGene00074323 transcript:CRE17689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17689 MNKARREYQKEFEQQIRDVRMIDEEINKISKLNDNGRAKSSQRCSQVYAHTLSLPQIQKMSHSLGLGDVASVIQKASDLTEHQENDIAQLELDLEEKRKLIAKVEILLSLAESRALLFDLLNEQKKIAEKMENYALDLLQKSMFSRVNGTPSQFFPANFRPHTPSPFSAASDLSELSSAVQQVLNAKSAGSKKGWSMMKRKLHKKSKTPKKFEVIEPEDVEIRNNFIEVNKKTGHLQETAEIVEPVLSVPFIAQNDQFGRQPVAVAVHRRPLPVAPPTMSPKVLGRPTPQRARAAHHLTASKPLAPPGASSNDESETVHELIDMLHEDIIKLPAEEEEKICSQVQCDFEKGDSIPADLCNYESSHDETTRFRFSKSRRTHRQKRSPSLPQLTDDLLAEPSNIADDLLPYTFRAWSIWAGRRADRQKQIDIGPTYSSRNLHFAAVFLEPQQAGILSIPLIVSPTSTKIRLRLFEGTRGLRLRICCDRYCPLETEQGLYRGHKSWLRKTVTCPANTQTLSFECLNDGPDRGACGIDDIFVDSNRCHHFFKGSDQN >CRE21661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1232:6528:10419:1 gene:WBGene00074324 transcript:CRE21661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21661 MLTNMLLHHKYPKHEFLLNPPNAFYPPPPYDFFQSPLQIFGPMSQSSPETTPSSALASPDPDPGPSVVGRLKMPPALPKIKLCEVCGHAGATSHYGGTVCGGCKIFFSRTVQSKKGFVCERGGQCPMNAGKRAKCRACRFQLCLKAHMSPEEVGRLRDMRNCDYPPGPMVRREGFVVGYFDENSQPSTSLQDCSNQIISCIDIHNYGNDEMSVLQTFVNLERSSDNNAALRFGDQFPRMCNAGIDMSSAMEFPMPICEKIPMDYIRKEFLEDPKTNMKLFWCRNVNHFLEWTCANEDLRHFSRQEQVGKRAKGAPDKFLFQTLLIAENFLSISQGLTSFYGFLRIQYLRFEKSSE >CRE27975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1484:2537:4268:-1 gene:WBGene00074325 transcript:CRE27975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27975 MVRKDKSNYRFLVAVFLFCIILIHDLVYPFVLVEKASQTSTFDNQQLNSITTIEEEPESPQSSPQTQFYSLEQKNLRKAALQRVEEDRRGLLEAANGTSHKAFYKAVKPEVFCEKNERIGYKGDGGKQVCNPAAVRKEDCTLLSLGLHNQIDYDQAIFNATGRHCKLLGADMNSQNAKTQKSYEEMGGEIFVGKIPANLTIPEMLEKTGRSEVELLKIDIEGGEVDGLEPLIRDYQSVYVA >CRE10755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1458:1782:5846:1 gene:WBGene00074327 transcript:CRE10755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10755 MNSLVNADDPPPTEQVATPTSPELPEHITLANETAVEHIRTAKLTGQTLIELRECVSTDLRAIHLRLGRLTELSVERFENFQARVGILEGTPSNEPASTQTLVGSSSEKTAEDSNAFSTTDNTTTAPEKDSQKTDSMKTPTRPPPLVIQTASHRPDLDGTPYPPTTTRSAPPANEQNDSEATPRVTRAAPKKSESYYFNVLNGKMAAHIPTFSGAPHENFAAFARSFTDHANAMKDTLTNDKKKAVFLTYLSDFARDKAEELLESKPDAMFEDLVEHLKSTFQDPTRAEMERQQLRQCSQHQDESVDAFGARVRKLAQSAYVGKSREYIADKAKEAFIDGLTFTLKFHVKGESPRDFQEAQNSALKFELLLAEAAKANTITPQGLSFAPPPQNTPSQPAQPPQPAYQHQQPNFPPPPRRTVCYSCGYEGHYAADWATATTITAVIVIMGGSRGNNGGYRNQEGGQRQQFPVNDPVQRHQIPSPQQEQHRRFVNSLAPTENPLVEQLRTELATSRAQLDALVQRNSELATAARTASPSRRINCVSRGSYILSICALATFLSLMGSASALEPLVCMHYAPESYVQVPSPLDCTIANTMTTTAKATPLELAIFRDNTINYRTNGTLCKVVKQVTTFSVNIFGARFQESSSKQLPVSTEACANMIKFQECEFGDLHHRAGIFKTENAHTINWPSAFNIFAGTQVVETTNCFMMPTSVYSRFGSETPSSPAGSLVGCRFSDGSCITRGGATFIWTPTQDQQCRFVFWKKLRGVQSGRIWLSEDKEFALSFLPNNSRIADCGRKIVVTDQGYGIVLPSRTKRQAEGLNELTNFVTSNQLAAQLLANEEAVLESATHSDPSSGPLLATQIEEAFDLWERIKSVGRVIFVIWTVVCNTIITILTLVAIIAGVARFYIGPWLVSLKRTEPKATQFIGTGADVQSPAAETPVVSPQETPRILNKLAGPIPDCARQMKRLRSPASVLSYTDPKYFTAQIPIRVNGIHFWALVDTGAGFTVAGREICALIGVGRLNPPTVDHALGLGGNEVGMAGSATIKFEIGANTIFQTTNFTTGQCCPEGAPLRPFSSPSAHHLFSRLLPHASIFSVSLAFSRLFENLQTHHVFWETSGRGHSPCRPVTTLFCTF >CRE23592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:2585:3801:-1 gene:WBGene00074328 transcript:CRE23592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23592 MGSASALEPLVCMHYAPESYVQVPSPLDCTIANTMTPAAKATPLELAIFQDNTINYRTNGTLCKVVKQVTIFSGNIFGARFQESSSKQLPSWNLQDGECTHHQPTSVYPRFGSETPSSPAGPLVGCRFSDGSCTTRGGATFIWTPTQEQQYRFVFWKKLRGVQSGRIWLSEDKEFALSFLPNNSRSADCGRKIVVTDQGYGIVLPSRTKRQAEGLNELTNFATSNQLAAQLLANEEAVLEPASKLVKFGYKNLCHASNPQRAMVLSAVVSNPTMAARKLTGKDQIRAKFLGEGFLAIKTGSVIPKGLYEFIPSKETCYSKPSIRATTPANTTSVTFVDLTTRIITNRAHPVDCNLIANFEYMVNGTLFSLNPFTLEIKTHPD >CRE20631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1434:336:2168:1 gene:WBGene00074329 transcript:CRE20631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20631 MVCRPKQLLLQGAKKANNAPMISRMASPNPMASPRHSSSGMTSSSHDGMSSRGSTGGQTPRQNQPRHFGTANQQKVRRDLTIIGKNVRITKGPMKGYFGIVRDATEDTVRVELHAQCRTVSVDRARMMVVGDTGITSSGSGTSFYNTAKTPMRDSSKTPMYGSKTPMYGAQTPMYGAMTPHAGDRTPHYGAMTPAYGGRTPAYGDGSRTPAYGSKTPAYGDLDEHSSARTPAYGNDNGRTPAYGHDSGRTPAYGNSENGRTPAYGGSTDSGRTPAYDHDSGRTPGYESLPSRTPNYDSSKTPSYTNDFDTPTSPTYDPEPNEPYNNAPARTPAFDSGRTPGYETYDSGSPTYDPEPTGETEDIGDTSSPTYDSPPHSYSVPTPGAMINPATPGAYHVDTPGPFVAPMTPGTGGAYDQYVAPSPYMGGGFEANNFNNAGGAIESIPEHFLVQGHWIMSNLYVVIKEHDPRYNDREAIVQNVNDGKVDIYIPDLKCNLEVDFDQLSPTRPQPGDFARVIFGHDGGHSGQVVSMDGVDALIRSQSDISDMRSCNIGLCCKMHGGV >CRE15548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:212363:213154:-1 gene:WBGene00074330 transcript:CRE15548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15548 MSQSEDVEPETYQIMRTIENSLIDSDNVDLEQYLLFWEHVCKVMGSWGTIFSFVVKDVSNKLEKLAQMRNTDPESYKSILTMATRESENGSIRNLKPNRSGTGHLMVLNRALEFVIDLLDGVFTAEDEDSKVSTIARSSYDKHLSQFHSWPVKTAVSAALFTLPRKTEFLIRLRGKMPESDDEQFHYVFNRDGRDIVRRVNQLVESFELTDHNPSA >CRE15825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1226:2339:3548:1 gene:WBGene00074331 transcript:CRE15825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15825 MERMRTGDRPLTMLPSLTAMFDGNDEELVLDDDGNEILLPPPNLTHGGELDKQSFLGFHYLEARPGDGPPPPDGSNDDIVGEPTRRPSSRSAPTSAPRAARDSPAPPPEVAPEGADVAPAVAPAAPEVARRRRPKRNFFSCIFRSRTDSN >CRE29280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1359:1590:3447:-1 gene:WBGene00074332 transcript:CRE29280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29280 MSKNCKSAENTDDDRTLAEDDQNDQNSGSMDHRFERITVTLEKVGGKKFGLGIASVHQRILVCKVENDSLVNGVLRYGDQILEINKKEVLTKIDCKKRLMSSLKEKGTVEMLLLRPKTPDAVTMIEQEIQMSQQPSSTAQAKQN >CRE04433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1171:1003:1887:1 gene:WBGene00074333 transcript:CRE04433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04433 MPSGVPQGTVAGPLLFLVFINDLLLSLPPSLHFAAFADDIKLYSHDPILLQTGIDTVSKWASSNSLPLAHTKTTLLRLGSKNPGHLYNIDSIPITESSAVRDLGLLTDSHLKFDSHIAKSSSLAILRCSQLLKSFRSRSLPLYKHLFNTYVLPVLEYCSSVYSPSPSSILSHKLEKPLRSFTRKVLQRCNIHYTSYLNRLEILDLYSLRHRRLKSQLILLYKIICGATFFPEIQAYVRLSNSNRRPMTLICIRPQVNDFFSSTVSIWNSITSNCPEFLPPGKFISLLEQSIKRL >CRE04434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1171:4740:7766:-1 gene:WBGene00074334 transcript:CRE04434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04434 MNAAPIFVPDRLHICHVILFLFLSNSKITEIEERMVEVYKDNAPQRQTISRWVRRFKNKDFSLAEEPRSGRPMELDIDKLREVVESGPFQFIRELTTVMGSTHSAVKRGLGALGKVKKIGRWIPHKLSDFDLERRVDMSLQLQTLHPNFNWLDHLVTSDEKWVLYENHHRRAQSVDADKQPKGVVKQELHPKKILLSFWWSVHGVLYWELLPEGKISPPTTILLNYRRKLKRVLVRRSIDVYRTLPYEQFPSEYSYDEFDHINHHTTSNFAEIVNIMQNQLRVASSWLLYIDTEGTYSQLRNGSRLALITIFDVDSRKFQQYIINKVCSHVSQYKKEQETNVEYL >CRE10234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:728005:729057:-1 gene:WBGene00074335 transcript:CRE10234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10234 MFSKLSSEQISDFLPFFKSKPKFALFANAAKFQVEERIPNHPCDFYYLETSNSKYFYVFRHDNIPDICRPILMIGSDHSVNENDVIHGLEQIKSVEPDLGNIDMLIAPTAVSLPARKFFVHHYNREDYNNPCYNFHIPSTARQEIQENVDKITLPSDFSIGSTRLSDSEVVNSTWKFATPETVLQMKEIIQRLPTSCIHHKDKPVAFEMIGLHGQLNHQFTFPEYRNRGFGGMIENTIVSKCFKEGIQVVKSIELANDEVLKRSRSHPLWEIITDDNGDELVFDYTHYH >CRE23240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1198:1823:5529:1 gene:WBGene00074340 transcript:CRE23240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23240 MRFLFVGCVALLAVSAFIPHAYAEDEIEDVPTKESKEEIREEDTIKLDGLSVSQIKELRSKAEKHEFQAEVNRMMKLIINSLYRNKEIFLRELISNASDALDKIRLLSLTDPEQLRETEEMTVKIKADRENRLLHITDTGLGMTRQDLINNLGTIARSGTSEFLSKLMDTATSSDQQQDLIGQFGVGFYAAFLVADRVVVTTKNNDDEQYIWESDSSSFTITKDPRGNTLKRGTQITLYLKEEAADFLEPDTLKNLVHKYSQFINFDIFLWQSKTEMVEEPVEEEPATTEDGAVEEEKEEKKTKKVEKTTWDWEKVNNVKPIWMRKPNQVEEDEYKQFYKSITKDTEEPLSHVHFSAEGEVSFRSILYVPKKSPNDMFQNYGKIVENIKLYVRRVFITDDFADMLPKYLSFIRGIVDSDDLPLNVSRENLQQHKLLKVIKKKLVRKVLDMLKKLDGAQFDDFWKEFSTNIKLGVMEDPSNRMRLAKLLRFQSSNDDEKTTTLAAYVERMKEKQDAIYYMAGTSRKEVETSPFVERLIAKGFEVLYLTEAVDEYCIQAMPEYESKKFQNVAKEGVNIDDGEKAKEAHKVLEDEYKPLTDWLKETALKDLIEKAVVSQRLVKSPSALVASSYGWSGNMERIMKSQAYAKAKDPTQDFYATQKKTFEINPRHPVIKELLKRVTVSEDDVIASSTAKLLFETATLRSGFSLQDQVGFADRIEAVLRQSLDVSQDAQVETEQHIEEAEPEAEASEETTIEEEHSEL >CRE12773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:771346:773014:-1 gene:WBGene00074341 transcript:CRE12773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spo-11 description:CRE-SPO-11 protein [Source:UniProtKB/TrEMBL;Acc:E3M7Q1] MNENVLVYDESSINESVGPKKNQFDIIDIQIESQQSANFTDSEDSDDSFINEETLPQKEQAIRKIEFALADINDQIERNEKTLILRTSYEKSHFSIRYSSHRKKKLDRDLHCLHQVYDLLENDKKSTKRELFYEHKAIYEAQRNLDSSIRSICELLNESRANLNVLACGRGILRGAITFLVEDVGVIDARVQDVLITDSLLFSDQVSEAEFVLVVEKDTTFQKLIDEKFQIMFPRGILVTSKGYPDISTRNVLKMLSEKRKLPIYGLFDADPHGIEIYLTYKYGAAKETAEGRGAFVSSIQWIGLFPTDFKRFFIDPSQCLPLLRSDFVKIENMIPRSIQLGECLVTRELDWMIQNAFKLELESINMCGPEYMGKYLIAPRVRSWKEPMFEQKPYEQMMEQSLNTISPDSQNLEFSIRRDEAAEIFKDCYIDSDTERLIDDVIDNDSD >CRE23241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1198:7927:9713:1 gene:WBGene00074342 transcript:CRE23241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23241 MSGSMSSNSSIGITELTPLQLKQLRAQVAAYRHLARNENVPDYLISSAVKLPPKQTTLLPEPYKYPGEAENGEKLPYDLMKVLSIHQSRFNRQTELQVPPGVDPFKMLTQRENMIQNRIGLRMKSLSNLPADIPEHIRLKAEIELRALRLTNLQIHVRSFSENFELHFSRNNAAKISKTQKAVKLHHQKYEAERKKDEARNEKLRMQKLMQEDEEGYRALLDEKKDQRLVYLLQQTDEYVDSLCSLVRQHQTTEKKKKKDDKKFERSQMDDEARVHVREISTGKIITGDHAPKPEEVEFWLETHPGYELIPRDQLSDDDEDDNETHVVEERVEEKDDQYAGMDDETKAKMIIEKARNEEDEYDQRTKKQMADYYATAHRIKEKIVKQHATMGGGNPNLQLKPYQLKGLEWMISLHNNNLNGILADEMGLGKTIQVSLN >CRE24889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1195:1087:7338:-1 gene:WBGene00074343 transcript:CRE24889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24889 MKVEQASRSLSEFYTNLSIESKGKAPPNFDDTSRGVLLALIKERPTIWNSTAKLTKDDVLASFQQCSAVLSNMDPSFGIWAIIEKWCWVVESFVRCSMTNPPSEWRYNNTLEYLKPFAMIHYPYLGCISKKRRNELTEMYADPEILKVSELTDDLEFPAGIFRDEMHVFSGEEILLNNESEANSIARTVGQIINVKRRGRPSKADKRSPVDQFINLTPQSAQFQKLLEVTGTASTSFTAVSFLESDAPDDLGFTPAMYNALIEMIQETPQLWQNDHPNRKNGELRDKNFDDISRKLILKFNGSLDIQQSERMTGSFIRKIWETLKEKFQREEKLDKISQWRYYLPLQFLSNRLMPAFLLENVFIKSEDPSTSTGLVSRVNVASPAGSSQSEDSRMATSSPASATQFVSNGNKSIKTVLDNLVARSMKEEQGLNGISIIPTTQDYSELKQMLEQNKLIKVEGENGPPMKRAKQDSGSSPPGNSRMIVYNNDTRKAAQAPIATWVPPREDLAAKVKEEVIQTPPQKRPQATAVIKSGIPLERHLKKMFQQSPTIIPTTAAASIAHRNGILGGLNAMSIPQSALQQALLKKMEPPTTMAATMATMASAMPSVSAPQLHQAQALINGAMNGNSEPEDKWTLLGRMISMIAREVEARDPMAACELTRDIQQCLYQYNSKSLRPKENNSQ >CRE21023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig634:12304:17930:1 gene:WBGene00074345 transcript:CRE21023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21023 MSSQAQLLLDSAVRDVDDPLLLYHLNASLIEAARDGVNATVKDILSEVESFRNLNDSFFLQGVPAMESTPGRSLIQNLYYFYMPFCVFVGLTGNTMVWILIRFVRRLLDIFQDSLLRNSYYSCIFNTFLAHVCDFASVWLIVLVGMERLLLLYRKTRGLTVEKARAQVFILLGFAMIFNSWILFVADIEPGGLCDIKLEYVGMYQTMTIVETIVCMLVPSVLIIACNCLVVSKLNSHIKKNPGSPAVSFNTADIVLTTQTSAPNSTLKSYTRISSRFSIDVEKTPKKKKKGIRYTDIQLTRSLLVVTWAFILLNIPNYGYRIASILFGVPEQNSLMTAISLGAHVFLYTHHAFLFYLYIFYSPQMKRRLKPTAMKLLECYCFKPPGDYTDHT >CRE21024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig634:18058:19866:-1 gene:WBGene00074346 transcript:CRE21024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21024 MPDCSENLSAVIENHVPSLEELKEVFQKSLDANFETVQVDIVDCPNLSEPPFHQTSSGFGQNLKIAEVGGPGNLYPGFHTDHQFDIRTIGKVCEHPEASVFGPGAGPWPVVKKNCEMVADVNLKTGKTATKIAEIYQEKYRQKIIEEPKFSLMANLALSDADKSAKVGAYFSVSMFISKPVSLAGQFIIHTGQAKLHVMPHFPGCPFANNEEVDKWLNYFTMDAPLVCATVMHSYDPGHNLRLEHTHCYSEHGDAGHYHYDVTPATVAYEGWFAPAGKVYRIDEVANR >CRE01480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1320:4220:6464:-1 gene:WBGene00074348 transcript:CRE01480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jtr-1 description:CRE-JTR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NRH4] MEYCSTKQISTFILALIGFSILVFFIEEYTEESEMHDEMEMKKAFYNNEHNKVTGLTGKVATEYPIEECWKHEPFEIVTQCAPCKEFEVKAIKAAHCQKTGYFDRVNCSKSSTTVLRPCPSPKESRRHEFYLFYAFNIILLIISYSVTVQRKSVLERSVYMRLPQHF >CRE18378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2443:1739:4459:-1 gene:WBGene00074349 transcript:CRE18378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18378 MNSMGVDPYVNWLYGDLQNGVVIFQLYDIIRPGMVTWKRVVRQFHKLRGMMDQIQNCNYAVELGKQLRFSLVGIQGKDIYDGNQTLTLALVWQLMRAYTLSVLAQCTQSGDSLPADKDIVAWVNEKLAASGKTTSIRSFQDPTISTGKVVLDLIDSIKPNVIDFGLVKGGQTNEEKMSNAKYAITCGRKIGAKIYALPEDIVEVRVKPKMVLTVFACLMARDYLPDMKEASAPIAPMINGH >CRE16538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1225:3458:5910:1 gene:WBGene00074350 transcript:CRE16538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16538 MSVTHSLRFFKSIQLIPDLRPLFREELKKMVPNLLGPHNLKPKIVNGKTVTCRKMIQYFKEYAASFDGETLPQPQSILNANAKLICIEAAHEAKVNYCRGMDRSTYGTRMMSEKKLLKAHIKHGITALNIYDKCPKIGSKEVRSLLLEKLQEDINVSFSRQNWNGYKRLNEAKRVTGCASAMLACGDSVFLGIGLGSAASGAIAGAVLTLQAGVVSLGIVAIPISLTTLFFIWAYVWTKPCFEKITNKNK >CRE29279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1319:6319:7040:-1 gene:WBGene00074351 transcript:CRE29279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29279 MVSIPDKLILTGTDTDLVDWVFPDVNTYKLVESSAVLTVDNRTALRINEYILDKLNGEMREFVSIDTADKDNALNVDPAIFATETPPGMPPHRLRLKVGAQIVLLRNLSVEAGLCNGTRLTIVSFGEDIIYCHRNTDPKKPKQMVFLHRILMSPSGKGGKSCGFRRRQFPIRLAYACTINKSQGQTLTRCGLLPLFLNPPPSSPMGRRYGWAKKSGRG >CRE14379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig702:9357:11543:1 gene:WBGene00074355 transcript:CRE14379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14379 MVRRKETTAEDKAKARDRKREQRKREKEAQEAETPAKAKPQLKVKTEEDIKEYKRLKQSELRAKVAEANELKQQQKDSSIIDLTSMTTAEETTEKKSRKRQANTSKGVPKYNKPELKKMTAEELKEYNRLKKSESRARQKLAKVEETKDDGNDDFEDDTMDLTSEVTKQATEETEKKPRKRQADASESSIVPQPTPKKACEKEIVSQRVPGGKKNCKVRIGLIDIFDVETNAIVVPYYDGHSSEDISVYQRMLTMFSKVDKTKVDSFKEDFDNNLHDKLKNYESEIIDWGFQTDIGTTRRTILVKPPYMKNENLTTISETHLRASYLSCLLTADKANVNSLAFPIFGVHGCYKKSIVIGLQTVFAYMESVKHTNLQLIYFVTANNLAYNDIGEFLSYFREFDLNYWTKEGLYFAYEDHVFDKFKTNVYYATIPGTDMTRRCFKLTHERKMRSTGTNESLRKIHALMLKQTGIKDTIGFNIYKKSARKGLIQGTQSIENGASSDVVPSFPNLMDVRFDMEHFCGSNKILRKLWIVSYYHMYFQDMSLSELHLSPKHEDYLLRQRTFHQQKWLHYHVVRMWKDTFTKAPYKCNCLLTSDTHEHLSVFMTQLSHQDNIMKNWTLDRRCFVFYHEDDILGSIEAYQPVFIYGDGKFKSMAASNSFDFNKIIETQLKKWY >CRE26870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1005:9732:10460:1 gene:WBGene00074357 transcript:CRE26870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26870 MSRNNDLVSTNSTSSTSQLESPPRGVQQNFYTINISFNYSTQPSAPAAPEQNPEQLLPVISNLIGQQIRDLKKQEQRRKRRSRRSSSRTSRPSKEDLFSSENDCEPMESTQRDVSMVDDVTQKSSVPLENSTKRMSKKKASKYSNEYQDLSEIQKKVKYRLVPSKNVKAVPEDELPKKKYNNERKRREYVVLGRLNSLEVVSEKDEEQKKEDAKRRSRELAKELY >CRE30284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig889:1901:6372:1 gene:WBGene00074363 transcript:CRE30284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30284 MAGEICRAFETAGCKPPQDLKAMFERFKKEMAAEGKEVKLGGKGFEGHGYKYDEGEAEADANKKKMARLSIFYLNPVIQVHGMEAGGDDDDDLDEQLNSMIKTKRRLVHGKPQSDKPTTSGNSKTDREAEKRKDAAKLKAEELSSKLKTAQNVIQPVEKTATQLTAEAVIRGQDVAPVQISAAMLAKEKANRLNEKLNYLGGEAAPTQQQEEAWEYFEEEWDINDFPQQVRYKICSRESVGHVAELAEVGISVRGVHVPPGKEPKAGERRLHLLLEARSERNLKAAKEEIIRIMKEAFRQLTAQIQRGGTQSRYKV >CRE15101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1463:5344:8293:-1 gene:WBGene00074364 transcript:CRE15101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15101 MQELLIQQVPSRRDESCFHLGNGLVERALNELVAVYREYGMREEEIVCVNAMICLNPLAKDVSDSLFEKIVELRNRIADCLFSIVKEVRLSPTPNVCYGHILLSLATVTELANAMSENLQFAQTFSNQGEIPLLTDLFGCFTVEPFFKEVDELTALSLEKALTEKKEISTQTDRVPPPRALLKRQATIDEESEEPARQNFRLLQPPNNFYITEMLDDLRNNHAENHLMGLNYDASTIQNGVSSNGVAHPPTVPTVPPVAARPIYDQQPSCSNQNPSTFYNFPPPPGYPPLNAGYTPNINYPQLYQQPQYYNFPAQNVDQTYPYSQDIPPPQPYFTTKTLKIIHIIITIFRINTRLE >CRE15100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1463:238:3652:-1 gene:WBGene00074365 transcript:CRE15100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15100 MASGQNANKTAENNVITLNATENSNLGSTSSSSASLNLCMVCGAEKAAHHYGALSCVGCKGFFRRALLKADQLECAANGECTVSVLQKIQCRSCRFNKCLREGMNPAYSTHQILLCPLMVFNFCARTAEACQDENVLCLCNFAYVPRNISKLYKDTYHLGNGLVERALNELVAVYREYGMREEEIVCVNAMICLNPLAKDVSDSLFEKIVELRNRIADCLFSIVKEVRLSPTPNVCYGHILLSLATVTELANAMSENLQFAQTFSNQGEIPLLTDLFGCFTVEPFFKEVDELAALSLEKALTEKKEISTQTDRVPPPRALLKRQATIDEESEEPARQNFRLLQPPNNFYITEMLDDLRVSFTFLHIFFLWCFVACATHPDRIA >CRE23841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1956:3456:4762:1 gene:WBGene00074366 transcript:CRE23841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23841 MTVSKYVPIGQHICFLIAILANSLLLYLIKIRAGTAFGRYRIMMICFSVYSVFYATVETLTLPVMHIHGSGILFYVNSFLKNDLLWGVVITSRFALYRGILNNSVSVAYCACFAFCISTLATHFVFRYIAVCKSTKLYYFDGYKLYLWFLPPLVMFGAWATTIIFIYVPNPETRDFFRNMTREVYEENIDQIAYVGPVYYTWENGKRQFRLPDLLGSLVICNIIGLSFTTCIICAYKTYKKLNDFSTQMSNRTRALNKQLFWTLGLQTLLPCFTQYMPVGLLFVLPLFEIEVGKVGNIVGVTCCLYPAMDPLIAIFMIDRFRNCVFRKDNQSKTRSGRVTALNSDMYSSNQ >CRE11586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1097:3443:6376:1 gene:WBGene00074367 transcript:CRE11586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11586 MNFPAAPIAMIKQKQMDGFWVDDDDEKRGAPQYGSLFPRIKEDTTKKKRPTGRPQKAKENVDDQCIIGLKRECRHQFETIFKIGDVFRFESSPTLNNYSFWINKKHRQNEIYRYTVEEQKPKGLEIQTVIESHHSTDPEDFKLKADTDFKDDGTPRGDLNEKQFAAIRMALNPNRLLVCIQGPPGTGKSHVLSIFLFKLLKDGKQAVVLTPTKEALKNLKTMTLKMVKQRAMKLHPHALMDVSLFKEILNTSDAADAAIKTIVS >CRE03549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1090:6406:8581:1 gene:WBGene00074369 transcript:CRE03549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03549 MKLKTSLICLLPLFIRFSKSVIITTSYGKLNGERVGEYHSFKHVPFAKPPIGKLRFQKPETADKWKGIRQAKKYGPACMSNSTETSSPQEWVDEDCLHVNIFTSDKCLKESKGCAVAVYIHGGDILYDSAVMFNDTFLMDSFVKNDVILAIPGFRLGIFSHFAVDDQSIAPNNVAIYDILKSLDYIQSEIHNFGGNNKKVTMFGHSYGGTIVALLTFSTEINRDLSLFQRAILMSAHQHYDQLEDHIEKTQLFAKHANCLIDSKVIKKMTRSEQDRHTMKCLQAKSGMELLRIQRSMEEAGYPANGGLIYREPLFPNVKQSEFLNSPKEVPILTGCIKTEFDFDPEKIPLAESLDFENPDECETKYRNDLRERKFDRDNHTDRTQLIMVPTKIRVNKLLHKNIPAYLYEFTYPKHARHTDDLYYIMGVHPFQEDENEIHLKKVYQDMFINFIKYGHPGDGFEISNAEKSSYFDVYWNETTGERPRMRNNFEKKVMDYWLKDMMEYDRKITEEKNKGMKKPKLKFNKRDLVSSETYQASISSLLSFIIIVIFLAGYIVGKCCSSRKDLYIRLDGSNFENVKQFE >CRE03548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1090:4008:6169:1 gene:WBGene00074370 transcript:CRE03548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03548 MKNLRFILLLAFIKVSISIIISTSYGKLNGKHVGEYHSFKHVPFAKPPIGELRFQKPETVEPWNDVREAVDYGPACMSNSTWTKSPQKWVDEDCLHINIFTSNKCLKSKNCPVAVYIHGGELSFDSAVMFNDTFMFDTFVKRDVVLLVPAFRLGIFSHFVVEDQSIAPTNLALYDILKSFEFVKSEVHNFGGDNKKITVFGHSYGGTISSMLTFSTEINQDLSLFQKSVSMSGHQYFETLELHMERAQRFAKHANCLVDSKQAETMTRSEQDRLTMKCLQAKSGMELLRVQRSLEEAGYSDLKGVVLREPIFPKVKPMELWNSPNKIPMLTGCTKIEFDHEDEVIPLATVFGFDNPKECEEKYRKDLKEGTVGEQNSLKNYSKLVFADLENHTDKTMAITVPTKIRVNKLLENGIPVYLYEFTYPKHAKHADDLYYIMGVHPFQEDENEINLKKVYQNMFINFIKYGHPGDGFEMSNAEKSSYFDVYWNETTGERPQMRNDFEKKVMDYWLKDMMEYDRKITEEKRGSLEKPSLRYTKLDLSGSGMDQSSHLLFLIFMILVVFIVGCFVGKCCSSRKDNLYVKLDGSNFETVNHFG >CRE03562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1490:2016:3510:1 gene:WBGene00074371 transcript:CRE03562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03562 MDKNRNLQWIFLIFVISQHVVICQKLTENENLIRLRDCGHQFLPQPSQNGSNVSIGYSNETVRNSVWLSWVTQLPNTKELDFKKSAAFPISKRHIFTSSQVVLTAEKKWAYDGVPYKGCKKEIGYVDVPENTLKNLNVSSGGRRVEVLKGRIFACDRTDLNRTYHPLLLETEPLTVPNIPCLADDETIKYKQDAEVHAYGRGGPLVMNVNGKATVIGLKRAAVDDGDGTNYFYNMAVFQNKICEYSGVCFVKNFTEALEKISTTEAPLTKAPEDGGLSQNTPKRPGSNETPQRSASEDSEIPRRPSPDADESEEQKKPTYSEVDEEEDTDILLDKDFNRGTRFGEHELLFVFLFLVLMI >CRE03564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1490:6509:8045:-1 gene:WBGene00074372 transcript:CRE03564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03564 KFQWIFLIFVISQCIVICQKLNETENLIRLRDCGNDFLPRPSSDEKGVEFDYLNLTSGWLLWTSQVLNKTNYKQSAAFPISNRHVFTSSQLVLTEKKQWVVDGSEFKECNNSQADIPDHVAQNLTVSFARTKSFKVLRGRMFFACGREDFDLMYTPLLLETTPLNLLKIPCLAADESDVKIGADVDAYGLDGGKMKHHKIKVDGYTFTKEATDTWIYTTNPYHDVYDRGGPLVMNVNGKATVIGLKASTAPGWAKGNYFFSMPKLRDEICEYSGVCFVKNFTEALATEAPVTPKDSGTPRRPSPDTHEPKERKRPTYSEGVEPKESESDEDEEDTDILLSKDFFTGETRRKNLNFLIISFVFVFLLV >CRE23809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1157:2430:7772:1 gene:WBGene00074373 transcript:CRE23809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23809 MSYFWHEPYWLPRNVTWPEIPSKFADLLVPIYLAIPLVIIRILWESTVGVTYLYFRTNAYASRKNITLLGCMWEHLTGGFASLSRAKKILECFWRFSYYTFAFLYGLYVMIDASWLYDVKQCWIGYPFHPVPDTIWWYYMIETGFYYSLLIASSFDVRRSDFWQLMVHHVITIFLLSSSWTINFVRVGTLILLSHDISDVFLEGGKLVRYDAHNKNMTNFMFVLFFTSWVLTRLIYYPFIVIRSAVTEAAALIQPDYVIWDLGLSPPYAPRLIVFALIALFFLHIFWTFIILRIAYRTTTGGQAKDVRSDSDSDYDEEEMARREKRRLLKKKKKNKVTFGKGKLENSRNLFQVSPSTDDDDEEEEEAKNDGKARHRRAPRKE >CRE19592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1429:1149:1749:1 gene:WBGene00074375 transcript:CRE19592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19592 MERRFQVATRWYRAPELLFGSRKYGPSVDIWAIGCILAELIRGKPIFPGRSELEQISVIFGVLGTPNETNWPKWRTMPDANKLLFEPKEPRNNWSEILRSKEVSQEFDNFLRLHLQLCCDFRPAASVLLMHSWVKKGDSLTEPVYRISKRNAEKNRRDALPPLHVFL >CRE19593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1429:6068:6343:1 gene:WBGene00074376 transcript:CRE19593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19593 MFNFRSRPARFSPSLSCHRMRPGRQRWRYQIQFLLLIFFLPDAVNPVKCYCTDDHCVPYGSCDGTVCLVGILRDSNQVIRTCGTNTLGCHK >CRE03485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:103007:105418:-1 gene:WBGene00074378 transcript:CRE03485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03485 MGLEYCSRLILLFPLAIHLVSSSFSCDTAVSQPKNCSKINLKHVNGTALLQWPEWCEVFHGELKLRNIDLERADFRKLRKINGSVQLINTGYSRMPQTPCLSEIETNGSYPGVVILNNKRLRDIRGFINWDRHFRIQGYTQFPVFISGNEQLDTVNMPSIIHHQFSPISCEKRLTPNYSIQYAEAMSLSFVLISLIISATVFLYPLSLGSANIYFVPGYHKS >CRE02744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1201:5381:7325:-1 gene:WBGene00074379 transcript:CRE02744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02744 MLHQDYIGKPNPFLLGSNCRYMNFPIEKKCINYNFCIPTIHNVYWKFTVDTTNSEETNSVKFSISQKKEPKAYGSIELEVGLVVENLESSEHSIRAIGRYEFTKDTNKMIIDIPGYDRIHDGKQGFFDEKEEEKTFKIRCYIVVKSSRLYDSVELFDFYHFDSTIFDVEVNVYGHQMFLSKKLISLQSPHLYHMIESQNINYSDLPTGCFFHIFHDFLQIIHGVDLQLNNENIDEFLDLAYHLEVPRVTEYCKRQMINGLDGMTTNQMVELAEKWSFWDIVPRKLAQAHCWADLKAQDWDLDELEKDVIDQITRRLFELNC >CRE31375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:115951:117704:-1 gene:WBGene00074380 transcript:CRE31375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31375 MAMTQKLFGNPGGSNVNHVEYEESAYVEPRNRFEEILKEENVRIINEQEDGMMDMDVGEKCVVFGGNLNHEELIRNLERRMETDRGELFREFEIACEESQETLQLYLSPSKSPLGLQDTIFRAFLQIRSTQTKSFDLLLQKFNILAKKTEESDLFLAQTCVAHMRHLNRLFEPQAVFNTIFEFEWRFWSPNVRNDLISALPEIFTDIALQQHTALRLNKEIAETQGISDLPSFQLQIVETLRLLRMDQAVSRQIRMNLCNSCMELDVHCLPQIIAFSLASLLNSGGKTDDDEMNFHEMLRQLSRLLKIEALKKKTNKSDTIVTEIFAHFLKFLQLDKRYWKYIISWISRKAKNPTIKDEPGDGEGVTEEEPPEDPATWLTTFEAFLIFSLLSNSDSCPHGFVAAVNTKFLTVPTRIDPRGTTLFLVSGFHDSTDGCVAMEATFCGNGEERESQGVHGAYEAFFAE >CRE21640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1113:8881:10494:1 gene:WBGene00074381 transcript:CRE21640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21640 MADFLGIVAIVFFYVLILVVGIWAGRKSKSTKELESEVGAQTEEVMLAGRNIGTLVGIFTMTATWVGGAYINGTAEALYNGDRIHFVGLLGCQAPVGYAISLVMGGLLFAKKMREEGYITMLDPFQHKYGQRIGGLMYLPALLGETFWTAAILSALGATLSVILGIDMNASVTLSACIAVFYTFTGGYYAVAYTDVVQLFCIFVGLWVCVPAAMVHDGAKDISRNAGDWIGEIGGFKETSLWIDCMLLLVFGGIPWQVYFQRVLSSKTASGAQTLSFVAGVGCILMAIPPALIGAIARNTDWRMTDYSPWNNGTKVESIPPDKRNMVVPLVFQYLTPRWVAFIGLGAVSAAVMSSADSSVLSAASMFAHNIWKLTIRPHASEKEVIIVMRIAIICVGIMATIMALTIHQSMDYGISVLIWSTLSFFLNFFALSTCREAIHTDHWLDI >CRE21638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1113:2081:2707:1 gene:WBGene00074383 transcript:CRE21638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21638 MEGVLMVSDLSWIQRGVAKEIPDKIKLNDEELKKLIEGAIPESTDNDTDGEDGEEESDHEKPKGIRGKDVEMKDEESEFEKKYMQGYNEKETDEEAGEDGMKGIAMYSTNKEDPYVTEQVDSDEEEEKEEIMVRKDDNMVAVAKIDKGDYTLECYVYNEADSDWFCHHDYILGCSSSLY >CRE21637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1113:1134:1962:1 gene:WBGene00074385 transcript:CRE21637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21637 MKSDRRQEASSNQFSSIFSFVSDRLRSVRQDMVMQNLDGKSTVILMEKMLPFYIETDGLCKMMVVPSYNPKLHDFQLEECFGRWHDEIKSSGDITPNSLISAAFFFRQLHRKPTLLHELFIFRPKLSQDTFNLIRSICSCFYSNNYYRFFCQFKSLDSLLRYSLSDSVFTLRQSAMRIISVAFKTSVARLPSKLLADWLGFPVNIDIFNVFLHLYNVIPDDQGNILVSAIKLVEIPYENLPSRQY >CRE16418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:153552:158346:-1 gene:WBGene00074386 transcript:CRE16418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-coq-3 description:CRE-COQ-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NC64] MLPARSASRFVQNLYRFHSTSTASSVSIDAKEVEKFGKMSAEWADPTGPFSALHSLNRVRVPWIVDNVGKGQGSGPARVVDVGSGGGLLSVPLARSGFDVTGIDATKEAVEAARLSLKVKALERAGIADRLRFEHTSVEAFCQKPENKAAFDGVIASEIVEHVADLPSFINSVGALARPGAPVFITTMNRTFLSKVAAIWLAEDILRIVPPGVHDWEKFITPAEMTSYLEKAGCRVQSIQGLKFNPIVNKWSWMESTQVNYGILAVKK >CRE01455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig800:2463:4298:-1 gene:WBGene00074387 transcript:CRE01455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01455 MDEEYDAIVLGTGLKECIISGMLSVSGKKVLHIDRNNYYGGESASLTPLEQLYEKFHGAQAKPQPEMGRGRDWNVDLIPKFLMANGPLVKLLIHTGVTRYLEFKSIEASFVVKGGKIYKVPADEMEALATSLMGMFEKRRFKKFLVWVQQFDENKPETWQGLDPHNQTMQQVYEKFGLDENTADFTGHALALYRDDEHKNQPFAPAVEKIRLYSDSLARYGKSPYLYPLYGLGELPQGFARLSAIYGGTYMLDKPVDEIVIENGKAIGVKCGDEIVRGKQIYCDPSYAKDRVKKTGQVVRAICLLNHPIPNTNDAQSCQIIIPQKQVGRHYDIYISCCSNTNMVTPKGWYLAMVSTTVETANPEAEVLPGLQLLGQIAEKFIQISDVYEPSDLGSESQIFISQSYDATTHFETTCKDVLNLFERGTTKEFDFTNITHLSLNDQE >CRE01452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig800:1213:2036:1 gene:WBGene00074388 transcript:CRE01452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01452 MPASLPAPAVVEVVAPVTPVAAPQPPPPPPTSVAAAAPMFGHLKAFQSALLSTVRFEPPVKKESDGDDLTSLRLSTMINDDDSEEIKALEREQKLSKLNDEQMMRFHIKKKQFETAFRNDCETYAVVTRALLSKDETLQFGLKMSLLENMEDLYKKMMKRVDDQLDALLAA >CRE23824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1396:181:5113:-1 gene:WBGene00074390 transcript:CRE23824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23824 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3NRT8] MEDRLSTTSSYPSHSGRSVEEDHNSLLASSSISSIIRGTRGHLNNFIESVGNWLVPSSSGRDDDVVSLDSCQSPYSPVRHQYNSGVGGGILMEPSSIHVPDNYYSVTIGEAQMVVLKRYQNLRLIGSGAQGIVCSSFDTVRNEQVAIKKLSRPFQNVTHAKRAYRELKLMSLVNHKNIIGILNCFTPQKKLDEFNDLYIVMELMDANLCQVIQMDLDHERLSYLLYQMLCGIRHLHSAGIIHRDLKPSNIVVRSDCTLKILDFGLARTAIEAFMMTPYVVTRYYRAPEVILGMGYKENVDVWSIGCIFGELIRGRVLFPGGDHIDQWTRIIEQLGTPDRSFLERLQPTVRNYVENRPRYQPTPFEVLFSDNMFPMTADSSRLTGAQARDLLSRMLVIDPERRISVDDALRHPYVNVWFDEIEVYAPPPLPYDHNMDVEQNVDSWREHIFRELTDYARTHDIYS >CRE21635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1073:436:912:1 gene:WBGene00074393 transcript:CRE21635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21635 MKTNSTSVTLISCAVLNTTSRSGEASSAYIGVLTLAEAENRLTNRGEFALYHLSHPAGRLDTLYESLPLMLIYRTTTKKNRHYSIRVSSENQFFVDCGYPNVRKHYSLNQLIMYYKVSSKFSENLKSSTIFRSLPRVKSTQMTLLLTRFPGGSSRENF >CRE21636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1073:1215:2804:-1 gene:WBGene00074394 transcript:CRE21636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21636 MITFCGGKSEAVEVSHFSEKNEFQKSFRFYCFLFPEGDFFNAFWAWHDLASFIIALLLFTIFWSLATWIFFNQKIYIEAIGMCALLTEATLGVPQLLRNFQRKSTQGMSIPMVLAWLAGDLAKTGYFVATGSPLQFWICAILQISIDIFILGQVFVYRKNTAAGELPYTANNQTTTSDSSEGAIID >CRE24884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig955:8857:11215:-1 gene:WBGene00074395 transcript:CRE24884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24884 MDSNDAIDLPAPPPTRESPCGIVHRRDVIVKDLQKTLQTMWMERRDRRWLGSRREHVPEDPEVIVAEEINLSAPPSARDESPAPGHDREQRREHTPERSFVIAGRRLAQRQGVPLMKAPVRRIVIVRAAPPPPRLNDTDDDVVFVGAVINNYDDLFAATHEQPAPILVADRVPKVKDTTNLLLKMAKKPQGKRSKAPSPPVAAGRRKSSRVVANAEAPAPRVARQPYRGRARVEPPAPKLAERRPSGRPCRVAAPEAAQPVEAAPSEGSRPNRPGRPRRGAEPAPIAAPEEPEERRRSRRGRRAVDPVPQPVAAQVAEPVEEPPREEVAVQAALPVQMAPPAGNRGRRPQRVVEEPVELVELPGVAGGNEPPRGDELMIIDIVDPPDVIEIDGPRWCTTTR >CRE24883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig955:2456:8362:-1 gene:WBGene00074396 transcript:CRE24883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24883 MERGANEGHAEPRPLRRREGRPGQPRAIDGMAMDLEEDIERMEAEMEAGAARLELRRNQIQMWARDMDRIRRLFQAEMLRLPRHVPQLVENVVAEEPDEVNNVEVEEARQPEPDLDRRVFDEPEERERSPSPIREAVMPPGAVMPPVPVRPPVPPEVADRINLILQQAQAEMEEDRNRRRRVPIQEVHNAGVNVNEENPEPRLPERREEPPRAIGGMAPRRPLPPLHEDLVRIEAVLEAAQDPLVLRRNQIRMGRDRPLFQAEILRPPRQELQEVENVIAEAAEPDEVNINYEEEERQQTPPPLAIPRNNNDEEERIRRQELVRRIEEEIREEQRGDVRENQLTPEPDLDRRVFGQPEERERSPSPIREVVMPPAALRPPAAVRRRRPEPPEVLERINLLLQQAEAELQEIVRNRRARVPRVNVRQREQERGLEEERILHNPEPHPPARDEVPPRLDYVRAIGGMAPRRPLQPLPEDLERIEAEVEAAVDPLELRRDQIQMLARGQPLFQAQMLRPPMQEPAVVENVVAEAAEPDEMNNDEVQEERQQTPPPLAIPRNDDEEDERRRELMRRMREPPPEVVDRINLILQQARADMEEVRNERVPIQEVHNAGVNVNEENPEPRPPERREGGPIGIGGMAPRRPPPPLHEDLDRMEAEVEANEAVIRRNQEEGMFQMRAGEDRDMPVFQAEILRPPRQEPEVLENVVAEAEEPDEMNNDEVEEDFQRIIPRNAAEADEIIEGLMRRMEEEEREEQRGEARENQLVPDPNDEEGWEEDFPIPPAEIRAIPPPELPDNDRINLLLQQAQAQMIRALDDREERIQREVQEFARNRGPRVPIQDIHNAMVNARQRERERELEEQRLQQLEDVNPDEGVEDLIDVVQFLRENADAEREPHRNRYIVMGNRQQPVLFEADRLRTRQRKLPESEELHLPYSVDSAWYRWSEYDVMDWASKFIRSNGNTQLLKNLEMDGLKLKSFLSKRNQWARVGMPYGMYIQLKGHFNRVLNYLSTDKSGRQLKFQKMDNLDDLADHLFLEEVDEDAFLIRPLNQPPLPQELADQDPAHAEQAAEQLRLDFEEHVRVRFPGVDNPENRDEIIQRLVEGLQMRFMHDIAMNEALQNRDPPPQRNDNQERDPVQQRVPPLPARLHARFFGRPQEPVEREAIRLQEEAPRPAPVPMEQEQPEQPEQQDEPMGVQVHQGVNVVPQGPARYPLRVRQIIHLQVLPYDNSRYSEVVLLRSGMIEMYFSRWKRTGELKPIVEAIRLPVSEKLILPDGCTTNVGLWTTENINQWMTNFIVDPEDQEIIRRHRLTGLNCFHFLRPYARIDRYIMRTPLREERFRVFPPPAPEDPATPPIPEYAVRLLKSYYNKAYNLYNGHRR >CRE07144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1214:9457:10826:-1 gene:WBGene00074397 transcript:CRE07144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07144 MAAFYDEDNFYQNFNNSYFGDVNFYISGVISLVFNIILIYVVSKVKGYKAQVKLSMNLMALLRILFSLSIALTCPSILYSRRASSLYIMKNGINFPNAIGHTFLALFVSFMVMSCNGPAIQYIQVSNMLSFSYGKVHRHISIIPVFVAITSLALIFFGYVPPFYTIRISSLVRRILEQEGDTPYLIITVRLTYDETNTSNTFQLLSQTCTLFILITMFFSIITVILCTVYIQKQMKIRFIASSNSKKSQEQLNKALLLQFILPFITIHIPFYIVVVLPFFGIAWRNFADRIFFLFCWCPAINPILVILLVKKIRKELFTCENGMKSQAQTRSIALVISL >CRE18343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1363:522:2155:-1 gene:WBGene00074398 transcript:CRE18343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18343 METIAQRIYQSFNETLFDDINSYLSGFISFIFNILLIFATSKIKTYTKSVRFSMYSMSVLRLAFSATIVLTCPVIIYSKSIKSLYIIKNGFNFPVAIGDGFLALFVAFIVMSCNGPAVQYLQVAHLLSTSSRKELSKTISIMPIAVVMASLILIFFGYIPPFYEIHLSSFLLEKLAEHGDTAYLIVTVRLTFDSSNEGYTFQLLSQICTLFILIIMFISIIIVIVCYFYIQKQMKIRFSVSSVSKKSQEQLNMALLLQFILPFITIHIPFYIIVVLPFFGIAGRILADQVLYLFCWCPAINPILVIAMVKNIQDQLVPRKLTRKSTSKKVSQNASRSRSVRVFHLE >CRE26007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1566:464:997:1 gene:WBGene00074400 transcript:CRE26007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26007 MIKNVTCLTISDESTDIQSKRILNTFKNLNKLTLYGNPFEETCEVRKLFIQNFGKIRFSGIYSLDDMLLVNSEKAKFTHPISPNQFNQFVKHWIRGSNPRLQRMSLSIDETDSVSRDVLLKGIRCVDVAKKEQLEICRKHKIVSDDMVEIRRKDGTPAVIAVNERRHFLNVHFVVLY >CRE26008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1566:1603:2613:1 gene:WBGene00074401 transcript:CRE26008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26008 MAPTFPLFRLPENVIVHVLQNMYTDELFIISLVSTKFKSLVTSLGLRASNIYIDFSHEIILDVNFGIFGWNLIFSNDSNDQNAEFDITLPISASTLPLRKDFHLSTLFTFSDCLNHIGTVFCYTKPLVLVFWQGSERFEMESLKKTMKNVSCLTITPLVTDIQSNRIMKSFKDLNELTLESNPFEDTCEVQKFFIQNFGKIRFHDTHSLDDMLLVNSEKVTFYRPSTQKQFNQFVKHWIRGSNPRLQDMFLSIDNSVSRDVLLKGIRCVDVTEEEQQELCQKHNIVSDYMVKIRRNDGTPAVIANRDIGNILYIRFFVFY >CRE23247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1278:1405:3992:-1 gene:WBGene00074402 transcript:CRE23247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-5 description:CRE-LIN-5 protein [Source:UniProtKB/TrEMBL;Acc:E3NRA7] MSVDSVLVDSFVQLTNHFATFFSDTESISSMTDLWEKQGGFIAKIMKCDTKEFRNSDLFYEVLHRKMNMVNGSLVEALDPKAAAKGNLLEICKFYALFLEFLRKDHSELLTNVLEKLATEIGFNSTVLLDMFAYFDKLYSQDSVDDWWSFLIRPENVEVLSGMRTPPRNNFINSTFVTPTATARRLRTASSTARRSPIADAVDSPTMKFMRIELQLKQAQRQMLEAEQRVEELEEENGKLKTESRANKIAIDSLKQEVAGKRDNAELAEERVQKMTAEMESKQLEVEAVTKQLAESRTTLRSEQRHLEELEKEKEKLASKLATTTESLEKCMKEMRKLRDTNEMEFQGYQKKESDLEEQLRSVLEENRSMADHLASLEELKTNLHGENKRLTSTVESLSLESARNRQEADNAKTEMSEQRERFQAQLEKCQQDHTERAKMAESTVERLQNELDRAKSDKNGMETLLDELKVELLNTDMANHQNSEGFLSAKACLDGAKKKIEELQVDVKGKESMNLVLSQQLERTKDILRNEQLIRDASTAQFNDRYSKLQNALEDKMKEIELLRENMENIVLKHQNEVAQHESEMKECREQNAKLIEKLELMTTMKSEVEQKKCYLEERIKLFQEDPPSPIERCDTPDSLVEYLSKEGPLETEEELRRNVEQTPRKSIAFNFDMNSIDSIRGTPIGFKSNPRESICSNYDLFERCSTARSSMRSETNTLASTSEFKPPFTPSGTTKERIGILTSRNEKVKPHLQSSYVVEMADVNSPSADEENVRKGGGVEKKKKRNSIFAFKKH >CRE06569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:568599:579500:-1 gene:WBGene00074404 transcript:CRE06569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06569 MNQGEAEAKCNEEGAVLAGVLNQDEVNWMADTKTTRSSSRISNRRRFENQCSLRPPKSQFETKTPRYRLKKKELKAMPPEQKIDHVRHQNLKNSAKYRQRQKVKLAEKEIIVEELEAELKQAETESMEMENEYKGTEIDFFLKDAITHNGHHLENLNPSITDKYTSFLNQARILQVWDAITYETVPLPIIIHDYIATYTRMSNNRRSVAAVYLEDYGYTLKFPFSPVIADVLGRYHPIEVCAVRIQRPSVNSDID >CRE02739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1121:11309:12940:-1 gene:WBGene00074406 transcript:CRE02739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02739 MDILKPFPILRLPFLALEEVFKAMVPFEIINLSMISKRAKAITKQMNFCPKYFIRLCIDETLVIEMHGTNRLVSCTYLMTSDKQMDGKIEEYGNVYITRSVYKYSTDPIEEWKQLSKHILEIFKKLTIDVLTLHMDALVDQNISIIDFLRTNVKSVDLCYLFQWEEENDVDDHAAYLLSNLKVNDELNFYVNIKNDNFNGKIPKNLKELHILTRIGLAYEKLLEIDSFLMKLMR >CRE02737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1121:7917:9093:-1 gene:WBGene00074407 transcript:CRE02737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02737 MSPPKPFPILRLPFLAIEEVFKAMDPIEIINFSMISKRTKEIGKRMSFYPNYAIELYVHEMPEIRLHGTKDVVSSFYVMTSDKEMDGKIEEKEWGRYIIRKVFKYDPIDEWKQWFKYVMEIFRKQAIDVLTMTLTTFVDQNVSIIDFLKSNVKSVDRCSLYQRDEQINVDKHTAYLLDNVKINSELCYDAYINNDDFNPKIPKSLQELRIYNSKWIEYERLLEIDCKSVILKNNPISNKEWNVFVKKWRVMETNQNVEYLELDYREIEEFQELVLHDIPHEVVDRGVRRVLKTRRNKTKEISGGIDIRRIDGKTATFFVYHTSRIQFFAMSIH >CRE27937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig844:2:1201:-1 gene:WBGene00074408 transcript:CRE27937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27937 MFWSLCCLVFFVDLEWDQLVYCSFFDGSFIHFFDRLYEGRCSYQFSDSSETPLEVKKSTKVILKEAKIPAKLVRCIIDNQHLLLFFQISEWKDKESIGVLEHVILHKFNQNEELKAKLLETGDKMVSGTAYQGLETNSFIHSFFTANPWFSITLVEKTKLPYEKLDHIICGTVIQGCKTSNREVALLAGVPDNIPAHTVTLACISSNVAITTGMGMLATGNANAIIAGGVELLSDVPIRYNRKARKAMLGMNKANDFLSKLKIGGEIVKNLLSPELPAVAEFSTGETMGHSGDRLAAAFNVSRRKQNEFAIRSHTLASKPPKRKLHRFRTGVLDGKKPKLSGDNGSVSPNGCASEPHGLSPLHASIRRRASLLSYD >CRE02727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1081:118:709:1 gene:WBGene00074410 transcript:CRE02727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02727 MRSLLAVLVVILALINATNAQWGFGGMPMGGMGMGGMGMMGGGPYGGMGGGPYGGMGGGYGGGGGWGRRRMMGGMMNPYMGMMYGR >CRE02728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1081:1371:2027:1 gene:WBGene00074411 transcript:CRE02728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02728 MFGMFLTVLLVPFVVQCGKSKKSKKKPLVAKGKNSKIEKNKKSKKPSQKQQEMQSTDEAKSNVNEDDKEKNSKKSKKADTLMDSKKSKKNDDSMKAKSSQKTKTSEASLKKTESEENKPTTPKNEEHLTLTPENPIPGQVSVPPGIEQEYKPPPEHERPKHPGFEAQLEPGEENKTIQQVVQFTDAQDF >CRE02730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1081:2166:4738:-1 gene:WBGene00074412 transcript:CRE02730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02730 description:Thymidine kinase [Source:UniProtKB/TrEMBL;Acc:E3NQ81] MCTMDAEAVKNEMTCCSSNSSLSDFNTLPRCPNRVGSITVILGPMFSGKTTELLRLHDRQIIAKRTCVLVKYAGKPFPQISLLLPYANEIKQVTAVCVECGSQANFSFRSTLDKKVEVIGGADTYTALCRECYVQKSEEKDAEEQLKTGCDKNENDITGIVMAKKEQRSDGSVSPPRKKIGLSKSVALESTVRI >CRE02731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1081:5094:6541:-1 gene:WBGene00074413 transcript:CRE02731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02731 MSAQASRQKKTDQDQRAADQALLNVTQQFSGKYERKTFQAAIQDNDMEQDENFTIIDKLESTGISSGDISKLKEAGYYTYESLAFTTRRELRNVKGISDQKAEKIMKEAMKYVQMGFTTGAEVHVKRSQLVQIRTGSAALDRLLGGGIETGSITEVYGEYRTGKTQLCHSLAVLCQLPIDMGGGEGKCMYIDTNATFRPERIIAIAQRYNMDSAHVLENIAVARAYNSEHLMALIIRAGAMMSESRYAVVIVDCATAHFRNEYTGRGDLAERQMKLSAFLKCLAKLADEYGVAVIITNQVVAQVDGGASMFQADAKKPIGGHIIAHMSTTRLSVKVIRGHSLTVLFFCRLYLRKGKGENRVAKMVQSPNLPEAEATYSITNHGIEDARED >CRE22231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1311:4173:7215:1 gene:WBGene00074415 transcript:CRE22231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22231 MSLYQILSINEKQQNVDLNVWAIQKWNDDFLGWNPYLYGMINTTILPFDAIWLPDTYLYNRWGLMNYEKKTERYINVVVTTSYWKGEKGAEVKFMYPALFFPYGQQACKLTISSWTSSKSDINYEPEYESEKFVCCPEPWVLLEAVLVVRRKPLYYIVNLVIPTSVITLVAVTGFFTAASTSSERREKLSLGIDSLLAMSILMMMVSEQMPTSSDFVPLFGIFYLSIIFIIFIGTLFTAFILNVHLQKMYAKPVSPIVSYIFFGRVSLLLPSALYFSIHGGIQIAQWLRMRPPTMLLELWNETGVTFGKKYKMKAKRMEMKNQKMPKVTSSSSGLNLLKSNSGAICEWKGNCFRWLTRLEDRSFEKSNNGFKNQINTQKSMDDMKREAARRNWRRLVKKINSNKQNGVKNCGDGERGQLRQAGRKKVPAAISVPHGEPGPLMLSASAISAFSVTGDSTILESKLKRRYALEWEYLASVLDRVLLIVFSLVVFTVTSVMILVGEAMHLSYELAAKEF >CRE24347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1875:9370:12721:-1 gene:WBGene00074416 transcript:CRE24347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24347 MYSLTPIQKSPVTSSQTPSSSTRRRMSRINLKKSSAATTPTSPHCPSPRLISLPRCASSSIDRKDQASPMASPSTPLYPKHSDSLHSLSGHDHSGVGTSDKEPPKFKYKMIMVHLPFDQHSRVEVRPGETARDAISKLLKKRNITPQLCHVNTSPDPKQESIDLSIHYKVGSGSFGTVYRGEFFGTVAIKKLNVVDPSPSQLAAFKNEVAVLKKTRHLNVLLFMGWVREPEIAIITQWCEGSSLYRHIHVQEPRVEFEMSAVIDILKQVSLGMNYLHSKNIIHRDLKTNNIFLMDDMSTVKIGDFGLATVKTKWTVNGGQPQQQPTGSILWMAPEVIRMQDDNPYTPQSDVYSFGVCMYEILSSHLPYSNINNRDQILFMVGRGYLRPDRTKIRHDTPKSLLKLYDNCIMFDRNERPVFGDVLERLRDIILPKLTRSQSAPNVLHYDSQYSVMDAVMRSQMVSSTYIPPATAKTPQSAAAAAAANKKAYYNVYGLI >CRE24346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1875:7222:8572:-1 gene:WBGene00074417 transcript:CRE24346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24346 MSEEWEELLIELKKMPRGVESAPQYLRHIMKMFVADFEMSVSKRLDMKYWSKLKKMMDEMRKASENDRVVDLNVQNLAMGFMTELSLLVDCHYEIHSFGQDISPHLRWNSQVYSDRKPVKSKKNCRVFMAYILLRIGDLMRYKDCLPKARELYEQSCRINPADGAVWNQLGLISVLSAQYLESVYFHTRALHASLEFPSATGSLTTIFKKFANRDISKSMPVNELYLSCLAKIHFILPLSEERQYPLKKSHLIEIFKGAIVDESEGTEFNTSTEQASSNNSSPLKPTSRSPVKAQRREDPESSDEEILQRGRRRGRAPRLNESNDTDDELF >CRE24345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1875:5083:6953:1 gene:WBGene00074418 transcript:CRE24345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24345 MLGTCSLNKLDTTKLPESSVAFVKNASGKTLARETIIKYTPSLAYAVVQELDSTILGKDILVEKKPEDDLQLKLITIEKVKENRRLLPIAQQFHTVPDGRHAECHMTDVLSTHSLGTYNLDLKKNVTIDSFENFQLTDSWRDDAGFIVTDRNIYIQGTYDVAKLLPTLLPSSRKSMTLHPKKRSKNISEKVTPYTKTDFDLVSDFDSTVCGFSRDAYLTLLLSSSSTILLAKSSGSIDGIIVGNGSDRINLIYAETIEIAHALLKSYVEKTKVKQVILFTVQGIWECEPTKKRAVHRRHTRAVPSLIKWAKIYALNMGVHIV >CRE07157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1574:1682:5361:1 gene:WBGene00074419 transcript:CRE07157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07157 MYDNTIESLGEYKKSDGFGCILAYSMGLGKTIQVITFSENFLRATKAKKVLVIVPISTIQNWYAEYDKWIPKFSDTGDRIRNFEVFLLGDAVKSFDQRVNLIEQWDQKGGVLLIGYDMFRLLIKMTVPKKAKKGRPKLNLSGVSAGLSRDQFEDSKDEEIEFETGYTNGGRIRQEAFSFTKQFYNNTNRLFQYFEVPCSNQKLSFVMKDTKSRTSLLAINTKRRIVLTGYPLQNNLMEYFCMIDFVRPKYLGIRKSFIERFEKPIKNGQCVDSSPEDVKIALQRTHRQLYRNFVLWAKNEIAVNNVTVFNPLMAFSACSKIWNHPDILFRVVEQKRKAEDEKKRAEFMKLQVQQHQQHQQQMMQQQQHGMMMMMSQNGMMPGFGAQFHPQHSNGMNGMMMQNGSWPPNNYQTNSPFASVSSNPSTPSTSAGTPTKAGKKQRKTKASKKSAAKSDEEEEVEEKESRMRYDWTFQLFETYQEGVLENGYKIVVALEILDESTCIGEKLLIFSQNLTALDMLEEILRKRQINGKNGPGERWEKNRNHLRLDVCRVVSIDTVYRRRRSFIVLSWITRWKGRFLIDKSQITKELETLLMYDEAQDVKNDTWNTDDWDFGDHVLESITKKMSHLFSQKPFLHETLIMESEQSLSEQEKLEAQLLFERERRMENYDPMSGMNNPNFYNNVPGTSMGIMGPPPVPMFNNSYNNQWNNQMPFQPQPPYPSFSPQQIILPTTNAESLISTDSSPASISRSPYDAKSCNAANSAASYSSYECQSVSSTRKKPNVTNAGIVQSLVTDRALVFPVVGQREQLRSVPVNTPIQLIIPKKGFYVKLSDGSILDAIGSVFENHSQQLRNVYLNKNTNPDPFLAQEVINLDGRYSKAAPVTSSDVGSRDETKETTIDTLTIETRRTVKREGDIHFEETTTICFPRVLPSNVRIINAQILPVSQ >CRE07158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1574:5744:7048:-1 gene:WBGene00074420 transcript:CRE07158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07158 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NSJ4] MKILYPKKVHLLRGNHEVRRVNALYGFKEELVRKRNDHMWKVFNDVFAELPICASINRKILCMHGGISTEIKSWLTLSEMSKSRFHKDCENGIVVQMLWADPNRTEDKCRFNRPRGISNLFGQTAIEELCTALDIDLVIRAHELKDNGYAFEFDNQLLTVFSAPYYSGCNSNSGSVVTISRSLKLRIVTLKPIRGHDSMVLERQTAEEFEGNFQPLNDDPLKTVTCQYNMPKDREMDQHIDCHSMFAHETKHCKKINEGPLKPLVCVKTAEENTALATIRKTMKGYGMAISLKDESMSLESIRRKLGITSGKDKEKEKDKDILPPPPVPPVKTPTPDPPASSPPVSPSPHSSAESAEMKIKTELLVTKHSY >CRE19563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig603:12341:13271:-1 gene:WBGene00074421 transcript:CRE19563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19563 MSSNSTLWPNTIHFNETYMNYRIQWNGWPQICAILPWIYILPSFHVICKIFSVYLSANWTRPEPGLNQHVFLVISLSQLTVFIFFLFDWFMVRLPSTGLFTSWCASIDPNHFLKFIFMMAYYTNYCAMIFPFLMPVVRLVVVSFPRNHFKKLTISRLTQGQ >CRE01475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1160:8313:9191:-1 gene:WBGene00074422 transcript:CRE01475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01475 MFDLFESPLGKGCFGEVWGGVCRLTGRKFAIKKSTKYTPVDSKNFLKEARMFQRIPRSPYILKYFKSWVDSDQVFIQTDLCHMNLSDYSKNGPTEEIEVWWVLKDLLRGLEHLHRANLLHNDIKPENCLLSTGGFWKLADFGCCSVSSEPITAGDEGDGRYIAPEVLATMTPTKASDVFSLGLTVLEITTYLYMVANGAERQAILNGQIPNRFFKSVSLELRGLLGLMKHLDPGARPNCAECLDHPTVKRVRYRFKFSGSVKILVDNASDANDSTKRKLFDGNNNNLKKRMM >CRE22258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2511:576:758:1 gene:WBGene00074423 transcript:CRE22258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22258 MNEKRDVFAVCAVNEEKGSFTGEWQRVLIVDCEEFAEVRFLDSGGRDMVLTSSLYRIHSQ >CRE03533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig850:13655:15788:-1 gene:WBGene00074424 transcript:CRE03533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03533 GRRPLHNVLCPRSGGLQLALDNLSTLDAIYDVTVMYGQMRMAGRRGLAPGMFDFCCGSQTYKQLHIHLDRVPIEQVPKEKVALRNWTIDRFERKEKIIDEFYSSEDLSSSSSQTALPCVPISQTLPPTLFFSAALIAPFFSPTVGKIYLMTIASSPLLIAWLHIRRCV >CRE16518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig825:14771:16051:1 gene:WBGene00074425 transcript:CRE16518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16518 MMSVQLINSWITVGIMSFGLVTNILVWITARKLNSMRSSFGIITKNQAICNILMCLLFLIFVGPLQFTPLKLPYQASRFVGTVSMIIYEIAAQLNFFNSLNRFCAVYMIFYYDRIFSNFNTYCLRNFAIVVSVAMCVTFYEFFGCYLYFETEFWYFAYPEDEHCDSLTWYCDFVFNIGLVVSTMFLNLLAAYKAGKLHRIVSDTTGIRMSKDQKKREINFIRQSFFQGLSMSVALVFYRITTPMITDKILLCLDANLWAFMLAFEGGIILLSNQEMLMAVKKKRHGSLVDFIQTNHSMVYFRTDIVSIRTGNLDYVVSNHG >CRE24697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:72467:73546:-1 gene:WBGene00074426 transcript:CRE24697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24697 MLYQLINSIITVFIMTFGLITNILVLTTVRKMSSMRSSFGIITKNQAVCNILMCFLFLIFVGPLQLSDFKPSYESSRFVGLTSMIIYESAAQLNLINSINRFFAVYTIFLYDRIFSSLNTYFMITIAYVISVSICVTFYEILGCYLYFESEYWIFSYPESEHCNHLTWYCDFIFNIVLVVSTLILNLLAAYKARKLHRQITSLDQNMMSYQRQRDLNFIRQSFFQGLSMSVALIFYHITAPLINNKILLFLDASLWAFMLAFEGGIILLSNREIFTAIKQKKTETTSSVFMLDLHCTR >CRE16540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1305:220:10797:-1 gene:WBGene00074428 transcript:CRE16540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16540 MESRDERTLSLLHLRKTFSEYLKIPVSGSRSNDPSRLLPLFHKVMSMYTPQQLAAEFKEVVHFATFLCSVLVKEVRQRAASTGTIEAAQSIAEFLRPGTELKGFSILDAIRFLLSSEDEIMIDAACKVSLPSTLVKTIYLFFDLPAAATLTPENTENSSEAEEEMRRNNEKLHEMISQIMEGLCRFQNSAYLTDQLLDEFYEADGYTYSSGLVQLPLFQLPIPAGNGLSVRNLNAFALLYHVFLESTSESTSCTVIDILHSIYTCDPANYFILDREYPLSIFIDQLERKPHAVRVKLLELIEFAVFQLSHIPCRELISLCVLLKTEISAGKTAMCTIIVQMCFKLITVDAIIKDAFREVGLLDALCYIIRRMYEMYEKNIQSASFTATSTCSRTQDQLKLSLLTTDLLTIIIKNNTENGKLFTECFGAKLLVEIVCEVNEDWRSSLLQLVKQLLIVAPTDQYIVHLVNTLQDRIPIENLEVMFSLLKALLGVVRESHKVRIQFRKSGGFLALSALLLGLEKRFSDVQPTDDGRIPREQCQMLEFVHIIFKVFTLSMRFEPSNAKYFATEITWDSITSLLRLTSIFNESTIISIEESEWKTLTHTELASEISACHEVFRLDDNIEAGNVPKGMPFNIYFGCYVCRLIFNMALDNYEKMTTDIKWNEDGASLEESIVSWTSSLLVHPGAIISMLCLLPSISSSTSTSSSTQSGGMKWTVAAQYYVSLLLKAILKSERNQQIMCQVDMPKHLLRIAGKLFLTENHVLLQSFYYLLERLSYQSLTPNQLRSFLRLDSPLCCRSLDDDDDDENGNEVANNEGGPVPLQRVKALVSMMTPRDQYVGTAPSFVEFDMSVEGFAALYLPSLAPMFSTTKTERIFPPLNGFSFCTWIYLDSLSDKKADAHPIRLLTITRAVGPANSDISAKKSSQPAHLACFQCQLTDKLIRITLADQVRCGEWFHLAVVFNRSVLKSSQISVYLNGRHISTQKLMYVAQNAGAAATQLAQTYSVNAAVGTLPALRRPSRLRFRLASIFLAEEPMTAETVRSVVQLQPHYIGNFQTASAERTPLFHEEKIVFSLSAAATQELTLAKIRTMYGKMDAEILSQHLGISPNDHSTPLRVLCNTISHAPGAGRTFGGVVVGYLGMRTFTPRPVPSLLDSIGGFASVYGLIAMAVDSEGVYASLKSLVSAIRSQPRLLATWNNNRAYQILAVLLEDKANMLNSHIMHMVFNVTGTADTSREXXXXKMLLEHFYELITDHQLNNLQVVRRSSLLSRLLLLIHDESSMIRNTDEIIFNLISAIIQPQCDSRSILKIGQCIAATLPTSEAECYEDSHLPFHISEIQKMFLESTEENCSEALHHVYIRNRLLNIIANFLSNSNAQIQQQMCEQLVRTLGFDWLFALMSPGVHSGTIYLALRILLLILNQPSLLTRFKEGSANGGWLSEADSVVRNRAAVVLGFSVSAHGGAVGSKIDINPELSNCGGFAALEHLMAAHADKPYPYYAMLSVLVGQPVPALRFCDQFNMELVWTHVFGLSSTSSVFEAINSANFCFDALIPLLAMIRSSIYNQSTIHQQWTITNPSTVIQMITFMYQNSPAFFNVAHSDEFILALFSTLIEDTNAMGMEHQMQNISKLVSSEKSYFVESFFLVLAQPNVRIVMDLLKKICSDNLQVNTSKNDTIIDVILDNISESGITRKTQVACLTALLHSVLEHTVSTDLLASSALPPNTQSQNLVQIVSNISVMSSRAVDAFWNGLVFGNESMRMLSTLYHLQVIASKKVNKAVNPEPITGCIMRMTLFILSRPIDSVPVQLSVLDALSTLVSKRYLFLASNEAWFFASLTHLIFMLSVTPDVLFQVCHRIVLIFLFSSIQDNSSDLDRTSAQVAMCACRVWTDVICAKQGLIEETFKKQSVADINAARALLSHSAGVYWQQFVDSQLRSIQTGGVASHNSAVTAKDIIQQQISSKLNRVASGITRFAAKRSMSTTTALPSSGPGSVAAWKNTSSDKQVIFMWLRVHVSLIKELVRAQSTRYTEWHAHVRKWCLHDWHQWEAELTRERGIWGPERASKLEKFKLDLTEGPTTRMRRKLIPNRSFYHVYPFRPHLEAPSAKAQRAKVAISLDSKLYYEACLIHRRRTLDTRIIDSSSVSVTSPDDGSGSSSNLLGYSFTDLS >CRE13817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1061:35307:39029:1 gene:WBGene00074430 transcript:CRE13817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13817 MSEPQPQPHPLDAVTWPKRTERLVLRRAEAGDIDALWQHRGRDDVGEWLGWHPADRADWDASVPARLPDTLVFELDGRVIGDVMISVGNAWSQREVRDHAVGVQAELGWTMHPDFEGRGYATEAVHEAIRVCFEELGLHRIQAGAFTPNEPSQKLMRRVGMRLESSSVRDALHRDHGWVDGVMYGLLAEEWRRRRDGRAPRATILHGFTASPADHWFPWLAERLEAAGFEVSVPELPDAQDPDADAWQAAATEAIGDLRDGDAVIGHSLGALAAARVLAERARRTGAGALDPADRLGSLVLVSGFAGPVEPIPELDRFAAAGVDLAGVPAMVRSIAVLRSDDDAIYPTADTYSLAAGLGGTSDVMPGGFHFPRAARNERTSPRRCGRPRPSARDEERDRAGQDPDREHHERHDRRPPQHLLVVVAEVHARERDEQQDGRHERALQVHRLARDRRARHLDGVDAEVRGALRQHGEDPVVEGVVRHEECEGDRQHARDRRQERAHLGRQDARDPLRHRVDHAGAREHADEHAGRDHHRHDTDDAGRVRHDLGRLILQPAEVQDEGDARSDHEHVRQRHDVEHQQHHHGDGEHQVRPDELGSQRRAVRIEHGVGHGGVGRIDLGGGHRGSADPDGHEVARVQRGTSARLAVRTAEQLRYPGQQTAVGGAVEARRVDAAQTVALLHAQHPGEAEGHDEADQHGGDHRHEHVRHLQVQRGRGAHRGSAPGEDVHRAVHQTGDAGEHHRAHAEPQVDRQHRGGRDDERRRSVAVERDRGGEERGADHDLRGIVAEQPGDETDQRVEEPHVDHDPEEHDREHEERRRRRHVADRVEHHVAEPEPRSREEAEDGGHEDQGDHRGEALRHDQGHEHEHHREPEDHEHPLGRHECEVVRGLLVRCAQQQLGHRRLHQMTPLSSDRRERPAPMLGRNRTRLRVARISSRSARSVGSAHCARGASGVRMRRMESMARPDRARLRFATRVLLLQLGTVVLVVALCTGVYLFLAVQQLRASSQTAALGIARTVAEDPTVRELVAEFSADPGTPAAAGLRDGELQRTAAGITERTGVLFVVITDDHGIRLAHPQADRLGQEVSTPYDRVLQGHEVVDWERGTLGI >CRE19583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1229:111:1324:-1 gene:WBGene00074432 transcript:CRE19583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19583 MFQITLKLLLGILLGGISFYGLVANFLVILPVYRLAFVAKRSPIYIISLVNIFADVFNLLLTICYLVPLILKSAYIPSSTTDYQVSVIFGTGFMFCWYLGSIAQIIMAINRLVVICFKSQDLFTRKVLIVIFSFIFPLCIAMTYIAQFGFPCCALVYDSRILSISYMSSGEKNFSNMFIDVPLNFTTSFTALICYSLITIKIWKSKRMVSPNTDSISSSGNKEYAYATQFCLITIFYTISWLLFRIFPIILDNQKVEYYCFVMIAVSLNNSANAMVYIVFNKEVLLTFTDNER >CRE19584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1229:2753:4270:-1 gene:WBGene00074433 transcript:CRE19584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19584 MVPWTLKTFLGCGLGLLSLAGLIVNLLVVIPVFRLAFIQNKSPIYVISFINIVTDIVNVVMSTFYLAPSIIFETYFFTEDKTGTIPKLMGSTFMFCWYLGSMTQIVMAVNRLVVIYFRRSDLFTRRNICKLFALLIPLSFFLMYMAQYGTPCCFFVFDHVVLSYSYNQIEGLDNYPNMFIDLPLNTATSVIATFCYAMIVWTVRESTKGIAASMATQHMRRSRKNREVTYAMQFCFISMFYTFSWITFRVFPVAIGNRGLEWFICISAGVTINSSANALVYLISNQEVWRNLKSSGLNIFTRASNSSDVANNSADGHSIVRHSHNTTTNTTSKY >CRE14885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:95217:96538:-1 gene:WBGene00074434 transcript:CRE14885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14885 MEITLKLLLGFCFGFLSAASLLLNIIALFTVFRLAFVLRKNNVYIIAFFNILSDVLQMTMATFYLAPSIVTSSFLISTVKKSTWTMVFGSSFMFLWYFETITQVVMALNRYVIICQQKHKIFTFTTTILLFTFLIPFCFVLMYNSQYLNPCCSFVFDQEYLSYSYYPIEGIPNYSDKFDLPLNASSSIISAICYIMIFWTVHNSTPTFSSVAGEHQKAKRNRDIRYAIQFSLLLVFYVFVWVLFRVLPILLANRHVEWFILVPTFYTINCTSNAIIYLGFNSEVQNNIFPEKLFSVLHFIGLAKKETPKFSMSMISVTNQSRTSVGPVAHVAIRFIPERKHQPFRSIGMVHENQQHMT >CRE15835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1506:5017:5184:1 gene:WBGene00074435 transcript:CRE15835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15835 MAQAEPAPEPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPISR >CRE18336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1243:8141:12141:1 gene:WBGene00074441 transcript:CRE18336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18336 MSSWFSYFGFSKGPPLEEVTEESEEEETQVPEHVVPKTAEEELAEAMNRLSPEQQSLIQDVLRRAENSRKEAKVVVDAEMMRSRYRQRESIEGSQEIDHRYSLVQMDSIPENMVTNEMEERAASQREFMHESSQKSPISPNVTRKLHEKRPSITEATTESLRNRFQKMKSHLTTWFNSLDYDGEYTFDFTSKHDKSEKLSDLTMQYIDALSQAIMISSHIEYSHYLLSSNPDFHLMCTNFCESIFNLAFNDLADQTVDENVRDKLNDFCGRIAEEALQSAFFMMVSKTLSSSKECENIINEISLLHKSRSFESAQQLDQFLSKIENEDEDRESSRKSSPYMYEVLGTSSESPSSSSSYEFKSQNSYESEVSNETPNVHVEELFDLQPYDTYKTDDDEEVFRSENILFECSQTSTPDNSLKEKTEEATSFMTPGEEHYIWISGPLGRINEEHEHDDEDDEVQTESSSDSMIMHENSSEPIMQLNVEEYIQTMIEKLSSHHSIHEAPRFSCKKLWSTFAYDRSSPLLEPVEEPIFEKKEPELTQEEIDHIVWIQSLAEQSSFEQAAPPTRPPVPQRMATVEEPIATTDLHEEDRSSATSGADIEQSLDQEVAYDRSSPLLEPVEEPIFEKKEPELTQEEIDHIVWIQSLAEQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADIEQSFDQEVAYDRSSPLLEPVEEPIFEKKEPELTQEEIDHIVWIQSLAEQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATS >CRE18335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1243:1837:4643:1 gene:WBGene00074442 transcript:CRE18335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18335 MEVSTVNDVKIYNLSAGKSLPEWMSSRARRKLEQRNTDVRQRIQLIQDFEMPDVSNTVNITPDGKYVWASGNYKPWLKCYDLNNLSLKFERGLDADVVKLIPLSEDYSKVVILEEERWIEMHAMLGRYFRMRMPCQGRDMALSEESSDLYLVGSKSEVYRFNLEQGCWLTPFEATAPLNCVQVCTEHQLVICGTTNGVVEAWDHRDRSLCGTLDTGASVNSYIGENKSIAVTSLAFSDPLHIGVGTSSGQIVTYDLRARRPLIIKDHNNELPIKKIEFIKREDGDVVASMDSRMLKLWYEEDGIKSMIADEREESAVKKKVEKLPAVNKSLAARLRDEAAAADKKAETKKEKKKSKKSDAASTLLADDRFKKLFESEDFEVDETSEQFLKNASIAQKMKAKGPVEVDSEGEEESGEESGSEVDSEVQASGSDDEESNSAVWMEEEVHGTDMTSDLESASSDEDVDQSLKKKRAKVRAARKIERNIQKSQKYDERKEAKKVRKEQRLATKPSKFVLHSIGSGETTRKFVDETVANKADGPSEESMALGDRKTASNRRDRKAKKVNEDEVPFGGREMTFTISKRDKDLRAEAAKSKQAAHVAERKEVTRRPNALVTKGLKKLPGNLNVGKRQNTF >CRE18337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1243:34:904:-1 gene:WBGene00074443 transcript:CRE18337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18337 MARQSHGTPSRSSERSGYALLFVCFKTILVYIYSCRKQTSHFQVVHDKKKRSKRDKKNSSVKSKKSSGSNDSGFKKIFDHGLYNSHYVEYHVLLKNGKKIKAVEFDFKGNEQMLADYKFKITNQEDKPGEEYLVEKIVAHRFVKKSNKLLFLVMWRGFPNPVSHTEMWESELEDCKDLVDQYKDSHDMTPPKKPAKNKYAKKARSRKSKIQLLDEKKIMMIKKAEQPKPTNPEELGRPS >CRE22221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1111:8652:10468:-1 gene:WBGene00074444 transcript:CRE22221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22221 MWVILETEADETMDTATCAVSDTDGRQHVAMKHLVLERKASVTMKKDKIRVLDDQSVEIECIYRGGGRDPKISFEKDGKKVTRGFLNVKKTEAGYVAKWRIRKIKENDAGIYKCIVSSGDSDPVEATSEVIFATETIPVNPKLILQCCEDEGITGDCLQACNIGRAALSAKNQNCTRFATSLLKCAEDIRDHSDCCVASGVTSKCLPLCSGDSFSPDVDCSEHAVSIMSCFVKSHEHAPTEVTNVRVKSSEGKTMVEWDYPLTKDYKYFAVYYHKIHDSDDDWHKLKTIQQNIEIDLDPSEDYEVGILAANALGHSRLVYAAVPKDMEAHSSSSKQGSSSAFWIIVILIVFGVCIAGLAVLGKRRELPYPFGKFIGRRNDPNQPTVAFENPAYGEPWSGAEVEIRGLGGSSGNTGAAATQSEWQSANLEANNTTDNSHEYRNGMRYAKLET >CRE22218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1111:7501:8630:1 gene:WBGene00074445 transcript:CRE22218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22218 MTEHYPSYIVDAFAKKRFSGNPAAVCLIPQNKKDEEYLKIASELNVSETAFPVPIGNSDYKACSQFSLRWFTPTSEVPLCGHATLATSHILFNEIGNSNKELKFETLAGILAVRRDESGNVELNLPEYDLTSIKFHHTTNPLHGIFSEFKAPHFLFDIVKCIVPTEMAIEACVYAAKPRVLVVVVDPLTTKFELEAVKIDVAKILQIQNNGFLQGIALTLRPKNALIQGFTDSSDEPFDYACRYFAPWVGINEDPATGHAQCAMGPFWSKITGKRELYALQAFPTRGGLFRLKFQDGRVILNGPSVTVLRGEITLDEPTFY >CRE30038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:624260:625434:-1 gene:WBGene00074446 transcript:CRE30038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30038 MSVPLPSPAPLPGIHRNESYINFEVTFFTFPMLLLVLPIFYMPVTIIIILRIFVKLLYAIKDKNVNVPLFSAICISHIMVSCDSREVIHQINFQCLLFFICDFFYVRLMTSGVFTSWCASVLPNRYLIILYATTFYFNYANMLFPFLVSTMRLILFAYPQNQAKVLTWDDLRFAPFINRTILRTALPIIFIYPFFSIFFMFTAEGFCVQARSPFPFGSVVIAFQGSLFQLRNNYFLLFNNLFWMSSCLINNSILLVKLVQLKMSLSFQARSQKSYKAEVSLTFTTFSMIFSYLSNSMIVIAAQLGGELSYYAIMLRPFGNDLETCVVPWVFYLTHPIFRRKTNTLRVVP >CRE07905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig815:1792:7373:-1 gene:WBGene00074447 transcript:CRE07905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07905 MAAATAAEIQTNGGEKLFNLLIPATIGVALRAAAATYSDFFEERVEFTSSVYSFERLKDGIAMLDDGLDPFQAKNSYFPPLTLHIFRFLLKSFPTLLLPIWILFDVSTAYMASQAAQFVWKSLKKSDEETKNIGKLVFNLYAFNPITIVSTGILSLTIFQNFCFAAIFLLFVTVFFCSLKFSSIQPNVGLYWYFFVQIFEHFRSFYTNSFVILYFFMPFPITCMIRKDPILHFTIIGLLASIFFPYPTLNQVSLIFAILPLLEVYRKRKLKIKRGLKSYFQIPILLKILRNFYYCYKSAPGHFAAMSSTASILPFFQ >CRE04451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1571:75:1824:1 gene:WBGene00074448 transcript:CRE04451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04451 MIYSLYTYKTIARVRFDTKKEEEIEYHSYAKSFNASLYSHPPNPSLGYGNKTTGSNLYNVLKKFLNNKKVSLCGAQVFIAVKRYPDESDISDIITQLRSNRVMVYIVVDSIPSGGSNSATLYDMSFQTNGYCVFATGNDLTKGFGWMTASLLHPYQFLAQNFVVSGSGRIEIPAFKNPIQEGQSELVRFATTVQNHTLDNSFVSMNYTIAKADGSFVYKFPGRYVSPLYGTAQSVVMFLNGSLLYKWTIDYHYNTDAPQIIQLRIYSPYYHDFLPLPYFK >CRE04452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1571:2393:4932:-1 gene:WBGene00074449 transcript:CRE04452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04452 MVSLLEKSKQGINFQNFSVFLLLVLPSVVVLAQFGSDEEPTTQETVPVDYSTPEDTVDDTEPPVVDSIPKVEEESVVVAEEFPDTEKLFGIPYNLWRCGSGDFVTKTVISHINNTCPLAAAEFNHCCAVHDDCYDSQRGQKHCDRQFCECLEYHVATDPNAANCGKFTKMVCPLLSTYGQFAYDDSRNAKNSSVTESPVAAESLKVPTQIPHLSEPYVGIYASCDEQHATIASCALNNDLCYRTPRAEPKEQCTVHLIRCLDDTRFNRKPSKTCDLAIDEYLWKLIRFGGGSEDTKEMETLQDEDKKKEIQMNVLMMQEILTNKTLVRNIYLQIVRHSSSLGWLSCLTFLFCVFSCCGIMIYAFSRCGEEEDIRRHDEVINVHVTSSASEAPSSSTMSSMKSSSSTRK >CRE27979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1604:111:2704:1 gene:WBGene00074452 transcript:CRE27979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27979 MSSYQAAFQGKLANLHSMISGSAQTLTSDRMIDFIKNKETLECIISIFHAKVAQKSYGNEKRFFCPPPCIYLIGQGWKVKKQRVFELVKSFKATSQKDLLNTMETEPLHEQQASELVAYIGIGSDNSERQQLDFSATKVRHPGDQRQDPNIHDYCAAKTLFISDSDKRKYFDLNAQFFYGCGMEIGQFVSQRIKVISKPSKKKQSMKNTDCKYLCIASGTKVALFNRLRSQTVSTRYLHVEGGAFHASSTKWGAFTIHLFDDERGMQEADNFAVRDGFVYYGSVVKLVDSVTGIALPRLRIRKVDKQQVILDASCSEEPVSQLHKCAFQMVDNEMVYLCLSHDKIIQHQAQAVNEHRHKSTMELPMGAVASPISPCPVVASLEVTGNGENSRVELHGRDFKPNLKVWFGATSMETTFESEEKVTCSIPPASQVRNEQTHWMFTNKQTNDVEVPIALVRDDGVIYSTGLIFSYKSLERHGNMRILSTF >CRE27981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1604:3288:3569:-1 gene:WBGene00074453 transcript:CRE27981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27981 MRFFQVLLLVVLISATLALPFFNSPTSQTYGGQWRQMYTDENLEVPQNPRYIMSNRMRG >CRE27980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1604:6517:7833:1 gene:WBGene00074454 transcript:CRE27980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27980 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3NSN5] MASRKRGITPARDQVRRKKLSLDETDNIEVFCRLCPYSGSTPSLKAIDDLSIQTLLPPPQFRRENAPQVEKIFGFGRVFSEADGQEVVFEQTSVDLIVNLLKGQNSLLFTYGVTGSGKTYTMTGKPTESDTGLLPRTLDVIFNSVNNRVDKCIFYPAALNTFEIRSTLDAHMKRHQMATDRLSTSREITDRYYEAIKLSGYDDNMVCSVFVSYVEIYNNYCYDLLEDAKNGVLTKRELRQDRQQQVYVDGAKDVEVSSSEEALEVFCLGEERRRVSSTILNKDSSRSHSVFTIKLVMAPRAYETKTVYPVMDSSQIVVSQLCLVDLAGSERAKRTQNMGERLAEANSINQSLMNLRQCIDVLRRNQREYFQCRTSS >CRE14422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1422:533:2776:-1 gene:WBGene00074456 transcript:CRE14422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14422 MLPRLAKSSLIQQVRGVSAIANAEPSGPSIQTSIPGPRSKELKQEMDKVHQTTSVRFHVDYEKSFGNYVVDADGNTLLDVYTQISSLPLGYNHPDLVKVASSPQLITSLNQFLISINYSFQVDIPAFDWPIAKFPRYKYPLAQNSAYNKKQDQECLADVEAKIAEWKRRDNDVAAIIVEPIQAEGGDHYGSPAFFQGLRDVTAKHGIVFIVDEVQTGGGATGDVWAHDHWNLSSPPDIVTFSKKLLTGGYFYGEHLRVKEAYRIYNTWMGDPTKLLLLEKAVEVIKRDGLIEQSRSVGAEFQKRLGELQASSGGKLDQARGRGTFAAVDFPSGSLRDKFVDLVSFSHLVDCIISLNFRQSATDFTAEDAETSLFVSVHLSSTPRNIWI >CRE14423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1422:3496:4801:-1 gene:WBGene00074459 transcript:CRE14423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14423 MTDRNELIGVAIRVVAAAAVSFLSVRYLVKYLDPNYSVNEDCKKKVATLFRELGIDREIELSEHEVRIATQFVGGEDVGAEWDEIGGCEELVAELKDRIILPLRFAAQSGSHLLSPPRGILLYGPPGCGKTLLAKAVARAAGCRFINLQVSILTDKWYGESQKLAAAVFSVAQKFQPTIIFIDEIDSFLRDRQSHDHESTAMMKAQFMTLWDGFASSGDQVIVMGATNRPRDVDAAILRRMTARFQVPVPNAKQRSQILNVILRNETFEDSVDLEEIAQKAEGLSGSDLKEVSILAVFSKSVLQKKILQHKLPVCRLALLARAKATVASGGSVQRLLPLEQTDFESAVRKYMRAAQLLVEETLD >CRE14424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1422:4948:6067:-1 gene:WBGene00074460 transcript:CRE14424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14424 description:CRE-RACK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MM37] MVQEQMKLTGTLEGHTGWVTQIATYTRNDKTTVLSSSRDKTILVWDVDSVAPVDGGAIGRPVRSLTGHNHFVSDVVISSDGQFALSGSWDKTLRLWDLNQGVSTRQFISHTKDVLSVAFSADNRQIVSGSRDKSIKLWNTLAQCKYTITDDCHTDWVSTVRFSPSNRDPVIVSAGWDKVVKVWNLGNCRLKTNHIGHTGYVNTVTVSPDGSLCASGGKDGQAMLWDLNEGKHLYTLSGNDVINAMSFSPNRYWLCAAVGSSIKIWDLEDKKEIEELKPEIASSGNGRGSSPQCISLAWSQDGQTLFAGYTDNIIRVYQVSIRASN >CRE07182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2294:425:1102:-1 gene:WBGene00074462 transcript:CRE07182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07182 MTVKNMTKKQIQIFFERLREQRPNPKTELNYSNPFELLVAVTLSAQATDVSVNKATDKLFPVANTPEQIYALGVDGLKQYIKTIGLYNAKAENVIKACKILIEKHNSQVPDNRADLEALPGVGRKTANVVLNTAFGQPTMAVDTHIFRLGNRTGLAVGKNVLEVEHRLIKVIPKEFIIDSHHWLILHGRYCCIARKPKCNECIVSDVCNWPDKYEFGAAREVKTK >CRE30625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2447:1529:3160:-1 gene:WBGene00074465 transcript:CRE30625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30625 MGHAKKVIVGAHYDVYGNFKGADDNASGVVGLIEIARILAANKASLKQNVDFVFYTLEEPPYFRTEHMGSYIHAQSILKQKDQIQAVYILEMIGYFDHKNVQDYPSGLALFYPKHGNFIGAVSNFTSRGLGEKYCDAMKALKRLDCQRLIAPSFVQGVDFSDHLNYWRFDIPAIMITDTAFFRNKHYHTQEDTVEKLNLNKMAEVINGCGVYSFTRLIFFNLVISFAILIKGELMQYGAMQLLSMWIKDRKIQNQSVHTLDAYFRDVSNFIDFCYDKQIELKQVEAADLREYVAYRVEKDQLSTSSLQRHLTSIRQFMKWAKQGGYLEINAADDFQIKRQARPLPGMIDIETVNQIMDQPEPEKPIEKQLWLRDKAILELLYSSGLRLAEVQSLAIRDIDFTRHLLRITGKGNKTRIVPFGSKARDSLIEWLKIYRIWQGEFSPESLVFITQRGTSISPRQIENRVKYQALRAGVNVDLHPHLLRHCFASHMLSNSGDLRAVQEMLGHSNLTTTQIYTHVDFDHLAQVYDQAHPRAAASKIKN >CRE13212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1660:6153:7352:-1 gene:WBGene00074466 transcript:CRE13212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13212 MKSSCYKIMVCLGILDICCILTNSVATGFLGFVGATFCSYPFFIFFMGSLGCGCWMGSCASCILLGINRCCDVNQHLRIRTIFIGRKMYLTISIPILYTIYSTFFTKPILFNSNYMSWFFNPMLPGNEASDYINIAHTINNCVVSMATTSIYAYLCILLFAKSKHFRSESISKTQTQIFFQSVLICSFNAIAAYIYVYMQFFYSPPEVVLIGQLAWQCAHGSVCLIYITMNKTVRRGVIDLVVPRVIRDQAKIGTNRTTISRPILSVVGVDAKLGTTRTGTSSGTVF >CRE13211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1660:4127:5008:-1 gene:WBGene00074467 transcript:CRE13211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-40 description:CRE-GST-40 protein [Source:UniProtKB/TrEMBL;Acc:E3NSW5] MSPDQKHRYKLYYANSRGRAEPIRLVFHFLGVDFEDYRMEMGDFNDAMKAKAPMRQVPFIEIDGGKTTLCQTVSICRYLAKSVQPDKWFGGATKTDSAKVDMMADGFADIYQLAMFGKYGPEVIRDSLQHMYKETIGPKLKIMEDILKKSKGDYFVGKSIHWCDVYILGILQALDEYDDGVLDDLPELRAFYLRMRHLPELKEYIDANWPATKYKE >CRE11151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1249413:1251253:-1 gene:WBGene00074468 transcript:CRE11151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-24 description:CRE-SRT-24 protein [Source:UniProtKB/TrEMBL;Acc:E3M5W7] MEFITSDIDQSYNYVIRNGFHLNENFYNCTGVDGFQLGVPRPWIGSYFLIVGVFLMIIYLPCLLVICRSDLMKSSCYKIMVWLGVLDICCIFVNSIATGFLGIQGATLCSYPIFIFSMGALGCGCWMGSCATCILLGLNRCCDVNHNIKIRAIFIGKKIYVTILVPMIYTFYAIFFTKTASFNSNYMSWFFNPLLPNGREVRTFIELVHRQTQFFQATDYVNIQHAINNCVVSGATTLIYTYLCVILFAKSRYIKSESMTKTQRQVTFSQLKFKGVQRIQVFFQSVMICFFNAIAAYIYVYMQYFTFPPFMILIGQIAWQWSHGSVCLIYITMNRTVRRGVIDLFVPVSFRNKHKIGTYRKEVHRLSIGGTEGKSTIPTQGTSSGTAIF >CRE11152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1251803:1252716:-1 gene:WBGene00074469 transcript:CRE11152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11152 MKAKPKPVFFNKNLSAIEWKNLIKGEKFENCVSVYPDLLKRLDEEKSILLKFDLSSKDTNVDDGHQWSFYDATTHFRHKPKYKRVTIDGVWYRNTQSTDYKNTSFRKETIVMDQICFVHYFLADGSYIEPMRRRKAKIADREADKIRGFLENRTITEAWDLAKAEGMNVTRLQVRNLARRVETVIKEPAGCPKKNLPVVEEFNLTRLLATLLARK >CRE06237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1573:2564:3397:1 gene:WBGene00074470 transcript:CRE06237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06237 MSDCSREIQADAEIIWGVACSKSLLYGNSEEEDSTTKTTESVMIVSGSIEYERVSNKLSSIEPIIVQEEKFLEKQIDRIATKRASLILVEGGVSRIAAQLLHAKGIKVAVNVKMSILQRVSRATGADIVSNSDAQLVEQNLWLHSGVEQRKLPPEDGRIKFVKGVGDWRKNLVGARLREGDRSVCEKRRAIVNRNQLDFQTESEMNLYSAMLSSSPVIEFEPPFLETAIGR >CRE06236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1573:33:1778:1 gene:WBGene00074472 transcript:CRE06236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06236 MKVREVTTNLISLWNENCATIKYPKKSPDDIQEIATLQKLENPFPLHLHLSLKLQPRLGVVVRDIQDARGNYKPDIGSIIAYALSAVDYNKVPEQADTVSVDSANSSIKDATADDGENLASPQHLEVEFEDESASYYVKMFYAEKFRKLRELLIAEGEETFIRSLSKSTFWTPQGGKSGSFFYRTQDDRFVVKQMSRFEIQSFVKFAPNYFDYLTTSATENKLTTLCKVYGVFRIGYKSKTTTLKVDILVMEYLFYNHNVSQVWDLKGSLRNRLASTGKSPTEMVLLDENFVKDLWNQQLYVLPHSKAAMNQAISNDSHFLSSQYIMDYSLLVGVDDDNGELILGIVDYMRTYTLDKKLESWVKIVAIPGAHLPTILSPEMYCARFSEAIDSYFPVVPDQWTGLGSIRSY >CRE13836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1541:2359:4468:-1 gene:WBGene00074473 transcript:CRE13836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13836 MGTPQLSDQTTSDSSTSSDLKEIKSLLQSIVQDVEMLKEKASAPSEQMEQGSREPLAASASIAMRFAGNIRPLDSRVYVQIKTASKVLHMLFQPVGEYVHLMYSSTSTPAQANSEEDRFLRDIQKWRQSWKTGLTWTAYTSILIPDVRETVDIVRDIRDKVDDETTNDLVRDVLDKLESREQLKALEVAPLLMPEMKKIGKLVAKTGEETQTAIVKQIEALVSKVTAVAQSQHATQISTIVRSMEDKMAAIIAPLKSEVTRLADRDQRPLQREKDREKSQKPLQSVSQTTINKNQFHSFQNHCLFCERGNHSTESCRTVMTCSDRLATAKAKGICTRCLNKFNDNGFGHSACPKADALCTNCSSIMTDTAMSAHHEAFCAIKKSATSSRRRPEANHSGDYKKPRYSTDGNNKPGTSGTAPR >CRE04460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1851:3779:4033:1 gene:WBGene00074478 transcript:CRE04460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04460 MDGSYDVQIVCSTLFSAGPNGCPVSNCLDSGTNELFMSHGRKKRSADVEAGETEEKLSAIIRVFAKGEENEEEMEMGNNTMMTR >CRE16542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1345:5376:7602:1 gene:WBGene00074479 transcript:CRE16542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16542 MSIPRDSSVPSSLPSSFNHLRRFSSQSSSPSFAHSRAHHRLICRLTTPGRLLCNRPMPRLHRSRYVTPPKYWKMSEVRMKNPSGMRIRKKNFATTRIHITPFMGELARKRRKLDSGSSHEWKVSIIPRGQNNKPTGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDQNGREKEPQIRLEGNTKDLSVESSAVVIVPRTTIAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE16543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1345:9677:12474:1 gene:WBGene00074480 transcript:CRE16543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16543 MTCYRNGAKCVLFMLFMGLAVLNMYSYGKDSHSFNYRTTSMQPEVIVEQRLRNKNQFPVLLLHSIVRLNPGIKYILMLSQMRIFIWNGSKIISHDETIFWNHKFDYCLLSSIRIIFQLQLIHRGVMAPIHEVSVCVGPIYGSESNWLEVAEFIEHYKLIGVRYFYFTVFNMNEYSRKIIDEYLRTGEIELTVIQSEYETIDWQFHLLQINECHQRSKHHSKWVINVDIDERLVILDDKIKSVGSLLSGYNDTVAEVGFAIRRIQKTEKLPEKYESDEQVGCKKTSLRNDVTTIVYLFQKDEKQLIFYNYLEKKIVFEMEFLKYSVSSPVTWGAYKTIYRPEKVQVSEDNSNRINNQHYRTSEKNILGSGWLTDPNYKNFTIVPEETKFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNETGSNKSVD >CRE26901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1645:1597:3867:1 gene:WBGene00074482 transcript:CRE26901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26901 MAKIIGIDLGTTNSCVAVLEGDKVKVIENAEGTRTTPSIVAYKDGEILVGQSAKRQAVTNPKNTLFAIKRLIGRKYQDQAVQKDIGIAPFKIIKETVTEAVITVPAYFNDAQRQATKDAGRIAGLEVKRIINEPTAAALAFGMDKKEGDRKVAVYDLGGGTFDVSIIEIADLDGDQQIEVLSTNGDTFLGGEDFDNALIDYLVEEFKKEQNFNLKQDPLALQRLKEAAEKAKIELSSSNSTEINLPYITADATGPKHLVMNVTRAKLEGLVADLVARTIEPCRIALKDAGLSTSDISDVILVGGQSRMPMVQQKVQEFFGKEPRKDVNPDEAVAIGAAIQGAVLSGDKTDVLLLDVTPLTLGIETMGGVLTAIIEKNTTIPAKKSQVFSTAADNQPAVDISVYQGERKMAQQNKLLGNFQLGDIPPAPRGVPQIEVSFDINADGILKVSAKDKSTGKEQSIQIKANSGLSDAEIEAMIKDAEANAEEDRKFEELAKARNEADALVASANKAVKDLGEQVTADEKTAIEAAVSELEVTAKENDVDAIKAKTEALQNIIMPITQRAYEAAQGQGGAEGFDPNQFGGDAGQQQQKADDGVVDAEFTEVKDDKK >CRE15853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2465:5444:6121:1 gene:WBGene00074483 transcript:CRE15853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15853 MEEKLKQLRRLVENSFPNIANFHEKVSVEFEKLENAKSFWSEIYVARLKVKEGVEGVPGDVFIKVPRISENVLRCEDEEAVDELQNVLVYYSKKENLFYKHFAYGTIPNFPFPKVYFTEDVAGEATGGIVAENLSEKVFAVEHIPGLNHEQILRLMEALAGFHNHLMKREDKSYVESFEEGAHGRETYAAGNANMM >CRE10747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1338:23304:24108:1 gene:WBGene00074484 transcript:CRE10747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10747 MPKNKGKGGKNRRRGKNENDFMKRELDLKEEGQEYGQVSKMLGNGRVQVFCFDGKQRVCHIRGKLRKKVWINVGDIILVGLRDYQDDKGDVILKYTPDEARRLKNEGLIPENAKLNENDEQDEGEVEFLDHVGEDAASGDEAKSDKSSSESDSDKSEDEEEGSDKEEESSSEEESDDDSSDSDNDNVREEDLAAGRGFKEDTRRTGNRGGKNKYGKRR >CRE10751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1338:21254:22658:-1 gene:WBGene00074485 transcript:CRE10751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10751 MGEPLVAEPTTDQTPLSTNSVEYQSADSHRASESFGVENIYRALILFCDKYSLDKKQVALGLFLSFLLIIFSIGTVVAWNRYSPIIQEFIIKEKIEKARSKNNEKIKRRMNRFKKFLNKQRIKNHFNNSGEGVRLSSGQPSSSQPTAVSGAAQGGQIDRVAAADIAAQAALKRMQKNELQQDASKRRIQMIAKRELEEERRQLEGLQISDQANSSTSSQPAEVEEKEFEHSALISGVYYTSELLGEDHVRSKADLLEDIKNFLTEQISDSEDDNDKVIAAVLMIYSLNTTAVKELAIDTIYTVVNNILSQPTIPKFRTIRLSNKTYNEKIAAAVGGRKFMEAIGFVEKSNGEEQCLIFSKPNDDHLPEPLDALRNGQGVPIKVARNLELFMLKEGQKPKAPALSADFYNLSAAEIKAEQKNKTLQVGQS >CRE29973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:224323:229333:-1 gene:WBGene00074486 transcript:CRE29973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29973 MTSILEQASTNNASPKSIINKQRQGEHECPPAKRSKASDDDEPVKNGNGTSAEALKQQTLSGATKSGSVSVGSTTSGGQESEKMDTSNNAGGASGNSGELLDKNLYSRQIYTLGESAMVNLRTASVLISGLGSVGVEIAKNLILGGVRHVTIHDTKLAKWSDLSAQYYLREADIGNNRASSCFERLAELNDSVNVELSTSDLTEEFVKNFDVSLKKTFSFAGVFFLYFILHYFQLVVLTDANRSTQRLVSSWTRSHNRRILIADARGVFSYIFNDFGNNFRIDDATGEQVREFFIEHIDRITGEVTTLENLFHGLEDGDHVTFSEVKGLDGINGCEPIKITVKNASKFNIGDAAASFPDYLEGGRCRQVKVPITVNHVPFEKSLEEPEFGIWDYAKFEYPAQLHALWTALYAFEEKHGRSPVPRSLEDVELLKTFIPSGTEEIPEQLIQMFSFSAAGNLVTVSSVVGGIAAQEAMKGVTHHMTPLKQWLHLDHVEALPGDWTAFDNSKLSESDCRPRQSRYDGQAAVFGWPFQECLFRQRWFVVGAGAIGCELLKNLAMMGVACGEDGLIKITDMDQIEISNLNRQFLFRRKDVGGKKSECAAKAVTAFNSDVRIEALADRVGLETEHIFNDEFFGQLNGVANALDNVDARRYMDRRCVYYRLPLLESGTMGTKGNTQVVYPYLTESYSSSVDPPEKEIPVCTLKNFPNEIQHTIQWAREQFETFFASPGEMANKYLSDERAFNENIEKLISGQQIDILQKVKDALIDARPSSAEDCIHWARQQFQELYHNAIAQMLHSFPPDQLTDSGAKFWSGAKRCPHVLNFDPSKEEHFNFVYAASILKAEMYGVQPILDREEVIRIALSINPEPFEPRSGIKIAVTEAEAKEQNERGASSLATDDDAAIETLKLKLATLNVGTTSKLNCIDFEKDDDSNHHMEFIAAASNLRAENYDILPADRMKTKQIAGKIIPAIATTTAAVAGLVCVELYKVIDANGVPKTPIERFKNTFLNLSMPFFSSAEPIAAPKKTYMDKEFTLWDRIDVQGPLTLQEFIDEVQKQTGCEMSMLSAGACLLFSFFMNGAKKQERLKTEVKAVYEELLKKPLHESVHAIVLEPMMTDPNDEDVEVPYIRYAF >CRE07877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:23616:24628:1 gene:WBGene00074487 transcript:CRE07877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pyp-1 description:CRE-PYP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NL45] MSTGAGDSPAYQAIERGSLYSLDYRVFIKGPQGIVSPWHDIPLFADKDKSIYNMIVEIPRWTNAKMEMATKEPFSPIKQDEKKGVARFVHNIFPHKGYIWNYGALPQTWEDPNHVVPDTGAKGDNDPIDVIEIGSKVAGRGAVLQVKVLGTLALIDEGETDWKLVAINVNDENADQLNDISDVEKVYPGLLTATVEWFRNYKIPAGKPANEFAFNGDYKNRDYANKVIGETNEFWKALMKEASPALNTISHVPEAVHQATDEAVTAAVESTPEHGASAALPGDVDKWHFVQG >CRE02740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1161:33470:35669:1 gene:WBGene00074489 transcript:CRE02740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02740 MQYFDDIIPFGEFECREALEREVKRHRYWKTKAVKKMNFDRIETSTSIQYILESFTEARSTSEANEAANFAAMSEASCSMSGGGALSPWDFEVMPNQLFVDQVRVFEMPGSSQINPCSACNSEGTIHCFHCRGYGTDKCSFCSKNEKSEHYTECEIPEKLLLQAEGKRIFEEEQDYIIPISKYPQEDVNNMSKLFCAQHLQKCMGVCRVIRQRHYMNAIPISKVHFSLGNEKGIFYVYGTQKLCFFPNFPSKCVIL >CRE04481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2811:531:1157:-1 gene:WBGene00074490 transcript:CRE04481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04481 MILQLTIKKAVIVPHLLVDSTGLKFLGEGEWKRKKHQPEYRRQWRKLHIGIDAETLQIRAIQLTTNNVSDSQVLGDLLDQIPQEEQIDSVYTDGAYDTKQCREVIADRQAHAVIPPRKNAKPWKDTKISSLERNELLRTVKRLGRALWKKWSGYHRRSLVETKMHCIKLLGDKLSARHFSSQVNEIHARIAVLNKFTELGRPHTRVVT >CRE18342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1323:9708:10823:-1 gene:WBGene00074492 transcript:CRE18342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18342 MSSEFPLFRLPLIVLNHGIKLMSPFEILSLSLCSNQLKCNEKAVKFQLKFSKKREIRLEFNYYPSTRWIFVMAINENRETIIDKLYSIFQRKSSDRHEFSETTYGVRIPNWIPTERSLEATRDHIAGEEKILKFYEPMEDGGFGFRPFINHLSYIFNITLTDLELHFQDFTRNENKIIIDSYCGNTTRNTNYAKKLTLVGESVNTPEDDELVNHILSRQEAESELTLDILSSTFDIRRSQLRYIPNQLVIRNPNWVTSFDIEYFNSFSVLIFQYNTLMCAWYVEQLIERWYFGWTPNWTVMMIEFRFVDIDTCVNRMRGR >CRE18340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1323:527:6964:1 gene:WBGene00074494 transcript:CRE18340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18340 MWISLLGAILCVFVMFIIDWFSALVTFFCFAAIFMYLLHRKPDVNWGSSTQAHSYKNALSAMIKLSTTEEHVKNYRPQLLVLSGNPASRSCLVDFANNITKGSSLLVCGQVVQYDPSDRIHTVIRKLDEIVAIWMRKRHLKAFYRAVANSSFRKGAQCLIQLTGIAKMRPNIVLMGFKSNWYKEGPTEANLNEMNEYFGTIQDVFDWNMAMCVLRNGHVGLDFSEAMRNLNLVEPNRLNVPNIEEKNEKEKNSSPETVHLIEKGDETARTEKSGDDASSSISINETYGSDENPDDNDDDDNDEDGDESGADDEKANEEDVELGVIDQEEKRHFSLRRRGSRRHTVEQKALLSSIQRFQRKIKKGTIDVWWLYDDGGLTLLIPHLLSIPKSYLEGARLRIFTISTSSRTMEQEQRGMAALLSKFRIDYSDVYVIADIGKKPRQETMNTWQTVIDPFIAQDGSCPTGMTTKSELSAQRDKTYRQLRAGELLQEHSIKADLIVMTLPVPRKGMVSSSLYLSWLEVMTQNLPPVLFVRGNQQSVLTFYS >CRE10312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1106616:1107417:-1 gene:WBGene00074496 transcript:CRE10312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10312 MNSLFIILTCMTGVYSQFTAIGQQEIVDAHNKLRSSLAKGTYVAKGTKQPSATNMKKMIWDATVATSAQNYANTCPTGHSKGSGYGENLYWSWTSGKPSALDTYGTVASAAWEKEFQDYGLNSLTMDNALFNSGIGHATQMAWANSNLIGCGVKNCGPDSTMNNMNRISVVCQYKAPGNYMGETIYQQGSTCSKCPDSAKCDTASGLCA >CRE10313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1107906:1108662:-1 gene:WBGene00074497 transcript:CRE10313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10313 MNFYFRLFALVATVLSEFSETGKDFILTRHNELRSRIALGKYVTRNVTKEPATNMLKLNWNATLESSSQLFSSGCPAGHSKNRNNIGENMYWWTSPVITETDSDSLGNRSSNLWESEFQRYGWTENKLSQDVFNTGIGHASQMSWARTSSIGCGVSKCTGGSIEGTEYVVVCQYYPAGNYIGLNIYESGETCSACPNGKKCESSTGLCI >CRE10314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1109052:1109874:-1 gene:WBGene00074498 transcript:CRE10314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10314 MRTVLLLLFASAIGMFFLFYSTQTFLFLSCFLCEEFSEQGKLNLLNAHNEYRSKLALGQFSVRGVKKPAASRMRKMSWSDKLAVPAGKFAETCPKNHSEVKQIGENIFWHYSNTFNTPEEYGTQAPQKWWQEFETNGWDSLIYNHVSQRFQIGHAVQMAWHETSKVGCGYAKCGMGTPDQTLVVVCRYYKKGNMEGESIYEEGATCSKCPEEYQKCPFGLCEKEGVDTD >CRE07148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1294:1409:3942:-1 gene:WBGene00074499 transcript:CRE07148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07148 MNNFLNTIYNNVNLFRNCSLVHIITTFVVLPFSNVLSFLFSFSESFFRICLFSEQTKMMNHSQPTVRMKPDKQLDCNLSQDDGVWMMASSFIIFTMTAGFGLLESGRVSSKDEVNCMVKNVFDVIFGGEFLSRILDVWLRVDIRRFKTSIXXXXHWVDSLDSEIFSSIQKEFPMMIPLMSQLSAGMSERIHLKSHCFISFAITLVHSIAGHWVWDQEGIFRTMGVVDSAGCSAVHLVGGISGLVATLYLTPRRNRFAKNGLRTVSDPTKAILGFLMIWWGWLAFNTSSNYAVTHGQWTEGMRSAVGTILASAGGGLILFRNIQIEKKQFSGVVTVVITRYATKKIQMDMLIDGMLASLVASTGGCLYFTPWQATLVGAIGSSLALAAYPVTEWLKIDDPVGVFPVHVVGSIWGMIAPAIFVYRRPMNFGPPECNFQMRQMDYCMAVVSIFYFFNLSSLLSLQHTVQFIMHHSPIGLRVDKYQEELGADLIEHGLAGVNVMTYTLEKKLDTKTLSAVLMIIVRWRAKAKLGAMRRKQVHDSGLVTPKPTENVEMNVIHRRH >CRE07146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1294:5984:7500:1 gene:WBGene00074500 transcript:CRE07146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07146 MVHINLTRLAHDCELHMSEQEVDKIERRHARLDKSTDSILLMTPIFPIISFVFSLTLLAAILYALLGRKIPSRKYSIIVSRTVADIFSSVLIAAASLFANSYSASYMVLALFLYICTFGVIQLTSSHIAVIILRHISVTRPYGFQSICSIRRLSLVVGFTWCLSIMYAASYAPMTTVIVDASKEDRVCPFHSCQRPLIITAIAIIVVSMFTVICSYGIVVAKMAQIAHSEKMHNEPEMTRKRMHKFFKFGGHLALYTLIVSLIFVGSLFILHNAEDYHQVNRMIAINCDVYDYLNIKLRLETIAGGAVLLWCVRIIFDVVISFLSEIRLLPWIRLDNLQALDSNRIVSVRHGLGWQKGCVNCPVFNIENRLHALDFKN >CRE09215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:733496:736922:-1 gene:WBGene00074501 transcript:CRE09215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09215 MSWQDDPKKQSVNLRRNRFCNLSLMDFSSPALPFQNIADYLTLEDKFILRQVNTATRDLVDNLNPKMTEMAIYCGMPVPKMKYLTSMMRNTKLRLKRLSIGQNQKTLPKIFKWLLPDLKHKVHTETFYSASVVLAAPLFAHLHPDTLRDVHLVVGKKSVESLQQIVESEPCKKLEKIFIQTYLTPNKFPFKSFEHLTKIKIQFFGVTCSHVIPVILKSFLNKAKQLQSLELTVGVHPFNIENILKSFNRPSMIVQTRLGEPAIRHYPIQGSNYIFEIEFERRQKTIPTKMDENVYCEANDFNLTVPLESLNQLSITQSNQVMGEELYIWFFRMKARRLDGKIYVWHAGFFSSANCRFRWLTAWKLPEFTCYSFSPNDQNKGVFTSKSMKVNIDFSKPVWYLNTYHAIGIVSFFLNSFGMYLLIFQCKKLGNFRFYLLLYQATCFLTDVHLTILMQPVPLFPILAGYSVGTLSTWFGVPLHYSLVISVKVTPKKVINFNYPEYLKDFQTLPEFDIYLKNAEYFVLATICLIVLTLAFSFFILIISDIFKLMSYLKLQISSVNFKKHKEAVRSLFVQSTTCILCLSPVCLIAAMAIFEFRYSQFLGELCIAWFAAQSSVNTVALLIFFAPYREFAAQYIPVMEDQQGTSSYSVKAKGVSLTSKNSEAISFNTMKETMKDFISEGISEPLVAKMMTFKRGDNALDGLWTCVTDKRVNPKMDKGHYDIHGVVTPFGQLPTNTLLIDDYPFYDQ >CRE03581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2170:1355:2142:-1 gene:WBGene00074503 transcript:CRE03581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03581 MDQIKQKPSKRLDLQGIRALAIIVVLGFHFYPEYCPNGYLGVDQFFVLSGFLMCMLLKRAENQSPCSLVSLFYSKRFKRILPLYLLVIFISMICLYYFFPNTAIETNQESAIHALMFVSNRPKNDQEDYFEQLSLAVDIFTHTWSISVEIQFYFLVPFIFMTASALPGKFQYFCYLLMGFLSIVFFYISPSTEAFNSVFARIWQFMIGSFFQSFN >CRE03580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2170:15:1210:-1 gene:WBGene00074506 transcript:CRE03580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03580 MECCPNEQFSKLLKISKKFSYLLLMILMFVTAFPKVSPPELVRPLVTFGTGLLMLISDGNLILSNQFLTYIGDISYSLYLIHWPIYAYWKLTCDGDRYLLLCALLSSVILAIIKFETFEKWYLKLSSTSIGLIVVMLFFMNVVVINKDEITDHIDSIGQNTSNLDNVTDDMTLDDAARLNHRWSIYDRKFLRVPSCIYETKSHLGWCRHTGLSPSGKYKIAIIGNSWAANHARMFYQECGYKAKSIMQGAAYGCEPLYPSGNTELCRGNFTHFEERIRKEKPDIAFIFTRFMSIGAPFPINVDSFDKDPTYQIMKEQC >CRE13248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8740:456:2154:-1 gene:WBGene00074508 transcript:CRE13248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13248 DEKKLLVDEESQEIDCSPERKLEDFIQPAAYLLLTVLIFITMFPTVLPAVIVRPLVTIGTGCLMLISDENLILSNSILTYIGDISYSLYLIHWPIYAYWKLTCEGDKLCKFWIEYLARINLFAALISSVILAIITFETFEKWYLKLSSTNIGVIVVILCFLNITAIKKDDIMDRIYLMGKNVTSLDDVTNEMTVDDAIRLNTRWSVNDMKNLYAPSCTYEVAKSPLGWCRHTVRCEAFYRSLNRESCRQNYTHFAERMENEKPDYAFNVLVPPQNTYFMARKRYAQLIKDCGKKCVLIDYVPEFYQEDTKTFRVFDKKGFSYFTTPSHLTPRGIEKIRHIWTDICRKL >CRE15808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig826:10521:15624:-1 gene:WBGene00074510 transcript:CRE15808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15808 MFQELLLCEETLIIYNARLHRLNKLYESYKSGQYTQMKAKSSSLSELRAAFIGSKPDASQKPIDAELANLMGRVVVDIKAIVGFARISPGDVFEVLIRHGSQKWKTRGKTLPDRTQKWEKEQVVLTCVPDQSIDVKVSECRLFKSKSLNDRSFDPCQLFSSQPQLVTMNLNSMGTIKLQLVVTWLPLLASKSSTKPTIVQNPQIPMDGEATIDRKPRIVLREKKRGSAARVAMKEQWRNSTNMLDSIYLDVAKTIPSVDAMSTLDLRKVPKELNTGTLPAPSSKREFKNFTSYSPMSSSTLMGKRSQSLAQLGATTPDATREFNRKFKNSSETDTNSTVASEVFGLLEMIEEIQPKANRMYREYDELSSLVNLLAQWHTLIKMNKASCKGKVSQSTKIQSIHSINSLPRNHPMTSSTASDELDDNVLITNEIHSENDSGIDSLRQNCSPYVLDGYNKNGSKGSREGARFRQLKERRKSLGALMDSSEIEKLYLESDYFWQTACNDNNDNHTVTGSSEIDTCLQYHLNRILKCLESLESIETDCPLVYKTSEMLKRLEVETVTLDDLLRIAKSAPALPNISNVLTEIEACPEVQEIWLSTCYPLNSSLIVPKDKLKTQIKLQIAHITEQIYPHLVSRVAESIIRLLNDNVQKETSNVTVFHFVGIFKGRHFEPYIENMGHDAWMVTLLDTEQIQKVAQVVDRLSNVPVVPPLESLKHLGVLLARGDFRISMIVEQYLRQASGHLLSDLLSSYLCLLEDDTTDARLGALKALAIFDNPRISKQITYVAEHDSSEDVRRFATSMLRGFEEEVTRI >CRE16831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:126882:129433:1 gene:WBGene00074511 transcript:CRE16831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hrpf-2 description:CRE-HRPF-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MS84] MSEETTQPSAEAPQAATISLNVEGAEVKVEAEKKEEEAVPVKEEAQEETSATVETNNSSPVPNKISSGPPLKGQYVRLRGLPFNATEKDIQEFFSGLGVKRVKFVCTTGRPNGEAYVEFKTQDDAGKAMENDRKEMSNRYIESEFLIGKQENNSNILVFSVTDVEGEFEFRPDPDGNGEENHVVRLRGIPWSCKEEDINQFFDGLEPLPAEIVIGGTGGPRSRPSGEAFVRFATQAAAEAAMEYNNRHMGTRYIEVFMSSMVELNRAKGGGSSAGSYERTGIRPLMSLVRSDSGYGQARGGSGGYGSGGYSGGYDEYSQGAYGRQDYGGYSSYDQGGYGSDYGKGGASDEPLRIYMRGLPYDADHYAIEAFFSPLRCHSIKLGINDTGRPSGDAIAEFDSYNDLQAGLSKNNQRMGRRYVELFDTRGAPGPMRRLLWKETSGPNMLAEPALDPVLNGGKRSAPRETGYRAGPQAMPPSYREPRDPYGPRAPRAYDREPAPPRGAPARAPAPAHGRAPDPWGSYGASSAATYEQSTATAGYSGTWDTYSGYGTTAAQPAYSAHSAHSTYGSPGNSVYGASIGASYDRQRESRDTQSAWAQQQQPAYGGWGSGAGAGDRRGGY >CRE16893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:125368:126313:-1 gene:WBGene00074512 transcript:CRE16893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16893 MEVETRVKAYRFVAYAAVTFSVVAVLSVCITLPMVYNYVSHVKKSIHHEIRYCKGSAKDILTEVSSIRSNRTARQASYGDSGVAGSAAGASGGSCSGCCLPGPAGPVGNPGKPGRPGKPGVAGLPGNPGRPPQAPCEPITPPPCKPCPQGPPGTQGPPGPPGDAGLDGQPGGPGQDGQPGQPGPKGPPGVNGQPGAPGQDGNPGQDAPSEPLIPGEPGAPGEPGPQGPPGQAGTPGQNGQPGQPGPKGPPGQPGQPGSDGNPGPAGQAGEAGSAGEKGICPKYCAIDGGIFFEDGTRR >CRE24329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1356:9263:9553:-1 gene:WBGene00074514 transcript:CRE24329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24329 MMESAREKTMTMKRYLKWSNRFCGYPEAVLLRIAEFCIEMRYEAREELVVKPQHVYLVCRGSVSLFLVFFLKKFYCFRKMSKYSDVICVGNRFYDN >CRE17643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig424:679:3987:1 gene:WBGene00074516 transcript:CRE17643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17643 MTDNPADPPLNDQPPPTDPAAAAEDLLLSNNDIEMIDVSPEQEAEILGVDVTETSTEETQESTERPSSVSTVHEEENGFQKDRSSEMNPATPVVNETNSALEKITSLPEDRSLNSVDSHPIQTYVTSDSADPSDHPFAPHYTFVKNTPPSMNEHVRWIATQIDLAHVTFFRAKTEAASQIFTEGGGGRSGAPKTALTGITSKPSDWGVIYIIVESDGRGWYAKPHLLEVVANDRPDLHMVYFDVFADDLSNPGVVPHTSNYYPGDALYVTELVTRPKATVGEKLTSFTGIHSTKNHHFWKIKTCHLLHRTIQEDVVAVKVHSSKSRSGKVLCVAAGFNTLVTAKVDMFKALDKTASAGTIVSAKIFCPKLKTGEFVWNLSDESRPYAADQTRDHASSAPTLPSVITLATASMETQETMCHTVQPFKKFPGDIRKCYKALFDSAYLGLSGTLALANKNKDFQVHTVLIDRVLTVRNKPTISFVLTNLSGPAQISQWARSSVFLMKADGRNLQMEVDDASFVDNDLVIRAKLITSESEAVDTAYKMNRVRTIVWQEMENNEYHLKLFPSAAVYQTMDPKAPVKLLLEACLGGRPIPWESIPDHRFNIEMGSVVLTSEQSRYVCALAKTNIPVIVANSSFGVGKTTMIAAALHITIRESAGNKMHLAMATTNAATAAIVLSYSKISNAATIIRMISAANYDHIDPQHRTSFDFPVVWPREFDKLLQRTDNDDQAPITEIVLDAYAHLRRVQSISLKLVRRKDLQKALKAVQKPIRTIFEILVQLINPRGVIGTISSVTDALRENGAMSQYGSRVATVQMDEASQIAIHSVIALGPLCPKARYALIGDIKQLKPYADIDLNEVLKVPAVGNLLGGTSKTSCQLNITEVRRCPFEVTATSSALFYQNRLTSIRRPRERSRFLDLLAFNNGYPLQIIDTTRFAAQQTSGTSLFNPTEASIALAITSRVLAREEKASIGILTYYKAKAGYVARELDDTPAFVGTIDASQGQEFDLVIILTSRSKSFHSSDRAGERNKTPDGTAAADPDFIESPERLNVAMTRTKSLCLVLVDVAAAGRSKLWSNLFCKIPPGSFHNDPSHLMRHLQTLH >CRE23223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig918:2045:11162:-1 gene:WBGene00074519 transcript:CRE23223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23223 MVNELIKITDRADGNQSNLRITAYEALMELIKHSPKDCYSAVRNTTVVILKVLSPMGVTGLCPSSKVSSDDGPADDVPPTNQPNQQGNAPGPSAVVPVAPAPVEEAQEPVEEVVAPVEEVPVEEVHEPVDQDAAQPVEEHVPEDPVPRQPPVAPAQRVVRQAQYFHALFPTTIRRILAYFVLFNVGLGLLGLPWFSIYIGLSGLCLCFFTCFHVYKKNDRMMFPFYLYVLFTIFYLLFLGGYFFFVNIFHKEMVKEALGDHSDSISFIWHFADIVLIMTHACVLSVTSKCRKYFQWVAEGGQKVELPTTSTAPRHESKPDIF >CRE23284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2797:836:1072:1 gene:WBGene00074520 transcript:CRE23284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23284 MAQAEPAPEPKLIFSLFTHFYTLFFHFFYFFSFPLFHTETKLDLGCTRESLGAMKDRKFFQNLRRHERQKILLKTQAP >CRE14427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1622:3531:7301:1 gene:WBGene00074524 transcript:CRE14427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14427 MPTLLRTFEKKLFRFSSTMKIRMKEQLVSIRCNQMLMILIVHLLLVQVASGFPIGNSDSSNQDIHRSGSRHLQSREMRSSSEYDSDPGNFEILGFKFFPSKYFSNRNVRNANDKSAEFVKHTTVVAHIINGISLQTGLLNGNISIDNVVGDLLGFGNVQLPAVINFKPDGIIESAKKMKSIRDSIDVNVMAVMKQILDWDRWIVDAGTIESTDFTKLPGVPEYFKEVKGLNAGFNFSVLAAAKDDADLNSRFTTLHSHVNDKKLRKTDYGAVIGNIKSLLDRFNTFVSALKLLKAESEKLIQLRWLIGKENPFEPLRTVTQLMDNRPKLNPIFDSSQVLKLKTSMQNALDSFKDLKTSADDTSLVTELMKSRTQLKMRKYTIGFPNGVSELKKLVDDVRDPWIGKILNLETSQLHVLSDGLQPLIDINDKLSKLDEKLKPVASNKLYESLLNYRDILKDLEGMPADSINSIDVLNEYDGCKTTDIESVKTTYSPIQNFLTAVDTLKTKLISIIQSMDRIDVDKLSQELTEFTYKLGVSKSDGKPPADDKVPEIIAELKKTDDLQKMQKRFQDFTTMFEGFEGDKLSTTYQKSVTAGESLISETNFLGDIEKEIKVQSCLKKLSDKSTKVFTAVRTLHKMKEINSGTVSDVESAARAVSEVSKHLTTVNSIPGDMKKNVNNLTEEIDEMPNSATHSEAIDGNRSTQAVGAVVDVELQKIVDSDEKQRIEKLWGNHKKDIDDLEKLIAGIESFDQKLDVSKAGTMGEIRWSFEGTRYFGDVKMNLKDKSKALRALIAQPKMDSNVKSDLEKSQKTLERCRLSIYSSPVTKLCTQKLRLLSWLFKTS >CRE10734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig938:477:1916:-1 gene:WBGene00074526 transcript:CRE10734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10734 MQMTDEYDLIVLGGGPVGENVADRAVQGGLTAVIVESELVGGECSYWACMPSKALLRSGQALRAARRVPGAAEAVTGELDVPAVLKRRDEFTSDWDDSGQVDWLSSAHIDLVRGHGRISGERRVTVTDADGGERTLTARHAVAVSTGSDAVVPGIPGLQEAAPWTSREATSAQEVPESLIVVGGGVVAVEMATAFASLGSRVTMLARSGVLGAFEPFAGELVTEGLRELGVDVRTGVSPARVDRDAAGVTVRVSRDDADDDELLADEILVATGRSPRSDDIGLETIGLEPGSWIGTDDSLRVPGTDWLYAVGDVNGRAPLTHQGKYQARAAGDAIAARAQGGPVEDRAWGRHAATADRASVPQVVFSDPEVASIGLTEAAAQQAGRRVRAVDVEFSSVAGASLHGDGASGRARIVVDEDRRVLLGATFVGPEVAELVHAATVAVVGEVPIQRLWHAVPSYPTVSEVWLRLLEAYGRDSA >CRE30322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1609:6675:9161:1 gene:WBGene00074527 transcript:CRE30322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30322 MAEAELEKLRNEYQDLTQSYEQKCQDIREAAAAGLKLLGEKDDLQKRLDEMQADLDSTRAEVEKVNQTLAEYRSQHQRSTRSELENEESLLEESSAKEEEYLQRIAKLELELKKKEQELAVKKEELERIEKQHSKEMDSGAVLEDERRKLRAELKETKEREQRLMAEYSELEEENIGLQKTVANLRGSQVDYESMKIDNNRLEDNIHILKSYNEESEMLRIIAEKQLEEALQTAQQERDQRLAMKRELDQVKAAEHISSLNDWICELEEGGTLPTPQPGASDLFSELQGSSDVKVRELEAANDGLLEEMKSKEKVFIEFVTALGDSLNIHRPTNELDYMHARQQKDVILDKLQNTARDTDRHEKEGEEKKSGILKADLRYLVLLAGEKNAQLAAAQDAMIQASDQLYQFYHQMTQNQGISTEKNVQEIVKKLRQLARDNAEDVPRVSLAEEGVESGTETDVNASRSIPLNSDRLVIAPSFAKEIEKKLASVKVQDVLSETDLRQRILTEGNPISETTDSLKKMLQVVKRTSEQAFNQAVMASGAENEIEMQNMKLRSLLSTKRDQISTLRTVLKSNKLTAESALSSMREKFESEKKMQMDITEKMRRELKQLKEDAATFASNRAMFNAKCEELRSE >CRE30321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1609:562:2851:1 gene:WBGene00074528 transcript:CRE30321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30321 MTGSETVSLIKPTKMATQSPTPVVNELWFIDTQAMFQNYANLRSFSKSNVTEVQTSIGGLVFGRKDRKQVIHVFFAYKEDLIESNLQFLESALSADIELVGNVNIDGQSTLIGNGTFTLQLSSKMLDNRNISEFLDQNVMFNNEHVLMEGASCVSKIGFEWTLRAGREQEDARSAAERLSMASFRFSHLNAEHGLVIREHKKGAAKPKYLDKFTKGALPYKDVIEFQVMQSLTRDTSNDTEDQKLVPTVKVTKDNKHFTRLVTIGDVVFPAFFGDSSFDLYKRAREAFNRRSNNTMMVTVNGVRSGRGVTTTTSATYLPPGWVSLLHLQLPLKWTENEQRNYRIRLHKFFNLPSSKPCLRLSQSLALHTDSVRLTNKKLIREPHLSISNYQPVGVVTTVNGPYNYHHYMQDGIDDNGWGCAYRSFQTIWSWFILNGYTDKPVPSHRDIQQTLVNIGDKEQKFVGSRQWIGSTEISYVLNELLKLECRFIATNSGAEVVERARELARHFETSGTPVMIGGNMLAHTILGVDFNETTGETKFLILDPHYTGTEDIKTITSKGWCAWKPASFWSTDHFYNMVLAQPPHDAI >CRE15134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1362:4375:8176:-1 gene:WBGene00074531 transcript:CRE15134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15134 MPVVELCPSQFERDNAYMYSEPSISMHNGSIQMPRSPTQPLRSASAFSKEIQRLKMQTEDINEEAESCSSTSSKSSIAENCVSSDETPVGSDREKENVPENIVVTRLQRKSAEAEDAVSGKSSPKSQKSCRIRTGSMSEDSKKQIKSILRQSPFISKEDVSQSNESTIHRQFRKSRASSLTKRDSIKNDRTEPGNHDAIDNYYAKNYYTVTGIYQKNTNFPRYYVNGYAEGDDNEEDDEAVRRNYEKHQKRTLSMAQSTRSMPIVPVNNRFHKSFYWFAHHHKKIGFRHVCMLLLVLSYTLLGAALFFSIESRHEHETMQLHKRKLERVIYEIAQTLELEILDPMKLTNITQMEYFITRAYVKLLNAEDLYSGSTFYKHEDPKNLKWTYGSAFFFSMNVYTTTGYGSIAPASSLGKALVILYGLIFVPLTAVVIRDLGQWALLYLTKMYTILIDNFRRVRGFVDKLDEDEIISLPIKFSVSVMILYLLSATMFIYEYDELSGPPDSGISFFHAFYFSFISMSTIGLGDIMPNNVTFSPLITIMFFFGMPILKVVNRVTYICLENGVFGTMTVLENRLDTIWSRATVIPTGQHTPEQQSPPQPADVVSRKTSMLSEGMIPDENDGSVPNEYLNNFTIRSIATFMKANGDVYGGAFGRVNIRRGDLRNVPDNQATVRSTRENNV >CRE19627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2829:329:1371:-1 gene:WBGene00074533 transcript:CRE19627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19627 MLPIYVCRFFFKMDPPKPFPIMDPPKPFPIIRLPFLAIEEIFKAMDPIEIINFSMISKRTKGIAKQMSFYPKYKIELYINETLEIRFHGTNDVVSCFYVMASNKYMDGKIVEEAFGQNITRRVFKYDPFDEWKHLFKYCHLFHAEERNDVDRHTAYLLDNITIISELGLDVYNNDDFNGNIPKNLQELRINNSKWVGYEKLLEIDCKSVILEKNRILNKQWNLFVKKWMAMETNQNLEHLKLDYRDLEEFRALVLYDIPHEVVDGAVERILKT >CRE15132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1322:566:1493:-1 gene:WBGene00074534 transcript:CRE15132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15132 MDPPKPFPILRLPFLAIEEVFKAMHPFEIINFSLISNWTKQITKSMNFYPEYSVALDINKILKIAIRGTDHIVSCIYEMTSKKNLDGVIGKHVLDIFNKQTIDTLTVDMVEFVNYNVSMIDFLKTNEISVNKCSLFHWDCNINVDEHAAYLLENIKINNEFNFYLHIKNVNLDLKIPKGLTKLKMINTNWIGYKQLLEIDSVTVNVHKNRTSYNDWNLFFKKWISMETNLNLELLDFVFESMKEFKDGVLFDIPYEVVDKRVKRAIKT >CRE16597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5304:623:1931:-1 gene:WBGene00074535 transcript:CRE16597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16597 MDRNSLSKKFLDNGGAQPKTVWSPSALANSGAPRRSNSNLFAATNLEVPATNLSRRPDDLNFASSLRSRSQSPNKLALSQMINSRERDTPSSPSSTTGSSIVGRNLQQRRRDSDVSYVRSATRGRDEMRKSTDALNKLMAVRSKLHQSSENLRKSTENLALLKNLEEASNYSTPKSRTRSSSNLRNAEVLGNMESFGTFDADSRMRSG >CRE11557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig777:15943:16601:-1 gene:WBGene00074539 transcript:CRE11557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11557 MRLILKVKDKKRRSVIQQKKKKNERFTEDQPTPKPLNEYSPETIKFLQTWVHTAHGVESRLENMLLKWDDQSICFDRPSTIILKKIAHGGEFSSNMQKFSYLEVPEHWAAPNEDDLGTWKVSTGYTDMIHHSTCLHLTSYDNPDQNNKF >CRE11556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig777:5559:11332:-1 gene:WBGene00074540 transcript:CRE11556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11556 MKRTAIREKFDIENERLERRKVQTRRKTQEILDSALIRKRREIGEFENRISNNVSIEDNFEILEPMSSEMIDLNWEPQPSILHFHEFKSSTRSITTMHDSIEEPDDFGLNDAIDYIASVFLKNNISHNIGRDIFSVSTLLNIYPSIKLHHISRKIEQWGDHEKRIYSFCAVCSENLTENQKCRNVECTRFMMSQCNVSGTKTIVTFSLRKQLQTLLDNSVFQLELSNDGAVSLCSRLKDTPKYKMKKNDLQKVNPGVITLLLTMNTDGFRKRGSKRGEFWPLFLAVHEISKGTGRYREYRPEFVMLSAMLQSCSKLKHEDFHSVFQRMFLEIENIRKSPLEVTIGETKCKVIVDLFQSVLDLDASRKIHGLPVWLSFNSCSRCTVKGTSIKLRKGRKISWYPKEDVLNYNETLIPNKLLQTGLPPPWEDGFDGLHLLYEGTSRDLLKDVLGKGVKSGHCLDPMERKVWAVSCLKKETILNHLNLGFQNSMNLTTQSKGMNSKSLLDPIQLSARTGSEVQQVVKRDFINLFTFIFQLFNIAIPTLVASLEKKNDWTFMIVLHWLTTRVITDTYLCSDQCEILLPVSNCIRVIVGEMFPSFYTMKFHMVHDHLVPRLKFDGSPMLTSAAPFERLNQVLGRSTNSHTTRATINMATRFISLQQAVFSCSVATSKNSCPVLFPLTLQAEQDIFSENETKENDVVDNFPLTEREEEYISSQNIQLQKMKTTTCSNSKSFTTRATSSTSINKSSNIYYFDEEGTVKFGSIERILITKTGENRVLVQQFDVLDPFTEIYDWALTESVMKRKLSIRQITFSNMDRRKSMRNLKPKLKDIDNVRVVALEKNTKNNAQKRPKFQKDEQVKKHTESTLETRTGEFQESSENKHIYLKNMRKREHSDLTFTNDHLEGTSQDFNTTISENKNSSFFSISEHLSGEPKKLPIGKKIIHVLAHKLFSEELKDFVASGDVKSIGRVLMSGVANGTLGNVQITELANSIPAPKVDMVSFISSVQDSDLEEMKCIVIGQQFLSNMTSHVSSSLVKFEASLNSMSSTLQKQHHIGARPKGNLMAVHISPAVPPFPALNLNLFYTAKNIVGDKAVNQLTNLYSAIFRSFLPHEYDIWVYSYRPLCLKAGDRHFKHIPLPVISSLKGNRKSNQFSQITSFLDFGFDALGCYLPVNLLSGDIDEEDEFYVKLGSDAQNEIEYRRQVRDTVESVINTSINFSLQNLRNYHYDVDVEQLVYCSNRKFCHMHYRWDDVKAMRQRRREAGLDVEDYTIQDVMRMATPKHIGFSNQRRRHKECVFQFYYRRSDCFYENRCYSMSMICVPVISFTLSFKLAAGFVVLLAFCLLFLVTCCIRKLCGCKKEAKTIPQKSQIVKLREIHKEEPMRLHNNFVPLMYETAI >CRE12408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig936:9252:13380:-1 gene:WBGene00074541 transcript:CRE12408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12408 SRTHTVHLYESQDSSEGPWNLITETDRLGTNDTAVLEDFLAIEFSFERLQWFKMEISELSENGVKVFKTSEIFSIAQICAGPIIFPMVNNIFGVLETCIIYLKNVFYSDQSGYRVSEVEIWSQLRDRTQPIVMQLEAKNLSTKLVSSNSNVYIEVFRVDEDQKRMLYRSEVAKQTKLTWRPFTVQLDDLYGTDGMDSQIEIVCISEEDKEGVIGQALVSMEVAKAMEAIPIFNETYKQGRKPIGEVRICRYQQLRVCSFLDYIRGGTSLKFAIAIDFSIRDPQNLTHNDYQQYSNDIEFVVRCLGETLEPFNPNNSWLSYGFGAKIPPHYRDSNNFCLSLDVDATCQGVNGVLNAFGKSHQHVHPLPGAKFSQIIYHLAKQAQNNFNRASEPPSYFVLFVISRGSIEDLKETVQAAIFASKAPISIVFIGVGCEGLDEIERMGNAGKRLEFQGRKSERDNLQFVNATKTRLECDNYSDMSTTFLEKALQQIPWQCSTFYMQNHVLPGKSSEEATGGLMAPSSSRRPSSAHGQGEEEELRMRTSSSGEQTRNRRHRLSNEMRSMTLDDSR >CRE30507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:86802:87822:1 gene:WBGene00074543 transcript:CRE30507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30507 MDSPYPFPILRLPFLAIEEVFKAMNPFEIINFSMISKRTKSVTQQMTFYSKYSIRINASEMLEIWVAGPKYMTQCFYKFTTNQEINGEVVENTWNSRNELLVWKYSNNPIEEWKQLCIYVLEIFKKETIDVLLMTMDAFVNQNVSTTDFLKTNVKSVNECYLFQSVKENDINEHAAYLLKNIKITNAFSSYLHIKNDNFNGKIPKNLKELKIHYSQWIGYERLLEIDCKSVILEKNLITNKEWNMFFKKWIAMKTHLKLEYLEIDYRDIEKFRALVLHDIPHEVVDRRVKRTFKT >CRE12647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:66972:68581:-1 gene:WBGene00074544 transcript:CRE12647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12647 MIKNSAQSSRSKMVETQKHSTKYFLFLPFIISILVYLVWFKVLHQRQVTLIENINVLNEKISNIEKSLEKYAPKFGNFDNSDFFVTEVINIKLFEKKRILLQPEHYIDTPSPFPRMVSEGILPIQNSKVVRIESDGRQKGDLENVIVAVLIESTNQNDTERLVNSIVSQRKSIKDLEIFLFTPTDESGVSFEMLKTWANSIPGVNPINVVVLNNAEYSINNSKKTPLKYSDHKHIGDDFADFYYVGKLAMETDENISGVCGESNGIWQDRTMGDVLWLSDTECESGAMIHPGRKSYSESPVVIRPEMARIGNSEKAVTEESSWNIDKFNPDMITKGSFDIRLNLDLERAERKMKADWRLLETFNCKNTIYTFPYENDKDLETFFPNSKAENLHKYRGILSISIAEHQCRAYIVPQHFYDKQVIELV >CRE14415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1342:1244:2388:-1 gene:WBGene00074545 transcript:CRE14415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14415 MVRFFEISDILTKFGVASVLIVNTFFIFLTVFHVKRIVGTYRKMVLIFASMGIVFSASELIARPFVHNYNKGWVFFSLNTWLESYQNFLQFSLAVYASFYLSMVSLISVQFLFRYFTLINPKIAKKFSGRGIFIWSSYSIVSGGIYGGLVYLFGRPDDYSDEYMKYISCFKPHNNNLKFREEILQNYGLAVKGLPRLVMIPYVSFLFLPEVHSLFFQSVDRSIRWGNILFLVVGGVDMILQYIIIVYCGIRMHLVMRKEFSTSSTPNKKLQKQFFKALIIQTIVPTFLFVFPAAFVLLSPLFNIKMSLQTGWIYAALSLYPPIDTIAFMLLVSEYRKVTEELYKLIFPDEPHLPSGLSTTLPRN >CRE12473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:64109:65227:1 gene:WBGene00074546 transcript:CRE12473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12473 MRFFEVSDSITKFGVASVTIVNIFFIFLTVFHVKRIVGTYKKMVLIFASMGIVFSSWEIIARPFVHNYDKGFIFFSLNTWLGASQKFLLVAISIYASFYLLIVSLLAVQFLFRYATLVKPKYARKFSGNGIFIWLSYSFTCGLIYGSLLYFFGLPDDYSDEYMKFIKEEILQNYGLAVKELPRIVMIPYVSFLFLPEVHSLFFQSADGSIRWRNILFLVVGGVDMILQYIIIVYCGIRMHLVMRKEFSKSSIPNKKLQKQFFKALIVQIVVPTFLFVFPAAFVLLSPLFNIKMSLQTGWIYTALSLYPPIDTIAFMLLVSEYRKVTKGTVIQVCNKTDYFRSIFRAHKTDFSKETTTWKI >CRE14418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1342:4412:5793:-1 gene:WBGene00074547 transcript:CRE14418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14418 MARFYEITDNFTKLGFATVSITNIFLIYLTLFHIKRIIGTYKHMIIIVAIWGMIFSASELIARPFVHSYNKGWMFFSLNTWIGTSQLFLQISLAVYASFYLLIMSFISVQFLFRYFTLTNRRIANRFEGKGMIFWMIYPIISGSFYGGPLFFFGLPDNYSDEYFGKEILDSYGLAIKEVPRFPIIAYEADGSLRPGAYFIMTGAVVMILQYTIIIYCGVRMHLVMNREFKNSSVPNKKLQRQFFRALVTQTIAPTILFVFPAAYVLLSPLLNIEMNFQTGWIYAALSLFPPIDSIALMCMVSEYRKVVKGVYVISYGFLSVLITALCKDLFCNRANQSTEIELRSST >CRE14419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1342:6128:8496:-1 gene:WBGene00074548 transcript:CRE14419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14419 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3NRM4] MRRNELLNNYLSIFLILTLSILTVHAESEFIFLRNPYSLSVSEDLLPPSTSSETFLTDEDFLRPLNDDETFLTEKDFKNGEKLGEDPVAAGSILWKQVYKKGDIRGKAAWKLDPKNSESLRRNGVITGTRKWPNGRIPYVISNQYNDRERAVLARSFQAYHEKTCVRFVPRTAVDNDYLYIGKIDGCYSDVGRAGGRQELSLDNGCLQYDTAIHELMHSVGFYHEHERWDRDEHITILWHNIDREAYDQFGKVDLAESSYYGQLYDYYSIMHYDSLAFSKNGFETMVAKQPEMTAVIGAAIDFSPIDILKMNLMYQCSDVKLPSVIVASTGAPSVVPAPSPSITVVEDDCRDRTNLCWRWIDRCKSFFFEQIMKEFCALSCGYCTPKTLQTAKASPPNYSNTMLTKSSTSYLQHG >CRE21034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig794:4554:5496:-1 gene:WBGene00074549 transcript:CRE21034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21034 MPPKSKKAETTAAVAAPAAEEPAPAEPATPVEEPPKAEEPSAAKPADKKQVVTKSGRAVRAAKKPLPTPAVESDQEKEDDEYQGDEDDEEVTFEPAPKKKARGKRSYDEDVDYGKAKKSGRKTTVKKGGSKPSTRTTARKNVPSESEEEEEEEEEEEKEVKNNKGAGKKAHAESDGDSSDGEAPARKAPQRASGRTRMSGAPPSARLAANKKKNDDSD >CRE21031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig794:232:4012:1 gene:WBGene00074550 transcript:CRE21031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21031 MEGIRLARRNSVQLQKLAPVVVSKFEELKKLSAVRTGKVDSIKDKVLNVREMIAVARTAANKIKLGAHFQKGSSLDLNIGQRVTRSAAHADISFYFRTEEEHGIPLFFGNEETAVGSRAVPTADYVAAEIEYGRPKVTVDLGDAPAVVKLDTPVNDGLWRRLNIERIGKTVNVTLTKPNSVETAETKSSVAGGNKSVLNLNQQISKLFVGGIPTTARITKALYNRDFVGDIELLKLHGEPIGLWNAREKGNVNVSGAQKKPKMTDNADELVISLDGEGYTSYKPSHWNPRKATKISLSFLTFSPHGTLFFVGKDRDFMSLELSEGNVKLSVDLGSGVEQWITDSSSYNDGKWHTVSIVREEKHVKMMIDGETEVHEGDVPGKDSEMSVTEFLYIGGTPSGLSVRTTIVPLRGCIKSVKLGSDDVDLEATHASKGVRTGCPLHTVRTVSFLSDRTTAGFNNATEFSEDVSVTFKFKTKAIRQTSSLFTVNDDEDSVLSVTINEEGILTVTSGEDVATLELAASPDEKWHYVSIRKTKQIIRIDADDSFSNEVTRKHADDSNPDASFLSAFFGKSGETPSFVGCIGDVTLNGKLLDFSRSDIKEISLNGCSLSDDENVSTTSTTTTAAPTDADVAVLPNDDEEEETTTTTPATTTEEPTEAPAEFLPDWFFSFLSFKFQVRPDGHCSLPQDAMIQFEDAEGFNFGTQQHSRIEYDVLPEAIDKSGEFTFKIRSTADNGIVFIATNKRTDHIAVILEHGRVIFTYDTGSGQVVIKSDKSIIDGRWHSIKVSRRGKSAHLIVDDDSYESEGASNQNEDLIETQPPFFVGGVPSDLAGFVRTLVPGVRSQFSGCIKDFRLNGKSLNNGKEFGTEQCSQFSEPGMYFGKDGGYAIVQKDYEVGASFGVEVEIRPRMKDGVLFSVGVLEYITVEFVNGSIKTTVESGSGGEDLWHHPATDNEYCDGQWQAFKISKKRNLLTVTVNGKAHIKILKKAKTDVLTKDPLYFGGLPEGVTNKGITTNKPFVGCIRFISFGLKKERKMIRRKKQVDTEVFDVYGDVSRDGCPAI >CRE23269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1997:938:4576:-1 gene:WBGene00074551 transcript:CRE23269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23269 MSSSESASSDEEKRPAPAPATSDSDSDSDTGGPTTQKRGGRSDSSGSGSDVEKPQAKPAKKKVLTKRKRKASGSSDDDQVDDSLFTDKEDKARWKGLSELEKEREIFERMEARESARAREEIAQQLAKKAKKNTEKGAKTEKRRKMNSDGGSPKAKGSSDSDSEMDAEFHHPSEINRKHKEKNAMDALKHKRKEIEKKNAKNAALSIDAVFGANSGSSSSSSSSESSRSSSSSRESSPERNADQEKVVKKEVETLAELRKARLSRHKLALMIHAPFFDSTVVGCYVRLGQGMISGSQSKYRIWKIIGVEQTNKVYDLEGKKTNKSIKCQFGRSERPFRMQFVSNSEFEQVEFDEWRNATKAQGSVPTVDIMEKKHSDIEKAINHKYSDKEVDLMIKEKSKFQKVTRNFAMTKAGLSKQKELAQQRGDIREAERVQKEIDEIERHADELDKERSKSIRAIAFINHRNRTQIKDQVLSGKLKIEESSQDDPFTRKKGGMRVVSGSKSKLDGKLSAASSNNNLSEAGKDAPSSAPKSTLPPPAKPIGMKKPPVMSSLHDFDLDIDLDKLKNVGEEQAARRAVIGGAAARAATHRPGNTAPSTSSSAI >CRE02748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1281:6811:8108:-1 gene:WBGene00074552 transcript:CRE02748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02748 MLVANKKKSFLMKLLKFVGRGNQVQSSKSCLAQIYEQRKKQRQRSSINSQFSHIEPMPTILEEDEFSVAAECR >CRE21693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2112:1237:4710:1 gene:WBGene00074553 transcript:CRE21693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21693 MIESIIDRDMNILLSNMPLKVSIKSGKFNKIVKLQIRINENNLDIIGETFGVAPKKHNRAGKLSSFSAKNITLTHFVQRLRDKELVLDYQMLTAPFVQNGAINMLSKGEISFRGHGGTPFSPPNIRIPAPHGSLFLIFSNKFNLFSGVHMIEFYASDYLANSMLYHSYRQKFLDVTVGPESSPQLQGLLVTTCGPAGFCLGEFLGTLGEQFPDRQVEIEFFAKKAPLIVFIDNRSRFRLHGGLNMYVRPSKPTQVKQQVHEISSIQQQTFLLKILKADTTMTANVNLWINGSVIVGNSTIENLDFKMKKLLLEDSFVPNIPPPSLLKLDNGQLVTPTGVRFDIPRCGRNIGTMNMDENCYNQLERNGKLTQSNDHPRLVQPNSSSDEAPRINMWMHTHRQTGVGPRQEKFTEEVALSKTDVMKMVSAKTQTDVKPKSVKDRNERNERVRSLNHHRFVEYLKHSTYKKVKPLKHPQGCLFCKDKGHSAQHCHVYPEADSRIEFLNKNQLCHHCVFPGHDAQNCPTFHRKSVCRYCKGRHILAICKSSTIPANTSQYSSTESSSTLQDATIKRMD >CRE26040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3765:1227:2944:-1 gene:WBGene00074556 transcript:CRE26040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26040 MSTLFFSEMKYDVAEPKSASADRFILSKGHACPILYAAWEEAGLLSHEQVLSLRKIDSDIEGHPTPRLNFIDVATGSLGQGLGVATGMAYVGKYIDKASYRVFCLLGDGESAEGSVWEAAAFASIYKLDNLVAIVDVNRLGQSQATSLGHDVETYKARFAAFGFNAIIVDGHNVEELLAAYETARNTKGKPTALIAKTLKGKGIEGIENEDNWHGKPVPAETINAIKARFHGSQKGKLVAQKPINDAPAIDLHVGSIKMPAPEYKKGDKVATRAAYGTALAKLGDVNPRVIGLDGDTKNSTFSEKLLKKHPDQFIECFIAEQNLVGVAVGAQCRDRTIPFTSTFAAFFTRATDQIRMAAVSFANLKCVGSHVGVSIGEDGPSQMALEDLAIFRTIPGATVFYPTDAVSAERATELAANTKGVVFIRTGRPALPVLYDNEEPFHIGQAKVVKQSAEDKIVLVGSGVTLYESLKAAEELEKEGW >CRE24375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4155:146:1636:-1 gene:WBGene00074559 transcript:CRE24375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24375 MTLPVEVPPDDELVDQTAEGQKNVTENVVVGNKDKEDKGSGPQPMEVEENVSLSVMKKTREMFLKLAKEDTGKMLKTYAKANASTHESIMKPFIDLIVSTFQTLDAKEEDCEELAKENKELEKENEEVINKNEILQGLLDERQDRELGGTSNSKQALEYQMVSMLASKGINTLENLEKVFGDTEDLQKLIKMQSDVYQASQQKVQQMEAELKRLKKTLKDSESRVKALKGTQAEKEEQTRFIELNQKSNSFSRIGECTSCMSLKEMNSQIKENASQPTKSTGTTTLTSGMTQKLRKRTVQEQTHTDKELEEVIEAARGQMDSESDSERADETMGNGRYYTNWDTGKQKEMSPVEMMNKMLVQQNLPEPPKFSAEENSIKLESFRKSFALKFESFSDENQIILLETKYLSGRALRIFRGLPEHEKKSINDVMQAMAIRLRISPEDETRRAKSRWESLRKKPEQNIEDFCLSIDELARVAFKRVNASELSSFKTAKLLD >CRE02633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:75563:82320:-1 gene:WBGene00074561 transcript:CRE02633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02633 MKVFAFLSVATATAIYATTSHRRYYASDNHRDYPNFFADPRTSSGSRSANSHSSSDSSPDSPPPGSPSDSSQRSIRSASFPEHSDDFTNSHIRTIRNVNEESADYLEHATIIAHIVNGIALQSGLMNGSIPVNDVIGELLHLGTVDVPSIVNIKTDQVALLTQNMKKLPSELVTSPDITDLENLSIEWDKRMTESKSIGDVKSLLKNHSYFQEAQTLKTKFSFTLWASFTNKLSKVATLVTELKNYATFTHDDTITNFGNLPSTVDGIKQSFDNLKSATDTLKDYKQVLSGSDVFQPLEKMIELISTRKIISYSSDNDFKLITGNVQKTLEVSAQYSKSRQDFEKVVELADNRRDHGSTVRKYSVGFPNGVSDVKQLEQDVRELWIGEILKMEVTKLNEFADSLKPLFNVNDRLIELDNQLKSLSSSESKVALSKFNKIQSDISVLTKESLDAVNVLKDFIACAKESPGGEASYKNSLELLKNIKAMKDSLIALSEAVKNFDLKQLQTDTKSFIDSVGFKKDIKPDSKEYQELVAKLKDNKEFATLQKRLSDLQNSHNIITNANMVGTLEAIINGKTILEEDSLKNSIDTEDGVNTCLQKHSKKSKLFLQAVQLIKNLRGLNSKEIEDVESVFTMISTVSKELSTANTIPDTMKADSKGMTDDTNKLSDSLAKSEVIGQSVNSLRSAFALRDLKAEVDKLKTIDSAVQAVIQKISAEDQKIVKPLWGDHKTEMAKLDKTLVEIGSLNLNVSNLTTIGAFGTPLTALASLTSVNMNAKEKSKALDALLNDGALKIDPTVKKNIEDSQKTLDKLADLDLGFASHTTQFQSAPSVFSDLQNFLTKLLQVPMSPRQPSGQVPGAQGGPGGAAAIQQGQKSEEKNGITTLVLIGIIAASIIFVLLLVGGGFCGFKKYAEHIWNSKIIQWMKRHCFKDLANVKDVHSGYMQVMDANVELWRKKGTLGEEKKRLLTQSCNPDSALFVPNENKEVMVFANKVTTLGGLDFIATHAPKDPQEFWQMVLSQNPEFVVSLCGDKEMKTLNCDYYPKKVAKPKKFGDYTVALTSEETAPKDVNKRSLTVTRGEKSLQLMHLQAVNWPAGDVPDDHETAFELIKTVNNSKTPVIVHCSDGNSATMSFIGIQFIFEEVRKHPIFNFGHFMHEMCERTWHPIEKYTFSAWTVLGVRKHLYDECKLAEEHKVDYDNDLKLLKDLKKEWIREDEARKEAIEATKEAERVRLANEKQKQDEEDDRRKKDQDKIDNLNKEVDELKKDKEAQQKYLETIQAKNTTMDEKNKLIIKELEGNLAKLTKEEADRKKELIAWVTKNYNEDVDSHYDFHDVCVLKYMKLLKVDVQRAEANLPSGKQAFDKYCNPDTAVEVMQDGVKIPIHANYVSSKIPNCTIFIATQAPTKQGYNGYCDDTTGDFWAMIFHHDSDFIVNLCQQSEMATLAQYFHSEPNKSVTCVRYNVRTESADLVFKEEVMKRVLTVTDMESKKVKTVIHFQLLNWIDKRIPKSHYAAMEVMNVVKKSKKHVVVHCKAGVGRTMSFIGLQFVYEEISNNPQFSTVMEPMRKMREMRWEAVQSVEQSFWIYLGVVLRLVRELGLDMSYYEKQFALLPAYRKKYIDDMKAKKKKEKDEKEKANKKTKTEEK >CRE05331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1292:5354:6657:-1 gene:WBGene00074563 transcript:CRE05331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05331 MSTDSDKYFFAGYRGFYTDGATFLQIIGLGAINNQSFHVRESRLNERGIKLGDFLSATVPLGEPVKNFTRQNYKFKVNVDGNFASIEDQEAELEKNKEGILVFRTKTFGTVRSVSQTLEIAKYRITIRATKQSEEDLFNGMKYCADVKEKIGSSSAGPSSNSSVIVGSGFSMSNLSISGKRSMKAFVYNSIKKEEQNTYFLWICDNQEQSIFSSKTHKLAIGHFFEGIFEEKEKGKSKWQCTKYVKEIQKLMEGAVIGNKIELKVSIDRYEPGDGIGGRKPQVFVQYLGKIIDNQDKLPMNCVGRQIKTRMYKVGDDFKWVVTELL >CRE05330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1292:1:1181:-1 gene:WBGene00074567 transcript:CRE05330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05330 MKMKDKNTCDCHLLVKIDVMKVLQQRDVLEQLKNEDFDLAITEVVDGCAYAIFEHIQVRAHITVLSCSRFDHVSDVIGQPIAPSYVPSTQSFFNDKMNIKERFLNVVTFYFGRYTFANILDKEFEMAKEILGIKRSWRVSSRFSNKLFHFNLQETMPESSFIFSNHIPVLDFPAPTFDKIIPIGGFTVKMNEKILKLDDKWDEILNRRKKNVLISFGSNSKSKDMPEEYKQSFLRVFKSMPDTTFIWKYEDPSDNIAQGLENVYISSWLPQNELLADSRVTLFLTHGGLASVMELALMGKPSVMVPIFADQGRNAQMLKRH >CRE21027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig714:8011:8610:-1 gene:WBGene00074568 transcript:CRE21027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21027 MNNYFGKKVDFDSNGNLQVLLTAGSDSGKLSCFPSVYHCIVDPKLKNSVLAIETPSDIPVRDEHRHLNSSQFQFQKAGKWCSLISQWMSWSSGEVQVPEDLRKYKVDKNNFDYLFVSKVFLPLWRGIKHGSRCADCFYAESLHSSIDGVMQGLTRVIPIKVDKTYTKLSKPTETGSFFKKNP >CRE17674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig984:2028:14075:1 gene:WBGene00074569 transcript:CRE17674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17674 MYKSIVNPSYISPQNVTKMDMRSSTSHLPLPPQKLMQIVHRICVIMEEHVYHRNTTTMNKYASVRRDLPERNVNMVRKKDVNECMANNGGCEHECVNTIGTFYCRCWPGFELAGDGNTCSDIDECATANGGCSDRCVNTPGGFRCDCPSDLYLHSDGRTCGKVTSCSVDNGGCDHECEDDSHGEFYRCRCRSGFKLSENKRSCQAIDPCLDNNGGCQHHCTNNHGRAQCQCYPGFHLSYDRRSCVDIDECSKNNGCEHFCENIKGTYRCKCREGYQLGRDGRTCEEMLGGCQVGNGGCQHDCYDQPDGGHICKCRQGYILAADQKLCHDNVPKPIIPRVARLWDAYETVTCVTPTDLTCHKLCMHLDSGHVQCFCDDGYELIDSKFCQGMRKDPYHNECNHNNGDCDQICVNMAGSYECQCKPGFKLLMDGRSCEDISECSSNNGGCEQICKNQEGGYMCSCEPGFELSEDGHSCHDINECLINNGGCAQLCKNRKGSRKCQCFAGYVLAHDEKSCVAASENVDILSNDLEDYSKQFDVVDSLDEVIASIENYPADERYETKPLVFGRRRHVTVSNETTLSILECPNGFFGSSCQLSCADCQNGGKCSMRGSGLLSKCDCPSGYTGEKCEQICPNGLWGIDCSHKCSCKLCDPTTGSCRCEDPEKCSDGPCPDGYYGSQCNLKCRMSCPNGRCDPVFGYCTCPDGLYGQTCEKSCPSFTFGKNCRFPCKCAREHSEGCDEITGKCRCKPGYYGHHCKRMCSPGLFGPGCARKCECPSGVRCDPVTGDCTKKCPAGYQGNLCDQACPAGYFGYDCEQKCNCDGVESPHHSKVCHHVTGTCTCLPGKTGPLCDQSCAMNTYGPNCAHTCSCVNGAKCDERDGSCHCTPGFYGATCSEVCPTGRFGVDCMQLCKCQNGAICDPKDGTCECSPGWSGKKCDKACAPGTFGKDCSRKCDCADGMHCDPSDGECICPPGKKGHKCEETCENGLFGAGCKGICSCQNGGFCDSITGSCECKPGWRGKKCDRPCPDGRFGEGCNAICDCTTTNDTSIYNPFVARCDHVTGECRCPAGWTGPDCQTSCPLGRHGEGCRHSCQCTNGASCDRVTGFCDCPSGFMGKNCESECPNGLWGSNCMKHCLCMHGGECNKENGECECVDGWTGPSCEFLCPFGQFGRNCAQRCNCKNGASCDRKTGRCECLPGWSGEHCEKPCSSGHYGSKCEETCECENGAICDPISGHCSCQPGWRGKKCNRACLKGYYGKHCSQSCRCANSKSCDHISGRCQCPKGYAGHSCTELCPDGTYGLQSNYVPVKMEGICEFLKWFLCFAPLGDAVIARQGDTVADANSRVQMASTGGIAPSHVPVKTVHIAMEQTGGAYAQQDSREINVNKSVQKERLARHVVNLATVGNSNATPLMENVSARLEDTDHCVKRSVELEDMERHVRTSVNVSTGHLVIRKRIGQCSCSPGWLGPTCQVEMLDPNNIANRGDLPEDWEWRVKR >CRE23837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1756:233:5439:-1 gene:WBGene00074570 transcript:CRE23837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23837 MDGLLARLKLGSKRKKKTASVKRTRPESARQTRDISGSLYSDLTASSSTVSATSAPDIVLKKDQADQVGEEKKKEKVVEQVEEEKKKFEKVVEKNDDLGRNLLNKKEQGPPSTIKESSESSQTIDDNESEKGGGQLKHENTVVRADGATGIVSSSNSSTASKSSSTNLSAQKQDIVRVTQTLLDAISCKDFDTYTRLCDTSMTCFEPEALGNLIEGIEFHRFYFDGNRKNQVHTTMLNPNVHIIGEDAACVAYVKLTQFLDRFVFFFELRF >CRE26259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1458342:1464261:1 gene:WBGene00074571 transcript:CRE26259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26259 MTSSPTSSDSSLEEEKFLKPEDVEECIPPKELEMMIEEKKVGTLDDFVTLRWYCMLVLLMAELTAFTATASSKVMVFAGATPTVVGCGGTPLNGCHELAAFRNGTNDCIPDLKYQFKSVQVEFNYVCDDAKKVKNTITVQTFGVLVGAAIFGQASDTFGRRKALLISTLGNAIFNWISAYSPDLFYFMVWRTMAGVFTGGVTVVQMVFMVENIPRKDRMWIQNSITWSPNLILFPFVAWLCHDWRTMSVVIAAASIATFFAVFLLEESPRWLIQKGRLAEARKSLIKIRKTDRLYDETFEKQLDEVLHVESEKHARSSKKAKKYTFIHLFCTWKMMAQSLTFVSGIMCTTFIVYSLMYNMEKLSGSLYWNLAIMGASRWIINILVSIADYRLPWFGRKMINQIAMVATLLALFIMAVYLYFGYEGQVMAIGTVAAVAMCSQLFIAKYMMVNELYPTAVRNLAVSLVSTMSRIGSMFSPQLFYLQQSSKIFKLQFQ >CRE21075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1433:243:2323:-1 gene:WBGene00074572 transcript:CRE21075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21075 MRGISRALVHFIVLVQIMCCVYYATNMIRRRQGFSLQHSTSDAPNRKYEGEYIKYETKKYGSDFCVAYNVTRASGDFRDDGLEPISLVLHATSHYMREIEGQRFSVPLVTGMVQSPFPFSLIVLQVKQSIIFMKCIDVPRKLIRRYPHLNVKVGMRSFQLSLHIVYRMSPFQKVCDPIIVKRSLRKCSTFNATIRSRERGRVIPPFQIYPINVMRNVARKGALSYIHMTADVEMVFSEGFAVKMKALANKYITEKDKKLLVIRRFEVDNKAHVPVDHKELFVMIKAFRAFEFHHKYFPAGHTIESLWQWFRMSKNQTEAYAWQIDYKSSSWEAQLILHRKDPYNPEYIPTRIRDQQSLVYELCRANYTFHLASHVFNVHRGVKTQETNLSSAVLTHQKRLRTR >CRE21073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1433:5502:6529:1 gene:WBGene00074573 transcript:CRE21073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21073 MSGTEPDRPLSRCLSEIRPAPPPNPQHHPTRRFSLFRRPSSRSTVDRRASCDFTSAWLKTSNFDLREAGGQQESDNQPVEEQETMGIPTQNEALDHVRFSEHIERPVQLTRIDSNYSISENDSENGSTTDIDKTPESAETTWMRDKIAKPNVEFHGPIFSYIGSKYTVPVNRL >CRE21074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1433:7226:9524:1 gene:WBGene00074574 transcript:CRE21074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-otpl-1 description:CRE-OTPL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NRY9] MPHLDSLFSIVVETRRNPVKASPSRRRNGRICSLIQTIMLRLLSVDENSLGPSARTSLLTACTVFYALFLTIFSLVLELAHLLNDEESRKLNKKDIIFGLYMYGGSLVFFFYMYIVLLLNPRWYSTMDYLRKMFGVCLTRPKVSPSSDSLSSAAATVRKVTHSSPSAGSLFLRLGSVVFGVTGVVYYAFLVFLCDLDPTCSGLSISLDICAILFIFIQMHFIFCNWKLSITGSHMVARIGTMHLVAANLWTWIRYVLMEEGVMEKEIREVFKHRPVVLNQSHSSESSAENSMELFSESSRKDEHDMKMGQGSCQAVECFLGSLSEIMFTSIVEYSLIAAAVMYIVWRNIGRQDHGSTYVKRKHQIRVDCSKTTTGLFLGLAFLAVTFTSMVVYYGFTMMHRSEKAAYVYAFTDMFQYVLSTIGVLTAIYQMRALKYFNKKTHIQNSDQELLDQILLSIGLVGELIYSVAGLVGLTGEKAWNSFSFILLFVHIFRLIQVGTQTFLLHVARSVRMGGDDREAQPGKQAITFLLTANLAIFFMNLFESEKAGVSEVIIEYYGKRSWVFLVRSFSPLTIFYRFHSSVCFAEIWKNVYASKTHPVHNTATSTATPINI >CRE26766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:36122:37813:1 gene:WBGene00074576 transcript:CRE26766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26766 MIDSPSTVIISLLSCFLIISIFSCLSVFRLEQKFETDELIDIYNPNALKDLRAKYNMKADKYSLELSQVARGADHKRFFGKVKLEAFCAIKERIGDVDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITIQEAYERINGQLFVGMIPNEISISSMLKKAERREVELFKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQTMAKYNFRIFNMEPNPYCFNCCEYSLIQDSCMDQYGVYPLVPIVPKVEF >CRE26767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:38597:40209:1 gene:WBGene00074577 transcript:CRE26767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26767 MIYSQPTVFIIFLLCFLIINIVICSLIFRKEKQFEPDKLIDIYNPNALKDLRAKYNLKADKYSLELSQVARGADHKRFFGKVKLEAFCAIKERIGDVDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITIQEAYEGINGQLFVGMIPNEISISSMLKKAERREVELFKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQTMAKYNFRIFNVEPNPYCFNCCEYSLIQDSCMDQYGVYPLVPIVPKV >CRE22234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1391:325:3800:1 gene:WBGene00074578 transcript:CRE22234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22234 MPSSSSSSPSSEEDKHTSADEDVTPKASPTSSEGAGDEDDNDRTLTMPSTSQGYQSVISPAQRQSAPTSQEATSPSTARRVATPLVAGEQEYYYFTTSLKRKATSDDFSGEGAPKLAKLDSRAGGAADVENCPMRKLEMMANSQNFRTGNTINEVVESNNKKDERALKMERLEGIEKSVEEEMAQQAREAQMKRMQQAQAAAATAQPYPSPGQYPGPMPPGMQPGMPYPGMPGMPGMPPHPGMPPMMHPGAPFSAGAAYPPMGAPFPPYGHPMYPGMTPGGYPMSAPGKMPFGSPSFPQPGTPSPAAMAAMQQQQGRMPGPQMPPHPSMMSQQQQHQHFMHMQHMAHMHAQQKAAAAAAAAGLSPNMAARASPANPPQFPPQIPPNHPMYHHMMMMRQMQAQQQGFPGGPPGQHGQQPGSQGAPGHPGMPGMHPGMMQGMQGMPPGMMHPGMMHPGMMHPGMMGGMPGMPGMPPNMSNMPGMMPGMPPTSTPASTNPQNSTLMVAGNRSGGQGEPSTPNGMGGPNPHHQMGNMWQLTPHYPAPFPVSGPSPGPGSSTPR >CRE22236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1391:4454:5934:-1 gene:WBGene00074580 transcript:CRE22236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ivd-1 description:CRE-IVD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NRT0] MSALASKLFSKCVIGRALQAQTVRQFSAYPIDDTMFGLNEEEIALRQSIRQFADKELAPFADKIDKDNGWDQLRPFWKKLGDQGLLGITAPAEYGGSAMNYFSHVIAMEELSRAAGGIALSYGAHSNLCVNQICRNGSEEQKKKYLPKLISGEHMGALAMSEAQAGSDVVSMKLRAEKKGDKYILNGTKFWITNGPDADVLVVYAKTDPSKHQHGITCFLVEKNTPGFSQSPKLDKLGMRGSNTCELVFDNCEIHESQIMGGVGKGVYVLMTGLDYERLVLSGGPLGLMQAACDIAFDYAHQRTAFGQKIGSFQLLQGKLADMYTTLNASRSYLYMVAKAADKGTVSNKDCAGVILYVAEKCTQVCLDAIQILGGNGYINDYPAGRLLRDAKLYEIGAGTSEVRRLIIGRALNKEYST >CRE22237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1391:7696:8296:-1 gene:WBGene00074581 transcript:CRE22237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22237 MHSGFNRLSAPLPATLFVDFSIFADIFLTHIVSTRIQTSIFSAKKMMSSSVGGEVSINSGDLLLGTLSKAITKLEQQIQATQASQRKLNSDCDTMAEYLRDLSEYKQPVDLLPYVGKLNDSTIRVNNTHAKLDELLERLTKLQRQIARETYKKKSSIKEQEPPVQPEN >CRE15118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1042:3498:8514:-1 gene:WBGene00074582 transcript:CRE15118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15118 MVPLVQKQISIDSSSTSSSTFMTSDGLIDDNGSYQESMKTIKKGQASSLSRCSSFKITPVTATTQNTGELEKSISTQSLHTTAPSTSTGSAPSLVRHNSTPIFRFKVSPSSYKPPAPAPVAPLEATVIPTVIPMETTVTPESTVDVTPIDQMPSTSSASEDVVKKEESDDVVKKPKKSSLREPLVEFPQRDDYGAPRARKIRFSGLDVHYFDRLQGSLTVPKEGCVTLAMHPRHHTHRHFSLASGRRPPLNLELYEDGELSEDEVIHPDDVEEIEEIQTTKHMDPIEPKQRIQILKKSGVKLEKNGGAAPKKHFFRI >CRE20121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig988:3988:7112:1 gene:WBGene00074584 transcript:CRE20121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20121 MSPTTPHRLRRHVQMSDFGFGRPRLQSSRAKTPREYISVSSDEETTEPPPKKHRVGSPLVVCLSDSDVDSDVESIIILDDSDVDSDASIICLGTDDGSDSDVEVRQVIWNVDLTADDMPEIVDLEGSFESCSSILGFFLSDSENDIQEMPIDVTSAPIENLENLKVPPGTPESESSNKENSKKVVEHVSETRKTPKSGPSQGVFRNLRARPSISISSATKPKVQCSKRKSKRLSPQAPPPSDSRDEGDDHPIGDEYQAIIPLLLDTDPNDDYGDDNEYDEEIWTPKRFEIKDSEKIKEIEDSFNGQIRSVYWLVIWRQFKGRILFEDALQNLKKHGYDFAASLQTIDQVLKKRPNLMKHPCMGQATRMAKHGLNEMVTMRELQKTLVSQVFKGDCSPNFHLSEVHHYRYQFVRFFMFQHYWDRPCLCKDALCKPMDFEPRFGCSNCAKDWRHFEKGDPMCLICQTYKNLTGEMRPVKDTYFAKEEKEFIVRRNEMQMESGKVLKREEFEKLIEEEKVKRWMKLEITEEEKLMMNFQDPKNVERYSKIAAKGEYLVSKLKPFVLPLFPACKCDESEESKRMIEKENLIVPKIQNPVYVFKFEKKFNPWVDEEMYKKRRKTTNRRNRRK >CRE02750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1321:5155:10058:1 gene:WBGene00074586 transcript:CRE02750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02750 MVPWKCDEVLGDWVDSSIEIDHGEDKNKISETEIMDCCLESKEVGGTTVASTMKIAHAVGISVFATGGIGGFHHGADQTFDISADLQELSKTPVCLVCSGVKSILDIPKTVEYLETHSVNCVVYGEQNVFPSFFTRKSDRKAQFNTGRLEEVVEFIKTSKSLGLPYGTVLACPIPEKYAADGDVIQKAIDQAVREAIEQNIASQTVTPFILARVNELTQGASMTTNIALLENNASIAGRLAAKLCDRRPIAISQMKKNSSIPVQPKVIHVGLDDEKLEKLASSQNAVKVSTREIAKTLIRKEVGGTTVASTMKIAHAAGISVFATGGIGGVHRGADQTFDISADLQELSKTPVCVVCSGVKSILDIPKTVEYLETHSVNCVVYGEQNVFPSFFTRKSDRKAQFNTGRLEEVVELIKTSKSLGLPYGTVLACPIPEKYAADGDVIQKAIDQAVREAIEQNIASQSVTPFILARVNELTQGASMATSKNPLVSIHLFSFSDIALLENNASIAGRLAAKLCDRRPIAISQMKKNSSIPVKPKVVSIGAAIVDFEAITSEDVKDDGGSYNGHIVQRMGGVARNHAEALGRLGCDSIFISAIGDDSNGQFFRQNSEKMDITRIKVITNKPTCTYLAVNVRGNVKYGIVTSEPLLSTLTPALIKKNEDVLETSDFILLDSNLPVPLMTKVLEIAKKHEKQVWLEPTDIDKVKKVFSTGLVDAVTATSPNANEFLEWAKLCQVAVDPSVVNSADSVLELIEKEKTRLLLNTSLFVVTLSNKGSAVVYRNNFGQLEFQSLPPPIQMDKIVSVSGAGDSFNSGVIAGLTHNKTVVESLRIGQECARLTLQTTLATSEAINSQMLK >CRE02749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1321:271:1279:1 gene:WBGene00074587 transcript:CRE02749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02749 MDITRVKVVTNKPTCTYLAVNVRGNVKYGIVTSEPLLSTLTPALIEKNEDALETSDFILLDSNLPVPLMTKVLEIAKKHEKQVWLEPTDIDKVKKVFSTGLVDAVTATSPNANEFLEWAKLCQVAVDPSVVNSADSVLELIEKEKTRLLLNTSLFVVTLSNKGSAVVYRNNLGQLEFQSLPPPIQMDKIVSVSGAGDSFNSGVIAGLTHNKTVVESLRIGQECARLTLQTTLATSEAINSQLLK >CRE01385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:61203:62121:1 gene:WBGene00074588 transcript:CRE01385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01385 MSICFNWVILFWLSSLICSELFCHFQNIFPIWLEPTDIDKVKKVFATGLVDAVTATSPNANEFLEWAKLCQVAVDPSVVNSADSVLELIEKEKTRLLLNTSLFVVTLSNKGSAVVYRNNLGQLEFQSLPPPIQMDKIVSVSGAGDSFNSGVIAGLTHNKTVVESLRIGQECARLTLQTTLSTSEAINSQLLK >CRE23797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig757:4271:7058:-1 gene:WBGene00074589 transcript:CRE23797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23797 MTTRLILLLFMAIGVEIVSSNQHSVLERYEERLNSALSKRTGVPRTVKRYKCVEEYVTYDQHGRAVTSYQGSRFTTTMEPPTYRTSGEKEIRRKPAKKVTISINRNSEETTTQMVTSSTEKSELTTSPKPFDEMNDEEADRIIEEMYLKKKESHATESPEIVTEVIRTESPRPLPPPPPPPSPPQQRAYSTSSSSSSNPGMQRYNPVKQNKIDREDSDEDYPSSVYHRRSRKRPYDMSTYDDYDDIPLYRPMRREYRMRRRRPILFSDDFSDDTDFDRRIENSPRQQTTRDLSPLRSLKHLRHIPPSFPPPQYRPPVPPPMQLPISASTNMIHNRMPLPMAPQMRHPAAPPGAHQMMAMPPGLGHIPMPPPPQPLQPIQQQQSMNSGGEDVLKMKPILQANPQEMQTATEESCQKIKTLAKSFMIKDVSKWARSNCPVLQVTILGLTSLKVFQHFFQAYAPNASCELIFHFIDSCRNKRFF >CRE13827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1341:3737:6823:-1 gene:WBGene00074590 transcript:CRE13827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13827 description:10-formyltetrahydrofolate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3NRL7] MKIAIIGQSAFGVDVYKELRKNGHEVVVVFTIPDKNGREDLLGMTYKNYPIEAAKDGVPVQKPARWRKKNPETGKFETLPEMLELYKSYNAELNVLPFCTQFIPLEITEAPPKKSIIYHPSILPKHRGASAINWTLIEGDEEAGLSIFWADDGLDTGPILLQKKCKVEENDTLNTLYKRFLYPAGVAAVAESVELIASGKAPRIVQPEEGASYEPYITTKPELAQIDWSKTQRQLHNFIRGNDKVPGAWAILNGEKVSFFGSKLWKPKKLPEDAVEVAVSEVPGGKVLVEDCGLLLPGSDGKWVIVDTVKIGTKMIPASKYGQGADQVQELVLTDEEKETVAKLKKIWAGILKSQVSSDTDFFESGASSADVTRLVEEIKFNTGAELESGHIYSGPTLGENIDIVIRNLRGEGGLSVSYDPIVLNVNNMELKFPHEQFIDGKFVGSSDGRTFQTINPATEKPICALPLATVADVDRAVRAAKKAFERGEWRQMSARERGKRLYRLAELMEEHKEELATLESLDAGAVYTLALKTHVGMSIDVWRYFAGWCDKIQGKTIPISNARPNKNLCLTLREPIGVVGLITPWNYPLMMLSWKMAACLAAGNTVVHKPAQVTPLTALKFAELSVLAGIPPGVINIVTGSGSLVGNRLTAHPDVRKIGFTGSTEIGATVMESCAKSNIKKVSLELGGKSPLIIFADADLEKAVKQACGAVFFNKGENCIAAGRVFIAKSIHDDFVKKLVEEAKQYQIGDPLDRSTNHGPQNHLAHLNKLVEYVENAVRDGAKVEIGGKRLEREGLYFPPTILSNIDDENFAASEESFGPVMCISSFDDDDVEDVLRRANDTEFGLAAGVFTRDASKSLRVAEALHAGTVFVNTYQKTDVAAPFGGFKQSGFGKDMGEEALNEYLVTKTITIEY >CRE13828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1341:7720:8753:-1 gene:WBGene00074591 transcript:CRE13828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13828 MSRTETTTTTTTTTTKAFENDYGDFSQFKKGKPTKQTLGEHIYLQYLLVSGIYMLEPWGATSLQLDYHLCSYNIFWANHLLCRLITPKPLGFLFPCQPFLKYLQCFFSIMMLFLVLLISQFWE >CRE13826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1341:9693:10269:1 gene:WBGene00074592 transcript:CRE13826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13826 MAPRHRRPPRELETPSATIRYEPIVEPEPWFSCSWDIAIIFLLLGGTAFAVVLHLYLYPTVDKIHPLPNVDLENAPYTWGTYRPHMYFGLRTRSPASPLFGMMWYEQPDTIQRPHIRHWCNQMIDFLDIIGTKLTGRTFGRQNISETHKGVIQTDWINEANGF >CRE17742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1190:4522:8500:-1 gene:WBGene00074595 transcript:CRE17742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17742 MLLRRSLFILDVCVLLAVVFFLVFLPAERCVKMEVAANKESADCSLHPVHYPDFSLLKSSQKPNGTHLKAAKHHVTVCHRLKWDHYSFWDNMYLILLPAITCFLLILYTLCEICEFDMFVGTVQSTGLVILSLFSVIYTIAVVTHEKSRIQTDWPLLTHAQLFGATAESTSVDVPKTWEYSIAMCLLSALFKIGRILIQHFIGDQKMSLDEDIEFSHDKHRPAKNFGNFESAEVAGTTRMLNESRYSKIENID >CRE20645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1754:3:1179:-1 gene:WBGene00074596 transcript:CRE20645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20645 MSRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKALVSLVNWNHPDIHANFYENSKLCLKFPNDPGLQWILDFRVELDDELDHFIREIDGNQFPSYIDSALHGPKAFHYLTFPNDDNFETMRKMVEHISVVFRTPIASLSTHRLNDQLTMSIVKWLSTLQSSVVDLDIDTTDDITAPTLLFILDNIKVTDHFCLDLKMNTPDFEYHKGIDIPSVILSHSHCITLNSILNSSYRVLVLDESNLTLHDINTLLKCWLKGSNPQLEYCSIRRSMKGKAIEDDIDEAFQIITKDLEIREHVENEKRPMQIVTNRKLTYSLPNDLCYDIVRDDGTIGTIPQTYFSRSDEPDLVLHYFYLHVWD >CRE03479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:84297:87163:-1 gene:WBGene00074597 transcript:CRE03479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03479 MSRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKALVSLVNWNHPDIHANFYENSKLCLKFPNDPGLQWILDFRVELDDELDHNTKVIDGNQFPSYIDSALHGPKAFHYLTFPNDEHFETMRKMAEHVSAIFRTPIASLSTHRLNNQLTMSIVKWLSTLQPSVVDLDVTIDDITAPTLLCILDNIKVTDHFDLDLKMSTPGFEYNKAIDIPSVILSHSQWITLKSILNSSSRVIVLCESNLTFWDINSFLKHWLNGSNPKLEYISIRRSMKGNAIEEDIKEAFQIITKDLEVREHEENEKRPMRIAISLHRPSSYSPPNDWCYDIVRDDGTIGTIHQTYFNRSDVPDFKFHYFYLHVWNKKKKYQGRKKKMRKSDPKKLFGDKEPGKKVILVGGEMKSEEEERKDTKKKAGRETRRNRTVEKKPALAAGVVAVDTGMSVAMGLARVKTTGPEPQTVQMKEVVGRATKAGEEARVQQQLTEGGDPGSTAGPSTSGPTGSPASGEPSTPSSSAAPRQSRRR >CRE11621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2017:12:1328:1 gene:WBGene00074598 transcript:CRE11621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11621 KGLAYVCHQKVEEMRGFEVQMSPWRDRSIEDNLQLFEDMKHGKYDEGEATLRLKLTLEEGKVDPVAYRIKYVPHHRTGNQWCIYPTYDYTHCLCDSIENITHSLCTKEFQSRRSSYYWLCNALDIYCPVQWEYGRLNVNYTVVSKRKILKLITTKTVNDWDDPRLFTLTALRRRGIPSEAINRFVAKLGLTMSQMVIDPHVLDATVRDYLNVHAPRTMAVLDGLKITIENFDDLNLPSTADVPDFPSDPSDPRKHSVSVDREIFIEKSDYKPDDSDKSFRRLTPKQAVGLKHIGLVLRFVKEVKDAAGHVEEVVVKAEKLTEGEKPKAFIHWVAKPVSAEVRLYERLFKSKNPEDAQALPGGFLTDIKSGFTDFYDRFQFERIGFFCVDKIRPQRNSSSIELSC >CRE21776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:25027:25493:-1 gene:WBGene00074599 transcript:CRE21776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21776 MHDLNEALDDLRAVIPYAHGGSVRKLSKIATLLLAKNHIIMQAKAIEELSVLVSQLKKKASSESSESAESVNTESSLPKASLSSEESSNSDNY >CRE02774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1881:7999:8687:1 gene:WBGene00074601 transcript:CRE02774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02774 MSLIIPEKFQHIHRVMNTNIDGNRKVPYALTAIKGVGRRFAFVCCRKADVDVNKRAGELSEEDFDKIVTIMQNPSQYKIPNWFLNRQKDIKDGKTGQLLSTAVDNKLREDLERMKKIRLHRGLRHYWGLRVRGQHTKTTGRKGRTVGVSKKKGG >CRE12289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:21211:23214:1 gene:WBGene00074602 transcript:CRE12289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12289 MNRKYLPAWLQSFIENLWWIVSAGVILIIALLIARHIFIGQQRHTQRDTDANQETIAANNQAEIFPWLQSMDRFFLGVSTRFRALFSRTERDPAGTEIARIEERSFERPVQLRQLPTTSPEEYEERRKESEARHRKLVERTERLREENYKREKDMRCHAWLDGTCVEYDEAGPSNRLVDSDEETLKAAESVSPLDSDESGSQESVIERPMADPESSAGPSNPLVVPESESPQESVEFDSGSENQRLMGDEHSAGPSNPPESVSPQDSDESEGFVSKNRDDKQ >CRE01457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig800:40144:41360:-1 gene:WBGene00074603 transcript:CRE01457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01457 MNGMEVMEETKRESRESMEVTWENYSNPLIYSKTGDNIFARLLGSWSHGESARSYPIGGLCYYLSAPASLRHILEDPLHCIVYIVFMLGSCAFFSKTWIDVSGISAKDVAKQLKQQQLTMRGHREGGMIHELNRYIPTAATFGGLLIGAISVASDFLGVGGGTGMLLAVTLIYQYFEIFAKEQADNGGVMNMLF >CRE19860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:272057:274045:-1 gene:WBGene00074604 transcript:CRE19860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19860 MFDDLAKLIMNMIDTFIPTKVIRPYQPSHSVETKLLQKKKLMIWRKEGNSPYYKDIAAQLKNSLIKSENERVSNRLNSGSKNLFQFIKSEYKGNTDIPSMKCNYRDEVIVDDESKSELFGSCFSEYFHTDEEDIHDIVNPIKTSCNDILFVPAQIELLLSKLKSRNNTSPDNIPAIFLKKACTSLALPLSIIFRESYRVGRLPSLWKTAIVLPLHKKGSRSDPSNYRPISLTSNICKVMEKTVRKHVVDHLTEFELISKRQFGFRNRRSTVSQLLVYQNKLISNMLNGLDTHSIYIDFQRAFDTVPITKLIHKLQSFGISNKLRKWIESFISERRFQVMVNGTLSTERPVLSGVPQGSVLGPTLFLLYINCIGTEFISNHLLFADDLKIYSPCNKSIDTDLRTLEKWCDTWKMKVAPMKCEHIIFSHKNKPAIDSDLNLKLNDMPIPAVTTVRDLGIYFSSQLSFTHHHALIIRKAHQRINIFFSVLKYASWKVFIKCYVVYIRPLLEYGTVVTSPIQKENIIMLESVQKSFIFRVYKKFKMSYSSYFEALEECDLKSLEHRRLCIDLIFTYKLMVTKEIIIDDPIFEFLDHSRLRRHRYYLKSITTNSNKLSSQILSNRVFRCWNSLSELVFPVKPSTAVFKSRICKYDLNHFLSLNPTNY >CRE26882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1125:4888:6029:-1 gene:WBGene00074605 transcript:CRE26882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26882 MRSARQLPVCIQFPGICQAMCISVLATNRLSAIIFPNRHHHIWSTQRLRIAYAIQFLPGMMAGMATLFDKTQLYRNSKNGVIPKFRNEALVTYFFLIAGAFLTLVCIYLIFAYCYLLFVLRRNTKMIKNSAFQKSRNQIKKKEMKLFIMSSITVAIQIAALCLFVSYATSILVISLDKFYLLYNAISDLYAGINPYLLWIFSDSLRKYILIRIGFRKKKKGPSSSVLTVVVH >CRE02563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:99307:99737:1 gene:WBGene00074606 transcript:CRE02563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02563 MPRSESLLLSTVILVYINDLIQSLPSDVYSIAFADDLKIYSENPASLQKTLNVISDWCDKWKLQLAENKTVVLHLGVCNPHTDYFIGNAKLASANIARDLGLLVDCEEY >CRE30586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1208:5800:6330:1 gene:WBGene00074607 transcript:CRE30586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30586 MEFFRSPPQTALFPVSGAFVTAALQQQQSSPSSNLPVIPSPHDIITTTSSSPIPITTSASPPSLPMFLSFPSTSTTTSILMDTVLAAQKLNQQQQQQQQQSPFNFLVVKQENPLPVMPASPPRNSPPHAIKVGFFFNFKVFEKCSKNFGGSWKFRNQMLMNRFYPKFVFFTSENNC >CRE01393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:75476:76731:-1 gene:WBGene00074608 transcript:CRE01393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01393 MIKYKDLISYLLYLRLVLISSVIASAIKKSAPLPEIHRIKSLKFEFTDSIGSIEWDLDLAQVAEKGRNYSCISDSVSIKFSALESQSLIKLDIHATEPIRFAIKKCKNIVLSKSSGFYNSTLYLDAPWLEFFLNEANKPCLKGPHELSLEVHFGCKGTSGYFSFSESTFILQRHSSKQTTFIQFTNLFSGMISMEKLLRKALTHRTAALIAIVSETVEVRFGKCGVDQRIFDMKRDTPLLFRGELLDHFNRLNFLYCDGKPWKWMFNLTISSPNSTGFIAFDVVNYKNPRENFKLVSAVLILLVILLILVWCFVGLLLFQAIKDSMRMRAAAKIFHQEKYSSFEKELQAINGLVDLPRTSTTLSMDGTGKE >CRE21456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:69909:71690:-1 gene:WBGene00074610 transcript:CRE21456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21456 MIPTRALCFQQIIDESNYILTELTNVRHPRNTDCYGILKRKEVPISCFERVTVQIENRFDTRYTPYGSKDLPIYFMYSDENQHLNEFKPATKKMKLEDYPSVEQQMPVNSFSDQERGVTGEINNAVLNFNCPMPSSSLTDTFSPVASPRSTSSLLRSMSAEQAHELLSTPIPDNVYLDTKDIARQMKKWFSLTICTQAFFALNVLGTVRNRLHRVLTIPRPFDSLKAGKELYIKMYNWLKLSKDLKKEILSIFGINDEKPKKFMRGPEDFGSFPDDYGKLDYSMLQFNLIFFSDYPKLTTRKRQASLQSEASSDSGVSTMSTRSSCHSSISTQMFETIEKDYDFPQSLTSSVSGSSLSPESSFHTSITTETFNDIINKPVNYVDTKRISVVVKNWLERTQATQEWFATKILKRCRRTLNQCLNNPKDWKDLTQKREIYVKMHNWMCLTEEQRHEMMRVYKAPNMDSQ >CRE23215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig798:3646:9729:1 gene:WBGene00074611 transcript:CRE23215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23215 description:Anoctamin [Source:UniProtKB/TrEMBL;Acc:E3NNE8] MATLHNKFGAIIQDGIVEGQRFALSSDLWRYASTSTHCDLLITMKFSDDDVENKTSVMWLVQQMREHEPNLRIEVRFHRLNSCYAIYLTADYKSLLKGAELCHIKKAIKSKFGGGMRDFSFEEAQFFAGVEGKNTFLSPMERTIIVKQMVDMMRAGKGGLSLKLPRRTITFTEGIAIVPRLISMNVVQNVSALHNTEYLKHLQQKWVASLGEQPIDQVKDYFGTEIAMYFAWLGHMTTALWFPSLLGILMWFLGGFKYKNNPGDKQDLYQLISDICFVLFAFFNCIWSTIYLEWWKRVQAELAFKWGTYDATQDSYLQDPRPAFAGDYLAPNPVSGRMEPFYPAWKHTVVRYVITYPITCLCVVGMFVAMLAIFTVQDLADFYFGESFFFHWICYLPMIVYALMIVISDKIYRRLALVLNDWENYRTDDEYEDFLITKIVLFQFVTAFGSLFYIAFVLRDMKRLQETLATLLITRQVTQNIMETVVPFMIEKLKLSSLTYKMTRSMSDGTLRRHVENVRNKRQSSVEQEEEMSPKRSPIGSFFSLGSPTEGELRERKKHDDGKLETNDMKQLRTLSSIFREDFSLKTERLPLPEFKPSNDSNPELTQAELESVMSVYARPLDDFLEMFIQFGYVLLFSPAFPLAAVCALINNLIEIRVDAFKLCNTVQRPFGRRVKDIGAWQKAMELLGILGVIVNCALIGQSGLVQRIWPDLSWGGQILIVVVLEHVILASKMIIDILVPDVPHWVRIETAKQEHFRREAFKRESRLLSHTQTPSSDQLQSSNNQQEPTTPDAASRFNRLDQINRSKRRSITPMVRLSSFTRKPRNEDNCID >CRE10147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1251175:1252963:1 gene:WBGene00074613 transcript:CRE10147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-9 description:CRE-FLP-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M6I5] MSQLYALLLIACIASVATAFQEPDLDALAEFCGKESNRKYCDQIAQLATQHAMDVNQEQVQMMEKRKPSFVRFGKRSGYPLIIDGEEMRMDKRKPSFVRFGRK >CRE16580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2184:2839:3991:-1 gene:WBGene00074614 transcript:CRE16580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16580 MEYGMQDVFSDEWESQQFDETKNVAHLVRRHNNFVGREAIAQNIRRQCRCHGVSGSCEFKTCWLQMQKFSQVSDLLKKRYDHFAVQVTRKANKRLRRKERTERKIPLRGNEMAYVHRSPSYCEKNLTAGILGTAGRECIHNSYSSESCDLLCCGRGYNTRLEIRQTQCECKFVWCCEVKCKTCTEEVAVHTCK >CRE12555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:707438:708144:1 gene:WBGene00074616 transcript:CRE12555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12555 MFTSLTFSFLEYQITVTLLQFQMEMKWSLCCHINVRIAYTICGIIIGLFWACVYIFAWKVNIQSVSLVIMLFLFQNWVALATCLVATSFAFETFFFYFSIKKDTILKWKPTTFQILFWMNLLVGFLSIGGMIAAIVLAATKHQGVSNTDQHGLNWWSTATWFLVMLKWTWQNAFIARLYSKKLEKSIIHPEEPDDPSTWKF >CRE08140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:10767:16076:-1 gene:WBGene00074617 transcript:CRE08140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08140 MLLQVLYSTLSNTPSWTHVDFLASEVKILPAFEQATEDVRGPLLILTVCDYDTPITAFDDSSYTVEAHHAGLVSMYENDLCVVFRTYRSGVFFFSMADQGDVLIAQIVHGTIHVIFDFGSLTPSRISAGKALDDGRWHEMRWLHQFDSVQLSIDGVLLNQTAPTGLYRKLDLHSVVHIGGRPADDFSQGIETTFTGCIARLQLNNADLLQLSPSEVHSQCQMPKPPSFTLHNSSQAVLPFTFLPFSFEFRIVPVNGPLVTLLDAENGTLVDVVVDDERKLHLVSNITKFKQAANPAIDVANGGWHSFSLRIRGVRMEIDIDGYTVLWLEGHEVRRISQRLSNFILSASGCYRSATIDLTSVRVDGNVTRGECSFQEKCLPNPCENGGECVQSALDDYVCNCKDGYKGKNCHTSELIYDLFLILIPLFSADLPHSCEEWVFTKGNKQKAVQGRKVLIDVDGGGEMQPINVTCKTERDELGIDGVSTILEHDLVRPMIVTGDNKPGAVRYSLTYGISTEQMDRLVEGFEACSQFMRYTCRGGVRLMTQGDERSPSSWYSTRSDKHGLQWGEAPPYSRMCSCAINGSCLHNRMCNCDSGEDATDEGVNPYSQLLPVTGLFLGGTTKSSSIEVEIGPLKCRNRATFDPVTFSDRNAKLSGTQTFNQRTFDVSLHVKFSHSQMSILSWHSTDDLHWFHLYVNDGKIVGEVVNGGESQQIVSEHRYDDGKFHAIYWEADSTGMFLKVDGQRKSLKTSFVLPTVYTWIVGSRTEKGSTGFAGVIRNVYLCGVELALGQYARKETERGIAVGDDGYCRPDLCQNGGQCIDKYDGYVCDCSMTPFGGSDCTKEYGMMVPAGSSIQIPWQNPAHQSMCHRIAIQTTSKNTTILRSKALFADSTFNMTVDDNGNLQMNAYDGFFFHFKRHSKHHNLSDDVMHDISFCASKHHFNVSVDGMQVITIEGNWTFFESFNVWHFLDENFEGCVSRIQTGSAFPLKSPKTARLNYSGKIRFGTCPIEAVSRHQMYDFNPQPEAVSSTVATSTEDIKIFSVSQSKQDLMSKAIVFGGIFAIFMCIVCMSSLICYMRSRPEGVYKTNETELLASPFLNKFKSILLTGWASLFMPLLLSRKADPPFGDTSTIPSTTGKRCNLPKEDQGS >CRE18345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1403:3982:7467:1 gene:WBGene00074618 transcript:CRE18345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18345 MIELFSIFTKGGVCLWNYQEGDNNFTDAINNELIKSTLMEDRGTNGQKKVGNYTMKFQLDNEYNVVFLVIYQTIVNLNYAEKLLNMALTTPPSNIYGDFDDTFQQVLSQAAKSARATESIVKKPKTFAESAKSQKTIDSLIVSRPGQKNVEKSAKNAEKVAKIAADSGSDSEYTSTSPPGSPDEEVLRRRQELFQKKGGATKKKGAESEQPTSPKPKGKQARVWALSGKPSDLKALDRSDQKEVRFSSKLAENRLKMSQNLSKLRKKAENLSKSAKNFLKSAENCSK >CRE13847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1821:1117:4047:-1 gene:WBGene00074619 transcript:CRE13847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-12 description:CRE-SRAB-12 protein [Source:UniProtKB/TrEMBL;Acc:E3NTJ3] MTSPIPDPLIFNCSVMLQLANSHFLQSVLYFNLFCSAVAVFCLIHTWLSICRYKLMHFNLKLLMKIHCAALLIHCVPRLFLHLFDLYAYFFASNCYEMQPGSLRCFILRFPYMFGLILSSTTTIFLMIERGFATCYSQNYEHGYKSTGIVIGCCQIFCSLILMASVFHEYDFNAPHYYCSSISVKFPLWVIIPEVLIIVLQIAARIGNRCLLGLNKRIRERSVTATLSNRYQLEANMRNIRLLQSFTLCDLFFVFTCFTLSAPVHYYSSEMERATYHGLVEVVNFVPLYSVVMPLYLWVFRKKHRDIVTNTLQASLTTSSDHYFNVLNQQLSVREEGEKKRIRNKLRKK >CRE26915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2164:2129:4282:1 gene:WBGene00074621 transcript:CRE26915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26915 MIFLIIFSLFLLYIFDLLYWKRRNLPAGPTPLPIIGNLYLMTDDVKPGYKMYQNLKDQYGPVFTFWLANLPMVTVTDWKLIKQHFIKDGANFVGRPEFPINIEIRMIEDCLVHALYQTLMYHNWKLCRTQESKNKYRNALRKTFKRPHICQEVYEAVKAMKEKAGVSKSSNFCRMDVERFQNTVFAGTHQLIVFVKNSTIPYYSGPYVGEKKQLVLYLDDGHYRGVRSICALLRTDYYCALCNRRYKSTVQHYKCPLVHRLCGQRHCPVTESDQPTRCKTCTVLFKSQICYENHIKKGSKNGKSRCEYTAVCRKCEDIYYTNKGNPHKCGQKWCYRCNCERMMPHNCIMSISKKNEKKLTRRRVYFDIE >CRE13183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig940:16:1199:-1 gene:WBGene00074623 transcript:CRE13183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13183 MGDGMDDDLERSMASGDDKVSMGAVQMSLAERRKRTVGFANFLATVGQVEEEVQQLLKTMTVVSSRQVDVVQGPWRKFRKELTERFKELDEDKWPRAVMRIMRESGLESLGELKNKCEKTEGGGEGNVGKLGEEKWLEEIEGIKNENRLLQVAWNEEREGMARRIQELEMEKEQVMQKAKRMEKLAKEEKRAAESMKSNLQFVQGKTTEKLNERTASLQGHSKNAGKRSRTSSSSSTARYWSLENQKGKLPPVENGEDWEDKVKQWASEGRGSAGMKENGWERRSVKAGSQAMESEVQGMVQCMNRMMKASALPEPKMFDGKGDFKEFKRAFLLKYNQVTDTDDELVAILEEKFLGGAAKSLFKALPNRYERSIRSLFEEFEMKLRKRQGDSKA >CRE07937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1455:3705:6140:-1 gene:WBGene00074624 transcript:CRE07937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grd-16 description:CRE-GRD-16 protein [Source:UniProtKB/TrEMBL;Acc:E3NS22] MQLRGIPFFYYLISHLISSFSSTTVLAQKTYHYGVTGREIRHEPSSRLARYQSTSVSMNPLEDNLRVQQILKKLEDPGGVEIRRKSSSRHLHGVISEPFGKPLVLSGGLSNDEKAGGGVVNKQKKRSQVSRRREKSPEVNQFEMDLARQIEEDQLKFVEDEKNPMKYVVENGLLFKQSRFSPLARVEIPQIAVPQVQPPKKRKRKERKLRKNKIKSISSGGNGGIGGGSVFRTNVFRGNINRQIPAIPLPSPYSAAYGKPSFAIAREEDGRCYTNRFGYRCCDEALESLILKSYEKLRRRSDSLEENLAKIASTLRRDSRQVFARNFEAIVSTSNFGSSIPSDFSCKVELGPSRFIAQVFVPELGLDAKTTVRRHRIPYHELSREDLSDSTELVVSRNGIIVSQVL >CRE20976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:118945:119331:-1 gene:WBGene00074625 transcript:CRE20976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20976 MSVGMITRESVRGALQHGITAAQIISFLRANAHPQCIATSGAINCLPITVADQIRLWEDERRRMDLKDAYIYSHFESEDEFQGVCDYAQERGILLWANAQQKLVIVNEEGHEYVRQWYKRSKGGETTA >CRE21088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1753:4812:5974:-1 gene:WBGene00074626 transcript:CRE21088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21088 MDQEPEFIEAPKSAYLLYCDAKRPNIRRENPYLRNNEVNKIIASQWKRATSHEKLPFVTEAKRLKSIQKKYFGEIQKPFNAYLIWINEQRKELKNQEKEKAQSKTIVSELAAKWKTMTMDEKLPYLEQERIQKEEYKKAVDQIKTDLNLKYRAKPGRNQPRNSYMIFYKMKKEESGKVSKALVEEWRKIWKNMNDEEKKPFREEAEKGKAKTEISNPEDAIQEHDEESDSSLSNPLSPISEAHSESGNPSRSSSLEPLDYFSEIVVLDNDEQKSLENIEPTLKISDNFPWELALKSIL >CRE21087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1753:1649:2715:-1 gene:WBGene00074627 transcript:CRE21087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21087 MITNTFEDPLRELQCDGHSNLNNIMRFRKKCGFPLRQMVLEKPHKRFRKDETPLEWFAPLSVLEEKSCAAFALYVVVQSENNWFAAVPESILVPSEPLRALKKVQLQHGSIDILNRTFVNNNNETLECSHLNRLQVGDRIQVFDVVETKIKDDWIATVKEYAVIERTFTYSSAVAVKKRGGEGVHTVYPIDSKLAQILPGAPFSSNLRGKTLFVNSAFGFVPKAPIAPNKAPVAPGTSSDIGIKDETKETTSDTVTIETRRTVKREGKIHFEEATTICFPRVLPSNVHIIKAQILPVPQ >CRE21086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1753:298:820:1 gene:WBGene00074628 transcript:CRE21086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21086 APSSSISLSSPDEYHPTSTAPMNNHAENHLMGLNYDASTIQNGVNSNGVAHPPTVPTVPPVAARPVYDQQPSCSNQNPSTFYNFPPPPGYPPLNAGYTPNINYPQLYQQPQYYNFPAQNVDQTYPYSQDIPPPQPYFYNQNPQNYPHHHNNFQNQYAS >CRE12435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1576:620:8687:-1 gene:WBGene00074631 transcript:CRE12435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12435 MPPSTKNQVPQQIFSSFPAPVQTSAPEPEFPQFPTFPTLSPHPQIDEETEEEETENPEEYPDTVPTVPTATVPQPDYSEEYGQEASSTSSTTQVQGVWSEISEFPETTETDTFGTVPPDTESTAPAPETPDLALETTEMPENHTEPSTENAEIENSWQEEFGTSQELPSHPTTKMAPPVTQAPPPQPVQKRLAPVFKPSQITVQIDENESQVEITKAHATYPDGLSGTITYVLHKGDPSLFSVSSYSGSINLLRALDAEANSTVTIQVSTSEAQTMEMDPKLAHFVSITINVADKNDWIPNFESGSYEFDVKEDTLPGTIVGQVNAFDQDRDDPNNRIRYRLLSAGGLEAHFNVNAESGLITLARPIDAFAGEKITLRIEGADSGMPPLSSTTTVLINVVATSSHLIPDASPVSNTPNEGELQFSLRNYTASVSEAVRPPHLVQVLSVMNKPTDTRFIICNIVSGNYRGAFGVTAGNDGNCELRTQMELDRETVERYLLNVTVTAGTQTDYALVSITVLDVNDNVPRFVYDSDLGLTTYFGAVSSVANAFTRVLTVKAEDADLGNSSLVNYALDPLSAHSKYFSISPFGEISTKQSMSTILSRNRLQFFEFRVSACDSPISGQQLCSKADVVVNVIGSTNRFKMIIYGLNPQQLKKHEKDLVKSIRQFTGSCNLLTIEKMIEHTAIENQVSVHGSKSFGFRSETCFEIFRNVQICFLA >CRE07954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1855:1150:1845:1 gene:WBGene00074633 transcript:CRE07954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07954 MNPSSSIEQMFAVAEWMNSMQPEIWLCEFHDGLVKSDSITRFFEIANFPIRFLSFEVIQRSDKGPINCGALNVEDISVATRTNADPVNWFNVEQIKTWNCVRLMLMVCKFEERDLNQLVKGWLNGCNSRLEFFSAVLDPLDFNIILEDVEFEERDETLTRLFHTSFTTLPLSRTFIGGYDITRSDGTVATLQQINRFPGPRPMWEFAMAVWPQEL >CRE07956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1855:2125:3716:-1 gene:WBGene00074634 transcript:CRE07956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07956 MSVSFNLSSLNGTVHFDESYINYEFNWKQFPTAFATLPWIYMIPSFLVICEIFNVYINSNRKKPEPGKNQHVFLIISLSQFTCFALFFSDFWMTRLPSTGIFTSYCATIPPNHWLKCILFAALYFNYLAMSFPFLLPVIRLIIVTFPKRHVIINTILIRYGVPLILLFPICFTFYLIPALGVCKQRASPYPFGAIWIYYINSAFGVTPLRFEIINTWVLFQLRNSFFHLYNLIFWMTLSIIANFLLFYQVGQARSRLIRAQTSGTSKRAHTSITITTLAMITFYVTNGSFLLMYIFYYGTNSYFSYAEIIRPFGNDLQTCVVTWVFYLTHPAFQKKKSMDSNLIFSTSSFRRRVDFSI >CRE15826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1226:5608:6572:-1 gene:WBGene00074636 transcript:CRE15826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15826 MSKNCKSAENTDDDRTLAEDDQNDQNSGSMDHRFERITVTLEKVGGKKFGLGIASVHQRILVCKVENGGDLAEIWGSLWGVAFKSWSLNP >CRE06235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1493:1536:3756:1 gene:WBGene00074637 transcript:CRE06235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06235 MASYSAQEAQAALKPQFEAYCKAIDNLDWDNVPTYYHPNGVIVQKGKEATYGKEAMKAEFIKFAEFTGKSTSKFSNAKYEGTGDYLIITADFTSETEKAGTVKGKFVQIWKKEGDRHLIYHDEYEVLA >CRE16838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:169251:170688:1 gene:WBGene00074638 transcript:CRE16838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16838 MAATLEPPTWGFYVYYGMSIITLPLYIVILICLLRLRCSLKVFNSTFYSLLLQHCIADLFSMLGYIALSPARAIPQVRQFYFDFQGYYIAAATYNIIYYTLYIRCTGIIFLSLQRFVVITSPHSLFTLRVQNAANRNIILLYWITPTLLSIVVLKDTNFHFDGLENMAIVADKSVIQRNTLMALIVVSITCIISSLAYGALFIFVRKNSSKLSKTLRRELHLAIQVLVLLVAFFAILVFYAFLNYFSQFQNNGPIFYMRGLYPMANGFLSYINPFCILVLNKDLTRQIIKSISCFGWNMSEMQMSGIVTNSNKPQTNQMGNAVDGFRRSLFN >CRE16839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:171691:173184:1 gene:WBGene00074639 transcript:CRE16839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16839 MNDTSELVPPKWPLKAFYGMSIISFPLYFLVFICLLRLRCVSKAYNTTFYSILLQHCIADLLTMFLFFFTNSVRTVPGIREFYFYYQSYYLAASSYNHIYYFLYIRCTGIVFLSLQRYLVITCPNSYVTQKVQQASKLQIILVYWIVPTLISIVVLTDTNFQYDKLETMAIIAEQSVIKRNTLMALIVVGLTCVFCSLTYGALFYYIRKHTAGLSKSLRREVHLAFQVFVLLLAFFAILAYYAFQNYFSQFSNTGQIFYMRALYPVANGLLSYINPYCILILNRELARQVFRCVTCHKYKVSEQSPVQVSGIASHSTKQQNSVSSRKDGSRKANKRVVII >CRE21680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1632:8476:9809:1 gene:WBGene00074640 transcript:CRE21680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21680 MAHVVIMECLLYHSTLFSVRIISRLDVYQKHIIRRLSILLQHCIADLLAMIIFFLTNPMRVLPYIREFFFNYQQYYITAASYNSVYYFLYIRCTGIVFLSLQRYYVICCPTYKLTYKVQSASNLRIIFIYWLTPTIISIVVLKDTDFSYDSFERMAIIADQDVIQRNTLMALIVVSLTCVICSFAYGALFYYIRKHTAGLSKSLRREIHLAVQVFVLLIAFFAVLAYYGFQNYFSQTHNTGPIFYMRALYPVANGLLSYINPFCILFLNKDLARQVYQSATCRKYTVSDVQMSAVITTSTKPPLRTDGIHEHHSPQVVF >CRE21681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1632:10301:11519:1 gene:WBGene00074641 transcript:CRE21681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21681 MSDSLVPPTWPLIIFYGMSIVSLPLYFLVFACILRLRCVSTTYNTTFYSILQQHCIADLLAMIVFFVAVDARDNIMLQQVTVIRTIVVTSLLFVASYNNIYYFLYIRCTGIIFLSLQRYLIITAPTSYITHKVQNASNFQIITVYWTVPTLISIVVLKDYNISYGNLETMAMMADQEVIKRNTLMALIVVSLTWCYFCFRSLRREVHLAFPSVCSTFSFFAILVYYGFQNYFSQTQM >CRE04476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2451:938:1330:1 gene:WBGene00074642 transcript:CRE04476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04476 MLLERSPQGPPVFQNEKLWRLLCDGVWGILQWKEVEVSIYYDSCDFSHLSSNALEDHRSFFRNKRRSHTFQQDNASIHRSNSTMAWLAANKIKTLDWPAISPDLNPIKNLWGIMVRSEPGRWKMALKKID >CRE13447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:158651:159752:1 gene:WBGene00074644 transcript:CRE13447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13447 MVQHCGFTTQQEMIRFPRLYDKINEVISGVLKERLKPTNELVENLVAIELAYINTKHPEFTEANLVTLLKEELSLEDRNGRSRNRHTSTGERAVSAHGEQQIAPVPGINEVDLNAALQQQQQQNQRTSAGSGFLGLFGNTSTSNKSSPQEKQSANFLPEVPETQLGIKLTSREQRYVAIIGRLIRNYSIIVRKNIQDSVPKAIMALLVNFVRDNLQSELVRQLYKPDEMDDLLAETEDMAQRRRDTLETMKALQQASVIISEVRETQVW >CRE13449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:163137:164189:1 gene:WBGene00074645 transcript:CRE13449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13449 MKRIKPEYCKNVEETMELDVELLHGGTILITCVQLISISCIGQEIEVKTNYFSCRYYCDVGTEIQAIWFICFVCNVSNSVLYFLFILELESLCRLKYKRSQCYFVSFITFCELTVFLISFRFNPRNPIKLGVVIFPIAMPLIIHKYTLWTVFQDRIELLNNEMSNVENRKGRDVSRLVSTNNEKRELSPPEECNRNEVCCSRIDETSPKEVDAQGVSSLSGLECEICMLNYDGTVEKQTPRILIKCGHTVCQECIENILNQYNQQHIFCLFSKQVTVVDGGDVTKLPKNYGILRLMQ >CRE04449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1531:4519:7875:1 gene:WBGene00074647 transcript:CRE04449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04449 MTTTADTTSRTEFTVTGMTCGHCEGAITRERSDRPPRRDRAGPCRRRSRAGRRRRGRILGHRGLTDPNRRGAPQSTSRDPGTASEQKASSSMTPTPDPLVLDIGGMTCASCANRIEKKLGKIEGVEASVNYATERATIRPSAGADPAASAELLADPERFVHTVEDLGYRASVRRTAARPAPAQAAPVAPTHSSGADGHALDGHRDPHAGHDMAGHSGGDMAGHDHGLSFAEGAKEADDAELRDLKHRLIGSVILSVPVILMAMIPALQFTNWQWLSLALAAPVITWAAWPFHRATWINLKHGAATMDTLVSVGTGAAFLWSLYALFFGTAGHPGMTHGFELTVSPGSVDGAGNIYLEVAAGVTMFILAGRYFEKRSKKQAGAALRALMELGAQGGHERRRVASYTTKKKKKTNRKKPTGVLVFLSLSLSFTDSHVDDHEAPEKKGDRRRHPEPTVTNAVVKQSSQPLRTRKRSPTALAVGTGRGAQLGILISGPKILESTRRVDTIVLDKTGTVTTGCMALAEAIPAAGEDRAELLRIAGALEAGSEHPIAEAIAAGAREADPRLPEVESFQNAPGTGASGVVDGRAVLVGREALLADWAVAIPEELAAAKAAEEAKGRTAVLVAWDGVARGVVSVSDRVKPTSAEAIRQLRELGLEPVLLTGDHETVAREVAREVGIDRVIAGVMPADKVEVVRGLQAEGKRVAMVGDGVNDAAALAQADLGLAMGTGTDAAIAAADITLVRGDLMGAVDAIRLSRRTLGTIYGNLFWAFAYNVAAIPLAALGLLTPMIALLDGRFSSVVLGRNSLRLVRFRSGTK >CRE04450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1531:1776:3791:-1 gene:WBGene00074648 transcript:CRE04450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04450 MDRSPHGLARAEEAVPAGEGAHRSAARVVPRRRERLPPVPHLLRRRHRRGHAGADVRRPRRPLGARGRRRHPRPRHRGAGPRRVRRDLRPGVRRHPVDRQGAGPSREVDRRSGREGAPIMTATTAPAISVRGVTKAYGDLQVLRGVDFEVERGSIFALLGSNGAGKTTLVRILSTLLRADGGSARVHGVDVASSPGEVREAISLTGQFAAVDEILSGRENLVLVAQLRHLPDPGGIADELLERFSLTEAGGRRAGTYSGGMRRRLDIAMSLIGDPPIYHLMDEPTTGLDPQAPFDDLADGXXXXVPACGEASLLRAAINRRSADHLPRRAHHGARPAGPHGGLADGQGARRRRHHGAADHAVPRRGRTARRPHRDPARGHHHPERHARRAEAAAAAGEGRVRREAADARGSVPRARRTAGRTRDRRREDREGGTEMTAHALSDTRVLTGRSLRHILRSPDTIITTAVTPIALMLLFVFVLGGAIRTGSSESYINYMLPGILLITIASGIAYTSYRLFLDLQGGIFERFQSMPIARSSVLWAHVLTSLVANLVSVAIVVGVAFAMGSAPEPPSAPGWAVVGILVLFTLSLTWLA >CRE07966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2375:5220:5396:1 gene:WBGene00074649 transcript:CRE07966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07966 MGCGSSSAAEVAPAEIVEPSPSSDVPSDPKIPTSYRPSIISLQIPNEFGDDVSSDALR >CRE11295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:29878:30504:1 gene:WBGene00074650 transcript:CRE11295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11295 MNTYTIDGNTVDQKTLIRDLGFLISPKLDFSEHWHKATNAAKFLVSQIFTKYNSNDSKIMTLLYKTFIRPVLEYGTEVSSPYKKCDIRAIESIQNSFTRRLLSRQIGRYLTPSDPDYLSANQRNDKYGLASLEHRRQTTDYKMILKMQLGKIDINTEDFFTTNTFTKTRSNNTFHWKAGKTKTRRNFFIHRTLSRVAISSDRPPISPN >CRE10207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:467583:470060:-1 gene:WBGene00074652 transcript:CRE10207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10207 MGCQVSTDGSQFERSDYDKLWKRMNSLSEPSGKGAQRKKKKQSSGWKIWRILVVSTYKDFRNERRAVLDLVSFCRDLRCEKNKKFQIENKLQPIASEKQVILQVEDFSWGSKAHIEDASCLYLIEQLCREASSSSSSFSFYLHFLGECAGYIIDHTFTDESFLRQHGISKEQSYSEVFLQLAGLQNPNGQFSSSLTISNSVISALFLYRNPSFHQELPFNVTNFIDSPVNRERAKYLTTMVKGEVNSSKFIEYSVRTSGINNTHFKHILFDDLSGAINPIENSIMKCLDDSPDSESTSIPTADDFLKEFYSEVKVDQSILAKVEANLRSKKSILLVGEYCTGKTSILHRIQKKFGESVLVQATEKMSLVELRRAIDSKSKVILHDIDYKLVRYLLVDDVHLASKEAQQYLAGNEELVILATSRKKKIDVEMDRLIIPHPDPEEILENLSFWLNGEVKEKSGVPSVKASNILKMSQQKRRRSLGNLEDGHQPDENIGWNGLKCRVIGTLGRIGSSDEKCAQLAQLSTLRWFQPDQN >CRE15220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1787:1838:4166:1 gene:WBGene00074653 transcript:CRE15220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15220 MLRLLILSTSLVAVAWAAAAGCEDADPKCTEWAATGECSTNAVWMMANCRKSCHSCHGRRSCMETTNTYSDDVCYKFDDKRLLAVHFTVAEGVKNKEREATTDPFVSGWKIEDVEVVVSLLTLFLLSEFSSSLSPILRIMVELDRTDWQESPYTVEQLSDPRRNPFDVQADNGEICISLRRNAVYFTSEMLLPALITSLFTLSAVFFQLSKTQPILLGFSVVAQILSLILVSQRLPSFTAHTPTIRQFSLMNLSSKHFNFSVKFAGFNMVMTAILFVVSLVLERMATSQVEIPPPHGVSQFAGLVNKLLPIPKNSKHEDTSLQYAAFAHALNHVIFALSTVLYFVVILFSFVF >CRE17714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2823:1210:1585:-1 gene:WBGene00074655 transcript:CRE17714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17714 MAGKENYPLRSTETMSMITSNELKLCTQLMMKIAEMLLKFDANHMRPSFDPLPILKEISVTLERATESFIRNDPDPLDDRHPHRTHPDSALGNILKIIFKNDDFMTKV >CRE26864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig725:79:2454:-1 gene:WBGene00074656 transcript:CRE26864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26864 MNNQQQVAGAGDEGLNDVPEEVDGLDVGLDMEADDGRNDVPEEVDELDVGLDMEADDGHNDVPEEVDELDVGLDMEADDGRNDVPEEVDELDVGLDMEADDGHNDVPEEVDELDVGLDMEADDGRNDVPEEVDELDVGLDMEADDGRNDVPEEVDELDVGLDMEINDRNVHIVAAQLFQQLAAVADELNDLRHQDDQRQAMIAAQEQLHAAMQLPPLHNPRIIGVRVPGGYFEDGRALLEPGVVEENAHVVDGEGAPILHMEPAKDPRD >CRE26865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig725:4972:5319:-1 gene:WBGene00074657 transcript:CRE26865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26865 MTAQKNETDLLIMALLLVVFPPLGVLLKSNGFRPPVFASFFLYFLFIIPSYIFSVWYCFVEERKDSILPLNSGEYNNNINSISAIVTHKDIQVY >CRE21089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1793:598:2448:1 gene:WBGene00074658 transcript:CRE21089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21089 MKNLWGDRFFNATTKKWSYTKTDDSSKRGCNQFVLDPILMVFDAIMNVKKEKIQELVKKLSIKLDYDEEDLEGKPLLKAFMRRWLPAGDTMLQMIAFRLPSPVAAQKYRMEMLYEGPHDDDAALAIKNCNPNGPLMMYISKMVPTSDKGRFYAFGRVFSGKVATGMKARIQGPNYVPGKKDDLYEKTIQRTIIMMGKYVECIEDIPCGNIAGLVGVDQYLVKGGTITTFKDAHNLRVMKFSVSPVVRVAVEAKNPADLPKLVEGLKRLAKSDPMVQCTVESSGEHIIAGAGELHLEICLKDLEEDHACIPLKISDPVVSYRETVQAESSQICLAKSANKLNRLHCSAQPMPDGLADDIEGGKYNYDVTEARRIWCFGPDGTGPNLLFDVTKGVQYLNDIKDPMMAGFSWATREGVLCEETLRGVRFNIHDVTVHSDSMHRGGAQIIPAARRVFYASQLTAEPRILEPVYLVEIQCPEPVIGGIYGVINKRRGLVIEESQVIGTPMFIVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQVLPGDPLEIGSKPNQIVTDIRKRKGLKEEIPALDNYLDKL >CRE30313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1409:4212:7749:-1 gene:WBGene00074660 transcript:CRE30313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30313 MKFLAIAFLLLQFFYNVNGLTRVECADVVNHVRAENAEQLQWANVNKLLYNISLEKILFDQISEYNGCPPPIVISMGGVQVYLNTNHGEDGGLEFMRNDTKRGLFGMTESTQLACAVTTCMEDGKPVFSVVTDYSKMPPIVGRPGSQCLSGRLSNSKGLCYLQSDHKIFARKATPLFVHFLMIVSVGAYAIFGALVMRSLESKTITTIEKKTDVHRRHLNLSNSGEFVGGDYFLRVLNTMVKVITEKSLLTVNSRILKKRSIETIVLLFSTFYLPIEGRRIQSQKKSPNESDDKKHVDAAVDQVGHTGWYNRFKVHLGQKLGTAECTKLEPRFDRNIEKLLSYHNIIFNMVDAIELQVQIDPSNISKKRVLAPPEKNLWSQLGGWFHFLSLRHYSGAEAHLLDRFSYTCSKIAQKEMQIQKRTRTHLIKRMLLYIGDESVELNNSVEQLNVLLSGIDETRHAMILGFNSKASEIQGWIDEVTIIVTLHQNELIKFSRELSMYHDSVYNAIMEVLLRLGYHVHRKK >CRE13825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1301:1669:2720:-1 gene:WBGene00074661 transcript:CRE13825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13825 MDGRLSDIVEERLTHFVVAKITHQVLAAVAMMHQNGIAHGDLTLNTIYVNTDAELRLSSYGKSDDSSEHLDERFRGNCPFLSSIPGRKVIVVLFPDDLLSIGAIVSRFLMNEEEMFKFSKSRNHKDIDWRLVLEGSRDSGLLDFNARSLLFQLLEGRQTARDLLRHPYFKSFRAPQHQTVERKHTVVGQKTSDEVLDKLREELQRFNPFNMSEIML >CRE12397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig856:452:1072:1 gene:WBGene00074665 transcript:CRE12397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12397 EKESLQTTLRKQTNQLQETTRQFNSAQKNADNLALRLKKALADCDEWKKKHEESINESKTEILAERKRAMDRAEASEKETELKQSRMATIESAKSALSGELARTQAELDRCRQIIVQLEENIKSQETLGNSFERHQSNLNFEIENLRDENCALKAKIRRQYKQIELLTQQDETNDELNHFENKTERLL >CRE12399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig856:1285:1870:-1 gene:WBGene00074666 transcript:CRE12399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12399 MRYISAYLLASLGGNSDLKADDLKKILSSVGIDSDVENINNVVASLQGKNMEEIFAEGMTRIASVPSEGAPAASSAAPAAAAADTKAAKKEEPKEESDDDMGFGLFD >CRE12398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig856:2442:2988:1 gene:WBGene00074667 transcript:CRE12398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12398 MRYTSAYLLAALGGNSDPKADDLKKILSSVGIDADAEKVDSVVAALKGKNLKEVITEGKAKIASVPSGGAPAASSAAPAAAAADTKAAKKEEPKEESDDDMGFGLFD >CRE12400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig856:3087:4201:-1 gene:WBGene00074668 transcript:CRE12400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12400 MSSNCNCSWVRATNEPTNQEKGDGFLAIVVFALILSIIYIITMIVLYIRSRKFHQITKFPKRPNWMIKLDSTFSAMKMNRFTIYTKSYEKRYCEKSREEQRKKMIEIMRTLLQTYLQVSIAIPDSTCIVSIPKRNFKCGAVPGVFKPKHEGPPDSYYEYQMIGDDAIQVTWFVVAGVRYVAGVCIYLETPYESRIRYRDDIILDLLYKPDYWSTVSLKEETMQVLIRKKNNELTLFTNNRYGEPSCFRFDSDKRKIVKCQALSLDNYKRIKSTVHTQHLTIQVCQHQNKLALTAINNGTRMHATWNRKYRQIEYSRCASCAEVYDTQLPTYQSLLPHNSYCYNYFNDFFYQNMCY >CRE11601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1417:576:6378:1 gene:WBGene00074669 transcript:CRE11601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11601 description:Anoctamin [Source:UniProtKB/TrEMBL;Acc:E3NRV9] MKFSDDDVENKTSVMWLVQQMREHEPNLRIEVRYHRLNSCYAIYLTADYKSLLKGAELCHIKKAIKTKFGGGMRDFSFEEAQFFAGVEGKNTFLSPMERTIIVKQMVDMMRAGKGGLSLKLPRRTITFTEGIAIVPRLISMNVVQNVSALHNTEYLKHLQQKWVASLGEQPIDQVKDYFGTEIAMYFAWLGHMTTALWFPSLLGILMWFLGGFKYKNNPGDKQDLYQLISDICFVLFAFFNCIWSTIYLEWWKRVQAELAFKWGTYDATQDSYLQDPRPAFAGDYLAPNPVSGRMEPFYPAWKHTVVRYVITYPITCLCVVGMFVAMLAIFTVQDLADFYFGESFFFHWICYLPMIVYALMIVISDKIYRRLALVLNDWENYRTDDEYEDFLITKIVLFQFVTAFGSLFYIAFVLRDMKRLQETLATLLITRQVTQNIMETVVPFMIEKLKLSSLTYKMTRSMSDGTLRRHVENVRNKRQSSVEQEEEMSPKRSPIGSFFSLGSPTEGELRERKKHDEGKLETNDMKQLRTLSSIFREDFSLKTERLPLPEFKPSNDSNPELTQAELESVMSVYARPLDDFLEMFIQFGYVLLFSPAFPLAAVCALINNLIEIRVDAFKLCNTVQRPFGRRVKDIGAWQKAMELLGILGVIVNCALIGQSGLVQRIWPDLSWGGQILIVVVLEHVILASKMIIDILVPDVPHWVRIETAKQEHFRREAFKRESRLLSHTQTPSSDQLQSSNNQQEPTTPDAASRFNRLDQINRSKRRSITPMVRLSSFTRKPRNEDNCID >CRE22240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1511:7490:8128:-1 gene:WBGene00074670 transcript:CRE22240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22240 MFHILKDYGSIDYVNRYLRFLKEMIKAKTSGDFRMIFLVVRDLKRYGKDQYLKDLFDLREHETSIKLMEEVVNAYYLNNGRDIDPLQNVLRGRHSESLLHFIDLLKTTPKDRVYGQSGLMESLRNVARMSLQLNDAQFSMMKKFLVTALSFNEQVLRDLVYVFDSPREICEEIWVPIESDTKKKIKELVEELRLHFKVSFCVTRWRIEENTY >CRE22239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1511:413:4937:-1 gene:WBGene00074671 transcript:CRE22239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22239 MRGIRICLLLIVLPLMALQQSSNQQSFIDQMSNNPTILYEKYLVFTELKTLVNHAAEQRYMLNLNEVVRNPVIYANASEIGGEIGAKAVQNLKLAYRSSKDPESFISFLSTCLEFMKKTSISFNWLFFQGAIEQMTNGKNVSEFKGLLKSGEWNLPEDVLMTLGVFDEPLTTTPAITTTTIAPSTTRPPDNFVVEPLGLAIVNNNWNQESFYGNSSFLEMLRDNSSKYATLFFHSYTILDELELSKNDSYRINIVVLYIKNVLEEKVEGFDLHLEALRDLKENESLFRNMVLMGDLYYQTNGSEYEEIKRKYSFGKSYLFNYFDLLGTFYQDRFSTICQIIENGILQIKKFSDNEFNALLKFVKLTMNIDKNKRYVVATSLGKSMTDEECSELWSHWNLEGNKKEMIEVVKEMHENFELNSCGI >CRE29283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1519:6606:7541:1 gene:WBGene00074673 transcript:CRE29283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29283 MCLSNGYEGDVIDNMKTEVKVSADEEELVAQLRNYLTEKLTYLLEQNGTISIGVSGGSMPKVFSEALLSVAPELLNWKRIRIFMVDERHVPRDHEDSNLGAYLQLFPQELHHVFIPVPISKQVVHTAQAYEINLRKYLLPEQLNTYPRFDILFLGAGPDGHTASIFPGKEQLEKITDLNWVSVITDSPKPPPSRVTITMPCILNAKNVAFILTGANKRDVVRAIHEGDKSIPAAQARPMNNKLTLFLDEHAAAGVPNRESSESESPPPFDT >CRE29285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1519:3990:6342:-1 gene:WBGene00074674 transcript:CRE29285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29285 MTTKLARIAQKRWISSTTSTSNMYDPRVFREPITDVQELRKPLDVDDERNFLFVKAMKSDATPVFYRDHVIDKLIRVCTKDGEKETSRRNVHSALEIIKRRQYKAWVKASDEEKKNIELDPFVVAKKGIKNCHPLMKLQGVTRGGTTYQVPFPIEEPEAEFRAMKMMRDICRVRSKHGVTHFKDILATELLAASQNEGSTISAKQELHKTCEANRAYAHYRA >CRE29284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1519:1904:3892:-1 gene:WBGene00074675 transcript:CRE29284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29284 MSSQTVEQLSGFEKQYSLQTAEITSKIGRVHTLPSSERAGAVQDIRRNLEEVNDLLDQMELVVRELESNTTERTKYELRVRSYQSDKKQLDTELEKAIRRVREEADRDELLAFDDQLDEHRQEDQLIANTQRLERSTRKVQDAHRIAVETEQIGTEMLSNLASQRETIGRSRDRLRQSNADLGRANKTLGSMIRRAIQNRLLLLIVTFLLSFMFLYIVYKAI >CRE10754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1418:8778:10740:-1 gene:WBGene00074678 transcript:CRE10754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10754 MKKLKRRLSAAFRPGSNNNVSITSSGGSFYDSECEARNNIVIGYGMMSAPLHGRAWTLSESMSHLSDKNGAIMEECGVDPTALLRVSRGGTAGRRYDTNVNYINGMHVPPPRTHSLYYPRGYNSRRNSYYGSNAFGRVYLSFLLLPINHYSPPIFLLFVLPNIQLTIAS >CRE10753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1418:495:4916:-1 gene:WBGene00074679 transcript:CRE10753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10753 MKRDISSALRAHAIHARVYSYEDLSIVAKCMSAYSPADSTAEARLLSGSDPISDTENLLDLAYEVGSSDNTRYDRESENDEEDVGWEREISNKRMCKSATFHDFCDDSEYSRRPVTTLPEEDFDEEEEDEFEDASDRRELDDYEEEEEEEDDEDEIVVEEEEVAPEDIEEHEISHIPNGVPSQTTPSVEDTKKGKKKRKAEDGMRKMKKSSTFASFLNMFVSRRRSGRDSASGNRDGERLMSRSTCLLRPSISLCKFSKSPYTIGSTPTGVPPRYIVNVKMRQKPARKWSEEEIQKRLSLPADLRLPVAVVDKLNRTPTLDQPLTRKNRRASLSEIGFGKLETYEKLEKLGEGTYATVFRGRSILSNKFVALKEIRLEQEEGAPCTAIREVSLLRNLRHANVVTLHDIIHTDRLLTLVFEYVDRDLKQYMDSCNNAMQMNNIRLFLFQLLRGLAYCHQRRVLHRDLKPQNLLITAKGELKLADFGLARAKSVPTKTYSNEVVTLWYRPPDVLLGSTDYSTHIDMWGVGCILFEMIAGRALFPGGTPTEQLGLIFRTLGSPRPDRHPTICERPTFYPYANRHYNPEPLCRQIPRIDAHGFELLMKFLQYEGKDRVSAADALKHPFLRTICVKVSCCHLRDEQSVLEADGIHIERELLSSDHHHNSRRHHRGTLVKDKYRLHSSHHT >CRE24308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig916:4738:8072:1 gene:WBGene00074680 transcript:CRE24308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24308 MIVKPPTQHYGQYKLKPIKSFAQFPSGFIFVSSVGTLHLVQNVFDTQNSKILYSIFIYKTHYVAHFRTMIHFKLLGATLLLASFFCLTMAGNFDDSSCESSEEYGGGKHKHRHHKHRPKPPRPPPRPPARPKCEQGWYTSYRPQGIWCLRVGIGKLDYHQSQAQCKTYGGVLSGLQNNFERQLIANETVRQLLPTGVTIAGVWLGASKVPGTNTFKWNDGHTTGTGGMFYGPGQPDNAKGDPRGPQNCLQLIVMTPAYWSHPDKWVPFVRLIDDYWCHMTHDPPQRLYACGKPHRPRATCEDGWLTSYRPQGLWCLKIGIGKLDYNQSQAQCETQGGVLSGIQNDLERQLIANETIRQLIPIGVTIAGVWLGASKVPGTNTFQWNDGHTTGTGGMFFGPGQPDNALRDPRGPQNCLQLIVMTPAFYSRPDKWITFPQLIDDYWCHMTHDPPQRLYACGKPGPVDNIVG >CRE06228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1373:7021:9749:1 gene:WBGene00074681 transcript:CRE06228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06228 description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:E3NRR1] MSNRNGGGGGGDYQEVIESLRRTQLIFEEEDTSAPEIPVVTASAPSQPRHHRLNQNSNSSVGGGGGSGEEMSNNNHNSSINNTPPTALMAQCRISSPSSVMSPTVTVTSGTVQQGKTLARIQGSSPNNISHSTPSVTQAMQSVSPHIPIVGAGADDSSAEILSVFECPVCLEYMLPPYMQCPSGHLVCSNCRPKLQCCPTCRGPTPSVRNLGLEKIANTVRFPCKFSNSGCPLNFHHIDKMDHEELCEYRPYSCPCPGASCKWQGALSDVMDHLKKVHKSITTLQGEDIVFLATDINLPGAVDWVMMQSCFDFNFMLVLEKQEKYDPAQSTQMFYAVVQLIGSKKEADNFVYRLELSANRRRMSWEATPRSIHEGVAFAIQQSDCLAFDTSAAQLFAENGNLGINVTISRLDGPQRRHPHDIDPAEVEYD >CRE06230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1373:11375:12132:-1 gene:WBGene00074682 transcript:CRE06230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06230 MSPLQITISVKSNEETCCMYSIQRWRMGETPKKIWNFKGTKIMIQKNYNCFTTKAAHSPEVQIGSILSYLMDLFKISINSVVQYDMHFPVVIPTIIQECRQLEIYNRVMNNQQMNAFLRNMPIVSKRVILNLAVENDFLCDPDINFKFSSDYLKIGNSNWITRGILFSSSCAYIELSNCRFPSEVYIDFVRNWYNSDSTRLECLEVLSTHVNLTASVFDELKPVRWDAKQRNKEFR >CRE17757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1590:1776:4072:1 gene:WBGene00074684 transcript:CRE17757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17757 MKNLFPSIFSFFTILDLRIVMRGELENFDFAAFPSPSYYAPTTFSTRLFPAILACILLVGVLCQEPALLKAPILDRMSSNEAAPLEKEQVFTQLKNIINSAKFPWYKSNLHKVVKDNAGYMNSVDVGGDAGAKALEELKETRTKSENPQNVLDFLEICLEFMNKDTIPETSKWWFFNEAVIFLNRGYKLEQLKDLLIRRDWMLPVNVLEALEILYRTPTEVLQPNEIPKIPSEIVKNVYVAPTDPMVGPITLLGYGKLNEDWEADSFLRNKSMFQTFEDGTGARFLWGYQNFVENEAKAKNLSDSLHWVSWIKDSEKEGNEQYLKYFIDLREHEPSARLMEAVIGAYHLTNGSEFDSLQNVFRGRHSESLLYFINLLKRTPEPLFIKFVKIILKWNDTKLYAMKKFIEMALSWNEQDLRSMELLFFYWGSKEECKTYLDRMEPTTKKKMLELVEELRSYFIVRFCDRS >CRE24296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig556:296:391:-1 gene:WBGene00074685 transcript:CRE24296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24296 MAQAEPAPEPKPKPKPKPKPKPKPKPKPKQI >CRE06226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1333:6319:8770:-1 gene:WBGene00074687 transcript:CRE06226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06226 MSFRIGRRYFSKQSYDAIIIGGGHNGLTAAAYLAKAGKKVCVLERRHVLGGAAVTEEIVPGFRFSRASYLLSLLRPVVMQELNLKKFGLRYHIRNPNSFTPIRDTHGSLTLGMDMAENQGEIAKFSKADAENYPKYEHFISEITHSFEQLMDYEPLDLQKPIHKLLPHLYLLFKTVQPLGLKNAVDFYELMTAPISKIMNKWFESDVLKATLGTDGVIGLAASPMDPGTGYVLLHHVIGGLDEHKGAWGYVFGGMGAVSNAIAECAKTHGAEIYTEQEVQEVLLDGNVAKGVRLTNGKEIHSKIVMSNATPHVTFNNLVKKESLPADFYRSISQIDYTSPVTKINVAVKELPNFLAKPNHGTEPMPHHQTTIHMNCENMQVVHDAVMDYKNGRYSRRPVIEMTIPSSVDRTIVDSSDGHVVLLFTQYTPFSPKDHEWTEEKKTEYAKHVFSEIDAYAPNFSSSVIGYDILTPPDIQNTFGITGGNIFHGSMSLDQLYLSRPVSKWANYSTPIESLYLCGSGAHPGGGVTGAPGRLSALHALKHI >CRE06225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1333:3166:5174:-1 gene:WBGene00074688 transcript:CRE06225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06225 MANIVQTQKLNLHDVSIVQALVYTSESNCAELRRTFQLDLATGYNEVKIQSFDLTTIILINFQNLPFDLVQDSIRVSGAGEAVIHDVSVKNQEGADFVIPERVLAIKAIFEKKERAKDKINDSRTAVQKRIEGLDNLITEIAKHGKDGSFHFDGRTIESLNALHDYHQEKTVTLRAQIRTLDQEFRRAEEEFQRASQDYDNTGYRWRNSAQYASIVVESEGGSVELTITYQVNNVAWFPFYDIRVTSGEAAEMHITYFGKVRQFSGEDWKNVPIVLSTAKPAHGVKTLPKLGALEASIVVPEPECNQRGGYGGGGYGRNMVMACAAPQVERRAKSMRMTSAAVKSSNIASEFSIGRPATIDDRTEEYKVNIGQFTLPTKLSNVTVPSRNAAAFLVANSVNSSDYPLVAGQASIFLDGAFVNKGEFEDAVVSQKFEVSLGVDPNIRVEYKPVRNYQEQSGTVEKINSQVTEKTTSVTNLRPNTVLLTIREQLPRSTDSRIKVSFRVRLESPEAKEVAESSTEPVVGAEITPEKILDYTVELAPGQSRTFTVRYVTEHPQAEQVSYEEKF >CRE29286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1559:323:4487:1 gene:WBGene00074690 transcript:CRE29286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29286 MPLQPQPAPQSHRIAQLNEYSRQATALLNSMQQTAGADQLLEESRRHNKARRMFVEENVEALVTMELVQIRQVILEVNGYVAMSNEMKRQPGGGNCIFMYDGLMKGTAGEDMGSGQELVCIDTKKKGNDTKFTRRSCIPNCVLKHVLGSQATLGIMVVATKDILRNTEVTLPFDADWKESDVPLDCAEHMRDIHSCPFEQERRRAAGDRQRVKDTEKRRIEEAKRADEERRRLEEEVRRERAAKTKQLEEEAEKERLELERAEKERKAKEREEAKKKRELEKKKEQEKEGSSSKQPEGITSRDALRVQQAEERFRRQEEEEKRREARRSRSKSQTPKPEDVPTSSDSAVPSTSDSQANRRQSSRNQQKKEMSEKAETPEVKEAPVAEDTPTTSGTRSSKRMKTPAAIFVAASAANSAAKKPRYSTAVRVEPTTTISPAPNVTRKRGAAASSLTPAAKRANAGRPEPPMYRLGDLAQEMNENAAVNSMVLEFEPPERCTDESSGSDWAKEAKANEEVLKAKKAAKSSSSSNSKPVEPPPKKAATSIAKKKDVAAAPEVVDTSKTPRRRGEVKEAPKTVEIATKEKPSEAAPEDKNVAGPAPPPPARAASPKKSAQKPVEKPEKPSEIHGMEREASESAEGSVEKELTPTTPSAAKKAPKKLTLADYATRRSKREEPGTSAASAPSPSSASTSTRRGFIPSTDGLGGNVQLSAIPLDNHPANASITTTAPSHQPPPPPPPASSSAVSITSPSTRSRARAAASESADETPAEHQMSLIDRITHMFGDGIVAAPAAAAAPPPPPPQSSNDTSNSSRPRTRPTRWNV >CRE30347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3368:2556:3125:-1 gene:WBGene00074691 transcript:CRE30347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30347 MKLKLTILLALVGAAFAAPSDLRCGHHHHHHHGHKTKAPRTTKGTTTLTPPITTEVPIVASSSAPIDASSINPIIVSDAPSSLAPQPSDEPASSGAPSTAEPVVSSEGPSSAPFEPSQNPSSSYLLFSPKPSDEPQPSGPPSPGPVDPRNKPFSSRPLSRPELSDQPKPLRAPSPGCLDPGDGRHVPGP >CRE04465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2091:3157:4628:1 gene:WBGene00074692 transcript:CRE04465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04465 MASFTSPDIDEKLAILHREMASLRVECDRLLNKHLIVEHTLSQSCSGWPNGAADTSSAYNTGGESCRSVSVTPDGVFMPTTSNTQLIQSQQSPRVLRRTVKMASPAVQRRPAPPPPPQNLPLFSRPPTVVLLPHDSPEHINRPIHRTHQELPIPEDIASVVSSTKTPRSIIRAPSAVFGALKGSSLRKLSISRNIQMTSKNNKEESEKKEKEAEDYAKRFRIPSYSVVLKEEKKRSSFRIPVPQYFRSSKTKTKVYQVDAPEEEIEEVVDEERMTANKDLGDTTVHYKWKVKRRCDGSRYIVKRPIRSQILKKREAQLYRERAPISTDDDAMSELKVRKIPYKRREKANIGAGENEEI >CRE04466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2091:6180:7165:-1 gene:WBGene00074693 transcript:CRE04466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04466 MVIHCHNCSIDFSFSFLFSFFFFNSIIKLLFSETKMVQRVVVSKLLNSTRPLVFGMIHVPALPGTPSNTLPMSSILKKVRKEADTYFKNGVDGVIVENMHDVPYVKPPASPEIISSMALASDQLVKSRDANHPGAFTGIQILAAANKEALGVAYTTGLDFIRAEGFVYSHVADEGWIDGCAGSLLRYRSSLKADNVAIFTDIKKKHSAHSVTSDVSIQEMAKDAKFNCADGIIVTGSATGCSASTEEMNQVLKVQEFPVLIGSGINGSNARDFVKAHGFIVGSDFKIGGDWRNDLESSRISKFMRHVNSLKR >CRE16925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:452707:454412:-1 gene:WBGene00074694 transcript:CRE16925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16925 MSRGYYRPEEEIVQAKVLHRDPGIIEENYASKPPSRRSSSHTNPDSLINQKHAQPLPSPLHSPVRSVTGSTKFESPKMAPVRSNSRGSSTSSEDLSTRSVSVSVLKDSGTKVEIMLKAHGLKKKKSLLNSFVTVFKKPSQPSRLLANGKFTEFVLTEHSLRFLLEVKTDKKKKKGNGNRTDTFLCEVKRFPSDVNPDSAQFEVLEPASGEAFILLTLIKTGNLSTNWKEFLDSNGTIDVTMI >CRE24323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1076:11308:12167:-1 gene:WBGene00074695 transcript:CRE24323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24323 TPDYTNVSVNHGIGPSLKVDIILLKEWVGRIPGYLDLSERCQEHLMRRFCLRYTVIEHGLFTAQMPYHKNVWFLSDRTCLVSEFENLPEEIHRHLTPKVIREQKLLAPFTEMLIHDVADPLRRLKPDSVEIATVKTLMLLKPTCLKEIEGEYLATQRDLKTINEVRNRVIAGLYTHFIKKGIDPEQLPIRISEILELTGGVEICANRALEEMQLLRVFNLSSFDQYSADVIFGFYRDY >CRE24322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1076:6287:8259:-1 gene:WBGene00074696 transcript:CRE24322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24322 MIADEKLAGLIVFPIALIGVFANWTVALLIRRLPSLKNSFGRLTASQSIGDAIHSTVFAFLFSPMCFFGIDFMKEYSSVIGHILLIAYDISTYSHLCISLNRFCSIVAPIQYDTIFRIHFGSLLTHNLQLSSTSSQSHAKKRSAEMNFLKQACLQAFVFVCELITYFLITPRVDGSERWLRFFLSTVAWVCVHMLDGIITLSFNKEFTQTIFRGIKIKDLSGYSTRHPHTENTSNAGSKTKH >CRE24319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1076:4820:6096:1 gene:WBGene00074697 transcript:CRE24319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24319 MSETAVNVISFISEKYVYFEYIPACVGTFLNVFHLIILSQKSMRTSSINAVMIGIAICDFLNMVYILYNSTSYFVAAQPECINSASYLKTILGVLVIGLRDICRRLTSWLGVLLATIRLIIVKSTLNPKLNKISKPFFGRNISLICLILSILISAFYFGCFRFKPSEIPWIPADHCSGYPSNYSEVQFQPEFSSVFMLDPETASKIFYITDGASKITSAILLPILTIFLIIELNLARKTISVAQLRNPSNSSKTDNTTKLVIFMTITFVIADGPIGVISLAQGILAEKNMMKPLMTLSMILSILGIFITLNSSIHCIICLVASSPYRETVKSLFCCQKDDSNTVISKT >CRE24340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1755:3397:5092:1 gene:WBGene00074698 transcript:CRE24340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24340 MTRIVWFCWNLLILYGSCDGFYSMEFNLAPNKSLVKEIDLNPTSDTSSSSSSVLLSYVLSVQTSSPLSVFLSGSAECLKMEIAKYSGSIEVQIPDEMLEDLIKCGKEKGVVGKIYLHLENPEDHAVGQIKFSRTARHIFRAQVSETLGDPNEFAVSENNPYIRKVKLQSLLDNVLISVTSENVEHAVEVFISFCPSKPGVLYTTSYAPNFEITLKSPQMELYYDSYSCDSEIAFYSNSTQPTSPLIIRLDSKFYINGTMLVLINGAEPTLDSHVAEYETGKFTLNGGETKVVELKYQPTMKNIQAYLDVL >CRE22727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig591:2278:3570:-1 gene:WBGene00074699 transcript:CRE22727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22727 QKRRQPKSDSESEKSLKNPRPKLIQPSPVVSVPKTSSPDRTKQKSTVQDMVKGVVNRITFTPNRKQQKSTSTPPTSEIRKVSDVRSDYVDSELEKQAKKSKSKGSISTSLSGSTESMISDFTKKPIQNKQIVSVPSKAIKTGQNAVAAAQAQAQAQYGALAAAAAANSAQAGQQQYADYAGVDLTSQQSAHGGYYVRRWA >CRE16551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1585:3142:5114:1 gene:WBGene00074700 transcript:CRE16551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16551 MMYFQFLILLVSIIAAYSLFQIPLEKVESLRFKLMREGKWKDYKEFKNIIRSLSDAYSGSLFDYETAGYVGKITVGTPQQEFRVVMDTGSSNFWIPDSTCGMEWLSCGRPVSGDATCYGSYCVPGGPNTTASCTTQNKFDSSKSTSYVKFGNSFRFDYGVDNANGLLGYDTVRFGGMSDNQLVVPGVTVAQAVCFPTFFEQTNIDGIMGLGFQWNAQQDIVPPFVNAYENNIVSPVFHVYLQKGPNGAGQITYGGRDTTNCKVVNEYHPMTTYAAYQFYLTLVSAGKYYSSKGWTALADTANSFITGPAGVIAGIADAVGAKWDTYTDTYWIPCEPKVTTQMVNFTIGGTIYPVTAENLIVPYRKFCILYYTSDIQFSANKTGYICMFAILPLSNNGFGPSWVLGDPFHREFCSLYDMGRQRMGFSAHMN >CRE18332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1203:5158:5907:-1 gene:WBGene00074703 transcript:CRE18332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18332 MTLVKLTVALLILTIAAESRKITIYNKCPFTIWPGILGPGNPAGGGFRLDAGQSRDIQVDDAWTAGRVWARTGCDGNFNCETGFCRNSEQCNGAGGVPPASLAEFTLKAWGGQDFYDVSLVDGYNLPVLIDPYGGSGCKRAGGCVKDINSECPSALAVKGHNGNTAACKSGCLGYNTDQECCRGAYGTPDKCHRSATAQMFKDACPTAYSYAYDDGSSTFTCQATATYVVQFC >CRE13842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1661:6030:6996:-1 gene:WBGene00074705 transcript:CRE13842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13842 MASALIKGCEKKNFVSKNNIVVSVQTEKSAEKWRKQGYENVFTNTLEMLEKYPTAVYIICVKPQVFEEVVSSWPVNSRPKFILSVMAGVPLKTLSAKVSYSRQQNLKRFYFFVQLPFVSGNTTIIRLMPNVASSIGAGASTMCYERSSTIENQEIYINMLASSLSVWNRPDYSGNVAFIPAMGSTLKTQHFGFLKDKVCSPGGTTIEGVRTLEKNGFRSAVMEAVIAASSKADDMAKATAK >CRE13843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1661:7491:7874:-1 gene:WBGene00074706 transcript:CRE13843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-57 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LKS0] MSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE05345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1692:3:197:1 gene:WBGene00074707 transcript:CRE05345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-39 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3NT06] MNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE05347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1692:2745:5424:1 gene:WBGene00074711 transcript:CRE05347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05347 MGNASSAVTAGIKNQVNASNNAINKLADMSGRGFLADLAMEAVKTGNHEELNRQILEVSLSKDLVTVVLIQKVEPMLYNNGLGEMIPLSDIIAQRHKERTGNTFAVTNPSTECRFICWKLNSRGAVGETLLHTCFLAGLPDHMKLLAERLIAIFPKIINDFYLSDEYYGETVLHMGIVSENAEFVRYLLKNGADVHARCSGNFFTCDDQKGSRTDHPEVEHAIISKHTKYPGHIYWGEYPLSFAACLSQPECIRMLVAHGADVNAADTNGNTILHICTIHENWEMFKMALTLGADLHIQNRQNLTPLTLAAFLAKKEMMQKIVEEERTVNWTYGRTQSAAYPLEHVDSIEPTSGSINQKSVLTIAVYGEKAEHLSLLPHLLEQLVHHKWIAYGRKTLFAQLFMFCIYFVCVTSCFLLRPSPFERKQHISNDLICFYDFKTRSFNESAVSTIIYHLLHLICVTGATLYLVQALLHIKNVGYHLYVLGLSGFPAKAIFLFSCILMVITFFLRIFCLDEAEDIVWIVIVLLTSLKFLFFCRRSKKRFNCFRGFKSVGPFVLMLYKIIIRDLMRFFLIYLVIVVGFSQAFYVIFLGYKRNDSGNREPSIMSNVAESYVRMFIMSLTEFTVFFEQLEECEHTVIGKITFVVYMLLVTLLLINMLIAMMTNTYTEVSGNSLEWLRQWSAIILMMEQSFDPATRLRYQRRYGIRFDGGEKLVLLLKDKMTEDEFECQRKKMHEDRRKFREELRTNQRRRPAFICKQGANYFKSKHRHTNNKLIVPS >CRE11626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2097:4843:7982:1 gene:WBGene00074714 transcript:CRE11626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11626 MFAFSFLLRFQSENVNFRMKTRRALSIITCLSVLLTAINAQYQINNQNQNPYQHPNHNRLENANANSWGSGQNNQNRGVFNNQAITPFGSANNNTHTNTNKGVTIQFNSVDIPSRHQPFQTVNLCLSAGYPCSSLKLSQNPNHNRLEMQMPTHGVLGSSSNHSESIAIRDIRIIKTRGVFNNQAITPFGSANNNTHTNTNKGVTIQFQLRGYSNPSSALPNSQTCVCPAGYTCSFLKTSPKCYFAFTFIVSSPDESVRYQDTDFFYLDGNGQLPQSSQGQWSQNYVMNLPSKPAAIDVFAHHLGAVITQNGQLVQDDTLTHVDTFVVPLSDTLPAVEGVQNMNQQRTYQGKLLGTSLSMSFSISCTGSLIGPSCDLTCKASHVNANVAACQSNSTGFFSICNYISNGQVDNCKNCPWGIRDSTYCQDDRGSVLDPREAGLVGSGWQTATIILAILTFIFLLLLCALVVFTCLRNRRAPVEKEMITFQRTSSDREPLHSKPNREAATFRQDSTENSRRAMLPPQDAKPIRSAMRKPNYSPVNPNERDDSSFASDVPVRPSRSEVV >CRE16879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:19571:21170:-1 gene:WBGene00074716 transcript:CRE16879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16879 MRIRIKFLTFLIGFLVFLPLFCFYIGNFLSTNEDDYLDEEYEVLTPVELDSSEQTTPRSPSREKSQFNGIISQSVLLGYSNADKLTIFSAYSGSNGITVILSSYGYLNRRVYCRLFDRNKKEIYQKAVSVFPEFTIKCSESSSLQPEFVAVTINKKDVPENIKKISIEATIQNKREFTVCLAPLFGESPKILMLIEFIEYYKLQGADSFLIYSYNISGETEKLLKFYKKSLTNLDVIRIGNETKCLNRHRCRHEMQLQDCIFRSQSRAKWVATVDLDERVMPIKEDLTLLDFIRAFDDPKISELRFRCQWTLRYSEIPQGPPQIDNLPMIIWHNTSHVAPQNHTTKSIVRPENVDSMGVHGVQKFRNSKLIVKLIDPDVAVVRHYRFVKGWSFFLKEAESFGKFFEFNLSPGLSSLIVERVVRVIAELPSFTGL >CRE24337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1595:1096:2537:-1 gene:WBGene00074718 transcript:CRE24337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24337 MLHQDYIGKPNPFLLGSYCRYVNFPIEKKCINYNFCIPTIHNVYWKFTVDTTNSEETNIVKFSISQKKEPKAYGSIELEVGLVVENLESSEHSIRAIGRFFDEKAEEKTFKIRCYIVVKSSRLYDSVELFDFYHFDSTIFDVEVHVFGHQMFLSKKLISLQSPHLYHMIESQNIDYSDLPTGCFFYIFHDFLQIIHGVDLQLDNENISEFLDLAYHLEVPRVTEYCKRQMIRGLDGMTTNQMVELAEKWSFWDIVPRKLAQAHCLADLKAQEWDLDELERDVIDQITRRLFELNC >CRE25681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:506200:510375:1 gene:WBGene00074719 transcript:CRE25681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25681 MGEEKLAFAKELTETLRMFREQMVASAEIQQQQMAENRRLHEEIKKFMETEITFGYGKNAVLSLLHEGHPGIIKMKQKARAFVFWRGLDREVERMVQHCSRCQEQSKMPIVAPLNPWPAPEKPWIRIHVDYAGPVDGNYLLVVVDGLSKYAEVKMTKSILAVATVDLLEEIFCVHGFPELIMSDNGSQFTSALFKNMCKNHGIQHTTTATYYPRSNGAAERMVDTLKRGLTKLKGSGSITKQLLSRFLFHYRNTPHAALNGRTPAEIHFNRAIRTTMSLLLPRAENHQKAALSNYQAKMKNQYDTHNAARKIFSGVVRRRYGNVLYEVQIGDRLHRCHVNQLRQRVGDKNITRLPISSDSDFLFGGGARVDGSGSSGQSLAQSEVNYELNVSRDYDRRAQESLPISTSATATTTTSTAISPTRLPDISPQARPTADPSHSLRRSQRPRRAPNRYDPCSEPQHGIRNARGSSRPDHPAARARGIAPASARRGQHNSSARGEDQSCMEVKTSYPEVGSRKSEVGSRKVKLPDQSQCSVFMLSTVFLILFIYLPCQQEIVNTTLKLQISRMCLNCDLLCMTTNSPVWNPAKILFEQFSKMKQFDEGQQPFERNPEKCGRGSLSKKSRIVGELRNKKETKDKLQMFQSLEDTRTKIDSTLMRAQWAIREMRRQSRTAKSLVESGVDEQEVADTGMQAYGIDLEEEKKSLEYLEQDVERERVSEKVEMKIEEIQQTSEAVEKKMDNVQEDIRKRLSSVEEVQKAGLSSAEEVQKASALQSTDNQVRIVSKETGTSPC >CRE17521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:110898:118360:1 gene:WBGene00074721 transcript:CRE17521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17521 MTRKVHRDNLCKFFGLSLDAPTHISDWGYCSRGSLQYVGAKRTPHMDWFFYYSLMLSRTIFAIVFSTVHNETFHIKSKKLQRTCVCPFPPCYRIVDAIDGLKGKWQRVKRVPFLCCCPPFCCLIQRSAFWPPRREYYFFKPPPNDISFQVNEESEAILMRDRKKKLKKLKRAKACIPGEMYRFGLSHPCAEDVKHARGFALKTANGNTIACIHIPCPDVSSSPRFTLLYSHPNGSDLSDHLVGVPSLIDLARFYRCEVYSYDYSGYGISGGIASEHNLYADIRAIYQYITMEKHVDPSRIVLLGFSIGSAATVELLKEEKDRKPPAGVILQAPPTSLLRVFGNMIGRKKHLEKPTCCLDRFATIDKIHEFTIPILVIHGKDDKTVPIEHGELICQRAVTKVTPEWVPDAAHDNIENCRVVWKRIRKFIRDRLILLFPLAIHLASSSFSCDTAVSPPKDCSKINLKHVNGTALLQWPEWCEVFHGELKLRNIDLERADFKKLRKINGSVQLINTGYSRMPQMPCLSEIETNGSYPGVVILNNKRLRDIRGFINWDRHFRIQGYTQFPVFISGNEQLDTVNMPSIIHHQFSPISCEKRLTPNYSIQYAEAMSLSFVLISLIISATVFLYPLSLGSANIYFVPGYHKS >CRE18352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1603:2565:2920:-1 gene:WBGene00074722 transcript:CRE18352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18352 MDVNLKWLVGDKNDFDWTLGICILGFLSVIAVCVVSFFITRKCGCQDRTAADRRRRERNRNREGNDEENPENIRMIEHRQFVHSQQDPNTWARPAVGPDAVL >CRE18350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1603:3366:3740:1 gene:WBGene00074723 transcript:CRE18350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18350 MDNMIHVDDEDTWKSVMPVYGPAAGVTFLVAAGSFLYFGYKMYKKHKARQAAIAESKRQNEENRENHDAMRQEREQSQPRDMPIEMQTF >CRE18353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1603:4316:6860:-1 gene:WBGene00074724 transcript:CRE18353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18353 ACSCLISGPLFIKGAVFCAYPEFIYVTGMFVLTTWCTSCACTLLLFINRIIFITLPEYSHIIDGKLAYLSIFLIVIYFIFWFFFTPTVCFNSIGMAWFPDPLAMETPTEQAADYYRNTPQAWNNWIFVSIMGVFYVAYFLKVRKVARGQKSKASAAIIVQCIIICFFNTVCALVYNSFTLITPDPWILLMGQVCWSVNHGCPALIYITMNHTIRKEFRRLVCRRKKIEDSTMSVTNTMNRF >CRE16820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:56506:57301:1 gene:WBGene00074725 transcript:CRE16820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16820 MENYEANGVEVFNDESIAGYFGEQFKWNLIYGIPYITVLSLISWKGLGSFHLNRTAFVFFKTSGAFFLVVFYISAYLTLYYKELGVKKVLATVAIVSCHLFFMFSGITIQSSAYYRIGFNDSAELGRNRYIFLSIILGVAELFTLFFRPEIYVFVDPDRNAVANRIAGGCLVVFHAFAFLMISTAWLCFRAPYFVIRRNNFEIGRLIYQDRMWKEVLLDMDYLTLTQRDELIKSLDVACFPMSDIVI >CRE16885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:59402:62230:-1 gene:WBGene00074726 transcript:CRE16885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-acr-25 description:CRE-ACR-25 protein [Source:UniProtKB/TrEMBL;Acc:E3MS66] MEYCWLILKLCLLLTPGRNGLSDKTEGDLYTELLEDYEPLERPIENSSEAVMVRMGLVLQQIVDVDEKNQVVDVNAWLKFSWIDYSLKWEPEKYGGVTDLRFRKGQLWTPDVLMYNSADPQFDSRYASNLLVYPNGLVNWIPPGLYRLSCKIQVVWFPFDVQECFLKFGSWTFDGTKLNLEIDENGFDISNYMQNGEWTLEGTTVKRNIQYYQCCPEPYYDLVFTFVIRRRALYYAFNLILPCILITMLTLVGFTFPPDAGEKMSLRKRLFDKNSRKIFIPEITIMLSICIFQNYVAEMSPPTSEAVPFLSAFFAVCLFTCACCVTATTLALNFHHRTGKSHQMNRTFRLLMLEWIPWLLMMRRPGFVARGGRIVKEDDSDDEFEERQTRLDQQRIATLISQITVESNKPTPTFPRRVTIVDEVNDETDGPLPLDTVICEEDDVASLEDEPAWRTSQPPATPAHLWWNASNRMGNRVPVEQIAQLLVLQQVHGHLSEINKHIREKEKAKKIEDDWKFSAMVVDRICMVIFTAFLFGSTVALFASVPNITRSF >CRE07987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:39369:40076:1 gene:WBGene00074728 transcript:CRE07987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07987 MNFSSIAAPLTPLTSPKVAWRWTSEQQEAFEELKRRMTSAPVLAQPDIEAARSFERPFCIFTDASGYGIGAVLAQVGLDGKVHPIAFASKALTPAEKNYHVSDKEALAVLFATRRFKHFIFGCPTTVFTDHQPLTSLFKGKKLADRLLRWSMEMQDFALNIVYLKGKANPVADALSRGGAQEIEEMKEVHEQARMEVVRVVNEVSVVEKEETAKRSWKETLEKEPPSKRSRPSHQ >CRE27951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1004:5710:6625:-1 gene:WBGene00074729 transcript:CRE27951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27951 MREKHLLQPLLLFLLSSTVSTQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTEFIHNYSNSGDARVGGASRSSFETTTLPTTSSGFPTLNSFSSESWSTVTQGPLAPSL >CRE27950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1004:3358:4319:-1 gene:WBGene00074730 transcript:CRE27950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27950 MSIIPRGQNNKATGVNSIPPFTIDGKADERFNKFYRSIDSVMNKLDLINSGDQSGREKEPQIRLEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTLCQYRKYMYTQLIPSGPQCEQFCRPTQGLSALGVQHIVCGSAVGDMLHCHHSGVRI >CRE15831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1306:7701:9234:-1 gene:WBGene00074732 transcript:CRE15831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15831 PHTFSYLNQIIKNSPKRRAPTHSNLLNIGFISTNPPEKYAPAVQKPTFLVDPIYDEKWKGVQAAVPVMTTESEEKRDNDHAKVKEAILAAKAASRSRRDGNLERAMTIMEHAMALAPNNPQILIEMGQIREMHNELVEADQCYVKALAYDPENSEALVLRARTTPLVSAIDRKMLRSVHDLRNEFAHLQHSTALRRMMRETYFLYVYHTVAIEGNTLSLGQTRAILESGMVIPGKSIREHNEVIGMDAALRFLNCSLLSKGHDEISIEDILEMHRRVLGNADPVEAGRIRTSQVYVGRFTPVAPEYVMEQLADMVDWLNDESTLAMDPIERAAIAHYKLVLVHPFTDGNGRTARLLLNLIMMRSGFPPVILPVETRAEYYASLHVANLGDLRPFVRYVAKHSEASIQRYIGAMKTSSDNTINGEDSSLSPEDSEVSEKIEAECRSGN >CRE15829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1306:6117:6796:1 gene:WBGene00074733 transcript:CRE15829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15829 MADIAAAFAQHEITPKIIENAPKQKLHLCWDGIQVEPGMTLQVRNLKNAPRWALPGADPESIYTVLMIDPDNLSRKNPSVAEWLHWLVCNIPASNIIDGINGGQHQMAYGSPAPGPRTDLHRYVILMWEHQGRRISVPKPSSRAKFNVKQFIEKNKLGDPIAGNFFLAQHEG >CRE15830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1306:3199:4868:-1 gene:WBGene00074734 transcript:CRE15830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15830 MYTYSDVTVDVNPPTILLKFYTIHGVFALFFNVLGVFLIMKNPRIVKLYRGFMLNMQILSLLADAQTTLLMQPVYIFPIIGGYTNGVWWNIFRMSSHLQMGIFILLLYLQVASIVCAIVTKFHVVSNIGKVGFMKSEKVSENIFQSSKRPLLFWIFVVFYHSCAFLIFGIFCISYLTKREAVDLVKTKFPSAMNVFTLENVEIYDLEVNKWMIGTTSLIASMLVSSLLISLYFSVRLLKKHRSKRLIISVRSFRGHQIAVTSLMAQATIPFIVIIIPIGTIVYFFVRVVPNAQYISNAMMAIYSFHSSLSTTVMIISTPQYRKMIRRGFRSPTAAISPQMTRVVPNSANSATIRMKKLSTPDL >CRE15828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1306:724:2968:1 gene:WBGene00074735 transcript:CRE15828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15828 MLSDSSSDSSDRSFKFPFDTTDALSIHELAVKDLPKPDAENPFSVAMHSPPGSPPFAVDRKSVDNSVVAVTDTPFEFAPSQKSSQHTNRPPPFAKSEEEKMTMNLVERLRPKFTIDNELIRAFIAELFCTGFLVVGFFFSLLFLFSFYFSLFSVRRRVCEYAINVFEGGNRTVTGHTATASIFATYPGPFLGTFNAIIDQIAGTLVLCLGVAAITDRRNEIPSFLQPAWIGALLAFLGMSMALNAGYAINPARDFAPRLFSLCAGYGWEVFSYRDYKWFWVPIICPMIGGVLGAWIYEFFIGYHIQDDAALEAEREKQLQSILDEVADIENQLPAYTDKKQ >CRE17710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2063:269:1801:-1 gene:WBGene00074739 transcript:CRE17710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17710 LKLTNIASHNIASFISDPDSTITSFEKPDQPAPPTRVDTTSSFETTIPPPEVPTTTLPTTSSGFPTLIPFSSESWSTVTQSPLAPSLESRPELPPTPNRIPSPTGQNSGFTGSFGEQLPVRIPAPTPAPFNPSPRRDGHRRRTTPDPRIIRPPESLVVIGDYDEDEPPSENAVVDSPQPLAPSQPLFFSQTPPPPPPPAPSVISNSGDVFARFRKEISATPDFEQQSGTAATLRDSSVPSSLSPSFNHLRRFSSRSSSPSLAHSRAHHRLICRLTTPGRLLCNRPMPRLHRSRYVTPPKYWKTSEVRMKNPSGMRIRKKNFATTRIHITPFMGELARKRRKLDRFGSARA >CRE15855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2785:3592:4206:1 gene:WBGene00074741 transcript:CRE15855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15855 MNDIRSDDRIAALAAPVTPDTLAELHRRLEASAQAEGLLDVAYRVVDSPLGSLLLASTPRGLIRVAFATEDHDRVLENLATKVSPRVLRAPKRLDAAARELDEYFERKRKTFDLALDLSLSKGFRQLVQQHLPEIDYGQTRSYKQMAEMVGNPKAVRAVGTACATNPLPVVVPCHRVLRTDGTLGGYIGGLEAKSALLEMEAAA >CRE07970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2775:4137:4751:-1 gene:WBGene00074742 transcript:CRE07970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07970 MTGTHEDPGVVVGDDGLARPAWASTDPLLREYYDTEWGMPVTDERGVFERVSLEGFQAGLSWATILRKRPAFRAAFDGFDPDLISAYGDRDVERLLTDAGIVRNRQKILAAIGNARATIALREDVGLSALIWSFRPAETPRPQRFEEIPTTSPESVALSKELRRRGFAFVGPTTMYALMEAIGIVDTHLIGSHRRGSSGIWPAQ >CRE17760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1630:5282:6829:1 gene:WBGene00074743 transcript:CRE17760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17760 MTDATAGKIPHVLVIMDGVGHREAVEDNAFLAAKTPNLTMMQEKHPHSLISGSGEDVGLPDGQMGNSEVGHMNLGAGRVLYQDFTRITKDIRTGDFFEHEVLIDAVEKAKAANGALHIMGLLSEGGVHSHQDHIIAMCELALKRGAKVYLHAFLDGRDTPPRSAKPSLEKLDAVFAQYPNQGRIATMIGRYFAMDRDNRWDRVEQAYRLMTEGEALRVVDSAVEGLEQAYSANENDEFVKATRIGEIAKIQDGDSVVFMNFRADRAREITKAFVEQDFAGFERKVVPHLSKFVMLTRYQATIDAPVAYMPEGLKNSIGEYLSSLGKTQLRIAETEKYAHVTFFFSGGREDEYPGEKRILIPSPKVATYDLQPEMSAPEVTDKLVDAINSGEFDLLVVNYANGDMVGHTGVFDAAVKAVEAVDTSLGRLYEAVMAKHGHMLITADHGNVEQMQDYVSGQVHTQHTTELVPFIYVGPSSATIAEGGVLADVAPTILSLMHLPVPTEMQGRNLITLKA >CRE17761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1630:1307:2755:-1 gene:WBGene00074744 transcript:CRE17761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17761 MKLTINTSFSASTSSQSLFILVDSENAKQAQETYKINDLNSIIEDTQFKASLNETLPLIGKLTQVTQCTLVGIDKASEIKAAKLAKIAQTIIKASQKKFQKISIDISALPTELHYLFALNLTQAAYAYDEFKSKKNEFTLENIEFIATQSSLTAEQITLIEAVQKGQNFARDLGNCPPNICFPEYLAEQARALAAEFPELLQVTVLEEQQLADLGMHAFLAVSKGSDRPGRVITLEYKANPDQAPIVLVGKGVTFDTGGISLKPGLGMDEMKFDMCGSASVLGTIRALCEAKLPIHVVGAIAAAENMPSGHATRPGDIVTTMSGQTVEILNTDAEGRLVLCDTLTYIKRFNPALVIDIATLTGACVVALGSVVSGLFTPDDELAEEITAAGHTAFDRVWRMPVYDDYQEQLDSPFADIANIGGPKAGAVTAACFLQRFTRDYRWAHLDIAGTAWNSGANKGATGRPVPLLMQLIANRVQKNG >CRE10311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1101313:1104595:-1 gene:WBGene00074747 transcript:CRE10311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10311 MPPKKKAKQLTEQQKLRRAYNRQRSFGSTAVVVQDSRKLQLFASFGENPDFNFKKKKAAPAPRKVFPPSPNISVEAVSSDEEDAGNFKIPEAGDDEEEELRKLRIHQYEETEWMFSRAQIACQLNLFSLPSFKTRVHPLKRNYRVGKLEVFLFSVSVKWLFSYLHFFPDCSDNDSSDNHAEVQEVAECPSVSSEKELTLKTAAVESGNLETVQPNEECSTNISKENEIASTSHNQSEENEATSNGATSINRKAETSMTEMLDEAEEEESCQPNQEDTKYAKKRQRTKSPETMCHGMSTVMTMQNDQGMSTVLTMQNDQGMSTIMTMQNDQEEQHDYMQEVEKENAVQKRGTLQPQQRQLARESRVSRLSVMSAASSCHDSINSAMEDMSLDQKYLEETMGDDVGRSQLFESRVDSRNVPTGMTIHNEDPSLLPFYLADGTFEVAPSTMGQLLHVAGQKEAKTWSSLPKTALDGRRVKKLGEGSYGEVFSTVWEGKPVAIKVVPFEADENNRLYTGEYHSERMQTADQILPELIVMKELNQLKNMTSLHSTPNFIELIAAEIVTGNYPKGLLKAWDTYTASVKESENTRPDIYSSDDQKFIVIVSANGGVALEDFVLKSENEMLSILHQLILSMLAAESLLEFEHRDLHLGNVLIDRCGVEELDYMIGGHKIPLKAHGVKVNIIDFTLSRISKGPTTVFLDLENDPGVFEGTGDPQFDVYRQMRANCNGNWIKFENRTNLMWIEYIAHCLIDTEICPEGMLTKKRKEVQYFQPKFKIIFPIQELRQLFKQLGQFESCQASLMDTDFYEKFYIGYFGDVAKPQEDIYSDSE >CRE29277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1239:7802:8621:1 gene:WBGene00074748 transcript:CRE29277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29277 MKFVLLLLFIVGCNADFGFTGINGIVNAHNTLRSKIAKGTYVAKGTQKPSGANILKMKWDSSIATSAQNYANRCPTGHSGTSGLGENLYWYWTSGSLGDLNQYGAAASASWEDEFQKYGWNSNLLTIDLFNTGIGHATQMAWAKTNLIGCGVKDCGRDTNGLNKVTVVCQYKPQGNYLNQYIYVSGTPCSGCPSGTKCETITGLCVM >CRE29278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1239:9190:9922:1 gene:WBGene00074749 transcript:CRE29278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29278 MNTITLLLLATLQIGVYAQFRATTQDFIVQIHNTLRSKIALGTYVAKGTTKPAGTNMLKMKWDATLATSAQTYANKCPTGHSNTAGVGENLYYRWSSLPFSGLDVYGGAASVAWEQEFQTDGWTSNAFTQALFDTGIGHATQMAWANTGLIGCGVKNCGVDATQNNYNKVTVVCHYKTIGNVLGQDIYKSGTTCSACPTGTTCETATGLCA >CRE16405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:37447:41654:-1 gene:WBGene00074751 transcript:CRE16405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16405 MREKHLLQPLLIFILSTEVSTQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTEFIHNLFSTDGSRKPASVSGAHDGNANIGGTGSEDSQRGFGGVDPDPTITSFEKPDQPAPPTRVDATWTPNLNSNLNLNPGSNSGDARVGGDSRSSFETTIPPPEVPTTTLPTTSSGFPTLIPFSSESWSTVTQSPLAPSLESRPELPPTPSRIPSPTGQNSGFTGSFGEQLPVRIPAPTPIPFNPSPRRDGHRRRTTPDPRIIRPPESLVVIGDYDEDEPPSENAVVDSPQPLAPSQPSFFSQTPPPPPPPAPSVISNSGDVFARSRKEISATPDFEQQSGTAATLRDSSVPSSLPSSFNHLRRFSSRSSSPSFAHSRAHHRLICRLTTPGRLLCNRPMPRLHKSRYVTPPKYWKTSEVRMKNPSGMRIRKKNFATTRIHITPFMGELARKRRKLDSGSSHEWKVSIIPRGQNNKPTGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDQNTREKEQQIRLEGNTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVSPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQRKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE30611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1728:6199:7403:-1 gene:WBGene00074754 transcript:CRE30611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30611 MDSPNPFPILRLPFLAIEEVFKAMNPFEIINFSMISKRTKSVTQQMTFYSKYSIQINASEMLEIWVAGPKYMTQCFYKFTTNQKINGEVVENTWNNRNELLVWKYSNNPVEEWKNMCKHVLGIFKKETIDVLLMTMDAFVNQNVSTTDFLKTNVKSVNECYLYQSVKENDINEHAAYLLKNIKITNKFSSYLHIKNDNFNGKIPKNLKELHIDNSQWIGYERLLEIDCKSVILEKNLITNKEWNMFFKKWIAMKTHLNLEYLEIDYRDIEKFRALVLHDIPHEVMDGGVKRVLKTCFNLTQEINGGIDIRRIDGKTVTFFVYQKFGTDRFAMSVH >CRE16562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1865:5363:5557:1 gene:WBGene00074756 transcript:CRE16562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16562 MACTSICIAPVLMKERRKQKKLKQREEKALLNSDTESSDSEDGDVFLGGKTTRRKHHGTNSTFI >CRE16561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1865:1253:5345:1 gene:WBGene00074757 transcript:CRE16561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16561 MSNELPRKKSFVTRITGQKWTRFHVRVFIHTFIIYVLFHAARKTLSTVKPSLINVWTSNISTPDGPLFESKQAATEFLGALDTGFMITYAIGLYICGYLGDHYNPRRILALGMALSATSVFTFGFVTETLHFYSAPLYAILWISNGFFQSVGWPLVVCIMGSWFGKTARGTVIGAWSTNASFGNILASLVASSTVDIGYQWPFLIICSALFAYSILIFFHLPSAPWEVEKEMREDEEKEGSGIEKKKIESREESERPPPLGFFRAWLLPGVIAFAISYLCLKLVNDGFFFWLPFYLHNGLNWPESTADGLAVWYDVGGIVSSIIAGALSDRMKSRTIIVFVMLLFSTVTLLAYAHSPVSYFWNAFILLIIGFFIGGPLNMIAGCITSDLGKSEVLRGNAEALSTVTGIIDGTGSVGSAIGQWLIPLVRNWFGWDAIFYGFMIMVVLSALCISPVLWRERKENKQQKSFFSKDEAEFYFLLLLYFFSFSATYLTTNRRSFCVSSEDDFYHYVVVLKVDSTIQLTHFFIFTSFHFWYFMCTFEFRCMPPRIRLKNGGTGNENFLTRITGQQWTKNHYFVFFHTFFSFALIHATRKTLATVKPSIILTWTNNSTGSPFMESEEHATRFLGLLDTSFMITYAMGLFISGTLGDHYNPRKMLSVGMAMSAFSVFCFGFLTETYHIYSAPLYIFLWIMNGFSQSVGWPIEVAIMGNWFGKNARGTVMGVWSACGSTGNIIGTLIASHVLTFGYQVRHLDKQKDNWKSILQYPFLIICSLLFGYSIVVYWQLPSAPWDLGECPITNFYSEILISDPESHHESDAKGKIELNRPPALGFFKTWLIPGVISFSLAFACLKFVNDGFFFWLPYYLHDGLNWPETFADALSTWYDVGGIIASIVAGAASDKMKSRTSLIFYMLVASFVSLYVYSQSPASYTWNAFLLLITGFFVGGPLNMISSSVVADLGKSDKLKGNAEALATVTGIIDGTGSCGSAIGQFMIPNIQHWYGWNSVFYGFMAMVSMMITSL >CRE04441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1371:7591:8440:-1 gene:WBGene00074758 transcript:CRE04441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04441 QFPNFQKKSGSLEDPSNNNTETAEFLSNKPYTNSIEALEIFKNDDLVVKPGSKFSYTTYGLTLAGAVLEKCSGRSYRQLANGLFSDLGMRHTQLDTKKKIIPGRVNYYHRNSETNELENCPEVDCSYKYAGGGIISNVTDLVIFANAILHSYQLDTKKNLIKRETIRKFISEQIPIDNSVKSTTSAGLGWFLVDGKGVKSLNGNVANSPFFYHTGAAVGASSVLLIKPGFSESQTSSGVCVAILCNLQNCSVYNLGRDIGDLFYNSM >CRE04439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1371:4644:7284:1 gene:WBGene00074759 transcript:CRE04439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04439 description:Polypeptide N-acetylgalactosaminyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NRQ8] MGLSRYLSRRHHWVIQYCGLLLFLYFIYSYVATSNDVPVLNDEVPVFQNPANNRPNPPAALGDEALDPFEKYRGHEKIKWEDEATYEREKAREGPGEWGKPVKVPDDKETEKEALSLYKANGYNAYVSDMISLNRSIKDIRHKDCKKMMYSAKLPTVSVIFPFHEEHNSTLLRSVYSVINRSPPELLKEIILVDDFSEKPALRQPLEDFLKKNKIDHIVKVLRTKKREGLIRGRQLGAQEATGEILIFLDAHSECNYNWLPPLLDPIAEDYRTVVCPFVDVIDCETYEIRPQDEGARGSFDWAFNYKRLPLTKKDRENPTTPFNSPVMAGGYFAISAKWFWELGGYDEGLDIWGGEQYELSFKVWQCHGRMVDAPCSRVAHIYRCKYAPFKNAGMGDFVSRNYKRVAEVWMDEYKETLYKHRPGVGSADAGDLKLMKGVREKLQCKSFDWFMKEIAFDQDKYYPAVEPKASAQGEIRHGASNLCIDTQFKEQNQRFGLRKCTSDDKDGGGEQDLRLTRWHDVRPKGRKICFDVSTSVDKAPIILFDCHSMKGNQLFKYRVSQKQIYHPVSGQCLSADENGKGFLYMKKCDSTSDLQKWTWQTIDNDLLESRQNNEAKELE >CRE04438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1371:3083:4047:1 gene:WBGene00074760 transcript:CRE04438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04438 MGIDINHKHDRVARRTAPKSENPYLRLLSKLYAFLARRTGEKFNAIVLKRLRMSRRNRQPLSIAKVARIVKKAGNENKTVVSLSTVTDDARLYEVPKISLAALHVTEGARARILANGGEIITLDQLALRSPKGENTVFLQGPRSAREAEKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRASRAYKN >CRE04440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1371:211:736:-1 gene:WBGene00074761 transcript:CRE04440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04440 MYILSVTDVNPQMAQNLKSAINLALPGYLASSRTSSSTPSSSTSSVSTSGASSTSAGASGASGSSAMPMTSTTTSMVSPPGRKRRAADPTSTTYPGVMATTTPSIFRDPASGSASSSASSTPSSLQPSTTQPYGTDEEFYARTDLVHFHVDINDLEGSGAI >CRE01466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig920:295:1043:-1 gene:WBGene00074762 transcript:CRE01466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01466 MALVKLSLLLLLTISTESRKITILNKCPFTIWPGILGRPGNPAGGGFRLDASESRDIEVDDAWSAGRIWARTGCDENFNCETGFCRNSEQCNGAGGVPPASLAEFTLKPWGGQDFYDVSLIDGYNLPVQIEPRGGSGCKRAGGCVKDINSECPVALAVRGHNGNVVACKSGCLEYNTDQECCRGAYGTPDKCHRSATAQMFKDACPTAYTYLYDDGSSSFKCQATASYTVQFC >CRE21666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1272:12256:12991:-1 gene:WBGene00074763 transcript:CRE21666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21666 MSETLLLQFIQREKQLLICIRFWIPPIIFNCFFFLEWKIRFQLASILIFAMDTSIVDRYSKIIVVFLGISCLLCLFSYLSIIVFVRSKVQTMSQSIKRELRLALQMSLPFAGLLALLLYMTFLNMYASNDNSIMIQWIRGFFPLVNGVISFIGPFTILLFNKELTQNVRELVFNNKNKTRPDSSLQPARNNDNVSMMS >CRE21665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1272:8203:11289:-1 gene:WBGene00074764 transcript:CRE21665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21665 MNSDTSDFGKTEQTNTTSYQMPLVVYYVLFVIITPIYFLILVCILKLRRHVVMFKSTFYTILVQHSISDISALLFYAFQKVSYVLIPNFLYNYQRYRFAAVFYDGIYWSFVFRTNGIAFMTIHRFLIIVKPAHKITRVWENIHFYDNPYHCVQMLQQFEPWKIWVVFWIPSLILSAICFSDLEIGFDSPEKMLLAMDPSIISRYTRVIFLYLLVVCVVCVILYGLIIKSIRTSSHSVTKSLQREIRLALQVSLSFAAQVVLLIYLFFSYIFAEMDNTAQIVNLRRFFPLAYGTLSFIGPFTILIFNKDVSKEMKLMIFGKKLYIQQAKPWKIWIMYWIPSVVFSAVCFPDTEISFDYPENMALVMDSAIISKATRISFIYLLFTCSACVISYRLMIKFIRDKSHSMSKSLRREIRLAFQVFLSFAAQVILLIYLFCLNVFAVMDNKEGIVKTRKYYPLVYGILSFIGPFTILIFNNDVSRRIQLIILGNRMVRRVESLTTSTSRKLTDFPTTRF >CRE21664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1272:6438:7737:-1 gene:WBGene00074765 transcript:CRE21664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21664 MGDLIQLNVGQKVKKWKIDKKLGEGAFGAVYKCSNPKGDLFALKVEGKDEKIQLLKMEVYVLNELKKAGGRHFCNIEDKGQVDNFNYVVMTFVGLSLADLRANAPTKKFSMGTAISVGRQSLEALEDMHNIGYLHRDVKPGNYTIGRAEVNELRKVYVLDFGMARKFAHEDGTIKKPRNVAGFRGTVKYAPVSCHAGRELCRQDDCETWIYMLVEITKGSLPWRNMTEIGQVGQEKRAIRTDPIVKKKMFGGCPREYLEILETIDKGKFFDEPNYERMYYLLREAMKNTGSTEYPYDWEEYLNKKMKEEQEKKKNGVLEQTQKVIKKKEVELEAGKSLKEVKEPKEKKEEKKEEEKPKEEKKVERYEDDKKEELY >CRE25995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1286:3864:4443:1 gene:WBGene00074767 transcript:CRE25995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25995 MGAGVSGEPVYLTRSTMLISHTPMSHPPAGTQCTFRRQSTVITAPGSSGNGVSGSGISSQLAFPIQLYPNNVYNNHGYTAHVSGFEAHPTPPSSSHHHHHPTPPPPVPNRPSAPSEETPPPYSSIYPSLANRVN >CRE25997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1286:6082:7071:-1 gene:WBGene00074768 transcript:CRE25997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25997 MTDTEKEVKLTKLLQGYIEKGDDKVKTKCSSDVRCVSHSVSLLGSKIISPMLMHRKQLPPEVIDMLARLEDVITISKKIAGYVRGNSTLCHSLPRLPTLPCDTRWLNGVHCLKDVMELMDFIQKNIQLFSPKGRERVRRLGMEDEKLAHSILLVFSPLMQYNEVFQNQKKITLNLVLPAYKHLDNRFKQFLDNDFSGVDIDTIDKEYVQAMSESGRLAIKHYYAEFTDLHYGAVLLSPYTKKMSNFDATEKSRAKHFIMNQLPKDPSPMPISPAPTQTDGIDVLYALS >CRE25996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1286:10020:10986:1 gene:WBGene00074769 transcript:CRE25996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25996 MSITNPSRKRKRNCENRQVYVGNLPFDATEKELHDVFSVMGPIKNIWLAKRPPGFAFVTFKRTVHAYDAVKYLNGTKICNLEAKVEMCEVDFQEDLKRRTEENKKKLKDSNVQGTY >CRE24334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1475:3877:5204:1 gene:WBGene00074770 transcript:CRE24334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24334 MIPIKNNQQSPDGMNYTLTQLTTIKYPIDTDGNSRFFRGVGFNEWDIVIKEEDRFEMKKTPYGSKELPIHFAFSNKNEHPIVSGIETIRFNGLHHKPQKCTGMNQKRSLKEALCLPLVPKLPDRPKPTQPPIHSSFSPPQHSPSMIVEQAIKMLTKPILSEINPMKITEDIRDWMTSNSCSQGFFASTILNVCRSRFNYLLNYPELYGTLKTGKEYFVKMYNWLEMSKDERNQIISVDLYETRNAVKVKKAVQVSEDMDKFIDIDGGLLYLEGVKLILITSEPPKKISRKRPASLRSDTSSESSSSPSSSPGFTHQMVTELLNKPVNFVDTNRVSAEINEWLVESQVTQEWFASTISEMQRQEIMRQYKLKSAKCHKTTTPTMKFSKRSFRNATSDMIIYFNC >CRE18369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1963:1203:2423:1 gene:WBGene00074771 transcript:CRE18369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18369 MNRNRTNKDILDRFFAKNKKNEEESKEEQKEVGKFSYAIIRNIPKELHSKDLRKYFKRFIEAEKFQCFHYRHRPELQAESTSKESAESKTCCCLISLNTPEDREEFIGEFHKRNWQNSSGVEIPRRCFVDRLKIGEKSISVDDLKEMIELKPPAVMPNGNIGTPSQYFLEQIRMCRLPASVIAKLGIETQKRPKKFGEVPFSYEIDQILEPGTSKSSKSAQKTIKEPEKEEKEDPTERIRRNQNPGDNDSDGDNDDDQCEEWERHEALHEDVTEQDRIKPKKYEEEMEVTWEKGGPGLVWYTDKNYWDEQEKGWFLRWEMSGNKWNSVKND >CRE06246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1773:1190:3298:1 gene:WBGene00074773 transcript:CRE06246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06246 MVFNFCARTAEACQDENVLCLCNFAYVPRNISKLYEDTYHLGNGLVERALNELVAVYREYGMREEEIVCVNAMICLNPLAKDVSNSLFEKIVELRNRIADCLFSIVKEVRLSPTPNVCYGHILLSLATVTELANAMSENLQLAQTFSNQGEIPLLTDLFGCFTVEPFFKEVDELAALSLEKALTEKKEISTQTDRVPPPRALLKRQATIDEESEEPARQNFRLLQPPNNFYITEMLDDLRAPSSSISLSSPDEYHPTSTAPMNNHAENHLMGLNYDASTIQNGVSSNGVAHPPTVPTVPPVAARPVYDQQPSCSNQNPSTFYNFPPPPGYPPLNAGYTPNINYPQLYQQPQYYNFPAQNVDQTYPYSQDIPPPQPYFYNQNPQNYPHHHNNFQNQYAS >CRE23222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig878:14199:17985:-1 gene:WBGene00074774 transcript:CRE23222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23222 MEVNTYDKEIQGLTRERCQKRTIHTTGGFSQLEATDNSKLMDFSRIFNNESSNLETPKDVVEVIKGMASALTLIHNQNRTLITQNKKLSEKVVSLELLVGSIVEKMPAPSSLGKMNEAVPTEQPNYPKSYSNAASKNLPKKTPSKSGPTKLREAKLLTHNVTPTTIAGPPCCSAKPLQNRSPEIPSILEGSNCTEQRTEIPLVHCFQSEGDKNKLQRRTVALGMGNKRTCNHVGKISIGYANCNSISNKLSKLDLLCEYYNFDVFCLSETKLDDSFTDSLLSINDNYSILRKDRNRHGGGVGILIAKHIRFVPVDLPASSQSAEVCAIDIFAGGTTIRIITAYHPNHGHCKSTLFDTLEYLLIPGKHTVLLGDFNMPCIDWNLLSAPDKACSDFVDFVVKHGLTQSVKSPTRFNPENILDLCLSNTGIISDVSVGDLFSDHCLIKVVLSCDRKSLKSLRKMINYRKGDYDSMNGILSRIDWPLLLANLPTNDMYSLFIGHVKELIHNYVPILEIDDSKVRHSPAIIKLQKRKLRIWKKEGNSLHYKSICASIKELLLEEHKKKFEDKLVKGNPKNFFKLINRKLKPSNFVGPIKSGNGILCDDHEKAECFLNTFSDVFVSDDGLAPSIEPRTTTLIDEVSYEPYVIEYVLSKLEPKCNNSPDGIPNIILKKLCTSIALPLSLIFNKSIRSGSLPAIWKTAIVIPIYKKGSRSDPGNYRPISLTCSISKVMEKLVRRSLVEHLTRNKLLSVSQYGFRSRMNTELQLLTYIGLIIRDLQQNNPVTSVYIDFRKAFDTISISKLASKLQAYGIQGELLRWINNFLSGRSQKVLLNGVCSNTSSVGSGVPQGSVLGPLLFILFINDIGDKLESESLLYADDLKIISPNAATIQKDLMKLSEWCQTWQMKVAPSKCEYITFVKSKRTNLRVNPKINIELDGLRLPQCDYIRDLGIIFSRDLSFDSHIKSILRRAQCRINILFNVLKNSVFDIMLKCYKVFIRPIMEYGSTLYSPTLKCLIRKVESVQKSFLYRCSKKFNFEYQSYFDTLEAYGLESLELRRLINDLVYLYKILVSNEFYSPNHSLRRHPYHIKSILSNNTKFGSQYLPNRLLSCWNSLPVHVFPVKFSSLCFKNNVKRLNLSKYLTLNISTY >CRE26900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1605:4081:6567:-1 gene:WBGene00074778 transcript:CRE26900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26900 MSETFLQMKHITKRFPGVLALNDVQFSLRRGEVHALLGENGAGKSTLMKILSGVYQPDEGEIIFEDKPVSFSDPLSAQNVGITIIHQEFNLFPELTVEENIFIGREFCKKNRWRLDEKQQRQATIEILQKLNLAIKPDTLVADLTVAQQQMVEIAKAISVNARILIMDEPTAALTETEIESLFRVTRLLKEQGTGIVYISHRLEELALIADRATVMRDGQYISTVDYECVKISDLIAMMVGRDLGNIYPRREALQQRIPVLEVNGLTRKGVLNDINFTLYRGEILGFAGLMGAGRTELARAIFGADSIDSGTLKLNGKETVIKDISDAIQQGISYLTEDRKKEGLALNLSVERNIMLGNYPEYSDRFGNVDSRRCQQTSEEQVKALRIKTPNLEQAALNLSGGNQQKIIIARWVCKDTDILIFDEPTRGIDVGAKLEIYELMNRLVAKGKSIIMISSELPEVLGMCDRILVMRSGRITGELSAKEATQEKIMQYKIKINKELLMRLAPLFSLIILVLFFSFSSPFFFNTENIMTIALQTSVIGIMAIGVTFVIITAGIDLSLGSVVAFSGVAVGICATLGLPLPVCIIAGVLAGGMCGYVNGLLVTKMTIPPFIATLGLMMSVRGINMVMTDGRAIYFADYPMFKTLAQGRLFDVLPYPVFYLVIVALVGAYILKKTVIGRYVYAVGSNEVAAHLSGIKVQRVKIFVYAFCGLLTGIAGVILASRLNSGQPTVGVGYELEAIAAVVIGGTSLMGGIGTIGGTIIGAFIMSVLKNGLNLMGVSQFWQMVAMGVVVVAAVYLDTLRKKIR >CRE21436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:85100:86404:1 gene:WBGene00074780 transcript:CRE21436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21436 MIPINNQQTPDNSNNPQSKLTNVKNQKKPDGSGILKRNKVENPDQDELIPTVKKIKKEDFGVENSTSVGMSGGRKWMLRTDSHSSAISDEMPLEYLNTPIIDEDDMNAERIVREIREWLSLGLCSQEFFPSHILDVDKRRFDYVIAFPQEYFSLASGRKLFVRMYNWLKMSGDLKMKILSLDLSGNKNASSMEVPENKENASPQPETSSDSGDVPPTPDLSSNTSTTDSDMVTKIINRPVSFVDTKNVSIEVKRWLEISQVCEEWFATKLLKRWRSTLTDAINNPKDWNDGIRNNNNMYARIHNWMSMTEEQRQEILRLLNAPITDTSQTDLSLGGILEELPKS >CRE13500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:25278:25651:-1 gene:WBGene00074782 transcript:CRE13500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-178 description:CRE-CLEC-178 protein [Source:UniProtKB/TrEMBL;Acc:E3MQY8] MWIPAENVCRSMGGHLASIKDESENLFVHKLRKKSNVWIGLNKLNDTFQVYKWSDGTEADYLNWDSSQPNEPEVDCAYMAFHQEQRGTWFDYGCREMLPQFFVCELPMP >CRE26892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1445:4854:9827:1 gene:WBGene00074783 transcript:CRE26892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26892 MGGSSRQRSTSATRRRAQRRRQFSTSDEEEETTTTTIHGLSSVLIWILATSSLIVVITPPSTNHPRSTSYDPITTAEKLSLDDITSTFKMNGQLDNNQQYDQGNMFNMKSDYPMFNRPMGLRYRNDEPLMRMRMQQSAVPIRPILTEHPRTPFGLPSTSNHHATTSNGYGYNSPTLEDMDLIDVLWRRDIAGEKGTPTVEPAEQYERDLMTLTEKSSAG >CRE26891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1445:2493:4377:1 gene:WBGene00074784 transcript:CRE26891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26891 MEQRSYICLNCQNPLRLEFAQRRPDSADSEKKSETVITEALTGHSRNLMKLISDAQFPSDAPVCNVSFTFLKTICFFIPIFQDCSDALVKEMDAQVTTLDDEVKTYQTYITYLKENHPTTAIPELKAKLQNVADEERDLELQLKKLLCEEEAIDTELQTKRRAAEASSETSTELWKKYRDNLRQVFDDQDELHSLESERQYAEVQHRKLIDTNVLDLCFHIWVDGIVGEINGFRLGYLKEAPVEFTEINAALGQIVLLLEILLERIGVQHHELVPVAMGSHSYIKLRRNGNDIENYALYGQGTPLSGSSGIDAGIRRFLQLLEFLLKELKDRNKNFKPPYQIHAESLVDNGVKYNAVMTLNTDVRWSRAMALMLTDMKAACAQCDALRAPI >CRE18354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1643:4677:9784:1 gene:WBGene00074785 transcript:CRE18354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18354 MPTRLLSLSLAILFLAGSIFAQLNDGDASFGDAPHSHRRLYGIRRLRMLRPIPQTIEHSPTHEFVDRRRQPTHFSAEDSEQDQVFVPRAVAPPRASRPAVTSAATESAETSAESVPTTYIVQTPTKFGKSLDDARRKRIEARWKRLGINFKTINHPLLNGEGSDLPPHSAHHQYRIRQLNAPKKTEKMVEVERPAIEQPILHVVNPLDQVFTETLHDRSKGPTGDGYGPPVFPGGAAPPPVPSVGLGGAAAGKSRIAGKPLTNKADTGFNQVIDHFENGTPPEAAFIDILEVALGSQKLDSQAKLLGHVDRTIGLDNLQRLQRWANTAGAMDVFKDQVSFLKFAKNFQPPPDLLPTVPPQLEYLFKTSGK >CRE13202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1500:747:9847:1 gene:WBGene00074788 transcript:CRE13202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13202 MNADSHCSYIAELINFIIDKSGENQRVSVSTLMNEFEKKHGSFNESRELVENRISQAVKSLLKRCPGMMRRTIWMTGYNTKTGKFEDSSSTRAPGEGTSGSQEIASRPGQAPPTQAESKLEAINTPNPVKSQQNGSCSNGVGPSTSAAVPAVPQFSGAPKFGLRLPRRPVEENTDARTTAGSSETVVAEHQRFPAARKGTPDVMISPIRKNRTAPAPSSMSSPSPTHNRQNGNSLNRGLPDVSAVSQSSGSWEIRSGLPVEAVSTAKKSAGSSAPASRTEQQFPATVNGSPPVTTFLNPTGRNETAPGAAEPAVNKKRGLNLEASSPQTEKKIRAWRPSGYQLSPAVGTPNLAGRNRTLPVRSQPNGCPQNGGGASGISSKSSEPTTSSSSHASTSKSNGVNEAPRSVEPVVYNFGNYQQQWDGKEKQKPPVSLQKTMKSSPILSNDESRDVSEASPLTIDSEHQNGGGASGTSSKSSETTTSSSSHASTSKSNGVNEARRSGKPLVYNFGNYQPQFDNTEINPEPEPEQDLPRLSPSQNDNIETNSADSSDSPMNQADDARGSEAQTIPSSSRKRQNEDTSPPFVVPKLPIKVPNPLKRPAEEESGDRNSSLSSRGAGKRKVIKPREFTPYEDRKGKYETGERSMEGMLDKLREPSVPISRGSSPDSQAPVVPVSGLPTAKRGRGRPPGRKNQRQVLRIIRIAESFFIIFRPPTAPIPPAPQEEQREPSVDSTQPSSSVTPATAVDVIAVTDLAVGKVRSKSSKIAPAPPVEVEDQKNEDPSPTLAVLKLREPSTSTSYVLSPDSQFPVGPATPMCPAPQEEPREPSEDICMPYSRTVTLATADNLFPHNRTMYEDLSRVVVAATDRALGKTPADKRRGRQPKIAQVDVSPRNPVLADDPLNRPSTSTAESIVSHQGANPILLNEESGPSPLLIDSDDIAPTLNTGHSSNPEPAPEPELPRMPPSQNDNNSAGSSDSPDEQEQPAGDEQGSETQSIREPSSPPTFAVPTLSPNRSNPLKLPAEEDSKDTNSPKLTEPSASTSHGLEPVHGPPTRKSPASSEDISMPLCSSVAPATARNRALEKARAAKVAKKVGKIGDKSGGRKPKVNPAPPVEAAQGNSTLVEDPLNRPSTSTAESIVSHQGAKKPSPILEESRPSPLLIDSDDIAPALNPAHSSNPEPVLEPELPRTSSPSVETSPAGSSGSIPEQEESADNVTGSEAQTIPSSSRKRQNADSLPAFVVPKLPIKVPNPLKRPAEDSSRKLKNEDPSPTLAVPKLPKLSTSTSHGLSPDSQLPVGPAASLSPAPQEGPRERTSAPKDVSEDLVTFLRRMTQIVIQPIAVEVLAGLYWVQTPNVEVEGIQRELVFDFKKLYLCLNFSIEKILNSMFNLDIDASTKALILYMTQAPVPKRLFAQICKEEAITLQFDHERKIFQCQTKSIALTRNVNVELEEWDPTEMEEMMTFMLTEAQANGQLTLNDICKMYQQSVMNERKKRAAEDVRIK >CRE29393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2249:4256:5113:1 gene:WBGene00074790 transcript:CRE29393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29393 MANTQEDPNNPPIQKAAPQQRDIPKHPRIQNVILISSGKGGVGKSTTTVNIALALHKLGLKVGVLDADIYGPSIPTMLGNAGQTPKIEGENFVPLEAYGMAVLSIGHLIGKENTPVAWRGAKATGALMQLFNQALWPDLDVLVIDMPPGTGDIQLTLAQRIPVTGAVIVTTPQNVALMDAVKGIELFNKVHIPVMGVVENMSTHICSNCGHEEQIFGTGGGDQLSEQYNIPLLGRLPLNVQIRENADAGKPSVIAGDVAAENYMAIAEKIAQALPKAEKDPHRIF >CRE14106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:381585:387840:1 gene:WBGene00074792 transcript:CRE14106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-33 description:CRE-UNC-33 protein [Source:UniProtKB/TrEMBL;Acc:E3MRJ8] MLPFLAPIRSANHVSQQGTKYYVEMTFLADSPYSTRSPSTTADQQPQPVIPTLRNLDEVETVSNKSRSSEGLNKLGGSRPNSRTSLNTAIKKTSVSSLPTSARSEGKSSPVPVKDIVTPAPTRHKNKGLEMSSAMMELFGGGSTSPAPSKRENVGSVYQKVENHDAAYDRAVNRGRGANLSQPGPEWYEGFDRMDMTNIGIFKMTFILFSVKISFLELPPDPNCPAERVLRGDDKSTPDFDSDWQEAKEDVLQTTSSSGSLRKFYPTESLPGPDIGVGVEDEEEEEEVVEQGEEQLQYESKVGDINKDDEDSGSSSSKKGHPSASENNDGRGSTESGENSSSRRDSVSGEETSNGQSAAAQSTNDEKKEKSAGGDGGEMSVLLLKGAQIVNDDAVFEADVWIQNGIILNICPNLEPPEGARIIDATGKYILPGGIDSYTQATDSTIDDIATACKSAVAGGTTTIIELVRPRGSESILAAVRRVKADVEKAAMCNVSLSAAITDFNATVQRDMYEIVKEEKVNSFVLDGVSLPDDKLYELFDHVKKLGALIRIIPENKAIISILEKKMLKMGITGPEGYPQSRPESLEADQVSGVCVLSNLANCPISIVQVSSGDTLAAIEKARGDGAMVYAEIASAAITADGSAYLNEDLKVASAHMTDVPLRRGVLERMLGALSTQPMVTCTSGHRPVNSVTRNAAKDFTVAQKGTAGAEERMAIVFEQAVQSGRIDEMRFVAVTSTNAAKMVNLYPKKGRIGVGADADLVIWDPNGKRVLESTRSQSNQVSSMYDGITLHSSIHTVIVNGVIAYQNGEIRVESGGGYLPIDGNCPYLYANVRKRDKVSNINFSSTQKVEREQSKPQAPQQNGQTHKNSGEFDRNRTKVMESSIDFGGSSSNRGRNPPGGRTTGFW >CRE08552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:7354:8157:1 gene:WBGene00074794 transcript:CRE08552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08552 MPDGLADDIEGGVINARDEFKSRAKILSEKYNYDVTEARRIWCFGPDGTGPNLLFDVTKGVQYLNDIKDPMMAGFSWATREGVLCEETLRGVRFNIHDVTVHSDSMHRGGAQIIPAARRVFYASQLTAEPRVLEPVYLVEIQCPEPVIGGIYGVINKRRGLVIEESQVIGTPMFIVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQVLPGDPLEIGSKPNQIVMDIRKRKGLKEGIPALDNYLDKM >CRE26866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig725:6409:14325:-1 gene:WBGene00074795 transcript:CRE26866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26866 MPTMIMEMSIGQVTGRAPVLAFYHLFPVFKGIGVAQILFTLVVLACMTKFLSTLCLFLYYFTWTITVHREGLPWLNCKQFPEFVSHPCREAGSITNITQINNRLNTIQAESSMMQFLLTLERPSESIADFKDFQYSILISQGAIWLGVFICICFGVRWVGKPISVCLMLAFATLLVFFLRSATLGGVTEILEIYWKATDWERLYDYRVWRLAVEQAILGTGIGYGAFITMSSYMKRHNNLVTDSIFLSLWHLIITFVQTMSVICIVGFLSQKLGIHPSELLETGEDQMWYMLAYASYLPRLWSAILLAVSIFTMFSVIVILALSVLSTVEDSFGANWSKCCRRFMLALFVCAFCYGLTVYFATQAGRHAYELATRSIKYCTIYFILTAELFATAWFYCAHKLGRDLHSMMKSKCCSCFGHFFLYFTYLLPILPAGVAFLNAMDYKFTSFSAPIHEWKYSEYVGIAIAMVPLLPIPLYFFMTILCACCCKGKDHQKTCKRIRGVFGSRLQNQHHQRNEKSQPIPRYTSNAPGYLLLPQAPLAEPEIYA >CRE13734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:2951:7738:-1 gene:WBGene00074796 transcript:CRE13734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13734 MHNSSTTEGFIFEEQVGGARVRLISKNVKKAEGRFRGIVELVTLSIELPTTMTSHPQAAELFSETLVELAKRHDPFKTANTMVGIGIDSEENPTSIIGIPLREIRKVTTEEIVTNLSRISQSNKSPLELDIPKLVVTFTYVNPQTGSGKRKFDTGSILELTAFEKRQKLEELDTELFDDSETNKAKQTRSNIMPNEVLEDCLPHALYQAQMYHEWKQSQSIENFNRYRSSIRKSYKSPGICTHVYEAVQKMKERAGMTKCSNFDRLDIEQFQKTVFGGQYQITCFVKTSKVPYYVGPYLGPGKQLVLYLNDGHYSGVRSVCALLKTRFYCFLCNTRCRDASSHYSCPLIHRLCGKSNCPKTKKGEEIRCESCTVLFHSQECYDNHRKKGPNGGKSRCDYTKACKKCNGIYYTNKNKDAHKCGEKWCYRCNCKRTKKHDCFMPKSIKNEKKLTRQRVYFDIEVVYICINVLTVSKFQSRADEKTGQQHPVLFVALRCCPNCSTVIPKDVSITKKEICEKCAPDGRLKIIECISQRNRDVNVAQELTKWLFADHHRGRVVVAHNASGYDAQFILEQMISSNKATPKLILEGTKLIFMEHNGVRLLDSMKFLTMSLAAMGKAFEIDSVKGDFPVLFIKPDHYDYDADIPDEKWYDLNNKTSSVKKQILSFLESQKNVPQKFNFYNEIVKYCYNDVYILSKAMNIFETEFEQMTNVCLLEESTTAASAAAVVFRRNHLDPAKPIVLDEKPSVSKTSSVVSQKYLAWFSQKEGVQVNMSTTYGEEKIGKYRVDGFVPPCEKYPKGLVIEFQGCYWHAHDCTYAEESVISGESARDIRARDEARFEDLKQIHPVKVVWECEVNQELLKDSEMTKFFEEYEPVGILHCEKSLVGGRTEVYRLHANNVRQFLRYLDVVSLYPTVMKHEAFPIGSPENVQRKDMKSVMTKPDDIPFRGFLSCRVLPPKNLKLPILPMKLSGKLLFCLCKKCAVEMCNQECKHSDEERSFNGTFTTIELQKALSLGYIVTDIYHGLKYNHWVQNDEKGEGGLFTSYINQMMEEKIYSSGWPSNVKTDEEKDAFCKAYLEKEHIHLTDRRRFKKNPGKRAVAKLMLNSLVIINLITFSNCIILQWGKFAQNVDRETTTIITDPCEFWNLVYDTSVVISIVRCVNDVLVVKHRKQQETLQSLKTSAMQLASYTTSYARLRLYRFMEMVGGENIIYTDTDSIIYAVPEGTKDPLEMEVGPYLGQLTDELSGEMTEFVSLGPKTYCYRDLMKNNEEKIVRKAKGITMSSQVEKYVNFEMMRAMVDEAINNTGERTEHLLPQHTIRRDNSHKMYSKNTEKVFKYTFNKRRLLGDGTTLPFGYCQL >CRE30267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig689:8738:9691:-1 gene:WBGene00074798 transcript:CRE30267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30267 MENKFNVAQTQNGKSAVKQVKQEFGNENSMSPRKIRPSYSQLLENLRKTKLALRKSENQRKSEKEKMEKQLLEAYASNSFLKSLHAKKLKEVEDKLDKTEKELEKAKEVVSNMRNTLFQQQKSTREVIVKQNLISGKLLMDLQRQKEEVERVEKSQLRDKKTLNALWRDLKDMKNEDNKKQHEIEKLEKELIAQKKNMEVSQLELVDRHHEEIREVQRGANILQSLLQQKEEVLEFNSIKINKLEQELKTRNDEFVEKVRRINAINAILNNGTVL >CRE30264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig689:11162:12102:1 gene:WBGene00074799 transcript:CRE30264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30264 MNFATAAAKEIGTAILQADAEMKKRIKKMMKQRTLEDVSVLHTVLERVSESSNQQLKIDFEKHRIPERLKKVASLVTNTHYHSFAGTQGDDKEFTLFTCLRNEDYWIEKQRLACAGGAVEGIIYFFGSTLPTLWSHAPGTTCSTVAMPMPMVPPDIPVRMGFLIADMQRHLGETEEDLKFVVDEIESIIDQSKLLMLANIV >CRE12591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:891770:893100:1 gene:WBGene00074801 transcript:CRE12591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12591 MGRKKKKVDKPWCWYCNREFDDEKILIQHQKAKHFKCHICHKKLFSGPGLSIHCMQVHKETIDKIPAAVHGRDNIHVEIYGMQGIPAGAYRGAADEEPDEKRSRMDSGPAPMPAPMPFPQHFPFPGMPPMPSGPPPPQMGYGMPPMPPGMMPPPPGMPGAYPPPRGYHQAPAPGVYMPPPGMPGAYPPPRMPMGGHPGGPAMPGGPQQRSRFDQPDGDRWAAPGRGVPKTPPYEDERETQDYRGTDEYHQGGYEDSFREGDRSGPSGGSRFDPVKTEPESNSAGSGPPSASVAAAAAVASKLGSRTRIVHPDDHSLSLEERRVKMIFEKRANAYH >CRE12784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:899336:900138:-1 gene:WBGene00074803 transcript:CRE12784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12784 MTTVNVKTRQSRQSRTDLFAGGGVDGAIHAAAGRSELQAECRQYNGCAVGDAVITSGCKIKHIKSIHLTLYVFIFELSEIIHTVGPQVYGSVTDEKRDNLIACYRTSLDIAIENGMKSVVGAISEKQLLNNLISQAFCCISTGVYGYPNEDAAKTVTQFLTEFLEKDDKLERIVLVTFLDIDNQYYNNYFSKYAASKTD >CRE10767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1818:2703:3637:1 gene:WBGene00074805 transcript:CRE10767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10767 MSERIIRTIAKKERQRVEGVVREDNTSEGLVFADADLTFLFQKIFFENLHASHRFSFFASGVFSKNQYIISIFLRMSEHTKVSAEDQALLNKFARSYQQQNQLKAELKEAKTLVENINEASDEILLLDDEDSASIPCRIGSCFVHFNGDSLNEHLEGKKATAEKVLAQKTTELNTITAEMERIKKVLYGKFGDQINLDADE >CRE07057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:5353:6939:-1 gene:WBGene00074806 transcript:CRE07057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07057 MSQDPQSRKSSVSSLDEDDYEKIFNSDDQDASVDQNPDFKTPTKQGNRNSKNESSKIQELEALVRQLQEKVGIQENEFFDISVENTLLRDQMKEKERESENVIYALRNQISTQDTKILDLQQECEGYKDEWSRQYLKIMQLEDEKARNEKSHEFFVRDLNRKDLKVVYYQRDQFDALETENRKLKEKIGNLEGDLMMERMQMARICQQMIREESESSESSNGNGNLETFKKQYNVADLQLKLTDKDASIKLLQRKIENLEMELANETRKVLRPSVVIQHNKILQEKLDRLKQKFQKKKQYVERILNNLKFSVQEKIERLEVVIEEAEEEEATVTRGTVVGSLDQTVKEKEEYLEMLEGKKRMKFNS >CRE23283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2677:3391:4678:-1 gene:WBGene00074808 transcript:CRE23283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23283 MIDSSSTVIISLLSCFLIIITLTCFSVSRLEQKFETDELIDIYNPNALKDLRAKYNLKADKYSLELSQVARGADHKRFFGKVKLEAFCAIKERIGDVDDGGKYVCNPRAVKKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITVQEAYERINGQLFVGMIPNEISISSMLKKAERREVELLKIDIEGGEHEGLEPFIREYRVCQVRDFSSTEI >CRE30600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1528:7195:7912:1 gene:WBGene00074810 transcript:CRE30600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30600 MHKPTLIFSLLFFILPSVSIAGNAAVHVRGRLVCNGKPFKNEKVELYDKNKVKRDTRILTTKTDELGNFVIQASINEWTFFTPNPYIYFPNYCVLTTKIGSFECANGIKIFVPEAFVHEGHLPKSTFDIGEVELSGVKTEQQGLERLVYSIFDQQECRDV >CRE30598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1528:4804:5872:1 gene:WBGene00074811 transcript:CRE30598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30598 MRVPPFKSPIFRQLLEFKSNTYTYILACHKTGEAAIIDPVVDTVSRDVQICRDLNLKLLYGINTHVHADHVTGTHKLKSAFPSMQSVLCSKSGGEADKYVSEGDVIKVGGLKLEVRETPGHTNGCVSYVEHFLKAVFTGDALLNRACGRTDFQQGNPSTLYDSVHNKIFSLPDDYLIYVGHNYDGIMQTTVWEEKTLNPRLTKSKEEFVLFMKDMKLQYPKQIDVAVPANMKDGKGHE >CRE30597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1528:1886:2158:1 gene:WBGene00074812 transcript:CRE30597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30597 MSDSEEKVPDVEVDYTKYDEDSVPIPEKEIEETHPGRPDLDYDETPVGPAPTECTEEKNDD >CRE28585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1759946:1761430:-1 gene:WBGene00074813 transcript:CRE28585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28585 MYDVEIVYRPGKENPLADALSRQRSDTDEQVTAICHSEAEQESRDLKEIQNNIKLVQNIRKKLLEDSDDTETRKLRSKFMLIDGIVYLIPRREGQTPPIFIEGGNPETRNLIRKIHKANSHIGPSKMIFKMENIATWNGMRKEVEEVIRACENCQLRKNPPAYTHVLPLGNWEIPRRPFQRIHVDVMGPLPETYQGNRLIIVATDAFSKFAIAKAIPNQTAETTIKFFIENIVSIHGIPEEVVSDRGRNFTSEIFGEVCKILEMKHSLSTSYHHETNGAVERLNRTLEEMLTLSTENPKNYSNWDEKLPLVIHAYNAAYHTTVKFPPEYIVFGRVTVSPADIMLKTLRPCYQNDDDLVENLTESIRQCHELVSRTLENSQNETKKTHDARRKVAEPDFQIGDKVVIKDHTAGKLMYQFARPVIITATTASTITVKTERGKLETVHKNRVKKFIEEDQDKTTRTSRNSATDAEEEECSLTPGDKRGRQPXXXXDR >CRE18358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1723:3917:5917:1 gene:WBGene00074814 transcript:CRE18358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18358 MVVWRGMRKDIREVMRDCKKCKSQKLNRKMHSVSSIMTIGGRTHLPFAPIHLDGVPIVALLDSGASVSLIPERVVNKLNLQDKVKPTYCSAKVANGSELKFLGQVSVIVSIGKTNVSHELLITKNEGAPAACLLGIDFVNALNKKGQLLTFNMMEKMVKVGKTNVKLLEPHQYGHHKAMTISVMCANDEVIPPRCQAIIAGELPGVNMENREFIISDTDRVTEDIYSVSSTLSTMDSEGKVVVKITNPSNAEMVLRKGTRIAEAEVWKENREPRRKVYTVCANAESVLSKIDLEKSALSEDGKRRVKTLITKFQDAFVGMDGRIGRFKGTTTHYIELNDNHRIPQSRPYRLSPEQRQKLEKEIKFMKENGLIEESTSPYTSPLLMIPKANGDIRIVIDYRRLNLITRSRTYIMPNTLDITEEASRGKIFSVFDIAQGFHTIRMHEAHKERTAFCSHMGVFQYRYMPMGLKGAPDTFQRAMSEVEKQFSGTMILYVDDLIVVSKTEEQHIRDLEEFFKLMIKMGLKLKAEKSQIGRTRISFLGFIIENNTIQPNGEKTEAIRKFPTPRTLTEVKSFLGMAGYFRRFIKNYAIMAKPLTTLPQKDVEFKWEEKEEKAFEEIKNALMSPPVLTTPRMDGDFEMHTDASKVGPYALTEILLQEQEGDLNP >CRE11594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1177:5020:5884:1 gene:WBGene00074817 transcript:CRE11594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11594 MFFFFFVVVAVLLFGVLCLTAFMAFQVRHSNHSAKIKPKREKASLCAVLGSGGHTTEMLDLIKHFGDEFDERTYIIADTDTISEEKVRDIMSVLCPIVLLFKAVAHEKSRNNEKFCIERIPRSREVGQSYITSIASTFHATVFAVKLIYRIRPDLVLLNGPGTCIPVALAAAFFDIIRLHDTVIIYEESICRVRKLSLSGAILYYLGMVDCLIVQWPGLKKLYPRATYIHDLEFKPTSASESNSPITSDDKKSI >CRE11597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1177:3715:4876:-1 gene:WBGene00074818 transcript:CRE11597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11597 MSSSFQSTKSTPFVENSKLVKSREVKTPPKYPSFAKRRTGQKMSQQFEYLLILDFEATCQENSKGPILPVQEIIEFPVVQLSTSDWKEIRRFHQYVRPTESSKLTSFCTSLTGIIQEMVDEKPTLSDVLEEFNKWLKEDSRLENNNFAFVTCGDWDLKVALPNEAKFKNIPIPDYFHQWINVKKAYAEHTNDFARGMMQLLKIYKLQHQGRHHSGIDDVANICEVVRCLGKDGHNYRITSNDQPSTTSSNRRRFREAVAPKMNK >CRE11593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1177:1630:3180:1 gene:WBGene00074819 transcript:CRE11593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11593 MGLLRPSFEEPTPRLMPGPVTSEEEEEFSSSERRRYKNYTVMQKAGFQHTEYAQIMVHLCRAEILISLIFLAHGTTCPGYPNEAEYQSTCHMNTVSAIVSLLTGAMGLGAVHRYRWRTMLILWLVFCIMSAVGSLLAVITTGIWLDHYSKMKVRTGLGNGLSGFMLLASVALGVCFILTAVMICHYWNSNTTGYQPVQKIAKRARSLRRRLSRAKSCDKKEARPPTSNPEDKGYHIV >CRE03574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1770:210:4729:-1 gene:WBGene00074820 transcript:CRE03574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03574 MENGYSWYIAVVFTFGETAGSGLVALPNAMLSLGKLTEIGLVGGMITLIVMCLIPFYTATLLGNNWIIMKTRWSEYSEHCRNPYPEMAQKALGDGMGHFTSFCTYLTVFGGTAVFSLLAAKTLSEVLNGFGVPATMCSTLIAVGIILWPCVMLKSPMHFWQVSIVATVSTVTAVALILFGYALDVNGCHEHSAFPEFTPVAASNSLATIIFAYGGHPCIPTIVHDMKTPQHYFRCFLLSYIDISLFDAYMKERVTESTPECPLKYWFSKKDDFPLMSKIAFNVFSTLSSETVCERAFSAVRRVVRDDRQRLNPELIENIMIGFFFEQLQIGSEDVSVLMYL >CRE06241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1693:583:1204:1 gene:WBGene00074822 transcript:CRE06241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06241 MLLKNNRAPSSLFLKTPLPQFLISRMMLRCRQECRTRFMKMRDDVMVKIELLDQKHVRDIAQHLATFAKTMAKCHLECAEILKDRIDVPIEIDLEQLNLSMTSGSNGGKRDIEEGEEAVVLNDNPLEGDLIDVEGSAEPSIRESRITLQRNSIGDISQPLLGSDSPLEELSLIDIS >CRE06242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1693:5445:7375:-1 gene:WBGene00074823 transcript:CRE06242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06242 MMQVGVSWLAGEWRLASYLCATLSATVLPMIWYLPESPVFLEQKKKFERAERSREKIAAICQLEYEPKPREEMADLKKITPMMLLRSPVLRSNFLVLCWMWFYVGMSVYITDLNSGDMAKNFYVGQFLCGFVLTISKITIGIIEPKIPWLGRRFIFIASQLIALCAYVTILTALWSKNKESWWYTVSYIFAYAAQSLCLETCYLSLAELMPTDVRSIAGALMNILMKIGTILASTTKPIKFWYEPMLFMINTVLCTAGLLVVWKYLPESKNMNMQLVGQDEISESNDEESSTKKTSSEIPSDDSNSQMTSVQESSEKLEATTEKSEK >CRE03525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig730:11511:14766:1 gene:WBGene00074824 transcript:CRE03525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03525 MTCYRNGVKCMLLLFFMGLAALNTYSYWKDSLKVNTLNTRMHPEVTVKQSSSIPFQCPFESWNQVHSDIVPNENLHMEWIQNNISRRDNILESQIRLLSSFVYSDHISITTNSQRSYGFRKVTETEMFLNLHSRAPIHEVSVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYFTVFNMNEYSRKIIDEYLRTGEIELTVFQSEYKTIDWQFHLLQINECHQRSKHHSKWVINVDIDERLVILDDKIKSVGSLLSGYNDTVAEVGFAIRRIQKTEKLPEKYESDQQIISEMEFLKYNVSSPVTWGAYKTIYRPEKVQSQVVRE >CRE20636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1554:1657:4729:-1 gene:WBGene00074826 transcript:CRE20636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-phy-2 description:CRE-PHY-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NSF6] MRAVVLVCLLAGLAHADLFTAIADLQHMLGAEKDVTSVIDQYIAAERDRLDDLRSVENYNFLIIHGFTSFRYAHEYVHRNAHAEAVGPEFVTNPINAYLLIKRLTSEWKKVENIMLNNKATTFLKNITDNRVKSEVKFPGEEDLSGAAIALLRLQDTYKLDTLDLSNGIIGGEKVSNKLSGHDTFEVGRAAYNQKDYYHCLMWMQVALNKIENESPATVEESEILEYLAYSLYQQGNVRRALSLTKRLAKIAPNHPRAKGNVKWYEDMLQGKDMVGDLPPIVNKRVEFDGIVERDAYEALCRGEIPPVEKKWKNKLRCYLKRDKPFLKIAPIKVEILRFDPLAVLFKNVISDSEIKVIKELASPKLKRATVQNSKTGELEHATYRISKSAWLKGDLHPVIERVNRRIEDFTGLYQGTSEELQVANYGLGGHYDPHFDFARIANYGLGGHYEPHYDMSLVGYHPIQLTVSLEYFQRGVPEPYGKNGNRIATVLFYKEEKNAFKTLNTGNRIATVLFYMSQPERGGATVFNHLGTAVFPSKNDALFWYNLRRDGEGDLRTRHAACPVLLGVKWVSNKWIHERGQEFTRPCGLEEGVQENFIGDLSPYVNDP >CRE23829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1516:4700:6596:-1 gene:WBGene00074827 transcript:CRE23829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23829 MSDSNSSEVSDESLSSKVFDNPHLLEIIVSNLTWNCESNLSTRLINKSFNYQFLRTIRRNHRKMKIEFIGKAERCEETDKDWIYINYRKIKKSIIPGYFNFLNKVVGVKVEEIITKYMWRARKAFFNNLHDIIYTHLIGNNRGSVRRLIGLEEMCEACVDCIDMAKRCVEYGPSKFVLKGIKNPIHYRKLHISDKLIECIADYCTLNSTTKEECFKQLDDIIRRSISCDTLVLWISETREYYINGVQMSAHFSMPREVLDVVIRKWNVKSVKLNMICRASGVQCSEKWIDRGYFTKIKVNDPYWKTGQSDLKIHHISVRVLESYDCARGLMQSDPQTEEEKIYENYIANLRRLFQMDKISIDFGHWRHKYSGSLEEFMKNILRVIQLEKQRKLEVNIQFFTEICSFKVGNSEKLAEIPSEYSLLSDQVKCIRMFVPFEIVESGPERLNMIKWVGRRFQVKDMDNHFTLNLNIYVKETELKELDNGLMDTHPNSLIGVFLQLSS >CRE23828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1516:1583:3187:-1 gene:WBGene00074828 transcript:CRE23828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23828 MNPTYRPQKSKNGVASAPSEDEDPYFQLIYSPTTSSRKQNPSTSDEELLFPLPVFVPSTSEDIPFPWYLMKHHGNTYSKLVQSGVDDTFPEDLLDDSSWSREMKGNVLDNSTGISEIDLSKLSVREPKEEGKRKPLEEVNRKQVVDKKNFHKISNPYVSPIQPIVTRKPSNLTSTPKKKHDNSTSLKSSSSPKTSLQVVTGEPNKKKDQVLTESTSELKFPIPPMSAPGARLILTDDSFLGLPPSRHLIKQIEFWREGDDLLSQHESLMDTKILGQPKGTYKNLVDNPFELRKRRDLYKKLYNWMITPESIKQEIIGLDLYGENDKPNLDSIGKGNDWNYFEQQTILTEIFFQCPNPSEAVIEKISRHVQLPVKSVEDFLDNYRKNLMKDDDKM >CRE12367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig657:1769:11318:1 gene:WBGene00074829 transcript:CRE12367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12367 MIMLYLKQIIKSNKSREKMKTTIALVVLCLIQGSLANDRLGPNDQKYYKDSISPGIDPSSYSSSQIDYSDLPPSLDPTSNSHSLPYPKTSNDFPSLLAPAQHDYSHSDSNSIESFAFVNSDYDSPLSQHSPQLRFGRNGKLIVLGSLILNSIPANDQLTDYLKHTTIVAHLVNGIAIQNGLMNGNMTSNDVAAELLNFGSISISTIANFKKDSIVAVTGQLKKPQPILDSSITEMEELALKWERLISKSSDLENATSLPGNDKYFNEVEKFKKEFETTVVSLPTFNVVDSDFTGIKARLDDNAKYDEIYNSFATFSRDFTKKLEDFDKYRKDIEDFTKYPLLQEGPSIFKPLERLIHLTDTRLTFNTELTDESKLAISKSVEDILSFATISRAAEKEFSNIHKLADYRSSPLLTNRKNTIGFPGGINDINQLVKDIGDPWIGKMVNVQGSNMNDLTDGLEPLFNFQKTLSGVDEKLKPISLADTRDALSHFAQIQKELSSLTPDSAKSVSTMFDSLKGCTLQPIGTNVYKNSKTLTEKIKILGSVYDSAKLALAAFDSKTVKGEMDQLISSLGFKDLGKNTSPEAEVKEAVKRLEKHNTLDDIKKLVDQVKEKFAGIPVTSLKEITQYIIENKKDITFPKIHGESQLFDCTSKLAVVSAKASQGIKAVRSLRVLEVGKIAKVESVATSVLEAASGLSGVASIQDKMKKDAKEAAVELNKLPDASNKSVVIGQSVDSLNTAVKLRDLESQIGQLKSIDALVQTEIQKVLNPSDRSMIMKQWGNHKKDMDQLEKVLAGIQSFDSKLNVSNATTLGDYGKPLANLALLTTVSMNAKEKLKALETLALTADSKNKPVIENCQKTLEQLANLDLGFASHTAQYKSAPPAFQALHDFLSKFLAVPHNTNQQEQQQSSNKEDSGSTSIWYFIGGGAGVVTLIAIVAASVYFFLKHKREKEQATDWKKTVMNWVDEHKLQDTTHAFQMHNTVISAVNIGVISTYEGYEANQLLPNNKHRNPDILCNPKAMLVLDKGRYIHASQFGSDDQEVVQFVGTQAPMISRTYLREIYDKALHEVAKLETRVESDTREDFWLMVMTKGTEYAVSLLTDKEMKALACHYYPDTTKTPLACGRFTVKMESESLILNKTVKQRNLAIEDTQNKLEARKLEHLQFLNWDAEQIPESHETALAVMARVKGSKKPVVVHCSDGTERTLSFIGLQHIYEAVKKHPHRKFQDIVVEMCKRRWHGMQRNVWSAWLVSGVRKQLIMENKMNNDDYIEDLPVLKAMQTKVREDATLLHNALRDNIDAWINKNYSVNGKDQYNLHAKIMDLMRAVAKPDVDDALKHLPPSKHRYKDIYCNPKTAVKLKFEGKEIKIHANTVKSRAKYATEFIATQGPTTKAGEIGDTREDFWMMVILKNADFIVNLVNESEMGKKCAYYFKLEDKQSIDCGRFKITTISSKQICSEEVTERVLQVVDNATEKKFDTKLVTQYHFHSWKDQDIPDGGHEAPIKVMEMVNKSEHPVIVHCSAGVGRTVAFIGLQYVYEEILMHPDTTIHEVMGFMRNQRWHGIQKVPQSYWLYLGVVLRFIKKFKLEMKIYTDQFDNVLPIVEELAGKKKKIKKDEKVKKEKKKAEKAAAKEKEGERKSAAGGVSEFESEGEVDTDVEALEESAPEARGEQRQPADGESSTESSESCCVVIPNSEVEEEVETAREAPREPRHGQVAIDVENDGVAPGEQRQEYLAIDIPEVAED >CRE19630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3228:1224:1979:1 gene:WBGene00074830 transcript:CRE19630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19630 MPNFNPQQRQEILLLIQQGRKVEAVKWVKDHSDLGLKEAKDYVENLTENAYNFAFADTAQSDSSRDIHLQIPQQDILALIQQNRKIEAIKLVMEHSNLGLKDAKDFVEQLTENPNLAINQFQFDDDFYDSQHPRYKAIQSNFETGEIYVLYQDHQKVLIDEHHPDWDEIMRHFSQGETYDSAAAYIAAMKAKAHDSAVNSTHNVQSIPRPSSVTTQPVGIEDQTKKKGLPIVMLVVIAIVAVIAYQFFIKQ >CRE19631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3228:2719:3729:-1 gene:WBGene00074831 transcript:CRE19631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19631 MFPTLTVTSIFYLCFIVLAVWGVSQAWLSQSRTETIHPFKSFVHLLAFYLSYLLIPLLFFSIYAGYIGKFSLHESIFIFLLSALLIYARFIEPHRVHVQHIQYSLGQEKTFTQPIKVALLADLHIGLFSGHERQLKTIVKKINLAQPDFVVVAGDWTYEPENKLVEELAILKQIEAPVYSVNGNHDEQYPGPPIQALLASALEANNVMDIEGKIVEFDDFRLIGVGDLWAGKADMRYMPDLPQDKPWLILSHNPDTVDMVPQLPTRPLMLSGHTHGGQVELPWLTSYVMKKVSILGHKRGLYQHENADVFVSVGTGMVGVPFRFRVPPTIDIIELS >CRE02787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2881:699:2162:-1 gene:WBGene00074836 transcript:CRE02787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02787 MGSSSCLKFHFPQTLDTDDDDIFLSLKIEAEAIIMQCNKHVIFYSRDHKLEWEYMKRCDTAGSCSIDKCVSIKPNEDLPELSNRAKSSPGYTSCAPGCGCVNCGCFYCDPACLFYRYYAQPTSETLYEVSRCATWSPILRLKVTLNENSTIRQDLLPGVKFQLPGTNISITAINLDNPPIPAHLAPFITAYSDGYAVWHSFTHTQPSAPGVPTRGLVGELHCATKSDAESFDCTFDPALCRCVGFATKVSCECRTSKISDYKLENRLPFKGQHHTIQFDRQKERITIESVQDSLVALQVEAVNATINRQTTITRCTATQIGSLSGCHSCEKGAKTTIGCSSRTSIKALLDCPNFQTSIECGLKEVKTEIIMSISSDAKESMLVDFNCSVSCGIVSLVQIRGQLDFESTFVQTHGAASFQERIADATSLLSDAAQWLYDVMFKDIFIVGMVVIGFVCALVVWKLVLPYAMPLPQLLVRRSQRRRKKQK >CRE17699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1704:3105:5420:-1 gene:WBGene00074838 transcript:CRE17699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17699 description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NT34] MYRFLFFCAILCGVTNANKVEQVHLSLSGKMDEMVVTWLTQGPLPNVTPYVTYGLSKDSLRWTAKATTTSWKDQGSHGYIRYTHRATITKMIAGDVYYYKVGSSQDMSDVYHFKQPDPSKELRAAIFGDLSVYKGMPTINQLIDATHNDHFDVIIHIGDIAYDLHDDEGDRGDAYMKAIQPFAAYVPYMVFAGNHESDTHFNQIVNRFTMPKNGVYDNNLFWSFDYGFVHFIALNSEYYAEKMTKEANAQYKWLQEDLSKNKQKWTIVMFHRPWYCSTRSSGGCDDPTDMLSRKGTADLPGLEKLLKDYKVDMVFYGHKHTYERMWPIYDKVGYKSGDAGHIKNAKAPVYILTGSAGCHTHEGPSDTTPQSFSASRLGQYGYTRLKVYNSTHISTYFVDTDDKVGNFLDRFYLEKD >CRE15127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1282:9152:9361:-1 gene:WBGene00074840 transcript:CRE15127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15127 MMESAREKTMTMKRYLKWSNRFCGYPEEVLLRIAEFCTEMRYEAREELVVKPQYVYLVCRGSVSFFFSL >CRE25987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig966:4839:7386:-1 gene:WBGene00074841 transcript:CRE25987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25987 MAANNNEIVISTLQDMAFRIALSEKRPKNLTEKEMLTYDYFGFPVATKIYNMRTNRGVDKSLTRLCRIELVAEFGLSLDGTKYSEIKVRLTDAASLYKSRGYSLTHMSLSGTGNYPTSCAKTIADNYRNLKCLKLVGITMRNKDIDAICGSFPNLIQLEISGTKVPKITKMASLKSLKFLIMKDIIECPRETWINLESAPRLKYLDISQQTSRRHLLPDITQDFLSSRAVLKRLETLDCSKTRVTKECLTQLKRRHDALKTVVVLEVEAMKSVDIDGLFLVNTATLRQSVEAMKYCTRLRRKSDLTTVLREIIEWNLVDYPNEILSDRADDYLYWIHRIIDEFSGENDIIQQCVFFWYELCSRLVAFETDMNRFVNHMLLAMSMFEPSTPHPIHSCLWETMKFGSQSDAFKVDLNLFCYMSACYIHALWNKSISLKSTSVCLRGVEPAIEVMLICTKIAKEEFDPSRKGTPLADRYLDLFFKELFWFLDVHSGIVREYGYCEAYSMICTVFIRLLRRSVELRKKLFGITGGIERLLGHLRAMRTEEVLGKMTTQKQDIPKVLRKVTKMIREICILPDITGLERKIFNTLLLTYIMKDENTDVNNEFYVASICSTYAFCLELKGVESAEEDMIKYLNARALRLSEKFEFTSCIVYAYLENTIIQEILQRSKITGVVGWAMEVAMLIFEGHKPSLSYKPSLPPLLPFVQNYKTDDNVLLKIKAHVLEMAEK >CRE09954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3458:2433:2759:1 gene:WBGene00074842 transcript:CRE09954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09954 MLPKTFHSIKFIVQTLSVILSFLINFLLINLIITKSSKKMGTYRYLMVYFCCSSIFFSFMDIVVQPVHGVNMLHINFEYSVISEYSHLQIWFFMIPISGSENYARRLLR >CRE09923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1539:3746:4899:1 gene:WBGene00074843 transcript:CRE09923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09923 MSRRSARLHEQDAFARETERQRELDQDIARLSPFFQRMLPGPETSTPRSQRNRDRLMRAPRSEPSPIERERNQYIRHVFRTETLRRSPRRLMVPPVTPVRGRVSFINSMIQTLYPMDPPSPTESEENTPTQSRQNSPSPPRSPVPEPREIKLVPPPNSPESGIPKRWERLAEDNINMGFGFALSWINTIKFSRLEAEERIILPRIYRRVPRKSLMCLLAHMNVDETVFHHVEVKMKSEVGETKSLKWNKIQRKVFFQMNMRKTFAEFVKLPIPFHRLRLKVDKYAEVPINQGIVDKFEPVEYFRLDTKLPNLWILHQIVNHGPKVEEYKKPLPPNFEKAKKGREDF >CRE24330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1355:9661:11575:1 gene:WBGene00074844 transcript:CRE24330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24330 MTAPTTSTKPTKPLNSLSIPGPLPKPERVLAWAVWVFHSLFAFVIAYWVSNGKAKKWINHWMQDSYVPGWKMDLSDAEWAYYRQTVWHLLLDYSIHSLGYNFPIKTISSITDLKICIHCNRVFSSNSYEQVFFQKSIKLRPFFSSFQCILVLYSFAVLVIFSTWITGDLKWTPWIMCIGFIAKATQIVPFSSGTHIFYREFNIYLYGSIKILNFALFFAENWKKIENSRVLLTESLLYFSYLPYSMTLIVRFEDFREQFHKWEQNQEICVNSLKKAIWFGIRLAFWFGFMDFLLHFVHVQALFNSPDSLVNSLNVYEVCAIAYVAGQMFHVKYVVIFGVPAFFAALDGFRPPPPPICISRVSLYSRMWRHFDNGLYEFLKHQVYIPVMRKPLPLVLSILRGLGALCAVFGVVLAWHGTRRHYIFWVTLSATELIVERIGWQIWKLEKFKKFGK >CRE12582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:843700:845117:1 gene:WBGene00074845 transcript:CRE12582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12582 MVKNKLTTTTEMSTFDWEKIWFSEETITEARQTLVEALYIALSPGSLPSACVFAFDCFLTVVHFVVSSYILDDFTTTFLGTVYFPTTFLKIVYIIDCITSAEWILEVNEILNQIFNMTIILSCIAYNFMCLFVAQYRKNIPLPVCRTVFAPILLFCSFLVIAPKLFQVMDRYIDNCFQFTTQLFGTLFLIAQISWNMYTFVCRKVDTGRETKEVNSNDDVTAANDVIRNANGRLVWASWFSLIIVILAIPQIIDYYVPLTTSWIPYHFMVQSLVQLNALLLSFTIFLILPTYRSVIFSCCINYNRIIKVEEIKIENTDNTEKRSKKELEKEAEALANHQQIHPSPHQNISIPHFRHVAIPRIRVPVIPPIVAHPTGQIRIFVAPPQKKY >CRE29479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:467088:467908:1 gene:WBGene00074849 transcript:CRE29479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29479 MSKLVPIDLGVCAPCRELKGVCVTNNSSNFCVYARPLEDFSATICNTDTSTIIVTAATTAFLVIVVFLAVVYYKEIKKIFSDMMDWCKKKKPEVVAKGCPAVCIEVDVESQEGAYPKVYPTLDLNNGPSAPEEPAETAQVIGDVDEKADGIPMIATDAEDDNMEALSTWLK >CRE04408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig611:13627:15804:1 gene:WBGene00074851 transcript:CRE04408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04408 MLRNLFTVSRRFASSDSPRKVVVCANGTIAAWHPPQEFPYEHTKPIDLSALTKKDQSSRLSAAAKASAVPREPINAELKEIFYTSKHEWYTRNFILALEHKPVYTVGIRSKGYTKEEEEQLKGLGAEFHRTSRGGLITFHGPGQLVLYPICDVRRVSTRQLGVRNFVDKLEQTIIDSASQGFGIQNVGRTENTGVWVSGERKLAAIGIAVSGGVSYHGIAINCNTDLTWFDNIVGCGIEGVSTTSLSRETNRTVTVSEARPVLLNHFAKNFECLLDSSSSNNYSFPHSKLSSAVSNS >CRE04413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig611:15855:20630:-1 gene:WBGene00074852 transcript:CRE04413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04413 MEMAIQSVVMFVKVSAVLVLLAVSAHAGFFDDIQGVSSDVGNFFSNQFQNAKDLFSNNQSELDKNVERVKELLTGLKEKVKSLEPLANDAQKETLKKVDEYLAKVTEFQSEVKEEGAAKFEENKGKWQQMVTDIFDKGGLNNVVKLLGLQNSAPSSFISAALAPIFYMIFVR >CRE10773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2018:6161:6748:1 gene:WBGene00074853 transcript:CRE10773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10773 MMRHRRRSSTSIFLIFLISFSSSVPSISTQDPQISDQPIISNHAPVLQVSSTEGFLAETAEIGTTVRVSPNSQSESLQILVNDEDLQPGMPPATYQYILTGLGATIFAVDQRGYVYLNVPKIDADPPNPSTYQLNVSCRKNTRLIER >CRE12406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig936:6039:6835:1 gene:WBGene00074854 transcript:CRE12406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12406 MDIKGELKLVVCKNTVINSMHRSGILKRQKKQLAPNMTDAHKKRRMEFVKENMGTNWDKIVFSDEKKFNLDGPDGNRSYWRDLRKDPVFFLRRNFGGGSVMVWGGFYEDGKLKLQFTSHKMDSTEYQKVLQTAIVPFFRNRRRSHQFQQDNASVHASNSTKAWFQSKKIKVLDWPARSPDLNPIENLWGILVRRIYKHGKQYNSVNDLKTAILAAWDAISDTEMKNLVGSMKNRMIEVIQNNGGETSY >CRE12407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig936:377:1650:-1 gene:WBGene00074855 transcript:CRE12407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12407 MQLEAKNLSTKLVSSNSNVYIEVYRVDEDQKRMLYRSEVAKQTKLTWRPFTVQSDDLYGTDGMDSHIEIVCISEEDKEGVIGQALVSMEVAKAMEAIPIFNESYKQGRKPIGEVRICRYQQLRVCSFLDYIRGGTSLKFAIAIDFFIRDPQNLTHNDYQQYSNDIEFVLRCLGETLEPFNPNNSWLSYGFGAKIPPHYRDSNNFCLSLDVDATCQGVNGVLNAFGKSHQHVHPLPGAKFSQIIYHLAK >CRE17750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1390:1598:3202:-1 gene:WBGene00074858 transcript:CRE17750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17750 MTITDESTSRVADSTAPPATSSPSLFQKICCCCNSDLKEPPVQLIRSGSLTYQQGAAVTSAPESSTSPPANNVISAAKRSVDDDVERPQASQKPAQRPKEPDAESINMNDILMENVLRTTEEDRTEAVEEDIVSISTNVVQEIDENELRKALKKAGNDTNEASQPLRGRSGISKEMPSGSEDEGGEEGDNRKMSVIEFIRSEAQAETSATMLSETVPQERPTDDIELVYKNEKMSEATQSEMSDSENEEDSDVGKQINIVGMSSKTTARAEKNSDTDDRRIVEFKELSSDESLKGSTEDEDEEVIIRHEELEEENDEEDVPPPLPLSPPPNHFGQLEGSPIPPPRSPHRSIMYAHADDSDSDDEESEDAHPIASNGLVRMHPVNFAPHKEEDIQSISSDSSLSTASDLSEKENEDDNAIGVTRISVKSDGRAEIHSPKSPVRVTLDTDRNEVTDDDFSEKLI >CRE22242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1591:690:5971:-1 gene:WBGene00074862 transcript:CRE22242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22242 MSSYHSWHHKNDTNPKQFIEFTDGYLFVYNSKRASSFNYARCAIEKLSETNSVNFDCILVVAVVEGGGSDSMKLDLEYLTEGAELCKSIGARFAVIDFRKRKNKSNVLNQQLMEFLHDVLDGQVQPISSTSNSEINSLENDRPSILAPSFTTSGIQLLTRPDITNRSRRQKAVNSNIRNRVTRTDPLIMSHFYSSPIEIQPAPLATPEAVDFSPAYSLVNDAVHTIIQVNGSSPKTPPNYHHHYESSPETRSTTSTVSGSSPAPRAVSADVSRRSRTSCVSLSTDSINRLHRTSNLFQLHPETAMTAEQKQKSLSIESLTKVPEKEKGNRFVRKVATSFRLRKNLLETDGEEKKSKEENKKKSSVTTSPEQISSFLEKMATRSLPQSPRTDRKAKLYSSLNSTTEKVSNVLSWLPSKSSKRLMKNKSATHDLSTSLISYTPASTSTQGVIASNENLELLCSTSTSGIPGYLEKCIEFIENNGGFEQEGLYRVPGNQTHLAEVEKRFLKSGEFDVSSFDTPVHVAATALKSFFSCLPESLIPTDYHSKWKHVMMAADDKEKIDGIREALSHLPHSNQTVLRYLVKHLAKVSCS >CRE12532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:550375:567170:1 gene:WBGene00074864 transcript:CRE12532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-itr-1 description:CRE-ITR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M7H8] MNSTFGRVRKKVSIISVPEFAPDSYENENVATSGGIVGIGSTSRGSTRIEFDFDDFEEQIMRKSSMALPSRKLTIASSIDHGNNGNLHIGDIISLYTESSTNQEQRGFLSTLGLVDDRCIVELKDGRPESPPKKFRDCLFKVCPVNRYAAQKHLWTEQKRFQTGDSMFDDDLMNKLRVAADKEREENEAEFQKTLGNVVQYGSMVQLLHVKSNKYITVQKNSPAKRERNAMKVYLDRAGNEGSWFIIEPAYKHYAIGDNVSAGNKISLIPNAVSTTQTGHVKSQLHLSGFNLLDHQTAAEVNCLNEPTEWQVFMFLLFDENQQNSVKSGDVVRLFHADQQTFLTLDTIPKINPAKDVVFLRMTNRPSAADATSSRALWEVQVVQTNAYRGGTAKWNKTYRFKHLASDMYLTVEASQIQVKPAVNGRRASLIYSKTNNPMASMYSDGPNGITNGTDKMKLHRQINIPDSSDASNGPNVYFLSPTKSDFPESNENLLFQLDPSTFMKSNKDVPRRSYVRLLHQSTDTWVHATNATEKQNLHFSNKNEKGWVKVICETNRVDKETFALLPVNPDEVRDLDFANDACKALRNFINLIKIGSVISKEALNSTTQLLIDCILFVTNSSDHLADPLKITDFSPSRDRQKLLREQEVLHQVFLLLKAPFQPRQGSTELGPLLSSPAELSDSRNEIFKTMFQLCYCLLKYSQVSYRKNQEFLAEKFGEIQEQIGFDLMAEDTMTAVLHNNPKLLEKYVKAPHVERFVELVRNNRQGKFLDYLADLCVCRGEANKKIQELICTSVLSNTHRDIFMDTKVIEGEIEVGWAPNFRKLVDIAEGAKSNHDDVEHLDYYRFVGDLMIVNDTFFTFSHQLDLLSQMCQEQQYLAIDPPLERGLMNISQQLPAELVLQCMSDTRLPYDLRGSFTRLMLHLHVVRGSPMSAIRHARLWWSIPENVNVSTYESVSVEAYSDGSRMRIGASIAHKVLATVETYLMALRNQSTDERHSVNSSKLTYEVSVTRGETYPKYFCFQIVNLAKALAQFNFYSFNELLQLTQNLLAIINEGPSVEQVPSHRAMVNAIRNMSKSMMRGGSNKESSKDLAKTSSMSTDDAGRSKEGRALIVKTKLIVAEILQFVMDVRRDYRITMALSWFKNVFPCDEDGSLMHSASINERMASELYDAIYHSSGHELHLDGKDGQLLLAILLQMTMSDYPPLTSIALKVLFRHFTQYQELLEDLKQVQLLVSNDDVENYRQIDRDLFILKNLTEKSELWVHGDRNHSVETKEVDDKERTTEYDLQRHELNTPRAFGSRDSMDAVIAVINEHYSNFKTESLQLLNRLLIKDDRNDAAVALQELSDKAPLIAYPLIRQMLVRLTRMCYRDGKPDTMNQQLLKNMRVYEVVLEFISVPHDKKHDHEMMKLITLSHEFLRSFCKTNKENQSRLYKFISYEKDAKEGMLRVETVEEVGTLVAIFRNNRELASNVPEELIAHIVGLIEHNSRNPIFLELLQALVCVYDKEIESGQEKVANEICAASDEVRQLYVDNASFEELEALMKQEKESRGRETDSRKPLKYHIELVRLLAMCTRGKNGNTELKCASQIPMDHIVRVVTSKHCLVEVKTVYLQLLLHCYIDTDAEMKDAYKTEYVDNILNNLLEDIRSLRIEKLTDAETVTLEHYICHTVTEVLIKFFEAPYSALQQAKVDVHHHKKTFSEVLLELTSLERGKLKSTRSSRNWYRVAECIKRLTKWAEEHSITLPATLAGPQMAGTASVRQKWQNAATSAKLIGLNKRLNRQNTLNPGHRLYGTSNSMTEHTSANVVTCYHVSYSRGECIQMMIGEFKFYLHPLHAAEGSVLVEVLHTPELLFPEGSSLRDQCARGGVVAKLIQHCKTLMQNKQDNLCARVLQTLCKMCDCTKQQLTQQGQQLRQLLLQRYFGQHNHHHPPLDRQQSKIGEVIEAVKEKKEETWSQERDLYAIQCKLNDAGASDLVTDIIIMEPSREIFLKAIHLARALLHEGNDKVQHSFYMRMKQKDIHEPFFKVILTRIQTAQNRLKSDMMSCSDSKPKASLSATVSRRSSTVLTPLIDAGDSGFNGTLFEVPQQVRHPSISEMSQLSNDLTHSIPDLTPYQDEDKSTDALPPEVALVEPILRVLQLLCENHNSLLQNFLRKQSDRTNHNLVSETLSFLDTVCGSTKGSLGVFGEIGEHNFSLITQTLATLTEFCQGPCHENQNTMAMQENGLNIIISLVLNEIKPLADDHMELALEIKSQASKLLLAIMESRHDGENANRVLRNMANMSGGPKQLVHAIKQAYEMTNSNHHMLKSVSRDLFRRAEDDSKNKPGPQITVNTISLPEINVDASGIVSIHTEKNNSSSLDDKFHEEDCPSVDPREVGHNIYILAHQLAIHDGELEIWLDGSDEKKDDLTREALNYYKERTAQIEIVRRDRTLERVVFPINDICSYLTKETKDYVYNNTERDNQGSKVTEFFDQWETMYHEMIWQRKLQDRKWLSWCAFRLPLWTRLSFHFAFIVNALVACYYPFPEHSTTPISFGNSYSWLAVITSFLLAHYLRHDKSYLPKTFLLILASLCFLFVSSIGVNITLYFFGILQLVNKVVHVLAFVSNKGLEDRPLSEILACRNLHYLLVYLAVCIAGFVIHPMIYCALLFDIIATEETLQNVIASVTRNYQSIVWTGLLALILLYGFSILGFLFFRHDFYLDVDPVEADSSATISSGLPSETCPSEGCPGLKPTGKDGDDDDDKKIKSCETLWMCILQTMYLGLRNGGGIGDVLRNPAPWEDMFVWRVAYDMTFFVVLIVIVLNLIFGVIIDTFGDLRAEKNEKEQILKNNCFICGLDRSRFDNRSVTFETHRETEHNIWHYLYYIVMLQIKDETEFTGPESYVAQCVKDRNLDWFPRMQALSLQDSELDTDQSEMKQMKDQMMQMIAMMRENQSQWDEVRAFMEQLQSR >CRE07130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1054:6016:10670:1 gene:WBGene00074865 transcript:CRE07130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07130 MKRIQSELFVPYRFMGVVTGDASPSIRSTFVGKKATLSVLCPIDNVIVQYNGKKLRAIGMSDPLNDKITAVASSSSSVFAAAGNTISSLKFCREVSDSIDIGAPVKMMTLIGTQLVAIDVSSGIHVIETENDTFQMSLLMEGSDNFEITSICHPSTYLNKIVVGSNEGRLRIINIRTGKVIHEFQRNFGSAITILEQTTALDVLAIGMANGEVLLFNVKLDKVLSTFRHDAKITNIAFRDDGEAAMVTADQNGTMAVWDLEKQELIGKITGTHTNEINSLHFLAGEPIMLSASHDNSLRLWIFDSADGMPRELIRLEGHSKPCASVKFVSKNEVLSAGKDGSVRKYDVTSLTMRQKLGSVAQQKKGALSPNGNTEVQNVAEMAFGWQREAAWNNVFCRQVNDTKVTTWQTRNNTHGEFNLEHDRFKKKVDFIDATATALCVSPCGNFVYIGYSTGHIDQFNAQSGRHVHSFTNTAPSKSKKKDSKRRAPKKSFIMSNGSLVNDTPAADSAITSLSIDQLGKELMSTDEEGHMVFWSIATKKITARMFKKDVKLGISASCPANSLVAVVSIAENGAESVILVDTVCHRVARAFETVGKKVNAITFSSDGKWLLVADNESYIRVFDVATSQLIDVLLFSKPCISMSYSETGQYLATVHEGERAIYSWFNKLLYAIHVNVKAHEPDYLPTWEGNEEDEIVDIDDEDEDDSTVMDLNLKSLKELQIDENLVTFSGLPSSRWANLPDLAMIKERNKPTDAPKKIKQAPFFLSAAATLDGFEFETENMEEEMNGDSTHIMSKRNLLELESSFTKVLRTATTKENLLAAFKTLHEMSLSAIDFQIRALNPQTLPIFFRMLLEVLKTKNNFELVQAYAATALKTHRSIVWNATDEPEHQELNEVLEEMAKYQRDSWKEMENLFVENMAVVQWIKNALL >CRE13829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1381:4568:5242:-1 gene:WBGene00074866 transcript:CRE13829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13829 NVFSECPTSTTLVRQLYLPQIPQSASFAAAPTSFSGASSSSSNHHHPVYHSQNSLPPTLIGGSPHSASSNSLVQGHRNPALGSGNTLTRSYHQPSSTNSSTNNLYGPLGSISRDLKQAIRDISPPVINSSANPHLVNYIQTSSFDNG >CRE20152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1988:977:3954:-1 gene:WBGene00074867 transcript:CRE20152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20152 MLGASSSEEEDDDFQDDHDSTMPMAQAKKRSLLSGAMTPRSSSPAPSDSVSQTNSLKRNNSNMGRRKDSVHSQTPARSARTMSNVSRPIMQNSQEFDGEDEEEGECSNTIIAVDDSGVALSKEEQERIKAEREEEEHKKNLQMYVFLARCVAYPFNGQQTGDMARRQMKVNKQELARIRERFQLFLKGETNIAADEAFTKAIQSYTEVFLKSERVQKVVHAGGFSQHDFREVFRLNIEKRIRSLPDIEGLSKDTVLNSWLAKFDAIIKGDETDQNRNARGRPRNPQNTVSADAVLGKEQLYDVFQQILGVKKFEHQIIFNALQLDNPDEQAAAIRREFATREEALKDPIKMKRLTPKFVVKDMETLYMDEVRMSINTLIGNLETVPVTTRGQAVGKRKDKSR >CRE18370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2043:1284:4198:-1 gene:WBGene00074868 transcript:CRE18370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18370 MVHLRFKNTSLVLYPITKQKHLTVSTTAEKEAKRAKKEAKRQSQKSQKAAEKSSNALDGMTNTGRRTSSVTAQITRQARRFSTAIAPTLTKIEAVHILQKLDEVRVKMNDVAQLQGAIEHYVLRNSAQFDPVELDIINKEGFRIMQASVYPDEIVLQEGSKKICEVTLIDTEDTSSLLKIKHPVSGMTVYELRELGGTILIQTNTDELKGARVMTQTSGLSSLFLNCGCAFSKETWSVLTQDKIMASVRPNRSFWSENEIKVSSKELEGFSNNQFQIDWDPNCENELRLISLVFGIGQMVRVAFPQLFHIVKEFRQRNQ >CRE18355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1683:6:1463:-1 gene:WBGene00074869 transcript:CRE18355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18355 MLRSMYFQCFVIIFVVLNAIGNAMFVYRHDETDIPRKHNFYLFEVGFTILFNVECIIKILCYGFRNFIRRGIFKFELILCIGSSLNCVKFFYERNYFTYFQTFRLLRLIKASPILEDFVWKIFSPGKKLGGLVIFTIAFICCCSAISLQLFYSVPNLHHFRTFPQAFMSMFQIITQEGWTDFVVEVLRATDDNLVPFVALYFVAYHLFVTLIVLSLFVAVILDNLDMDEQLKKVKQLKAREATTSMRSTLPWRLRVFEKFPTRPQMAVMRKFVRCGLNFIKLQVFRADSDFPMPKVRGSFTHQFAVDHSLETTDVMETDFEFPKRLMRSAGKRKISKH >CRE23796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig757:14591:15967:1 gene:WBGene00074871 transcript:CRE23796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23796 MRVILTALLIIASLFIHVAEAQYGYYSPYYGNYGGYGNYGGYGGYNGGYYPSYSSYSYYPSYGYNNYGGYGNYGGYGYNNYGYNNFGFCGIRCRRFQRRLMWAQMAGYGMYGRK >CRE23795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig757:11411:13516:1 gene:WBGene00074872 transcript:CRE23795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23795 MLKTSVLLACLAFSTIAADGNYFQVLIREIDNPAGTLKNGQPCSNFGFLGAGCNTWMKAVATASGTNLSDINLPDAIQINKNMETRVSNLNFVVSDNYLDSVDAFTGFDLRVVLGTDSDCTNIIDDYIIHVSSNQEQGVYTYTNLRDGTLATTISIAWSTNIAPQSTTATPEPTTTGVPFTGSTAVPTTTPRPPVDCSEVVNVTSGVQTIYPDGTTPVSVYCDQTSYGTYTVIQSRGTIGQNISFDFPSVSNYSASFGNAGKGNNYWFGLENMHVLSGVKSYALQIDLCCGTKLIAKQIYHNFKIDTAANFYKMAATADISGVGLDYTSSGKDLAAQFSTFNDWHGKSKDSCDQFEFYDDAADIGPSVAYGGWWYGSCGNNLNGFLYPSRSGNCTVPLEVFDQNRMLGVNMRTTSVQGPGGYDVDLQSYDRVRMALFTFDSTTIDRTDSSFCS >CRE30335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2408:2929:4312:1 gene:WBGene00074874 transcript:CRE30335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30335 MENTPLPIESALSPVIVIGQCDVSMSSHDNILRRPVVVSFRHCASTFPRDNWQFTLYSDEGTGWQKAVTIGEENLNTNMFVQFEQPGKKNDGFGWCHVMTYSLARLMLAGHPRRNSLSAAKRVHLAVFGPMDMSAYRRSFELRVYCVPETGAAMESVWKQEDGSRLLCESNDFILNEKGNLCICIEDVVPGYSCEGPEVVEISETQHRWVAQNGLHCSLKFRPKETNPPPFSTRVIVYQKASSTEPMVMEVSNEPELYDATSEEREKGSVCVEFRLPFGVKDELARLLDMPNESHSDWRGLAKKLHYDRYLQFFASFPDCSPTSLLLDLWEASCSGSARAVPDLLQTLRVMGRPDAVMILERFLSAFPQIVSP >CRE15202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1347:6403:7049:1 gene:WBGene00074876 transcript:CRE15202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15202 MADNWGTENVVIDAAPATEAPEVALFGKWSLQSVNVSDISLVDYIPVKEKSAKYLPHSAGRFQVRRFRKAACPIVERLASSLMMHGRNNGKKLMTVRIVKHAFEIIYLLTGENPVQVLVNAVINSGPREDSTRIGRAGTVRRQAVDVAPLRRVNQVRFLLS >CRE12562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:762336:763035:1 gene:WBGene00074877 transcript:CRE12562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12562 MPVSTISYSSESIELDSIGPREPRGNASVSRVIVAHQQSGDKRKRRYSRKIRRNEQKSMKTSNSFVIIHDLADIMEMIQYRNKQMIIFNFLPNGKQKPSVVVQYVFFFF >CRE15201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1347:238:708:1 gene:WBGene00074878 transcript:CRE15201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15201 MAGLFITMAVMHHFKAAQPALLYLVPCCLLVPLLLAAIRGEVSALWNYDEGKHVDNEENRKRVDSGKKNN >CRE30618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2127:6469:7533:1 gene:WBGene00074880 transcript:CRE30618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30618 MEPKTLVSLSFCSQKSHSVIKTQRKVPFDGHLLVGESDKNSSFLSFTNFVCLMVPKSNQVLSAHKFVDNINSESMESVKMNGQHVRVKMDHSHGYLMSYWKNTTEGSKVITDYVTNLFNIDVSDIWASKQSFHIIQHVISRQKTPLRYVSYSDSSATSSEEMTYILKFCRPMSQLSMHLKPQNFRFTEKFPKIDCLDISGEWVTLDNLLTMDGIDIILGSSTLTSSDVNVFLKHWLSGGCPRLKFFLTRIGSVNILQLLDGLMHNAVFVENSRDYTSPYGYSRTLSDGYDIQREDGLTATVCHQQTGKLVIAVWPETTYNYN >CRE30621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2127:4258:5013:-1 gene:WBGene00074881 transcript:CRE30621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30621 MHRLVEYLTIYWEDKLNGLKAITDYVANLFNIDVSEVCVCKDTFKLIEHVNTKQKTPLKKVVYVDWGVIPSEVLIYILRDCQCSSQICIYSEAPPNFRFSNNFRRIDCLDISNSKWVTKDNLLTMDGIAIHLDNASLSNSDLNVFLKHWLSGGCPRLKLFSARTGSVDILQVLHGLMHNAVLVENRRDYTSPFGYRRTLSFGVDIQRADGVTATVCEQENGTLVIAVWPETTNNN >CRE06207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig813:14891:16437:-1 gene:WBGene00074883 transcript:CRE06207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06207 MIPTTALCFQQIIDESTYILAELTNVRHPGNTDCYGILKRKEVPISCFERDTIQIENRFNTGYESRDYPISFGITDENQNPNEFEPATKRMKLEDSSEDQETAVGSCSDQERGVTRNTLTIREHQSILQFQNSTTMFSTSIVRCPPHHPNSRSLTSRLLSHHQHHPNLIQCQSSKDLLSTPISSKINLDTKEIASQIREWFTLAICSQAFFAVHVLGVVRNRFHRVLTVPPPFSSMKTGKELFIKMYNWLKMSEDVKKEILSVVGMNDEKSKKIAHEPEGEEEEYECPKKISRKRQASLHSDTSSYSSLSSDTFLDTSITDETFNAFINKPVNYVNTKKISMLIKDWLEKTQATQEWFATKILGRCRRTLSQCLNNPKDWKELSQKREIYVKMHNWMCLTEEQRHQMMKVYKAPNMDSQ >CRE06206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig813:12506:14326:-1 gene:WBGene00074884 transcript:CRE06206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06206 MVPIKNQPTSDDSNHPQAELPNDKNEMKPDGSGTIKRNQDDNQDQDEIITTVKKSRREDFGVENLTPVGSSEGKKWTLRTDHPSSTSSPPSSTTDSHSSSISVELALELLNLPISNEDDMNPERIVKEIREWLSLGLCSPEFFPSHILNIDEIRFDDVITYPQAYFSLDSGRKLFAKMYNWLRISEASKMKILSLDQSTKRIKLEDYSVDQQKPVGGCDDQERGVTGEIPSESVNINQITTSELNNVNRPMPSSSPCSPVSPSLSLPKSSSTSSMSAEQAHELLSTPIPDNVYLDTKDIARQMREWFTLGICTQSFFAAKILGTPSNRLHTILTTPPRFKKLKAGKELFIKMYNWLKMSEDVKREIWSVVGMNNEKSKKIAQEPEGEEEEYECPTEISKKREVSLLSETSPSITAETFNAIINKKINYVNTKNISILMKNWLQRTQATQRWFAKKILGRSRKTLGQCLNKPKDWKDLSQKRKIYVKMHNWMCLAEEQRLEIMRIYKAPNMKCQ >CRE15834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1466:7080:8305:1 gene:WBGene00074886 transcript:CRE15834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15834 MKMSKDPKKKEISNTFITMSTISDKLSTDQNYFSVPAPPKPTRICGYFGVHKVAILGILFNAISLFAFYVYVLVRLIQKTPKNYVEFIVISSVIIVLILSFSMLFIMAVFRARPKFLLPYMVLNTILFFAYAGAIIGSTITVPDEIREEVNGQDRSYKIADIILLRVAFLLFGAFEIFFIYSYIWTYIDVRRLYLFNRR >CRE15156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2282:3175:4137:-1 gene:WBGene00074887 transcript:CRE15156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15156 MMRRRHARVIREVVGIDLVAVADAMGDPHGVAGETPMFDSVQGLIDAGVDAVVVAVPTKFHEEVGLALAEAGVHALIEKPVAHELPAAERLVAAFEKAGKVAAVGHVERFNPALVSLRERIANGDLGEVYQIQTRRQGPFPARIADVGVVKDLGSHDIDLTAWLAQSQYATLAAQTTHRSGRAHEDMVSITGKLANGIMVNHIVNWLTPFKERLTLVTGERGAFVADTLTADLTFFENGTHAGSWDAVAAFRGVSEGTVTRFALEKREPLRSEHEAFRDAILGVRDDAVTLREGTETLRVAEAAIESAQSGTTLDLSARA >CRE11606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1537:1209:2471:-1 gene:WBGene00074889 transcript:CRE11606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11606 MFQSVSPAATTPGPGQIVALQQIQALMALQLQQNNIFPKVDDHSSSPTPEMASPSAKRIKLSPNTSNHSDVSVASTSKGVNGEAKKSPKICKLLGHCNNWKLLKNYLLEIPMVP >CRE15158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2482:151:1408:1 gene:WBGene00074890 transcript:CRE15158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15158 MMRRGGAATFFAVLSVSLLLYISYSSLNTSTTSFRLRDSAVDKVAKNYSAWHDCLKKNISIYDGNPDGLWGNLWRGIKLCEVLPEMKGLFIGDYPNSDETKRHIVPKMVSCRWKTSKLLYDFQQLPSVIVTLGIGHDTGAEEKLIKGLPHGSEFFGADPMHEINENLYTKLPGKYFPFAVADAAGLAEANVLINTSYTTKTVVTLDIIYFLKNLVKRTFIDDIWIDGEGAEYGLFDHFFNDGKFDENGITFCQFNIEIHKPDNLQKKLFHDFIFQLLKDRRYAIYRPVQGHHMRLFMVNFANEECVYKYIL >CRE10182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:275030:279530:-1 gene:WBGene00074891 transcript:CRE10182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10182 MPPVHEPTATTSAASSVWQIGMPQLKSQVDLINFARGILSTQTTSTTASRDIQNLNPIVGSVVSRKSPTAMTAVSAAAANITPQIGLASLGSFTTLPPELLLQFARLDNLNLFPTVGSPTNPSSSSCSEPSTSQQQQQTSKPAAPFMPSGHGTTVDQHQQRQQQQQQQQQQSMDRKYSMDTLQQHAMQHPHQLQYFPNRKFFF >CRE24317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1036:2059:5069:-1 gene:WBGene00074892 transcript:CRE24317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24317 MDTTTALLSDITTTVANFDQSSVLWALIVGIILAFLLGAGMGANDVSNAFGTSVGSGVVTIVQAYIMASIFETLGSVLVGWSVTDTMRKGVVDTTQYADDPKELLLGQVAILGGCAAWLMIATVFHMPVSTTHSLVGATIGFSVVLRGFNGIQWMVIVKIVASWFISPILSGIISSIIYILVDHTVLRTANPVKSGLRILPVFYFVCLAFNALMIFWDGSKLLKFDQIPAWGIVIIVIGVGLLAAAFAHFVLKPRIRAKIQDSEVPPTPPIFSDIESGRGTTELKEFAEGETQPLPPKPESEPGKIRKFFMWLMPDRTRVDSRSTTQLFSTIQVFTACFAGFAHGANDVSNAVAPLAALISIYRYKSTEQKESVPIYVLLYGVLAICVGLWCLGHRVIKTVGQKMSEINPASGFTIEFGAAMTALLASKIGLPISTTHCLVGSVVAVGSIRSGEGIKWSIFRNIVISWVVTVPVAGLISAGIMLLIKWIAL >CRE07133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1054:2249:5415:-1 gene:WBGene00074895 transcript:CRE07133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07133 MTSKLALFDQTLSASLLQPINSDHDYKAYKTKVQLKILEQRSESGGEKELKFELSRADDFEFLFAETLNNEKYQVLAREHDLTVDFDTFPKVIIQHLLCKNIVNNLDDDGGVDARKKPGYHSIIDHGRPTEINIILEKEKSQCVFEIFSKTPISKGKIFAMTLWAVRGDHLISHLLKICSFQSAKLSTFNKTSDELEVLRKKCEDLESENGKLEESLKEFEELSDRIRDLEDELALEKEEKGNVVALAEEKDLRISQLEEDVDSMNRELDDNQEELDIVGKMLREEQGKVDQLQKRNSLHQKEIGKLRAENSLLQRNFEKADGLLKKNDLQQNQQSLDIRKLRELEADLKEKDSMVENLTGTIGLLRKELEDEKLKLKEVMDSFERLKVENEGVKERLSMYRTQRYSPAPAGLTVPTVGYKPVLGQNSPYTNPNMRTPFRDATTTASNFQNMNITPLPHAARFNQLADDTTGSTMTNTPPVMRNPL >CRE07132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1054:799:2092:-1 gene:WBGene00074896 transcript:CRE07132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07132 MTDPKISALYVAVQEINSLIQTGASCSRSLEEKIVEHLESSQLLGKLPKNGNLEEVFMEVTKHGLRISSQRSRLVKLRIPLIELVLLTTFVDGFGKTHAVFVEKSTTTRYQLHLLQLADDMAANVLCSLVKNAFIEAEEASALIEVIEPPSPSIA >CRE07131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1054:72:611:-1 gene:WBGene00074897 transcript:CRE07131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07131 MPWSSTYSRMLLGATAVAFPLTATVLKPEKSEKQKLQEKIKVAKTMIETTMAIQGIPGLSVAVSVDGKMVWRSGFGYANLESSAKCTANSVMRIASISKPITATLAAQLVENGKLDLDEDIRVGFYKFFDLRSTETEENCVRN >CRE11097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:715520:716270:-1 gene:WBGene00074898 transcript:CRE11097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11097 description:CRE-INS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M5I8] MYWFRQIYSPTLLVAFFTILILSPTPSDASIRLCGTRLTTTLLAVCRNQLCGGMSAFKRSSPEQSWAPSTYDLFHIHHQQKRGGIATECCEKRCSFAYLKTFCCNQD >CRE11096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:710509:714418:-1 gene:WBGene00074899 transcript:CRE11096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11096 MNLDVPSGRRKTIKFRTRNFSIEDERYWIQPSRCTSVDMSSREIINKLNEMEQEEKLFITYDVIEINPKFATVGGSIFEFINNSMRPVLKCHLSQVKDTNMLHLIAASFFKRSDLHEIWAKCEYPIFAGLLVVYVSNKMRKLFLEQHEPVIAEAFEKIQDTFDDECTALLDQCFHNSERDTRAVLDTNYHLFIHTDGCPSTAEDMEVMALAAAAKAKNFLSHPACQREIDFRWQPGFKLGQMAFCLFFFFPPLFFLRGRQRIKKREIKTMMVKMDMAGEMTSITYSNFMIRVYNFYTSPNAKYVIHTLFRLIYVIFYAYVLMTMTRKTMVMNELDEFLDEMAIIVWQCAYLTEMAVLVYQRVCFNSEMYSEVYTNQFFQGFYNWAERNTADLYRNYLVAMTMIAWSLAVIAPPTWPIRAFAIISADLFFYFSFVFATLRLMKIANVDAFFGSIVLMIKKMIPIMVKFMFVFMVFWFTYAVCHISLAGHLKSTPNITDVVWPWLLFSSGAFEIFGEADEEDKLGTVSKCSSAPLNWDVITDSSVQCWFKTSMIPVFLFCYMLVSSVLLVNLVTALLSKKYEDIDKVSHIYWKYKLYSRLIEYEEKLWIPAPLSLVFYILKFIFYFLSKIPFIGAIFECFMKVLRCFEGTNYARDRRKNRRYEAVMNGLIKNSDRWTGPTEEEKNAVQSVRDQMKIMEQDRKRKRYETDLLRHRFEEIMSEIEHTNRFKRIRSQTFNNA >CRE11095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:709580:710440:-1 gene:WBGene00074900 transcript:CRE11095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11095 MLFLFGTVQLLVFIFQIHYAQCLKGPKTTSVEITTFKRDQRESHRLMSLMKTGNLPFRHSPKSRIYHVANITCTDTKWFWFSLGPGPNQRYKCPTHDGEYDVSMNETHYFMCPAYCEVCKKKFECSKFKAYSGIQIMPDGRKKDQLSFQYSTLNKEVTLEIEWLIVTCYVLLFTLFTNIVVFVFTDY >CRE17773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1990:705:2271:-1 gene:WBGene00074902 transcript:CRE17773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17773 MNRKTLKFSLIILFFICFPPCLATLNILKEKGYGFVEDPEYRLEKCVSQKIRLDFHERHAFALALNRKCQPISRLEIDGHGMVVREKRELTTIVIAASTLVALTVNFVLDWKRSEQIHAEMEKLKQMQHLILSNSNITLDLLKQQEHVYQDAYFEAIQTAIFQYGKLETVMSFFKLDIDKMVAEFEFEKDNNNAILEKFTHNFYCGKSANTYELEVCGWTNPTRVYGDVKLIAPIGNFIHNGEAFSYYDTPKLTMFTKNNDAISTDGCESIGYHWSCKKATKGCTIAEYKNCTPKIVHTPDHVFAVEIEDMTLIATTLSHYSLFKDGSNSASSVHDVPQSGQFLLKAPHNTIAQFGRRRFTGRHEEHEAIQINVEEKMPHMDHEKIRQFAENLKAQGTALSEFEELLLHDSVMDVGFIDSVLHWLRTKFYWILIIGGAVLLVSIVGTCVLAYFSCCCFVRKGSKSRNGDNNV >CRE17772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1990:2832:5275:1 gene:WBGene00074903 transcript:CRE17772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17772 MVRRKETTAEEKVKARDKKREQRMKAKEAKKQQEGETPVKVKPELKLKTEEEMKEYERVMRSELRAKVAEANKLEEQQKNSSIIDLTSMTTAEEITEKKPRKWQAATSKAVPKSNKPELKKMTAEELKEYRRLMKREYRARQKLAKVEETKDDGKDDFEDDTMDFTSEVTEKTTEETEKKPRKRQADASKSSIVPQPTPKKACEKEIVPQRVPGGKKNCKVRIGLIDIFDVETNAIVVPYYDGGHSSEDKSVYQRMLTMFSKVDKTKVDSFKEDFDNNLHDKLKNYESELIDWGFQTDIGTTRRTILVKPPYLKNEKLSLLSETHLRASYLSCLLTADKANINSLAFPIFGVHGCYKKSIAICLQTVFAYMESVKHTNLQLIYFVTMNSVAYDDIGEFLSYIREFDLNYWTKQGFYFAYEDHVFDKFKTNVYYATIPGTDMTRRCFKLSHDRKMNADATDKALRKIHALMLKQTGIKDTIGFNIYKKSGRKGLIQGTQSIENGASIDVVPTFNNLLDVRFDMEHFCGSNKMLRKLWIVSYYYMYFQDISMAQLHFSPKHEDYLRRQRTFHMTKWLHRHVVQMWKDTYTKAPHKCNCLLTSDTHEHLSVFMTQLSHQDNIMKNWTLDRRCFAFHYEDDILGSIEAYQPMFIYGDGKFKSMAASNSSDFNKIIEPQFKKWYDLREGVFVRQLERLEQLMADTDFCEEVGNDHILRCYDPVKYDKEIVTGDCDSRRKKLLDALKAASVAVNNATSAENHEQSMEKF >CRE21366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:7131:9895:-1 gene:WBGene00074904 transcript:CRE21366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21366 MKQPIQLLNHSKRDQQFYSPAYCRGVSSLINGVLLQFSLTVGAVLAMPKVLGSETHWWYLYLFQLSINTVVLCILPMVHESPSYLASQEVKHHHTFKSKIVASVKYYHEISDEDAERFAENLIETHQISRSQESIISVWKTPFNRRGTLLGMMVTFAMAMSGITVINAFAFEILMDVGMKQDTAAIANAAICFFSFAGILVSTKIIDHFGRRPLLISTFGFLTLVNVAIISLMYAYEKTQNQIVSYFLISAICMFNFLFAMGPGPLSMFITGELVPQTCRSASSVWTNAIMATVRFLTLTFYLPVKNSTSEFMAYAIFFIVPMVVAVLVLFFLLPETKGRNVEEIREEYERKALLR >CRE24858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:1846:3684:1 gene:WBGene00074905 transcript:CRE24858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24858 MLVELLNLIAPRWRDAPIEEVNLRLTRLGEVQIYLPYREAVLRGVLQISPQNAHFLFGPPNARKTVEAHFATRHGLALEHPHERLFFLMENPGSLIPVEHVIFLGLMGNGSRRTPLAVYQGSIGIRIKEWIFFFFFFKKRRTTHGHSEGKKIQRDEEGSRHSTSLLIIRFLSLFTYFQSLSNVVYLIWVFLTDFIPLPYSEKNEKDGISRKIRYRKGTIGEKKGSNQEKNTKIREIGEFENRISNNVSIEDNFEILEPMSSEMINLNFEPQPSILHFHDFGFDALGCYLSVNLLSGDIDEENE >CRE15055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig503:16715:20035:-1 gene:WBGene00074906 transcript:CRE15055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15055 MEFPLLRLSFVPLQEVFKAMHPFEIINFSMISKRTKGLTKQMSFLSRYSIQLGIDKELDIVIDGNWKKKKTGFFYIITSDETANGKMEENDWLQEKSYERFSFKYSENGQIEEWKLWMGRVAEIFNMESMKTLTMYTDMYDMESIVDCLKTHVKSIEMCSMSEKEAGKTNGEQVAEIMNNILIKKELNLFLSPLNNDFDARIPKNLKMISIKDAKWVGYEKLLDIDCRKLVLDESLLTNEDWNSFIKKWIAMETHLNLQFLEFYLSSIEEFRRLVLHDIPHEVIDEEVSRRLIG >CRE17673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig944:996:3284:-1 gene:WBGene00074907 transcript:CRE17673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17673 MSLYQILSINEKQQNVDLNVWAIQKWNDDFLGWNPYLYGMINTTILPFDAIWLPDTYLYNRFFPYGQQACKLTISSWTSSKSDINYEPEYESEKFVCCPEPWVLLEAVLVVRRKPLYYIVNLVIPTSVITLVAVTGFFTAASTSSERREKLSLGIDSLLAMSILMMMVSEQMPTSSDFVPLFGIFYLSIIFIIFIGTLFTAFILNVHLQKMYAKPVSPIVSYIFFGRVSLLLPSALYFSIHGGIQIAQWLRMRPPTMLLELWNETGVTFGKKDKMKAKRMEMKNQKMPKVTSSSSGLNLLKSNSGSGRAPLAAPISARSYISMDDMKREAARRNWRRLVKKINSNKQNGIPRRPFPWFRLRLLHPRSAALVLSAVSSLSPCGAFDCEGLSK >CRE23720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:99774:101192:1 gene:WBGene00074908 transcript:CRE23720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23720 MSNSPRIPRNLSVRAKDQQGVQNNQEANEEGQNSGRRIDNTQSWPFFNPSTRFRLLKIIFVLMCLVDIMHWAYLLRDDTNENPNSWRFYKKFKSFDLYYLVARMFADIFTCVLGLGAAMWTRKPLLTLPCTTIQLLFLLIRAAVWSVRSYNRVLEKTHATNEDKLFVICEFALPAIWALLSFLIVHTTRRLRCYEQLHGYARPPIIVLTVKNDDNDESVQIEIA >CRE17765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1790:442:2522:1 gene:WBGene00074909 transcript:CRE17765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17765 NKTWNEDTQELFTRVVLKPFKTLRTALLVVDFQNDFVSGSLSIKEGDAEQDPLEALPHVNNLLQNLNWNVIVYSQDWHPSNHISFFEHARNPDRELAPEDKSRKLRPFDIVRFVKPVSTIQVLYPSHCIQGGWGSQLHLGLQRVEGAHYIKKGADVYVDAYSAFSDNCGIKQSELEMLLRKNDINAVIGCGLAYDICVMHTLKDASKHGFLTCIVKSGSKGLSSLKMDEANKMFQKRGVAIIDDEMAQLISRREAFPIEWIRLLVYQAHNELHGKK >CRE05307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1012:852:2736:1 gene:WBGene00074910 transcript:CRE05307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05307 MYSIDSSFCCVESRKGNLWIRSEWIFQQTIGRMKHQKMHRRKTIVLFISFLLVLLLFFQSERVKIIERTFILEGPTGSSQLYNSCFVPYWNQVTTDDVTHSDEFRKWAATGFGGNNNLMDGESRLLSAFVYPEDISIITTAMHTFGKQATCRYYDCNRIEIHSAKFESRVWPLAVISCPRRFGAEFVSVSFGNEEEIEEFREPIPLINRVYEKPIHELSVCVGPLYGNESKWLEIIEYVEHYRLLGTSFFYFTLFNMNEYDRKIIDDYERLGIAESTKYVTEYLRLGWMSHLIQTHECHYRSKFHSKWVVNMDIDERLIYTGPFNLRHYLRSMPSNIGEVSFTTNRVLKTEENPSKYVSESQLFSELMFLKYNKTTEISWYNLKGIIRPEMVALLFYHWSFFQFEGVKVMSAPKRIGHVRHYRNIDTTALNGNWMENYDGKLRITRLSSSFEKKLIMAVRRKVKYVYDQRGIRCEEIPEWLSSRYKRELLDCKFRYE >CRE05309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1012:3016:5191:-1 gene:WBGene00074912 transcript:CRE05309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05309 MTVNYSLDAATASAWAVLVVIFLWRGSLWKLIWREMLGWTILMALLSCIYVYGLKGTESERYFQVLFNLTEGVPTDGTLMFLMSYMTHNSLTRWSETYKCLGWPENVALLYKQYFNKNAMSKHEGRLMNQTMARYLTVFYILLFRDVCSDVREMFPALDDMIHPGILTAEEVNILQSSRLDRGSPHYWVPIDWIVNLVKRKYRSPYIYDKNGRRRKNPKESIMSEVEYAKFICELNKLRGKLGDVLSYDWVPLPMALLQSLTIFVYSTLTVNCFQMQARIITSGKSGLWEMFVECLSTLPFSMLHLSFLRISQVIINPFGRDDDDFETQYLIDRHIKVLNEILVPEESRKQK >CRE29461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:288430:290240:1 gene:WBGene00074913 transcript:CRE29461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29461 MLPTTIIACSTPSDHLFCGELSKCPEGSTSPLIKIVDGVDTVVGFSVYYNDECKKKTFASVMHYKQQLCDLTGICQKTSPGPYTPKQIPPPTEISLSNKFIKLTKSEDVANTESCGKPTNPGSSLPIDLTPWTVNVNTPNDNGYIYNPATLISKRHVVVAAFALFQDKPAKYFDGTAVDLSKCQNNVMEISTEIIKKTSVDLSTCNDPSKCGSQISKQVKSAVYFGVCEPEVRAFGVVLLEMDSDLPAELPYFVPSCIPEKKVPEVGDSLHLHSIGQDKNKQYTRRISVAKTGKCTKGYRMYCQICATQTDCNEHSNGGFSRTQNGREVLIGVMYYHDSTCTVEDLVSMEVLSDLFCLYAGICNTKSTVNATGQKHGGKDSQKQSVPQKQAGNPKKPDGAEETGDGGDDSKVDAASSCLLTIYFSAVAFSLMSTLFGF >CRE20100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig749:2585:4652:1 gene:WBGene00074915 transcript:CRE20100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20100 MFLRIFISVLVYISTAVCESEKAATDKEKPEISEQIDPSIYIRQGLMNGMGMGQQFGMGQNAMNQVNFAFNCKCTSKSTNPLAPAPMDANALAQQQIVQLQEQVRRQQEIINRQSATKNGFENFTQLIALANQMDCSCSSDNSGMGGSVGMGGMYASQGGMGGYPPITHGFGFPAQRGVPIQTFGGTQQMIEVPYARS >CRE18368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1843:336:6656:-1 gene:WBGene00074916 transcript:CRE18368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18368 ELLLCGETLIIYNARLHRLNKLYESYKSGQYTQMKENIIRLISIFVTNYWLDYSAKSSNLSELRAAFIGSKPDASQKPIDAELANLMGRVVVDIKAIVGFARISPGDVFEVLIRHGSQKWKTRGKTLPDRTQKWEKEQVVHLIRERSLFSFYILFFNKITRNIDHGKGKRERISLIDIKHAVVARSNQVTNICDPHTASPVIHTNFSVDATNSFDVDRELTKIAISCITSQEHEQLSGNIIRAGYARVFNQHLMKKAVEMIGLTELRSLLKFRSAGHWTEFKKPNADQLASACTIEEYFELSEPRSEDVSLNSFFFFEKNFQPVVIFLDTRFPAIRKMFNFKFKEFLHCHEGKSLNRETVDGTIDVFNKIMRRSLESIETDCPLVYKTSEMLKRLEVETVTLDDLLRIAKSAPALPNISNVPTEIEACPEVQEIWLSTCYPLNSSLIVPKDKLKTQIKLQIAHITEQIYPHLVSRGKCFFFSNLLT >CRE15807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig826:3939:7522:-1 gene:WBGene00074917 transcript:CRE15807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15807 MYNTAQKDYVSNPTSPRSPPPNQSLAQLGATTNSPDATREFNRKFKNSSETDTNSTVASEVFGLLEMIEEIQPKANRMYREYDELSSLVNLLAQWHTLIKMNKKVSQSTKKQSIHSINSLPRNHPMTSSTASDELDDTVLITNEIHSENDSGIDSLRQNCSPYVLDGYNKNGSKGSREGARFRQLKERRKSLGALMDSAEIEKLYLESDYFWQTACNDNNDNHTVTGSSEIDTCLQYHLNRILKCLESLESIETDCPLVYKTSEMLKRLEVETVTLDDLLRIAKSAPALPNISNVLTEIEACPEVQEIWLSTCYPLNSSLIVPKDKLKTQIKLQIAHITEQIYPHLVSRVAESIIRLLNDNVQKETSNVTVFHFVGIFKGRHFEPYIENMGHDAWMVTLLDTEQIQKVAQVVDRLSNVPVVPPLESLKHLGVLLARGDFRISMIVEQYLRQASGHLLSDLLSSYLCLLEDDTTDARLGALKALAIFDNPRISKQITYVAEHDSSEDVRRFATSMLRGFEEEVTRI >CRE15133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1322:7807:8622:-1 gene:WBGene00074918 transcript:CRE15133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15133 MFSFRINFSMTSKRSKAVTKKMTLYSKYHIFVTINNALEITIRGINNFVTCTYEMTSDKQMDGKTEEMTYKDRIFRNVYNYAEDLVEGWKQLCKHVLDIFKRKTIDGIGMTMDEFVDHNVSVIDFLKTNEIVVNGCYLYQNRRKKNVDKHVAYFLKNLTVNLNFTLHLNIRNNNFDGKIPKRLHLLNIEPSKWIGYERLLKIDSKHVILRNNRITNEQWNLFLKKWVSMETNQNLEYMELDKRELGGFRDRVLYDIPHEVVSEEVSRILTM >CRE15130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1322:5582:6545:1 gene:WBGene00074919 transcript:CRE15130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15130 MDPPKPFPILRLPFLAIEEVFKTMHPFEIINFSMISKRTKGIAKKMGFHTKYSIYLFINETLGIRFLGTKSKVSCSYAITSNKEMDKKIEEFVSGRVIRRKVFKYSNNPIEEWKELCKYVLDIFKKQTIDVLKMFMDAFVDQNVSIIDFLKTNWKSVDECYLYQSEEENDVDEHAAYLLDNLTVKKELFFLLHHKNGDFDVKIPKNLSELYIYSSQCIGYKKLLEIDCKNVILKGNQITNEEWNMFLKKWIARETNQNLKWLNFEFRSLEEFRALVLHDIPHEVVDGAIKRTFKT >CRE15128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1322:2417:3595:1 gene:WBGene00074920 transcript:CRE15128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15128 MIRLPFLAIEEVFKTMDPYEIINFSIISKRTKGIAKQMSFYPRYAIELFINETLEIRLHGTKNVVSFFYVMTSDEKMNGKIEEKQWGRFITRREFKYDSVDEWKQLLKYVLEIFKKQTIDILTMTLTTFVEQNVSIIDFLKANEISVDECNLYQRDKKINVDKHTAYLLDNIKINSVLCNDVYINNDGFNPKIPKSLQELRIYNSHWIGYEKLLEIDCKSVILEKNRISDKEWNMFLKKWIAMETNQNMEYLELDNIQLDRFRDRVLHDIPHEMVDRGVKRVLKTRYNQTQETRGAVDIRRIDGKTATFFVYREFLTQRFAMSIH >CRE07945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1695:2196:3842:-1 gene:WBGene00074922 transcript:CRE07945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07945 MKTLEQAYQEFDYGKLINEQLVGNPQSINAYIECCERFVGLNKVALIWEGKNGESSQWTFEQLAQASGKLANYLQSLGIKTGDCIAGLLPRTPELLITILATWRIGAIYQPLFTAFESKAIEHRVATANTQLIITNTEQRAKLNGIELPHILTVHPQDFATTKDADFWQELAGQSAECAPVMLSFADDFLMMFTSGTTGLAKSVPVPLKAILAFKEYMRHAVDLREDDSFWNLADPGWAYGLYYGIAGPLGLGHSIIMDERAFSVDHALEIIQKYQVSNLTGSPTAFRMFFGFKEKFNASIKAHLRAVSSAGEPLTPEVIHWFNHDLNVNIYDQYGQTELGMVIGNHHALAHAIKVGSAGFANPGHRFAVLNQDNQEVERGEIGTLAIDFSQSPLTWFKGYGGNNRKSFVGHYYLTGDTVRLNELGGVDFIGRADDVITTSGYRVGPFDVESTLLECVEVLESAVIGKPDPERTEIVKAFVVLKPQFKGCHQLNAKLQEYVRSRLSKHSYPREIEFVESLPKTSSGKIQRNLLKQQEVAKLQQMQLQA >CRE07946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1695:4117:5250:-1 gene:WBGene00074923 transcript:CRE07946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07946 MILNDEQKMVQEMMRNYSQNKLKPTAAERDKTAKFPHQELKELGELGALGMTVSDQWGGAGLDYISLVTAIEEIAAGDGAISTIVSVQNSLPCGIIQKYGTDQQKQDYLMPLATGQWLGCFCLTEPQAGSDAGALLCKAQRDGDSWVINGTKQFITSGQHAQVAIVFAVTDKSAAKKGISCFIVPTNTEGYIVSRIEDKMGQHCSDTATIVFENCRIPAENILGNEGEGYKIALSNLESGRIGIAAQCVGMARAALDAAVEYANQRKAFGVELVQHQAVAFRLADMATQIEAARQLIYHAASLKDAGLACLKEASMAKLFASEIAEKVCSDAIQIHGGYGYVADFPVERIYRDVRVSQIYEGASDIQRLVIARAVIQ >CRE07947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1695:5457:6227:-1 gene:WBGene00074924 transcript:CRE07947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07947 MKIEGKVFVVTGGASGLGAATATHLIGQGAKVIMVDMNHQLGEEMQKRLGEKSKFVQLDVTDEVAVEQFFKNVEQDYAQLNGLINCAGIGPSAKVLGKNGIHALDAFQKVLNINVAGTFNMLRFAADVISRYALPAGEEDRGVIINTASVAAFDGQIGQSAYAASKGAVVAMTLPLARELAQHAIRVMTIAPGIMETPMLKSLPQNVQDALGEMVPFPKRLAKPEEFAQLVGHICENSYLNGETIRLDGAIRMQPK >CRE24341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1795:2804:5431:-1 gene:WBGene00074925 transcript:CRE24341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24341 MTRHRCPIGASYRSSTHASSTETVEAGGTNSFDSTVSARNFGSSTDIVKSQLSISMAESGPESAPSGSGSGNNSLPLLSQHSGENSIGDVDREVLIDAVVKCCAIDLIDPIVFSGKGFRGLLKQICNVSKRLGSTISPPIEAFPDIQTVRSAMQTHLRFCADDLKNELSRTAQGCRLALETLTYSGRDYRVIHGSRISPEWKWRSNILGVFKARENESLSEMINIVVHNYEINKTVLRVTVPNSNNDLDSTYRSFFCVKAKLKEILFTILSSCSQPVMEMLNAVDQLTKALVEMDVRLPFAIEPREDIFDVHQLLAEWNDQWGQLEQIISTKCADTLLDSFKKLDPVHMRDLEIFILPFRETVESLTSEQPNFHKILPEWLALQHECQLQNDEPTALLRELKQIATRVLEAEKEVIMTDEHMIAVLLNPRLIRKLNMILTDQERQIACEKIRSQCGFRNPKEPLSRGSSCDGEPHRKRRMFLSSLEDDQVTDELECYLRSQYPPHQTKDVATFWSATGQSQFPMLSTMARRVLCTPAVAPTTRFDARCASVSPDQLHTFLMLRSMFDCEKEEEPRD >CRE22274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3550:44:1536:1 gene:WBGene00074926 transcript:CRE22274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22274 MLRAGNNIRRPSNNVEKDNIKMAQKPGNGPLKPINAKGGKQVTKPTANIQHGAGEGSLQIKTKRINIQDNETKLLELAPDIYKYLVHHEKKFILKEGFMDGGEPTSKMRRILVDWLVQVHIRFHLLPETLHLTIFILDRMLETKTISKSDLQLLGISAMFVASKFEEVYLPDIYDYEFITENAFTKKQILSMEQVILNSLHFDLSCPSSLVFARCLSRVLSEDETNPVDQTAFFYAYNISKCIGELALLDAVMSSVPKSHIAIAAMIIALNVFPVEGIDPKKAEEAVIAQLEANKAEVTEAISLLAQVAYKNFKQPKLVAIKNKYQSTKLGSASTHMNDEVLDKIEALGRQSDME >CRE10867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:347404:348842:1 gene:WBGene00074927 transcript:CRE10867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10867 MLKIINNTLTSETTKCLISKADSSIDPKFINFAIQTIFMYCKSPNTLFSIVSSSSWWYDSTVKFFQISDAWEMYSKRIRVHENGPKFDATLASKCVGKASSDKLGDVSGEQRLDEQRVVENETSFEAMPLAMRYLLIAAFCASNNPQQADSRYFVKNHGKDKRSEKKELRAEENRLAKELGPKPAELQRFICIYETFLKLNETDISGFDLKNVIASLDSMGLVSVMNRNNLDTPKIKCLISLETAHRISGSLNLELRNYLEHAT >CRE13007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:256732:259310:-1 gene:WBGene00074929 transcript:CRE13007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13007 MPSLQQHVPPMSPNTHPIHSIGEISTGEEDDNIFNSISGESEGVQGNPETFNNVLSHMQARSESRFSRRFNNTVDRLGSMAENLRGFQMESPAVARPPAVARHPAAQAGTETAETVSRELRGNTRVIDQLATQLATLQLKVVNIESRVTLKSAPRGTAFSIHGATKWSDSRRFLGAHVIISVTGPAVANSAAYVIYDSFAKQVFHINERAPAGSEKKLKMVKHQEDWIKNHQGNQVIKESAEFPLVAETNTTHFPPTQCQCIPNMLTSSVLHDGDVHCESRRLFQQLALEVHHQFTKRTLQELKSIKIDWFPPKERKVFTHRRENHRDQINMANDEKSPPKRDKKTDEAEDSDSENQPKNSPAAGPSKAIVKVPPKKTRKSQKLDEKSADPSNLEVKLPEQLPAENKDDPDDLIVLNASFGNKKEPAPEIQADIESKQHILVTKSNVETIIKMLQVPPECIQSCARLSADMRQIDTTIKRLIESSNSVVKVLQELCTRADTDIHGDREKLWLENMKRVAEINEEVRNTINSFGRSIGRVEGLLTREQPPAVLLPIPPSQGRQDHPTKGNSVNRGCVLCGKPNHPTHVCKTYIKSAERIKRAEEIGICMKCLETIPEEDCGVHNNCPNKHVECRNCLDTFDSPAASNHNQVFCSVKAPLKVKEPAAPSSSRNGSKRPAGKQLHLSGPEKIPRTFWN >CRE23379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:534290:539192:1 gene:WBGene00074930 transcript:CRE23379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23379 MKVVCAGSALLMAHSQLRLAHVSSDEDNRPPDIRGPARRFPPRHVPPRTPDIKEVNIKYETFFGVNGAFTWNDIRRFVALESDWPTEMLPRSVFDGSSLRLFVWAGKRPTPTKHWEQVTIRLGPAFDHEAIRKFFLRYARAVLEPSKNGVSRRCPLCASMILCFAVYDHGLRECPFRQVSTVLRFRFLCVNSVAYCYKCNSRSTTHYECTPLPCNGCHSDQHTTATGFCWPDAPPELLTVDEHRLRYNANIVRNQHYTRVRELANNHLLEYRLTSDSPYTEFRGAQQPLRGEIRGLHLYVDTVPPEFPPIEDWEYTDEIVEYPSMVNPEFHHDRQDRIPRFDIESAQYLEAIGRVVTALRANPDAERTIQLPNPPAVNRIPTFQRRIPAPLEMNQIPPVHNQQRVGQQRPAVPIMPHPAPVPEPTRPPPAHQVPPMSSNTQHIHSIGEISTGEDEDNVFQSISDESEGTQGNPESFNNVLTHTQARTESRFSRRFQDTVERLATMEENLRGFQMENPAVTRPPAVARHPADQGSTETAEAISRELRGNSHMIDQLASQLTGLQLKVVNIESRVTLKSAPRGTTFSIQGATKWSSSRRFMGAHVIISMTGPAVANSAAYIIYNSFAKQVFHINERVPAGAEKKVKMVKHQEQWIKDHQGNQVIKERADFPLVAETNSSHFPPTQCQCIPNMLTSSVLHDGDVHCESRRLFQQLALEVHHQFTKRTLQELKSIKIDWFPPKERKVFTHRRENHCDQINMANDVKSPPKRDKKTDEEADNSDSENTPKDSPAAGPSKAIVKVPPKKTRKSQKLDEKSADPSNLEVKLPEQLPAENKDDPDDLIVLNASFGNKKEPSQETQADIESKQHILVTKTNVETIIKMLQVPPECIQSCARLSADMRQIDTTIKRLIESSNSVVKVLQELCTRADTDIHGDREKLWLENMKRVAEINEEVRNTINSFGRSIGRVEGLLTREQPPAVLLPIPPLQGRQDHPTKGNSVNRGCVLCGKPNHPTHVCKTYIKSAERIKRAEEIGICMKCLETIPEEDCGVHNNCPNKHVECRNCLDTFDSPAASNHNQVFCSVKAPLKVKEPAAPSSSRNGSKRPAGKQLHLSGPEKIPRTFWN >CRE27970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1324:2443:4653:1 gene:WBGene00074931 transcript:CRE27970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27970 MNNETLGKRLQTLRYFYEEFEKRGIPCVNEAEFRSYDVMLHMNDTNILSQVLSYRSEVRQSQSVRLSLQLASAFRDKNYYRFFRLLQTQASYLQCCVAHKLFTVTRTNAISIMANAYGRNSFPLDKLQRTLGFDNVSDLTSVLNTYGLRTEGSDYVVLSRDDLTLNETVPITTYQWIDQKTSEKLSSVVYGPGLFQFIASRCDVSNSFNHHHEYVHDKVLDSVLCGSDIPPVNNSSISSFSQSGGSLSMQATIEQRKKAEEERLRVSISKVAEAQRHQLVEKLITRVIDQVTAGLIDEEIRKARILRKQIIAFENARKQKEEQERLIKEMELKRKEKEKEVARNLAMKIAKEVTDKDLRRITVDEMKKERRERERKLAQHIVSKFWKEVLRSVDCHVKTICVEMVNEKEEILDRLAVISDRLSKQWLLQFWNRWREWVQIKKMMRRCVPRWESEDYANNLVKKYGGKNQTTSPVFPILDRPTNHIQLKIFKKNRQNRIVRDAFGKWRARAHKMSVLKKQAKDRQKRENEFYRRFEKSAKIETRFKFDAPDDWMKTKRRSSETFQEKKPTPDFHNGSFQDLDTSLYVARRHLEEWEPVSEIPDDLKEKLKRRRDSFDRICEEHILKKKKEEALLCDGKKNYLETIRMLFYSEAKKNNLHIEKVTKEADTLLDETLRYTAELDRMMADIKSRRVDDL >CRE27973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1324:5177:7270:-1 gene:WBGene00074932 transcript:CRE27973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-19 description:CRE-CEH-19 protein [Source:UniProtKB/TrEMBL;Acc:E3NRI9] MAFNIESLLETKLNPTEETEDYEEEEEEREEEEDDEDKNAIDGWTNLASSQLAMYAIANDLRTPTLVELQMLLGVSARKHDYKRSRKSVSERKPRQAYSARQLDRLESEFQVVKEVIEYSRIMNFQSDKYLSVNKRIQLSQTLNLTETQIKTWFQNRRTKWKKQLTSSIRQMCKEVPTNPVVGVPFPALITPPNTLATSIISTLTNNSISSQEESTNENQ >CRE02725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1001:3115:4410:-1 gene:WBGene00074934 transcript:CRE02725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02725 MEKSNERKRKLAAAVALETVTRTCATLLHIRRQYDLPSDGVDVIAKEKFEHFLNIVKAAQRSHETEKYFGLNEENFTQILSMCRSRDRAMRIPPDARLMVFMKYIREGRSESYLAKDIGISQPTVSRIVLETIYDIAGKASEFIRFPTSYRDIRDAEQGFLSKTDKYGRSRNVPCFGCVDGKHWATEHPPNSGSVNANYKGFFSYNSLIVCDADLRIRYLQVSELGVSNDAQLYLHGKLPMLLEKAVGNAGYRLLDDEETVMPPFLLADNGFKLRKTCMQPYRQARLTVENMAFNRRISAVRVRVENVFGSMTSKFQVVDRKIKLSPANGRTLIAALCVVHNIQIGEVPTYQDVDDMVPVLSDPYKTAEEMREALKRYLLKN >CRE09866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:4362:6696:1 gene:WBGene00074935 transcript:CRE09866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09866 MSSERSGPNGSLEDNGDYLPLEVIGDESSLDENHVCEDVIMSITNKHVQCLARFSNNELLAKDTIGQNALHMAARVGDLNILKYLLDRLPELRDIQSANGETAAHISAAHGDMRALEMLLGGPLKTTMNCAMTRDINGTSILMASVARGDTEMAIWLLRKFGKGLALLDNNCKMLPVHVAAAQGNIEFLRAAIKFDNQMVNARDEFGCTPCVYAVQGGCLGTVRFLVEKARSEMGSVSNRGQSLLHIACLCGHEHIVRWILNRSGSDSILWTTNDRANAIHCAACKLNPQTILYFHAGSVPVLSQLLSAFSKKKRHYVMTLRDTRGNTPLHLAAMNNHLDAALYMLENGADPALINSNGHSPQSIAALRHHREMERLVAAYQGKKRKSKSKKKSQSMHDLSQFASLQSGPLSPGGVTSYSSNTNGFETTERRAFSPRELSSGYSSNGDVAESIKSEAEIVRHRLRFIEDDVDSLRDTGAQTDMDLLQTHVKVLDDKTWAGLGLSAVEHIDRVLDELEFQE >CRE09875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:7105:8535:-1 gene:WBGene00074936 transcript:CRE09875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09875 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3M7W5] MFSVPFLTSLTNHLGTTAIDDASDSLSCLISAFLFIIASILTSAKTYVGSAMECWVPQTYSGEWGEFAENYCFLKDTYWYPVKEEMIEIPDYHKERHRLSYYQWSSMYMAMAGIAFMIPKFLWKMAQSYTDMSLIYFCDTANTIRTETAEKRREKVKEMATFMHAKLTSVHAPSCFTTIPMYIVYGIIKVLYLVIACVQFCALGYFLGQKKDLFWGWTLFWNLMNGVTWETTGLFPRLTFCDFTVREMAGNNREETIQCVIGINEFNEKIFLFLWFWLVFLLFSTLVAHIFNAVQFSKSYFINSLLHSTRKNDKSINKALFQQFEREYLTKDGKLILSFVKSQSDLVAQEVAVEMFQDFLKTKPSRLRIHDVTKKDLENGIDNLKDTIAVGV >CRE16976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:283390:284320:-1 gene:WBGene00074939 transcript:CRE16976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16976 MKPVYLLFFCSFASYGLSYHLAGKNFLLGCLISVILTVSFLLLYVIAEVCHLLVAFLAIQKLLIYFFPSIEKYAVITQNSIYKNIQNVYLFFLMKDIVATLWFLSCAIHDYNESVKWRINMIFGGAFIILNSVLIISSLLYVPIMLNVSKFSYLPSFHENKPQKYILWQTLIVIIFKLGSIPSTIYLFLNYSTTWAIIINTIFCDIYLVPLIIQISYLGCNKRNVNTFMSSFTLTKFIHVLLDIKRDSEVHPSIHFTDSSSPAFV >CRE10895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:539992:543555:1 gene:WBGene00074940 transcript:CRE10895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10895 MFFFSGYTPNGARSLDEIEVFSDFFASTGDPIAVFLFATAKDNSSMAEYNAMKEVVEILDFVGENLTHKGESFYTMCTDFCQINEPVRQYYNGLAMRQQNLSDVGSRFELTFPMMQVLGKELDLIRGALNINIILSPDKLVIGDSPLLQVNYLRDTFVLPNYTTVNIFVQNPGNLTVQSNLDYMNSLMESFESYPECLGKKFSHYFVRDYESFRESSLEEMEMLEDEEGESLKNKTAAEPFSKEAMSDFLAWPEFQHWNGFVKFDENQKLSRFWATVSYHGEGLGDFQVRRKMLNRWRATADQFAPLNVSIFDDYAPFVDTLETILPATISTSVCTLLCMMIVCFLFMYNVFTVFVATLAITSICIGKYDRKRNFFKINETETIFLSGVFGFLSMWGIDLDPISMACLIMSIGFSVDFPAHITFHYFREGLHDPQSTPAKRVARSLAAIGFPLLQCGISTILFVLCLLFVPTYMGEVFVKTMILVVTLGLIHGLFIVPAFLCAFTAIHETCFSSTKVKNSQSFSSLAKLFSWRISPSTSDNKISA >CRE10896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:544044:544390:1 gene:WBGene00074941 transcript:CRE10896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10896 MKVSEFNQGTSYNGILPTRPDMSEDKVLIRKLKMYSILFFILFILFLITTIIVSVILGINIKNEQDLEDQLETCIKMRDSFFFKSKDPSQIIDT >CRE06282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5173:885:2000:-1 gene:WBGene00074946 transcript:CRE06282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06282 MGISQKQFRKHRWNLGVYPVYKRVDTCAAEFESDTAYMYSTYDDECEANPSNRDKIMVIGGGPNRIGQGIEFDYCCVHAALAMREDGYETIMVNCNPETVSTDYDTSDRLYFEPITLEDVLEIVRTEKPKGIIVQYGGQTPLKLARALEEAGAPIIGTSPDAIDRAEDRERFQQMIQRLNLRQPSNSIVKSAEEGMAEASKVGYPLVVRPSYVLGGRAMEIVYNDDELKRYLRDAVQASNEAPVLLDHFLDDATEVDVDCVSDGKDVVIGGIMQHIEQAGIHSGDSACSIPPYSLSTEIHDEMRRQTVAMAKELGVIGLMNVQFAVKGNDVYILEVNPRASRTVRFVSKCIGESIAKVAARRTAGQSLEYQ >CRE15208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1427:4367:8390:1 gene:WBGene00074950 transcript:CRE15208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15208 MREKHLLQPLLLFLLSTTVSTQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTEFIHNFSITSFEKPDQPAPPTKVDETWTPDSNSNLNLNPDSNSGDARVGGASRSSFETTTLPTTSSGFPFFSQTPPPPPAPSVISNSGDVFARSRKEISATPDFEQQSGTVATLSFFWRIIEINCVPRDSSVPSSLPSSFNHLRRFSSRSSSPSFAHSRDHHRLICRLTTPGRLLCNRPMPRLHRSRYVTPPKYWKTSEVRMKNPSGMRIRKKNFATTRIHITPFMGELARKRRKLDSGSSHEWKMSIIPRGQNNKATGVNSIPPFTIDGKADERFNKFYRTIDSVMNKLYLINSGDHSGREKEPQIRLEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTSSISFQCPFESWNQVHSDIVPNENLHMEWIQNNISRRDNILESQIRLLSSFVYLDHISITTNSQRSYG >CRE17704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1864:6110:7239:-1 gene:WBGene00074951 transcript:CRE17704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17704 MNDDAENLGCLAPDEAPRATNYIDQMQNMIGNLVNKGTAYPSANGDVYFEVEKFENYGRLSGRKLDDMQAGASERVDVEVEKKHPFDFVLWKHAKENEPSWASPWGNGRPGWHIECSAMSTCCLGNHFDIHGGGSDLLFPHHENEIAQSEAATDEQYVNYWMHVGFINVDGEKMSKSLGNFFTIRDVMEKFHPEVIRYFIVSSHYRSRVDFSDFALKEAKLRFLVFIMHLKRINKSMAIKPQTSMRDDFNTSEAIAVLFEIIKELNRAVKEENAAQSTLYYSTLRHLTNILGLVQYNVDDFLKSDIGQEALGLSEADIEDFIQQRVDAKKNKDFARADEIRQSLLAQGVVLEDTRQGTIWRRAD >CRE17703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1864:2780:3607:1 gene:WBGene00074952 transcript:CRE17703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17703 MSLKGKTLFITGASRGIGREIALKAAQDGANIVIAAKSTQEHPKLGGSIFTVAQEVEAVGGQALALQLDVRDQDAVVLAMKQAAAHFGGIDILINNAGAIKLSGVETLDPNRFDLMYQINTRAVMVCSQAALAYLKNSDNPHILNLSPPLNLDEKWFASYAPYTITKYGMSMLTLGMNQEFASYGVSVNSLWPRTIIATAAIEFSLGGKQLFSRARKPNIMADAAYRILNSEKRALTGRLLIDEEILRESGITDFSEYRYADSEDELMVDLFVKE >CRE17702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1864:1073:2329:1 gene:WBGene00074953 transcript:CRE17702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17702 MGNLDIQNRFIEDLSISADYEKVASKYRAIFEKIAQGVVEREQQRILPYEQIDWLKHAGFGALRVPVKFGGDGVSLPQLFQLLTELAQTDSNIVQALRGHFAFVEDRLNAHKFKSQELWFKRFVQGDLVGNAWTEIGHVKIGDVITRVTQNNNQLLVNGKKYYSTGTIFADWVDLFAYDERTDQHVIAAISRHASGVEVQDDWDGFGQKTTGSGTLTLSNVAVEADHILPFEQRFKYQTAFYQVIHLATLSGIAQSAVATFIHEVQKRDRIFSHGNADLVRNDPQILQVIGKASAQAYASESITTQTAEALQRAYISHFSESEVKNIQANNDAELEAAQGQVVISDLVLELTSKLFNALGASASTTTKQLDRFWRNARVVSSHNPVVYKEKVIGDWEVNREPLPFVWQIGTSPKVGAA >CRE16559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1825:665:1056:-1 gene:WBGene00074955 transcript:CRE16559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16559 MPPKKGGKKNKDDDWDDEAAEKKLAALNMGGASETQWDDEEPKKAKAKAKAPAKKGFAAFVSVKMMKNRWKLWKKSRKLRKFELKSVYRSFQAETWRFDWKLWFSGFKNAKNS >CRE23259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1678:1453:5132:1 gene:WBGene00074956 transcript:CRE23259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23259 MTISNNKCGMTSGEQCWRIGELAKLTKSQNKEDRNENREARAMRPHEEFVEKKITASHINTNTIGTVDDYNLESDSESEEELDGPAFFDAINETTDQLLSEQIENDGVPQEYIEIDAIAMDSANTEINRILNADSSFGFNVLSPETLKNVTDSQWASLGSEEDPPRIIDSNMQPQTFDMQLFKRNLSSCLKNYKRTEEASYFSNNEEKYISEVFEPLKRCEWNDPIVQAILKRNVKLFFYEVLRNLSQNGSCVDECSCRMLVSVKAKETPLHLMAAYSSSENLIKYWSHRFNRHGMVPVDIAASRNCKEVIRVFMDYSFTLSAAVTSTQKPENSPSLLSHLALHSNLEYIDREELIALVYTSSRKKLEYVGSMATLNGNTKFIEQLEYIRNDESVIESFEKCDIIKNISHCTRSREPVTMFDQREYERLLRYEYTALGGLKPEKDVLADVTNVVPGQMLADGKKITYNGDKFTIAQKLAENGHYEAFNGLRDHQKRVTDCYGFTPLNSLLQFNDEEVRTQLPFFRSAQREDLFFFISQVIVRNRRGLLKDALDMTLSNPDNFSAVPKLSHLYKEYGLPDYVDLPMETTLSRTGDMRIIDQISELYKRTDNFTKKMKEEDALRCIKSIQDRASRCSEQTRLAVILLMLHFVDVYSSRFRAIMRMYLNRGMEDNVARYVLRTYSKHKNTNIFPTNWMDALDKASTAVAEWNGSVEQTIIENINETVYNRVTETDKTARIIPIIGTKEKLAERRKEVQQEMMPRKKRHIITALANTIELLDNLKL >CRE22730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1838:1738:6117:-1 gene:WBGene00074960 transcript:CRE22730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22730 MRSPIQQVAPPIPRIVGVTVPEVTVPVSRTPLYTKKPSQEYPRIDVRNRLKPKPIYKSSQLLAENKENVPSEKIERSATLSNLTSSEEEDPIERFMKSHIYKTKMITTVFEAKDRGFEEISGDVIHEIDRWFESKNMMAVACELHWSVPTSKRSRQLECKLKEKLLQALRENNKRLLYLRGMGHGKTPVKLAESKTMENISKDRETDSVRGIYQRGYTRLLPYLHKETPEWHSQYWTLHDRETSNDVTSAEYPIQPMAHNPFQLLITATNSEDDAVTSSTILKVHVENLDDNEPSFLESAVPVFQVLANTSKPTAIGRLTAQDPDSNPIFYHLLPNCGSTEISKNFSIDSEFGEISYLPKSTVTPGKYELCFIVSPEKNLDTSEVFFDGNKPNFLKVLVDFVVDQNVVGSGKYDNIGVSGNQSISRVGDVLDRVDIPMLMNAGSADFELKNLQFVPANYELGRDMISPEGAVELNKKTGEIVANGRILDTPQGVYTAEISRGQGRAVKQLHHIRNDRKLRYVLSMSRNEFGANLEKFKRQILEAIAKDDQKAGKQLDIHFDEPKAERKNSTWTSVCFYLTRENAILDDKQSSALISPSNGHISKLHHIFKVQNVDVCSPRVSASSESSTSSATSSDIPLNTLILIGVVGLLIIALVALLVFVCCVSRYQTYLKQKAERMRCSSSTGSYYKSPNLIPPPPPGYM >CRE11640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2576:4414:5340:-1 gene:WBGene00074961 transcript:CRE11640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11640 MIFALLLAPIMTFLAVGDLQHVNQLIEAARPHAANMFQGVSFIAIISSMAWGLGYFGQPHILVRFMAADSVKTIPNARRIGMAWMILCLAGAVAVGYVGIAYFQAHPDIPSAAIVAKNPETVFMELTKILFNPWVAGVVLAAILAAVMSTLSCQLLVCSSTLTEDLYKSFLRKKASQNELVWVGRLMVLAISVLAIILAFNPESKVLGLVAYAWAGFGAAFGPLILLSVFWKRMTLNGALIGIIVGAVTVIVWKNTMAHTGLYEIVPGFVLSFISIIVVSLMDKAPEKEVIDRFENAEQIYNREMKNM >CRE08633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4499:11:1745:-1 gene:WBGene00074965 transcript:CRE08633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08633 MQVIIVETPEEVGRVAGERIAAVIRRSAFAVLGVATGSSPLGAYAEVARQVSEGSLDTAGVTAFALDEYVGLPQEHPESYHSVIHRTVTEPLRLDPARVHVPDGTASDLEAACADYERRIREAGGVDLQLLGLGANGHIGFNEPTSSFGSRTRPKTLAPKTRQDNARFFDSADQVPVHCLTQGLGTILDARELLLVAQGAGTVVELDGDEMTRIIWQFIKDRLIHPYLDVTLEYYDLGIQHRDETNDQVTVDAAHAIQKHGVGVKCATITPDEARVEEFGLKQMWRSPNGTIRNILGGVIFREPIIISNIPRLVPGWNKPIIIGRHAFGDQYRATDFRFAGEGTLTVEFTPKDGGEPQKFEVYQSLGDGVAQVQYNLDASIRDFARASLIYSLSRNYPVYLSTKNTILKAYDGRFKDIFQGHLRHRVQEQFEAAGLTYEHRPHRRLVASA >CRE20161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2788:2913:4059:1 gene:WBGene00074967 transcript:CRE20161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20161 MGRLFGTDGVRGLAGVDVTAELALGLAQSAAFVLGRDARTESRRATAIVARDPRVSGEFIAAAVAAGLASAGVDVLDAGVIPTPAAAYLVADTGADFGVMVSASHNPAPDNGIKFFAAGGRKLADEIEDEIEAAMQRPHAGTTGREVGRIRRFADAEDRYVVHLLGTLEGVRLDGLHVVLDCAHGAAAGISPEVFSDAGAKVTVIGNDPDGFNINDGIGSTHLEQLIETVRSTGADLGIAHDGDADRCLAVDADGAVVDGDRIMAILALSMAGRGKLEQNTLVATVMSNLGLKLAMSDNGIDVIETGVGDRYVLEAINAHGYSLGGEQSGHVIMSEHATTGDGILTGLHIAAEIARTGKPESELAKCMTVYPQVLVNVRGVD >CRE24881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig915:9526:12112:1 gene:WBGene00074969 transcript:CRE24881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24881 MIAFLDFDDFGRKYKDENDHIDVVSVLEDSMTYYSRENLKLLTLRMQNKYQSGWTEVVGPRLPNLETLNISGIEIGQQEFLNLCKSFPKLKTLDISSCAVTNVNGISNLKNLEVLIVRDLNLATPEDLDDVFGCKKLRMLDFAKSNRNEGPSIMWNYMKCGKVLEELEFLDCNGTDIDKSMVEVLMSTHKKLRTIVTFDSILDFSTIPGIKLINSSTPQLMIEGFQHYISIKRDGFIGWMLCLIRETYLLEWNKDKDHQVLRDFLRIVCLAMKSSCWKAHVFHDGVRCLMEITKEQNIKLLGPMEISLMIDQLVESGTKSRALTYDTEILEVAYTRSWHIIHQNLNFPQLKIKKLCWYTVDFLASKFSPSIPTKQFYILENLMEKLDLVDLKILYLRTDLLDSLLDFLHLCNTESKVFMEQHRKNVNFILDLIYTVTNDNDEAARYFINKKVKKIHAIPLLVHLSDFKWTEWPEKVKALKILVNLTRVEDFKEKWGRYFRLGEWLFLRNQLKGYYKHLNTSTGTYLATDKAYCSMTILSCLMCLPNKNKKKYYKWKTENTEMVKACQKIQTSHISVNLDFYSSGVILMGTIKNTKYDGPVMWALLTMKAMLERDIDLVEIFKDSGLLSAVQRIQSKEKGVMKLRLEVLRLLY >CRE09926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1659:1962:3556:-1 gene:WBGene00074970 transcript:CRE09926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09926 MRNSRMNQKWIRDPTPTPPPSPQPVYRLPSPKPKTPSPLPSPSITDKSMAIATPSPEESEDDEELILPSPEPSPIREPTPSPPPREPTPREPTPEPEPYREPTPPPPPPPKPRPLTAAEIAAQKRKAYSEEAWRRYQEYQKQWKKFRQTQKTPAPGASTSGASTSKQSGASPEGGGQSGTGPSTRSQSVTSVASGKTSRSATPESKKSSHPSSSSRRESGAK >CRE04446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1451:4508:5625:-1 gene:WBGene00074973 transcript:CRE04446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04446 MTSSLPLLSIPYVPLNKIIDFMDPSSLVSMSLCSQKSRSVIKTHRKIPIDGRLHISFNDERFHISFNTFLEQFPVLGVINLSKMPSSVREEFIKLNGKQVPVRLNSQRGFLLTYWEDEVEGLKSLTDYITSLFSVDVLEITFTKKSIWMIDWVNSRQQTPIATAICEHGKDILTEEEMLHILKECPASLETVVYPSPPPNFKFRENFKKIDCLILSHGLWVTIENLLAMDGIEILLKTSNLSCMDINVFLKHWLTGGCPRLKYFMANVDDEDFDSMFTDLWDNVVIVEDFRQYRSPFGCVNHLHYGYDLQRADGVTATVCHQENGDMIIVFWPESVYEHV >CRE04444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1451:1343:2754:-1 gene:WBGene00074974 transcript:CRE04444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04444 MGRRDMRLWKTNRIWVTWEKYSSPAVLPRHSHSPILHTHPFPPIQSPVVFSPRMTFLFALLLCWIISVFFQAVKSFLIPNIPLPTPHFPPSQTVSTRQPDVSVPTSPFPLLHIPYLPLGRIIDFMDPKTLVSLSFCSQKTHSVIKTQRRAPFAGRLCVSELDSNLSFRTFGNNNYVLSVRDCSYFSSSERSDYVIMKGQYVPVEVYRSKGNLVSYWYNTTDGLKTITDYVTDLFNIDVSEVRVSKNAINMIEWAIIRQKTPLESVTVCGVTSSEEELIYILRDCRCSAQAQINSYAPPNFRFSKNFRRIDFLYILYGQWVTLDNLLTMDGIDIILGFSSLSDSDFNLFLKHWLAGGCPRLKYLDAGIHSVNILQVLAGLMHNTVYKENSRNYTSPSGYTSILSDGYDIQRSDGVTATVNYQPPRTFVIAVWPEAAHNSN >CRE26869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig965:13774:16552:1 gene:WBGene00074975 transcript:CRE26869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26869 MTETSPKPLIRNDKVVERIRRELTTLNGRFKPLIEHNEILKKDIDMMKGEKETVAMRVVEMEERNEILESIIKREMDNNDSLRDLLEYEKGKSEKLSERVATAHHLKNIAIREAEEKKIENELIKVEIDKVKATLEKAQADSKELKNNEKILKEQKRSVILKMKKEERKTNRYKTRLSRVIPPYDGLASRKGQYNRIEKAFSYLQYLAGTNSKHFYKAIVLKMERKGVLKLKLTDKEGFRLYHCTRMTRHRLKLMKREFKANGVIDPLPNIQAIVSIEGDVGSKEIFTVKQEKSVKEDREVVVVHLTDVVKSLTHRVQELIDNQKLTCDFSRALWVTILGDKGDAEFKLCLSIANVENSNSCYHLLPVGIFDDDESSVAIQHHMGVVVEQLNRLKSLKINIGGTQYDLPVEFFVGGDMKMQYDVLGHQGGASTYSCMFCKKKAKQLIKNYKRGEDVELRTEETYVEDSLKGTPKKPVHSIKAGSEPLFKSVKISNFIPGSLHIISGLAQRMGFDYLLTWSAQMDCKKKIHRKDIKKKRISSDKIQMLETELKTLDLHIQSMSRIVEVLHNIKNRRIDGSDELEANACQAEKCLFRDKAMELAPIYDSHTLHCSICDCRLHALCSGCWSIEHFFQSFDSLVPFECFACRGLSGDRIDARATAMLDELKMEKLNMEKELIDEKSAFDTNLSAIKGNEPTRKLLESIWKRHGAYMSTWQQNFVGNHIHKLLQEEAVDEYMSIFKDHEGMPSMSRFLKALGELQRICLPKMLSDEEIDRMENIIDTIWVNLQDFAAEDKVIPKLHVLLEHVMPFVRLHRTWAKTSEQPIESIHAYYNSTKVQFRTIRNKKLKATMCFKSLLYKNFISDHS >CRE07160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1654:6362:6796:1 gene:WBGene00074977 transcript:CRE07160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07160 MSLRVTYRRRLSYNTTSNKKRLVKTPGGRLVVQYIKKRGQIPKCRDTGVKLHGITPARPIGLRLLKKNERTVTRAYGGCLSPNAVKERITRAFLVEEQKIVNKVIKHQKD >CRE07161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1654:3642:5740:-1 gene:WBGene00074978 transcript:CRE07161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07161 MDIAARVMSVVSRTSTSEPTTSNTLNSQKIGKVMDVSDGAFIGGFIPSLIEKQRYPYSRPEFLYFSEEEIILSSDHSIRPVLCPRLPHRMPLYAGYAEVINAGKTIQNEDQSSAKMLVLSQHQVTEMNGLSDEKKVESRNGSVGEIDDDQMLTPGGDESVRHLYVVNCKSEKSNIFAPRADAALFSLFDGHAGSAVAVVASKCLHEHVKSRLCEVLDTLLHMDRYENLNFGKRRSESSYSMSKVSMNEEDECRIHSEHLVKGALETAFLDMDEQISQDKQVWRLPGGCAVISVLVFLGKLYVGMELFGMCEHGSSISANAGDCRAILVTSDGTKALSRDLTPASERKRLQELAYRNPELIGNSFSRLEYSKKLTRSDLKSRVLYRDWFMDGWAVKTVKESDLRPPLISESSRKKRLLNTIGVSRGFGDHHLLTVDERLNIKPFLSAVPEITVTNLRDMNTLTDKDVVIVASDGLWDVLSNEDAGLIVRSTLGSTDSADSSRYTQAAQDLVAAARGQQASGNLKRWIMNSGGHASYDDITVFVIPLKYCAAPPTNFEEEEDDEMLSLE >CRE02768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1721:3781:5809:1 gene:WBGene00074981 transcript:CRE02768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02768 MIGTQYQKRGAPAVCLPQQNNTLLYAYSTDIEYYTFWYGARSIVSYASTYATTASVRFDTKQEEEIEYHSDWNSLNISIYSHQPDPSLGYEDNTTGSNLYSVLKKFLNNGKVSLCGAQIIVLVKRYPDESDVSDIISQLRANHVLVHIGVDSIPSGGSNSATLYEMSFQTNGYCAFATGGDLFSAFGDMAYILGNPYQFIAQNFVVSGSGRIKIPAFKSPIPPGIHADFCYFAITVQNHTLDNSFVSMNHTIESTTGYDVKTFPSNGINLLCGTAQSGDVYFNGSLSYKWTIDYHYNNDEPQIIECRMYSPE >CRE03801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1408335:1409136:1 gene:WBGene00074982 transcript:CRE03801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03801 MESVKMGGQHVRVEMDHSDGYIISYWENTTEGSKVITDYVTNLFNIDVSEVWASKQSFHIIQHVISRQKTPLRYVSYSDSSAISSEKEMIYILKYCRPMFQLSMHLKPPPNFRFSEKFPKIDYLDINEAEWVTIDNLLTMDGIDIILKSSTLTSSDVNVFLKHWLSGGCPRLKLFCAEIGSLDIFQVLAGLLRNAVFVENSRTYTSPFGYRRILSSGYDIRRADGVTATVCHQQIGKLVIAVWPETTNNDN >CRE15239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2467:3494:4400:1 gene:WBGene00074983 transcript:CRE15239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15239 MFSQGNTKDLSVESSAVVIVPRTTIAPGVTNKVTPPKNRPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFTVATFLECASREKTIPNVVDIVELFRRLAPNVNNSVAPLKDYQLSEFNISYVKMQLVTCSIAIILVFAFKFPVFYFNKLLFVYSRL >CRE15821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1106:8473:9901:-1 gene:WBGene00074986 transcript:CRE15821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15821 MKPLKELPILRIPFRAMEEVSKGMHSIMKMISNRRDNKFPILRLPFLAMEEIFKAMDPFEIMTFSKISKRAKAVTKNMGFFSKYDIHFCVDKILWIYIRGTNGLVSCNYIITWNEKLDGKIEEEKCLGRISRRVYKYSTDPIEEWKQLCIYVLDIFKKQTIAVLQMRMDALVDQNVSIINFLKSNVKSVDGCYLLQWYQKNNVDENFTYFLNNITINNKLDSWLHIKNGDSDGKIPKNLKELIINNSQWIGYEILLEIDSKHVVLRNNRITNEEWNMFLKKWIAMETHLNLESLELGYSDLEEFRDYVLHNIPHEVVGEEVSRIVACRHYQTQKIKGGIDIHRIDGKTATFFVRRRRGYEENVLMCIH >CRE23257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1558:1176:1787:1 gene:WBGene00074987 transcript:CRE23257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23257 MSHPRNRSSIGKKSSLILFRFIFFSLIELAIVAYNDKMHDQRLREARCSVGNLNSNGVGHPNLESRRSFVELSARRAKGSELGASIDRVASMLFPTMFALFNLVYWSYYLTTSMNPVYS >CRE23258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1558:3639:5329:-1 gene:WBGene00074988 transcript:CRE23258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23258 MLRLPILRRQSLSTRNTTFDSKSTRRSVHNRVHRTRYTQFCHFVHNNETKFTYDFSPFVGRSWNISGVRVFSREGSAYYHFFTVALFPPNIKCQEQYDNFDMIGLLDQDKEAVEGLACRVTALPTPSSNRSKTAYVTPLLVASRLDSITTSRTHGNTSLSDEVLEYDSHDNTSRPLDVFFWFDPVASLSSTCPNGNQLVVVARCLPTKKQIEMRLPHSCPDGTCDGCLFLVILETAQACPVCESNDYETINGECVNGKQTIHSIPKKHCVITGAASQTKEVACSAFTAFQKTILTILVLSMVLLSIGFICICRRNRRLEYKYTRLIESHTGELPAVETCGLDEDEDDDELQDRVIFSKGRRSAPNNSRTTLRDHRENDNAAFISLDSED >CRE20656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2074:1548:2574:-1 gene:WBGene00074989 transcript:CRE20656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20656 MQPNSTTIPVMENKTFTCDVYCPEQTSQWTIPQWEKQIFDAVGEFEGGETGDDSWSFDKFWTLRGTVPIYLAVALFPLMNFKSPTFFTKFNVLGTISVMYLLMFVFSKLLECGVNMDFSNPKSMHYVQLANMHFPALSGTLTLSYFIHNAVLTILRNQKHPENNARDLSIGYCLVAFCYVFIGFTFFAAFPVQRSCISDNFLNNFGAGDVLSSTARLFLLFQMITVLPLLMFLVRSQLFYAIFGQTWPGAIKVILLNLILISIAVGFATFYPNVGSILR >CRE16080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:571512:572659:-1 gene:WBGene00074994 transcript:CRE16080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16080 MGPINNIWLAKRPPGFAFVTFKRTVHAYDAVKYLNGTKICNLEAKVEMCEVDFKEDLKRRTEENKKKLINQLHDVFSVMGPIKNIWLAKRPPGFAFVTFKKTVHAYDAVKYLNGTKICNLEAKVEMCEVDFKEDLKRRTEENRKKLINSNVQKTN >CRE23286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2957:3678:4628:-1 gene:WBGene00074997 transcript:CRE23286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23286 MEFEMELRHLRYFVAVVEEQSFTKAAEKLYIAQPPLSRQIQNLEGELGIALFERGSRPLKTTEAGQFFYQHAVKLLSNAEEVKSMTKRIGLIEKTVTMGFVGSLLYGLLPKIVYMFRQQQPHLKIELIEMSTKDQIQALKEGRIDVGFGRLRISDPAIRRVLLREESLMLAVHISHHLATDSKGTYFADIEDENLFLYPSHPKPNFSTQVRHLFSEHGLDPKNLKEVREIQLALGLVAAGEGVCIVPESAKTIQLPNLIYIPLLDSSAKSPIFMAIRCMDESENIRSLFDCIYQVYDLEGFQYDRASSRHYNASSS >CRE23285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2957:1335:1934:-1 gene:WBGene00074998 transcript:CRE23285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23285 MFWIAFFMCLLMVYALGSWLPKLMIQAGYSLGASMIFLFALNIGGMVGAIGGGALADKFHIKPVLTIMFTVGAIALIFLGFKSPQFILYTLIAIAGAATIGSQILLYTFVAQFYPATVRSTGMGWASGIGRIGAIVGPVLTGALLTLELPHQMNFLVIAVPGFIAALAIFFVNLNASVEKTQTAEAPTTQQNIVGNTIN >CRE30265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig689:19600:23911:1 gene:WBGene00074999 transcript:CRE30265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30265 MIITPYLNPRLTRPLKWLAAILLVYFLYFSTFPLSQKPSKPRKPAKQVKNYTCPFENPENPSFADYFSKNPPKSLEFHKNNGTDQKILVILDSMFSRHGKAIIQMLNSQKFAFKAEAVSKNLPVLTTSRKGRYSLIIIENYYKYLNMARWNRQLLDKYCKEYRVPMFSFIASKPNDQLKRIKIKGSSLWMWQNQRIQRLTVSPSPIHKISKIGSFRNLSSEESDWILFETSENFESILTGTVKSGYERAVVVRDLGREDGVERVIFGRNLTDFQVKMTFLDSLWWAMGTEKTFGLERFVQIDIDDIFVGAAGTRIVEEDVNRLISSQSHFQKSVENFKFLLGFSGSYFRNGDDLEDRGDEFLVENSEKFVWFPHMWRHNHAHEHNFTYLEAIMIQNKIFAQNMHLPVDYPYAIAPQHDGVFPVHEQMFEAWKKVWNVSVTATEEYPHFKPSTGRKGFIHSGIHVLPRQTCGLYTHTQLFDEYPDGFDKIIHSIQGGDLFFTILLNPISIFMTHQQNYSHDRLALYTFENLFRFLKCWTNIQLKWQSPIESANLYFQKFPEERIPLWTNPCTDPRHQAILPPSINCTQKKLPDLLILGPQKTGSTALGSFLSLHPDVSQNSPVPGSFEEVQFFGGKNYLKGVEWYMSNFPNESTVIYEKSATYFDNPSAPKQAASLIPHAKLVVILQNPAQRAYSWFQVRCISGGRYVHHLDKWLEHFSLQQIQFIDSDELKEQPVKVLTTLTKWLDLPDYPYESHIRFSKSKGYFCRFIDEKTKCLGESKGRKYPEMDEELRMKLDKIFSLDNSSLYKFLKKNRLRIPKWLEDVVRVRA >CRE30268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig689:12346:19008:-1 gene:WBGene00075000 transcript:CRE30268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30268 MPCFFFILDTSGSMSTRSHPQFSFFDLAKNYIETFIKVRTRHDSRIAIGRENDRYFLMTTQTRYPENVKVVSEKLGGIVVDELKKLTLPYGSAQLHHTILDAFRVLHVNRAQTGIDGVGTGRAIQNTEQVVMILLTDGSGISGIPIDFRLFFDPPFLGSEMTKEAFRWDQKLYTVVFRIPSTPYRPTQSQLTTIDIDLPVIEKLCSRTSGRSFSIISTRQIQISIDYILAMASQHKVGVRFDCLPAIPGNVSPDEIARIKAKFKKVIDKKPVTNLISRLNPQGRPVSCHWPIPESYFPIRAMDQLPQRTAHPVILCAPMALPLTIRTEIPVDKLELEPSGVSDIIMEILQGRKDMTVWTYIEGSSNGPTAPFGCLRMNTMGTGITLILLPFNFPLFYPLIEEVIKDPILTTSQVWRQRLDSYFQTVPYYYFTVSFEKKPFFLKIDIPLYPPLISEAHGDTSYRNPYSSTIEDLVSKLNKIEANVEMLFNPHKTTLLDMAKLGVKPRFNTLEELHNMPQKTMGEYEAYQAARQQFYGPPMRKIDEERDRTHAFGNPYKLKGMGAGIDEVMDSAVVEGNSPQGQGGKRYGEIRQSVGGGPPKRRRGPLGIDAFDIYRTRRSMRGSSVASSEFDRRDSIDDLPGSREMTPGTSGGSTPVNEFEDLQLQEMDSDEQLQKNLDEVTEMMNKRKREESGEKVEQKVPKRLEILEPPAPPAEIISDQEIITRKIRIGSIVRKPANHRAYEEIMTLVTGITNETCSKLIKYAVRESQRFKLKQLTQKLEDRLKVI >CRE15032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:66194:67894:1 gene:WBGene00075001 transcript:CRE15032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15032 MHIKSESQEVSGRKPLSPSPLVHRSIHHQSPLACSKNFISDINLKLIVITRKRRKKESRASFIDKNRVICVNEMLNQLLLVSLIGASILGATAYKTKQLAHSHAKTISSNDDFIGCPTNNDFYYNGTINSPMYPYNYPPNDKCYYYISAEPGKVLKFSFSHFDLESCCDFVTIYDGPTVVSKKLVQIGGPASTVTTPGTYYTTTRNAVITFESNPTIQKSGFSMQYSSVNTVSPCNRDIFLVVNGLANVGTQSNFEKEIKFIANQLTPTWNVGLDKVRVMLNLQTDIDYAIIWAADDVPTNANVTQEVLTMLDYIPDVTQDNNTDLECLFRYAYDGIGDSKEFDERYGIEKVVIVFVAANANDDQDYNESFEFAHKIRTEQDAKVIVVGMGTGLDQAKLSKLAYASGFAFFSTSYDNLQLLIPQINNAICSGLNSQCGP >CRE15041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:67937:68519:-1 gene:WBGene00075002 transcript:CRE15041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15041 MPKQQFEIIDYAAPLFVGAAFALVVFLLTFFINFAFIGRTDEVTAFEKLGARYNLRVGPHRVSLVKSAMEKHVDEDGHEY >CRE01498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1720:696:1627:-1 gene:WBGene00075007 transcript:CRE01498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01498 MIAFRRTHILLLLELAIMWNMVQTDHDDIEKAEAMNSMAGLKYTIDHTWDGLPLAHEAIKIDLKWHFERLVGRPHKRVVKISFDAPFFDDPEPMDSPGITPGLWDFEVLEFFFANDRGQYLEVEIGPHGHWLCLLFDGVRHPINNGEELELEVRNKWVGNRWVGEVEIPLAYFPASKFFFSKNLIYNFSTEVSKFNAYHIHGNDTERVHAALSPVTDGTYSVSD >CRE10241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:786673:787221:-1 gene:WBGene00075009 transcript:CRE10241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10241 MCSRRGRRLSLPQTPMATPANPTTPSVMNGYPFASRPHYERRQLPMQHTLRHNHSMSSLMGTNREIPREVSQSYHNPHARLSQPDIHSVIYRPHNYYSPPVSYGSAGGHYSYQYQYQSSGQLPTSQHSSPIYMRRMLPTPKNSGGIARKLLKRCTNPDLMRLQRTTEIREQSVGRTSCGESF >CRE17755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1470:6144:7399:-1 gene:WBGene00075011 transcript:CRE17755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17755 MSSLLSNIKTESRPIWEQPPPPYIVLPELPPEDPSRQMTLIEYLMLASAPEIERQTWASVGRSCENKQLLESTILHCFTEVPPLGKRRVSQYAHDLYPVVAIKVWKRIGILYSQHVVSGCLLAAKGKLQGRMRWLIMPNKLSKEKVEEKMWEWPLYLYMRTYFHEEYEKQLRVAALKDKNEQPFVFELEDKEFLERPRHLENIKTSIPTDNIEKESPAPSALKRTALLQVKQEEEEEPAEKIPQLETITSGSSLVFLDPSRQQQPSQCGPSAQGVTGSSPGTSGSSKNISGRPWTNLPEGLPSPSGSKRLAILKVKQEEGEPIEKIPQFEPNTSGSFQVMPAPSHQHQVVHFQPSRRNMTDASYQQAQTHHVNQSGFLQQRDPLAQHQNMSCLL >CRE17754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1470:2182:3939:-1 gene:WBGene00075012 transcript:CRE17754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17754 MPPKLSKIANKHNAMKGHDALENRHEKIKRELRDKLSDALDRITESEAEIVDLKKIIKQKDEEIAELKVTLMNKDEIEKQLENVEKALESSQQSSEFVGNQVVNLRRENISLKDKINFLNNNVMLAEKNKEQSIRDAVLARNCESIHRKKALEMKDQLNSMMLTSPSAGNKKRFGELRKKETRNARCQKMVDYMEKELGNDDLEAFLISFCNFIADDPKYTFKTCLSAMDSFIATVKWRFSDGLLKELKHFLRERLGFDVFASRHKIHALRKLHSNMDHYNIVLRNIVRKEGSREIEGKTADISVKDVKSLLALRLQRLHESRQLRMNGPDDDVVIGVGGDKGGRHTKLVCVLGNTDNPNNPHGIQLLGMYEGQDDYESLKKHLLPVFQMLNEMDSITYMEGSKEVTRRVVKVPIGDCKFLSAILGHSGQSSSCPCFLCKLKWSSHGAAAARLSTFDFSVAGDQYLPSDLKQPLLVADPSSICPPALHTLLGIVQKYIINWLHALCNSIDHIEPLPESTKDQKKLMTNLAYEVDHYRSRFNKNHPDRFPIIDADCAGSRDVACWNENYTPLICIGISARCRFNCR >CRE15962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:697321:699204:1 gene:WBGene00075013 transcript:CRE15962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15962 MEDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDALQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSAPDVSPGKDSDNASHDEVLVGALTGGSAPDKSPEKDSETSSDNTSHDEVLVGALTGGSAPDKSPEKDSETSSDNTSHDEVLVGTLKSGKQGPEDGPEKDSEASSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSTSESKTSSGNSEDTPHDKPLVGALTGGEQGSEDGPERDSDNTSDANSLVKALKGGQTDSEILAFLLTFILLTIWEKKERDQIVEALKSAETGGVKENDEKKEDGNDVLKKILEKHFVELEKLHGVDSSLQEAIRKMTDNQTAFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDSSVVKKAIDALKSQLINNSKYEYKKLIGAIEESRLDELTSLRSAMKPKNVPFIGNLINFKVENDVLKVQFKDSNVLFIEFDKECAYFLGFHNCIVKNNETASSSIDFFGNISTLYVYCDVVDQTIVGNSKSSLLTVIPCKGKYGEMVQHTFPVPRYLPLMNGTIDSIKVQILSEFGDRIHFNWGSTIITLHFRKIA >CRE24832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:968:4825:-1 gene:WBGene00075014 transcript:CRE24832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24832 description:Receptor expression-enhancing protein [Source:UniProtKB/TrEMBL;Acc:E3NJ19] MSSGVPPPNIPSARGATPSAAAALAVAAAPHLRSQSPRPALDRRVTPVEGVLAAQSDMHNFLGQSHGPLFDAAMKYIDAAGIQRDQMSYIVFALAAAYLVFGSAARLLCNLIGFGYPTYASVKAIRTKDTDDDTVWLIYWTCFAVLYLCDFFSEAILSFFPFYYILKACFLVYLYLPQTQGSVMFYETVVDPLVVFVDKNIDKYYHKKSV >CRE30334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2288:3879:4091:1 gene:WBGene00075015 transcript:CRE30334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30334 MSQLEDELAAEKYKYNLQKAAEKEREEKKQQQKIRVFKIKPHKSSRVNMGMVEWQASQVAKCEFFFLEIH >CRE20151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1908:3197:5961:1 gene:WBGene00075017 transcript:CRE20151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20151 MTEDTQVRAIVLSVDETTNTIVCFSEPLESKINVKLPPNFIAKQGQRLTFGDHDYNNETYFPGSNPEWITIEDDPSVRFENNELLITTRAAFSFLDTHPTYSKKKVYAGGFGHVEIEDHLSEKMDRGIVYKVELSLNVLEIKDNQKPVFKVTKVYELLESEDGEAFLNELYEFDERTPAETDDEVVDNNQREIELPHVLMNENTESSARSESLTSYNRETLLTVAERSSSVEAPLERETTKNVLIDVNNDASNENVTSTSTEETGLSSSSSMFLLNCQSIDLSAQKSDGSGESSPEIVPFQEDRFEMVQDGIREDSSVQSDISSMDYPATEASSLGKDVRDSKPKPLRYGTLRFISEREENGKVYPKLGTVRFTEPVGSVIVNGATSIPARVMFSSNQKHGSYNKTVAFSDSYGLVRIPESNASLKHMAVYNTLIQLLVLFNLNIQSDKVRFRCQNEDKLKPIFISVGPLKQDENDPNARFIESMYNYEDNIFTETRSRGIASTRPPQNSWKSVGIQKEQKGNFKPRRVTLPNFYKALYVSDKQHGKLFGVLYTSVGDASLRVRPSIIDTGVMLNLSEWASVRLE >CRE13191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1020:1563:4989:-1 gene:WBGene00075018 transcript:CRE13191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13191 MSDRDPPTEPNRNRKRKSDSSNTPSSSSRPPQPVPISSGFSTQSSSSITVKDVIGTLSKPLEDGNLDEDGLVAKVPTFTEEYGEKKCGDGKLEMKNPNMETSREEESHDSSNTPSSSSHPPQLVPISSGSSTQSTSSITVDEVIEILSTPLEGRNFNMNNIAEKVLTFTKEYGEKVAASIGRIWKIVELEMENLGGSPYKEMEEAEQNTYLRLFNWLKYYEDSEKKEAVLDLHKELYKRWEESDGWHWWRILNIKLDFIFQNTPSTSSRSPQPALIFYPHIKPRIPIVTLHAYNRHLFNEALRMQTEESVQQDMIRNFVATPFTSSHTQSLFDGAPKSEPNDGTVQIVNMMKRPENIDNFYYIKYQIDHRINPPVPIKSLLLIHSPDIGMIHYIAPHNFKNNPVTDDIFDEYSSTFKLKKQFHSNDAINYDHSSGSQYSSDERFLQNAYDGHDLGRGFMMSGSLPAIPFYSAQSEPLTVEGIIKQLNRPRGDRYIVPAKILEDVHDFIIENKKARSHISQMVGKAKKTLTEQLQTIAPKHYLNYNCNLQEFYLRLFNWLEYDDEKRKEVLALWKELNAPWYN >CRE13193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1020:7952:8790:-1 gene:WBGene00075019 transcript:CRE13193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13193 MMPEEDKPLKVVFAKKETQIYSIRKCRRAPLITFIPYSDAPPPQLLVQYPQFPERSPSPAPEASVSGLHPPIPPDLPAVRSPAPADPNDITPEMAIKILDRPLRDESNPPDTREIVNAFNRWRMANGNNAAHIATHILGVNKTTVRDYCVTPQPWNELKYEKMIYLRMHNWTRLSSEARERIWEMDLAKERAKNGSPEKPKAIITPLPDDVKEMILNEMRQAPDQVLNEPYLRQWAIEKGFNYPPIKNFHHYMRSRIREGFPI >CRE03513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig490:29095:30024:1 gene:WBGene00075020 transcript:CRE03513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03513 MEAEIAEFVSYSAYFLTLLFNTTLIYLTAYHTKRMSLTYRHMIIGFALFGIVFSSLDIVVRPLSTQGSTPPSFRS >CRE18390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4069:140:549:-1 gene:WBGene00075021 transcript:CRE18390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18390 MFPILIFLSTLFIMSASTLKCYEGSRGMVNGEDSSNFVQNLCDDNMTYCFESYNSNLTEVTASCQNMGTDQKLLEVCKVRQQC >CRE02641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:179340:180594:-1 gene:WBGene00075022 transcript:CRE02641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02641 MCPEMIVGMLLSKTAMHNTESFSIIGAYVYSSYDGYGKSLKWKPLQPEDADQNDSSLRDKYGRLPVETIAIDAIQYNRWNALREQVDGENIIREILKAITGFTAQEGHPFETVPIRTGWWGCGAFGGCKQMKCKGTLILGGFHRKNGFSGLKMKYLRSF >CRE13837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1621:6052:6586:1 gene:WBGene00075023 transcript:CRE13837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13837 MRSPQGTMSITTISCLLLVTVLPATVEAVQSTFNYVPIGQNPTLYTPGFEPIMHLDQMTFNDTVFSDRAFLVEFYADWCGHCRAFAPYFRQFANMVRDWYPVVTVAVINCADSFNQAACRENGVTYFPMMKVRERKLFVIGSFFC >CRE30328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1769:1973:2668:-1 gene:WBGene00075024 transcript:CRE30328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30328 MAEEKIEIAPSALGTKDFWDKRYEMELTNFEEHGDEGEVWFGISAENRIVKYLIDSKTNKNAAILDLGCGNGSVLRKLRSKGFSQLTGVDYCQKAVDLSNATSRAEQEENEEEQLVDIRFEQLDITAPRNEFLSSKFDVILDKGTWDAMSLSEERENRLKAYLELLEQVMTEGGLFIIFSCNFTL >CRE30329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1769:2947:4001:-1 gene:WBGene00075025 transcript:CRE30329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30329 MRVYRLENAVRKSLNTPSKFYFPNFDYLHWMYMKNVITPKLREMTEEGTDMSEEDPNLWKGAKFIYETLEEWLRRDLADIATREARKNEVGWVEAEAEAWNDRSDADLTFEEKKRIFKTVQKLILDQEKMHNRKIGLSIPKKHKRKSHDGPDDDDDYTPTKMKKYSKQTKKGSGEAIPKVKKIKTDDVVDEIAINASSSVPSGSMKLKIIIGPTEDQKNVVHMFNNQHSSSGRKVKLNQSVAEYCGSHLDTRGEETPNKSTKTFEECNNELERCEAVYSGEKIKKVKLPKKPKEPKEKKERPAKKESTSRDRLKKMLKM >CRE15106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1503:6410:7245:-1 gene:WBGene00075027 transcript:CRE15106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sph-1 description:CRE-SPH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NS87] MPSIPEHINLAAFKFPLGFIRIIQFNFKNFYFNRLSKIKFTGCDSQKYDMWNGEDSAGGSAGFFYFVNVTALIYVIFICFVYVIFWGIYQTEKRLPLVDLGATALFFVLFFFCSSIWWAGANTIGTATSDERLKEIFQRKEWVNQSATYSNRDVNNGQLAISVLANWVCVLCFAFNCWFIYKEVVPRESAHPTDIA >CRE15107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1503:7812:8811:-1 gene:WBGene00075028 transcript:CRE15107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15107 description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3NS88] MTSYRRDSTKNTQTPARDTEDQRRALPSALYYGAGGVLSLWAGKEVVQTLVSYKAMAADQRALASIEISMSDIPEGQTKTFEWRGKPVFVKHRTKAEIAKEKAVPVADLRHPQHDDERVQKDEWSVVIGVCTHLGCVPIANAGDFGGYYCPCHGSHYDASGRIRKGPAPLNLHVPAYSFKDTTIVIGSS >CRE25955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig526:298:4351:-1 gene:WBGene00075029 transcript:CRE25955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25955 MRGSSSLDSLPGKGHLDTLEIENFKSYKGFHLIGPFSRFTAIIGPNGSGKSNLMDAISFVLGERPGSLRVKKYTDLIHGAPINKPVAKKCRVTMNYKYADGRKKAFTRGVNNGVSEHLLDGQLVTGQVYAHEMESINIFIKARNFLVYQGAIENIAMKNPKERTQLFEELSRSHEFQTEYDKLKIEMTKAEDDTQHNMNKRRGIAQEKREAKMEKDEAEKYQQLKNDLAAKSTMLYLHQLFHCERLINESREQISEQKKAIANLERTKVWFSRRNRGFWTEEESKIANVHQDHKKVQREVQKLSRKLDQNESELLEKQQEMLTLKVSVAHEQKKLETAKKMLSAAEAKAENNTSQLAELKKRKKELVKEKTSYEKEIQVRKLHENS >CRE10012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:325462:326372:1 gene:WBGene00075030 transcript:CRE10012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10012 MERIPEEGGDNSPYSSDVGTNLNKSFPDRFQVEDPASRQKPNTWWFNWMKRNSGVCLFLLNFLLIIALFAGLYMVYKFSDQHQQDSITPHNEIKKQEYVKYDKAANMDEVFKKRLEEFDAKMHALDEQLYALGAEMYAFEIERMNGTNEKNEPKEHHKLGEHDFSEKETAEEPEVEEDEMKSMDEEDFEDEEYDEYNEEKEREAMGNSTRNEQEEKTGSDVENVVETPTEPYTSADQQISK >CRE24891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1235:7216:8975:-1 gene:WBGene00075032 transcript:CRE24891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24891 description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:E3NR37] MGSLTRSEEMRFCQLIVEKDAAFNIVAEIGKQPYVQFKDLNPNVNNFQRTFVKDIRRYDEMERKLRFLENQIVRDEIIVPGKVDNGDYAILPTSELNTLEGTLAELEKDVKSMNDSDAQLKANFMDLKEWDAVLDKTDEFFQGGVDDQAQEELENLDEEGAIRVDKLPVNYLVGIVRRERLNGFERVLWRACHHTAYIRSSDIAEELEEPSGEKVHKSVFIIFLKGDRMRSIVEKVCDGFKAKLFKNCPKTFKERQSARNDVRARIQDLQTVLGQTREHRFRVLQAAANNHHQWLKQVRMIKTVFHMLNLFTFDGIGRFFVGECWIPLKHVEDVRRAIETGAERSGSSVKPVLNILETSVTPPTYNETNKFTAVFQGIVDSYGIATYRELNPAPYTIITFPFLFSCMFGDLGHGVIMLMAGLWFVLREKNLQSRNIKDEIFNMFFGGRYIILLMGIFSIHAGIVYNDMFAKSFNIFGSGWKNPYPMENITNWINHTEHGKEMLIEFAPEDAYDHAGGPYSFGVDPIWNIAENKLNFLNSMKMKLSVILGITQMTFGVILSFFNHTFTNPK >CRE23255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1398:568:2488:-1 gene:WBGene00075033 transcript:CRE23255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23255 MPKASAEPIADSEVSEKSIDQQQEAEFAETQETVDQRREEDFLFPGVDFNCVPIDNNLLGYLRLNEHIGFDLSDTREAEDKRFKEMNEKIKYVMETTTSMTKEVGQLQTKTISFVQKNKQLTAIERQKLKEERERSIGEMKTLRDRVEIIEAASTAPKASGQAVMTEEIHDKILEAVKSTAIETAIRTATGMAQNMEDTRFKQQMMQYNFFAQQQFHQPKRHQQAMMQNQQLMLKNSDKLREIPPITIPNDGVPKESIRIVIPASPPKKSIKERVSYPAAKSMDERISFPSPTSTKEEEDHGRMEHSPTRSISPAKLRDRSPIRSDIDRSARKESPSRRRRPSDRSIDRYAGRRINHRQYDRSDIRRNSYKPDRRRDSYSTRRSPSKRPSDDKHLKRSESRRRSPAKESSKQDKRQQIQPKGKVLWTKTTPHLQYQEAISSRNCVFCDEDHSSEVCAKVTSIGTRLQIYQNKNVCGVCEEDGHLLSQCKNESKMCAKCKSEKLDHRHGPALCTRYLPRLLESLGVTKKPAPTPAKTVSSSSGMTHQSSDEAMEMDSDEERPPTPKRLKTFVDNSENWKRYKEQQGQAAESSQHGQASKDQERLKPRCGDIRSSFRKHDVKSLQAL >CRE14429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1702:41:4333:-1 gene:WBGene00075034 transcript:CRE14429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14429 MKTKRRSSEAFQEKTPEPDFHNGSFQDLDTSLHVARRHLEEWEPVSEIPDDLKEKLKRRRDSFDRICEEHILRKKKEETRYKYLKRNTELAQSKTEINTICIEFTKEWADYTCGLFRKNLTCLSLSPNSSTHEILSITDWINKKQSTLEFCEFSGDDANSESINLFFEKRKVSIGNLSFGLRQEYGIRPLKFGVLDVDEFFVSNRSSKYPVNWLTVDDIMISFCRIIKIEACSFDENDINRILKGWLNGNNSQLESFTVVLKMLNFQLVLDGIDFERKDETLKRSFEFEFAGKQCTHQFTGGFDIRRKDGSLATIQQRYHFPSDALMFWFMM >CRE14430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1702:5641:7089:-1 gene:WBGene00075035 transcript:CRE14430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14430 MRSADRTWKPANRPTSDPGNRQGKMGPQEAKLIQSLVSLVRKCLEDYDKYTLLDDRDKILCQLKEINSPSIQRLQRCEEMCTEKERYQRIVQKGASPFECDIETGDVSHEMMVKQYARSAADQERPLPHELRSERIMNNAMCYLLHNVLDEFPESPEQRAAWYNFLWNRTRALRKEVTQLSLSDALALNLVERCTRLHILFGYVLCDLETEHFDAAMNNETLGKCLQTLRHFYEDFEKRRIPCVNEAEFRSYDVMLHMNDTNILSQVLSYRGEVRQSQSVRLSLQLASAFQIRITTASSVYSKHKHPIYNAV >CRE17708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1903:4486:5593:1 gene:WBGene00075036 transcript:CRE17708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17708 MIRYLRMLGMEIPLFLYMLGSYLNYPVFQNLIYEKECLIKYEQNVTFCRDVSGYNKDLDIQAAANHFYFISSLTLLCPSLVTTLLLGAATDFWSIKIPLIIPYIGCILGTINYVFQSYFIHTSVYFLLISDALFGLCGGFIAIISTTLTYGVKTSMLRYRSYRIAGVEGAIGLGGTVGFALSGTIRE >CRE17707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1903:1056:2565:1 gene:WBGene00075037 transcript:CRE17707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17707 MQLYAVLCFLALLCLSSGQMTFTDQWTKKRAALHKQQFPGVTPEEPICPSDRVQLVFEQLGQLQRAQQRLTEYLASCAYPVEAPQKLEKPQQ >CRE10888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:512339:513353:1 gene:WBGene00075038 transcript:CRE10888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10888 MEFLLQLSFQLISSSTSSEIYYKWRECMSEEMEYLMSSDDNTFWNQLENPVQKCEMLNDLRTIVMEPFNNLDETKYSILPICGNTVMSVVTLGVGQDVNAEQEMKKRIGNYSVQFYGADPIVEGNDELFSKVGTFFPFAVGNSSRMGTASVLLDGVYVEKRVIHVEFVQFLKGIIGKTFYDNIWVDGEYAEYELFEYFYNGGQLDREGITVCQFNMEMHLPDAIRKKQFKNFITRIYEDQRYAFFRPIRGNHIRLFFVNFRDPICAKKFISENS >CRE23851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2556:3055:4732:1 gene:WBGene00075040 transcript:CRE23851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23851 MAHGLEDQRLDGVIDICPANASLLVRFDPDQLAHTELIERLRALEGEVRRATVQELPTRVIEVPVWYADPYTRETETRFREGFHQRPDGTDLDYAAEVNGLADADEFIRRHHETPWLVSMVGFVAGLPFLFQLTPRERQLQVPKYLSPRTDTPPLTLGIGGCFTAHYSVRGAGGYQMLGITPTPIFDPEQRLADFSDFMVLFRTGDIVKYRPVDEAEYREIEAAVADGSYRYRQAPVTFSLSEALADPEASNRALLEDRGRFGNYRFGIPQGGAMDQYAATLANRLVGNGPDAAVLEATYLGPALTTDEDVVVAVTGAPVDVLVNGAPAEQNVRLSLSAGDQLSFGMIRGGTKYFIAVAGGIDVPEVLGSRSTYPIGKLGGLEGRALQAGDRLPVGAPAPSDRAVLDRIPDAWLPSYERQVEVRVLLGLYDHKLTAEGLANLTEAEWTLTPVADRMGLRFDGPGAPWKAEEQPFGAGQDPSNITDAGYAVGSIQIPGGTQPIVLHCDAVSGGGYAQAGTVISADMDLFARMAPGVAVRFTP >CRE24349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1955:3074:3877:1 gene:WBGene00075042 transcript:CRE24349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24349 MSAYYQLIQRHTDKQGVVTAHYQSTHHAQGAWNAHEQHMAPATGVICAELEQFSPRNDVRIGRISLDILGLIAGGEFYIRTKVIRAGKTIELIESEMVTQGKISIVARTWRMMTSNSQSVAGLEDQSIGTPEQLPIWHEIRQWPGGYIHSIEARTEQRRQGAGIVWLSNTLDMVEGRPTSAFVKLMGMVDTANGVVARQTPPFNWGFPNLDLQIHLYRLPQGQWLGLETVQQYGEDGIGLTSSVLHDIYGPFGRSEQILTLRPVHAE >CRE24351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1955:7892:9532:-1 gene:WBGene00075043 transcript:CRE24351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24351 ERDFIGVEFKKIQTKLNDLESSKTPLLNQQQQLEHHIQQITEKRNLLNQQLADTQQFSSLDKGLTAHVQQLTQFIQHYQSIENQLGNVSQAETKLIEQKTQLQDSIHQFGTAEKLETQLEQTRQQREFKLSQLNQLATLQQKLAQFFELKIESQQLNDRLKRCSAQFQQLEKTTIQTEQDFQTAKQARLKLQEVLQQQRLLHAENVEHLRAELVDGQACVVCGSTTHPYHRDDSAVSKALFELQQQQEQQATLKEQETFNLWQAAQQDLTKLSVEQQQLKTTVQNVTEKITVIQQLCIEQGQSAQIPLNLTQTQSEIKQQLEQLTQQYQFDIQQLEAQSKQWIQSIKIQQQLSQSVQHTEHLLQNANNLHNQVQHIVSCLAEREKNAWQQYSITTAQHILSQLNTRTQQLEQLELLSKQHDQYLQQLNTAQLNIANAAKQISETAHSLNEIKLKGQQNTELANQLIQNMTGLTEVKPNEWLTQYEHEYQKIQTLYQQQKQSFNQLRNQFEHEKVSSNNSKPSNNTIRITQSSESDISMAHSASAFS >CRE13850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2101:3788:5089:1 gene:WBGene00075044 transcript:CRE13850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13850 MKVMKVEDPVEEYVKFLFWCCDNAHEIGVPTDLHTLANRYVKKAKRVKVPGKWFPTNRKRCLGEALGDLEWTRKIDTVKLCKIYFILGIEVHEDLRKKLPPNVKLNGSMQIIKMEDKRQILRVKEAESGSESEPEMEMEQVLEDQDQDQDQDQETSSSLVPEPKRNTKQKRELKRELEPSSSSVPEPKRKLKRKQ >CRE13218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1820:1774:3235:1 gene:WBGene00075045 transcript:CRE13218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13218 MATRSLPQSPRTDRKAKLYSSLNSTTEKVSNVLSWLPSKSSKRLMKNKSATHDLSTSLISYTPASTSTQGVIASNENLELLCSTSTSGIPIYLEKCIEFIENNGGFEQEGLYRVPGNQTHLAEVEKRFLKSGEFDVSSFDTPVHVAATALKSFFSCLPESLIPADYHSRWKQVMMAADDKEKIDGIREALSHLPHSNQTVLRYLVKHLAKVSCSPKTVMNSNNLSKVWTPTLFRPMFTSYEELSSGIIAFQLALELLIINSDSLFSLNTLL >CRE13479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:413330:415019:1 gene:WBGene00075046 transcript:CRE13479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13479 MVFPQHPNATNDILLSLKGKRIRLGDHEFKLVHDIATGPFSSVFLVEENGISYAMKVEAQNKCLRPVLKLDHAVLRALSHQPGFPSLTASGRTDSFKYVVMQYVGPDLSTLLEFSPQRRFSSSTVYKIALQTLDRLRVLHEAGWLNRDVKAQNFAVGLGEESSIVYMLDFGLTRRYLENDGSRHLLRPHGPSVGTFPYAPLTSLGFCDQAPIDDIEGWLYMVIHLLTGGLPWHNPRRALDLAKVREWKMYCRRPGGKLRLFAGVPNGWRDFFDVILNTAHHQKPDYNKIANMVLSIARNEKIDLSAPFDWQVNPVLRSIVRLGPLSCDELTSIPLSSIPMSTMTEEIRLRTMASPIGTC >CRE13480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:415712:416847:1 gene:WBGene00075047 transcript:CRE13480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13480 MNQSILSTSNPKLSDSILEKIYEFWQQPENYKHVPVEIALELFNSNKIKMRELAWYLETGSNIPENFEEICKELVEKHFSQLIHEKEGMVYYNWYGFFQYCVYVQDDEDYNQQEKEHERKLKEYESQLTAMEMISAGTEMVQKIIENKRELMMTDPKKALKVVEPAQWIQYKKFNDIDRSKYQKEYIRLLSSNEEPREAHFLAFKEVMTALLKKQKQDLIEKENNKCLKTIPKKPKTIKKSETPVDLSFLKPYLSNDAVKRVEESVGKNSSKKGKVKKQENKNDEEAKEEEEKVLTVRDFLKMKRESRKSSIDILKKHRDQPSEEFGSKVNMDCNNRKENIQNQ >CRE07957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1895:1124:2927:-1 gene:WBGene00075048 transcript:CRE07957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07957 MNNFRFFLLFLATVSMTFVYSNRVVFGFTVICQQSGNESETMTYVDPEKKQLVMKNEFCYRISFQNCLSIFSFSDYYLLESLNVAWMFTATAIGMCLGPAPFYFAHFLSTRVLIFSYGFLSGISSILYPLADSLGFWPALVCRFMAGFAQASQLHFTNDLVLRWTPQSEASFFFSIMLATSQFGPLFTMILGGEMCSSSFFGWEATYYILGVGTIAASMAFAYYYSDSVEENKNLEESEKKYILAGKHSTKEKETVPYKALLKDWTIWISLLMFTGYYLAMIVYQQYSPIFIKQVLHYTIRETGYFSAIPQFIAIFIKIGCGRLLDVKFGCGPKLTLVIPLLILESMSAFSLFLTGFLDDRVWALICMMIFASLHFFVPVICSRTIQIVSFQKKNKKIILFMFQRAAQHSHFALNLNMVIAGIAQILIPLGVQAVVPDNSRSQVRSLSNYEGLLDLFRVKRLFYNSGFIFIIRTKQAFFKQYTVAF >CRE18377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2443:368:589:1 gene:WBGene00075050 transcript:CRE18377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18377 AHCQKTGYFDRVNCSKSSTTVLRPCPSPKESRRHEFYLFYSFNLILLIISYSVTVQRKSVLERSVYMRLPQHF >CRE01479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1320:1146:3045:1 gene:WBGene00075051 transcript:CRE01479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01479 MVTWKRVVRQFHKLRGMMDQIQNCNYAVELGKQLRFSLVGIQGKDIYDGNQTLTLALVWQLMRAYTLSVLAQCTQSGDSLPADKDIVAWVNEKLVASGKTTSIRSFQDPTISTGIVVLDLIDSIKPNVIDFGLVKGGQTNEEKMSNAKYAITCGRKIGAKIYALPEDIVEVRVKPKMVLTVFACLMARDYLPDMKEASAPIAPMINGH >CRE25966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig606:42440:45454:-1 gene:WBGene00075052 transcript:CRE25966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25966 MSFRTTLVQNISDLLTVDILDRGSTTKILFKKNFTFEFNRSDAPGNSKMRNVPKIQKIFWNLLEDSRIFEFPPKWKILNSLQNSKVFLATLSLSCRYIYATSKELENFDTVGTISTYGGGGFVQRLPVSGSTEAQSAIATLKANRWIDRGTRAIVVDFALYNANINLFCVVKLLFELPASGGVITTPKIMTYNLMTYQASSGTRMIVFEGIFCGFILFFIFEELFAIARHRLHYLTQFWNLVDVALLGFSVATIILSMKRTKTATNRVNSVIENGLTNAPFDDVTSAENAYLNIKACAIFIAWVKVFKFISVNKTMSQLSSTLTRSAKDIGGFAVMFAVFFFAFAQFGYLCFGTQIADYSNLYNSAFALLRLILGDFNFSALENCNRFFGPAFFVAYVFFVSFILLNMFLAIINDSYVEVKAELARKKDGEGILDWFMNKVRGLTKRGKRPDAPGEDATYEDYKIMLYRAGYAEKDINEAFTRFNVTTMTEHIPEKMAEDIADEVARVTEQKRNYMENHRDYANLNRRVDQMQESVFSIVDRIENVNVTLQTIEKQRIQQQDGGNLMDLSALLTSQVRNRESARRQTITSIADKKEE >CRE03519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig570:1422:6420:-1 gene:WBGene00075053 transcript:CRE03519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plin-1 description:CRE-MDT-28 protein [Source:UniProtKB/TrEMBL;Acc:E3NM42] MAEVEQSAQVEDQQAEAQSYYDQLLGNAYVKTAINAYTKTKEFHPLINSTFNVAEENVSKVSNYATQKAYDGYNSYYVKPRDTAYEAVSYGTERAKTAVESGKQAAIVTGTFGIGAAVVLTQFSLALSAGGAALVLDQVDNAKKLGTSAISTIKEAELAVEHRIYSALHQAQRIAMVPVEKATENTNALLDVLEGAVQKGLNVEVTPAVGASITQRVKNLAALIVKGVNNKAHDHVIDPINEKARAYLEQLSQSFVLLDIVREKKTWVMEKTNEITTSVYDFKNKLETEANQYKVAPEEMLMKHIQSTSEQLATQLKALREKGQIVSTVVL >CRE13832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1461:2717:3956:1 gene:WBGene00075054 transcript:CRE13832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13832 MPPKQESKPLTSTTLPVKPPFLRSGCCKATTDIFVILFVCGVLALGISAVITYFDDENTGEITLNLSFIFFGISFYLEKSSNGTSTTSWILLILFFCGVLAIGVPAAVYHYYHKLKRGEESLTSSYHFNSMNPQKLAYIWPQSVKKIINDVVDNNMHVTNGDRAVLLKTELMRFDTGDVFYIIVYDGTTGPANHAFAGVKNEYIAVFKPGKCNVVVYRSHFWRSSPRSALSTITAQVEDVCRAGVTESSDYSTFPKNSFKLIDDIRFLGIFGKEHNVSVRSANSYGRARGPGYWETMPVFRNGTKEATGKQFILVAGFK >CRE13831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1461:1420:2496:1 gene:WBGene00075055 transcript:CRE13831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13831 MDESKDYSPIPSQYEHKNPVEFGKLYIPIVLLLFIFLVCCALAVGIFLGYIGKSNECRGNSTSSYHFNSMNPQKLEYIWPENVEKMINDIVDNNMHITNDDRAVLLKTELMRFPTSDVFYIIVYDDTDGPTNQAFTGVENSYITVFKPGKCNVVVYRSRAWSSSPSSALSSITDQVEEVCKSGIAESSDYSDFPKIAFKHIDNIRFLGIIGKEHNVAVRSANSFGRAWGPGWWDTIPVFRNGTTVSTGKEFILIAGYK >CRE15035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:2268:2549:-1 gene:WBGene00075056 transcript:CRE15035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15035 MLHAMRPVLLATALFAVTAHAFLGFGNGAARKAEDAKWSHYHNQEQLETKLTEINEKCPEVCGFFPCFSVFCFRFSFLSSWFMRTGSRFLRYI >CRE15036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:3356:4359:-1 gene:WBGene00075057 transcript:CRE15036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15036 MQNWNLIVDKQIFIINIKLLHVFNRKITRFQIILFRMDVEDEESTSSAPVKVQAKKRKRRRKNKNEDQEEYEENKSYANQINQKFGSSKGFKHKDETPMFEVKGGKATIKNSGNHRLTVSRTLDFHMNKLFQWEEEPDAIESAYICALCHESGRKRELFGPYYTTHNPIKHWPTFLAKKPLQTAKKPVKIELWFHGSCALWAPNVHLHGSQLTNLEHQMDIFWSQNCAICRKNGASIAVQNKKNTFVHYPCALNKSYKLDEHTLMCNS >CRE15027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:4772:6506:1 gene:WBGene00075058 transcript:CRE15027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15027 MQLFSTNLIFSAQYTFDDPLPSNDNLPRLELFIGDRICVYGQHGEWGFGRKFDEKNGKCGIFPLSYVQIVQKSMFVSTSDGYLVVDEISRIINEWWTKIKELMQEGTTIGSFEDLMESFNELLIVKTKIESGGIPIEELSKLRLRVSKLVDRGNTILHLDVVIRDDEGVPLDVESLSLLRTYEAHISSQKRVGSLLVGFSREKSYLEEKKYFLQRSKPENVTLNDSFSLLLSIKSVELHCKYSCEISITLYDLEKKMFTTDCYTFLWNAGSGKHADLNLKALFTDFAKEDIRKKYLMVTRVVHVAPIESSNATMRKHGHETVVPKNFYCRQSYASDIMEMSSIFLAGFIHEAKERVIFLNRETELPIALKSYHATHRIPKNLSNDMETKLLISTQLVPGNVSQIKARHPHLFSRSPAVILRRADRTAVSIEDTRNEMYITLMQAELSGKSSDRNIEARLHVVESNGNVMDNVFETISVTGSQLSTVYKSIVVYHTDKPMWAEPIKIVLPASASHDVYLRILFYSKKSYDKPSQRKDHSQLLIFN >CRE18361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1763:7401:9092:1 gene:WBGene00075059 transcript:CRE18361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18361 MPSHFKYAVDSINHLIQAGQGLADGWHIIITITVVLLAKEVINAIVQFGQKSFGEKLRILISQDLSQGIIEKFITYRLAFFDQKNNQAGKLQTRIDRGVSSLTRLVQIFFIDILPLFTSAIIALALMYYANFWVGVVATIIVPIYFWLTFKQSQKLSGKRRALRDNMEKKNQGILSIINSITVIKSFNKEAVESTKQLNLQKSLTENQMQTRQTSYIFDGLKTFIEQVGVVLIIILTAYFVLDGQMSIGMIMYHVLLFNNVSAPIRSLHRIYDEINSAMIYSESFFGILEADHEIEASGLMKPQIHGKFGLENVDFIYPNGHQALHRINMTIQPNKITALVGLSGAGKSTLISLLDKFYAPNSGCIKLDGIDLEQLDTHYLREHIGLVLQKNHIFQGSILENIRYGKPHASNEEVIAAAKKASIHEQILKLPHAYEADALLLSGGQQQRIALARMFLKNPPIIFLDEPTASLDAIASEQIKQSLDEIKQGRTVIMISHSLSQIIDADYTYVMKEGQIVEHGEHHNLYHQDGAYKEIFDAMAKSLNIEKIARTFEDDGEEETHS >CRE18360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1763:5401:6102:1 gene:WBGene00075060 transcript:CRE18360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18360 MNILIVHAHPEPLSFTTAMKDHAVEVLEKLGHQVEVSDLYAMNFNPIASREDFSELSHPEYMNYALEQRHACKNKQIAADIQIEIEKVQKADLVILSFPMYWTSVPAILKGWIDRVFVSGIFYGGKRFYNHGGMVGKKALLSFTLGGREHMFGDHAIHGSIEDLLLPIQRGTLAYAGFDVLPPFTAYHVPYISNEARQQILLDYQQYLHNLENLPALDFPKLEQFDDKLYPLG >CRE18363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1763:1668:3671:-1 gene:WBGene00075061 transcript:CRE18363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18363 MLALYIAFELNLSYPIWAIGTVFVIANPYSGMMASKSIYRILGTLIGAIFAIAVMPHLVNTPWLFTFVLAAWVGICLYLSLIDRSPRSYVVMLAGYTAVIICFNSIYYIETVSIFDMAVGRFLEISLGVVCSAVVSATIFPMHIGPVVELRVTKTMQDTKQAFDSILSDPAHTDNYTQLLTNITRDTTDIHSMAVHLSYETSKFKGMTKPLQELLHQVTMLVANLVAMSERIKQLDQIDLTYRSYLLEFHQKIDDFLEGENAILEDELKHLPEHFDQEFQTITEHATAKQQVILGSLKMDIRHFIQNVRAVKFIWQCMQQGNHSLPESIVPLTTTYPSLHRDYGVAVRGGISAFLTTNIACAFWILSGWKIGFMVAEMAAITACILTFLDDPVPALKIFIRSSIYAAILVFIYAYGIFPHITAFWELAVVLAPFIMFCVMLYLHPPLHALGLPLIMSTIMGLNLQNRYLMDQITFFDASIATVIGPIITVCIMQMVRSMSPEMTVKRMLALHYKAMRESIAMSYGTGFRIHLRSMLDRIGVLNTKLIQSEQLKIEINNALIESSAAIDLTRLQELVQKLSPESTTTSAIVKLQGLLNQWLSEKAVTQPDDNLRQALIQQFDQVLQDAQAVGDQDIRQRIEISVNNIRNSICHIHLVDAQLSTVLLGA >CRE21499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:1831:2433:-1 gene:WBGene00075063 transcript:CRE21499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21499 MTVGSKRKRNDLQGIRGLAILSVLGFHFYPNLFQNGYLGVDQFFVLSGFLMCMLLTRSQKLPIFQIFSEFYIRRFKRILPLYFLFILCTMFALYTVFPDTAIFQNQASAGKALLFVSNRAHTGDEDYFEKVCVAKWLVAKCRGCEVSQLRNLRELRNVAVAECRSCELSRSRFGACAQNRSSKRP >CRE10764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1738:2715:5385:1 gene:WBGene00075064 transcript:CRE10764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10764 MTAASKPTKTKRNDLQGIRGFAILSVLGFHFYPNLFPNGYLGVDQFFVLSGFLMCMLLTKSQKLPIFQIFSEFYIRRFKRILPLYFLFILCTMFALYTVFPDTAIFQNQASAGKALLFVSNRPHTGDEDYFEKLSIAMDLFTHTWSLSVEIQFYIIVPLIFLIGVQFKGSIRYGYYAMIATFSFMFHLSSPPTVSFNSVFARVWQFLIGMMTYFISRSRFVQHEKSIRRPEESEENQEDNVRLMEENQDLTTETVDKTANLVTKFLILALMISVVLLPKELDPVSARAFFTFFTGVLIVLSVEDVILNSRVMIYLGDISYSLYLIHWPVYAYVRLIYKSNFWILTGALLVSILLAVIVYEFFEKWYLKQPNVVIAVLVLALFLSNAFYINKDTIQKSMEKKEEVLLTPERKYPRLDEMNANMTLGKILWQMSSPGPVQQFSTENGTEFKILLTGSSYVKNHHKLIIQECKHRATSVSMDDVTGILACLCHFIKKNNTGCEPLAAPHRKIDNGNFSDSWAAGCPAQLIEFVNFVNTTQPDYAFLLTRWFAVGEPYDTNESDLEHDTIYIEMKSQLRKILPNIKKKLFILDSFPRTNVDNIQNIAREMREGKKTMKEINVSLLIDNITSKKKVMTQKMVVKFCFWDISKAVK >CRE23268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1957:2367:4952:-1 gene:WBGene00075065 transcript:CRE23268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23268 MSKSGFGQMYRRLSSKILDLVVTPHVLGEVPTEAQPLDPSTENSELIQPTTQKVVCYVLQNYSRSNALVVDGETRRLQLPPALDPLMIGNSREKAAILFLQHQDENNYFNPPTHAYPPRLVRLIESLDQNADLDIELIPVTVLWGRSPDKEDSWFKLLFTDTWATPSKVKQLMNIGLHGRQSFLEFHEAQSLRALVDHAKIAHPNISPATYIVNQLNDYLDRQREVILGPDLSDRRNVMQSLIKAPDVQDAIRKESIRSKISMIDAEHKAIGFVNEIASDYSASAVRFADMALTRLWTQLYDGVEVHNFNTVRELVKDYEVIYTPCHRSHIDYLLLSYVIYKRGLMVPYIAAGDNLNMPFVGQLLRGGGAFFIRRSFRGNALYTSVFKEYLFSILSRNTPLEYFIEGGRSRTGRLLPPKTGMLAMTVHGHLRGRAKPIVFIPTYVGYERLMEGATYVGEMNGKPKEAESIWGILQTLKKIERIFGKVHVNFGEPVFLDDLLKAHGADQITIEHNDDPIPQEVSDAVTSSANAILENINRAVVINPVSLLSLILLATPKHTLDEEICIKQLDTYRKLLTANPYDSRTQVTPLSGKEIIAYGMKLKLIKRVQHVLGDIIAIEDNQAILLTYFRNNILHAFVLPSLVAALVEHNGKISKSDLLNVIRTLYPFLKAELFLKWKDSEIKAQVTEYVDALAQANLIFVDDEDFIFSPAPNSEDHNQLAVLAAPVRQSLERYYMTLALITQRGSGNISIRQVEELSHLVGQRLSVLYEFNSPEFFDKALFQSFMKVLTEQGYIKTNEDHAIVFDEQFRNVAQYANLVLDDVTLQMLHHITSFTDEELKEALDALAAQQAKKRLKRKKK >CRE07178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2014:4:831:-1 gene:WBGene00075067 transcript:CRE07178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07178 description:Palmitoyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NU30] MSCDVVEAPDWPAPEAEVGEKTVFRRMFYFGTIFAFSTITMIGAVTTFFSLQWMPVTSYMGLFNTLTFLLWNYLTIGNLFNASFFGPGYVPRGWKPANKEHEKKLQFCVPCDGFKVPRSHHCSKCNRCCMKMDHHCPWINNCVGHRNHQYFLRFLFFSVVGCIHATIIDGFALYHAFFAGWYQRYGDGTEPIILLTMYSFIALIFAIAMATAVALALTFL >CRE07179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2014:1194:2202:-1 gene:WBGene00075068 transcript:CRE07179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07179 MFLDSKVIRVNISNLAPTVISSDLEELFGDYKLHSVSVNFNEHGESLGTGDISLTKRDADRLVQKFSGVALDGKMMKFAVIDSSNIAGRVDFGNKSRSAPASSGRGFQSGPRRFNRKPEDFLRDGVHEGDTKRGGSSRGGFRKGGRGGDRDSKPKKTEAELDAELEAYMAKRNA >CRE07180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2014:3868:5182:-1 gene:WBGene00075069 transcript:CRE07180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07180 MSQGINRRFDYALQENENRENYENVAKLTEYGTNWFPICGVSAVCFPDLSMELVGVHRCKVLEHNLQNGEALVQLLPEVEIPSLLPNHVPRYAKNYPITEQRSLASRLTGYPFDSLRDVTNNLIEQCCYELREMVGESAVNQAKAKGLASFSYYVSQKIFSNRKTEYSLLKEDSANTRIAAALKYCKISIGKCARCNTRIFRNQHIMRLSEQTMTHVNAHGFVHRITLLSEIKNYGRASLPSYEYTWFPDYAWMIIQCIRCHQHIGWEYISMTRAPHRFYGIQREGIRFQNDEEDEEEIDEEDHRNNQEQIEESDDHVDEEENVDSDSGQSLDE >CRE07177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2014:6629:7537:1 gene:WBGene00075070 transcript:CRE07177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07177 MPISYCVSSKKASVVSESVVGNFTGHEHVNLIVARGNRIDVQLVSPEGLKNVCEIPIYGQVLTMALVRCKREKRQSLVVVTEKWQMAVLTYRDGKVITRTAGALADQSGRASDNLFSLTIHRSGLVAIRAYEGSVKMIQWEPGTDVRSFNVRFDYPNVSDFKFIDTGVDDTYRIAFIYDDDHGKHLQFSDLNMHDKELHTFSRQASIAADASVLIPVPAPISGVLVLAANSILYKS >CRE15198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1307:2197:6168:-1 gene:WBGene00075071 transcript:CRE15198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15198 MLRTSDCFGKILSSNAVVLTNLSIASHYILRFSEEDKSFVDRIRKYKMMLVWTRFPFTVFYLPPGDVSAEFGFPYVQNCMNLIVHRAQIGDTGVPVQERCQVQSMFFSEETPDELSKFWNKGEEYDNEIPEVDNFEDGVYEDDYEDPEADLRRARQAEEAQRRRESQTEETEASSADIDARKKEDEDVKRLLALPPEEVKVTVLHTTPVDPNLQGVIKTVTHKPRKNLVLKWTDQAELNTTPRPLVTTRFFENDASPPHHLDRDGLSFDEKRKNEEAVAQLVAEKNELFKNAMSGNNPRRNDMEAGQSPSQQMATSPANTHSNGSSPMSPFVPSPDQTQSPPNSYKQPPPNFTAKPVQPSLSALPLPSNYSMPPPPITNVRTPQIGGLAPSPQLPPMGVPPPNMMLPPTSVPPPNYQIPPPRVLPTSVPPPNRMVPPPTLPPPGLLTPSLSKTVLPTCLVPNSGPPQDPRKVQQSNLSTSLIMPPTASTSSLTATNALPSPGAEEKGEEPMDVDEEDSFQTGRDSDSNAPVEQEEENDILVSVFTQSVQTPKPVSKPDLIPGIIANDMSNAPNIQHLINLSNKKRESQDTDLRSQPQVNFPINKPKPPATKQVVNVLGVDDEDETEVLSEKEASSSQDIDYRKFAPVASRRDSQNSFTKDRDDRLKDVDDRFQDIPVPDGSPGSESRKKQSQSMQEKLRAINKVAENKVQNTNEHLAYQAQIALTAQPKKEQITAPRKPNNSMFPALSQSRFTSQGLVQNSQLPEPTPSEPIREQTPPRSPSPISSPQVEDYVPKTFLNTSKQQTCKIVPTESKEGYETYRGERPSSSSTKSESAKTNTRNRRDYDSVEPGTSDRKATETWANLMNNPVKQKEKSKQPSNIEYVTLDDDGEEEGELRSGESSSSTMNSSAPKKVLSSLDVPPGITTRPRPQEGSSSSFQNNRPFTNPSPSSMVFLDHTYSKQINQNRMSASNDYAEKLTGFADKDAENLCIFIDPEINGDAFDPNCMKATDLTRLFNLLNNENMKKKPYSPRVHSKVFVHKCSSTGNLKKNNSFEHLFNTNTGLVQRLPVHSCDHDPKKSCLARCAAVVTRKLEGKRAIFLTHKFDEYSQDGRLMFDNNVRVLSIQRFEQMIAEIRDT >CRE07949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1735:5156:6430:-1 gene:WBGene00075072 transcript:CRE07949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07949 MPLGALPLEVRFLHEPTNENAFVGCALGSAIFRIHPDKKNPSIQTATLSASIPSKRVSGWSLPEMPALITDILISMDDRFLYVSCWLHGDIRQYDITDPSNIKLNSQVYIGGSIHSESNIQVLDQDHSEIPALYVKGRKIEGGPQMLQLSLDGKRLYVTTSLYKQWDQQFYPENVRSGATMLQVDIDPETGKMEINRNFLIDFGKVSGGPYLAHEMRYPGGDCTSDIWI >CRE21069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1273:2932:4562:1 gene:WBGene00075073 transcript:CRE21069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21069 MGVVSFNIKRVEEKFVCCPEPWVLLEAVLVVRRKPLYYIVNLVIPTSVITLVAVTGFFTAASTSSERREKLSLGIDSLLAMSILMMMVSEQMPTSSDFVPLFGIFYLSIIFIIFIGTLFTAFILNVHLQKMYAKPVSPIVSYIFFGRIAQWLRMRPPTMLLELWNETGVTFGKKDKMKLKRVEMKNQKMPKVTSSSSGLNLLKSNSGSGRAPLAAPISARSYISMDDMKREAARRNWRRLVKKINSNKQNGVKNCGGGERGQLRQAGRKKVPSAISVPHGEPGPLMLSASAISAFSVTGDSTILESKLKRR >CRE11652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3376:401:1449:1 gene:WBGene00075075 transcript:CRE11652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11652 MESDSLVLLSFCSRKSHSVIKTQRRAPFDGRLCVSEYRNYLSYITFQKRDCVLSVSSKDAFITSKEREEMEYVRINRQYVGMYRSKGNLVLHWHGNTGELKDTTDYVTDLFNIDVSEVCVFKDAIKMIEWVNRRQKTPLKKVVNIAWGVIPSEVLIYILRDCTTLSEISIHAEAAPNFRFSGNFRNIDCLEVWHGKWVTIDNLLTMDGIVISLEKSTLTNTDLNVFLRHWLSGGCPRLKLFSATTGDVDILQVLHGLMHNAVLVENRRDYTSPFGHQWILWDGYDIQRTDGVTATVHYQPLGALVIAVWPETSDNYN >CRE17775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2070:4036:6075:1 gene:WBGene00075077 transcript:CRE17775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17775 MSKASQLNDKQLEAMKYTQGPLLVLAGAGSGKTSVITRKIAYLVQQCGIPAHRITAMTFTNKAAREMKERVGKLLGKEEGKGLSVSTFHTFGLNLLRIELKNTPLKNNFSILDADDCKRILMDLMHRDNLSGAESKELIAKAMKKISDWKNDLIVPEQAHTTCETPEDVQFAHLYQLYERNLRAYNAVDFDDLIVMPTRLLQDNAEVRDRWQNRVRYLLVDEYQDTNTAQYILVKLLVGVMGQFTAVGDDDQSIYAWRGAKPENMALLKEDFRNLKVIKLEQNYRSTSRILKAANHVIENNPHIFDKKLWSDKGHGEVIRIITCRNDDDESERVVKDLLTHKLMNGKNWKDYAVLYRGNFQARVLETQLRQMQIPYKLSGGQSFFGRAEIKDVMSYLRIIINPEDDSAFLRIINTPKRAIGPVTLEKLGLFAQENHLSLLAAASDQRLTMVMPKKATTQLAEFAGFINDFTRELLDDDEPVPKIRQMMNDAGYIDYLRETAATPAQEKTKIDNVEMLYTSIQSLINRAEDVDEKNIESVIRKMVLLDMLEQQQEDEDTDKVNLLTLHASKGLEFPFVYIMGLEEELLPHKNSIAAETIEEERRLMYVGITRAQQGLTLTLAEQRKNGGQMKQMTPSRFLDELPQDELDWLGRKKKLAENVDPKQQAQQYLQNLKALLKR >CRE29043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:144712:149021:-1 gene:WBGene00075078 transcript:CRE29043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29043 MTQNYRFLAVLLLCSIFIYLMFNRFGKKKAASQPFISNNLLLDSITPNSDITQEKLDLPQSSLQTQFYSLEQKNLRKTALQRVDEDRRGLLDAANGTDHKAFYKAVKPEVFCEKNERIGYKGDGGKQVCNPGAVRKEDCTLLSLGLHNQIDYDHAIFNATGRHCKILGADMNSQNAKTQKSYEEMGGEIFVGKIPANLTIPEMLEKTGRSEVELLKIDIEGGEVDGLEPLIMDYYVCQIFIELHGKEPIDHLNMLRMMSRYGFRLFNIEPNPYCKKCCEYSLINELCMVQFGAVPLGITIPH >CRE12429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1336:5051:6322:-1 gene:WBGene00075081 transcript:CRE12429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12429 MTFYEDPEFPIKGNSKRPHDLVAFPKFFGLNFNTSRRDDWRTHFERRTEINGTHAGFFLVAVSSLTKDLISFHKIVEEQSFDEREYTGKFHFKFYDESRQLKTIEIDDRLPIKPCGALQYAQKVGDVFWYPLMEKAYAKFCGGYEQIETGLLIKSMFHLTGRNPEWFDNGAESCLPFNAEGIYSNLRNLIEKKIILVCKLRNPEENNQAYGSGFTIIDVTEKRRISNDGFPEPRKFVQLRHPSGKIPESMMADMGIYELTSLGEGLMDTEKFVNQMQCVYSVDMSMHQLRRSPGLDAFIPFIPEEENPRVNYHRAKH >CRE18347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1563:1992:2929:1 gene:WBGene00075082 transcript:CRE18347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18347 MHVIIPIQTCRRLLNSAIARFPRSPPSFFLFQCTKMSCEASPSTSKEAQCEICGRILKSEKHLKNHEKTHDEDERKLECASCQKRFHTAELLRKHQIVHKIAKKSIICDICGNAYSSNTALSKHQRTHKSTKRFTCPTCFASFDLSDPFKIHLRKHDNLKPFGCSYCFKQFSSRSVCRRHVQLMHENQKKEKTKVDVK >CRE18348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1563:3778:5573:-1 gene:WBGene00075083 transcript:CRE18348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18348 description:Protein phosphatase 1 regulatory subunit [Source:UniProtKB/TrEMBL;Acc:E3NSG9] MLAAVPNSGRTMKLLLEPLCSSSATCYFDDSCCSEPNSPDSGFSSDDSIASDVSFTERLTRSKTLPSALRRSRSKQSVKRVRFADSLGLDLENLQYFVKEDLSSSPQQFSIHFSSIPSSSSPPQLPAFTKTLINQPAPRLVLHNFPYRSEHEYNLKTRESKVCVSALRASGSSIVGQVNLLNVAFDKVVVVRYTTNGWETQDQIVANYSHRLFATEDIDAFNFTIAIPVKLTEGKCEFCVQYQVAGEEFWDNNEGQNYVVNVSLKAASPFSQRYGTVPHENRSMVPRGSSTRRQQQRRWGRSLEESDEEKEMAYVPSRRLNFH >CRE08637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6299:1505:2041:1 gene:WBGene00075084 transcript:CRE08637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08637 MFSKLFTTSCLVAIALSTAQEDDVSVPTKVSSTKRRQYVAAQQPAVPVVAPVGQCPGGPSLPIECDPKRPWPQCPPQSYCYATNSVDIGPYFCCPVWSTYGAAWRPATPFYNYVPPVPANWPDVAKMTANWPAAAVSVPVKARKPT >CRE19610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1989:4378:5427:-1 gene:WBGene00075086 transcript:CRE19610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19610 IRNLDEIREEKLQEWRKQIVSNLEENSDQQSCFEIREVGRNEDYIWTEDERDYDSKFPEPYHHPKSSLCHQCQSHQQRQRRICRKEWEQSRIKLKCLEEFGNERREQMRNASLLTKSRIEAADKSLFRENGVVETGLRNDDVDEEYDSFM >CRE21032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig794:9010:10969:1 gene:WBGene00075087 transcript:CRE21032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21032 description:Dimethylaniline monooxygenase [N-oxide-forming] [Source:UniProtKB/TrEMBL;Acc:E3M5E9] MGTKKVAVIGAGASGLPSIRHGLLYGADVTCFEASDDVGGLWRYKSHETNESSVMKTTVINTSKEMTAYSDFPPQENLANFMHNNEMLNYLKAYAEHHGLLKHIKLRHRVLNIERSQNYEKDGTWKVTYQNPEGKTLEDIFDGVLVCSGHHAIPHWPTPFPGQNEFKGRIVHSHSYKDHKGYEDKVVVVVGIGNSGIDVAVEQSRIAKQVYLVTRRGTWLIPKLETRGLPFDVIMNTRFFSLYKLFPQSLLNSLVEYRINQRVDHDLYGLKPAHRVFSAHPSLNDELPNRIANGTVRIKPNIKKFDGYSVHFEDGSVIPHVDEVVMSTGFSFEFDLIEKGKLVPVTENEVDLFKYMFPVATSDHNSLCIIGLIQPFGSIMPVSEQQSRVFFANLFSGNHIIPRKSQMSEDVVNKKEAMAQQFVKSRRHTIQVDYIPYMDELAELIGCQVPILKTLLTDPILGLRLFFGPNAGYCYRLAGPHTWQGARNAILTIDQRVRKATTSKPEPTNYTIPLLISTLILFAIIFFSM >CRE21035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig794:11031:11952:-1 gene:WBGene00075088 transcript:CRE21035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21035 MNRSANIREEDIYKLIKPFFDEEPSIRRYESSSITRHLGKQFEYVLKKYPVHEMIFENLCSQMKPKFSSILASTSQLPRKIKWVIEHPSAFFLIPNGFTKGVGRDEYHIEIKGRASLDTDNLDYDALQNTQKLIIKNDSEMKNGWSGGKLLKIKAPQALIEGLNEVKTDEMKEFAKVCLSEWLAGERFIQKWDIELEDQKQNETLYFEKDGTTLEVSAKNDKFMMSTYKTPTEQAFQFTTL >CRE21033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig794:12669:15669:1 gene:WBGene00075089 transcript:CRE21033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21033 MLLPLSGMPKVLMKILIMFNILVFTYGQVDRDPSFQSMCEFWNGKDSYRPRDNGYKSMSGDKCSFVFEVATDTEASARRYCEVNVPYHINDAIPGERTTCNAEATLICKNQWVQMFGRCYKITKELMTRKDAGEHCEKEKATIAFLHREDLAFRINDYFKFVSRLWIDASEAITKDVIQNVQGGNLLLALDGFMYNLPNIALAIVDSSETAMVLCEYTPPMNQAESNYLLKKYGEIYHPTIVTSHSSYIRTTSSLNRNVDDETANNRYCTNVLKPFIPDGKAQAAIPTRDFLNELARKKVGGIVRTSAFSAKTTKTDRQNRQCVRNSNSIFHTYVSGLNNKGGYEPVESSEWRQNEPNEMCDGATSSTAIVLSHSKPLGLETMSDARYAPLYCQSVVDAYEYTNCPNGYQMFYRKELGQRWCHKYYNGPGVPMLNYDEAQAKCASEGAALTGYTSPEELAFLDDLLTKGNNVNRDTLIGAKRRDDCPQYGNKYSGGFSPDVNHRCSRKNVFEWKNGVAPNPPNIEADWAYPDEPNHGYDDEKCLVLLKGANSDHFRADMTKKLNDHSCTKKYHYICGMEAPIVKQ >CRE21036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig794:16223:16789:-1 gene:WBGene00075090 transcript:CRE21036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21036 MRALKTAGKHDEFAKKFAAKDAIFMGPLHDPCNAADAAKLAGSEKMAALVKSDFDVKIDDITQLGDVVIERCTITAKLPTGDKTGWSLAVWVKEGGAWKIRNSCTTFKAPIPQQ >CRE23840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1836:57:758:-1 gene:WBGene00075091 transcript:CRE23840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23840 MFDKPKPMKSRHNGRNEMQRESILKAASKLFIEKGFGGTSMHDIAELLAVTRPAIYYYFPNKESILEALTDEITREASNLTKNILDRNDLAPEELLRELIIKHAMLILTHPIQFRVVERSESSLSEKGRATAEISRKSVLSNFVHVIKMGVNAGVFQVANAHMAAFSMIGMCNWTAWWFEGDAQEASQIAQQIAELALRSVLKQQQSEFRSTSPRESLQILRDQLDILESQLP >CRE26856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig565:25368:26163:1 gene:WBGene00075093 transcript:CRE26856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26856 MANTLSHVKNLHWIVIEDGNKKIPAVENILKRTNLPYTYLPYKTIEGFPRRGWYQRTMALKFIRSNTSQILGKEHEEGVVYFGDDDNSYDIRLFTEYIRNVKTLGIWAVGLVGGSYVEAPKVVNGTVVEFNVGYLPSRTFAVDMAGFAVNLRVVMNSTAVFGFHCKERYAPETCLLEDMGLERKDIEPFGHEKEVSIINQDRDIFVWHTKTSTPNIAKENATKPAPPPETYGYFVEV >CRE17763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1670:2173:3212:1 gene:WBGene00075094 transcript:CRE17763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17763 MNLLILLVFLIQICLAQYGMYGGYGGYGGGYGGYGGYGGYGMPYGMGGPFMGNGMYGLPDAPPDFPIPLPPNPSLPNPTKPPTTESQRDCRGLPSMRSRSLCRYGNPMMNGMGGMYGAGGCMDMNPQCSVWASTGEFLMGGGNNLRDGFPGQCASNAIVMRQTCALSCGTCEFFNRLEICGTKLVLGASGLGGLGGIGNLGTSSIYSPYGGLGGLGGLGTGGLDQYPLSQFIGRSIYETGLLNRQPSPHSSKNIGIITKAKSEQLPSRR >CRE13217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1740:1222:1684:-1 gene:WBGene00075095 transcript:CRE13217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13217 MKFTFLLLCAIFGCAIAMRQQSVAVSGRLVCGNKPASGVKVKLWDEDDGPDPDDVLDEGFTNNDGTFRLEGSERELTNIDPVFKVYHDCDDGILPGQRKVKFRIPNSYISAGGRAQKTFDIGVLNLETIFPKEERDLL >CRE13215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1740:2633:3320:1 gene:WBGene00075096 transcript:CRE13215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-45 description:CRE-TTR-45 protein [Source:UniProtKB/TrEMBL;Acc:E3NT90] MFFVRIMLLLLLLLLFLLLITNILTPNDKKQLSTILSSNHKHFPEKSSFSFFSLHSVGQIFPCLKYFLISDYIMRPFLLLILLIGVVAAMRDQSIAVKGKLVCGPKPASQVRVKLWEEDSGPDPDDLLDQGYTDGNGEFNLKGGTAELTDIDPVFKVYHACDDSKLKPGLRKIRFKLPKSYITSGKVPKKTFDIGTLNLETIFAEEEREILPK >CRE13216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1740:3915:5398:1 gene:WBGene00075097 transcript:CRE13216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13216 MRVVKSAAGRSMITFVFATVFCSLMAKIMVTRYFFDYPVVILMMQSAATLFVIEVARVLGILKVAPYCFEKGRHIVIPSILYTISQWITVASFEGIAMPNFDSVKRFTPILILIGLAARCRQQKVDQNRTFIIIGLSIASAFAVNLDFSIDRYSLMYGMVGAVLQAAALILFEEHLQTYNYTEVLYMHSFNSLVFYLLADMVRDELRDAFMYMITSAHPLFIIVFAISMLSGVLFHFTAFSCLEKNGSLNMQIVSNIRAVAETFFAYYLSIYLFYDVYPGVLNWAFLAITLAAARALYSRDSEPEIVKGPWMTKA >CRE30315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1489:1619:5442:-1 gene:WBGene00075098 transcript:CRE30315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30315 MYSRCIGRQKRTFSKFLRISTQVKKHWLMEKVLWPWRVRLSLMGYRIRIICTARSLEQKVRASGSQPATIALFDGKIHVGLDDEKLQKLASSQNAVKVSTRDIAKTLIRKEVGGTTVASTMKIAHAAGISVFATGGIGGVHRGADQTFDISADLQELSMTPVCVVCSGVKSILDIPKTVEYLETHSVNCVVYGQENVFPSFFTRKSDRKAQFNTERLEEVVELIKTSKSLGLPYGTVLACPIPEKYAADGDVIQKAIDQAVREAIEQNIASQSVTPFILARVNELTQGASMATNIALLENNASIAGRLAAKLCDRRPIAISQMKKNSSIPVKPKVVSIGAAIVDFEAITSENVKDDGGSYNGHIVQRMGGVARNHAEALGRLGCDSIFISAIGDDSNGQFFRQNSEKMVRALSRFLPIPPSASFQDITRVKVITNKPTCTYLAVNVRGNVKYGIVTSEPLLSTLTPALIEKNEEALETSDFILLDSNLPVPLMTKVLEIAKKHEKQVWLEPTDIDKVKKVFATGLVDAVTATSPNANEFLEWAKLCQVAVDPSVVNSADSVLELIEKEKTRLLLNTSLFVVTLSNKGSAVVYRNNLGQLEFQSLPPPIQMDKIVSVSGAGDSFNSGVIAGLTHNKTVVESLRIGQECARLTLQTTLATSEAINSQMLK >CRE20966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:53772:54171:1 gene:WBGene00075099 transcript:CRE20966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20966 MQNHVLPGKSSEEATGGLMAPRSSRRPSSAHGQGEEEELRMRTSSSGEQTRNRRHRLSNEMRSMTLDDSR >CRE05352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1772:2094:4897:1 gene:WBGene00075100 transcript:CRE05352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05352 MDPLDLVINSVVSPAQLLDNVIDSVVSPKPPVEKPVRYCLICGDKSSGCHYGVLTCEGCKKFFCRAYDKEYQCRYKTPCAITPKTRNDCKACRLKKCREVGMHKQSQPPKPASNRPQPIDTIQQSLLPAINNVSLQPANKSPQPIDTIQQLLEPANNSQQPFNPTQKEKFEFAWITQHIHQFHLPTYGYSNERMMMMTIKDVELKTNTETLQHFINEIDSDIKSFTRFTRNVPILNDLSSKDKIILLKRHAFSIYLVRSAPAFTDCGFLLRNGGIIAWEKFHKLFYGGLGIKMKSFATAIELMHFSEAELGVFLMLIMLQPILMKDVVKTGFDNVFMLIEHYALISRTLYYKLSTRDQEDRLFDRIQGLLEQVNTISEFYYHDTCLTTSVWFRDRFEKLLEKCLEKFLEKVSRNFPINFLDTFSILSREIYRDVSRESIETFLITKVSILSRYFSRKILVSRPGVSIISR >CRE17762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1670:315:1372:1 gene:WBGene00075101 transcript:CRE17762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17762 MSDQMIIVVTPTYKRLTRIADMIRMANTLSHVKNLHWIVIEDGNKKIPAVENILKRTNLPYTYLPFKTIEGYPKRGWYQRTMALKFIRSNTSQILGKEHKEGVVYFGDDDNSYDIRLFTEYIRNVKTLGIWAVGLVGGGYVEAPKVVNGTVPEFNVGYLPSRTFAVDMAGFAVNLRVVMNSTAVFGLHCKERYAPETCLLEDMGLERKDIEPFGWEGEKDREILVWHTKTSTPNFPKAEKNATKPAPPPETYGYFVEV >CRE20667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3314:1227:1562:-1 gene:WBGene00075102 transcript:CRE20667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20667 MAGFAVNLKVVLNSDAVFGTSCKRGGGAPETCLLEDMGLEREDIEPFGHEKDKDREIFVWHTKTSTPNIAQAKKNANSTKPAPPPETYGYFVEV >CRE09939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1979:81:1775:1 gene:WBGene00075104 transcript:CRE09939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09939 MSFSERLINSISIPIMNTFLPKREFKSFRPPYSFIEMASMEPLSTFIFTNSNSYIDYPRPTLEKNVQIGGISVQIEKLRNMKVDEEWDRILNMRSKTVLISFGSFMLSKDMPLEYKKALARAMATFPEVTFIWKYESDDSTTFSKGIENIHFSKWIPQTALLGVLMLKFPNFLFPFPGDSRLSAFFTHGGLGSVNEVSYLGKPTILCPIFADQMRNAKMLARHNGSIEISKYELADSEKIEEVFRIILFDTSYRLASETLALQLANQPVKPKELLVRHAEFAAQFGRLPSLDPYSRQISFIEYFLIDLAFVGLISLLLSSLVLFLITKRTFSFIRFIFSSAVKLKID >CRE25788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:75865:78539:-1 gene:WBGene00075105 transcript:CRE25788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25788 MITADTLKAPFFSATRCSTDFVPAYKSFLHRTRFLLLLLVIVLNAVVYSNTILINFVVICMSEENLERVLNGSHSDYEILLREAITSNMKEFRSSWFTFNGLQRSIIFMMAPLGALVAIYPTWKLIMKHGYRRVCSITCLISTLLCAFLPWAIFYGFPFVITVQFLLGATAPSALLLVPSVIRKWSTRKNDHFCFLVLSTFQQISPSTLYPISAYIAKSFLGWPVTFYFQSIVSFIVTITFFYFYKETPVRHANVSDNELEKIQKSEAIRGDLDYKKMFLCRQYLSVSFSVFTYFFTISFFIQYLPSYLYDIMDQSLEKTAWTVSLIMIVHLVLKVFISRIFEKNPPLTNIYSIKFISFASFTGSTVIMITLFVTKFHFDIQLILYAAIFAFLSLSWPSIFKSSNIISNKYYLPVMVRTHIIMFYFASLMSNVLPIIFGRRDSWDSWRFIWLGISALLVLSVVFFWMTFRFEKSDWDVVIPIEPPQPPRPEDIAPVSHPRPCRYTTSFDDEIVVSIISRGLDRCDTCKKHGIDARVHPKDEDEVIPTRQMFDRLTQERQEERSSITRF >CRE15833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1426:7156:10607:1 gene:WBGene00075106 transcript:CRE15833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15833 MLVASSENIGLCPMSFTDSSNQMIFERLQYQRSTGRFCDVELVVSTRSFAAHRNILAAHSPYFDAILKSCKVTKEQITIVSKYPQVFELCLNYMYSGSVVIDRASVSELLRFANDLMMVKLKNYCAEYLDRYLDAANCLSIRSLAQRYNLPGLIKSATDYFDSNLNRCLLESRDIIAYTYTQLTRLIGDPKYSDCITADTYLKLIVRWVGEDIGKREEIFRLLLESCEFREVSADTLEFLLDYSPLLSKSQKSRFLLLHTIDESIPWIDEDEIDGVYATCSLEGCHTYGPVDNLDPDDCEDEEDQPDEDGPSMCLFCGLRTANEEVGD >CRE16541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1345:1537:4036:1 gene:WBGene00075107 transcript:CRE16541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16541 MGRMDQVNPYCEALYFTAKFHFQMVKGVCLGIRSGKLGNVDCNQLDVSHVETQKVYCRYYNCLREEISNSSYQSIFFPMNVIRCPRRIGVKYMSISFNSEEIPQEPIPLIYRVFETPIHEVSVCVGSIYGSESKWLEVAEFIEHYKLIGNRRNRVDRDPIRIQNNRLAVYLLQINECHQRSKHHSKWVINVDIDERLFILNDKIKSVGSLLSGYNDTVAEVGFAIRRIQKTEKLPEKYESDEQIISEMEFLKYNVSSPVTWGAYKTIYRPEKIAAMYYHWVYQKYPGTVAEYVKSEVALFRHYRTTEKNILGSGWLTDPNYKNFSIVPEETKFAEKLKENVLKKIKYVYDQRVLYCEEIAQIPYEEYKEFGHDIFNCTF >CRE13200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1420:994:3695:-1 gene:WBGene00075109 transcript:CRE13200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13200 MTKTGKMHLTFPEEKPTKSSKSGGGGGMFSWLPCCAITSKEKNAPTERMLRANDREILVTDKPVAPVAPVYSPPQQGYNDKPSEEKPTKSSKSGGGGGMFSWLPCCASTSKEKNAPTERRLRANDREYNAQFKYADNLIKTSKYNIITFIPQNLLEQFQRIANFYFLVLMILQFIPQISSISWYSTAVPLVIVLAFSAIKDGYDDVQRHVSDRNVNGRKSYVVRNGSLCEEDWSNVKVGDVIRMMSNQFVAADLLLLSTSEPYGVCFIETMELDGETNLKNRGAMSCTQVMGDDLDGITRFDGEVVCEPPNNKLDKFQGKLIWNNQEYGITNDNILLRGCILKNTRWCYGVVVFAGKDTKLMMNSGKTKFKRTSLDRFLNILIVGIVLFLIAMCLICTILCAVWEYQTGRYFTVYLPWDDVVPNPEQRG >CRE20650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1834:2953:3564:1 gene:WBGene00075115 transcript:CRE20650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20650 MPLFGSKKNDKKDKSNEAPSYPKQAAVPPPQVAHYPMTTTYPQGTFVQQNEQFYFTSAGHQLQSAPNATTVAFARSDLPGAYDNFCEGFLDGPDFSNRVPDRNIPPAPGSYYFYSHGAGPATSCVPPYTGSMPGPSSAPPPAPPTSSSGNLPPPPTYEQVLSQEVKEKL >CRE20649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1834:950:2599:1 gene:WBGene00075116 transcript:CRE20649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20649 MYTFQKKIEVDFNGEKSLINGADVFEEIDVQELESKILHPLLKSGASFEAVLSRIIEWKGYLQPRSECLYSELDSKIKEEFEHIRVTLPQPFDEYQWQELETITEIDLNKTPISINGIPTSTITICNSLRILLKDLNVNVRSVEVFPFEVDSFGLPPINCKKIWNPLIWLRLEIEKKIKEFCQNDLPIINQLVTSLDLAPKEGHFEKLAVTSFFLEKTADNLDYSKSDNKDKFMSDLQMMFGTTKVDELTMVLPDILMAYSEELVERVMQVSYFIPFELFRLMFLYWQPKVLKLRLRSEACSSIEDAARIEWDDEDVFTSTTLFNCPLFDRCEPVSLGDESEILECSLIVETTGSRLFDPIYNEKNFDTVGRDFLILFPMHTILIKKTVTLKSGASVKTQLKQQIDFIVNTFFCNAEEHQERVVHYELVFANSREVQMSVVRKCIPQTANKRKIGVNYNVEQDTKSLFNKYGSSPEGKYLFVSFFDIENQVIVDVKIILTS >CRE18129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:42237:44416:-1 gene:WBGene00075119 transcript:CRE18129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18129 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3N329] MADSTWNFTVFLILFHLVSSQFNGPPISGNSGIPPKPPAETSNTTVDVQINTGNVTATVRGLQFSYGKSYRGIPYAQPPIGKLRFDYAHRKDPSGIVKALEYGAACSQKPMKMDFEGKKSAEDCLFINVFTPLNVTKDSQLPVYMFYHYGGHVGGNGNLDEGIFPNLVNRGPIIMVSMNYRVGPFGFFTTRDSTASGNWATSDWIESLNWVNRYISFFGGDPKRITIGGQSAGAESVSAITMTPLSKLLYNQVIQESGSVFDATIMSYSEKTRNSSEYLTIALNCSSKKQWEDRNMFTTILACLRNRTSSEIMAADDSLPDHRSKWSLVEDDKYFRESLESLAMKRDNSIKVLIGNVNSEWIFFEDRSYMTTNVNNSRNTASRIEKDLAASYEISYYSNPKQVLSAAENALINRNGISENDHVGWEAKRLQLWSEMVFIGPVLRDASFYRTTGNTVYLYSLDWLSSNALLDVTERRLRGVSHGTELTYLFETSCQFYNCTSGDNLLRQYISTTWVNFIKLGNPSPSGSSLPFRWLPMDRSNRFLSFSPTPKMESNYHSNSSFWACIAPQIDGYNGPFCQDF >CRE18099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:41792:42106:1 gene:WBGene00075120 transcript:CRE18099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18099 MSEEKIEVKETDMEDSQRDMVISVVREAQRLYNIDKDVAAFVKEELDKKFGATWHVICGKCFGSRVSYEMGHFILLKCNKVNVMIYKCGY >CRE18098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:38141:38368:1 gene:WBGene00075121 transcript:CRE18098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18098 MIKFWLKRVXXXXILDMDRSAAIAILYKVSDKGWDASLPRDPIEILKVIEDLARMH >CRE14428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1662:936:7600:1 gene:WBGene00075123 transcript:CRE14428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14428 MRSAAAKRVVRAAGRGIAGLAAVTLGVTLAPPDALALEVASAQATTREVILDARRNAAAARTPGEAQKQEGDEKNREPLAEMQLAAGRSATLEADSIGVTAEFSGYQVPGKLDVTMAPAPAGAAESAAAEVDGKPIGKAVEITAVTNEEKVVTKFPAEVETVSDEHGVESAVDVTPGITLGFDVDPAQVKKAGADPSTLRIYTREAEGDPWQELPSYYDRTSKRVVGESDHLSQFVVIGKKFVPPPGPRIVLDPDDDYGWAETPSPASELPYNVALSNMAAAKLSQACLAPVVVTRQADVRFVSGSTRAAIAKSFNPVITVTVAFDAVSGSAWGTFHVFRFREEIIHNSCDFIFWRQWQNRYFFVEIPLELFRRWDISTLTLFVDYLDGRLSRIGAGWSFELGGRAQRFDDGSVLVVRGDGASYVFTSNGAGTYSSEAGLGLTLRNAAGGILELRSDAGELWRYDAGDEEGIGELISHTDRQGNTTRLSYGPANPDVHQFVPLTSITDAAGQTVRVENDGVGRITALVHPDGRRWKLSYDGSGNLAAITGPDGGVRAYSYDGSHRMLTATDALGVTYLKNAYDGSGRVVKQWDADGNLRTFSYGNGVTTYTDAEGKIATFTWDQGKRITRVQDAAGGDTRFSYDSAGRTTKTTDADGTTSYTYDSRGNVASETKPDGSVWKYTWTPNGELASETDPLGRTTTHAVDSRGLRTRTTQADGSVLNYSYTAAGDLATVTSPSGAVERFGYDARGNLTQRTSAAGRATKYGYDSANRMVTETDPMGGTTTYRYDAGDRIVGMTDPMGRTTSYTYDRNGQPLTETAPDGGVTKFSWDKQTRMATVTDPEGGVTKYAYNTEDALTSTTDPMGGITKYRVDPMGRATEVIDPIGGKWATELDAAGRSTSKSDPLGRKSRTGYDKLGRIVSETDAEGGEWKFTYDAVGNLTQTLDPEGGKTQYRFDKLDNLVEVVDPDKRATRYEYDADGHEIAEINPTGSATGYRVDADGVVLEMTNALGHTSTFEYDPAGMLVLQTDPLGNTTEFEYDAAGQLTVQRDPKGGETRYEYDAAGRIIATTDPEGSTRRAQYDKAGRVVATVDALGASTRFVYDQAGRQTQTIDPEGRTVSYRYDEAGQLEQVVEGDDTLSSTTGYTYSAAGELSQVTDPRGGRTLFEYDRAGRMTRRTDPGGVASYVSYDRNGRVTSESNGAGQTRKLTYTPGSLLSASSDPSGRTAFEYDGAGRMILMKDPEGVTAWKYDKLGRVLSETSNQNRTTKATYDAGDRVTSLTLPTGKQVEYRYDRLGQIVAQNTPWGDLSYSWRADGVLEDITRGDGVRTTITSDAEQRPLEITHAEPVPKTKKTPSAAGEVSRPKKTPAACPVDGAATYLEKRTLVNLEGEDEQCVKTADYLDRRTPPAPKDPVGDGGALRYQYSYGPSGFTKTAKREILGATPTADERGGADSGNAHPRPVIESQTQEFDYDVLGRLSKSTTAEVRPGEATRGKPAAETQMSTVFGYDANGNRTTATTTTSAGSAKLNQKFDAGNRLTSQTASGGENPGTRTYSYDGAGRRTSERGAGAPEADYSYAWGNTPTSVRTGERTTTTDYDGLGRATSQTTKTRFGSDKVSQAFFEGAQVDRVSSQHGTNETLWDATGAVAGIGTDTDDEARWALLDGLGSVVAEATGPTAGDISQLAGYSDYGVPSFDSSGYAQSRGYTGEVQDGATGITSFATRDYDPNGATWLAPDAWPGLLVAPATLNGYAYVLGNPTTFVDESGFRAVVPRIVNPFAWASKIAAAAAARAAAAAVRAAAMAARVVINTRNALAARYVAQRVVGQANAYVRAQRVVIGARSAISLSSAFTMVRHLTARRTCFSSFSMAVSCLSISHRTNLTTMGILGNWLLGNPNDAKYGPNSAFTQLIREHSSTAQYRDRIAGWLKMGVPPQKWKGGGYDAGGFSPSNKNLWRDIGTLVSWPAANDQSKMLVTLGTYNLNAKVLKMNPGSRTAKVRFSATNDTTLGSLMRTGIHGMYEKLNVWAGEHGPLSRYGQTFYWEETIRW >CRE23836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1716:7650:8223:1 gene:WBGene00075125 transcript:CRE23836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23836 MFYNMFCHFFLLLLMMILVGSAYSKGFSKQISDSGRLEGQIIEEKVPCEMPAITPQLPTRIRSKIELIWQHVEKNGNCWIEMAQTRNVLLRLTSAEKSVLLRKSRKECKVPSVVHALPQKYQRKITDIWEKNINEKVKKEKDCWEQQRKTR >CRE23835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1716:2923:4341:1 gene:WBGene00075126 transcript:CRE23835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23835 MVVFCLPLLFLLVPSSLASLPDLGYPGWQCDASLYQKSQKVPTSAHSVRFSDIKIIGALGDSLTAANGAGAPKGDPLAVILQYRGLAFQIGGDSSLDEHVTVANVMKKFSPNIMGYSTGIGSANVWEVSKLNQAVPGAQAADIITQARALVQIMHSHKDVHKQDIDIKNDWKLINVFIGANDMCRYCEEKENVSGEEREIIEMIFGLQGVHSKANWKQNVITAIQILKDNLPRTIVSMTGMFDMRMLRQIDHDKYFCDGLHVFECPCEKKTSFTNDDVSQACHLYMDAQQEIQDSGIFDTTDDFTFVLQPFFNGITIPPLKPDGEVNLDWFAPDCFHFSRLGHANVAKHLWNNIVQPVGLKNHKVDLSDPTIPLNCPDTSCPFIRTTKNSVDCSKYLTN >CRE23834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1716:1486:2497:1 gene:WBGene00075127 transcript:CRE23834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23834 MSRVSMEARDQFGRYCQAQCMNSTSERYQVCQPFLNISLNSEISSPVSFTHSISSRTFLNLDLSVHPRQMHPEMPYIVFVCSRKLVTSAMIKQAAEVVRAPTSTENYVFFRVAVFREETSNYQITNNMFITFNNCNFLRNKLIFQIEVSPYSDVGPIFSSSIEKAASAFDPNIVYVQAPNPELHKEGVRVRVSILSNNNRVQCQIKCTEKDGSMHECNGDVDLHSDSTLSQEDVFTSDPHSLPVLGWNMKGHPSFWRHKMPFLSFHC >CRE13865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2941:2170:2801:-1 gene:WBGene00075128 transcript:CRE13865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13865 MSDLLSDPVLVFQRKHYFPLVILSCFVLPTIIPVYFWGETAFIAFYTAATFRYCFTLHATWCINSAAHYFGYKPYDHSVSPVENVFTTIAAVGEGGHNFHHTFPQDYRTSEYSLKYNWTRVLIDVAATLGLVYDRKTVADEIIDRQVNNHGCEIQRGKSMM >CRE09932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1819:1515:1852:-1 gene:WBGene00075129 transcript:CRE09932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09932 MKTAALLGLLLTLSTTAFAFDPQATHTLDPAIPTVAPHTTDSSADSCAGQCAFQFMDNIRSQIGQDRASSLMQLNFNDFLTSFSNTTFFEKFCK >CRE30641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3727:1422:1899:-1 gene:WBGene00075132 transcript:CRE30641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30641 MFWCSDVEPLYSVDTAMIPKNPQIGSAANYEVKQDEEEVMMMPHMDDVDIYDFESTNHLIPRKTFSVISIQRPFGSRASLASRKRSMMFDQLRGRMAKKQNKSNMFQNSASQATLHYNFESQAPSEVS >CRE26905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1765:3754:4979:1 gene:WBGene00075133 transcript:CRE26905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26905 MTSPSPLFRLLFWIISVFFQAIKSFLVLNGLLPPPHFPLLHVPSVPLRRIIDFMESDSLVLLSFCSRKSHSVIKTQRRAPFAGRLCVSEYRNFLSYITFQKRSCVLSVSSITSEESEEMEYVRINRQYVGVYRSNGNLVLHWHGNTGGLRNTEDKLNGLKAITNYVTNLFNIDVSEVCVFKDAIKMIEWVNRRQKTPLKKVVNIAWGVIPSEVLIYILRDCTTLSEISIHAEAAPNFRFSGNFRNIDCLDIWHGKWVTIDNLLTMDGIVISLEKSTLTNTDLNVFLRHWLSGGCPRLKLFSATTGDVDILQVLHGLMHNAVLVENRRDYTSPFGHQWTLWNGYDIKRSDGVTATVHYQPLGATGALVIAVWPEASDN >CRE17586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:125661:127092:1 gene:WBGene00075134 transcript:CRE17586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17586 MATVRFDTKQEEKIEYHTNYTNLKYYLFAHKPDPSLGYGNKTTGSNLYNILKKFLNNGKVSICGALVLIAVKRYPDESDVSDIISQLRANHVIVYIAVDSISSGGSNSASLYEVSFQTNGICVFATGSDFSNGFDNIFRVLDSPYQFIAQNFLVSGSGRIEIPAFKTPIPPGYSDWCKVAITVQNHTLDNSFVSINYTVESTDGFVAYKFPSKNAVPLFGTAQTDIIPLNGSLSYKWTINYYYNTNVPQIIECRMYSY >CRE09947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2499:3755:4952:-1 gene:WBGene00075138 transcript:CRE09947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09947 MEPPRPLKSFPILRLPFLVIKEVFKTMNPFEIINFSMISKRAKTITKKKTFYLEYAIHFCVQKPLEIKIHGTNNLVSGHYLMTSDKQKDGKTLEDERDGFITRKVFKYSMDSIDEWKQLCKYVLEIFNKQTIDVLTVFMDVFVDQKISIIDFLKTNVKSVNECNLFQLGENNNVDEHAKYLLENIKITNKVISWLHIKNGDFAGKFPKNLKELYLLHSEWMGYEKLLEIDSVQVILGGNGISNMGWNSFIKKWIAMETHLNLELLDIDFKSLEQFRALVLYDIPHEVVDGSVKRVLKTTDNETEEISGGVDIRRIDGKTATFVARDFEFLMSVH >CRE09946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2499:1341:2380:1 gene:WBGene00075139 transcript:CRE09946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09946 MKPLKELPILCLPFRAMKEISKGMHSIIKMMSNRKNNKFPILRLPFLAIEEIFKTMHPIEIINFSMISKRTRTVAKSMSFYSKYSIDLSVANSTLHIGLYGTKESVSCTYVMTSDEKMNGKSEGKVEKWKQLCIRLLKTFNKQTIDILFMQMDADVDNSVSFIDFLKTNVKSVNECYLHQWDHQYNVDEDFAYLLNNITINSTLSFFLNIKNEKFDGKIPKNLNNLYIKNSEWIGYKRLLEIDCKSVILEKNRISDEQWNLFIKKWIAMETHLNLEYLHPRRQRFRKIQSTRIA >CRE15219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1747:1068:6964:-1 gene:WBGene00075141 transcript:CRE15219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15219 description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:E3NT96] GGRQIALIAFLQFFSYVILLNTVVPISLYVSVKIIRFIHSLWINYDTKMYYENGEKSVPAKAHTTTLNEELGQVQYVFSDKTGTLTQNIMTFNKCTINGISYGDVYDNKGEIVEPSDRTPSLDFSWNSSSESTFKFYDKNLMDATKRQVQEIDLFWRLLALCHTVMPERDKGQLVYQAQSPDEHALTSAARNFGYVFRARTPQSITIEVMGKEETHDLLSILDFNNDRKRMSVIVRGSDGKIRLYCKGADMMIMQRIHPSTSQIMRTSTNTHLADFANIGLRTLCLAYKDIDPGYFSDWEERVKKAGTAMQNREAGIDALYEEMERDLILIGATAIEDKLQDGVPEAIARLSEANIKIWVLTGDKTETAINIAYSCRLLTDETKEIVVVDGQTESEVEVQLKDTRNTFEQILALPSPGGVGSKPRIEIETIHEDSDIVSSARSMDRNIVTPDLKSAEMAEQDSGGVALVINGDSLAFALGPRLERTFLEVACMCNAVICCRVTPLQKAQVVDLVKRNKKAVTLSIGDGANDVSMIKTAHIGVGISGQEGMQAVLASDYSIGQFKYLERLLLVHGRWSYIRMAKFLRYFFYKNFAFTLTMFWYSFFCGYSAQTVFDAILIACYNLFFTALPVLAMGSLDQDVDDHYSLRYPKLYLPGQFNLFFNMRIFIYSVLHGMFSSLVIFFIPYGAFYNAAASSGKDLDDYSSLAFTTFTALVVVVTGQIAFDTAYWTAISHFVIWGSLVLYFFVCFLLYEWLPVSWIVKTSSSISFGVVYRTMVTPHFWFSLLMVSVVLLLPVMLNRFFWLDTHPSFADRLRIRRKMGKKPSTKDDKKTAFKRTAATRRSVRGSLRSGYAFSHSQGFGELILKGKLFKNVENLRGKNNSGSKIHPTSDDYQPILVSSVPESSEGSSSGAPSMHLPIGKHPENVPHTLNVNTEDWSHSDFRPSYAKEPSPLQGTVIRGDGRRQRAISRETQV >CRE21100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2433:5:4350:-1 gene:WBGene00075142 transcript:CRE21100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21100 MAKYRVQNPATGEIVETFESATDAQVEQQLAAADTAYREWRERSVQERAAVVKRVAEIFAERREELAEIIALEMGKSIAESLDEVDFATAIIDYYAVYGPGLITDYEIPSTIPGKAVMEHLPLGVLLGVMPWNFPYYQVARFAAPNLVLGNTIILKHADICAKSNLVIQEIMEQAGVPVGGYQAAFVSHDQIATMIADPRVQGVSLTGSERAGAIIGAQAGTHLKKCVLELGGIDPMVVLDSDDVAGVAKAAWDFRTYNAGQVCNSNKRLIVMADIYDEFVAELVKLAEGLTPGDQLDLGEGEYVPLSTRAAAETVHAQVQQAVSEGARVLAGGVLSEGPAAYYSPTVDGRRAPRVRLVPRGDPRARGDKRDALTRDAIAFAESIGYENAGTVEFLLDTEGERSGEHVFIEMNPRIQVEHTVTEEVTDVDLVQAQMRIAAGATLEELGLTQDRIQLRGAALQCRITTEDPANGFRPDLGRITAYRSPGGGGVRLDGGTINPGAHISPHFDSMLAKLTCRGRDFAGAVGRAERALAEFRIRGVATNIPFLQAVLADPAFRAGDVSTSFIEERPELLTLNKPKDRATRVLQYLADVTVNQPNGARPGAIEPRVKLPVVDLDAPAPAGYRQRLLELGPEGYAKSLREQTALAVTETTFRDAHQSLLATRVRTRDLLAVAPHVARLTPQLWSVEAWGGATYDVALRFLGEDPWERLAALREALPNVPIQMLLRGQNTVGYTPYPESVARAFVREAAATGVDVFRIFDALNDVKQMRVAIDAVRESGTAVAEVAMAYTGNLLDPNEDKYTLDYYLGLADEIVGAGAHVLAIKDMAGLLRPAAAAKLVRALRERFDLPVHLHTHDTPGGQLATLLAASEAGVDAVDAASAPMSGTTSQPSLSALVAALADTERDTGLSQDAVFALEPYWDAVRTLYKPFESGLPSPTGRVYAHEIPGGQLSNLRQQAIALGLSENFEKIEDM >CRE09910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1019:2586:7261:-1 gene:WBGene00075143 transcript:CRE09910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09910 MASACASRLTSVIRTTSVRGFHDRSSVPRVVDDREKSTAKYTDAGYTFRYHQQGVDPLPRIPDCKVPVARPNYKVRDQWSDEAARFGQNDYIDLLGDGSVHPAQLQYHTPTWLRGFPGQHKANELIKLIHYRNLYDSKLKQNSPKRWHELRKRIKYLMMQHNYNKQMAATSSAPHQMQVRVTFYTSHNPQSVLMILKNDQALEQITEKARELFNSADYRLFYGDVNGPIYEFTDSEQVMSKIRITTFSRTPQLFVRLESGSTCSSSNKKSSKGDRESKSSAALKRIEQNQELTLKNIEKLQNEIERVSVLQTLADLNSMEPKRAPVAAEKVNRPFPPVKHSASCDACLGDIVGHRYKCLECADYDLCEKCEKNSVHFEHAMVRIVHPNKTKIPAYVTNNSPNNVFPSYMQRPCLPFLSGAELRAVDSNVWKGASRTTRSTETSVFPPVVPTPVQAPTSTPAAAPVTGPEARFFNDETCAALINSASILKDTFSTLSKSFMDLADGAQANMEKKIIERSTMEAGVKKVEEKVDNLKRHCEEKCFGSNSQDAEKSEDSSKKSSESSSGPKIKKAKKKTVYKRTSEKLVASTLAAAAEEQSKSREEAKKRAEASTAELQRKLAELNLKPIPKDDLVTTPIPFPPSPATSNSLEALMRAILSTSQTRVAEPVVTPTAPNQPIPSAPAPISFTPVVPAPIVIEPVVPTAPIVETLIVPPLPTAVPMPGEDVHQPTSPISIHSSFENISSDFESLSPSWQYQEMELPQHQENVEDDLLEFSDVVETPEENIPEPSQPSSVSVYDSETEKTFDRLLEMGFDYNVVVNAVKTNGSDLQKCLIDLLQ >CRE09907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1019:373:2363:1 gene:WBGene00075144 transcript:CRE09907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09907 MGILMGFLEFEEVDSLLEKHKSALIMRLSFVTGGTICFTVKSLAHTLDARATRPIHLEPLDLKRLQQKCLRDYLRDIADAEKVKYLVTADHEVMRIDDMLEELKDLGGKPDSPSESREISSNITHMGDIDTMQHIKFTAMRIAVVTCKVKPPSADQEENDALRNRLSATFGTRDDEFLREFVTLSNLYGKSKQDLIDALDSIHDKFQPGPVRKTSSVYDATRQIPLVPAPISHNMGPPMISPPPAKVRPLSDSNKSQQFPLQLVRAELPQPSGAMMRVSCSPPKPSSQLPSPPLPQTALPHMFNNGVSMIYDHHQPHFQF >CRE15146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1762:5512:6390:-1 gene:WBGene00075147 transcript:CRE15146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15146 MCSRLGLPEPEPPIKKTVIGFGNASVKIAGSRVITFTIGSYRINHRVHITAEPLGDYDFLLGIDLLSRLPNIGFDLREAKMSIGKDVLPLGERAKCQECQRRSLENKTLKVQKSSWSEEAHEGTYTDFVIVDSWAEEMESSQVQEAVESKPSKLTKRPKLQSTPKRKGTCHYCKEEGHFARECHKKAKLVASKKDQRPNPPTILDRGEDISSSHTQLAQEIETLRKQVEELFTLNRKLISERFTSCEHTSAAPQRSTEVEKTEVEVEKTEEEQNVTSSGHCDQEQKDRQSSM >CRE27982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1644:42:2809:-1 gene:WBGene00075148 transcript:CRE27982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27982 MHIDDKLLNKIKSWTLDISAFFAISINTLLIILIITKSPKSIGAYKHLMIHIAVLELTYAIAYVAEKPDVFIKDSGFLIITNTKESLFPVTLSIFLDVVFIGFYGLSISLLAVHFIYRYLAITTSESLNSFSNWKLILWLLFPILNAGIWIMAGAVIFAATEDSDRFVKQFYLPTKKNGTKFEDLYYGGPFYYLTNRNGEVYINWIAFKGTGIVLTLIILSFLTMLYFGLKGYDTMKELMKVTSVSQKYKNLQSQLFNALVFQTIIPVFLMHIPATAIYFSIFINSSTEILGEILSFSIAMYPALNPLPTIFIVKSYKQAVTGEYERL >CRE25989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig966:12820:15793:-1 gene:WBGene00075151 transcript:CRE25989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25989 MSAPLLTDIAVQALAEYVCNDDYNKINQTNKIIHPDLSNEIFSRALLSRKQSIEMILRRFSNNFFLTNVDLSDCQCDDSVVSMLRNLSLVSLTIGQLEDFRNHYHYGIYIDMARILKMALNPKSSSKLRCLDISGDEKLYRGWVWKIFPLIPSLESLTIAGRSITGEDFNNLCRFFSNLRLLDVSNTAFPSIRPIAQLKNLKILILRDIEFKSHHQWKSIAQLTMLQVLDVSKLGKYSKRGLMMLKYLHSRIVLPQLVHMDCTGTDLDLGTLQQIMKTHKNLKSVATVGTLLSRSIVDNIDLLNVATPKSTEEALRHYIKLKRKESILMILEDVNEIWEYENDDMRRTSAFIRLILDIKRMFPWDCQVDHATNFSLSVLATEGRLEMLPQHEIFEMIDQLLVSLDCHFNESAWILLEQPHILEICRARADEVCTMALECIMNVVIPPFEYTLLPTFVIFGTLVRQMSLEKLDKIGRNWDIMNELWQLLLCWIDLRSNLMVEWCLQVLIGITERSESARNILVELGAFEELFEALRREDRVSKMPYLLITQLLRYLSVASEVCIFVRRVERWDLTEFAALLVCNNEEFDEDTQLYYNAEVSYNVAATLSTLLVQVKYTHKVFKQHDNPANRLCRYVRTIPINRVNQSLNIYLSSKHLEFFLRHPRNSGQVIWSLRTIRRMKQLDMDVSKYLQDVRGYRSSDPDLVRERTRTLEMIEE >CRE05372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3292:49:2566:1 gene:WBGene00075152 transcript:CRE05372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05372 GTIAEGIIKEMLANGGIITIDDLRNYETHVTETLYADLGKYRMCGPPPPSSWTITQAIPRIVEMQYRDKKMFNDAEFYHTLIEAQKLAYGQRGHLGDYLFSEVSMQLAKNLTDRKFIKFLSKRVMSQSQDLEYYLAAAPAVLDSGTSQISVVDDDGNAVSLTSSINTAFGSKMLSKYGFIYNNQMDDFSTPGFRNHWGFEPTEANFIQPGRRPMSSMSPTIVFDPKSGEVKMVAGGTGGSKIISAVAQTLVRGLLLGQSAAEIVEMPRVHNQLTPFETEVEEDFSEKILEQLEKEHNQKMEKTEEALAIVYPITRDGDEYTVAADYRRESGNSPAGY >CRE30307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1209:1468:2882:-1 gene:WBGene00075153 transcript:CRE30307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30307 MTRDSSNCILTILLLFFLLFISITNYFSFRNIELYLTSSTNSDPFDVVALYQQESIKQLRKNALLTAENDRKLLFNASRLTNNQDFYRKVVEEAYCAQKERIGEKGDGGKYVCNPKKFIIMPEFLTINFRLISLGLNNQIGFDKHIYEATGRQCKILGADIYQQEQPTRDAYEKMNGELFAAKIPNDLTIPQMMEKTGRIDVEFLKIDIEKGEFTALEPLIKDYFVCQIFIEIHGLPSDHLRMLQIIAKYGFRIFNVDENLLCPLCCEYSMINELCMAQFEVVPLAITIPQLNS >CRE09971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:53646:59718:1 gene:WBGene00075157 transcript:CRE09971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09971 MRDHILLRGNSESAYGSEESSNATFNLQKDRFQIEESTSKQEIWYEWIKQRVRRHMILELFVLICIVLVISKLHQSLSQNERNHEFVRRNRAIYDLFFIFQISNIQSELKTFKLDIESKIQSNREDEKYDEEVIEDFESSSAGKINKLKKIPEHPFRDQKNSLREFPGNQMNAASLILGATVDSSRSSSSDNNPFFGRDQSGYVLIDRFDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGIVPDGAPRRYDVFKLEELVEEVIKDIKNPSIEINQKSKEYPKQVIPNEVNSSPNNSVFQINAASLILGATVDSSRSSNSDNNPLIGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGIVPDGAPNRYDVLACLDYYCNNLEPLVSNCEYKATSDNKQEQFCSIPFNKNHSSIGKIQFHFRQNHGNVMKTCAHSIRVYGETKEVPKVKERTLKQAETCSELTYDYHHKSWTYNMFDYKNCTVLYSNDCCTECPECCNECLIQDTNIETIVFSILLIIFSVILITVIFTLIAIIIEISFSKRK >CRE30297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1009:5779:7222:-1 gene:WBGene00075158 transcript:CRE30297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30297 MNRLNIEEEEEENNTKSAPRMWYQWLEYRLRYYMVLEGIIIIILFFSLSNYHDLASRNMELNARLEIQIDNLEKRLDEIYELLKTNSVPKPEKNEILKNIREESIRPAEVKTNEKLIEKSNSFPITSLNYSRFEMNAANILMGASVDLALSSSSVSSEDGFFNNFFYPFTRDQSGYILLDREELPPNKSWCSEEKQPVLAINLAKNTEVLYVSYQHSKWNGLIPDGAPKKYNVLACLDSKCEHLKHLATNCEYEKSVNGQDIQEQFCRISSDSVAPPVRKVQFHFLENHGNVKKTCIYSVRVFGIRRNLFRTELKKLQDKKKCEELAWNHKHSSLVYSWQEKNCTLLYSMECCSDCPECCSECKMKDFNYMFFGETTLALIFLLISILAVIWAVVGMLKNLKASSVNV >CRE09900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig859:13301:14222:1 gene:WBGene00075159 transcript:CRE09900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09900 MNSSKVHNVAMNFEVDQEELTIKELVGKDGNLEEIIEQAETGLEDGFLDYFLEDGAASEDPLCHLLDQICTEDVVEKDNKENEDHFGNGLHGQQAYNALAFGQYSNQQAASTIGPIRQTEKKRSISKPYEIATPGHAAVIRDITAEELKNRLDTPVAEAIDTKRTVGDVKNWLNKAGINQTTFAEKVLEKTQGHFSVISRNPAPWEELLTPGRAVFVRMHNWMKLSDEEKMKILSVEKVSVKNDLQEKKKKTRFTFSEEQMEVLMAIYEVNDRPGKELIEEL >CRE16985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:310136:311409:-1 gene:WBGene00075160 transcript:CRE16985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16985 MYFNDPGNTYQKHLQSRVDDTFPEDFLDDSPCSCGRDSENHYQNENVRDGSEIDRINFNVFSIGGSPKRKRKPLEAVNREGALDNRNFYQKTHIPRPKSPIQPILPRNTSKLKSTPKKIAHPTPPKSSFPKMSIQMAMMILTADIPEEWMLPDANRLLFEVETWRRGDDVFSKCLTLIDTKILGCRKETYKSLLMKPNRLTKNSDLYLKLYNFLKTPENMKQEIIRLDVYGDEDMVKFNGEIIKKRKNWYSFEQQFVLTDIFHERQNPTEKFMENVSEQIHLPVKCVKDFFHNSRRNLKEFYD >CRE21038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig834:2663:3812:1 gene:WBGene00075161 transcript:CRE21038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21038 MRLRYLILLLFTCLVNGQQKMMKIFGKVLDADLNGGISKPNAVCVEECFQKSDCILVFMNSDGQCLSFDFNSTEQLTVLETAKTDNLFVAFKVSHLKKFKIPNDFLFQTQFLLSQCPAYESMDLSITVGGESIPWIKNGNEYLFKKCVGDWKMFKRVDDVTVCMQTFEISALSLEGAIQVCDEIGGYKLTGIQTEKELDWVYSRINQTKWGYFDGFWIGAKRQAAYSGKKNDTNFEFIDKYTDLDQELYARRGFITGENEINGKAEDCLIICQVFSGYYKRYINDVSCISYPQGLGVVCGYQLV >CRE07155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1534:8580:8970:-1 gene:WBGene00075162 transcript:CRE07155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07155 MEDVARALWHRFYAYGKPIFTKSQVAEVLRDLHVKNSEELVMMSDSAEVKNILRENTDEAIGNGCFGAPWMHITDGHGKVLQTVFGSDRLPQVADFLAEPFKGPMREKKQNA >CRE07154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1534:6580:8200:1 gene:WBGene00075163 transcript:CRE07154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-elo-3 description:Elongation of very long chain fatty acids protein [Source:UniProtKB/TrEMBL;Acc:E3NSD2] MAKYDYNPKYGLENYSLFLPFETSFDAYRSTSWMQNHWYQSITASAVYVAVIFTGKKIMEKYKPFQLDTPLFVWNSFLAIFSILGFLRMTPEFIWSWSAEGNSFKYSICHSSYAQGVTGFWTEQFAISKLFELIDTVFIVLRKRPLIFLHWYHHVTVMIYTWHAYKDHTASGRWFIWMNYGVHALMYSYYALRSLKFRLPKQMAMVVTTLQLAQMVMGVIIGVTVYRIKSSGEYCQQTWDNLGLCFGVYFTYFLLFANFFYHAYVKKNNRYTEVKKDKKKEEEAPIEILEPKEDINANIAEPITTRSVAARRKVQKAD >CRE07153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1534:1908:2961:1 gene:WBGene00075164 transcript:CRE07153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07153 MKMLKNENPEEEDDEKDEVTITDINGETRQIDFPGCYRVKVSFKMLRPIENPYIEAFMQLGQNVPCKSEDTIQNLRGVDSICANVTRPTQWCPESYNSQLREMLGGKTTCKFCSLCENVKENVKDNESKLSKLKKFLSNEGKEECSTTDNIHRYTFKMCTPTQDDLNKEGTDTKDKVEEYWQYLKQGIMTTVIHVMDRNPMKSGRAEQCQKMCEVYGDSSKMSNSNYKQTLTKSIEKLCAPVDTYAACLYHTVKFDVNSDL >CRE23853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2596:3785:5344:1 gene:WBGene00075165 transcript:CRE23853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23853 MLPVHRGSFFGPVFQFIAMLAALAMPLFFVTGWMLYLKRRKQKRLTLAAKQAGSAIQIDPNATPWLIAYASQTGMSEQLAWRTSTSLQEAHQPTTVKAVQQLSEDDLKQAKQILLIASTYGTGEAPDLASHFEKKLMATSLDLSHLKYAVLALGSQDYPETYCSFGHRIDAWLKQSGAQQLFATLEVNNGNNADIQHWNDALAKATKLDLQTMSIDKVFDQWRLTKRELLNPNSLGAPAFNLELQASYDTAWQAGDIAEIQTGNSPERIQLFLDKYQVHAETKVDSLEQSIQEALWNRDLTVAVEPFANMEHLLEQLPTLPTREYSIASIPSQQVLRLVVRQQQNPQGELGLGSGWLTEHVQLRDKIALRIRNNESFHLITDNRPIICIGNGTGIAGLMSLIHARVRMDYTENWLIFGERQQANDYFFKDTIQAWQNTAMLKRIDLAFSRDQAEKIYVHHKLRDQAEELKKWVANDAVIYVCGSINGMATDVDLALIDILGEDTVEQLRLDGRYRRDVY >CRE17647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig584:1305:1765:1 gene:WBGene00075166 transcript:CRE17647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17647 MKFLAIAFLLVQTVHGLTMTRVDSRPLTAAEIAAQKRKAYSEEAWRRYQEYQKQWKKFRQTQKTPAPGASTSGASTSKQSGASPEGGGQSGTGPSTRSQSVTSVASGKTSRSATPESKKSSHPSSSSRRESGAK >CRE21097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2353:2677:3708:1 gene:WBGene00075168 transcript:CRE21097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21097 MSPTTPHRPRRHVQMSDFGSGKPKLQSSRGKTQCEYISVSSDEETTEPPPKKHRIGTPPVVCLSDSDVDSDVESIIILDDSDVNSDASIICLGTDDGSDSDVEVRQVIWNIDLTADDMPEIVDLEDSDDDVQQMPIDVTPAPIENLENLKVRPGTPESESSNKENSEMIVEQVSETRKTSKSGPSQGVYRNLRARPSISISSATKPKAQCSKRKSKRLSPQAPPPSASGAQEDDYAIGDEYQAKIPLLLDTDPNDDYGDDNEYEEEIWTPKRFEIKDSEKIKEIEDSFNGQIRSVYWLVIWRQFKGRILFEDALQNLKKHGYDFAASLQ >CRE29493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:525261:527356:1 gene:WBGene00075169 transcript:CRE29493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ces-1 description:CRE-CES-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVB7] MITSSFNLSSNSISNSSSNTNKAGDFPTLGDFFVLLFSNFKFSFSDLQLWSLVNLHLQMQTSCISSSSSNSSSSLDSQKKSTPPPGTTIFSIENILNPKIIIPKIEIENDDDVSSSPSPACSTTGYTLDSLQNMDRRSLKRKNTSSTSQNRCICDKCGKSYATTSNLSRHKQTHRALDSPHAKQCPHCDRVYVSMPALSMHILTHNASHECNVCGKRFSRLWLLQGHLRSHTGLRPFSCAHCGKSFADRSNLRAHMLTHTGDKRFECDKCGRRFALRAYLNRHLETCK >CRE03596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2690:215:3254:1 gene:WBGene00075170 transcript:CRE03596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03596 EERFFKCGECAWKGRTRSQLFAHERMHSILDDRPLHCEECGRGFQQHASLDHHIATHNDVRSYICEDCGFATKSLDHLSLHRRQHTGDNFSCHIAGCDYSSTKKSQLAAHLRTHMAVRAHLCKVCGRGFIEKSHLVRHERIHLEEKPFKCDQCEYASSRRDKLKEHIIKHHNAEVLTVQKTQRRKYKRARMLAAANNLVSNPDVLFRPIPTEDAVSNWQASNDFSAYSPNPNDYVGHSGYPNQSPPRAYSVVHPSQHQQHVPSPGCMSMMNVPLRDNHHQMQRDSPIDSIGTADNTHGVLMTPNLVMRYEGAPPIFRYFWNFY >CRE27995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2079:299:1044:-1 gene:WBGene00075171 transcript:CRE27995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27995 MIQYKLIYFDVRGLGEVSRQLFHLTGTHFEDIRIPRNDEKLWKEFKKSTPFGQLPVLKIKDSISETEIEIPQSSAIGRYLAKKFGYSGKTPEEEAMVDAVIDQFKDFLVSQKQLTLAFRSEKSEDEIKRIIDDVVNPAKKIYFEALIKLLVKSPSGYLIGDNLTWADLQIADNLTTLKGFGLFVSSEEPILSAFHQKIMNIPELRSYFDSRPLTML >CRE22795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:318947:323393:1 gene:WBGene00075174 transcript:CRE22795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22795 MVIPTSVSSHRIRKSDQKLHSNVEKERNFEFEASCVENGSEKSIFQAEIQIISVKNHFSAVLIPLALPFVHKQRYFGEKSLKIAARNAAKSVSLTAVSASLHSLHTNDKDTLLHFNDSDASRTSICTYRMVESDGMEGIGYGDDSESSKNRSDVATDATTSETGHEKWLKRRPRRPPKDLKKIAEKEVIIDRIDKNGVNQKLFIFAENQCVLSENNRSEPEILREFLEIAAKTWFLWENRAEKLKNIDFVFENANFVSGSWKLAPAEREAVSMRDIANESIDRVTIRREELEEGFAGGKKVRDSEFCSDISQVHREVAEEGLYLSSVLSVCLATSTESFYAFEKIEKDKKEKLVFLVELFESFAEEQVLNSSELVGRGKMPVFSVFLSLPCQIIDRKSHKKENWAKIAKNEPKMGNLKRKMQFSMKRPPANVIRGGAKIAKSEIEKADRIVLVETRGENIVVCQVHIPSTRYRCYYKDCFGTSAGGAGAADLQHLTRHLSSVHQKKVEWTYKCSICGEEAAGKSTKATRWVSSHMLEKHGTQHRPRIRSAPTTNQKVSDVMKKAAPSLQRPERAVRKGYTAPPVEETTPEKILRVEAMEKLPQTRAVTKSLSVLKESVTKSVKKTEEKQMGKKVFSIFSKNGETSSPASRRLSVAPVRTNSLGSVVDLSNLQGPERVKAAKQNAQITARIETKRRRSSLSVLKPQKVSGKSGKEETNRISEIIPEDSVVSRENDRNESGVLNLTFESDGSNGYVGKRFNTWCLDHEDSREAWLSDEVIMWYLERICSKSEKYKVLDPLTWEIWKIEGIQMVESKLWSSKTYLFPVCEENHWILLIIDSQSVWYANSLAYEPAGNVAKFLKELKRERKYFEIPTPYQKDKVNCGVHVCLIARSIESEEGDMNSFSAPYHQIIPQKMSNDVDDCELIDDDVFYDDFEKEKDESEDVKKNITEISIENITPLEKTEKVEECKRIDSILYGESEKGENETVDVKETRSDLSTEKGEIIDKVPADVIENVVKESGGLLEVFRSEISTENATIPVQNRENGNDFGIISNILSKLVETVVYNVEGIREIPKLMDIKLATPEKVCQVKQKRREKPKKQMGKIQKVPAGKADELIQQVRVWFEKEFNSYLQDGKSFQRLEWLADSLTAAIHKASVGDEGAVKKIEKRCPPLEMKAGEMSTXXXXRKSKVAA >CRE26935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4324:3210:3440:-1 gene:WBGene00075178 transcript:CRE26935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26935 EYVARFATEKKPPVDPSAPPKTDEPVASTSSDSSDELTDDDDFSLSSLSEFDENDLADFDSFEPDEDAITVERMEM >CRE20146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1828:5113:6484:1 gene:WBGene00075179 transcript:CRE20146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20146 MACETVRRLFATADDIDLIQQTHQNYHSPHFLRLLTFKYRQRYNFRNYDNASPALGNFEKLRGGLQGDIDVYGLPIETQNETGNMPDDNKEVAILQQEIKSWEEKLRNKERTSDYIKFAQLEIKIAQWKIEMLQMRINKSLPPYCNYLQLTVITGHDIKMERVVYEKSFKLTREYIEKRIFSNGNIQVRNLQIGEDGYTDDLVGLIRRDLLQLPIEPLFRFSPQGDIVRPLLSIREGCLEVEVLKVTGNVTNAVTSLQKVLSALPLKQLRTVHQPFPDDPIIKTSQLFMIVKHLPFTVLSTCPNKRTHFEGCPWIFNEQLINVVKKWMESNIIVGTYYSIGDNDAQFVEEMLSMFRNLPGAQSGENKETR >CRE02531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:154270:154866:-1 gene:WBGene00075180 transcript:CRE02531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02531 MMQKYSTDFSEIAAMTLVAYDKEGNIRWFSICCTLNITSIMLVQYSIIIYCAVRMYIGMEAKLQMLSISLRNLHNQFFKTLILQIVTPTITLFSPVMLIIYLPLFDLECDIPTGIFLCAFTLYPAMDAIIVMYIVADYKKAAKKMLKKALEQIYACLRTAETDHSNRRARSIAANLPAALSPN >CRE06227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1333:9058:10342:-1 gene:WBGene00075185 transcript:CRE06227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06227 MKFREFDVVSFDLENSVDSSLPNVEMFVKWSEWSCCSACCCPRAVCKQFETSGDKCKSVKSYQNRRGDFMIRRKGETGIDDLEALFNKHHVVKLSMALKIPEIQQNLDNSRISLNGKLKVPSETLAVFQTKDCKDHIQKMDCWELAKCLYNSTDMLPEKEVAKIEERDVCENASIFVLRDAYNILSLMPEKLYRLQIDRKIGAGNIVKVNFTLDGEGVEKLDHIRDCAHQPKRVFQHPNGEDLIVRLSEEQLSRNPKIIAVISYQSPARLEVMVDMVLKTKRNRQNEKKGDDWFSIILISSLALCTLILLGLSLFFLR >CRE30371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:186416:202767:1 gene:WBGene00075188 transcript:CRE30371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30371 MISSGWMQEMEPIPEEGTEADGSEWGATSDGGTGKRTMRKKEVYTSEQINTLVRMATSYLTESLAGPTSARGSSYSYSYESHYDNPPEEEYEHFTNDDGVHQMQKVTRVTKVTTTRSVRQVPVQSPYSNIDFDSSGLPTPSPVIDRDPSLEMMARMGNGTSGGHDSEDRAAPPPAPHGRFSHEDSEIPSAPGVPDVVDAGIGEVTVVWSAPLQKNGGEIRGYQLQMRELPDGEWEDMGVDQLIKDTSCRVSRHYNVYAMF >CRE06239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1653:2749:5361:-1 gene:WBGene00075189 transcript:CRE06239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06239 MNQPKPSKRLDLQGIRACAIIVVLGFHFFPKYCPNGYLGVDQLVVLVQFIKLISNDSRFFVLSGFLMCMLLKRAENQAACSLVTIFYSKRFKRILPLYLLVILVSMMFLYNYFPVTAIESNQSSAEHALLFVSNRPKTDQEDYFLMLNTGIDIFTHTWSLSVEIQFYFLVPFIFLLASRLPRTIQYGYYILMGLLSILFSYTSTEIVSFNSVFARIWQFLIGMLVYLLGVPITQHSGKAEYQVLKVEEGKKDIEDLKLLLEDADDELEEDEQEVGNAISNESAGIRLRFSEMFSYILLCFLLVTTAFPFVLPADVVRPAVTIGTGLLMLISEDNWILCNNILTYIGDISFSLYLIHWPIYAYWKLTCEGNEYLLITALLTSIALAIITFETFEKWYLKLSSTNVGILVVVLFFVNIVTIHKDDIYDHIRTIGRNYSNLDDVTENMTVDDAIYLNHRWSVNDLKNLYDPSCDYESIKTPYGWCRHTGLSRRGKYKIMTFGNSWTANHAKLFYQECGYKAKSILQGAAYACEPLYLRSSKDKCLGNFTTFVTRITEEKPDIAFHFTRQISIGNGFPKNVTTFDKDPIYQMMKTQMLKFIANIKYKLYIVHAIPSVLPNQLGKVAERLKNGTNRVELEVRNNFFTRHHNKMILRPHGWEMARRRYEQLMKDCNEKCEMIDYLPEFYNNSTKTFRYFDDKGFLYWTADQHFSPHGLEKIRHIWTDICSRL >CRE06238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1653:509:2614:1 gene:WBGene00075191 transcript:CRE06238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06238 MRAPLLLLLLFATSSLCFKILVSVPKFGYSHMKTMGKLADILVESGHDVTFLMPVDVPIPQNGTELTKVVLVPPTDEVSQIMETSMKSGAVANLWTHSANSKQGIMWSTDMIGAVSYHNTKSMINNKALVQQMKDEKFDIGITELFDFSGLAFFEVIGLKNVIGAHTTSVFEGTLMATGSPILPSFVPGSQTFTDDSGSILSRLNNLYMTYWSYEFQNKIQGFAQKALDEHYGKAKSPKIWVGVFVLILAYLSSNLFQNLVKDITWFFVNSDPIFDFPKPLPPNIIEIAGISVSKIHPLDKEWDEILNKRSKNVLVCFGSIASPTTMPESVKKSIVDTFAAFPDVTFIWKYEDTESGLTAHLNNVHIVKWMPQNDLLADKRVSLFWTHGGMASLMESAQKGVPLIVVPIFGDQMRNAQIAKRHGGAVIYDKMELGNSKKLIGVLKEVLENPEYKKSADLLAQILATDRVSPKQKIIDTIELAGRFGQMPRWTSAGKEFSMLKYFNLDLVLLFIFIVFSVVLSVVLLIRRVLVKLGFSGKKEKSE >CRE30594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1448:3361:5323:-1 gene:WBGene00075192 transcript:CRE30594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30594 MKLQMIQRDRLSLPFILKMRSNTVTKRKYFLITIILTFIVLLYIVRTPSNQLREIFGRSGKNLSPRNDDNHGYITEEGIIIDPTRRLDRVLPVSHVFITSAYYYPTSKSLGPNAIALNMVVDSVNFNVENATYNVVGSNGTHQEFSVATSKVEGVPSCRYTTVMAKTSTVANLKKLEMESNGVKVEVVYSHIPDQIIPVFQIPFKLARYSAPKPVIICISPQFVAEQWQMFLMHVHVANRFGGHLHMYITSMIESYFELMKVYERLGYLTLDYWLRMKFTTVETPYFEPNGNIEWRNQAGAETDCLLQYKEAAEYIAFFDMDDILFPASYPTYLEEFNAEWALQPNATSLLYGRREHEFIKARTMFEFSFVELVDSLRSSPTVKRGKVVVKPETYNHTWIHYSWHEDPTTRHNVSFPHLVHVQRPLQKNGENNITQLWKMEFGPLNETIRLEDVKAIEQDYWGIRNITRVFELAKRLPSEDYYLPIVFQCYYDSFYGFIFDGKRRIGPMQCPNADLCELPQRDEYRCVHSDAEYFSGPPMEPFTFHFSSNSFWSKDIGCFQ >CRE30593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1448:1486:2980:1 gene:WBGene00075193 transcript:CRE30593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30593 MVVDARNFDISHANYYVVGSNGTHEKLAKADSQIEGVIPCRYAPAMAKTNTLENMTKLEMESNGTRVEVRISIGNTLFTAKFQIPFKMARYTAPKPVIICISPQFVAEQWQIFIMHVHVANRFGGHLHIYLSSIIDSYFELMKEYEKQGYVTIDFWRRMKFAHSETPIKKQQNTLHSSIWMMYTSYLEEFDSEWTIQPNASSIVFKRREHEFVKAEYLSEFSMHDIISSLKSSRTIKAGKVIVKPHLYNSTWIHDSQHEDPKMRHRVGITTLIHVQRPLQKHSENNMTRLWQVKFGPLMVKFRPEDIRAIEEDIWRIRNISKVTKIAKRLPNTDFYLPIVFKCYYDVLYGPSNVEHTGIHSCPNAESCFMPQREDYKCIHSDAQYFSGPHMVPFTYHFSNNSFWSKDIGCYQ >CRE14390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig822:20625:21736:-1 gene:WBGene00075194 transcript:CRE14390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14390 MTTTGKCFVLKHVFKNVSNIKEDEDQWSEAKEYYGVEWKMKVCRTKEHLQFFLKCVKLAEVGKWTIEAQWKQVLLSKSTENRFKECSKTFGTTDTINGTWGWRAFIGWAELEKDFIEDDQLKAEIHVKIKKTTGIYKDNLRDFDETMEEFSDVVLVASDEKFYVSKLYLAAHSPYFKTLFLGKFDESKKSEIKLTGTDADDFQKYLEVLYGESAIDEFTVEGVLLLADMYDTTLVVRKCEEFLLEKSKKSLKKKLQMSMKYHLEDLKKQCRNKIKSVADIKSVLPGDIHDLDPSITTQFLEIALSIQ >CRE14388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig822:19265:20055:1 gene:WBGene00075195 transcript:CRE14388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14388 MLRHLILSVFLLFPVNAGLMDIIMNLPVADNITCIEEHQKLAECAYPHAPFYDYIKQQTYDPRGENVLYNIGVMRKVLQIGKNVSDCLGHDLKCDLPRFLDFSLDTSIYAAEKVYGDAFHCFLNTSAVEIAESCSNKLLYSGKEKLETLWEDPTTFEFSKNKMFECVATELYGNPACSIGRIVSLYHAGSAGFDWMLQASRFNPGFSQSGVYTGSPLDLKFNADKYCDN >CRE11615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1737:954:5533:-1 gene:WBGene00075196 transcript:CRE11615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11615 MNGNGENGTDEKQKLLASSLAGGGLLTTSEEVVEMNRVSSARFQVSKTDEETGEERKLKSGSEPPPPLQNHESIAMVTRKMSATGRFMVTSDTHPGQLVAEIANELDIVAPLEAPERSPASRAKGVHFSVGDKDSGSLSDETDEKRRDGTHENQTTFNMKSWRNMKTIEHPPIIDFYRNSIDTDGVFNRPSMAQLIHGKQHKEEDLGFEELNAQPHHLDDSPVRETKKECRMEKMNAPPSSQNRVKFGWIEGVFVRYLLNIFGVMLYLRVSWVAGQAGVGLGSCIVLLASLVTTITAISTCAICTNGDVKGGGAYFLVGLEETSG >CRE02785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2601:1206:1646:-1 gene:WBGene00075197 transcript:CRE02785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02785 MRFRFLLLLCTTSVLWSVASTQLVLGKPPIFQDGGSVEQKVAVEGEYFNYFNSSFVSFPGEIIRLKCEDAELAEQYEWRVNDASGELIATTRFAEVTVSRANDNQKYRCVARNTVGAAISPPSMVRSKCKSFCFFLIISAFLSLVS >CRE24877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig835:6831:7599:-1 gene:WBGene00075203 transcript:CRE24877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24877 MARFDLEIRSMRAGNVDSCICSCSMLLSVFDDRESAKVAEAFLNPLGEDDDDFECNWLIDRNMSTGIEIVDTCHDSCPLLKLEEPDDEKGTMYWWQ >CRE03572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1650:2591:5021:-1 gene:WBGene00075204 transcript:CRE03572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03572 MNMVRIRHIMEMRRACKAPPLLPPKLRKEGSESTDRRGPKDVMDLTFRVNTLAPERYASSSFYLHLYESQDSSEGPWNLMARFDLEIRSMADNVDSCICSCSMLLSVFDDRESAEFFEYAAIHLNVRLVHIPLCRVAEALLNPLGEDDDDFECNWFIDRNMSTGFEIIDTCHDSCPPLKLEEPDDEKGTMYWCQ >CRE18346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1523:995:1777:-1 gene:WBGene00075205 transcript:CRE18346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18346 description:Caveolin [Source:UniProtKB/TrEMBL;Acc:E3NSA7] MSTEQDTKTEEQIPLTYAAVAAPDTVATEGEAAVVAPEEPKPKKNWFTWGKKKTAGGDVANVEEGAVAAGDDEPVKEKKEKTCWWKRCQKKGEGEQKEDNIAIGMDLVNRDTQNINNHIQLNFEDIFGEADSQHSWDCVWRLNHTVFNATRLFIYRLVSILALPFTFLFAIFFGLVASINVFIIVPLGKLLSIPGNLLAKIWNWLVHAIFDPIANAVGLIFSNFNIRKYGINQETTAPCV >CRE13167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig740:2389:3749:-1 gene:WBGene00075206 transcript:CRE13167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13167 MNYFSALVTIALLISTVSSAGNVCKTGNVLNRPVNDQPVYWPATWRENQSAPALEAEQSCSWIVTVPSGYYAKLIISGKMNDNISHFQTIDSAGNFVQTTHEGEQPYYFPSPKFTLAMSNEKAATFGFKIVWGKCRSTLIASFFQIIKLSDPSGLKYDMGVSRSPVVVNITKDIFAAEYSGTSGISLFAFPANPKNYFSLRSTLVFEGKDFNGRYISNLYSLYQSRKQFLSSGLVYIVNLEASNVLDQLLIQDSAYTNNLQQYSELDCPINSTCSATLNGGDKASALVTVGEKHQVLLDLVMDVSAWVTVYYGSINNFGYYKSYAGESILSNLPMTFDSDVVHYVISHGKASFTFQMNP >CRE13166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig740:1136:1602:-1 gene:WBGene00075207 transcript:CRE13166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13166 MLSLLFLVSTIIVSVTADGYNCAGNYLINPPLDLNEPYYYPEGWREGMEPAKYAGSQMCNWQINVPEGLYATVTFYKNTESESGINCVYPNGQQEYIEDKELNPYIFTTPQFQVNLRVSDKQGEFSFKVVWSTCKIEVR >CRE10762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1698:613:4797:1 gene:WBGene00075208 transcript:CRE10762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10762 MADEDFEEPLRTPQPKIILPSPIALEKAELTQEEQDHNERIAAMASEDFTLPYPLDQTQMIPHKREPEITEEEREHIARIAAMAAEDFNSSIPVEQSVQVSSEPVLTEEEQRHIERVAAMAADDFHAPEFVSSKPPQRLEPELTAEERSHIERIAAMANQVFAPSAIVNNRPYAVEPELTQEEIDHIDRIAAMASEDSKQPHLVPPSQPKPVEFELSQDEKEHIARISSMAEDFSVPIVNTAAAVTKHPEPDLTQEEKDHIARITELANQDFDTTKQVSESYVPSEPELTQEEIDHIAKIAEMAAIDCGHFSSTLQESFRDAPVQEQPSGDDPSRTSEIDHILDDLRYSEVTTDYAEHQFPISPEVYVRVPCADGIKENASHDVEANQSSASTKTSRSAEIVQEATTVTYKKENVSLYGADIEKSFDQVVAYERSSPLLEPVEEPILEKKEPELTQEEIGYISQHPYLFEQSSFEQIAVPITPVVISDDKEDFSSHQKKYETDEEDLKLTKLDHTVKMNAVSEEDYLISAQEYTRANFGKEKQFDLERSDSGDRSSATSGADTEKSFDEDSSLQRSTPYLEHVQQSFIVKKEPELTQEELDHIARIQSLAEQSSFDQVIEAVAPSSDANRSGSSHQEEGRSSSVRSSDYLDTVTPLSSCAATPILTNPKLVQEELDHIAFVQKMAEHFTFEDVETPILTKKTTSHSVSDNFVSRERDESGIKQLESPVLSPVDEFNGAELTQKKLDHITKIQEMANQSSFKAVCSPVQFDLESAGEPSESIKQNLNTSTNIEEKQSFPSPSFAITTENTTRLNNQLSEDQSSPTSGADMERSIDFDQNTSYEYSCYEPSQPIIFEQVEQNNYAESPTRIEDPSDSKFLTTAEMSKHVKKEISEDRSSATSAADSHRSFDSELSEDREYVDQDKQIEGDEQLLTQEELDHIAMVQRMAEQSSFEQFGVQTVVNESIEKQKSEENNSSATSGADVPSSFDVLSPIPPEPLDDHDTTVQRLATDASIEISNLSSNVAVSEQPSIVFQTSQEHSSATSGADIERSFDGISPLPNFNESPEMTCDEMNYVLLVTHMAETVAIPALQMEKSTIRQGILPQTSEDLSDVTSGADTKRTSSISETEAKRNSDQSNGLTEEELKHIAEVLRKAEASSAASGMFERDSNLPPLRRTSITYTSHFSPSVIREFRSSTSFSSAPVTTEEKEEEGTKPKLKREPSTQVVYTDHFLRDLQSINASLEKGEDDTDVAIEEISNDRDNASSPLPEEDEMITDVYQFDTGTSLHVQQYVMQQSTFQEASGLHLSPIRRTMSAACTAEKHIDEAENIKEREVKHAHSHDQIKKRAEGEPNAYELLEQHSFRHTQPLLCNVDFLWRLNFAANRMTEEIAEEVG >CRE23825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1476:21:1213:1 gene:WBGene00075209 transcript:CRE23825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23825 MVIVARALGIPTVVGVTELPVNTLDDVEMIVDAYQGRVFVNPPRRLRTRYKEIQKEKEQIAKDLKQYKTKDAITPDGVAVKLYVNTGLMIDVVRGVQRGAKLSHFANKPVVMRTLDIGADKDLPYFQIEEENSALGWRGIRFTLDHPEIFSSQIRAMLKASIGLNNLHILLPMVTSVSEVEEALYLLERDWIAVQEEEQVNFAKPKIGIMVEVPSVLLQIGEFAELVDFFSVGSNDLIQYLLAVDRNNPRVSSVYSHFHPSVLRALTRLVQECHKYEKPISICGEMAGDPLSAILLMAMGFNTLSMSSSNILRVRKAICHVSMTDAQKLLEEVIAMNNPLMVKSWMEYYFKHHGLADMVKSNRLVNI >CRE23827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1476:3443:5101:-1 gene:WBGene00075210 transcript:CRE23827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23827 MNTAENWQTHEISNQFDELQNYNLLTTDQVLQEILQRYGSQDQQRLTEFSQIAGSTEYYEYADLANRHTPELHSFDARGRRKDFLEFHPAWHKWMRLNRQFDTHAHPFNHPQSSSKWVDWAARFFLSGQVECGNLCPNSMTLGSIPLIQNEPELWAKVGEKLLSTEYDERDIPISQKKSIWLGMGMTEKQGGSDVRANETRAVPVAESGRGQAYLLTGHKWFFSAPMCDAHLVVAKTEQDGLACFFVPRWLEDGTKNPVQVQRLKDKVGNRSNSSSEVEFKNAWGIMIGEAGRGIPTIIEMANYTRLTCSVGSSAMLRQALVQCIAYTRQRKAFGKHLAEQPLMQAVLADLALETEAAMQLSFHLAHCYESDDELSLAWRRIMTPAAKFWVCKRTVELTGEMMEVFGGNGYVDTGIMARIFKEAPVNTIWEGSGNVMCLDVLRAIQRDRESIEVLFKSFAQVASQDSILQDELQALFKLFSGLQADELQFMARSLVSRLVILAQAILLKQHAPDFVADAFIATRYSAFYGRVVGMLDAQAVDVKRLLDRALA >CRE26004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1486:138:1743:-1 gene:WBGene00075211 transcript:CRE26004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26004 MESMEDFKSNDTVQSTRSDDSSDEEFMSKMGITNLVPSFVRDMCTKPQNIELRVCYDAPTHQSTYTYSITWEDDSEGKEKKILEEIKKASARKDSFGKGVSAHLVPTTVTDSLIHQPLPYPPPVFAICDGPCKKSFPSNLLNTIGRCGHYICAACYGIVRNSDGTYGCSSAHCNWEGKTRSDAKRFFREQICPKQRQRAREMKSLGIDVKSASTSSSSKSHSNSMKSSPTTQNTCGYSDETDCILKSSKSSFKLIFPSKNEIIGVKLIILETIQFDGSQHLSRNIAELEFQSTTKIKKAISALLFQKFNKFPPPGLLYHVELQPNMKRKIRRIRSKEYDSMALFDFSQIAEYIVFLMDFGGFVKDGSEINYS >CRE05197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:732:2799:1 gene:WBGene00075214 transcript:CRE05197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05197 MPYEFIISKKVVIIGCLISTTCLLYFLFVNSLQHLNEYSPVRVEHYKRNYDEFIIADPRGSSKVKLNVSHAFITSIYYYPTSKSFGSNAVAFNMAIDQRSNQMRNHTFNVIGTNSSTSLFSTATSQAEGVGNCRYTTLMGRINTVENLEKLEIESNGVTVEVPFKMARYSAPKPVIICISPQFVAEQWQIFMMHVNAANRFGGHLHVYLTSIIESYFELMKVYEREGYLTLDYWLRMKLTSTESPYFDPNSNIEWRNQHEQRQIHSSIWMISYSLKLSDLSGGFMQFLLLSGNKLHFRGPVQASAHHSVKREKCCETRSRTIPRGFIIVNIPTLVHVQLPVEKNGKRKNKSRDMWKISFGKLKETIREDDIKAIEEDIYRIRNLSSTITLASNLPSSDFYLPIVFKCYYDAFYGPAFDHKPGGFGCPNADFCQLPQREDYKCIHSDAKYYSGPHMKPVTYHFTTDSFWSKNIGCYQ >CRE15154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2202:759:947:-1 gene:WBGene00075216 transcript:CRE15154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15154 MNWITVVVALGVVDLAACGNALSPHFLAWMKENYKPEEVAAFVRDDLITGSFGGGVLTQEPK >CRE24711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:148450:150658:-1 gene:WBGene00075218 transcript:CRE24711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24711 MNSLTFIISLVALFSISNGFPATLFPDPEFQDFPIPDTVDELDPSTVVQLFIEFERAYNKEYMSPLDQAKARSIFQFNVENIIRLNQQYANTSLRFGINYYTDFSDLEFKEKVANLHVDSVSQDSQIGESAPNLENIPASFDWRDRDNTVGGVSNQGYCGCSWGFTVSSVIQSAFSIQNSKFFIPSEQQLCDCAQGGNSGCSGGSVRDGFEYVKQNGIVLEENYQKHIEKHQEMYCVQQKDAIHVSNYKFIQPATSSEIQKTLLSNGPIAVGFKVSNSFRHYKSGIFSLNDCETSDNFIGWHSVTIVGYGTENEQDFWIAKNSWSPSFGEEGFFRISRNIDLCQIESKMPIKSAARSDSSDGLISLCSNERGHKLMKHYCPTDFAAVRYDAFKGEHLRPPIVMRPPETFSSVYAMLAKFS >CRE11111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:884138:885861:-1 gene:WBGene00075219 transcript:CRE11111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11111 MLAKVEEVNQSMGNVQKLAGQIKEMRRLVELFESLFHGSLKVDFALISKRCRYVVKSAVPRDYFKIYVCFSNTSRIFLQTDKYRYDLMRPPFYGIGTKPDPTIRLRMRFIRNELSSANWTKRWVDNVSFLFNCKVHSLQIESGECSKSFLSIVEWLQNKQKSIEKFSVRGSEIASQNLSLIFERLDIKHMLSLNMIFSTHKAEVRPSLIKFNMDIVELYGSPLSMMWITLESILSSNCVCFNLDNSNLTDLNLNRFMKEWVRGSNPRLKSLRLKIKKVNLENLLDGLELEEPDGTVDRVFNLPGILVRPIDMTSGTDINTLDGRLGTFKVEDDEFSDELQLFTMVFWS >CRE06249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1893:2584:3651:1 gene:WBGene00075220 transcript:CRE06249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06249 MSFTKFSQPPVLADKKTWKDFMDVWRNSFLLPEELVQCPMCPKTRGPSDMKLIRKLSTHFYRDHHVCFRCLEPRFSSTHLCTELPSVAPAAEEPAPAEPAAPVEEPPKAEEPSAAKPADKKQVATVTESGRAVRAAKKPLPTPAVESNQEKEDDEYQGDKDDEEVNFEAVPTKKARGKRSYDEDVDYGKAKKFGRKTDVKKSGSKPSNKTTARKNVPSESEEEEEEDEEEEEKEEKNNKGAGKKAHAESDGDSSDGEAPARKAPQRAGMSGAPPSARLAANKKKNEDSD >CRE06250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1893:38:924:-1 gene:WBGene00075221 transcript:CRE06250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06250 MYREITTSSEIESSTNVVTGVHFLCLDHLTNGSSSKSSSSSEFVGKVILTLDDDKEESDYVHYIKLIARISEDGSESVVYNSWSKHIIVLFQCYNSNCNISFNEFTCSEVRLQVSSFNKDGLVGRHAFSKWYNTEKHLSNTPINMSLKNVEWNEDKAAARFEYKPATSQEVPVCAFYVKYKNALSSEYKEVNFYLDHTEEVLVENLDFNQNYSMHFVSSPNDAEGNVNVAVPACNKMVDDVTMCGETIHAEFIKQVLF >CRE24369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2995:1194:1990:-1 gene:WBGene00075222 transcript:CRE24369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24369 MDPLDLVINSVASQAQLSDNVISSVASPLQLSDKVINSVVSPAQLLDNVIDSVVSPKPPVEKPVRYCLICGDKSSGCHYGVLTCEGCKKFFCRAYDKEYQCRYKTPCAITPKTRNDCKACRLKKCREVGMHKQSREYSGKKVFFKKEISEPPKPANTRPQPIDTIQREHSGQAFKYVSTKKEFSESLLPAINNVSLQPANNRQQPIDTIQREYSGQTFKKSFHKKINFRTSGTSHQRPTTIQSHSKGKA >CRE25028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2086:1923:4281:-1 gene:WBGene00075223 transcript:CRE25028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25028 MGTGATNGSTVSVIFAFFGYGGFCADSGGDGAEGEPAARPVGSERFDDGDLVALAHAGAVRDLEQAEQVDRSAIGIGSAGERVGEIEALAEPGDVGFQLGVSGTAPGSGVDVLELRLAFGLPQRRGRCGRPDVAERLPHVADARDGEPAQGFDTIAGLGVPGPVLVRGESGLERGHAVVDEAAEVVAFDAPGLHLADRVPLEGVVGTQALAGLVHAAVELERGPPADRQEQEGDGLAVDVARPDRRVLAEHGAGVVVVGVLVQAEVGACAGGSVDLEAFLELLGGLDAADLDRLLALRLAHVLAVLLRHIAHELVLAAREVEDQRASGQHRRLGAAPARREREASVVLVGLDRDRDRAPRAPHVVRNGVGDRAGRRILRRSSGGPGSRTFDLGGLRAAREHRTAAGAGARRGR >CRE24353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2115:1152:3067:-1 gene:WBGene00075232 transcript:CRE24353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24353 MILLLLTTVLALAAAYFYRFWSVREKRQVELRKIVERKRAERAESVKFAKQSAEKLDVETRNKIASLEYSELKEGLQSGTISCIDAVRTYYFKAIQANEKTNSVCMFVKEAEQWAMEWDEKAKDPEFKKPPMFGLPMSLKECVPLQGYDQTRGFVQDTFHPTETDAVLVQQLKKLGAIPYVQTNVPQSLLSYNCSNPVFGTTNHPMDKSRTSGGSSGGESALISADGSILGIGGDVGGSIRIPCAYTGTTGIKPSHLRFSHRGVCGSVPGRPLINSNDGPMSTRVETNVDFLRTVWADTWITEQDPYVPPVTWNEEAYKSDKKLKIGYYTDDGWFTPTPVCQRAVMEAKQILEDAGHTLVPFRPPRVPEVLIMFLRAVCVDAGKFLSNKLMNDIIDPLLYTQVVLWMVPVRVQRFLASFIRVFFPRLGNLMNAMTLSTVELRNTYADIEAYRSEMAALMIDQKIDALLCPVTVTPALPHVAPSKLFAGTSYTGIFNLLDYAAGKLSKHNPFNSYPFLFSRIRQCYSCHETR >CRE24354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2115:4419:5683:-1 gene:WBGene00075233 transcript:CRE24354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24354 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3NUC4] MFPQATMDQMLHPTPREGYYVVELNRSVWVVPNYYINLTPIGTGAYGTVCAAECTRSGTRVAIKKFNRPFQSIIHARRTFRELRLLRCMRHENIIDLLDVFTPNENVNDIEDVYFVSMLMGADLSNILKIQRLNDDHIQFLVYQILRGLKYIHSADIIHRDLKPSNIAVNEDCELKILDFGLARQTDSEMTGYVATRWYRAPEIMLNWMHYTQTVDVWSVGCILAELITGKTLFPGSDHIDQLTRIMSVTGTPDEEFLKKISSEEARNYIRNLPKMARRDFKKLFAQATPQAIDLLEKMLHLDPDRRPSAKEAMEHEYLQAYHDESDEPTADEMELNDDVKADTIDEWKKIIWEEITDFQVSKT >CRE07173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1814:6143:7675:1 gene:WBGene00075234 transcript:CRE07173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07173 MDANGLRQDALNAIENDIDFVPDWGKNRIKSMIEGRPDWCISRQRTWGVPIPFFVHKDTNELHPRTAELIEDVAKLIEKEGIDGWYNREAKAFIGDDAEQYNAVRDTLDVWFDSGTTHYAVLRERAELQDPADLYLEGSDQHRGWFQSSLLTSIAIHKRAPYKALLTHGFTVDEKGRKLSKSLGNFIPLEDIIKQLGADGLRLYVASSDYRYEIAASNEIFSRVSDSYRRIRNTLRFLLANLNGFKPSTDALPVDQLIALDQYILQRAADVQKTIQQAYEDMNFHVVTNALTSFCINDLGGFYLDIIKDRQYTTKADSTARHSAQTALYHLVQAFVRWMSPILSFTAQEAWPLIPEQTEKYVFTAHWYDIPVASSTNLISEADWQTLIEVKSAVNKQIETARNAKLVGSNLSAKVELWANTDLQNVLKQLGDELRFVLITSQAIVYDYAEQGEATELEGLRVKVSAAEGEKCARCWHVLPDVNTHHAHPGLCSRCIINLPTGQGEERKYA >CRE16924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:450263:451974:-1 gene:WBGene00075236 transcript:CRE16924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16924 MNNIHRFIQKRFYLRTRHAHPFGIVLDIDGVLFRGRNLLPRVKEAFSLITDKKGNFVVPTVFLTNGTNSTEKIKAAQLSEQLGFRIPADHVLMSHSPLRMFTDLHDKQVLVVGQKNATSIAKGVGFKKVTTIDHLRKWFPHLDCTDFSRKHVDPKETEAARKRFRPIEAIVMLGEPLKWETSLQLMLDCVLTYGRMDTMVFPLIAGGLRPDHIPIVACNVDLVWMADVASQLPRIGHGVFIHVLDTLYEKLTGQHLKFTATLGKPTEVSYLHAAHRIQRLAKAMKLGDVKYLYVIGYGIFLISLLTDILFRDNPMSDVLGARLFDRYLRHGGVGRFDHLDLEAFEGNDGEKPRVRTRNVVERCISILVETGVHQEHVHMNGVVKPISALIDNLSKGEQLLLNQPNFVEYDLHAAIRTILRRECYR >CRE13822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1221:2733:8256:-1 gene:WBGene00075240 transcript:CRE13822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13822 MAFILPSESIDGSPTCNGLHMNGQDSLVQPLLTDFYQITMCYAYWKTGTHNEPAVFDVFFRKNPFHGEFTVFAGLEDCLRFVENFKFSQSDIEYVKKILPENAEPEFYEYLETLDGSHLTIEAVREGSVVFPKVPLITIYGPLAMCQLLDTSFLNLVFLASLVPTNAARFCQASGAKLSLLEFGSHSFICSFSTRYELKLRSLNHKDTAETLDLFQASIEKRTWLLDQMAWGVVQSEVSDGELTAFVAYAIAFPDSFLALIDTYDVLRSGVVNFVAVSLALHDFGYRSMGCRIDSGDLSYLSKELRRRFVKVAALKEEYKFFETMCIVASNDINEETIMSLNEQKHEINAFGVGTHLVTCQKQPALGCVYKLVAQSAQPKIKLSQDVTKITIPGKKKCYRIFGKNGSAILDLMMLEDEPEPQPNEQILCRHPFEESKRALVNASKIIKLHNVYWKDGVLATPLPTLNEIKEHVNLSISQTLREDHRRYLNPTPYKVSVSERLYQFLHELWLQNAPIGQLE >CRE17675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig984:16240:17049:-1 gene:WBGene00075241 transcript:CRE17675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17675 MFYCVQKYRGTGLGKPLFQKVMDIVGDNNATLTGVVKMSAKYASDFGFDKVPEHWHLFSSLKCADVVIPDKVSEKYTTKLWSDVDYEALTAYDRTICVRDRKKMMTNWFNLPDTFTRVVFDESGKIVGYSTIRLVSKNKMSPAPFYADNLEAAEVLLKDLLNMIPNWQQYASFGFLHPECNKDPLKLLEKFAKNKESVSSATFIRSQFTKKLIPTPDQKVYALADCAHQFV >CRE03460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:5743:10098:1 gene:WBGene00075243 transcript:CRE03460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03460 MIVVIISVAGAFRKGKSFLLNFFLEYLYNLHKSQQSDSSLEWLTDDCQLHGFHWRAGVKRDTVGIWLWGEPIMIESVTGEMFAVLLMDTQGTFDNNSTYQQCMTVFALSTIVSSVQIYNVVDNIQEDALQHLSLFVEYGRMAMEQPHNFGKPFQQLVFCVRDFKNQEEYEFGENGGTDFLDNILQTNPEQPEEIKAVRELLREYFEDIQCYLLPHPGYKVAERQSFRGHVKDLRPLFREELKKMVPNLLGPHNLKPKIVNGKTVTCRKMIQYFKEYAASFDGETLPQPQSILNANAKLICIEAAHEAKVNYCRGMDRSTYGTRMMSEKKLLEAHIKHGITALNIYDKCPKIGSKEVRSLLLEKLQEDINAELERYKRLNEAKRVTGCASAMLACGDSVFLGIGLGSAASGAIAGAVLTLQAGVVSLGIVAIPISLTTLFFIWAYVWTKPCFEKITNKNK >CRE21064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1154:11691:12407:1 gene:WBGene00075244 transcript:CRE21064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21064 MIESVTGRKSLLFYWMDTQGTFDNNSTYQQCMTVFALSTIVSSVQIYNVVDNIQEDALQHLSLFVEYGRMAMEQPHNFGKPFQQLVFCVRDFKNQEEYEFGENGGTDFLDNILQTNPEQPEEIKAVRELLREYFEDIQCYLLPHPGYKVAERQSFRGHVKG >CRE08842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:946547:946963:1 gene:WBGene00075245 transcript:CRE08842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08842 MLTIAVLDMISLTYNSLVVGFLDLFGTHFCQYPVLIYLNGAFATCVWMTDCLACILLAIERCVKVNSKLFLSFLFGKRMFSVVMALTYLYGLYSFIFTIPAIYTSEYSCYLFDPLLGKDVRPLDSEKAYFSPGQLASK >CRE10038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:508282:517246:1 gene:WBGene00075246 transcript:CRE10038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10038 description:Protein kinase C [Source:UniProtKB/TrEMBL;Acc:E3M6X8] MKKDFPEIFTLKIVYFSFQAIDIFSLDPPPESETSLEADFVMAQAENACRLKLLRADVPADLLPAGCTPTDLQPAVNVKEKIEVNGESRLVQKKKTLYPEWEKCWDTAVADGRILQIVLMFNQTPVVEATMRLEDIISKCKSDAITHIWINTKPNGRILAQTRHLKNAPDDDHPVEDIMTSRSNSGPGIQRRRGAIKHARVHEIRGHQFVATFFRQPHFCSLCSDFMWGLNKQGYQCQLCSAAVHKKCHEKVIMQCPGSAKNTKETMALKERFKVDIPHRFKTYNFKSPTFCDHCGSMLYGLFKQGLRCEVCNVACHHKCERLMSNLCGVNQKQLSEMYHEIKRGTHATASCPPNIANLHINGDNKNNGSLPNKLKNLFKSHQYSVEEQKDTDEYMDNIWGGGDGPVKKFALPHFNLLKVLGKGSFGKVMLVELKGKNEFYAMKCLKKDVILEDDDTECTYIERRVLILASQCPFLCQLYCSFQTNEYLFFVMEYLNGGDLMHHIQQVKKFDEARTRFYACEIVVALQFLHTNNIIYRDLKLDNVLLDCDGHIKLADFGMAKTEMNRENGMASTFCGTPDYISPEIIKGQLYNEAVDFWSFGVLMYEMLVGQSPFHGEGEDELFDSILNERPYFPKTISKEAAKCLSALFDRNPNTRLGMPECPDGPIRQHCFFRGVDWKRFENRQVPPPFKPNIKSNSDASNFDDDFTNEKAALTPVHDKNLLASIDPEAFLNFSYTNPHFSK >CRE03586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2370:1051:4054:-1 gene:WBGene00075247 transcript:CRE03586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03586 MSCQCIFNYTPKKVFSIQQSPTSVSSLDMKAIGLYLLVAVIPVLVNSCLVVKSPPCTCPITLLDRTNIDQMAGQSWYDYVVQRPLTTPTIRHFENNCGAFIQCPLPYTLYATEYFKLDDRFKPDLVGYCDQKTNTWNVTTWDRTYLATKWSLICVDEKELCTPKTNTTFLFAYSNDMDAAKVQEMVETMDFLRGAYESLRSPQFTTLAHIRFDVLQEETIEYHKDYEEWLTAIGSKLPDPSLSFTSTEAESDALKVISKFLNNTQVPICGSRIFILLKRSPNEQDITELVAQMRKYRVYVYIAASSPSSGGSHPETIRRLTTQTNGWDYYYKDSSFNPFVSHQMTVNIENVNNFQMNLLSPFFFNENLIYAANVNLSPNGSTSLPEMTVPRNGNISFLNYDNLMTQGLFLNVAVYDMQLDYEISDGIIYAAQIRIYNSG >CRE29016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:94804:98877:1 gene:WBGene00075249 transcript:CRE29016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29016 MVVVIDSRADMAQERILMKKLKKYHIGILILSVLGVILLLWAIIATVYNFTGNRHTEHVVIEPKSKSAKYKNAAAVADSRFCAEIARNVIIQGGNAVDAAIAATFCNGVVLPYATGIGGGDFIVIYLKEEKKCVFLNSRETAPSLATEKMYTHDKESAQFGYQSIGIPGELHGLWTAYKKYGSKVIPWSDLVMPATQLAKGFPMHKAMVNYFDRISKYKGRPEIEGLRSLYTSKFTGEFYKVGEIVSNYPLAKLLRVIANSQDPVQLFYNGMVFEEGIVRVIFENPISENRETVPKISIPGSIAEGIIKEMIANGGIITIDDLRNYETHVILQNVWTATSVVMDYYSSYSEDSGEFVMYLQYRDKKMFNDAEFYHTLIEAQKLAYGQRGHLGDYLFSEVSMQLAKNLTDRKIIKFLSKRVMDKSQDLEYYLAAAPAVLDSGTSQISVVDDDGNAVSLTSSINTRSRRNLSRHSSFGSKMLSKYGFIYNNQMDDFSTPGFRNHWGFEATEANFIQPGRRPMSSMSPTIVFDPKSGEVKMVAGGTGGSKIISAVAQTLVRGLLLGQSAAEIVEMPRVHNQLTPFETEVEEDFSEVLCTFFQKILEQLEKEHNQKMEKTDESLAIVYPITRDGDEYTVAADYRRESGNSPAGY >CRE29292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1879:7083:8156:-1 gene:WBGene00075253 transcript:CRE29292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29292 MRNASMKAARFYDKGDIRIEDIPEPQVQLGTVGIQVAWCGICGTDLHEFIDGPIFIPPCGHPHPISGEAAPVTMGHEFSGVVYAVGDGVDDIQVGQHVVVEPYIIADDVPTGPYDNYHLSKNMNFIGLGGRGGGLSEKIAVQRRWVHPISDQIPLDQAALIEPLSVGHHAFVRSGAQSGDIALVGGAGPIGLLLSAILKAKGLTVIITELSAKRKEKALEAGVADYVLDPSQVDVVAEVMKITQDRGVDVAFECSSVNKVMDTLVAAMKPTGVVVIVSIWSHPATINVHSVVMKELDIRGTIAYVNDHQETIRLVEQGKINLEPFITQRIALDDLVSQGFETLIHNNESAVKIIVHP >CRE26010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1686:2052:4116:1 gene:WBGene00075254 transcript:CRE26010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26010 MYLKIHCTLQFNLPYDQNFHRCTLFRCTLGLWATFLCYLSLLPQILSVSVRTNTNSANNRGREGAQRNPFSRSSASIANTGVRNAALVFKCRAVGAAKKVEAKWLIKQLHQKIENFKPLLWDENNRGDFEWFVRDEAIASSIQKYSRRIFHEETGTRVVLVYTRVPAPWMQLKRSEIEIINRVVDKRYDAEYRILDLSEFHADEEFTSRDMLMNLTKGNVMLTVLDRIDDKYGNIVALSLARNRIRHLDYASGLVSIAKFVKELDFSHNHISVEKDLDKFAGLPVEKFFFEGNPIVETFTQKASYISYIHKTFPRCNLLDGVEVKPLVTEPEPTEPLVTEPEVNINDVMPFRAGYYPTEQIRILVEHFVVSFFDLYDGADGQRNRRNLHNAYDADASVFTLTIKHLSGSQFQRHHNDDCYRQYAQLSHNVLEQQYFAHKRESRQARGAMDIAVALSKLPTTQHMKNTFIVDVFLHTNNLLGFTVQGLFLDGDLTKDPSPSYFSRSFLVSPRENKPNYLFRHHHRND >CRE01510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2600:1761:2561:1 gene:WBGene00075258 transcript:CRE01510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01510 MLYRIKPALKDYFDPISDQYCADALLYRQQVTQSVAGQLSVSFSRDFQAQSRTPETIGSAWAQAAQIQIAQEQNAVTVHIADVLSDVVREFKTARHFLDVGAGAGRVAMHLAEKFTQLECTLLELPHVIEAIRPTLANHPAHQRLHCLAADLQTLDFQTLKPQPQYDIIWCSSVLHFVDDYALILQRLLTALSPNGVLICCHAEIDPSQYDAGIQSYYLNMRMQGNYVPQKDDIYRSLKQLGCQHIETIENVQFPVASVDVLIARK >CRE27988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1844:84:3077:-1 gene:WBGene00075259 transcript:CRE27988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27988 MTHEDAMTATNNTPVGDHLVDKIRRRRKLERTKSPLPTEPRIITVSNQKGGVGKTTTTVNLASALARRGANVLVIDLDPQGNASTALGVPHQSEITSVYEVLLGDSEIEEAIQPTTDNENLFCVPSTINLAGAEIELVSLVAREQRLRSAVVSFLERSEREFHYVFIDCPPSLGLLTVNAFVAAQEVLIPIQCEYYALEGLSQLLGNIQLIQKHLNPTLNVSTILLTMFDARTNLAHEVAAEVREHFPTQTLGVAVPRSVRISEAPSYGQTVLAYDPASIGALAYLEAAAEMAERGAPAVNTKAQRPRTRDRSPDPPVDDRGRSSRRRVLPRSHRDRGSGERHRARRGLGRRRIRFRRPVRGARAASTLAEPEPELQAVPGATLTRLAVEDIVPNHVQPRTEFDEQALEELTHSVREFGVFQPIVVRAIEPAPVEGPKYELIMGERRLRASKRAGLDSIPAIVRTTADEHMLRDALLENLHRAQLNPLEEASAYQQLLADFGITQEQLAERIGRSRPQISNTIRLLKLPEPVQARVAAGVLTAGHARAILSLDGDADAMLRLADKIVNEGLSVRAAEAVASEEPKRKAPKPRAGGRQGQLEEIADRLGDRLDTRVSVKLGAKKGQIIIDFATIQDLKRILAEIDDPGFGAAHRLRSRLHQGPGRGAVRTDRRSGPAAPLGRQRQPLRGRPRPARPRGRRRLPHDPDQERRRARQSHRRVRGGPPHRVEAGPRRGGRAGPPVALGALPRRGRHARAPHLRLDRAGGSEAAGRTAAAERRDQRVRARIRDGSEPSRVRGSNGSDDAVQVLLDHRLRHGADDLAHLLARLEHLHRGDGGDAVLGREARVLVDVHLDDADLARVLLGDLIEHGRDGAAGAAPFRPEIDDAWDMLTP >CRE11383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:182352:187133:1 gene:WBGene00075262 transcript:CRE11383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11383 MAMNLGMNDTVSAQLFKDYTIMYNYFLYMFGRNSGQTTDMLPVCKRLDTHHKIVEREHWSKIPYFVEKDNGQLVTIDGVWL >CRE02747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1281:301:3725:-1 gene:WBGene00075263 transcript:CRE02747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02747 MVPIKPLISIFQNFFSDKELRATPSQISLSSSALSSLIPIYTYIFISGLTVSYAFIRANPSPQIIKRPITWLVFYFHRWIRLTSALMVFIGFFDAYGKYIQGPYDALTGYSMVTQTDQCTYWKDIIHVSNFVAVDEMCYLPAWHLAVDFQFTLVAPLFLIAFYYSAKMGTTLAVIASLAGSGFTIYFFLKNNILHSAFTGDHVFDDKLVELILSKPWNQMAPYMIGMIVGYFLAEKAGSRRIIHPVASAIIWVIVSVLAVSALMFGNVGTRKQFRNTTRDWLFNIVPTGSSVTGLATANSDLDVAIHIPQAALIVEQRCKGKKVDAEEKKIMWREMQLNILQIVRLVLTNNEEISQMIDWEEGVNLVQAQIQILKLKTVDGIEFDISVVMDSFLSSMHNSFLIKQMVL >CRE19378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:28202:32654:-1 gene:WBGene00075264 transcript:CRE19378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19378 MSGLKIFSRFVFVSWILLQVGGVKIINDSRIQVLLSNSSFSVDKLVYRGKIPGEPDELPTPRICKKLISEWFENLSQLKNGRKCEKPEECLDALDQLDAFARFPAPGSPNIYDGSFRKCNELNERGRHRRKYCYLIQTDEKMNCREEYHSVLFHPNRTAFGICGPEDCHGKDYASIFGGMQQKPFHFMMDRPVCAVFCTVREIPKRNMFYVFTSFMILLVSIVFFSSLYDYIRDTRYRLSSDTEKVFGQRAILSFSLWSNIEFLFQENRHGYIKCLDCLRSITFTWIVGQNVVGHLAFYDKELRATPSQISLSSSALSSLIPIYTYIFISGLTVSYAFIRANPSPQIIKRPITWLVFYFHRWIRLTSALMVFIGFFDAYGKYIQGPYDALTGYSMVTQTDQCTYWKDIIHVSNFVAVDEMCYLPAWHLAVDFQFTLVAPLFLIAFYYSAKIGTTLAVMASLAGSGFTIYFFLKNNILHSAFTGDHVFDDKLVELILSKPWNQMAPYMIGMIVGYFLAEKAGSRRIIHPVASAVIWVIVSGLAVSALMFGNVGTSQLQRAMANIFSRAVWCICLAWIIVSTEMKWAGPIGHFMEHPFWRPFGKLSYCAFIVHHMAVYFLFNMEEQAPRYVSFWHEYFHYTVPIVIYSYFVAFFLSLIVEIPMIRLNKMLLDKFIPASKIEDKEDISESEKENEEDSDSLKEESEKSEKNQKSKRKPVDERSIEDQEENEMSEEWNAMDEPLLERDYY >CRE19377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:25763:27940:-1 gene:WBGene00075265 transcript:CRE19377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19377 MDPFEAYLTTPNEDPFFAFNKKYAAILNEFQDQFDILSCDMVDFFENSKQKEEEFVRKMNLCKTLKKAISKHNPDWLFNIVPTGSSVTGLATANSDLDVAIHIPQAALIVEQRCKGKKIDAEEKKIMWREMQLNILQIVRLVLVNNEEISQMIDWEEGVNLVQAQIQILKLKTVDGIEFDISVVMDCFLSSMHNSFLIKHMVLIDHRFGPLCAVVKEWAASTKVKNPKDGGFNSYALVLLVIHFLQCGTFPPVLPNLQFLYRDKNFIAMSEKDFPARLDFGAALPFPLPKIQKNEAPIARLFLEFLNYYSEFNFDKFYISIKHGKTKIRERSASETVQNENRKQVYIEDPFDSHNPGRTVRSLKNIQKIMRETMDKFDPVKFEKENPNKEKSDYQFPTLNSILKMEALSATVTVETEEEENVVPRTSASSA >CRE15861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3305:261:2808:-1 gene:WBGene00075266 transcript:CRE15861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15861 IFNETYKQGRKPIGEVRICRYQQLRVCSFLDYIRGGTSLKFAIAIDFSIRDPQNLTHNDYQQYSNDIEFVVRCLGETLEPFNPNNSWLSYGFGAKIPPHYRDSNNFCLSLDVDATCQGVNGVLNAFGKSHQHVHPLPGAKFSQIIYHLAKQAQNNFNRASEPPNYFVLFVITRGSIEDLKETVQAAIFASKAPISIVFIGVGCEGLDEIERMGNAGKRLEFQGRKSERDNLQFVNATKTRLECDNYSDMSTTFLEKALQQIPWQCSTFYMQNHVLPGKSSEEATGGLMAPSSSRRPSSAHGQGEEEELRMRTSSSGEQTRNRRHRLSNKMRSMTLDDSR >CRE23792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig717:11393:12127:-1 gene:WBGene00075267 transcript:CRE23792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23792 MPSLNVLIVGASRGLGLALASAMLEDGHRVFGVSRGSNPPEELAAAARSGALPWIGADFSDPRGAAASIADALPPSLDVIVYNIGIWEETAFSEEYDFLGSGAEEIESLVTANVTGPLLLLHRLLPSLLVSAHPQVILTGSTSGVPRSGRPEVAFGASKFALTGIADALREGYRHHGLSVSVMQLGYLNTEDPLSVPVEEAAARGAGTLVPVHDVVEVIRTMMRLSPASFVRELVLPAILDERF >CRE23789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig717:14390:15256:1 gene:WBGene00075268 transcript:CRE23789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23789 MAVVTIRQLLDSGVHFGHQTRRWNPKMKRFIFTERSGIYIIDLQQSLGYIDDAYEFVKNTVARGGNILFVGTKKQAQEAIAEQAARVNQPYVNQRWLGGLLTNFQTVTKRLERMKELEQLDFEGGTSGFTKKELLLKKRELEKLQKSLGGIRHMTKTPSALWVVDTKKEHLAIDEAKKLGIPVIAILDTNCDPDEVTFPIPGNDDAIRSVSLLTRVIADAAAEGLMERHQKPAEGETAAEPLAEWEVELLNADQATEAPAAEAPAAEAPAAESTEAAAATEAPAESAE >CRE10721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig699:37943:39208:-1 gene:WBGene00075269 transcript:CRE10721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10721 MGQVTYFPKAAQEVSTLVTFYSIILMLGNGIFDSSDPFYVPRLFLQFAFASSCVFTFFKSLMVCHDKMRKTGNRDKYRRDYLTGCFGMILAIYTAFIYMCYNQFQLVTSSFLFFACNYVSILFYLTFIRDCESKCRVRPVKGHSIMIIALFHVIGVITTYNLLILRGFPHYGGVLLAIQVMYSFELTLLVPTFFAVLMNHIEIPINSTVMKTGEVGTMRELRSFDGDGVSYTSVDETGESALMSETGAECEICLMKYDGAVEKHTPRILIKCGHTMCQGCIGNLLEYNSHQEVCCPFCQQVTVVNGGSVSHLPKNYGMLKLIR >CRE10720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig699:36244:37301:-1 gene:WBGene00075270 transcript:CRE10720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10720 MGGLHLTEISHHFNLFITISIAIFLFSFGGFDFKSKYHWRIFYATLFTISLISCLIGILKSWTICRNGKNQTGVLERCKRDYTIGSGGLLLFACIPYFVICYFHFNIKIALYVFFVFNISSVVLHYAFISKLQRLCRLKYKGSHCVLATCFHLLVLISIFTFKVVFDRQIAFVILCFQLTFLYALIFNTITLWTVRGNRIELMNIEMRIIENGEAGDDRTPMGTNVREQDSSETGDPHEVCCNEIDETSPREIDAQATCLKCDLCMLEYDRTIQQQTPLIPTSCGHVLCHKCIDIIMNQYDQQHFYCPFCQSCG >CRE31607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1287:9943:11119:1 gene:WBGene00075271 transcript:CRE31607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31607 MNPPKPFPILCLLFLAIEEVFKALDPIEIINFSIISKRTKGIAKQMSFFPKYSMGLFINETLDIMFCGTGDMVSWFYAMTSDIKMDGKIEEDESDGCIIRRVFKYSKDPVEEWKQLFKHVREIFKKQTIDVLRITVDSFLGQNVSIIEYLKVNMKSVDLCYLFQTNYINNVDKHTAYLLDNIKIISELTHYLYTENYDFDGKIPKNLQHLCIYNSQWFGFERLLIHDETKEISGGIDIRKIDGKTATFFVHYTGFSMSVH >CRE31605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1287:5839:7107:1 gene:WBGene00075272 transcript:CRE31605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31605 MDSPNPFPLFRLPFLAIEEVFKAMNPFEIINFSMISKRTKSVTQQMTFYSKYSIRINASEMLEIWVAGPKYMTQCFYKFTTNQEINGEVVENTWNSRNELLVWKYSNNPVEEWKKLCKHVLKIFKKETIDLLLMTMDAFVNQNVSTTDFLKANVKSVNECYLFQSVKENDINEHAAYLLKNIKITNAFSSYLHIKNDNFNGKIPKNLKELKIHYSQWIGYERLLEIDCKSVILEKNLITNKEWNMFFKKWIAMKTHLKLEYLEIDYRDIEKFRALVLHDIPHEVVDRRVKRTFKTRRNETQEISGGIDIKRIDGKTATLFVYRVFSTDRFAMSIH >CRE26889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1405:6906:8015:1 gene:WBGene00075273 transcript:CRE26889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26889 MAGSITPYETSAGKRYRVRYRKPNGAQTDKRGFKTKRDAELYLSSVTVSKATGAYRDPLEGKQLVSVFGERWKTGHLTSLKPSSQLTMETAYRLHVEPVWGSRQVASIRPSEVSAWIGKLTTGSESRKALAAQTVRRAAFVLSLVLDYAVQDGLMPANPARGHRLPAKRRKPNVYLTHQQVERLATLSEKPDLIRFLAYTGLRWGETAALQRRHLDFKRSRIRVESNVVMVKGEFKTGTPKSGESRTVPVSDFLELPLRRLVRGRPETAYVFGSDTTPPMRPAADYSWFVTAVKLAMAEDPEFPRITPHDLRHTAASLAVAAGANVKAVQRMLGHTSAAMTLDVYADLFEDDLDAVAVSLSKARTAALA >CRE14870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:340243:346971:1 gene:WBGene00075274 transcript:CRE14870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14870 MEELTDDPEDITGTRIPVDKTDDLIQGPSTSQIDETSNESATSSGKISNPSEPLRCETCCEMAVLKVYKQKQLPNGGKIEDIFKNYCNKCEFHFGMCSKKVRGVYSYVTEEGRILTNAEKLEYFRAEKRKRSSSRPIEEKSPPPELKASSSEISTSSNGSSNGSSEEKDEGIEDIGDETEEAPESSGAPESSGAPEGVVAEPQPKGRPPRKKAKKPVFGGKRPKTNWSSSKKKKTTSDSVAPVRRVTPPKKAEPKVKKEDVKKGRGRPPPKSQNSQKDIPSTSSASSSSSVFMQRPLLKPISTESSSCQTDLDMLLKSTYLLDAYNETMREGLGEQISARLKDQPLILRKQYLLMLKTIQSQAKDIAQYRELEQKYKKAVEHLQAFGRATRMNFSDELRSLRADMVERKKEFQDHETEMVSMFLREKKKYDAYKEQMSQKYEDQQLEYSLLEAKYQHLEQENVYEKERAEYFLQQMDRAKSKIYSAEERANTSERKLKDRLFLANNERCTGCDARESIRTKLMGEYADMKVEVENAKAEKEEALKKAALFETAAMNLGKDCDAKKYESNTWKAYAERYKKDVQKLQQIIKEKEKELADKAAETPRSIATPKSVALTPIYPERITPPEDGELPATPPQGSATPTASKPVEKPLAQKVIEKKAPPPIASGFESWIPKEKLNAPPPEIPKAVSAFGVPIKTPQQKEAERPKLAPSTQPLPWETMAKTVKTSDSLAEALSRAQADAFSGNGNTPVHASKSYIEEMNRMVNVRKRVGEEVEKKSTKPSSSPAPAPKKPKLAGSSTPLPLPSHPPVVPPAAATPKYNSPGGSSTPSQKSQGGVGSLSNLRKIPKLSEKPPQPEFAPALGLSDKSKSEDSIPGLGMDKLDEKTKEKEREELMKIKPAVIPAQKSLEKPQNQEKKQEKNPPPPTPKTTKSLPNQQQTPPKKKNRKRPDWHKKNADNSPPLNPWRQQPSSSQQYGIQTNQQRGFQMDMSLDRPWSDTGPPQRNAGRPPNETFTSPLPWHRDNRAPPVPMQDTPFGKVPIQDFAQRQMLYRPAPQQPPQHPPSFYPPPPQHRDNNFYSSRDFSPPNHHQHHPQPRMFSPPPFGYSSQPPNNNGNPRSFYS >CRE14906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:337199:339931:-1 gene:WBGene00075275 transcript:CRE14906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14906 description:KRR1 small subunit processome component [Source:UniProtKB/TrEMBL;Acc:E3N1T6] MSKAAKPPRGEYEPDWADEPEEEKKTRKETAKMFGPQKDPEWWDINTFSKEDNPNGLLQESSFSSLFPKYREKYIKESWPLIEKALGEHFLKADLDLLEGTMCVRTTRKTWDPYIIMKAREVIKLLSRSVPYEQAIRVLEDEIYCEIIKISSMVRNKERFVKRRARLIGNDGATLKALELLTQCYVCVQGGTVCAVGPLSGLKQINQIVSDCMKNIHPIYNIKTMMIKRELSKNDELKDVNWDQYLPNYRKKVQSASTTKEAKKKKAYKMKPKGEYTPFPPAPVMSKIDKQIESGEYFIRENERKLNKKRAKLEASAVKTVEKQKQKLKVYQAKEEAPREKQTKKRPTDAPIDLEKLKKKAKLSKAAK >CRE08631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4179:3286:4155:1 gene:WBGene00075277 transcript:CRE08631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08631 MTGMQHWSLDGLHFKECEYYANDNKEKQNSFATVPSHIAKNLIIHGKGKKFKVLRGYVFNICNTDKFEENYSPLLLEIDPPIRLDIPCLLEAQPLVEENNRKVVDSSDFDAYGLDGVSMKHHRVKYVGIQSEYTDYRYMKIIPFYQSSNARGGPLVVNLDGKATVAGLKASSTDIYNGHIYFNFIPMLEDRICEHSGICSVENLAEALKKLPTTEAPPPTKSTERRGLSQVTPSGSENSGNT >CRE09205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:678923:679443:-1 gene:WBGene00075278 transcript:CRE09205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09205 MQSSILILLALVSIAAACPGLFEKMGGGGGGCGCRPPLPPSSCGCGGRKKRSLPDKPAAPEFFGIAASDKDELCNNSDLKKIILEVITFNIFQLISIFQNMQSSAIDSSKAINGALEVKELSRFTVVCSENSFVFTIRADTAYCGAKKNGHTCNVFSM >CRE22252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1951:3814:4626:-1 gene:WBGene00075281 transcript:CRE22252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22252 MPTSNHQDWIRTASEIDRFERIEAFFNDHAYEPHRHDTYAIGRTLSGVQSFHYQGVMQHSQQGMTMVLHPDEKHDGESGTQDGFRYRMVYIEPAKIQPILKGKPLPFIQHGISTDPRLFKATNTFLQSIENHMEVLEEEDALYDLAMALNEISVQPLSQRLSFDYRAAEQAREFIHAVSERNISLDDLEKSIGRDRWSLSRDFRLLFGTSPHRYLTMRRLEKVKINLIKGASLVAAATDAGFYDQSHMTRHFKQAFGLSPAHWKKINHLP >CRE22251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1951:582:2075:-1 gene:WBGene00075282 transcript:CRE22251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22251 MKFKTCYSLKALSKLIQVSSFAALNTAFVSVTFAENLSYVQAEQYLLENSYTTQANQALNQASKLQAEAVKNIGLPRIDLNVRAYKFHTETDIPLNGVKNNLEQTLSQGVNDRVDQWQSEQNIPSNITDPLKQGLNQGIHSGIGLIPDTANVVLEDEVVRPTVSVMMPIYTGGITSTTKQIANLKVERSQLDAKQQQDTQRFEVIQAYFNVQLQQQLFNASQFNLSAMQQHYDNSLKLEKQGFISKGQRMQFEVARNNAQRSEQNASANLQASQFQLQNLLHKSKIDQLTTPLFVNRQQSQSLNQLLASYPETSSLVRKMQMDTQLANQNVKIQSAAKKPTLFAFGEYSLDQNQNWIVGVAARYNLFSGIDKNKNVQAAELQRYATELMTARTQQEIENIIYKSYSELATAQQSNQLLQQNEQAVLENLRIQQLSFKEDMGTATQVIDAQNQLSLLKTEKALNAYKYVLSLATLLQSHGSIEQFKDYVNQTNTDYIR >CRE22208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig871:4864:11222:1 gene:WBGene00075284 transcript:CRE22208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpoa-49 MWVSSDELNAKKTACHTRNVESNREFAHSDIVASFVHNRPKNLSELTFERHGKAGRKKAPILSVKGGISEKVVEMGQDVNCLDEGFDFAVAIVDTTTGLATYRPARLYSFESKYSEDVEKLLNADKKSLSGDNFSEDFSISAEKWAEKRRQLTSNFGSSKKIKMDEAAQRRTINQETLDEMRKTAFASNSNVEDSEDVKLEDITMMAKATSSILPKAVQSELAKDIYPISLFIEDVEVEAVEQIAVELMELKKKSKKALIEAGIPDCVTLIMYNETVPKRAAAYLLLATMCEMLGKMGKQRQMLRKEMAELKMPDVLRQKVQSQFFGESTAEKGYTGRGAERIRLNVTDYDRFVAHTLALALTLAPEHKVPLTPFQQVVGMQPSKLEKMFQALGADLLRLDVHTAQTLRSLRAAVLLKPPSLEQKGPRKIIRR >CRE28658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:69792:70982:1 gene:WBGene00075285 transcript:CRE28658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28658 MRIIFSILSCLIVTSSAAPLPVISPNIHRSPQHKHSFLDLPWSGSSSVNLDSWVCGASDTQFYASHKLFAYTCDEKMPEVNLCCSVHDACYDNLIGGRDNCDEHFCKCLTTALTKPNLPLGCTTIVTDAACKLAEFFGGYFYGEKTRDVDILISYHPALNQTANVEYNRLYRVCPGYRKPLLSCAYNHLVCKLGMLPFERYPQEYKDCREHLITCLEESSKFLEMRKIEKCSKQLDIALESIKDEYPTFNVFILDARLSGLDEMYHYHTNATTTTIDYTVMETSSSTETSSISSTEVNDILIINEIETTSEISLHDVYIPEHSENDII >CRE13238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2860:3055:4741:-1 gene:WBGene00075286 transcript:CRE13238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13238 MSYNKYVLPSVEEHEDLVKNRSPADDSVNKILDAPMENDVKLSLLQQQISSLVKKRNDETKPVKEAEKEKEVEKQPKFDENLEDDVFVHLSKKEKLLERLYHDPKSGLRGPSTLLAQARKINPHIRRKDVLSYLHSNNAYTRHFHKVKKIKHNPWVARGPNSHHMADLAMLPTLKSKNKGYCYILVVVDVFSRYVFARPLKNKKCETVTEAYKDILLSAQRRIPSSLYTDKGMVQLSFMCAHILFSGTEFMGKEFQNFVRSMGITFYNPKNTNVKACYAENVIMRIKNKLEKWFTVSQSYDWVSVLPKILEGLNSTYMDSIGTSPENVTWDNAYKIWQRLYGGSKAQNPVYKVGDTVRVLIENSPFAKGTRAKWTNEVFRVTKILNYDIPVYILSDSNEEEIDGIWYAEEMVLYKTNHFGK >CRE13237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2860:7:1171:-1 gene:WBGene00075287 transcript:CRE13237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13237 MAKLIESKSAPSAPSNICLFDTPPSQVAFTKGTWMTYTTTNAINSKGPYVFNVYDSAHFFQLNKTYVSFKLKLKNAEEDPDTPPIQYTNFIGATFFDQVKVTFNNVPVYDSDYYAYKSYIQTLLGENTETKEGFLSTAGWRDPNSKDARVLTTKSELDLYAPLLLECFQTDRLLIPHVDIQLTLYRNSDAFCLQSSKDTKAELEIFDLKLHMRAIDVVPSATLALENRLRTAPAQYPFTLSKVKIIGVPEGRFELPFSTIYHDIVPRRIIIGLLNPNADVTKDSLEFPHFDVSEIQLNAGGNAYPPQPIQCDFENKSYAQALARLYDELGCVSNKTCPCITYKMFRSGHTFFVFNIAPIDTSNSWELVQAGCTQLLLRFKKSPRWRAE >CRE10782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2578:849:1997:-1 gene:WBGene00075288 transcript:CRE10782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10782 MSKITETSDPTESTPQLPQPLSSTTSSTHSPSKPTAGITPDFYAELQKAVEETARKSESMRQQLLEEQQEGQRRMNRRLEELETQLEQAPQQKISEDMLKQLEKEAEKLLEETKEEVKRKMMSLDRISGELRLRRAKIQLKKEKLRKQVEAVEARKRKIGASEEAIKEAVSQQLEKSKESIEARCSYPQADTGDVSNTVSSVVIPPVIPRSINFTTNKETFDSSWRYPTDTWKVPRRSPAPPSYVHNIPSSSLRKTATSQIARVSVRRRDRRGSHDKLTKLNKFTIAKSYQNNSLTQASGDGGTATTSTSWRRMQKDEQGGAAAKRRREDGGNQQPSTTGSQQNLYGYGGGRTGESRQRPRNQVTRASYLPASELFPQRQRK >CRE15486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:213662:215221:1 gene:WBGene00075290 transcript:CRE15486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15486 MTHHLPDRSCLPFLRLFVLCRTISPLSSIYVSQSSLTFVHFIVSSHNSSSLVTFSDMYSILRILAALCFIGVVDSYLPSDYGGKSPESSIEESNWVDEKEIRERLSAIAAEKFSHHYHEHQVENQNVTTATKIRSVIRSAVEKTRRKRDGGIVSGPVATALVTGMIGMTAKTVAELTSFNPRLSEGGCEWFGTAPLCNFPCPSDYDYIRANNGRCSSWWLSGFCSPDPSFGKPCSTILGDYFSKRFCCKSDPMECTWSGRWMGANTAHNIYCRYDNVGKCGTIDCSINHFTLKAQNSSEITGDRCDRLELFGLRGKATCGYIAWFNEEGHIVNSWYKTR >CRE24343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1835:3880:5478:-1 gene:WBGene00075291 transcript:CRE24343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24343 MSSKNPTENASEHERESNSRYREANVIRKEFDSHRDSEFLNESSNYNDELYRQVSAKGVDQLSCEKESSYQKEMPDMFEPPYNTVFEEMTNILEEERKLKNGGSTSESIETVGNEIERLVEVWAQIVMNDPNTENQDPLFYNKHVHKSTSAQSVVMDGLITVWNLSFVSVHEKTICGFEQTRKIPGMPPGPSRSNCSFVLQENRQKNLLKEMELKVKIEYIRENTHYCLFQIAAIKKQRKRKLAGLGENDDSMDDIAMQQRRIKPDIELQSKVNQMLAVILEYTDEDGEVIAEPFQTLPTKRELPEYYKEISQPMDFDRINRKLQTGRYATIDEVNDDMMLLVNNAQTFNEEDSEIYDNSKIIARMWKEQYDKVGRLFSESTYYCFFQVKSASKPAVVIKQEPQSSHTVPSQKTNLGSPSEAPPLKRIKREVHDEFMDEEQVISFIPEFAQLVYHSFIITSFQPSTSEYRAANRNPDERGDYDYE >CRE05313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1132:9488:10722:1 gene:WBGene00075292 transcript:CRE05313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05313 MDTPKPFPILRLPFLAIEEVFKTLDPFEIINFSIISKRTKAVAMQMTFYLRYSMGLFINETLDIMFCGTEDMVSWFYAMTSDIEMDGKIEEDESDGCIIRRVYKYSKDPVEEWKLLFKHVREIFKKQTIDVLRITMDSFLGQNVSIIDYLKANVKSVELCYLFQTNYMNNHLCIYNSQWFGFERLLEIDSESVVLKRNLISDEQWNVFFKKWIAMETHSNLEYLELDYRNIEQFRALVLHDIPHEVVDLGLKRVLKIIHDETKEISGGIDIRRIDGKTATFFVHYTGFSMSVH >CRE05312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1132:7279:8552:1 gene:WBGene00075293 transcript:CRE05312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05312 MKPLKELPILRLPFRAMEEVSKRMHSIIKMMSNSKNNKFPILRLPFLAIEEIFKTMDLIEIINFSMISKRTRTVAKSMSFFSKYSIDFYINETLDVRLHGTKETVSYIYVMASDIKMNEKSEEKKQNGNIIRKVYKYSKDPVKEWKQLSTHVLDIFKKQTINYLSMQMDAFVDHISIINFFKATEKLVDDCFIYQLDQQNNVDENVAYLLNNVTISNSLETWLHIKTYFFDGKIPKNLKELYINDSRWIGYVKLLEIDCKNVILKNDRIWEKDWNLFFKKWIAMKTNFNLECLQLSHKHLETFRAHVLHDIPHKVMDGGIKRVLKTRFNQTKEISGGIDIRRVDGKTATFFTHDNGFMMSIH >CRE05357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2012:1059:4407:-1 gene:WBGene00075294 transcript:CRE05357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05357 MVGRLAIERLFNTSFQFSVYGTSADNNSSDSIENDDPFDLNSSREFLRAKRNAPIPDKLKELIDKSSTLARISNGISLQSGLMDGSIKIEDVVAELLNFGTLKVSDVVGFNVDLVKELAGKMEKLPTSLDKSTIELENAGLGWNELRKKSEAVKGVTNLTQKDEYFTALGKYEKSFDFDVFEEADTALTKILTKLKDIEELKIKEKPQLVDLKNIHTENFNPIPNVFEAALGKLEAAQKTVQIFAANKILIDSHSAFAPFGTMIQLIKIRYELEATAVSSIHKALGNNFQQLTDLISKPIEPEIKGLSSLIHSRIIPDFNKQIYTSGFHNGVTDLKKLALEIRDPWIEEFTGNAISTSRLADGIQTLLNVIYKVVHIDEKLKPVSTNNNPRSISYLKHVLQEVSKMPQKSAELVNIFSEIATCREKSKKIGPANYKDGQKVIEKIVAVGKVFAELAAAVKRINIDQHQKDMNGFIKFLGFKNIKNATTSPAEIPAVMERIRTTDTLKKFKELIAGIKKGFTIERDALKADVKNITSQKDAISTDGFKEEGEMHACLQTLKDKFEKFEKAIGVTRKLSGIDSATIQNVENLASIVASVKNELKSLGSIPDSMKKYAKKITTEINKWPESLKSSRKIGQSVALLSHANDFKTLVSSGELDKFDAPVQTHIEAMKQGKEQKRINTLWGNHKKFVSDLKASSKSIDKIGTSLKLDEIKTFEDYGTVMKTNLEAMKDVKIDVKAKIEALDALIPLTKQPAELEKIKKTLQHLESLDLAFSSHVSHFQKVPNALKSLYDFLVKFSIEPTQAPPPRSGKATPQAFYVDRDSSGYDNKRKELRREQEATTERILHGVFIVVSVVLVFVVSVTAYDKIRTPKDDLRHWINRKRYLSAKNGRVYHDGYLNEIAIETEQLREQMKRQSYAYLPERKHRNPEILCNPETALKKLMKDDKKMPIHANLVKSRNGKRFIACQVRFPENPGKGKNIFWVRRRNF >CRE24880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig915:5110:8696:1 gene:WBGene00075296 transcript:CRE24880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24880 MEIARLSELSLQSVVDYICNGTYDNVDYKLSPNLSNQIYHSVINKTKEAPNKNIIKQIKNKLQLTKINHMYHKLNRNTMQMFRSHILDHLMIGFLDFDDFGKKYKDENDLVDVVSVLEDSTTYYCRKNLKLLTIRVQLNYQSGWAEVVGEMLPNLETLNITGIKLTGKEFPNLCKSFPKLKTLDISFCAVTNLKGISNLKNLEVLIIRGLNLATPEDMDDLFGCKKLRMLDFAKSNRNEGASVMWNYMKCGKVLEELEFLDCNGTDIDKSMVEVLMSTHKKLKTIVTLDSILDFSTIPGIELLNSSTPQLMIKSLHYYIAVNRNPFISWMLSLFRKTYLVQWTEDGDREVLRDFVRVICSAMKNFCWDPCVFYEGVKCLLEITKEGNIKGLGPMEISMLIDQLIESGTKSKTLTYDVEKLNAAYSCSWNLFHQPQILNSPLLKIKKLCWYTVDFLASKFAPSIPTKQLNILWNMLAIFDTEDAKKLCERTDLLDSLLDFLHLCNTESQVFMEEHRESINIVLEVIYSMTKVNDETAHHFINKKVQKIHAIPLMIQLSDIKWNRWPEQIKVFEILVNLTRVEKFMKRWGRYLRMNSKFLRNQLKGYYNYLNTSIGTYLATVKAYCSMTILSCLMCLPNQNKKKYYKWKIENTEMVKSCQKIQTSHISMDLEFYLSCSILHDTLKKSKYDGPVMWALLTMKAMLERDIDLVEIFKDSGLLSAVQRVRSEEKGVMKLKLEVLRLLY >CRE21070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1273:7454:11657:1 gene:WBGene00075299 transcript:CRE21070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21070 MYTGSVSVFYGNTSVRGVRYDSRVRPVMNHSKPTTVSFSMSLYQILSINEKQQKVDLNVWAIQKWNDDFLGWNPYLYGMINTTYCHLTRYGCRILISVTGERFFLMNYVVMNREETERYINVVVTTNYWKGEKGAEVKFMYPALYRTSCLLDIRFFPYDQQACKLTISSWTSSKSDINYEPEYESVNMDNFLPNEEWVVVSFNIKRVEEKFVCCPEPWVLLEAVLVVRRKPLYYIVNLVIPTSVITLVAVTGFFTAASTSSERREKLSLGIDSLLAMSILMMMVYEQMPTSSDFVPLFGIFYLSITLIILSCQLISVYIKYTTLFTITSFTETTFFKNEKQQNVDLNVWAIQIWNDDFLGWNPYLYGMINTTILPFDAIWLPDTYLYNSVVMNREETERYINVVVTTNYWKGEKGAEVKFMYPALYRTSCLLHIRREKLSLGIDSLLAMSILMMMVSEQMPTSSDFVPLFGIFYLSIIFIIFIGTLFTAFILNVHLQKMYAKLVSPIVSYIFFGRIAQWLRMRPPTMLLELWNETGETFGKKDKTKAKRVEMKNQKMPKVTSSSSGLNLLRSNSGSGRAPLAAPISARSYISMDDMKRGAARRNWRRLVKKINSNKQNGVKNCGGGERGQLRQAGRKKVPAAISVPHGEPGPLMLSASAISAFSVTGDSTILESKLKRSFRNNEISKFIKSIFVCFHITLNFTYALEWEYLALVLDRALLIVFSLVVFTVTSIMILVGEAMHLSYELAAKEF >CRE21072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1313:647:5869:-1 gene:WBGene00075304 transcript:CRE21072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21072 MSQESTSTAPNTTGTSSKNSCLFLDFLISVPPKERQRMLQKPSFFIYRMLPPIAQQVTIQLIWKGSFPKADDLEVTKSIEDQVKLLEDLGIVSKQANGKYLIDNDYKRSYMYAAMLGAASISSLVLETNDEKRRGKEVEKKAVERWDCILRYLALPSEENTQAVSETTRNLFKKANFTSGDSRIEITTSGFQFLLLSPVKQMWTYVIEYLKLEMSQGQDIVEVIEPLIQIVLLANRGFKAEKECYQIDPSWSIPQQELLNHLRELGVIFIRKRKDGVFFLTHLLTHLATNETIDDTSAERVSNGKVIVETNFRVYAYTSSLLQLAIIALFTEMTYRFQDMSVGMITRESVRGALQHGITAAQIISFLRANAHPQCIATSGAINCLPITVADQIRLWEDERRRMDLKDAYIYSHFESEDEFQGVCDYAQERGILLWANAQQKLVIVNEEGHEYVRQWYKRSKGGETSTS >CRE29389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2089:2485:3435:1 gene:WBGene00075305 transcript:CRE29389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29389 MECGIDPNALYYEITANGKTIKGDSMQVQTYWISTQDKQKLYAKTWGNAENPALVLVHGYPDNQEVWEPIIEQLISKFYIITYDVRGAGQSSVPKRIRDYALARLSLDLECVVNELLPNRNFHLAAHDWGSIQSWESVTEPKFKNRILSYTTMSGPCLDHAAFWMREQFLHEKPKFFKQLFKSWYIVAFQLPFLAPTVWHFFSPQRWGAILTQLEKKKGLPLNQNIAKDGEHGIGLYRANFLPRLTRPRQRYAVCPVQAVVLLRDKFVSPELIDEVPKWATDFERVEVDANHWAVLSQPQEIAQYIQTFALKNSES >CRE04461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1891:8:1002:-1 gene:WBGene00075307 transcript:CRE04461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04461 MAEALMRWQEGLDRVQAAPFHLFAVDNNTDVGAPGTAGAELVAPSYWGFINSIFLPTVVFYTVLWFAVYACVQYNCWLSWQEGIKRKRLLNLTTSLIHSTISGLYLFAFFCYNTKLMFAAPLHYYSYLDSQIITLSIGYFFYDGIDLVLNDKLSISTGVLLFHHVASIYVLSTAVLSKKFLLYAYWAMLMEV >CRE22735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1998:2768:4414:1 gene:WBGene00075309 transcript:CRE22735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22735 MEQFDPNFRIKLAQRVPSLQSVDQLTPLSLEYLRLDDNITVVNDTKYALKVFRKYPANCPIPRHHVIENRDGGCNYDIDQLGFRDLEKQKNPGNIILEGGRVDDLQHQNSANGKKELERLLAYHEGILASCKITVSSRPKVIEPSIPLEPMVDGNDYEDDDDDEDEELSETEEDNYDLFYLDDVVPKPTYWEEINRIEAALLPFHYDGRLPFEPFIQLIIESDSAKSIECYKYTKLDNAISLLRKKMFGGRKLITVGCLEIGMNSEILRVPPNLKFKVNELRIMGSLGLTLEELEPIIDPSSYPLEILEAGIDEHQSKVTKTVAKTFVINNDRFISPDVVRNLNHPNVHFKLDRLKGLQFPGLILSWIEMNKSVGTRVSFSICLEDKAAKIVEVVGKRARNAMMANDKSCVTIPMTDSSETKVYYEDLEIGRILIIEVAQVNN >CRE15534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:98487:99074:-1 gene:WBGene00075310 transcript:CRE15534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15534 MNHLYLVFILPAVLAVQLHNHVGFIRFTQPVPDFLSTLSLKAQYDYKVILENETIPLNTKSADFRKWANTYNAMTQYTQYEIQQNSTKVQMEKNVTQLISQLSLVNSQISKILENGSLSIKEQREAVNELAEQQYPKEISTLLFIRLLFNPQDEISNKKSIV >CRE13854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2181:547:1394:1 gene:WBGene00075312 transcript:CRE13854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13854 MAFIFALLFCWIISVFFEAIESFLIPNIPLPPLHFPPSQTVSIRQPDVSVPTPPFLLLHIPYLPLGRIIDFMEPKTLVSLSFCSQKTHSVIKTQRRAPFAGRLCVSELDSNLSFRTFGNNNCVLSVRDCSYFSSSERSDYVIIKGQYVPVEVYRSKGNLVSYWYNTTDGLKTITDYVTDLFNIDVSEVCVSKDAINLIEWAIRRQKTPLDCLQRYIIRRRTDLYFEGLSLFSSNTNQLICSTQFPFLGKLTKNRFLIYLAWTMGYN >CRE05296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig852:5174:6244:1 gene:WBGene00075313 transcript:CRE05296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05296 MTSTRVKAAIKDAYTNPKNPSAFTSVANIHKFLKPKFKSLTYEQVEKVLEDLESFTLHRPTRKRFPRLKTLASGLFTDFQADLVDMSKYKKTNNNTTFLLTVIDIYSRRLYVRPLKNKGGVEVSKALSEIFKEIGTSPMSVYTDEGKEFYNTNVKTLFKETGVSLISTKSELKCAVIERANRTLKTRLAKYMTQKYGYKYIDVLQKIVKGINNTLNRGIGKKPVDVKRGDFMVPLPEDVEKRTKFQVGDHVRISAKRQIFDKGYDQGWTTEVFIVNKVLYRKPIVYNLLDTNGEEIEGIFYGRELTKCTYGRDDLYRIEKVLDTRIHKGKKQSRVKWSGYPDSFSSWVDSDSLVNL >CRE05298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig852:6293:8363:-1 gene:WBGene00075314 transcript:CRE05298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05298 MCESTFNGWNPIIQGCTLASYVMFVLKHEYIKQGEVGYVPEEGYGGGNNSVLALKYIQWLEKKNPGLKLKYKLRGGEHCIDANGRKYYLDAYNPETREIYEIYGCLYHGCSKCHPIGEKFSPVNKNRRMESLLAETLRREAELRAEGYTVHAKWECEIRKEMANDPEMHKFFKLCRYTHRLIPREALYGGRTQAFRSITQATTTSLLNYLDFTSLYPYLNAGGTAYPFGNPRIISAELPKPEDPLTYRGLVYCDVLPDPNAEIGFLPQKISQKLMFVLCRTCGESQNISRPCTHTKVSERYLTGVWCTDELNYAISKGYKVLRYHEIWHWDRWVAGGFFADYIKPLLKMKHESSGWPRPDMTDDEKDAYIKKIWDMDGVQLDPTKIKVNKALRSLAKLFLNSAWGKFAQNPDKVETKLIRLADAVGMTKFLNDPKYEPVNMIPFGTKKYFLSRRPKKEALLPGGFTNLAIAAQTTSAARLRLTQAMEKAGIENMIYCDTDSVIYKENVGENKLESMRGEQLGFLTDEIPAGRKLKEVVVMAPKMYALRMEDEQGASTYSVKAKGVSLTSKNSEAISFNTMKETMNDFISEGISEPLVAKMMTFKRGDNALDGLWTCVTDKRVNPKMDKGHYDIHGVVTPFGQLPTNTLLIDDYPFYDQ >CRE31641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2047:5794:7663:1 gene:WBGene00075315 transcript:CRE31641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31641 MVIILVSIFTPSVYWLFLIMLIFGWTELVGIVRAEFLRARHLDYVQAARALGVSDLVIMFRHILPNVISSSLSQLPFMLTANITALTALDFLGYGLPPDAASLGELLLQGKNNLNAPWLALSGFFTLALVLSLLIYIGEATRDVLVNNLNFDLHVGETVAIVGESGSGKSISGLALLGLLPENLIVTGQALYDEQNLLSLNQNAQLAIRGKKIAMIFQEPMTALNPLHKVERIIGETLLLDGMSKEKVRKRVYDLLCDVGMDEPEDKLNRYPHELSGGQRQRVLIASVLAQKPEIIIADEPTTALDVTLQSQVLNLLQLLILNHKMAMILVSHDLNLVRKYANQVIVMNQGQVEEKGTVRNIFQNPKASYTQYLLDHDFGEANALPHKRNLVLSLHRVGVKFPIRKGIFNRVKDYFVAVQPLNLRLDRAESIGIVGESGSGKTSLALAIARLIQCSGNVFLLNQDLNRLSQRKLRPLRSNFQIVFQDPLSSLNPRMTVDQIIREGLALQHLKEAEMLIRINEILDKVELPITVKQRYPHELSGGQRQRVALARAVVLRPKLLILDEPTSALDRTTQRAIVNYCASCTDYQMSYLFI >CRE06254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2053:748:2013:1 gene:WBGene00075319 transcript:CRE06254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06254 MQDSIEQYMQTVGKQARQAASVLAGATTLAKNNALSAIYTALENSQPQILAANQIDMSKGRDNQLDSALLDRLELNPTRFKGMLQGLKDVISLVDPIGEITDLAFRPTGIQIGKMRVPLGVVGMIYESRPNVTLEAASLALKSGNAIILRGGSEALNSNQAIAEAIQHGLKAAGLPETCVQVINTTDRAAVGQLITMSEYVDVIVPRGGKGLIERITNEATIPVIKHLDGNCHVFVEAQADLHKALPIALNSKTHRYGVCNAMETLLVDEKIAEEFLPRIAELYAEKNVELRGCINVQKILGNAVKPASEEDWYEEYLGPILAVKIVTGIEEAIQHINKYGSHHTDAIITENFSLARQFLTRVDSSSVMVNASTRFADGFEYGLGAEIGISTDKIHARGPVGLEGLTSQKWIVLGDGQIRH >CRE06255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2053:2393:3646:1 gene:WBGene00075320 transcript:CRE06255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06255 description:Probable acetate kinase [Source:UniProtKB/TrEMBL;Acc:E3NU68] MTFLNYNFGYSNVSTSVLVINCGSSSIKYALVSERREDRIFGLAENLGSADARIKGITAGNQPLELSIPYADHEKALETILGRLSQYKPQAIGHRVVHGGTLTKAELLTPEIVEKIREATPLAPLHNPAHLVGIDATMRLFPELPQVAVFDTAFHQTMPAHAYRYALPKFLYTQHNVRRYGFHGTSHAYVSERGSELAGSYKHGGWLTAHLGNGSSTCAIWNGQSVDTSMGLTPLEGVVMGTRSGDVDPSIHSFLASNLGWDIYKIDKMLNSESGLLGLSDLSNDMRTLIEASEQGNEDATLAIEVFCYRLAKSLAALSCGLPRIDGLFFTGGIGENSAYIREKTIAYLPHFGFNLSKEQNDGLKRGTEGRIDAGTGPQIWVIPTDEEGRIAKETVHVVEHEVQQAAKKPESDIATA >CRE14440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2222:4017:4887:-1 gene:WBGene00075321 transcript:CRE14440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14440 MTIIGSLPDLPSIMLYSHMDTVQTSSDWTHHPYSGYKDENGTIYGRGAQDMKSLGIQHMEAFRNLFEQGIKQWKRTIHIVFAPDEETGSENGMKGFVKSEEFKKLNIGFSLDEGGPSQKDIYDVYYGEKVTWFVNVTITGSAGHGSKFIKNTALEKLERLLYNTRKFRNEQEALMNKNNLTLADVTTLNVNIINGGVLVNILPEKIHVCELRNGYFREEPLSFQRLICD >CRE25768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:197869:198272:1 gene:WBGene00075322 transcript:CRE25768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25768 MIMSSKIILPTVLLMLCATTLAVDPLDFLFSSNMAQYPRYRPISPSAAAVTPEFGKRAPPGCVCTEFLGCHGPGCMRRMYPKA >CRE30583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1088:5691:13257:-1 gene:WBGene00075326 transcript:CRE30583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30583 MTEVSGVCVPQAIAPLFSMPQSTIDDIQNPTSLTSIRSQPFSYSKPTPGGPKLHQRIKCPRVVPLTFWSSFEDEEDLDVPLPEPEMPQTVHLQYNSPMGLYSKEAAVEQFQQQIGETPQDLPAQDKHFDPSKSATLKYLKEGERENFGENFFEKVAQAEAPRVPYQSEPTWARTAREKSERARSKTPADPHQAYRPNSSPAPSNHPVHAENAREHAEKSRVFTHNINEPFGRTYWEASNTLPRYRRSHSAGHELSNRGYELGGIDYTGGVHIDHGTDYQYYEEPPRKPQPPKLPPGYELGGTDFYRGHVSGDANYRGHGPDPPRLRPKYTADAHDPCNVAIAPNLEGVDANLLVGDTLSNQKIRHEVRHIDQTKFGTSFGPTQGFTHDHHTAQRYVEPPKPVVYSLSANKSLARSSSVPRGSAPPEQRDVWNVQQAEVDKEVNIRTLLNDDALRARKREQSPYWAGRSEKKHEAWQGRIDPRLQRYETYITEPNWRRNVDQRRLAWERRAFETEQRLSRPYSEKVAPGVPPSWHAEAQHKHQQWQQQADNMNTQNYTYSTTGYQPSQPAGGQQSYNETRQYSSNTTSNYPSQTQQYPQQHQYQSDHSNAQRLLNSGYNTNQVDYVLSHKDKYNEGPHYQPPIINSSATAANNSSSSSNQYHTSSQAIPLGGPNQGHSSSYTTETRVVGGGAGGAPVSNNYSNQNYSSSAYNSSSSQQQTKTIPVQNYQNTQKSFNETTEKFHRDLASPLSRTVPVQTAGHFSEVSKVFNSEEEENYQVSQPIPLPSADQVLESSNYNKSYSSQTTTQHQPQPVAANNNYSTSYHTERSSTTTNQQPQAIALPPPQNLSTSYHTETHSSTGPVMMHSPGGHSIKSTEFSSSRHHKQETTTTTTTAPQPVFHTTTLPLTKTTSYNYSSSTVPSQPAKPSFNQESYTSHTERNNSSQGFPQQRLQPSGGYSYENYSSSRKEESRREETSRPVSQLSQYSESRNYKRNYEEKTETTTVPSVAPATNVTYKDLSNAQSVDDVFNKKTEMNESLPVGSVFNTHNNTEGGYRDANGHDVSYKRETQTSADPGRETALLKEEEKKSCRDSIGTXXXXHVTTSTIRRRL >CRE21051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig954:1545:4411:-1 gene:WBGene00075327 transcript:CRE21051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21051 MSEPEVLCGYTEEVSMARFVYISIGGGVACIGCICNLILLYLFTFRQLANSPPQLYPAILAFLDTLLCFFFLMIFVVDVNMIYNKSEVGHTKIFLIFKFSVLQYLFLLFHRYIIMTFCTAKLVQFLIPYLLMLGTLERYTWIDNKHNKMALLQPKYRPFTLGGLLLGAIMLRVPSAMALTVTQFPKCADFFRTLAVDVEEWAQESTLYMVYDVYGIACLQTFCPFLCLTVLNFVIVSKLAKIDAAQCPTKEMKGSPTKRKRSRVLSSLRISKLQITSTVRNAIYTMVAIVSTYLISNSLHILLTLLEVTKSSVLVDEHDPYKASLLYTLLGDAVSLLYMVSSAVRILIYTYCNPAIRYQLFSFLGLRYDRKDSDQSKIIIASPLLIEGQLSNSV >CRE30376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:274818:276479:1 gene:WBGene00075328 transcript:CRE30376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30376 MKLSNIIVLILVFPIVLAILTSDLGYGYVEDENESRGTCDVFDITMNFDGAHTLLNLLRSQCSANGRLSKMSGAIKRRNKKGIALAVVAIVAGATAVGVPLIAVLAGGENEFKKEEKILHNITKLINSNSHNTQDVILAMEGQIQFANYGTIVNSIFSTGDIRRVAAFFKINLTEIVRNMGFDETVGLEAAHKLTHTFLCGKNPQEFQLQICGSENPTRRFGEVKEVAPVGNFIHGGSMFAFYELPKYVIYTNEGPISAAYCEPFGMNFGCHMAKGKCGFATYRKCPVSQRHTPDGIFVVELGDATVVSSTVDHYSLYVNGSNTTYTDHRFPATGQLLIRAPHSTKVKIGSRVIQGRHDHFELREVHAAESIPHLTHEQLEIWVKNNEAIGKAFTELEKEELHNSIEFDWSWDSIKHWIQKWLATVMTVLLILAAGFLVGVVIYCYCVSRCQKKLFIPK >CRE13840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1661:4853:5539:1 gene:WBGene00075329 transcript:CRE13840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13840 MDVDELELLQEERDEQPIRYLPKVLNGGISGVVGVSCVFPMDLVKTRLQNQKGTATYTGIADCFKKSWLAGAPGRLNQVKGMYQGASVNIFLITPEKAIKLVANDFFRHALMKDQAERLSTPRGMLAGAAAGFCQVAITTPMELLKIRMQQSKDKVKATKLIWNLLTKDGGIRALYRGLGPTMARDVSFSALYFPLFAYLDGLGPRKKDDSGN >CRE13839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1661:3380:4645:1 gene:WBGene00075330 transcript:CRE13839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13839 MNVEEYFPEGLSVIHPDESQGEGRTPNRGSHKLPAAVHCHICYKNFSGPINNVDYIKPCDCQLMFVHVDCATRNRKKFGEAKCSNCGQGNTIPRSTKMLQSKKTDRISSCCSDNAPPCTACHDKNYQYKPFQEKLQVQLGYKISPCFCRRVFHYGCLRPFIEERPLCSKCSVVYSGFEPATPMQFFRNKWAWFIVYISILSIFTTLFVLAVKNSLVFTKQSSNKDDINKEISKFHLTCKSKFLMSYVSVLTILSVFFFVVIASTMLSVIKYSISTGIPKFKITHGKVTLKPYKNGSQLKSPDDDLSPSSEKDFEEIPLNDLRTESAGDVEEPIENARGNDNDDITLGQHMFGVYATHHSSSTPIDKPSLEFVFNSV >CRE13841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1661:1086:1548:-1 gene:WBGene00075331 transcript:CRE13841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13841 MLAVHNKDSKFLLEVLSSLESSLDEVISPPFFIMSDQLDRQNSINYVVGLLRQALLDSRVGWVVRMMFGPRERALTAEEIISLNSRAFPLNAKLPDNFLEKFKGLPRVPMETMLRMLGWKDIHNLQLVAGPFADCIA >CRE29996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:310024:311873:-1 gene:WBGene00075333 transcript:CRE29996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29996 MNEEEVKKDAESISMKQKIIDFLLGRQAEKEEEQGEITESFIKYVQSERPYKLAAAFFFVILVFFIGIPMWYLTTSTYRAPFPTFPSNRSITVSTRINFAITSDLLVEDVEKLMKELETNMTTKEVVSPLEFQWTTKYLGVRNFEQLEQDHSVDVEEDKEFFEVFVALVPEKDWTHYSATRVQLGIGKWNFIQWPVKTEEKQKASSRVTELVSETLIDIPHLNSIVRRDLRQKMQPWQIAALPLSHQKRLVWDSAPLATSYHIQVIHLHENTEISKESLKKQEITTKALRKFAEKVKGVTRVEVSSEHLWDFEPTMQFLAKDVQERWTLTHEAMEELIKKVDSQMQTSLEQETVLRFVILETTEPVVVLDETGEDIHGVAVASWGAVLTRNEATESKAIAAIRIQMGMDAELSIGWHRPPVAVCQWEIARARLRASVDNAMRAASAIRALDQLAQKISNIAINNDVADRATRAVRILDDVVRPGRVLNFERLLEARRLADSANNDHSLLAMLYFPMDQRTAVLIPLAVPIILPIGKLIYSIAMVLYRGFI >CRE30615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1808:2062:5609:-1 gene:WBGene00075335 transcript:CRE30615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30615 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3NTH4] MKTGFLSPLLICLLLNSLVLCQETERILANNGTEDNENIPSKGSTPNTNPLFLSNSSAGLYDSKGKIRLTIGHIGAIGALRNDVKILEVSHKSLLAEGILDEDLDVEIISQTGCGESYEGVAVAADMYHLQKVKAFIGPYCNAEMDAVARMAAFWNIPIIGYMAASNNLADKNAYPTLARISMRTTNSIAEATCAMLRHYGWNKVAIVTNTGVLAYDRVISFEEVFHQRGISVVKKIMFDEFADSKAMVASGLLNDIKNSARIVVCLFSNTRETSREFLTAANTQGMSVNEYGYVFPWLQDGGKDIAPWTGSDGSMLQKVKDQYANAIIVSSVLGIDDVNSFDNTIIGPFVERIKDVGLTEADVDIANIYGYLYLFDALKLYALAARKVLNETGKAENLLNGRMMWQNMRKMKFIGMVGASGIASGLVSMDDRAERAPLYRGFFVSPNTDAVLPMVHMEPTMLDNCDGIANKSGCYEIVVTDIMRDFWPSIDRKMPKVPLLRFRSDEPDCGFRNERCDYTLIIIGAALILLFIIAAVSIFFAQKLLEKRALDKLPFRIYRDDLQFIDEEQLKSMLSLGSTRTKMSNMNYGSRNHAIVGTNTHAIYHKYVQRRPIIFNRADKTLIQLMKAAVHDNINPFLGMVWNEKEEMLLVWKFCSRGTLQDIIYNDNIQLDTKFHGAFIRDILAGLEYLHASQIGYHGSLTPWSCLIDRNWMVKLTDYGIADPLERWEKQQSISRDALTSEDDKSQATQSTSILYESPEMLKNREKNRVRRVDQDWMRQTQARRQLGDVYAFGLVMYEIIFRALPFPEGTNQSELVEWLKDGTKVVKPVITQNKVLNMDLTALIQDCWNTTPEMRPSLRRIKLNVETYLNIKGSLVDQMTRMMEQYANNLEKLVAERTGMLEEANQRADRLLSQLLPAYVANELKLGRPVPPKTFTGSTVLFRFFSPILFKFMFNFVFSDIVGFTEMCQHASPLEVVSVLNGIFDGFDQFIARKDAYKVETIGDAYMVVSGVPEENGHRHINEIASIALDVHKVMSRENNMI >CRE30614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1808:6012:6594:1 gene:WBGene00075336 transcript:CRE30614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30614 MTRTTRIIVNITHFSQYIDISFTSFRLLVALDHKFNIDYNMKTVILLSLIFLESIPLNGLTYNEHLQFLQLQNAAYNMYLRTFAERKQKNNVFKSWYAATTEFPPLKSEFFENDTVVGDSQKNSAVVSMDLVYSRPRTVDDDIGMPLNQPIFTKEKTNFYNYGKLYQNYYQNGK >CRE04472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2291:493:750:-1 gene:WBGene00075337 transcript:CRE04472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04472 MRFFIIALFFCFISNVFAWHYGDRVALADDEYDPEAVANRQQIAKEYLIREKFRTRVREQIAKEELRHMYQREKIRRAMEDFNDN >CRE04471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2291:1580:2532:1 gene:WBGene00075338 transcript:CRE04471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04471 MALSYSIIFAAIAFTAVVIAGPGGRHGHGGGGYGGPQLPPFLQNVTAQGRQAFMAIVTNTSLTIAETETQIDTWAATYGVTTQVNNFKTQVETKLNEIKANVTAVVNNLPTVQTQLEAIFNNKSQTIIQQFQAIGQLAQQYPEEVSVLFFLVKPKGGFGQQGPFGGFPGNNGGFSGGNQGGFPGNQGGNNGGFPGNNGGFPGGNNGGFPGNQGGNQGGFPGGNQGGFPGNNGGFQGGNQGGNQGGFPGGNQGGFGGFGGQQGGRGGF >CRE02776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1921:394:4263:1 gene:WBGene00075339 transcript:CRE02776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02776 MSSARTTAPAGTRWASGAVCSSAARRSTATRVTCSRSGPSRTRSSSARRNASRSPGSRADATAGQGSRGSTPASPSPATCRSARTRIRSRRSRVSSRSTRRSGPSGTVPRPRTRSSSISTSRSPSRCSESLPARPRCCTGALACSGSSPSTGRSDVGGRSYNERVTQNDSSIPVEFEAARVEAEQLTAEIERYRAAYYSEATSLVSDAQYDELFHRLEALERAFPELAGQDSPTQEVGSAVASAGFPEHEHAERMLSLDNVFSLDEFREWAEKARASSGRAVRWLTELKIDGLAIALAYRNGVLETATTRGDGRVGEDITENVDLIPVIPRVLEGEGIPEFFEARGEVFLTTENFEALNERQHALQAEFAADQLAKGVPAEKITVRFPEFANARNTAAGSLRQRAEKKNERELELMRDRLGRLSLYVHGIGAWQHPDFANQSDAYELLSSWGLPVSPHSRVLDSVDEVLEFIEDRGAHRHDFEHEIDGIVIKIDELELHRELGETSRAPRWAIAYKYPPEEVYTKLLAIRVGVGRTGRATPYAVMEPVKVAGSTVSQATLHNQQVVKAKGVLIGDTVVLRKAGDVIPEVLGAVLERRDGSEVEWQMPVECPECGTELRAMKEGDIDLRCPNARACPAQVRGRVEHIGSRGGLDIEVLGEITAAALTQPEVPSVPPLETEAGLFDLTLEELVPITVVVRDSETGEPVIDEATGEPRRRSPFQRVTVEYPPEAEGMDATARRQAGFRKDHRVVRPSKDAETFLAELERAKTKPLWRLIVSLNIRHVGPVAARALADWFGSLDAIRAASVEELSAVDGVGGIIAESLLEWFEVDWHREIVERWTAAGVQWTTPGHPGPGAAVAEGGVLAGLTVVATGSLDGFTRDGAKEAIIQAGGKAASSVSKKTDFVAAGPGAGSKLAKAEELGIPVLDASQFAVLVSEGPAALGFGDAEAAEADEAAEAGEAAGSTNLASAVGDGGAEPLVRAAWAQQSPVLTAYYDAEWGMPIFDERGVFERLTLEAFQSGLSWITVLRKREAFRAAFDGFEPEAIAAYGEADIARLLADEGIIRNRMKILATIGNARAALALRDDPKAGDLARLVWSAMPERSPSPESDIEVPTEAPRLLLRRPHHRLRPDERHRRCRRPPPLESPTRLLGALGSRRNPHRRPAPVRAMRDPGAPMNRGRRRSIGWTGSRSPSSPLEQHA >CRE30420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:68817:71335:-1 gene:WBGene00075341 transcript:CRE30420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30420 MTDIISHNENKEDFEDGELPEDGEICDDEEESVKAAPPSKPKEYQPPPMAAAHVAPAVEKPREPRERVRRFEQNSPPRERERERDPDPFGSHSDAPDGNEYFGDKDYRSAGAAASSEEEPFTDTDYRTNRRRRLSPSHDDAEYESRSKRPFFNGGGGRGGFRGGFRNGPKPRFQTEHQICKFFREGYCRDGDNCSYSHQAEDSLRRPVLCNFYANSYCKKVCFFYQNVFIHILFQGLQCLMLHGEFPCKDFHRQKCFNDNCRFSHVPLTDYTRPIIEKIIADEDARQPQQPPVYRQNPVANAAAAAAAAQVMAPRRRVLLPGGPALNSTSPPHAPVIHAPVPQASLQNQLPPPTVVVPTIQRNPVPLHQQYPIAQGGGYFNNGPSSRPEQVQGLPPPRTIEPPRPSTHMSMQQQPQLIRPMNPMNSMAPQQIHHLQQPGLVVPPQVVAQPERRAPSPPVFNLEAMLNKLANSDKMRQSPKTNVIDDSPASPPAFTTNMFGSNNRVAVIPQTIQVVWGLLRIQKRLPYSNVENPDRIPMNDPRRAKAISKQFDAFSSLLSAGGRGGVSDPRLRAQKEKNEAAAREQQQTPTTTTTTSMPFSSWMPQIS >CRE11600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1337:175:6441:1 gene:WBGene00075342 transcript:CRE11600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11600 MRETDAREILDNKLLPLRRGYVGVVNRGQKDIVGRKDIRAALEAERKYFISHPSYRAMADRMGTAYLQYTLNQQLTNHIRDTLPTLRDNLQKRLLMLEREVAEYKDYQPNDPGRKTKALMQMVTQFSADVERSIQGSSAKLVSTNELSGGARINRLFHERFPFEIVKMEIDETEMRKEIQFAIRNIHGIRVGLFTPDMAFEAIAKKQIRRLIEPSMKCVDLVVNELARVIRQCADTVARYPRLREELERLVVTFMREREQKAKQEISLLVDYQLAYMNTNHEDLLVSASTRKPRRPKVNPSRNQPSETMSSEKDGSCSITRRLYVVPRIFGSSSRLIACEKEKKFMLTLDGVKLRDLESTFMTRAFRFGLYHQDGRNMYKDYKQLDLGCSCLEEVDAWKSSFLRAGVHPEKQMRSTSPDEDPQESESMDPQLERQVETIRNLVDSYMRIVTKTIKDMVPKAQLLVLIMMENDLIMKKSLEEMVSNAYTCRDIIQFVYQQLKLNIFH >CRE13811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig821:32690:36151:1 gene:WBGene00075343 transcript:CRE13811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13811 MAWSNQGMQSLIPVINRVQDAFSQLGTAFSFDLPQIAVVGGQSAGKSSVLENFVGKDFLPRGCGIVTRRPLILQLIQDPNEYAEFLHKKAHRYVDFDEVRKEIEEETDRVTGQNKGISAIPINLRVYSPNVLNLTLIDLPGLTKVAVGDQPADIEHQIREMILTFIGQETCLILAVTPANSDLATSDALKMAKEVDPQGLRTIGVLTKLDLMDEGTDAREILDNKLLPLRRGYVGVVNRGQKDIVGRKDIRAALEAERKYFISHPSYRAMADRMGTAYLQYTLNQQLTNHIRDTLPTLRDNLQKRLLMLEREVAEYKDYQPNDPGRKTKALMQ >CRE07129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig974:5089:7312:-1 gene:WBGene00075344 transcript:CRE07129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07129 MKATGLYLLVAVIPVLVNSCLVVKSPPCTCPITLLDRTNIDQMSENPWYDYVVQRPLVTPTVQYISNCVAWTKCQLNYTLYDIEYIHPTTFLPFQALGNCDAKTKTWIVADGQRMYNSTNWTSICVMEIQKELCTPKTNTTFLFAYSNDMDAAKVQEMVDNMDIPPVNELRSPQFTSFANIRFDVLQEETFEYHKSYIEYQTAIGSKLPDPSLGFTSPETGSDVLKVISKFINNTQVPICGSRIYILLKRSPNEQDITELVAQMRKYRVYVYIAASLPLSGGSHPETIRRLTTQTNGWDHYYEDSSFDPWVRNEMSVDIGKENNYQMAFYSPFFFDGTLIYAANVNLTAKGSIALPEMTVPPHEELAYLNYDNFFTRFRVLNASVYDMKLDYEISSDVIYAVQIRIYAYR >CRE09937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1939:1998:2569:-1 gene:WBGene00075346 transcript:CRE09937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09937 MPDKKNLRSPENPAKQDWNSAESNKLLMERIRDLQHQEYSLQASITKSTGANYRKLMEEKNRVIEELKNKLDRERMDGEYYKQAYEALKAENEDKKNGISNENTYALFPNNQSKSQQAKTVEKAIKQLKREAFRNFASVAVPFLLFVYVFLLAFTLGLRHFFKSC >CRE09938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1939:3751:4287:-1 gene:WBGene00075347 transcript:CRE09938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09938 LTTNVNKQTVIAKAKTVVKNWIPTNWKAANAKVDAKNPLSKQAYAQKKALAFIDYRFSLKKYINYLYNQAVKTKYLTTPEANNMRTMFWAADAKALNNYTVTCQTFMVEAMTKIKKTPTIQDSVTDLTGKFAAANPKDYANLQWTL >CRE19611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2109:1071:1686:1 gene:WBGene00075348 transcript:CRE19611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19611 MLRKQNVQQLRSLIAELTLGHDLSKIRRKNLRSATRTLIVIISAYLISNLLSLILIITEYFNPDYLHVHHPDLNRLATDSAALLTVVGNAIRCPAHIFSNSEIRTQFRIMLCGEREKKEVKQLTERRRTQEKLDNPWMALMWVSANNQSSDDDRAAFMPRAFMKRHSAIAIV >CRE19612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2109:1931:3233:-1 gene:WBGene00075349 transcript:CRE19612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19612 MSLILLVLIPVAAFILFLIVLLFIFFSIPSRRDFHFFRRHALVTGGSKGIGFQLAVGLIERGCNVTIVARNVKDLQKASDELQVLADQRGQRQKVQWKSIDMTADYNVIKTAFDDCAKELGPIDILINNAGHSVQAPFSELPVTDFEKQMKVNYLSAVYATRAVVDDMKARKTGHISFVSSAAGQFAIFGYSAYSPTKFALRGFADTLHMELLPYKVNVGVLYPPNTDTEGFKVVLEELLTMPEETKLMSDAAGLFTPKFVAEAHLKDIADGNYTTTIGLDGWMLGVLTAGASPEKSLFRALTQGALAGIFRAITLVYLGYFNGITKKCYRRRLAEKEEERENERKEE >CRE19613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2109:4476:4790:-1 gene:WBGene00075350 transcript:CRE19613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19613 MDVDPTPRAKAESEFYSLDYCNSVFKVIGKHMDCDGFESHISSSDESYSEDNRGREADDEQSDWVGDTTPTRSPFETPFDRRVHIRRSQPNVHQTSSMQKKIEK >CRE10718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig699:21691:22011:1 gene:WBGene00075351 transcript:CRE10718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10718 MTCASLYTPRLVQRYSLSILQILIIFLYVLPIISSVKQVTVPGQIVLGGLFPIHEAGRNASHQCGKIKADQGVQRMVAMLFALEKVNRDRQLLPQASLGAQILDTW >CRE15112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig882:3783:5786:1 gene:WBGene00075352 transcript:CRE15112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15112 MSLPTRFPKNFKLATATAAYQIEGAKDLDGRGISTWDAIRSEYGRIRDDSNPNLSCEGRLKYKEDVALLAKIGVTSYRFSISWSRILPNGTLSEINKEAIQYYRDVCLLLRDNGIEPIVTLFHFDMPLAIYDNGTSWLNKENCEHFLKFADLCFREFGDLVKTWITFNEINMQAWSSVVKIQGELWLCPDRPEIENHEQAPYIAATNMLLTHAKIYRNYEKNYKETQDGIIGITNGGRFCFPASDSPDDQAATNRALDWLFNYTIEPILTDSGDFPATMREKLPFLPKFTDEEKEMIKGSTDFLGINYYLSHMVRDISDDETPTSQSERDASYAFVEGKWEKICGETWVRYAPDGLLALLKYVKEKYNNIPVFITENGCMDLIGEEEKKEEDILNDKHRIKYITGHLEAVAKALDNGCNVIGYTLWTLMDNFEWDDGFAVKFGICRVDFESPEKTRTMKQSAKYYQTFIREFKKHHNLL >CRE15111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig882:38:3522:1 gene:WBGene00075353 transcript:CRE15111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15111 EDKKFQTRSESLLKHFNDPALIYEKGKIDGMLRFLLNAPIEKPGLHSSPLLKTAFQKKDEADSVDIIAMVIQMGRDHGLPSYLNWRKFCKLEEVNSFLALQSIFKPSVNISDFERLYESPEDIDVFVGGLSEQPAKGSLLGPTFACLFAHQMAQTKRGDRFWYENFVSPSAFTVQQIDEIRKTTMARIICDNTDTVTHVQHHAFSLPDDYGNCPLSCNSTGIIQPFNAKSFKDEEKLTTLPITKETIEKVIRLGLNQWQRYEEGEGRRISAQIADTSPSALLSHALLMAPKKESIDIARTASVLREATNILVTGNGLNKDEKLPGLDVATLQKILPQIDIGSVIGNFTPFLARDPLPKEQCLPEPLPCDHTSKYRSYSGWCNNLKNPKFGNAFTQMRRLLDPAYDDGFDTPRTRSVLGSELPSARKISNIVHSDAPKFHVKFTHMLMQFGQILDHDMMHSPISRGPKNTILNCSSCDSAQTLSIHCFPIKIEPDDPFFPAKHNDGRPRCMPFARSLLAQVSLGYRNQLNQLTSFLDASTIYGSTQCEANKLRLFSDGKLNFTDLGFNKEALPQGNQERDCRSNLQNRQRKCFVAGDERVNEQPGLTAIHNLFLREHNRIARYLKQINNFWTDEKLFQVRKSPKMKKSELYFQESRRINIAQLQNIIYKEWLPVVLGCQNMEKWGLMPQTSGYFEGYDDQCDATISQEMSTSAFRFGHSLIRGVFSRMNDQFQNMTNHVNLTETFSNPSPVYDKNSGHMESILMGLIGASSMAFDRHIVTAVRNHLFAKPGGPLTGLDLPAVNIQRARDHGVQGYNAYRKYCGLRKASTFSDLRDTMTSEAVTALETAYSHVDDIDLFPGIMSESPTRGALVGPTLACLIGEQMQRLKKCDRFYYETNDAMVRFTPDQLVEIRKASLSRMICDNSEYAVNIQPNVFLMPDDLANSPMSCSELPEIDLNKWVDRDYCLVDERVVNRGKTKRITPCVTCTCTLEGPECHSITIDDCSRLLRDYSLSDIQKDSVCLIQCSQHLKKL >CRE15150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2002:416:4984:-1 gene:WBGene00075356 transcript:CRE15150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15150 MFSNAPSRTDINTSNANGLNSLHLASKEGHSEVVRELIKRQAQVDAATRKGNTALHIASLAGQSLIVTILVENGANVNVQSVNGFTPLYMAAQENHEDVVRYLLNHGANQALSTEDGFTPLAVALQQGHDRVVAVLLENDAKGKVRLPALHIAAKKDDTKAATLLLQNEHNPDVTSKSGFTPLHIAAHYGHENVGQLLLDKGANVNYQARHNISPLHVATKWGRINMANVLLARGAIIDSRTKDLLTPLHCAARSGHDQVVDLLVVQGAPISAKTKNGLAPLHMAAQGDHVDAARTLLYHRAPVDDVTVDYLTPLHVAAHCGHVRVAKLLLDRSADPNSRALNGFTPLHIACKKNRIKVVELLLKYRAAIEATTESGLTPLHVAAFMGAINIVIYLLQQGANPDVETVRGETPLHLAARANQTDVVRVLIRNGAKVDAQARELQTPLHIASRLGNTDIVVLLLQAGANSNATTRDQYSPLHIAAKEGQEEVVGILLDHNANKSLLTKKGFTPLHLASKYGNLEVVRLLLERGTPVDIEGKNQVTPLHVAAHYNNDKVAMLLLENGASAKAAAKNGYTPLHIAAKKNQMEIASTLLQFNADPNAKSKAGFSPLHLAAQEGHKEITGLLLENGSDVQAKANNGLTAMHLCAQEDHVQCAKILHDSGSEVNSKTNAGYTPLHVACHFGQLNMVKFLVDNGADVGEKTRASYTPLHQAAQQGHNNCVRYLLDNGASPNEQTATGQTPLSIAQRLGYVSVVETLRTVTETTVITETTTVDERYKPQNPEAMNETMFSESEDEGQAAEHEAAAAHAKDFSDNLTQGLQDSTGVHMIHTGEQLLQRSQELENGGAIPKVNSGGMSPDKEFAKIAPVATSSPIATSHSQPLGIAPRAGSISGQFQQQPLHGAGPEDNLEELVRRAQNHPIHTGNYDTSGAAMLENGHADNVPIGHHVTQPRLVF >CRE20154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2108:224:3845:-1 gene:WBGene00075357 transcript:CRE20154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20154 MTSSPSTSSVLAPPLPLSVVSIRTGSGVGIGHRRQHSSPGHLQQYQQELHSRHAANIARIASRRRRNTEDHDPNERPPKDQETILVSKTFAIPKSRKGALPPPAAATVLRNHLLDAAATSSDSDSQSTVKSLDGWRTRTSKSIMTTPEGFNRVRHISITFGEDDSYDSHQNLFGSPGGSSSMSLPRAFSPPHRISKARRRQMAVTTSLSETSAVPIPSSLKARSRMSSMSSSQADDTDGHPMSMQNMRNVALGILVPIQQRCFLMQHIPLIESEMSRLETRIINAAGHPSTFLQNISKSWEELTEIICQLHNAPRLKHPYWLALNEKCHLDGRTLATEFCEQLAYLVRKYDRKEAG >CRE26868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig805:3650:4643:1 gene:WBGene00075359 transcript:CRE26868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26868 MLSTLTSAAEVAGLVKDEEAALKLLVDEGVLTLDRICKNCGSPMALRKRKNTFEFRCRKSRKADCSTEGLRKNSWFSNTRIPLKDALMIIVLWINKYTSKQIVKETKLSPSTVVDFRNYLRNGCSEIVKKYEVIGGQGKVVQIDESAVHTRKYGRGEKQRELTWILGAIEDGSRKCMMQIVPNRTKAVMVPLIRSWIDGQSEVHTDCHKSYGTLSRYFSAHRTVNHNEEFKKVMSDGTIVHTNTIESLWKRVKQPLKEGNGTSESLLPSYLDEIIVREREGDRFASRIWKFIKDFKP >CRE17700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1744:3739:5256:1 gene:WBGene00075360 transcript:CRE17700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17700 MRSAELKESLQLMAKQYGYMGTDFESQSSQDALSTPSKKFSSQWEKDVDDVQGTAQELVRIDERISDITAQADVIQNKIRETEVGSSEEEQLTNSYLQLTNERNTLVHRQEYYNIIETIRQTTSEIDDLRRRIDEVTQIADDIPRSNETKTATDRLMEDLSDAMKMKSNLVQKLFATEEEM >CRE12433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1456:8928:9766:-1 gene:WBGene00075361 transcript:CRE12433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12433 INSILLRVSVPLIWLYPLCFTFFLIPAVGVCRQISSPYPLGAIHIYYANAAFGLRNSYFYLYNTIAWLTLAILANILLFLKVAKARAQLISFQKSAVSYKAELSITITTVVMIFFYVINGGFIIIYVLYYGTSSYFSFLVIVKAFANDAETCVVPWIFYLTHPVFKKKAISSDLVFSTSSFKRRINNS >CRE16575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2024:3677:4380:-1 gene:WBGene00075362 transcript:CRE16575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16575 MIAECQKGGGMAELKSITGDAPYDGVGNAPAPIPQPMGFWGRPRYSPPGAGFDGPYGGPMMGGGGPLPYSPYNRFTSMGSSPYGLGTWYNNFQ >CRE16576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2024:6244:6955:-1 gene:WBGene00075363 transcript:CRE16576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16576 MAVAFPILYFVITFVFSLVQEDRKQEKLMGISELIGHSNRSTFQHSTIYPFVRKLRRCEFSESEDSEEEDESEEQFMDTVQNAYQLIRDGVFSDTDSKGAALPENPAIKATNADAGNTTGGTTVDVSTTGSAMNTVSGTISGTISGAMSGAATSKILDAAGSQGINSRRTNKKKGKEPKSKAEPAIEPIPEVVDIPFGNFPEDSVIG >CRE06262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2613:186:866:1 gene:WBGene00075364 transcript:CRE06262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06262 MEEHIMSPHLILVSHVLCPYVQRAVIVLKEKGVCFERRDVDLANKPDWFKACSPLGKTPVLLVDDVRIFESAVICEYLEDTLTPRLHPNDALIRAQHRAWMAFGSSMLNSIGAFYNAKNDTALDVQAELIRAQLVQVEAELVNGPWFAGEHFSLVDAVFGPVFRYFDVFDRLGDFHFFDDLPKTKAWRTALAERASVREAAHPDYQILLRESLCKRDSALSRRVKV >CRE06263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2613:2992:3981:1 gene:WBGene00075365 transcript:CRE06263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06263 MKFQQLILLCSAISLYSYSGLANARPIVIGYQTNIEPAKVAQADGVYDKVIGEKLDWRLFNSGAEVLTALASGSVDIALIGSSPLGAAVANNLPIEVFLISTDLKSAEALVVRNGSGINSPQDLIGKKVATPFASTSHYSLLGALQHWNIKTNQIRLLNLKPAEINAAWRRGDIDAAFVWSPALANIKKTGKVMTDAGQVGQWGSPTFEVWVVRKDFAQKHPEVLTKFSTVTLNYYQSYNQNKSRWTANSAEVQKIAKITGVDAQDVPALLAGAAYPDRQAQLSTQYLGGRTTQNIVNSVNFLKAQGLVRKVSPNYQQFINTRFIQTSK >CRE15837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1546:2620:3833:1 gene:WBGene00075367 transcript:CRE15837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15837 MDPPKPFPILRLPFLAIQEVFKSLHPIEIINFSMISKRSKAITQQMSFYSKYALRLIIDKTLEIEFIGTNHLVSCTYEMTSDKRMNGKTEKHERDDGYIWYIKVYKYSNDPLEEWKQLCKYVLEIFKKQTIDVLSMTMDDFVDQNVSIIDFLKTNVKSIVDCCLCQTPGEKNVDEHAAYFLENVTVNTQLSSFLNIKDKNFNGKIPKHLQNLYINCSKWIGYERLLEIDCKHVILANDEISNKEWNLFLKKWIAMETNQNLAYFKLDYREIEEFRALVLHDIPHEVVSKEVSRIVPCRYKQKQQINGGIDIRRIDGKTATFFEQYSGWGNNFLMCIH >CRE15836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1546:315:1709:1 gene:WBGene00075368 transcript:CRE15836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15836 MKPLKELPIIRLPFRAVEKVSNGMHSIIKMISNRRKKKFPILRLPFLAIEEIFKTMDPIEIINFSMTSKRAKAVTKNMTFYSKYAIQLCVDKTMGIAINGTDNLVSCLYLMTSDKQKLEKTVEDERDGFIFRKVFKYSKDPVDEWKQLCKYVLEIFNRQAIDVLSVFIDVSVDHNVGIIDFLKTNVKSVNDCNVYQWEDENDVDEHAAYLLENITVANELNFHLHIKNDNFDGKIPKNLRELFIKHAEWIEYEKLLEIDSVQVIIGTHRISNKEWNSFFKKWMTMETHLNLELLAFDFKLIEEFKELVLYDIPHEVVDERVKRTLITYRDEKTEINGGVDIRRIDGKTATFIAHSNSVLMSVH >CRE09924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1619:1070:1461:1 gene:WBGene00075369 transcript:CRE09924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09924 MDIEKGELTALEPLIKDYFVCQIFIELHGKPSVHLEMLQKIAKYGFRIFNVDENLLCPHCCEYSMINELCMTQFEVVPLGITIPKSQ >CRE11618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1937:2499:3292:1 gene:WBGene00075370 transcript:CRE11618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11618 MSSMSPTIVFDPKSGEVKMVTGGTGGSKIISAVAQTLVRGLLLGQSAAEIVEMPRVHNQLTPFETEVEEDFSEKILEQLEKEHNEKIEKTDEALAIVYPITRDGDEYTVAADYRRESVNSPAGY >CRE10704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig499:10225:11706:1 gene:WBGene00075371 transcript:CRE10704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srm-2 description:CRE-SRM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NLK1] MDILRRKMTTSTTPLPSELHETPVNEANAYIAGFISLISNIALIYATSTVKTYSNAFRWIQYYVCILRLMFSMVVVITSPTLVYVAKMKSLYIVKGGFYLPFDIGTFFLTLFVFFVVISCSSPTVQYLQLCHLLSDSAHKREHLGPILSTVSVIAGIPTLVLVYFGYTPSTAELLEAKPIVYYLNGEGDSAFLMITSSRNNVVDWLSIICTAYIQFIMLASVITVIVCGFKIQAQMNKKMMSEVAKKSQNQINMILFLQFALPFVTVHIPFYVSFILPAFDLENSFISSNLPFLFSWCPAINPILVMVMVKNIRDRIFCKSAVSKISSTNMIQVRQSSQVLSARAH >CRE10705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig499:12469:22393:1 gene:WBGene00075372 transcript:CRE10705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10705 MELRENINDTGFDEFNSIITGCISLIFNITLIIATSRVKIYSDHVKRLQIFTSVIRLLFSMLIVFCSPTLAYITEAEAVYIVKGGFKLPIVIGEAILIAFVTFVIFSCMGPPMQFLQVVVILKKSSRSQKQIVAITTVITFSVSLTATLLIFLGYVPNESDDKLSEEIVYYLNGRGTAAYLIASWERFDYDLNDFVPDPISWISKKSEVQVFMKTEISEHQNLERNMQFVVRKLKHLFKIIYGKTCNNIYKLAFKKHSGDKLFA >CRE26883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1125:11384:12251:-1 gene:WBGene00075374 transcript:CRE26883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26883 MLDTAMIKASYPTCVDQGLKDLVSDKLEQAKSEVVHVEEINTVKNYRKDQALDSYLTILTADLIHVIRIFNGFMDTEEIKGFVDHLLNTFNKTNLNTILSQLEHLKNESIVNIDERRMHSVVHLMSCCTGQRPATIMTALIYHDKKTYKNDGLVKKLFCLASKNGGELVFPVPNFLQNFLTKTKKTFFDPLCESTNSDDVLQASLKYRRAFWRKQKKRCAAILKASHTKGRTIQDELEEQGFLVVRPSRLYGENGTTSNSIHSTEETV >CRE23273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2157:91:1651:1 gene:WBGene00075376 transcript:CRE23273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23273 MDRCALILGRMKRKIINFLKFYNVLQIVNFPRAVFIVFCIWLLGYSLALPVGIYSDIAVYDGICGTFCEENWPDFNPDTGRSGIRRAYGLSVLVLQFGIPALISSICYWMISQVMSDQLERRRGHNIRPESEEKLVNRKTRANRMMIVMVVGFVLAWMPFNAVNLYRDLFGISTTKWYSSVFALCHVCAMCSAVLNPIIYSWFNPQFRTSITTLFKGNNESRLIKKKPQEKSSSKMVAYPTNFSEARRDTEIQSNKTKITLAENEYRDGDQLL >CRE19597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1469:5871:6830:1 gene:WBGene00075377 transcript:CRE19597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19597 MLRTPKTSYAPAPYRVPLNRRVIHSPGRNDATSSSSRFNQSRQDDLYINDNSSFSQMVDHRDSEAVVTSPSPRAPSKRLRATVNGRWSPFGFAATELYNYENGIPLDRATCDVNLKYVARQTENFQRNLQKLVKNTREVDYYEFLRSAQECRLAGNHILENVCLQMAFSAGMSNIQNKKVAKVVEKPTNLTEKAQKAFSLDRANEVHDTVLHLPNNNYFRLSAIFHANDVGDWSGTNLRKSLRAFARYLFDKIAADDTEMPCYASSKNAEIYVPVSEDFLNSIAGTFLD >CRE19596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1469:4248:5671:1 gene:WBGene00075378 transcript:CRE19596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19596 MPRSNYKLFQSSEMEEDLLNVENSDHMEEESQSSENSSDISEVQSVEINRDINMDYGEEISDNNGYEVLEGTETVSEFERLLISQEDEMADEARKLWNYTRNNFSTHDLCNKCGNFLNRNENCSRCEGSAIATFVRIGGFFQILDLVETFLADILSIRRELKSGKDIPHSLNSHFFSEYWKKEADDHLNLSTVLSIDGVHISGTTKKLWPVSLILVDLPTGLMQKSTSVVLEGLVECSETPSTAVWNSIIPFIVSDIERNIGKIGGFTIKCYIATVTADQPVWFLFCKRILCFFTFQAKRAFIELSRNMMESNVEHCREEELYSLWKLLKEYHVILIRILILFSSKLTELFFIIKHFIVVSCSC >CRE11637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2737:808:2031:1 gene:WBGene00075380 transcript:CRE11637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11637 description:Aminomethyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NVG3] MTEGEFSLEHGARASEWTGELVVTERRTPLFPAHESAGAVFTDFGGWQMPVRYTSDLDEHHAVRRAAGLFDISHMSEFLIEGPDAGAFLDGVLAGLISTMRVGKAKYSLLLNERGGVVDDLIVYRTAEHTFLIIANAGNRDAVAAALAAAAASGSGERTVEDVSDTYALIAVQGPEAQRILEAVDTLVPDAPLSELGYYASLGGTFGVPGAFDVAPVLIARTGYTGEDGFEILIPAIDALDLWYTVLEAGEPFGLVQAGLAARDTLRLEAGMPLHGHELTPEILPAQAGLGRVVALGKPDDFVGRAAVEAFDAASARVLVGLTAEGRRAARAGAEIYAHGVEPGADGAEAIGIVTSGVLSPTLGHPIAMAFVDPEYSEAGTALGIDVRGRVLPPSVTPLPFYTRKHA >CRE15851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2345:1464:1802:1 gene:WBGene00075384 transcript:CRE15851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15851 MAIETSILVISRAGPARSARVEIFLKIAVMVDNEAIYDICRRNLRVSRPTYTNLNRIISQVVSSITASLRFDGALNVDLNGFPNQFKYRSHGIHFP >CRE07164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1694:2450:3637:1 gene:WBGene00075386 transcript:CRE07164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07164 MSAVEEKLKAKLRERMGTNSAEMASIRTTSDELREGQQKLKKMLEELETQRNSLQTAVEIYTVKKTELAKALSDAGGTDAPPIDEAIDAAYPLHRQIVMNYAKDLTCDDLIYALGQSLKKRHITTAEYLRRVRDVSREQFIHRATMQKCRRTAGLPI >CRE07165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1694:4330:6306:1 gene:WBGene00075387 transcript:CRE07165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07165 MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVSEKSANFSEILSENLSSFENVRAKWYPEVSHHCPNTPIILVGTKADLREDRDTVERLRERRLQPVSQTQGYVMAKEIKAVKYLECSALTQRGLKQVFDEAIRAVLTPPQRAKKSKCTVL >CRE06257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2213:707:3335:-1 gene:WBGene00075388 transcript:CRE06257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06257 MNSMKQCNFQITINKLDDANTKGKTLDILIKKVKHKVVEFMDQDSADALGLSRAILTNDPLAEKEKILEENSEFYRHLVAYFGDMFQYQQKISDSQKEFGSIFCDLAAHEKQQTANEAFSEFGDKHRMIAKKQSESAVPLQKMVSDLQVYIDHVVPDTRLTIKKYLDVKYEYLSYCLKLKELDDEEVEFIAIQEPLYRVETGNYEYRMMLRCRQECRTRFMKMRDDVMVKIELLDQKHVRDIAQHLATFAKTMAKCHLECAEILKDRIDVPIEIDLEQLNISMTSGSNGGKRDIEEGEEAVVLNDNPLEGDLIDVDGSAEPIIRESRITLQRNSFGDTSQPLLGSDSPLEELSLIDIS >CRE28001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2279:1346:3631:1 gene:WBGene00075390 transcript:CRE28001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28001 MKPFELFSIFSSSPMGLILPRSHQLTDHHNSLFSLSIYKQFCLRNEHESANMCIPEEKQIRNSNTTNTFPSSFSRLQNSIFFYFLNFQMIEINDTCAEDPPQADAFRMILIVGIGTVVCSIGIVLNSFLLLSFRRLDVFRSNLLYLFLLACLDILVELCFMVSLFSSSLIFPSSLVWDYFRVEFLYTCWHFYIKYVSTVGQVLIAASTLLIVAASFERYICSLKSSIQFSPQRRFLFISIVGTCALFMKGSVFFELELQSLPHCPPFQNLRLDLSEITRSESYKTIWMFWCRSIFNVFLPFSLLLILNSLTITNLNKLHINGFQSVLVENRCQSDATSSEPPLPDNISCRPLLGPSITSSTINSFASSNDQMPTLLRRNSEACAARRRKRDATRTLAALITIYLLTNTLNLLITIMEFINPDVLGSLGEGWTYKYLADLSSVLTISSTAFRLPVYFHCNGDIRAQIRDFAKSCFIDQNEKKKLGKLTMPIASSSTESVL >CRE15510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:387423:388398:1 gene:WBGene00075391 transcript:CRE15510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15510 MVHKSSKCSVEEVSTPGKQHILTSVMNKRESLNESLIMDHDQASEDYDFVTNALSSQKRSSKNSNLSTSYVITSTQNTEKSLSRYLEEYGNFPLPDWMTAAIIRDVSNALMQLHSNQVIHRAVSIDKIFLMKNEPSFCLLTSRAHARKINSHKLMESRAEDISLLGNVLFRCLTGHEFGSINPLNTPFTISNEGIILAQKMMARKFSESVQYIINHPFIADNTKMPTARFMNLN >CRE24890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1235:876:5733:1 gene:WBGene00075393 transcript:CRE24890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24890 MILPYLVTFLLLLVPPVTSSTANISSLIEALGPNKLCSVYDPFKVLPENNKFQIGGSFPLHQDDCTTLRPDTVQEIVAIQWALTHWNQKDENGDCKLGKLPSDQSLSHPVISPLSGLYAGDTCSRSAESLSQSLRFLDSVGYHEPKECRTETPGAKLLGLIAPKDYESSMSLGKFLSVSAIPVAAYSSESVNALTELDVENTIATSPTIGVYVEALIRLMNQMRSNLVTVVDDGSQNPVTKRVISYLRDADIFVSESIPIDHPFLAQALEDSDSSIVVSILNKKQLTKTVRHPSIYAMSKMWISIPTEGEALDESEQLELLHKKAKLEVVSLQPKYKELPQFRDYFIRVLRNNFKNYQLLTSYIEQVYNCTNEDCDLDKDVMMKSYVQARTAEASIRMTYAFAAVAQIIGANKQYEKTCSHASSECTELIMETLETMDYAFNKQDPPEFAGERLQFYRGTENILLASGMQVEAIEIYNQDEEPVTSRLLSFTTGSPPTVIMSSLRSADQRLRSICAPYRPFCGQCPNLQNVNADHHFLSIPRHYPLYLVGLFDLHSGQTCQSMAHTDISLPMAFVHTVWTFKQRFPQLGLLKDLDFGALLIDSCSSGKQAIESVVRSETQCFRFNQAGRNITIVPKSVFGYASALHGDSQESLKGYFSSGDTDAALVSVDSEHSALQRSFTALPSSRNQALALLKLLNRMQWQFVTAALSEQDPESLSLFRSFERLALDRGVCLAEVINIGGSSRLDNVRSTTNVTIIFATARNAADYLIASKTRGNHVNVMMGDAHDWYLHAPNNKEQFPGTVSVQPRNILYGDFREWLETTTPLTLPELWYWSYIESRYGCALSQKSKVIYGKMCTGDELLKIESLVEKEINHGILCSWNHHSLLNCIFLRFDPTDSVCRLRVILHGLGYTICFGVMIAKATQLRNAETLGFGTSIHISFWNYWLLLFFIVGVQIALSISWFLEPFMSTIGVIDTNVQRMMCTMGKVEFVVSNFYVMILILMALFINMLNRNIKRNYKETKWLLYSTVGCFFTWVAWITLYLVLGHEFRDTVIVVELVACATILLGFLFGPKIYILLSYEPVVVAFKRDPFPNHTDLFEKDDDLPSQRAVSPASSTSSSSNRSSSGSSYTSSSKRPVNAVGPLPLQRNIEDQSPIFHTVMRKKTKVRRSNSEHDTIMNVGIPMTSVPIIRSPSARDEKVHRVAISPPLRD >CRE19598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1509:1:654:-1 gene:WBGene00075395 transcript:CRE19598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19598 MDHPFSHRRTSPAPDSPSVGPKTINLSIAERQCICASWEKASTQSDIGCELVARLLNDNRTRFRALLGTDYPLLLLFQFFTLSECKSGSFLGSGNYTTEDVNGMKRARSVADGVNCFFNKVISKLMDTNYVEEIQDLSLQLGAMHFRMKVWFQAENWLCVKNCLLDSVVSALLKDVKGESQWDF >CRE11632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2497:22:2514:1 gene:WBGene00075396 transcript:CRE11632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11632 YWKGEKGAKVKFMYPALYRTSCLLDIRFFPYDQQACKLTISSWTSSKSDINYEPEYESVNMNNFLPNEEWVVVSFNIKRVEEKFVCCPEPWVLLEAVLVVRRKPLYYIVNLVIPTSVITLVAVTGFFTAASTSSERREKLSLGIDSLLAMSILMMMVSEQMPTSSDFVPLFGIFYLSIIFIIFNTLHSVHFECSPSEDIAQWLRMRPLTMLLELWNETGVTFGKKDKMKAKRVEMKNQKMPKVTSSSSGLNLLKLNSGSGRAPLAAPISARSYISMDDMKREAARRNLRRLVKKINSNKQNGVKNCGGGERGQLRQAGRKKVPAAISVPHGEPGPLMLSASAISAFSVTGDSTILESKLKRRYALEWEYLASVLDRVLLIVFSLVVFTVTSIMILVGEAMHLSYELAAKEF >CRE16573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1984:1942:3513:-1 gene:WBGene00075397 transcript:CRE16573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16573 MNKIYKVIWNATLSAWVAVSELAKGKTKSSKVTGIIGAATVSLMVAFSPEAMANTIDMQAQGNKNDADCVSGGNTTVYKAQTNGTTGAITDGSGTYSTVAGCNASGGGVLGATAYGSYAKVTGKGGTALGHNTEAAQWALAAGVDTKATGESSVALGSGAVASNTSSIAIGTDSGTSGIGAIAIGRNAQTSASGSIAIGDGATATGGTTDINSIAIGKGAQSWGQSFALGTGASADSFTFDINDSGVAVGAQSVTAAGTTKGTAIGVNAKVTGSTVAGTAIGSNAVASIDNSVALGSNSITSAITGTGFLTSQAAPTVGAVSVGNGTAAGNRRIQNVADGSAASDAVTVAQLDKAYDDTNGRVAGALGVGSGAAYNAATNAYTAPTNIGGTGKTTIDDAIKATQRSVTGGSNIVVTPTTAADGSISYSVATSATPTFTTVTTGNSKLDNTGLTITGGPSVTSSGINAANTTINNVANATTADQAVNKGQLDTVSTQANKAITFTGNARKSGDTTDINRKLGDSI >CRE16572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1984:5209:6516:1 gene:WBGene00075398 transcript:CRE16572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16572 MSQIQSSAKNLNLRAQVVKTLLAVQNGQSLASVLNQHINIISERDRGLYHELTLGCLRQWYSLKAITLPLLTKPLDNEALESCLYLGLYQILCTRIPAHAAISETVNAAKQLGFEPMSGLVNAILRRVSRETTEFQTALNNTHGLPSWLFKRLKKDWADLLPEICQNLKQVAPLTLRVNQRQISRDEYLDILDEEQIDARPCTLSDVGIVLEQTGNITHLPGFEEGGFSVQDEHAQLCATLLPNLDDKVIIDACAAPGGKAAHILERFNPKKLIALDHDAKRLLRVSENLERLELNVDATVEIITADATTWVPKELVDCIVLDAPCSAIGVLRRHPDIRLLRQSTDIQQVVDLQKQILKHMWQQLKVGGTLLYITCSILKVENEQQMVEFFAEHADAEEIKIDANWGIEQIHGRQLLPEAHHGDGFYYCRIQKTA >CRE26887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1285:974:2874:1 gene:WBGene00075399 transcript:CRE26887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26887 MGKFSKIKKVQQEETAKGKMEWEAAGAKDSSDDSSDESDDEQVPQKQTEETRKRAELWTNRERVLVLCSRGADVRTRYLMKDIKDLLPHAKGDSKLDQQKSLKALNEIAEMKNCTKVMYFESRKRKDTYLWMSNVEKGPSIKFLVHNVHTMKELKMSGNCLKASRPVLSFDDAFEQKPHLKLIKEVLMQTLGTPHHHPRSQPFVDHVFNFSVGEGDKIWFRNFQIVDESLQLQEVGPRFVLEMVRLFAGSFEGAVLYDNPNYVSQCDPS >CRE24448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:854557:854754:1 gene:WBGene00075400 transcript:CRE24448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24448 MTNVFFSISPVSVCVSAISGCPQNVFLSGTAHCADMYGASSLDSVYLTNARQKISDVLDGWLKAK >CRE24366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2795:515:1570:-1 gene:WBGene00075401 transcript:CRE24366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24366 MSEQVIKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVVVALEKIISKFKSPPIYVYSDFGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVDVLSKVVDGINRSVNRSIKKAPIDVKNGDFSFRRRERRLRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEVIDGKFYYHEMIRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSAEFDSWVNESEILDIQQ >CRE23296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5517:478:2018:1 gene:WBGene00075402 transcript:CRE23296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23296 MSKYEVLQGFYAVHDELGSGGFGKVRLATHLLTNQKVAIKIIDKKQLGHDLPRVQTEMDALRNLSHQNICRLYHYIETEDKFFIIMEYCSGGEMFDYIVRKERLEESEARHFFRQLVSAIAFVHSQGYAHRDLKPENLLLTEDLHLKLIDFGLCAKTEKGRIDKHHLDTCCGSPAYAAPELIQGLPYKGNEADVWSMGILLYTLLVGALPFEDDNMQIMYKKIQSGCFYEPDFLSPLSRQLLRSMLQVVPERRISIKKLLEHDWLNLKYTQPVKWNTIYDVVLRKQKNFIDRDVARVMSKYYGLETTDKMIEKIKEWNFDYMTSTYYALLHRKRNYQEIVLPMTRNPNNTAPVNVQNILCSPTIHASLDNNLDKSGLEDEDSGSDPSSISSSDISAKMKKSCNITENSSKFAKPLSPEREKKMSYV >CRE10027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:408915:409287:1 gene:WBGene00075403 transcript:CRE10027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10027 MSANAEYGLKGQTPDEYNQMIERMKTDTTLFNKYFDFFFRNHYRRSVYDGLQPCTTQECRNGYLCDARQFHQTNKLCTDLEGQISKPKETKKKYSARFVTEKSKKRGKEECKI >CRE15139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1682:1700:2342:1 gene:WBGene00075405 transcript:CRE15139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15139 MKSFSILFLLVSIPHIICQQPLPLSQSPSYYGEFHGSNLGYPPVPNLLPQFQAMNLYGATTEPRRAIPKQADGTDCPMPPECGCLCGRSGGRKKREAVEFWKNTEEPESEIRCTSGKLRRVVELAISNADQAGIQFDLGVNTLQNYVLFCQAEKFKNLQFSTDSTKYCHVTRGPVNCLIIQH >CRE12662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:139774:143558:-1 gene:WBGene00075406 transcript:CRE12662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12662 MYDYHALSQQISGGIGVFNNGFLIFLIIFKSPKKLGTYKYLMIYISVFELIYASLDMLTVPEIFSKDSAFFVMIKSDKTVLPDSLIFSATVMFSSMYGTSIAIFAIHFVYRYVTVTGHSLQSTFVSWKFIIWLFFPFVFGLLWSVVINLTLTANPAGDLLLEQEYLSKTNHSINQVSYIGTYFFPRTVNGTNYFSMRPFIGIGCMLAANFVSIMVILSYGYKCYIHMKTVLPTTSQSSQFKNLQTQLFYALFFQTLIPIILMHTPAFFIFIATFMDRSCELLGQIPSITIVLYPSLDPLPNIFIIRNYRDATICELGAELLANFNHISDYVKSVISLVFRIPGSKIGIEDYKNERNADLSRYNNQSNVASTTFNVDGLTKVWINGVRRKGCQLIGVKQFPAGCGGLAGFNFTDKLLSKKQGLSWTEHNPDGLRYELSDIYQDCLVMWIRPNQKLIDDVLCNSYLDPNGNEIRGYACGKEAG >CRE17033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:14304:15951:1 gene:WBGene00075408 transcript:CRE17033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17033 MSANKQEDRKGKEDAIKCQQVLENNSHGHISQRNLYGPYIDPNSDLQASLRFGLDSFDVEVTRRPGQQMTDQGVYHLLYEASTPTLFGTYVNGLLNGNQYGFRANRSCESQLIHYQGSLLQDLESHKANFAIYIDFCKAFDKVPHNKLLTKLEGYGVQGNLLRWLSTFLTNRIQVISLNGSYSKPMDVISGVPQGSVLGPLLFLLYINDISDNVESNILMFADDLKLFSPHANLLQNDLAIISDWCSQWQMTVAPNKCEVIAFRHSTRNLKSKSSPDFHISGLKLPFVRHIRDLGIFFSDDLSFTHHVNIILRRSQFRVNMLFNILKNSTMEVFIRCYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTFIPSVKSLRRHPFYLRCNIKNSNKSSSQFLSNRTLNCWNSLPVSSFPVKSSSRSFKTNLKHVDLSKYLTLSPLNY >CRE26849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig485:4169:6511:-1 gene:WBGene00075409 transcript:CRE26849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrps-14 MLRALRGAADLLSTALVKRNGEMAARRFLSTPTPEAVAAAETALKSATDVNTETAEVVTSSEDSGEPSAKVQRLTQPYSQEAITKLKLDQYPLYVEREWWKTGKRMTFWASWRQLRDVKRREQIQVSVYIL >CRE30645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4647:766:960:1 gene:WBGene00075411 transcript:CRE30645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30645 MEHRRQSNIKKKASLGGASSRGGGASRGGGASRGGGFRGGRGGGMGGARGGGASRGGGKFGKRR >CRE20168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2907:3237:4340:-1 gene:WBGene00075413 transcript:CRE20168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20168 MEEIQANQECITCPQGERGPTGAPGDRGETGDKGDKGVAGIPGQDGLDGEQGEEGPVGPPGPPGRRGRKGADGKSAMGGIGEPGPKGVPGAKGGPGLQGPRGKRNYIYGPPGPMGQPGPSGLDGVSGNPGDRGPKGPPGDRGADIKFCPCPLELELLKVKHSKANRPSPPSSSTSTTPPTTSTSETTTTVFPNTEKNSDDTTTLEIIGEEETTPEDITATTTIETITFSSQDDESSESKNSRNSENVFGSAPGNEGIIQAETLNFDDGEMQTVELPKDYENGEQPRGPIITVEEDDYPEDTTTTRRFRYVTKRPIRFE >CRE19062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2089098:2089524:-1 gene:WBGene00075416 transcript:CRE19062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srj-42 description:CRE-SRJ-42 protein [Source:UniProtKB/TrEMBL;Acc:E3LLJ8] MVRIFNKRIIFQVELLRALIVQTVIPIFISFSPCLLCWYSPMFGIQLARGFNYVEVSALGVFAFVDPVAIILCLPIFRLRIFRICRGGSMFSSAKSSSAQSN >CRE29957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:72672:78740:-1 gene:WBGene00075418 transcript:CRE29957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-7 description:CRE-VHA-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MLV8] MSSFSNLGFVPPEDEDDVVTMRQRSSESFSTEDSFIDGSPRHRNNLEFMFKKEVENAPTASGIDSSSDLSVPENKQRAFLSSSFLSSLASSKFRRSSKSRQFFKTIHWYIYIYILSYFFLILTTCQALLFSARVRENLANFGSAPAEEEELSIERARDGQDDRKEHIDLSEIFRGENHNTQLQEASGILGGVFNLNFDKSLEGHHHFQSDHLDLDSSSQSTQDVIYLRDMSTTTSISEPILHQSAKINLTPPGKNPISSHQKKMSDKSDEISMFRSEPMKLYQMILVKEAAFECVAELGKHGNVQFIDLNAKLSLYSRSFVKQMRRCEEMERKLRFLEKQVITCKPGLDPKSIDFGDLSAPTQAEMIQLEHKLDQLEKEFLDLNNNDYALRRNLNSSREFLHVMKLVDEFFQVVSVWNQRDTSVTSSFVFSIKKKKRKLGSNDQLPLMILLEMFSKSFGFGGLPSSNELPMTPLLGADDNAWFVAGVLPLDKKESFERVLWRACRRTAFVRTSDSHFLVNDPVTLEPLPKCVFIVFFKGESLRLIVEKVCDGFNATQYPCPKSSKDRKMKMSETEGRMNDLTVVIDTTQTHRYTILKDLSYELPIWLKNIQIQKSVFGVMNMFTVDTNGFLAGECWIPAAAEENVRQALHDGFKASGTEVEPILNELWTNAPPPTLHKTNKFTRVFQSIVDSYGVGQYREVNPAPYTIITFPFLFAIMFGDAAHGAILLLAGLFFIKNERKIEAKKIRDEIFNTFYGGRYIMMLMGLFSIYTGFLYNDAFAKSFNVFGSGWSNSYNETQLDWWIARAYRKKREFALELVPEKSFDYEKTYPFGVDPIWNIADNRLSFLNSMKMKASVVIGITQMTFGVFLSVLNHIHFKSYIDVITNFIPQVIFLSCIFIYLCIQIIVKWIFFNVNAGDILGYAYPGSHCAPSLLIGLINMFMFKKRNEGYYDQDGKVYRNCHLGYWYPNQRLVETVLISIAVACIPIMLLGKPLWVRFVTSKRHKLQETKSLKSIKRNGTTVSAPTSPVVETGPPRFTQEDAELLLADELDIGDDIHHSLTDIFVHQAIHTIEFVLGCVSHTASYLRLWALSLAHAQLSEVMWHMVLMQGIHSMDTIENKDVAFYLQPIVASISFLIFAILSLSILIMMEGLSAFLHALRLHWVEFQSKFYLGTGHPFHAFYLKESLENAQLITEETDRLADISRGQH >CRE05365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2572:1000:4044:1 gene:WBGene00075419 transcript:CRE05365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05365 MQFVHLGIHTEFSITESIVRIPDLIKAAASDEMPALAITDLSNLHAAVKFYNKSLGKGIKPIFGSVIRLNDANHKATLLAMTNKGWRGLTEIVSRGFIEGQQLSIPCVQKDWVLEQSQDIIVLLGLHSDVGEMLCAAYPEKAEPLLEQWIEKFGNRVYLALTRTGRPLEESFNEEAVKLAAKYNIGVVAHNDVHFVRPEDYEAHEARVCIADGYVLGDSKRPKHYSPEQYFKTSAEMIKLFADIPSAIENTLQIAKRCTVSLRLGFHDLPDYPIPEGHTIHSYFEHLSEIGLEERLDFLYPVETRDEDWAEIRKPYDERLAYELGIINKMDFPGYFLIVMDFIQWSKNNGVPVGPGRGSGAGSLVAYSLKITDLDPLRYDLLFERFLNPERVSMPDFDIDFCIAGRDKVIDYVARHYGRDAVSQIATFGTMAAKGAIRDVARVLGKSYGLADRISKMIPTKPLGLTLEESIEAEPQLKDIVTNPSNPDYDDAAEIWEMALKLEGITRNTGKHAGGVVIAPTKITDYSAILCEADGTGRVAQFDKDDVEAAGLVKFDFLGLRNLTVIEDAIQNINKRIQSDKPLNISNIPLDDPKSYAVFADANTTAVFQFESVGMKKMLKEARPSKFEEIIAFVSLYRPGPMDLIPDFIHRMHGGEFEYLHPLLESVLEPTYGIMVYQEQVMQAAQFCAGYTLGGADLLRRAMGKKKVEEMVKQRATFIEGAAKKDIDEGTANHIFDYMEKFAGYGFNKSHAAAYALVAYQTAWLKAHYPSEFMAAVMSSEMQNTDNIVFLIDDCRINNLVVLPPSVNMSFYQFYASDVNTIIYGLGAIKGVGEQAMQSVIDSREQDGPFKDLFDFCHRVDLKKINKRTLEALIRAGALDCLGIERSSLMAQLPEAVQAAEQARSNRETGIMDLFGEVEEVQRKPAKPVKPWSDEVRLKGEKDTLGLYLTGHPIDVYRADLKSFIPNKINELTLTRRGVTTVFAGLVVDIAFFPNRMMITLDDGTARIEFSAHHE >CRE13846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1741:3448:5680:1 gene:WBGene00075420 transcript:CRE13846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13846 MKQETAFDAMKSSVQTIAFIFSCFSNLILIFLICTKSPKRLGSYKYLMVYFCVFAICFSILDILLQPYSTFICFVFQYILSAGPGFIVITEIKNTFLGSFGETCLLSSLCGCFGCILATIAIHFIFRYFALERKGKLRYFQGQYLIGWLSIPGIVGAVWTIVTVYFCAPNDITMEYSRQLMKDHYQIDLNNVTYIGSIYFLKDGKGNSVPNEFALLGMAILFSIMGVSLSILAFFATKCYNRIKTLIYEGESSFTRNLQKQLYKALVAQASIPMLFIFMPVGLYLTLPLVGIQLEVSGEIVTFVYALYPALDPLPIIFIIDNYRYAIFGKHQKNYFLFQGIDKLLM >CRE23810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1197:437:535:1 gene:WBGene00075422 transcript:CRE23810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23810 MSRDVSLHWLDYAIFGLSIGLSLSTGVYHAIK >CRE15256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3466:644:2330:-1 gene:WBGene00075423 transcript:CRE15256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15256 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:E3NW72] MQQLISSLCGSGGAAIGVIFAIAASLTTKYTYDVRILAPVFIFVLPYMAYLTAEMVSLSSIIAIAICGMLMKQYIKGNITQAAANSVKYFTKMLAQSSETVIFMFLGLSTISSQHHFDLIFICATLFFCLIYRAIGIVVQCYILNRFRNKKFEVVDQFIMSYGGLRGAIAYGLVVSIPASITAKPMFITATIAVIYFTVFLQGITIRPLVNCLKVKRKEERDRTMVESVYNKYLDYMMSGVEDIAGQKGHYTFIENFERFNAKVIKPVLMRHQKRESFDASSIVRAYEKITLEDAIKLAKVKNTIQNKRLERIKSENKVAQSLPDKISSNQKTVTPRDLQLKKFMESGENIDSLYMLFSDLLDRKLHEMNTSPIQKKETEGSDDIQDDYMAEVGSRSNLSAMFRSAEQLPSEEAFHRGRRQSTGDLNATKRADFNV >CRE03516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig490:7038:14061:-1 gene:WBGene00075424 transcript:CRE03516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03516 MFKSLVFVSFLAVALASPIDSGYVSTIEEMFNPENESAVCKEESEKFKQCFEVLKELWNALRGHCKNEVDSLHHGDCPYAMEQIFGEGYSCLNEQNLKAGLVFCIHSEARMEEVQAGGIEALASKMKPIAKCITQLQECEDKEKNAFYKGAMALADFAEVAMKVAHGVQTEQLGYIETFDKKFNRADFDNLELILDTHKNYSRLIITIDSGNLKTIEIPKPQDTSSNKVTVGWISVDYHSFPIVFPIRIVEQPQELESLECKICVRQYNETDRIPRVIPVCGHTLCEDCARNIISGNTLKCPVDRRDVNVEGGASSLPRNFAILETIEERNTLLNVPMGPIDSEPTYPRIPCAENFRHESTVRCVVCEANYCESCFNKNHQGRVLSAHETIDITFPKCTNCPDKFAEVVCTQADCSSDHSPICLHCYGESHKKHRYETIRKNLEQNQIVLDNILGALAQKLRELEMIPHNLSIEEQEDLEYLKNMRTFGIQMKQCDYKKHKRGKSFAWWFQDATKLCFKKYGYYVVDIASKNVASETEDIPVPAGRASLTRPSNIEIASETIHSEETLPIDSPTPESVRSSLIFGAEVLDEVLSNLPPDSESWQIDGDGSEDTPEISHRRIDSPVPESVRSSMIFPASETHEEKLVVETPILLSSSSVASSTTTEKAIEPEEQRSGEPSGLVPRETNDSSPNQGVRSRIDHLNKIRQLPIGNKNYIKTKIAASLSAQDCGESTINYSVETAAAQNSETSDFSENVDSDPFTETEHGELGTHVSKSSDNLEDLPIASQLLEEPGFSPSENLSTSPGMVQEPSPESQKLLSTQQPAAVKTKETGIKNQTEALNSTPVEDPDTEENFKFKNIFARFEKATQSDNGTILNVPRPIMRAKFLVDADLAKPQETSDSKSPDFDVNPDISKTSTSSSPPQPAPRTKLIQTKLVSTRVAMFNNFDRF >CRE30616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1888:3121:4269:-1 gene:WBGene00075425 transcript:CRE30616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30616 MLQRFRERAAGFDADNRFFAEDFDELRGSGYLAAAVPVELGGAGLGLEQLLRAQRRLATHAPATALGANMHLVWVQVARFLHDRGDTSLDWVLRDAAAGEVFAFGVSEAGNDAVLMDARTTAERDGDGYLVSGTKIFTTLSPVWTRLGLHARSEGPGQEPELMFGFVRRDAAGVRSPEQASAGLSEGRIEHPGTWNPLGMRATQSWTTRLDGIRVAETDVAARFAPFDGAQPLVLGIFSSFSLLTASVYAGLSDRALELATAAARRPAGDGDGIRLDDPDTGTRLAAAVLDHRASLDAIELLARDVDEQRARDDWFLALAAAKNRVTDEARRAVDVAMRAAGASGFQADSELARLYRDVLAGMFHPSSSRALTATALRGLAG >CRE14442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2342:1037:2821:-1 gene:WBGene00075426 transcript:CRE14442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-153 description:CRE-STR-153 protein [Source:UniProtKB/TrEMBL;Acc:E3NUT5] MVSTFSVIKSSMQFLTVTFSLIMNCVLIYLVITKSSKKMGNYRHLMCYFSVFSIVYAILDWIVQPVSVMGDLVLHKGFQYIHSHGASFSMIMDLRESALSPDVAFFFVASLAGCFGVTIYAIAINFIFRYFALQREGRLRYFAGKRLLCWFSIPILGGLSWVYLCWLSMHPEQEFTDYLRDCIKSSYDLDAENITYTGSYFYRIDKNGNVNWSIENTLGAVGLNVLMVRMT >CRE12738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:585000:585401:-1 gene:WBGene00075427 transcript:CRE12738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12738 MKTTLILLSIVAIAVMAQPPQQGGQQGGQQGGQPGQGSTPSTNGQQGGPPGGQQGGQQGGQQGGQQGGQQGGQQGGQPGGQTGGQGQQGGQQGGQQGGMGGQQGGQQGGQQGGMGGQQGGQQGGNQGQNPQMG >CRE27449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1362833:1363837:-1 gene:WBGene00075428 transcript:CRE27449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27449 MKRFTLSLTFFLFFASEKLYFVESCAATSSARGAIVTTTSSTTSSTTSSTSTTTSTSTTTPTTTTTSTTTTTPTTTTTPTTTTTPTTTTTPTTTTTTPTTTTTPTTTTTPTTTTTPTTTTTTTTTTTTPTTTTTPTTTTTTTPTTTTTPTTTTTTTTTTTTTTPPTTTTTLNLRRCSPTAITYGMGDNLNPQQQISVSYSGLMSTQIGSTSDTISTMTVSCSAINGYIAYMSLNGGGVSPVENQNNNSRPQTVAITATCSSVNMVWNYVVVLNGVTYTIGFYSVNCNQGTIPGGG >CRE29373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:302041:308992:-1 gene:WBGene00075429 transcript:CRE29373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gop-2 description:CRE-GOP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MY52] MSESGPSSSSTLPPQSSLPTGPNVDQKPSVLVLGMAGSGKTTFVQRLTAFLHARKTPPYVINLDPAVTKVPYPVNVDIRDTVKYKEVMKEFGMGPNGAIMTCLNLMCTRFDKVIELINKRSDDFSVCLLDTPGQIEAFTWSASGSIITDSLASSHPTVVMYIVDSARATNPTTFMSNMLYACSILYRTKLPFIVVFNKSDIVKPTFALKWMQDFERFDEALEDTRSSYMNDLSRSLSLVLDEFYCGLKTVCVSSVTGEGFDDVMTAIAESVEAYKKEYVPMYEKVLADKRQMDEVEKKKREEEDLKGKPVHDLKKPTGDEDDDLDLNTKVDRIHLGGVDEENDEDAELERH >CRE23397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:699450:706388:1 gene:WBGene00075431 transcript:CRE23397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-79 description:CRE-NHR-79 protein [Source:UniProtKB/TrEMBL;Acc:E3MHA5] MFSYPYELLCVLLVPPSSPHTCVECVCVCPYQLIMFPLSFFLFFSFSTYWSLISLFYYVFDRNFRIDFYFFLRYFFAVMVRGKCTVCDSPNATNYHFGAQSCKACAAFFRRSIAMGQTYDCLGDGVKSCRIDHTLRLNCRHCRLKKCLRAGMVKDLVQAKREVKPTIPLSKSNSRNSSQSEDFCQPQQTLQQVPIEPLVDGSICPPTYDQYPTTSTNDVMFYSENEWDFCPPPTPKSRKMTEESSENLMGMDELQQFIQIPAESDDFQFLTDSVEIRSRMTSFSESSAAYLSALEEEDRLFGLAALYTDQVINLNMRRRITYTDKLLGSVFDGPCVCPYDISDLKLFDHRTYRQKNRNDYTMILDYINRFPEFQTLSKSEKTVLFRTAAAVDVLVDQAYYSQVIFPSKDVLVTANGEYLSMDPLPQIENQRDSGNFQSDEDYDKYKVLTSMKLRQWMHVCKPFKDLNMSLTEFALFKALTIWHYNYYKLQETGRQVCSRQRDDIFRTLLLICDDEGHPDALLRASDIVLAVGVAMAEVHEMVTSYIEITVYDVLDDPILKDMLKFQY >CRE16588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3304:1877:2992:1 gene:WBGene00075432 transcript:CRE16588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16588 MKREPVFFCFLMVFSNFRDPTDHELKLFNDLKTAFDKGALIVAAIAARTKEEIEESLDCGLVKGHAYAVSAVCTIDVSNPTQRSLTSYLLGSKQKQNLIRLQNPWGEKEWNGAWSDDSSEWQNVSDSQLSAMGVERGNSDNNDGDFWMPWESFVQYFTDISLCQLFNTSVFSFTKSYDEQIVFSEWTTNGKKSGAPDDRAGGCLNFQATFCNNPQYIFDIPSPNCSVMFALTQNDPSEGLKKREPFVTIGMHVMKVENNRQYRVHQAMHPIATSDYASGRSVYLHLQSLPRGRYLLVPTTFAPKEQALFMLRIYSDEHIHFSPLTKVGGFS >CRE03568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1530:8949:10027:1 gene:WBGene00075433 transcript:CRE03568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03568 MDPPKPFPILRLPFLAIEEVFKSLHPIEIINFSMISKRTNYLMSCAYEITSGQQIEDKTAERDGYILRRVINYLKNPIEKWKQLTKHVLDIFKKQTINYLSMTMDASVDFFFIAPLSPFLSIDCSSKMDPPKPFPILRLPFLAIEEVFKAMHPFEIINFSMISKRSKGITMQMSVCPRYSIELHIHESLGIRFLGTKSEVSCSYVMTSNNEMDGRVVETDCGRHINRNVFKYSKDPVDEWKQFCEHALEIFKKQTINVLRMTMDAFVDHNVSIIDFMNTNVKSVDICSLFEGYRKIN >CRE03567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1530:6759:7765:1 gene:WBGene00075434 transcript:CRE03567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03567 MRSFRINFSMISKRTKAVAMQMTSYSTHLIQLIIGEELEVWVHEPKYMTACYYMFTSKEEMNGKGEENTWDGWNQLFVWKYSDNLIEEWQQLCKYVMELFKKQTIDILSMTLDTLVENNVSIIDFLKTNWKSVDICNLFQSEDKNDVDEHAAYLLNNLKVNYELYSYLDIKNVNFDMKIPKNLKELHIENSQWVGYERLLEIDCKNVILEKNRIFNKEWNLFLKKWIAVETHSNLEYLALILHDIPHEVVDGEAKITINGYLNRNIEINGGIDIRRVDGKTATFFVFQMEFLAMSVH >CRE18375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2243:2664:3378:1 gene:WBGene00075435 transcript:CRE18375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18375 MLVSILVPMNFFPTLFFCLSVFKVAQFQNVDREITILNQCSFTTWVGIQGPRNPANGGFKLEAGARQTIYVDPTWIGTIWPRTGCDDGMDCATGSCGPHEECNGASGSPPMTVAEFSFETDGFNDTYSVSMMNGFNIPVLIDPYGPLECARAGGCYSNPNDACPMELAIQKGKRQCERHI >CRE18374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2243:1329:2468:1 gene:WBGene00075436 transcript:CRE18374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18374 MIFLLVGFLFPLNSYCARQINIYNRCPFTVWPGIAGPGNPAGGGFRLENEQNRKINVVDDWQGIIWGRTFCDNRMRCATGGCANREQCNGTLGRAPFTAAEFSLNEANDEDVYSVSLINGYNIPILIEPFGGRGCLRAGGCISDINEICPVLMRVRTQRRGVNNNVVGCRSPCDALRSDRECCTGNFATPDVCFRSHVAQTFKDACPTAFSYRFDDATSSFACQTNAEYLVQFC >CRE14435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2022:1073:2169:-1 gene:WBGene00075438 transcript:CRE14435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14435 MSYITNYEEHLIEKMSSMKRWDNNQELPVDNSATYLLGDQTVLKSSLLKTIAETNTTEQVAYVPYIECLPILYTPFELLENVAACHGFEVTEDHINYLLNAFDMKSLATTPIKLLTDSDRRILMLLTKLVIKPSIVILDQLEMFLPHPKMMTVWALCARLRADGVGIIYTSRNNSFAEHTATSCAHVYKCQFMNTHPSASIKAGMGCTILEVVPNAETETDKLLKMLLLSMPDATVMPSANKNVLLNFKKDDAETMNMVTIKTLKFLCNSYSDRWNNSVIEYLYSILFYSFWKLRRVRRISIRKVNLMFSLNKCVFSNS >CRE04423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig971:665:4443:-1 gene:WBGene00075439 transcript:CRE04423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04423 MSGRISNRSVVVGVRDAAGGGSSDDKDNPNEFDALYADSQVRSFSSFFREMVLFLWESCFRLKHEWILNHLIIDIYLFSLSPPIPSTFDLLQNSSAPNTSTILLGNLEMRCKRFRLNISMKHRIRWVIFVLTWLQLGSVMNCVDVFSFSMVYMEKNSTIAAEAGRDDIYIYTLEEKSSLISAMAIGSLIGMYPQNSLMQKYGPRIVLTVASFFCAIVTAFMPWALDTNYHVALVFRILQGILYSADFGVVGYVVAKWSPIKEVGMSLAALSGFTAARAVIQLPLAGWTTSNIGWRPIYYLLSVILLISSVIWFCFYRDEPDEHCMMTQSELTHINTGKVKDKKDKKTPYREVLTEKSVWAVWFAGFADIFASFVFLVYGAQYYQYLGMDIQANAWLNSMKGYLFIGVRVIAGIASDRIQFLPEKSKLRLFNTISLQVPAFFLILVVLMPREHPYLHVICITFYQASFGFNCGGFYKGAALISRQFSYFVIGYIQLFKSLATLLEPVLFSLLVLPGSEDSELSWTSYFLIHALTLTVANTVYVIFARSEPADFVSNADLELSKPQLPCETSIN >CRE09837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:38119:40404:1 gene:WBGene00075440 transcript:CRE09837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09837 MTVSLDIMYSDVIATIDDGINEKVTLTDDTDVSNKVKEYLDEKFVKRSDVELERISILLLSYTNPPQLPSSLPCKSWNIKCESHTPYVINLLNSIPLNCDLLGIEVDNLGFGEIADMEQVRTAKMLSLKMTDQLMEFGISGEQFEKFKAEKVYLNEHDYYHP >CRE17771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1950:1479:3990:-1 gene:WBGene00075443 transcript:CRE17771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17771 MGDGPDNPHHFSMFVAQRAFLDANFEEALKHFFVCIKMLPVERRGLYEEQFAASIHGWITTDHDNAGRAVRLYPQIRELFPLTIPTKLAIIRAVQTTEQEKWLLNCLPICKDAMELATKPEELVALRIARVNLTTVPFPQWHIRMINDVARNEAYAKALSVSIKSRSSVVFDIGSGTGLLSVMAAKQTNLVIALEENICLAMLSKEVLKRNGVEGRVSVECVNSTQFKTCQKADVIVSEIMDCCVFGERIIETFIDAHIRFAHQKTIFIPNQATVYVRLFKCREIFDIHCQDYGGVRYRSEYVKINDSTNEQPYWCTSPLDYSDFEFLSDPEEIHSADFTTLVELQKSINCSGSLTVLPIKKGVAHGFAIHFTSDLTGKGDILNSAESRSWELGIIPFKEPCLVDCGQELDISWKLAYNRLDLYNNFVRTFIHIFFISIVFQYDEGLQKNEENLRYETASLEQLQKLRDDSYFKAMMAEIDEIDTAYTIDTSSSIPAQCMIETAVPQIPPRVLITSLNRYDGSLDQDAFFQIERYLGYRDNIKKIVPTRVRIFGRLFYSDRINSQARIDPTAHCQVDLATVRSFHLREMRDIRLSQRKDIVMNSDEFLIFDFDMNPERFKQDDYTTMNREIEVQPKGRISDGVIYEFEILGSRNTKLRPVAAFLFPERVYTQEEMTIMVDLHVGDMLISLKDL >CRE07166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1734:5605:6390:-1 gene:WBGene00075445 transcript:CRE07166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07166 MSSRLLRSAVVRATQQRTMYENPYINRFKARSKVSEDFHKKTTGITGLFVNEHPHRALTVVYGRILRAIEQMPRDSAYRKYTEAVVKQRLALVQAENDIKKLEEKIGMGQIEEVIEQAEYELETTRAILDSKAWEPLVESAPKGQWSWPV >CRE24314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig996:11301:12628:-1 gene:WBGene00075446 transcript:CRE24314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24314 MQAWLQGLNMGGGHMRGNYDQTFVVYGAVFLPNATQTKITEINYGGKILLPTSALDLLLRLNIEYPMLFKLTNMPAQRITHCGVLEFSAPEGQAILPQWMMQQLGLTDGDTVRVESATVPKATFAKLKPMSLEFLNITNPRAFLEVELRKYACLTKNDLIPTSYAGQTLEFLVVDVKPANSVCIIECDVNLDFDAPEGYVEQPRSVAPSVNVKPPAPPASAFLGAGQATAGTGSTTTTTSVFGGAGRRLDGKKKPTSSVSLCSEGTTGASTSDAAAVANDLPAIPPVVVNEDYKAGRVSFIRYDYKRVDVLEKELREREANQKSQPSKLFQGSNRTLRGAR >CRE24315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig996:13253:16055:-1 gene:WBGene00075447 transcript:CRE24315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24315 MVAEKKDAVTASKGDSNRKSVKIEECPTYSHSSAEKIVERLGIPWVTILSMDSFYKVLTPEEIKEAHESKYNFDEPKAFDFDLLYEILKRLREGKSVDVPVYDFNTHSRDPNSKMMYGADVLIFEGILAFHDERIKNLMDMKVFVDTDGDLRLARRIVRDVTDRGRDIDGIMEQYFTFVKLQPAFDKYIAPCMDYADLIVPRGGENDVAIDMIVQNVMAQLVERGYDRNQNNRDRHDLVRDDLPDCLPENLFILKETPQVKGLVTFVRDRDTSRDNHIFYSDRLMRILIEECMNHMPYKDVEIEMAGGRKTLGKRKDAMICGLPIMRAGECMETALRSIVKDCVIGKILIQTNETTFDPELHYIRLPPHITRYKVIIMDATVTTGSAAMMAIRVLLDHDVKEEDIFVASLLMGQQGAHALAYAFPKVKLITTAMDHQMTENCYLIPGMGNFGDRYYGTGIDQDLDEPFDV >CRE08614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:44895:46329:-1 gene:WBGene00075448 transcript:CRE08614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08614 MFLLFVPVLVSGVSILTKTDPAIQYNQLNMPLETNLYTNLQGFNGEGEPEMKTFFKFDDSIVDEDTRVYVANRECVFDIIAPGDMLMQCRGRLHRIRDQSVQVLDSFSEHFTFDHVLKHVYVYRHGKILRLQPQLANKTVAVWCANNVRDFNVVSGLLTVLFNNGTIAHNNTVLAHVDPAAYTRLPIFAAPPPTHVASENSINSLNIDTTFEVPIDNIFWFYGVDTPGIPRHLPKLRAIEGMPDVELLKKHKHQHNVLVCDDLMNFFARDKKSLHLLNDIFCLYAHHLNCAVFNLVQSAFALPPITRNNSTYIILMRNLSDTAQVKNILVQQFGQKWRGAYEAYQDIMSRPYEAVLLNNDPMAHPSMRILSNFLEPYPVAHVPI >CRE07167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1774:3359:3943:1 gene:WBGene00075449 transcript:CRE07167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07167 MDDFYITLPSSVKNPQFENTSSRYVTRLPQVLHLEKDKYVVAVTDIIYPYSFVNVGRELNYWIHFKNKEPVNVTFPAAQYSKIEQVIDALNGKTPRLKRRAPPDLEYEMKRAKREMSEAEKLARIAASNAAFAAEAKRQQVLEEAKNEAIRLSNHVFADAANKRRLKENAEQAAKDATQGAPVTIPATNEKEED >CRE07171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1774:6464:6940:-1 gene:WBGene00075450 transcript:CRE07171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07171 MSFSSYCQECDSHFDAPCTRNKHVAVAHNGVMPPNPEISEELFYTMNSHVPQVRSRTCPICLEHFPSLHSCIYHVDIDHSLFHYIWKAEISEWERLVEAVFPGGLNFVRKLYSKRLPYAYFQHRQSRRMDCECCDVPLSDLEEHEENESLFYDLFGYG >CRE07168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1774:7851:9173:1 gene:WBGene00075451 transcript:CRE07168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07168 MISRMDPKSHDVIVDELDFTSMPGTQSSVQNTRWSVVNLKNAFQPDGPWEFILTNNSRSYLNLKRTYLIFTFDITDTDGNVVTIPARETGKELIYGPINNIAHSIVKNFTLHLNSQLVYHNSDNYAYKAYMENLLMHSKDIKDSTLSAAGFFHEEDVGSEKCDGFVKRCGTGTTQVAANISIDLMNQPRVLLNGCNVKLTVYPNKSEFLIQGYNLGTKKLKFNVRDVYALVNEFDLTDGLSNAIESAVLEHKQIQYPMICSQVRSFYIDSNRYDAPANTLFTTKLPRRLFLGLVSSDAYNGTFGTSPFCFKPYGLSNAYIDVCGMSIPGRPMNLDFKKNKFIEAYVLLHEALGHSRNNFSSCAIDRQMFAEKGYTILGFELSAVATDNSLFDLIKQTNVSVRLEFSDLVPSGGLYCIVYAEFDGLLSMDPLRNPQIDMSV >CRE29380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1849:1076:1414:-1 gene:WBGene00075453 transcript:CRE29380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29380 MESKAVSPFILKEILESEEFKILLKSVVDSALLNVYERLDNVESRLEKMENQRKNEEESEEGTRQFVDSCFSKYILSEILPKTPRMQLEISLAMKLLPNQKRHLFSRLSLSY >CRE29381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1849:2096:3555:-1 gene:WBGene00075454 transcript:CRE29381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29381 MDQTSFGKDCESAYGSEVSSNATFKLQIEESTTKKEIWYKWIRNRLRHYMILELLFSICLVLILWKQYHISSQNDKTLELISSIQSEFRNFKIDIESDRASKPTDTINLDGGNKKLEEFVEEVMEDMKNPSTERNQKSKEYPKQVIPTQDNSPPNNSVFEINAASLILGATVDSSRSSSSDNNPLIGRDQSGYVLIDRRDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGIVPDGAPSRYDVLACLDYYCNNLEPLVSNCEYKATRDNKQEQFCSIPFNKNHSSIGKVQFHFRQNHGNVIKTCAHSIRVYGETKEVPKVKERTLKQAETCSKLTYDYHHKSLSYNIFDFKNCTVLYSNDCCSECPECCDECVIEDINRKTVLLCVLFIFVSPFIIGPILFFIALIIDCLFCKRK >CRE29382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1849:5283:6366:-1 gene:WBGene00075455 transcript:CRE29382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29382 MQLEIELALRLFINQKTAFVISPVSIILGIHPFFQNANLETRLRIAEFFLLEGATDEDMSEYFIDLLSVIKATRLRYNMHSHGRHFCEPNPNVYWRFGLHGLDEKVVNDFLSTKLKFIEFEPEDKIINSITYSQLFDEIFHTFSQSKRDFYITKNSPQMKGFIQFTDAQHNFSENDTFQMVEIKMRVHVSLQIFLPKTRFGLEDALNNLGDGEQLYNLINTAQEKYVNIRLPRFAVNTETDLGSFVKSVEFDKELYGIVSKNYSEKTPNFVHKAQFELTFVNNEKLEDIDDKNYDGLVDYPYYIIDQFILARNSSF >CRE20137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1388:3585:7814:1 gene:WBGene00075456 transcript:CRE20137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20137 MTVPPFVKSCTVKLLLPGALLAAAIIRPSFLSIGYVVLALFSAVVPPIRKSLALPKIVGTFVTITFLFCLAVALGIGSYQISEQFVHKNDRTYICNRSDTTLFRSIGLVRFHPTGTFDSTRAFLPEIIALSASLLSFIIVMFMSHRDEQLDTVGDVVTVRSESGREQRRQRKMAAIMWSAIGNSLRRLTNFVIFLFTAYVGIVKPSVSNSIYFGAFLFISTWWATYTPLRHGVYNQIKKFLIFYSAIHVLVLYTYQIPIVHNSWLPTGSFLSRLFGLTVLMDSSCPEWWKFPFVAPDFNDDDLIMKWPLYANPIVVLIFYYLTVAQYKFTRNGSRQYIDDNDYGSSVHEELLSTASSSHEDEQGRARSRSPLRNGEGQNSIPMRKVTSQVVDRNKLSNIFNSPGDKESAASKGMIAVMTFVIFHSYSIALTAMMTWALLYHSIFGLILLILTCILWIFRDTRRSSFTMAPLILLYIEFLLVLQYFLSMDIHQEIGDPAWMNFVGIEWATIPVHALIILCVQTLLSLPVFLLLRLARREKYYESLSDFERQRRINSYGTFGASKTGAGGVAVAKDPKSRKFAAFVEYLSNKVSIYFIFVVSFVLLLVSIHFKPNFYNILFFALWALNLIYLKVRQLYGDTLKY >CRE22762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4158:222:1238:1 gene:WBGene00075457 transcript:CRE22762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22762 MSIAQIIQDAWNKQSKWLIALRPLSWLYQFGFTVNKQLYQKGIKKTYQAPVPVMIIGNITVGGSGKTPLLIQLVKYLQHHHVRVGVISRGYGGQGPFPALVTLDSVPDQVGDEPCLIVQSTEVPMVVGGNRQANIELLLQHYELDLIISDDGLQHWALARQIEWIVLDNNRGLGNQKLLPEGYLREPVSRLKHGTVIEHAHQPHSALNMHLEVTEPYLLNPSFDQSEQFDPTLDYYAVVGIGFPQRFYNTLESIGVTQFQCHEFPDHYDYEIDDLQFEDNNPIITTEKDAVKLLPLLKNHSTFSREIWVVPVGAVLSDECYLLLNQQLTQLGIDLIQG >CRE22763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4158:1324:2004:1 gene:WBGene00075458 transcript:CRE22763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22763 MILRVVDQAKKVQGFDDLCVATDDPRIAALCRAEGVDVVITDPNHPSGTDRLSEVARIKGWDAEDIIVNVQGDEPLLPAQLVIQVAELLAQKADCSMSTLCEPIHQFDEFQRDSIVKVVMSKFNEALYFSRAQIPYDREGVKQVEQKLHQHAYRHLGLYAYRVKLLQEYVTWDMGVLEQLESLEQLRVLENGHRIAIDIAQVNLPPGVDTQADLDRLNALDVAVFE >CRE06253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2013:3962:4785:1 gene:WBGene00075459 transcript:CRE06253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06253 MAEVDALLGQVYTNEKAKPNLLCFDQSKFTNNPPTSTASTSTSDDLILLKNNNSTSPSFLLPLQSSVLGSQLDSVRNDNERNENETTSPRGLKRSPGMLLPSPTSSNIFPMPPTAAESVGATIGATTSSMFGGITIADPPSTLDKLTNSYKWLQNIEVRYVSFSLLFISHGLFQMLMF >CRE30581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1088:2268:3755:1 gene:WBGene00075464 transcript:CRE30581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30581 MSTIAAGRFAKDDVDAETKWWKEYPSKYYVERARPQNFYDEYITNTWSKPKRLAHSASFTNLTYIKDKDMDFPIRKSDSVSTLAPSLALPQYCREAQRIVHTVPVYKPHVYDWYNKSYSDARWRDTHREVNKPYKPLDTYISPASSHVPYYTFQTKRIFFDEKARQLAPYLKNHNAIWTDMYPLVSRFGFPADDFANRFAYTAYEWRKPQDHAFNREFMTTQRVFVATPTGLPHEHYDKLAMRRLYKRTGRFFF >CRE30582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1088:4071:5340:1 gene:WBGene00075465 transcript:CRE30582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30582 MFGPFCTGIFLFLALWGTVFMAVLGGLFYNQSVGLFEDLPKEGKSMESKPWKDRVNNFDNLYQQNAYNWLGSLVESTSEIAVLLSLRGFAASLNDKLLNPTLRLKFRPGLLLFHFLNIQVCLVSFYVHL >CRE30601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1568:4191:4626:1 gene:WBGene00075466 transcript:CRE30601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30601 MESRMRAQIERNNEWIEKMSPIVKYKIQEYIRKQRAKKMQRRKFSLACGLNHRIKQEPMPPPSSTSSSRRRQKKHGESTSKHRHMSSTPVLERNLSQPDMSDPRKSASVSNRRKSAPAHKMDMRDLDDLE >CRE30605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1568:2952:3446:-1 gene:WBGene00075467 transcript:CRE30605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30605 MILSIFALLILQFSSTLACMATNNSAGAATSSCAEPTQTATPDDVELLLDPSGSTLTVTCTTQITSQTSSVIYNGVTTSLSSISMTCLDGDYLYTTPAPTTTVPVTSVGCTAPTP >CRE30604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1568:1292:2626:-1 gene:WBGene00075468 transcript:CRE30604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30604 MRFYFLVATIFSGLLFSQAAPVDVVDGSGEAPDTLLQNTEEQPHQRLRFHNWDYKDLGTTAFEDIAFPARQPPAAVNQTEQCPDGWELVDQTIQTTLQRLDPVRQLCRQLQVASQFGVCIVHILLSVHLPALLHLRTKLYNRECVAVNSSVLLTPIKSC >CRE16578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2064:3628:6291:-1 gene:WBGene00075471 transcript:CRE16578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16578 MTELPLKTVDFETISANSDDSVFIPEVVKHVTKKKRQDLQGIRGIAIISVLCFHFLPSEFPNGYLGVDQFFVLSGFLMCMLLRRSSTSLKSENFRSSVIPFAFEFYSRRLQRIVPLYLLIILISVLALFYIFPDTAYESNISSGKRALSFHVKSSDNSRRRLLFNELAEKLNHDWSINDFKNLNVPSCDYERENGPLGWCRHKELNGKYKLMLIGNSWAANHGRIIYEECRNRSKSILQGSSQGCDPLYNSPEATHCTGTVAKYRKGVVKEKPDFLFITTKFNSVGDPMPMGVTQLKDDPIYRSMKRHTDILTENVKYKLFLLKPLPHIDGEKVKDIVGMVKRREDLKEFDKILIKRSSDLARRRYEQLFKDCKKCVSIDYMPLLWNSSTDY >CRE16577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2064:681:3244:1 gene:WBGene00075472 transcript:CRE16577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16577 MKKLLFILLNLLFIFECSIIRTSFGRIEGNEVGNYRSFKKIPFAKSPLGKLRFQKPETPDKWDDVLNYGPACMSNSSSTTSPQKWVDEDCLHVNIFTSQKCLVSNFSRVSQNKNIFQMSKNCPVVFYIHGGEMYYDSAVMFNDTILFDTFAKRDIVLVIPAFRLGIFSHFSVYDQSIAPNNLGMFEKKNKKISDILHALEFTKSEIHNFGGDIKQTTVFGHSYGGHIVSMLQFSTKINMDLSLFQRAVSMSTAFYFNTLEAEIEKTIRFAEHSNCSVPSTLAKKLSRNQQDTYIMECLQKIDGMELLTIQRSLEDADVFIRISYPKHATHTDDLSYLMGVHLFEKDENEVKLAQFYQEIFTNFVKYGEPGEGFERTNAENSSYFNINWNETFGERPEMKNEYESELVNYWLEDMVEFDNNVTALKNLNNSKHKSPRFYAIKTEDDQLPYMFISLFLIAAIFLSGCIFGKLFCPETRDRHLYIQLDGNDHDWNTVKNF >CRE20530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:184616:185341:-1 gene:WBGene00075473 transcript:CRE20530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20530 MLCRMDPKSHDVIVDELDFQPCPXXXLGTRGETIDAWNQQFLSLEKQRAEHDEAWPLYAASSMLLLHNKSTDPTTYQQQVEEFRKLRAKISTSHTSTSRGLLHFSNVGDQIKIEFLDGDISFVEFDETCAYFLGFTDPIVTKSQPAHKKVDYFGNVSTLYLYCDVVDPIIVGNTKSSLLSVIPCRGAYGEMIHHTVTHPRYLPLMNSTIDSIRVELLTEFGEPIDFNWGSTIIVLHFKRIE >CRE23277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2277:20:1060:-1 gene:WBGene00075474 transcript:CRE23277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23277 MLCRMDPKSHDVIVDELDFSTMPGTQSGVLNSRWTPIGLKNNFQEAGPFEFILTNNSRSYLNLKRTYLVFTFGITDAAGNYVTMTPEVANSQVYAPINNIAHSIVRNFSLHINSQLAFHNSSNYAYKSYFEHVLMYGQEIKDSTLTAAGFYHDTAIGDMSSLGFQKRCLSVHGQGDVQVAANISIDLMNQPRVLLNSCNVKLTVYPNDSKFLIEAFNRDPDTEFRFKIKDVYALVNEFDLADGLSNALEAAVIEHKLIQYPMISSQVRSFYIEPNRLDAPANTLFTSKMPRRIFLGLVDAEAYNGSYEKSPFNFQPHGITDIHVDYCGMSVLGRGFSLDFKKNKFIE >CRE23221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig878:10372:13623:1 gene:WBGene00075476 transcript:CRE23221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23221 MLFENPIISVVRPNRDAPPKPRKPTTTVASCDLTDRGRTTKTREEWMKKMTVEMRTILMTLLNIETKVMKGDTQQEASKLYPLKGIDKLRDIVETPISLKGKRTEMRYEAYRMAGNDELCAIAYRRLIAAIDWVESLSPLLGHLTVDDKIALIKSSFAPLMVFNFCARTAEACQDENVLCLCNFAYVPRNISKLYEDTYHLGNGLVERALNELVAVYREYGMREEEIVCVNAMICLNPLAKDVSDTLFEKIVELRNRIADCLFSIVKEVRLSPTPNVCYGHILLSLATVTELANAMSENLQFAQTFSNQGEIPLLTDLFGCFTVEPFFKEVDELAALSLEKALTEKKEISTQTDRVPPPRALLKRQATIDEESEEPARQNFRLLQPPNNFYITEMLDDLRNNHAENHLKSLNYDASTIQNGVSSNGVAHPPTVPTVPPVAARPVYDQQPSCSNQNPSTFYNFPPPPGYPPLNAGYTPNINYPQLYQQPQYYNFPAQNVDQTYPYSQDIPPPQPYFYNQNPQNYPHHHNNFQNQYAS >CRE30276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig769:826:2263:-1 gene:WBGene00075478 transcript:CRE30276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30276 MLNITSLPLNSSASDEYMLPNTTVSTLSNPHGFSINEIFAMIMLPIILVGIFGNTVSIFVYSRKHMKKSTVGFLLLSLSIIDLIVLLTALPTFSLYKLPFLPGYQKIGSFHTILSAFCIVYVYPIGCTAKMTSQYIIVLIAVERWFAVCRPLQVQVWCTLRNTIRAMIYIVVIAVMFNAPRFLEFTAE >CRE30277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig769:2886:6131:-1 gene:WBGene00075479 transcript:CRE30277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30277 MSIHLNALIHDNFEDELWNQNITALQLRHQQVDIAEFSSEAETEAEEMIQCLNSQKLLAIASFPLFIVGLALTGISVFVYTRPYQLKTTVGYLLAFLSIFEFLFLLFSMQAFSLSYIPTLNCERIDKYPKFKAYSELYIYPLTHVTKYMCVCISIMISIQQWVSVFLPAKVSKSSSNSKKKLSDFQVKIICTMKRTKRLLIFSFVFSVFLSIPRFLERKMNPHNNWPSENNTYKDNPILQAINFFDDNIFNAFIIFFVLLMINLSIIALLKWAEYGRMKMTTQTVKDRKTSIMLLAVLLAYVLTHSPSMIIEYFDKTLVNGLHKDISILRKEISNFFMCCHPLFSFADYLIFSEKYRNTVKSMFTGNSRRNSSNNPVVPV >CRE01520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3920:563:1839:-1 gene:WBGene00075480 transcript:CRE01520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01520 MNHPNMEVSQPFPILKLPFVALWNVIRNMGIKETVDLSFTSKRLVTIIKSIPLADPEIILVARPDEQPSFFPEEGLTTTEDVQKMIEYMGGKQGTEMGSEHSNVFTEYICALYRKDIFALQINVNTSVPVLTSCLEWMNDRQPDLNSCLISGDCNCNEMIDQFFKKREFSIRQLCLNLKLSPEIKPFNFGILETEEFVVRTMNVTDPIPNWITVDSVLTSNCIIMYIGATPFTGTDLNRIIKGWINGNNPRMEFFYVVVKQFNLRSLLDGIEFEKRETTVKRIYIPEDKHFEIQRPITKRTIVGGYDIRRSDGTVATFKVLHRPQNQGVLWFVMVVWKKTVGILMDCWSSFGL >CRE03481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:89292:90472:-1 gene:WBGene00075481 transcript:CRE03481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03481 MSRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKALVSLVNWNPPDIHANFYENSKLCLKFPNDPGLQWILDFRVELDDELDHNTRVIDGNLFPSYINSALHGLKVFHYLTFPNDEHFETMRKMAEHVSMIFRTPIASFEINQQSDPSTMSIVKWFSTLQPSVVDLHIDYEVTTSVPTLLFILDNIIMTDHFCFNLEESTPDFEYHKAINIPAVILSHSHWITLKSILNSSSRVLILCESNLTLHDINSFLNHWLNGSNPKLEYISIRRSIKRMEENVEETFRIITKDLEIREHEEDGKRPMQIVLHRKATYQLSNSLCYDIVRDDGTIGTFHQIYFARSDDPDFLMHYFYFHVWNKLM >CRE30350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4008:546:1442:1 gene:WBGene00075482 transcript:CRE30350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30350 MNQEVKSGKILSATTPWTDRTVPGIHMPDQQQTLKATFTEPTIECPECHALVTRTAMSFNAYVCPSCDEHLKMKARDRLNWFFDNVTTELGQEFAAKDPLHFVDSKPYPERIKEAQEKTGETEALVVMQGQVKNIAMVACAFEFDFMAGSMGTVVGDRFVQAAEKAIKDKQPLICFAASGGARMQEGMLSLMQMARTSAAIQKIKDAHLPYIVVLTHPVYGGVTASLAMLGDVHIAEPKAMIGFAGKRVIEQTVREKLEEPFQRAEFLLDHGVIDQIVHRHALRDTVYRIVAKLMNLP >CRE11616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1817:3320:4183:1 gene:WBGene00075487 transcript:CRE11616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11616 MMGPSTQELQAALTDTSKACHHLWEENKDLQGRFVNELGELQRLQVAIQQLEQNQRAEQAFAAKQSMAEMQKRATTLYELLGQKRSEIVQKLHDGTNIATGLQTQLITDKLFNWKNAQKLAQIGVPFDERDSFLDEIQMEFEFLAEHNWQLNMFACWMCDLLRRAPQLNDGLAQSTIGKLTVISEQMNKLLFMLVSQSFIVSVQPEPVLKTQHKFVTEVRLLIGDKLGIRQQLSNTNVSVKIIAEDEAKQMSADYDSHKEM >CRE19347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:113226:114645:1 gene:WBGene00075488 transcript:CRE19347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19347 MNISSSILLFSSLLLYEINAKSGGGGGRGGGGRGSSGARSSSRSFSKSGSSGGGKFHSSSSQTTYQNFDSSAFRSNVFTPSRSSTYFTTGGTTGNTYIISQPATPIIYDNHHYYWHGYYRSRPEKETYCEYAIGDEDGELRNVTFANGTSPKFLTFGCGHYERCCGMTCCSMLGDFLGTIIWLAMFGVAIWLCCCKN >CRE07150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1334:5398:6199:-1 gene:WBGene00075489 transcript:CRE07150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07150 MASLKTSLVSFQTQSKTSSYSNFGSQSFRSTHFYHNADLQVRPGHPFVILAATKPLFYDNRNYYWSYGLAKSTNNETHPGVICEYVFGEDDGELQNVTFINGTQVRSIFFGCKGKVDCCGMYCCHDFGQCFELLFVFGFIALIILIAWYAKQREMEGPTKSVDPVPVRHVKRKAKTKPLLPK >CRE06261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2413:5784:6485:-1 gene:WBGene00075490 transcript:CRE06261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06261 MQAIILDTETHTLNGLPIEIAYAPIQIQEGKLSLDREQMFDQLYSIGNEKISFGSMAVHHILESDLVGQPNFSSFELPQHTQYIIGHNIDYDIQAIQRCGVDTTHIKAICTLALARLVWPDAEAHNISALIYMISKGSEKAREMLKGAHRADADIILTANILMHIVYQLKIQSIEELYEASEDARIPRSINFGKHRGTAIAELPKDYVQWLLRQDELDPYLRKALENANIVTL >CRE06260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2413:5003:5698:-1 gene:WBGene00075491 transcript:CRE06260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06260 MDHYFKTDLGIQTLKHRSIQLTARQRQLLLLIGTDDFKILNSALKQRLATPELIQQLEELGLIFQNQMNGAPQVKSKITSESSKIEQSNQRTPSNLTTEIGFKPSPKAVENPTIINDTQLSQNTKSADPNPIQQSVNTVTSTQVAHAEATPELNYINFDDIKYKMSTLLQTHCGLMTKQLVMQIQQAKSIRDIKFCQMQWITALQESRISPQELNQTMQQINYSLQHLQSS >CRE13618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:116251:118712:-1 gene:WBGene00075494 transcript:CRE13618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13618 MRLVVTSVYLLSVIITYFTTGVESKKCRDGVHNVITIDSYGNETLPVEVRKIRIHVYDHDMKPSCYKRKVNVVMPGWFKIKSGEVDTSRDYDIVKDGAVSVSVSLDGDHICLNGHSDMFIVPESLWWVINTGRRMSGTRHRHQDLPVCVPLCPNASLGLFIRMSPQSSSQPNFSPIFSSFEMSTFVPKDICETLQQKGLHTLEEIEKKTGFNSTLEIPASPSFLGISLLDVLKGNYRIKISIASEGKKIVEFALPTGYTDLKMGLNEADEED >CRE22256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2231:1:981:1 gene:WBGene00075495 transcript:CRE22256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22256 IFKDTLIEQAEQGVDYFTIHAGVLLRYVPMTANRLTGIVSRGGSIMAQWCLAHHEENFLYTHFDEICEIMKAYDVSFSLGDGLRPGCIQDANDEAQFSELRTLGELTHRAWKHDVQVMIEGPGHVPMHMVKENMDLQLEVCQEAPFYTLGPLTTDIAPGYDHITSAIGAAMIGWYGTAMLCYVTPKEHLGLPNKKDVKDGIITYKIAAHAADLAKGHPGAQVRDNALSKARFEFRWEDQFNLSLDPDTARSMHDETMPKEAHKSAHFCSMCGPKFCSMKITQNVRDYAQNQQNAAHPVNSETLIEDGLKTMKSVYQEQGQKLYHKV >CRE30342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2928:1008:3313:1 gene:WBGene00075497 transcript:CRE30342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30342 MNLSSVLPLLVACLISLASAQGNKEAVELSSANHDHILGSATVVFVAFCADWCPFSRRLKPIFEESARVFHQENPQASAVWAIVDSQRQADIGDKYFVNKYPTMKVFVNGELISKEYRSTRSVEALTNFVKYQLSTAMNEFSSQDQLNQEMDKSKRNIVAWLKKDGPEFANLKKVASILREDCSFWVPTDNFGTQTTENKLSFFDPDNNEEAKFTGNFNDYDFVKQWVTDKCIPLVREVTFENVEELTEEGMPFLIYFRDPDNKSTDKLFGEAVARELYDQRSSAINPLLGEGHKFAHPLKHLGKTKEDLPVLAIDSFQHMYLFPDMTQMNVPGKLREFVMDLHSGKLHKEFHENLDQRMIDLAKAKAARGITDDHEAQAPSARPIDTTPPPSVFKDLKPSDKRYSILQKSEL >CRE22254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2191:9:811:1 gene:WBGene00075503 transcript:CRE22254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22254 MTNRTRFVDMNGQNVALTVNEEKGYLVTHWEDEVNGVRILTDYVTQLFNIDVLGITFNRKHIWMIDWVNNRQQSHVRSVNCEAWKDTLTEDELSHILRDCPALLETVIYSSPPPKFQFRDNFRQIDYLSISEGSWVTIDNLLTMTGREIMMFKSSLTSIDINTFLKHWLAGGNPRLKLFSAKTINFDLDALFADINVVLVEGLRQYTSPFQIKYRFSFGYDLRREDGVTATVFYRPDGEIIIAVWPEMICYQ >CRE12524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:469449:497524:1 gene:WBGene00075505 transcript:CRE12524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-80 description:CRE-TAG-80 protein [Source:UniProtKB/TrEMBL;Acc:E3M7G1] MSSWFSYFGFSKGPPLEEVTEESEEEETQVPEHVVPKTAEEELAEAMNRLSPEQQSMIQDVLRRAENSRKEAKVVVDAEMMRSRYRQRESIEGSQDIDHRYSLVQMDSIPENMVTNEMEERAASQREFMHESSQKSPISPNVTRKLHEKRPSITEGISSVVFKNFVIFSNSATTESLRNRFQKMKSHLTTWFNSLDYDGEYTFDFTSKHDKSEKLSDLTMQYIDALSQAIMISSHIEYSHYLLSSNPDFHLMCTNFCESIFNLAFDDLADQTVDENVRDKLNDFCGRIAEEALQSAFFMMVSKTLSSSKDSFRCENIINEISLLHKSRSFESAQQLDQFLSKIENEDEDRESSRKSSPYMYEVLGTSSESPSSSSSYEFKSQNSYESEVSNETPNVHVEELFDLQPYDTYKTDDDEEVFRSENILFECSQTSTPDNSLKEKTEEATSFMTPGEEHYIWISGPLGRINEEHEHDDEDDEVQTESSSDSMIMHENSSEPIMQLNVEEYIQTMIEKVSDPSFSENTNETYNEVPCCSTEDEETRKIHETAKILVQETMEQLIYDSPTEFEKRVNTPEHHEADRSSATSGADFEKSLDQEVAYDRSSPFLEPVEEPIFEKKEPELTQEEIDHIAWIQSLAERSSFEQAAPPTHPPVPQRMATVEEPIATTDLHEEDRSSATSGAHFEKSFDQEVAYERSSPLLESVEEPIFEKKEPELTQEEIDHIAWIQSLAQQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADFEQSFDQEVAYDRSSPLLEPVEEPIFEKKEPELTQEEIDHIAFIQSLAQQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADFEQSFDQEVAYDRSSPLLESVEEPMLEKKEPELTQEEIDHIAWIQSLAQQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADLEQSXXXXSLGFKACSTSDSSTIEEPVVTTDLHEEDRSSATSGADFEQSFDQEVVYDRSSPLLEPVEEPILEKKEPGLTQEEIDHIAWIQSLAHQLSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADFEKSFDQEVAYDRSSPLLESVEEPMLEKKEPELTQEEIDHIAWIQSLAEQSSFEQAAPPTRPPVPQRMAIVEEPVVTTDLHEEDRSSATSGADFEKSFDQEVAYDRSSPLLEPVEEPIFKKKEPELTQEEIDHIAWIQSLAEQSSFEQAAPPTRPPVPQKMAAVEEPVVTTDVHEEDRSSATSGADIEQSFDQEVAYDRSSPLLEPVEEPILERKSGTDSREIDHIAWIQDRSSATSGADFEQSFDQEVAYDRSSPLMESVEEPILGEERNPELTQEEIDHIAWIQSLAEQSSFEQAALPTRPPVPQRMATVDEPVAMTDVHEEDRSSATSGADFEQSFDQEVAYERSSPLMESVEEPILEKKEPELTQEEIDHIAWIQSLAEQSSFEQAAPPTRPPVPQRMATVDEPVAMTDVHEEDRSSATSGADLEQSLDQEVAYDRSSPLLEPVEEPILEKKEPELTQEEIDHIAWIQSLAHQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADFEQSFDQEVAYDRSSPLLESVEEPMLEKKEPELTQEEIDHIAWIQSLAEQSSFEQAAPPTRPPVPQRMAIVEEPVVTTDLHEEDRSSATSGADFEKSFDQEVAYDRSSPLLEPVDEPIFKKKEPELTQEEIDHIAWIQSLAEQSSFEQAAPPTRPPVPQKMATVEEPVVTTDVHEEDRSSATSGADFEKSFDQEVAYDRSSPLLEPVEEPIFKKKEPELTQEEIDHIAWIQSLAEQSSFEQAAPPTRPPVPQRMATVEEPVVTTDVHEEDRSSATSGADFEKSFDQEVAYDRSSPLLEPVEEPIFKKKEPELTQEEIDHIAWIQSLAEQSSFEQAALPTRPPVPQRMATVDEPVAMTDVHEEDRSSATSGADFEQSFDQEVAYERSSPLMESVEEPILEKKEPELTQEEIDHIAWIQSLAEQSSFEQAAPPTRPPVPQRMATVDEPVAMTDVHEEDRSSATSGADFEQSFDQEVAYERSSPLMESVEEPILEKKEPELTQEEIDHIAWIQSLAEQSSFEQAAPPTRPPVPQRMATVEEPVAMTDVHEEDRSSATSGADFEKSFDQEVAYDRSSLLLEPVEEPIFKKKEPELTQEEIDHIAWIQSLAEQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADLEQSLDQEVAYDRSSPLLEPVEEPILEKKEPELTQEEIDHIAFIQRLAEQSSFQQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADFEKSFDQEVAYDRSSPLLESVEEPILEKKEPELTQEEIDHIAFIQRLVEQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADFEQSFHQEVAYDRSSPLLEFVEEPILEKKEPELTQEEIDHIAFIQRLVEQSSFEQAALPTRPPVPQRMATVEEPKPILEKKEPELTQEEIDHIAFIQRLAEQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADFEKSFDQEVAYDRSSPLLESVEEPILEKKEPELTQEEIDHIAFIQRLAEQSSFEQAAPPTCPPVPQRMATVEEPIATTDLHEEDRSSATSGADFEQSFDQEVAYDRSSPLLEPVEGPILEKKEPELTQEEIDHIAWIQSLAQQSSFEQAAPPTRPPVLQRMATVGEPVVTTDLHEEDRASATSGADFEQSFDQEVAHERSSPLLKSVEEPILEKKEPELTQEEIDHIAFIQRLAEQSSFEQAAPPTRPPVPQRMATVEEPIAMTDLHEEDRASATSGADFEQSFDQEVAYDRSSPLLEPVEEPILEKKEPELTQEEIDHIAWIQSLAQQSSFEQAAPPTRPPVLQRMATVEEPVVTTDLHEEDRSSATSGADFEKSIDQEVAYDRSSPLLESVEEPILEKKEPELIQEEIDHIAFIQRLAEQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADFKKSFDQEVAYDRSSPLLESVEEPILEKKEPELTQEEIDHIAWIQRLAEQSSFEQAAPPTRPPVPQRMATVEEPVATTDLHEEDRSSATSGADFEQSFDQEVAYDRSSPLLESVEEPILEKKEPELTQEEIDHIAWIQSLAQQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADFEKSFDQEVAYERSSPLLESVEEPILEKKEPELTQEEIDHIAFIQRLAEQSSFEQAAPPTRPPVPQRMATVEEPVVTTDLHEEDRSSATSGADFEKSFDQEVAYDRSSPLLESVEEPILEKKEPELTQEEIDHIAFIQRLAEQSSFEQAAPPTRPPVPQRMATVEKPIATTDLHEEDRSSATSGADFEKSFDQEVAYERSSPLLEYVDNPDSVRKEEVDRLAFVDRNVTRNEDEEEQLSNMSSPTDEDHVYDRTTPLLFSDQDSFEKEFAVNASNQCHVEDNWANFGDTSAIPCVDWPTTASFQKSQTGFEQPISSVVRKKSSWDHSVILKEDAIAEEDECVVSGDLPPVKENVTSYWKKSSIPIVRIECVSDDKLHSDNFESVQLLSEDSEKSSDKIETTGQNELVQSADLLEDDLEDELSDHEELKHCSSQYSFDASLPPFHQYAACPSITPSEGDEGRLSDTGAPVSDDIYNEEVNRQIEELSTLATLSKTALPVVEIRIDEATSESSAQQLDDESDATSVADQDGSLADGELTQDEWEHTEAIGNQGNFAIHSCESSVKNIEQIFDTPDLTEYELTHIARIAAMAGEDFEEPLRTPQPKIILPSPTALEKSELTQEEQDHNERIAAMAAEDFKSSIPVEQSVQVSSEPVLTEEEQRHIERVAAMAADDFHASTFFSSKPPQLSEPELTAEERSHIERIAAMANQDFPPSMIVKKPYAVEPELTQEEIDHIDRIAAMASEDSKQPHLVPPSQPQPVEFELSQEEKEHIARITSMAEDFSVPIVNTAATVIEHPEPDLTQEEKDHIARITELANQDFVITKKVSESYVPSEPELTQKEIDHIAKIAEMAAIDCGHFSSTFQESFRNAPVQEQPSGDDPSRTSEIDHILDDLRYSEVTTAYAEHQFPISPEVYVRVSCTDGIKENASHDVEANQSSASTKTSSSAEIVQEATTVTYKKENVSLNGADIEKSFDQGFGYGRSSPLLETAEEPILEKKEPELTQEEIGYISQHPYLFEQSSFEQIAVPITPVVISDDKEDFSSHQKKYETDEEDLKQTKLDHTVKMNAVSEEDYLISAQEYTRANFGKEKQFDLERSDSGDRSSATSGADTEKSFDEDSSLQRSTPYLEHVQQSFIVKKEPELTQEELDHIARIQSLAEQSSFDQVIEAVAPSSDANRSGSSHQEEGRSSSVRSSDYLDTVTPLSSCAATPILTNPKLVQEELDHIAFVQKMAEHFTFEDVETPILTKKTTSHSVSDNFVSRERDESGIKQLESPVLSPVNEYNGAELTQEELDHIAKIQEMANLSSFEAVCSPVQFDLESAGEPSESIKQNLNTSTNIEEKQSFPSPSFAITMENTTRLNNQLSEDQSSSTSGADMERSIDFDQNTSYEYFGYEPSQPIIVEQVEQNNYAESPTRIEDPSDSKFLTTAEMSKHVKKEISEDRSSATSAADSHRSFDSELTEYREYVDQDKQIEGDEQLLTQEELDHIAMVQRMAEQSSFEQFGEQTVVNESIEKPKSEENNSSATSGADVPSSFDVLSPIPPEPLDDHDTTVQRLATDASIEISNLANNAAVSEQPSIVFQTSREHSSATSGADIERSFDGISPLPNFNESPEMTCDEMNYVLMVTHMAETVAIPALQMEKSTIRQGILPQTSEDLSDVTSGADTKRTSSISETEAKRNSDQSNGLTEEELKHIAEVLRKAEASSAASGMFERDSNLPPLRRTSITYTSHFSPSVIRDLRSSTSFSSAPVTTEEKEEEGTKPKLKREPSTQVVYTDHFLRDLQSINASLEKGEDDTDVAIEEISNDRDNASSPLPEEDEMITDVYQFDTGTSLHVQQYVMQQSTFQEASGLHLSPIRRTMSAACTAEKHIDEAENIKEREVKHAQSHDQIKKRAEGEPNAYELLEQHSFRHTQPLLCNVDFLWRGLVENCVPTIGMWLTHGLDISPTLMILELTKKRKKSQNRNFLNFHVFSYSSTFRSSGADSRASNDSSASRLPDNALVGLSEIEKQHIMSVISRSNRNTSPMTSRRCSSALQMLPEVDNISEAEKEHIQNILEKAESRTPFMIKIPMKKQISSRTESTNSRVSSEGIDEEVEIEDQRKKAIEEPIMEIPSRAVTPRNNLRVIPPPIAISHPTPPHSAKTDTGSRHSSGSSAHSQFGFNTPLISGFKIFFDKAKTATETLVKEIKDEVYLPEQEKEKIETTKVETEPSGELTSEELEHIRKINEMAQFDEPNQPVALVQERRKSSVVSGIKNIFGVGKPEEPELTEHEKDHIRRMSLLADKLNEEFEVVDEQLKPKTPKGFGLKSFFGKATQSVMQATDTVMKNVQQHQQKQSLGGLTQEELDQIANAAESAQQEAIKEVTQEELDHIARIAAMAAEDFKYPEQSMEPVLSKAEKDHIARIAAMAGEDFEEPLRTPQPKIILPSPTALEKAELTQEEQDHIAMIAAMAAEDSTSPYPLDRTQMIPHSREPEITEEEREHIARIAAMAAEDFKSSIPVEQSVQVSSEPVLTEEEQRHIERVAAMAADDFHASTFFSSKPPQLSEPELTAEERSHIERIAAMANQDFSTSMIVKKPYAVEPELTQEEIDHIDRIAAMASEDSKQPHLVPPSQPQPVEFELSQEEKEHIARITSMAEDFSVPIVNTAATVTKHPEPDLTQEEKDHIARITELANQDFATTKQVSESYVPSEPELTQEEIDHIAKIAEMAAMDFTVSTIAPQRPVEPELTQEELDHIARIAALPSSENIVPMKPSEPELTKEELDHIAMITAMAEMNDPLPVATRPMAPAAQAPPPPEYFEPELTQEELDHIAKIAEMADMDSRVPVATTYVDYDEEPKTESGSDVTSGADQFNEQDSGSDPGSFNEDNGAQVLTRSFSPDRVTSSPALDTTEEPQSPIMAQKEISSSASADSMASRKSSEYDIRSIEEIRRESESDIGKWYEEQLSFMRQSIHDEEEDTGHEIPVDVEEFPLEYVEDQLHFLDGIAVESHHHQDPTSSSPFSSSFFAGTTGRSIGEECYGNEEKRKNGGGAVEKKVEHQEGEEEEKTKKNSSREDFDDALETQRGESVRAQQTPIDSVPGSRMLKRPNFGFLSNIANDAINKAKEAGSQIQAAVPIKPSTSTANIVNNNVFSNSRSSTSLGTSAPSKSIPSPQIDIPMDGLSEEERRQIMSVMAAADFDDSVNNAKPSTSGSSNIPAGMDDLSEEERQKIMSVMANAEMEMGPPFQTSSQLSTRSPSVMSASMMSEVPTGLEHLSEEERMKIMSVMAEADSQDIRQPMMAPRGPPPMPPSTSMIPPGMEGLSEEERQKIMSVMANAEMDTSNSVISSRQPSRSPSVARIQPPTVAPPPAMPNILPGLEGLSEEERQKIMSVMADAEFDESRSQVPSRQPSRSPSFARSQPAFPPIPSFQTMPMIPPGLEGLSEEQRQKIMSVMMNAEVEESRSQLPSRQHSRSPSYISMQQPTMPMIPPGMEDLSEAEIQKIMSVMAEAEVQNLGPSRSPSGYSMPPAPVIPPGLEDLSEAERQKIMSVMAEAEIDSARIPSRSPSSYSVLPLPPVMRSVHIFESIYFYNSFYFSQPDISTGLEDLSESERQKILSVMAEAEIDSARIPSRSPSSTQIIPPGLEELSEEERKKIMSVMANAEMEETRRSVEQPMALPRGHSGFIPSGVVNEDELFEAERKQREESPTRESGYATSTSYERELAMGGDEQMDGILEDILRIREGARSRRDSRDEVLQRREEDPEVHTPEEVPAPAPTTSSIPTAPSSVPTTVQVSAKPTDDFDFTYSDSRFAEIVKMQEEEEANSIQAAKTDGKPRMWETVFDGDESELPHQDYVFNDSTTKKTSDFDFPKETDEVFEKPSEIQRIRVTKNHDVDMDEIYDNVIATAAPRQQIDNSVKSKTMQRTPSKPPPMIKITGDEEAKSDSDEESCSEDDEEYPDRVVSAPTAPAPSFEEVENERIRQEELGKEVLQQIQAFGEVANDEFDVQWARTTTSQTPSTSTKPVVTAPKRSEPIPIAPSKRSQEIEEERIRTEAIEEEEFYRHGHNPFLESPDEEEVVS >CRE27983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1684:667:2173:-1 gene:WBGene00075506 transcript:CRE27983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27983 MRTRSNEKKNGCTKDLSIELSAVVIVPRTTIAPGVTNKVSVIPFRPLHFTAPPKSGPPGVSPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRGAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKT >CRE11641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2696:3442:4429:-1 gene:WBGene00075507 transcript:CRE11641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11641 MYSNHLPQYSSAGSTDLMWLNQQVMWNNGQYGYPHNGFQNTHHYASHNQDWSQQGPAHGFQGAQLAPKVLDNPDNIRKRQEGLLINTRCRYIPVLSIYSQQSEIASRQFLKSFEIDVTQFVAVTCVNVSFSCSLAKSRSIFFQNTAVVNWKTANNKFARVDYKENLRSLIVILRIRDILDLVGEQHMASSRDSK >CRE26919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2284:911:3699:1 gene:WBGene00075508 transcript:CRE26919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26919 MVFFSVTAPANQSTSDTPSMGAVASGMPPPKRPAPAESPTLPDERNVDEPGIPLKEALKEANNAKIAVFYSQNTSDEEKQILEIEAILKALKDPADVEKPLEIPDVQRIRVSSASKKAIQYLTLHNSWPLIYIKGNAVGGLKELQALKKDYLKEWLRDHTYDLIVIGGGSGGLAAAKEAARLGKKVACLDFVKPSPQGTTWGLGGTCVNVGCIPKKLMHQASLLGHSIHDAQKFGWKLEGKPEHQWGHLRDSVQDHIASLNWGYRVQLREKTVTYINSYGEFTGPFEISATNKKKKVEKITADRFLIATGLRPKYPDFPGVKEYTITSDDLFQLPYSPGKTLCVGASYVSLECAGFLHGLGFDVTTEYDQIPTTVFTPLEYGCCGLAEEDAVKKYGKENIIIYHNVFNPLEYTISERMDKDHCYLKLICLRNEEEKVVGFHILTPNAGEITQGFGIALKLSAKKADFDRLIGIHPTVAESFTTLTLEKKDGDEELQASGC >CRE21697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2272:2950:4404:1 gene:WBGene00075509 transcript:CRE21697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21697 MYRLSKFPVSPRIPINFASGSMSVVIIVREGHTIVMKGAVEGIQTIAENEVVRGERRPLSDERRSEIDALVAEQNALGMRVLGVAIREIPVDGGQGDIFEYAVTDEREMTLVGLLTFLDPPKSSAKPALRSLAAHGIDVKVITGDNPLVAATVCREVGIDATRVVLGEETEGLSLEELGELAERTHVFAKANPAQKARIIEAMREHGHTVGYLGDGINDAPALRTADVGISVDTAVDIAKESADIILLEKDLTVLEDGVIEGRRTFVNTMKYLKMTASSNFGNVFSVLVASAMLPFLPMIPIVALIQNLAYDLSMLTLAWDRVDREQVRKPRVWEADSLAKFMVRIGPLSSIFDLTTYALMWFVFAANSPAHAALFQSGWFIESIISQTLIVHLLRTRRLPFAGLIGRRSGGAPGSRPGTALLIATLAVCVFGLVLPFTGFGATLGLVPLPWTYFPWLVGTLVAYCLVVEFAKRRFVRRFGVWI >CRE15205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1387:478:1877:-1 gene:WBGene00075512 transcript:CRE15205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15205 MVVVIDSRADMAQERILMKKLKKYHIGILVLSVLGVILLLWAIIATVYNFTGNRHTEHVVIEPKSKSAKYKNAAAVADSRFCAEIARNVIIQGGNAVDAAIAATFCNGVVLPYATGIGGGDFIVIYLKEEKKCVFLNSRETAPSLATEKMYTHDKESAQFGYQSIGIPGELHGLWTAYKKYGSKVIPWSDLVMPAAQLAKGFPMHKAMVNYFDRISKYKGRPEIEGLRSLYTSKFTGEFYKVGEIVSNYPLAKLLRVIANSQDPVQLFYNGKCFEEGSVREFF >CRE07964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2135:3732:5688:-1 gene:WBGene00075513 transcript:CRE07964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07964 MKRAPTPPKVEEFKERRSAPKFTFHLRNRLIQKNHQCKLTCSLQGNPNPTVEWMKDGHPVDEDRVQVSFRSGVCSLEIFNARVDDAGTYTVTATNDLGMDVTECVLTVQTKGGEPIPRVSSFRPRRAYDTLSAGTDVERSHSYADMRRRSLIRDVSPDVRSAADDLKTKITNELPSFTLQLSDAETEVGGSAEFTSEVTGQPEPLVEWLHNGERISDSDSRYRSSFVGGKATLRISDVKKSDEGQYLCRASNSAGQEQTRATLNVKGDQPLVNGHVEKAESHLRVLKHLGGEIVPTGGSVTFEARVEGSPEEVLWMRNGQELTDGDKTSISQNGDTLSLTVNSAEASDAGHYQLEVRSKGTNLVSVASLVVVGEKADPPVTRLPSSVSAPLGGSTAFSIEFENVEGLTVQWFRGSEKIEKNERVKSVKSGNTFKLDIKEVEQDDDGIYVAKVVKEKKAIAKYAAALLLI >CRE07963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2135:1254:2549:1 gene:WBGene00075514 transcript:CRE07963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07963 MTFAGAMQMLASFTPYSEVLIAGRLIAAVFSPLSDAALILYLQEISPASLRGTMSSLYSTGYATMCLLGMLLGHEGLLGHSLSVLLFVPVIPGILSTAFILWMPDTPKFLMLVKKDKVAALKSLRFFQGYLPDQTLLIDSMEQHQKEESAGNNNEKKEESSTSVMHILRTSHLRKAMMLSVAAAILTLPFYPILQSSTFFFTDMGVDTKTSQMASSLMMVVLTVSSICSTMIIDKVPRRVLLLTCGSCTVIFLTIFAVAEQMHQQSMAMGACFGFVMAYGVGVGPVIWSIPPELSPLADRSMMFCFVYSIHSCLVVVTNFSTIPLFMSIGAFSFVVLFAIPSALALVYLLICLPETSGREIHVIINELKGFVENKEPKANIISSIA >CRE30595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1448:7979:9023:-1 gene:WBGene00075515 transcript:CRE30595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30595 MRTFQLVILFGALFVISLAAPQRPTGEPASKRPPPPPSDSPKYLSNASRRPPPRGTGTPPPPPTGEPQEVSFVVWTKANYYYEQKFQLIGDNNASRRPPSPRGTGTPLPPPSDEPKDLVAENASRRPPPPPRGTGTPPPPPTGEPQQLIGDNNASRRPPPPPRGTGTPPPPPTGDSSKTETHHVVHLHHHGNPTSDEPKDLVAENASRRPPPPPKGTGTPPPPPTGEPQELIGDNNASRRPPSPHGTGTPPPPPSEGPKDLVSENASRRPPPPPRGTGTPPPPPTGEPQNL >CRE03582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2210:3764:5179:1 gene:WBGene00075520 transcript:CRE03582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03582 MMFHYQPTLNNIKMNMNKLTCFKAYDIRGKLGTELNEEIAYKIGRAYGQIYQPKTVVIGCDVRLTSEDLKQATIRGLNDAGVDVLDLGMTGTEEVYFGAFHLDVQGGIEITASHNPMDYNGMKLVRENARPISADTGLKDIQSLAESEQFIEVEKKGTTQKYNILPEFIEHLLTYIDPSKIRPLKLVMNAGNGAAGHVVDAIEEKFKALNIPVEFIKIHNHPHGTFPNGIPNPILVENRDSTRNAVLIHHADMGIAWDGDFDRCFLFDEKGQFIEGYYIVGLLAQAFLLKQAGEKIVHDPRLVWNTLDIIEQYQGIAVQSKSGHAFIKDVMREHNAAYGGEMSAHHYFRDFAYCDSGMIPWLLAILVVSETQQSLSSLVEGMIERFPCSGEINFKVEDTQKTIQKIFDHFADLNPAIDQTDGVSLDFGAWRLNVRASNTEPLLRLNIESRADKNPKPMQNYVDELTQLIQG >CRE30566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig808:10577:15364:-1 gene:WBGene00075521 transcript:CRE30566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30566 MRRSPVVSAKYVKLLVVGGGAGGLGAASKFARKLPRGSVAIVEPHEDHYYQPGFTLVGGGLMTLEANRKKQETLIPSNAEWIKNEVVLFTPDSECSDIEWWRGYHLRIKGALEALETPGVCSNYSPFHVEKHYKEVMNFKEGNAIYTFPDAPIKCAGAPQKACYITDSILRQRGVRDKAHLIYATSLKRIFGVDSYIKPLEKVAEEKNIDVRTKRNLIEVDTSSKSATFQILDEDGIATEQTEKIEYSLLHIGPPCSAPKALRKSQFADRTGFMDVDNATLQSKRFPNVFGVGDCMNTLNAKTAASVSSQLKVLDENLSLVMQGKKPYTKYDGYASCPLVVSRHRAILAEFNPEGPLETTPFNQKKPSFWAYWLKRHFMPYLYWNGLIKGFWNGPAMMRNFSRLVKPSSK >CRE30567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig808:17347:17559:-1 gene:WBGene00075522 transcript:CRE30567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30567 MNTPNAKTASAVSSHLKTIETNLTQVMQGKQPCMEYDGYASCPLVVSTNRVSVQIE >CRE06223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1293:8209:11559:1 gene:WBGene00075523 transcript:CRE06223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06223 MVTRTLFLEFCLIFSIFCYKPEISENVKMVVVYGTPLEFSNSGKSEENWADCLDKCWKQWDCVLVFQLSDGCEYFKIQEIQKVTKLDSSSGHKVGIKRSLPSDTCPRDTEPPPLFGNVSSTLIVTDGSDNYYKSEITYSPEKWSFNNSMLKCLTDIPEDYVPLNITEFPYNYSLTSPFLPGETFFMRGKTPGFNQEITIAFWNKDAFGFAIFIIINEDRAGRNYIEIYTYNMLNTLKRDGMDRLPNPYGYYTDFEIRVTSSETVATISFNGTEYEYELFKEVPLTKIDLFSVNYNTGKKDFQTGTVDFLGWTGDCWIFCYKPEISKNVKMVVVYGDILDYFYSDTVNENWVDCLDTCWKQWDCVETVRKNRKKTLKMSPNTYRSDPKSLHSFRNSAIFLFHLKHLKYAVLNKWII >CRE21057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1074:1124:13993:-1 gene:WBGene00075524 transcript:CRE21057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21057 MCSLLAFLQAMAVFLSAWTLVVISFDRWMAIMFVLTPSIRITTRRALYLVAATWIFSILMALPLLFTTRSFEIQEGIENCGENWTYFGDAGEQVRKVYSSMVVILQYIVPQAVLIITYTHIGIKMWNSRVPGMQNGATKKMIVDRHESVKKLVPMVILISALFALCWLPLLILINVIPEFYPNINSWGYILYLWWFAHGLAMLHSIVNPVIYFIRNARFREGFCYFSSKLLPCIAFKEFRLLTDNNTSRSFRNRSRFSGVINPASSDDKSALVPMVILISALFALCWLPLLILINVIPEFYPNINSWGYILYLWWFAHGLAMLHSIVNPVIYFIRNARFREGFCYFSSKLLPCVAFKEFRLLTDIYQQEVSFSIFL >CRE10081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:803464:804053:1 gene:WBGene00075529 transcript:CRE10081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10081 MSEFMKGVMLLKQDLSEVPAEEALKGKVVVALYFSAGWCPPCKQFTPKLVRFYHHLKKAGKPIEVVFFSRDRSKADLEENFTEKHGDWLCVKYGDDILTRYQSKFEIKTIPVLRVINAAGKMVVVDGKSEVVDKGKADPLGLFAAWEAACNK >CRE12438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1736:4696:5627:-1 gene:WBGene00075531 transcript:CRE12438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12438 MACQSAIDERIIVSYSDTFQLSYQPVGGFPIPVPVTTQGVIWNVDKDRKFKNPAFPQGSNLCEAFKDTAKPPNWKKSPCEMGGFENVDFIVWMRTAALPYFKKLWRIVERSSNAAFSNGLPKGTYVLTVENNYPVQSFGGKKYFVISTTSWAGGKNSFLGIAYLVVGCLAIVLGVVFVFIHLKFGHS >CRE11627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2137:2631:4000:1 gene:WBGene00075533 transcript:CRE11627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11627 MVNADETVLATATNTTSISSEPMEPKSSDESTDSETDKIEIMKAGQRDVFAESTISSEVNGNEENGHSETAVETAVETAESSVTEITTVETTTAETTTLETTTVMEEAQIGENGNSATTSEPVVEKETTEEEIQDVVMEAAANEENGTELTEVTESTKIAENGTKPEENKETDVVVVLDDDEPVPELISADPETATTNGVDASKEEKEQSGKGVKRPVELIQLDDDDDDIQEVSAPAPAKKPKVEEDQKEVKPDLKVADDNEKAQMRLLDKLQEYVKEQKDQTSSKGRKVLDTLLGAINAQVQKEPLSVRKLILDKVLVLPNTISFPPSQVCDLLIEHDPEMPIAKVINRMFGDEKPKLSDSEKRERALLKQHNPVPNMTKLLVDIGQDLVQEATYCDIVHAKNLPETPKNLETYKQ >CRE05116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:71452:72122:1 gene:WBGene00075535 transcript:CRE05116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05116 MNIDIEVLRKFTARTLKSWSVYQLALDNSCGGDETKENDKWFLDMFCEQITIARGLKVGELEDYQIAFFLREGFGHIKNHNEPALQQLMSKLHSDEELVKVKHKSEDDVDMKIPKNEEEEEGPSKPQRPQRQVLVNNDGSTITNKQQRNFGGF >CRE20125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1068:1740:5195:-1 gene:WBGene00075536 transcript:CRE20125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20125 MXXXXYPNTYAKDPNLRWDDMDHDLVALETQDDLHFTSNFLVCLSMVKGILAQYTKTSNGDLFKLNQKMRQWILAEQNNPSPIENMGAVLPYVIDCSMRARKWTNETIFNVQKDPTHTMPFDDIFNYVRIVQERHPDVIEAFLLEAIIHIQMKDGSRGMKALKSYFELSMFELNSNMVHCMKTYRLGVPNFAPLMYSPILQARICRLFGDYPTARVLLDESLRQAQIRNDEICHQMANVEMHTCGIIGCGPILEDNAQRIIEYVDKDRRILRKALRHIDDLHGHHRTGPCCLESEDDFEVVAELDSYGKMVMILKTIAAGHYKLKYNRVAETGFNCQVGSDSQERGQKVFAFGYSIMSSNMIRNGLYSQAKKISQELLSGNLETEKGGSFHTEPFAAGMANLAYSHAAAGNYTEALIVVNEMKIKFPEELVWQGYRHAHICETIINFEKLFLMNKYNECNSIVGDLATYSELEYRIRRCLLLSALGRCDEGTTYLMSLLVEDVYGNIRILMQRATIYTANKYFGRAAIALDEALILAEGTTLLGIKALIRRRMATMMVGSGLTFIYFYIKLFFQMCQGRYRESQELLYYCFEEVLRHGTFIEKACLYMTAARTARFLGKDPRDFLRMARTLVHGKWPSMEKLIFSELSFLHKPDGLMPNTNRLSQVCEQFGKLTDDHPGRCDWLLL >CRE20958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:40278:42920:-1 gene:WBGene00075541 transcript:CRE20958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20958 MSIAISLNQSKELSPKESEEEDLRRYYSFTYFHSELSRKTQNGLKVSKKEMDMLKKKLTAEWKKKQYIVCEKPVELFPFMSTITALLLGMMIIFGAVCAIAGRVEIFSISIILVISFLFTFLVSLSNDEYQHGLQRDHSGLLGILNSVSKNTKPYLFCTVFSIVLLGFCSKHIIEPYQSICGAMGLILLLFSQIFLVHTAYKSSRRAID >CRE15206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1427:177:1021:1 gene:WBGene00075543 transcript:CRE15206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15206 GSTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPLLECASQGKDNSECCRHRGIVLKTGSQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE30332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2088:5116:5915:-1 gene:WBGene00075544 transcript:CRE30332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30332 RTGEIELTVIQSEYKTIDWQFHLLQINLTIQECHQRSKHHSKWVINVDIDERLVILDDKIKSVGSLLSGYDIAAMYYHWAYQRYPDTVAEYVTSEVALFRHYRTSEKNILGSGWLTDPNYKNFSIVPEETKFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNETGSNKSID >CRE20155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2148:1792:3379:1 gene:WBGene00075545 transcript:CRE20155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20155 MAEVTSPVVDEKPCFKANPQIFKLGLARQHILAHDIGQGSQCRNEPTCRCEGLDLHFWRKMCKNCGCRMDEHDVVLPNEYDHAQIVIGRLFGVKEHFEKVLTRPGMYKPSDQNDTKENNMMSSRSQPTAVYNYKMSSSDSESEKDGPTVKKKFATKHQTSVSFQDKVQYAWAPLPDKDLVSRYMKCLPEEERPLVGSKGEQNRKSRLQFQLPLYDCNVEDARFVEEKDVKTLQKFVENVRNNVIGVGRVVEIGKDSDNYEDDSNDFEKSMNAALKGLKVGETDCKDCSEIMKNGEIGVECHHHTKTETYHPNCFRCETCRQLLVDNIYFFYKDKYYCGRHYADQLYPRCAGCDEVYFISLIFANEYTFAEEKSWHFDHFACFKCDFKLGGSRYMTRDENPFCLECYLKLFAKTCDTCQSKIGPDEKRLNYNEIHWHAEERCFQCVQCHMNLIGKKFILKNHKLLCSSQCKANYNSAHPDAQL >CRE23281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2437:1178:2528:-1 gene:WBGene00075546 transcript:CRE23281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23281 METVKLYQNIIFTEKFQAVPQKDPDESNPEWRITVNPAAELVGSPRLRALIDRLYHHQKLLTDFDAKQILSALQCMDPTAELLLPLLTVLSNATAYPSNQLLMREFRLTNRVVEMLPDSKHWPRSTRVILLQCIANMAVSSDNMEVMKLALNHIVSRLTSEDEMEVVVAMQALTNLSINIRKEQIPKFVPVIPHCLNRLWIRGEVNLNALRLLVNLSCCPDMVPYLLGNKSVSGLLRILDTDREEVLIRAVTWILCTTSAVDALNLTYDRIAEHNLDPFHNPSHTLFFSIYGPKGREELELQARHLTNHSNKDVASKSVRLLETLANVSCIFIVA >CRE22738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2118:1353:2839:-1 gene:WBGene00075547 transcript:CRE22738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22738 MSRDSNPCTLTILLLLVILVISISNYFTFSKIEYHVISSNDTDSSNVISLYQSESIKELRKNALLTAENDRKMLFNASIKATSQDFYKSVKVEAHCAQKERIGEKGDGGKYVCNPKKVKKDCTLLSLGLNNQIGYDEHIYEATGRQCKILGADLDPQNQQTKDSYAKMNGELFAGRIPSEITIPQMLEKAGRKEVELLKIDIEGGEVIALEPLLKDYFVCQIFIEIHGMPSDHLRMLQIIAKYGFRIFNVDENLLCPMCCEYSMINELCMAQFGVVPLGITIPQQIDVRRMDHLDKN >CRE30644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4607:1:2045:-1 gene:WBGene00075549 transcript:CRE30644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30644 MIQRKRVSSGRTGRGRKREESNSPVRRRGSDDVRAPPEFRPYDYDALSAFMMKSLKGQSYPFLSNGFIHLVHEIEAMAAANNFTKDYMEGEIQFINEGYYPTPNLNARAMPSYDSRGDDQQSDMEGSSEPRTARAPAIPTEEDLAKEAEICLLPEEHEEDDFDEYPAYHVGTRFWTWCRKSFMGKVDSEFLENFKETILDVYSDEALQKYLVNEPWKYRKRAAHASRRKSLNTSGSKKRSLAGYRIPNRRISEKEKDIANNNKIMPIIDSMVYTACKEYKDSKNAPETPSGRRGRHRLTSPEVSRERKYPKLEIDTESEGEDETVNGTSSQRGSSRLHSPRQLKKEKMEEEYERMENGHSSSNGINGHSRTNGHAGTSSPKRKNGDIRHYFSSSSKPSTSTTRRNGENGYDDIEVPSDIEDFDKKAIGSKIVSKLIAGGILPDSSAQIFDELTRDDGEEGPSVSTRIEMSEEGKDDAEDHEVGELAEELHNLQMNLKEEMKEKRRIVHDD >CRE18385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2909:1491:3594:1 gene:WBGene00075551 transcript:CRE18385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18385 MQQHVLEAITAVGMAFGIFGNVNVIVAICRKKVLRTKGAMFVMILAIAHLVCNISEVIGLILQFRFRAMSKKTCFEYNILYKFAVMFQSALYLSMAMDICFSIVLPIKHMIWKKRNYVTAMCIFPAVFATSTTVVSFLFIPDEEIPYCIFMLTTDMRIYQMVSSALIALNTLTVIIIVLSVVCAVRKSENMRGSRHSSSSRTNSLREDKSKVFRSTFFLVLIYIVSWWSVVVIFRVITEVVSDLSEAAQYMPYLIIIVMPNFCQAYYVTYFRSPRFRKAFREQFHWITCGHAFPKIFDKERSRGSKHGSATNSANCPQAQTDSDGKKIRNGSQKSIRFSVQADV >CRE27743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:276875:278039:1 gene:WBGene00075552 transcript:CRE27743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27743 MVAKWLVAKCRSCRSLVGIVEKDENVKKLLLEEANLYGYLIIVDLIDNYVELTYKTIASLLHATSKAPKFQLIGKIDEDFPDKLINLLNDDVIDTNTSTLYGEIVKEGGEVNHDKSKRWHVTEKAYKCKKYPECLSGPFYLATRKAAVDILRATKHRNFISAGFYKISQTFIQCGENLNFIFVVYKFLIGLFVFEIRAFKDQSMSLRKCKSPEKLEFLISILSCWNSHISKARKIEDVFITGLLADAVGVARKSLPMLHMLPEDKTAEEKTDILVWHTFKHYDQYMEFFKKNLK >CRE06286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7293:2004:2231:1 gene:WBGene00075553 transcript:CRE06286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06286 MAQSVPPGDIQTQPVVKIAKVINPSARPPLRVNPSTRPPNGIKTTNTKRSGVDSRDPMFCRPSLSFRSDGFSVRR >CRE01501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1800:2110:6897:1 gene:WBGene00075555 transcript:CRE01501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01501 MGNERKEKRKARKQNERAWKGVSSPTRKPTKIWKSLKCLFKRKRLLDDCPDIVKTGHAGSGEKEKPLEILPTRELLGNQLVAAIRNHHFAIIDGPLGSGKTFLGRYAASKLNLPLHIMQMGDQIDSKLFQTLFGSYHCTEVAGQFVWKESTFAKWLQNPGIILLEDIDAANADVISKIVDIATHRQTDASNSEKNSHFHSDVRIISTMSGKGKKAAVLDGVPMRIRVEQLSDDELKRLASKAFPRIAHLARTLISTFRKIESVPGTGNSRQMTSTDFLRGCARLALLPDISANVESFAELIDVWCLADPKQRSSPLCNIIAASLNVNPDRVHTHLSVRQPEVKYDEQVVAVGRASLPRKMSMIKTGRHRLGHTRDVVQLMERIVVCVSHNEPLLLVGETGVGKTSVVQAVADLIGVTLDVVNVSPTSDSDELIQGYKPTTIGRLMEPFTKFYMDVFTKNFDSKSNQKFIDNLEKCLSSGRFKDYLSLVEATANKALQRKGTNKDERWAELLVRARQIKDGLEKGAAPFALQKGAVLEAAEKGHWLLVDEINLAPPECLDAIVHALSASGTHPNFRLFACMNPATDAGKRRLPPGVRTRFTEFFVSETADPFQLALIVSAYLPTVSQPFVENLVNFYLSAKQLYPSSYSLRTLCRALLFTADNMFGSVDQSVYEAVSMAFLTNLENEEKGVMKSKIQAAFRCKVGVMPAPKELHNYVKIGGYYVEKGTFLPESDPKYVITKTVKGNLAEIARIVCSGRFPILLEGETSAGKTSIVCHLAKATGNKIVRINNHEHTDVQEYMGSYVADSGGRLVFREGALVQAVRDGSWVILDELNLAPTDVIEALNRLLDDNRELFVPEINETIKAHPRFRLFATQNPAGSYAGRKRLSRALMSRFIVLRFHHLPIDELSEMVRARCGISPNASLKMIEVLNELRNRRSLSGLFSARDGLMTLRDVFRWAKRLSTDETTDDWLQILVNHGYFLLAGRCRNEKDEITVVETLEKVIKRKIDKEALFSLNSPYMPTDVITEKVVMTLGMRRMLVLTEQAWIRNEAVLMVGETGGGKTTLSELVGRGKLRSINCHERTETADLLGRLRPKHDGGFEWSDGVVISAMRDGVPLLVDEISLAEDSVLERLNPLFEEDRALLLSDAGTETEVVESKSGFQMIATMNPGGDYGKKELSKALRNRFTEVWTSSDYTTSELITIFDQRLARVDATKEEARVTPTRTATSIVSWISQFFGKYAHVFRHAPSVRDVVACAELYASAINAQIESPIAIKDALCAVFLDSLAGLTTRLHIDPIEVFDDALTM >CRE16556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1785:1036:2047:1 gene:WBGene00075559 transcript:CRE16556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16556 MNSGMRSRSVNSKKYGCSHVKFASDAMCALHGSTKVDNTIRYLSLIDNKYIRYFQGHDKLVTGINVSPLDDMFLSVAEDKTIRLWDLKTHNCIGLMNLSATPIATFDPEGLLFAAGLDNDIIKLYDLRSFDKGPFSSFGPLENEHGVEWTAMRFSPCGKYILICTNSAQLFLVDAFSGVIKNVFQEHQNCLRIPMMASFTPESSHVMVGSSDGMIYFYDVESGSVALKIPAPNNQPCHIAEFSPQHFVAATADSKLTLWCANDDLKARAQR >CRE16557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1785:2281:3448:1 gene:WBGene00075560 transcript:CRE16557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16557 MLAEETLIPLEPNAFRALTASKKFNETSNKLLDLAYSEDGNGLLVSAEDVILVYDLHDGSKSKPVECKKYGVDRLEYLTSETCVHSDTKTGNVVRLLNITKKSYIKYFHGHTEKIIAIRSNPKSRERFISSSFDGSIRMFDSRTFDYYGFIHTAHPALIAFDPEGLLFATATKSETIRLFDVRSFDLGPFQVFRLQKNDNDEWSNIEFSSCGKFILVSTKGEVIKWVDAFTGVVVHEFKGHKNPNKIDLRATVSSGSGYVMVGSADRNIYVYSTENGSLVCKLPTPYVEPSHVVSFNPKQFLLTSLGRDVILWAPSEEYNNEH >CRE16558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1785:3857:4787:-1 gene:WBGene00075561 transcript:CRE16558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16558 MADIREEAARLKAKGNEAFKEKKYHKAITYYTESLECFADPVVFSNRAQAELNVDLPVLAQVDCTASIQRDPSAAKAYFRRAQAFKAMELYELAYKDMMKCAEYSKDEKMKKQAEELKGKKNIAVVELDLIERNEFLQSKDALKKITISYDKEKIEEVLSKQPEEEKAPKYLTKLPPHPKDYQDFVAAVSLLSKAPSLLPLAEYFLNIKVEKYHELFDVLLDDAYASHIFNALNFHLKTNRTIPNLAERMLKLADLSRFDLLIALMPNGQKTVIAEICKYLKPEEAFTVTTRYNC >CRE07968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2615:563:3694:1 gene:WBGene00075564 transcript:CRE07968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07968 MTLPVEVPPDDELVDQTAEGQKNVTENVVVGNKDKEDKGSGPQPMEVEENVSLSVMKKTREMFLKLAKEDTGKMLKTYAKANASTHESIMKPFIDLIVSTFQTLDAKEEDCEELAKENKELEKENEEVINKNEILQGLLDERQDRELGGTSNSKQALEYQMVSMLASKGINTLENLEKVFGDTEDLQKLIKMQSDVYQASQQKVQQMEAELKRLKKTLKDSESRVKALKGTQAEKEEQTRFIELNQKSNSFSRIGECTSCMSLKEMNSQIKENASQPTKSTGTTTLTSGMTQKLRKRTVQEQTHTDKELEEVIEAARGQMDSESDSERADETMGNGRYYTNWDTGKQKEMSPVEMMNKMLVQQNLPEPPKFSAEENSIKLESFRKSFALKFESFSDENQIILLETKYLSGRALRIFRGLPEHEKKSINDVMQAMAIRLRISPEDETRRAKSRWESLRKKPEQNIEDFCLSIDELARVAFKRVNASELSSFKTAKLLDAIAENETLSCLIDNRLLGMPERDHYDTCRMLATRYEQGIRDRNLRNKSQNSEKKKSPSPQSSNTSSANNTSVQSNPNGQRTNTYSQNRNNFTNKTDNAGSPINQNWRQRTGENSDNNGKTKGFIECSECKLVGCHDPKCSKAPGNAKTYSRPVVTCYRCQEQGHIATYCTKQSQNKVNDNPVKENTVNSVEDKQVLTIPSDAPKTEGNATEKPLIKVEKGRIGNTEVDLMLDSGACISIIPQKIWKKIVGENGEEWVKKVKEEKPELAQVFTANNQPLKLLCTVEAETSMQTRTRLIKYYIAAIDRENIILGMDQFNKLGVEVRIEEQPRAVKICKDIRLTPSSSRVVQVSVEGVVQEGKDHCLITPIQECIAPSVCQVEANGRALVTISNFGTKPVLLRKGQTIAVGEVDGFEVVQGKAENLEFLNEHLEETGFLRESEKIVCSVVQNEAEGKRWETLCEHLKRQESSCESEAEVWKVIQSFQHIFAIEDSELGRTNATTCEIELLDGAEPIRQKPRPIPLAIRPEIRKILQKMVTQGVIRSSKS >CRE22272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3310:210:1316:1 gene:WBGene00075565 transcript:CRE22272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22272 MRVDSVHYDNMQNTQVNLITFSDIYGCRCPTSCVFYPKDAMSARTTSPTQLQRMIALTKEAPDLLLRDNARELLLATQTPIPGLNSTPDAETFLALSNCPTVALVVKDLRGWEHEYLKFRHSLLEQFLGSGFERKETIQVILAPGVIREQFPLQTMHITALTTESILEDDVHEQCEKHSTSIVVVVVPVSKKDTDLDTWRSIVNAIPTKSVVYVIPTHMSDFDHSVMAVFINLFDRIKRDHGEIVHVSPEEIVEDKQHRMLYLASEIMKAPEYWLAVKEMLEKKNRPWPQFQLASITKSNENTPQKTVSTELQPSSSAAPTATRTEGWDRGWPPMRGRGNGRGSHRGGSRHHNNGHHPYRRDGTSNRN >CRE22769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5078:684:1037:1 gene:WBGene00075566 transcript:CRE22769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22769 MNTEQNTCEVTFHDKTYHCPISMVMDLIGGKWKTVILYYLKEESKRFNQLKRDMPDITEMTLSLQLKQLEKNGFISRTVFGDKPPVKVVYELTAFGQTFIPVLDALSAWAHGFSAQS >CRE12432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1456:843:1979:1 gene:WBGene00075569 transcript:CRE12432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12432 MLVLSVGRMLFPQLNYLVTGLNTHENYSFGLKLKRLNTNILKRIEGGWEERKIKVKGPWESNEIFLDTCQGSVWMKDGVFFKTAKIYSEKKRTRIVTETEEKRQEGLFINTRCRYIPVLSIYSQKSECDPGEFLKSFEIDETQFVAVTCVKNTAVVNWKTAKNKFARVDYKENLRKIDCDSKESEDDSGTLSANNTMTSSRDSEIVAKRRQEDLECYQATVSTMGVSQSNGNSNLESLLAHGPSTSTVAHYTPNFSSYNVTPGVSATVSNGGPQYSMNDCRPFDQSSMATNNLLIPWQSQPIPCRAVHHNLEPHQGILTWSTEPSNKSSWDNNNVTLDFTQNSNYQF >CRE13821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1141:67:488:-1 gene:WBGene00075570 transcript:CRE13821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13821 MTTKKFGMEDVGITKIGGDKNPKNISQSDWKKVLPNEVYRVARESESVGKDANIVRIQIDPME >CRE14433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1982:478:1641:1 gene:WBGene00075572 transcript:CRE14433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14433 MNHSKSTTVLYSLSLYQIFSIIEKQQNVDLNVWAIQKWNDDFLGWNPYLYGMINTTILPFDAIWLPDTYLYNSVVMNREETERYINVVVTTNYWKGEKGAEVKFMYPALYRTSCLLDIRFFPYDQQACKLTISSWTSSKSDINYEPEYEYVNMDNFLPNEEWVVVSFTVSIKKAKSFPQQNHLFRDHSSFLLLQILLFQISTVASSSRFFFASWRCDSI >CRE02797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4841:609:1517:-1 gene:WBGene00075575 transcript:CRE02797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02797 MNKKLYISDVTLRDGMHAIRHQYSLDDVRSIASALDLAKVDSIEVAHGDGLHGSSFNYGFSAHTDLEWIEVVADVVKHAKIATLLLPGIGTIHDLKAAYDAGASIVRVATHCTEADISRQHIEYARHLGMDAVGFLMMSHLQTPEDLAQQAKLMESYGATCIYVVDSGGAMNMVDIRSRFKALKAVLKHETELGIHAHHNLSLGVANSIVAVEEGCQRIDASLAGMGAGAGNAPLEVFIAAAEKLGWNHGTNLYALMDAADDLVRPLQDGAVRVDRETLALLYVYHISPHSIAIKKWSITNM >CRE14385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig822:7287:13955:1 gene:WBGene00075576 transcript:CRE14385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14385 MKEVTEISSYDATNLVFYVNGKRVEEKDVDPKMTLAAYLRDVLKLTGTKIGCNEGGCGACTVMISHIEDGQIRHFSANSCLMPICGVFGKAVTTVERIGSVAKNRLHPSPRLSNCGFCTPGFVMAMFALLRNTQTPRSLILLGFAGKSVPLPILEAFYSFAVDETGTLKVSEENGCGMGENCCKVKKQNGTTNGTCGSNEVTPGYTGGERKRKIQLSDLSGCKPYDPTQELIFPPELKLHGYESMSFAYNHHHTKWYQPVSYNDLLCLKRELPHARLMSGNSELAIELKFRFIDLPAVINPRQVKELHARHLDGHGVYMGTGMSLTDMDNYSVQLMKELPEEQTGVLKHVHEMLHWFAGIHVRNVASVAGNIATASPISDLNPIWMASNAEVILDSDARGEKKVHIDEKFFLGYRKTVIQPDEIIKAVVVPLTHGNEHFAAYKQAQRREDDIAIVTGAFLVKLDPKGLIVENIRISYGGMAPTTILALKTMEKLKGQKWSQEFLNQALALLSEELKLPAGVPGGMSQYRLSLALSFFFKFFLEVSKKLNLTEIEHVDADVKIGQDVPETLYATQLYQEVNANQPAHDPLGRPIKHVSGDKHTTGEAVYVDDINVADCQHIAFVLSPIAHGTLNSIDYTTALEVDGVIGYLDASDVTTGAKMGHHNDTPVFVKDKITFHGQPIAAIVATDHEIARKAASLVKLDYSVEKPIVTIKQALAAESFIFKHLVIHSSLNDNEQVVKTDWSKYERVVEGEIDMGGQEHFYLETQQCVVIPHEDDELEIIISNQCVNDVQIEVAKCLGMAQHKIQTKVKRIGGGFGGKESTGSILAVPASLAAKKYGKPMKIKFERFDDMAITGTRHPFTLQYKLAVDENGKFIDLDYTAMSNCGHTLDLSMGNEPWSTRDNVYKFANADITGKMCKTNLASNTAFRGFGGPQGMFGTEIMVKHVAEKFGWNHDEIREKNFYEEGDCTPFGMHLNQCNVKRTWDECRVNSDYDRRLEEVNTFNQNNKFRKRGIYLTPTRFGIGFGLKQLNQAGALVLVYTDGSVLVSHGGMEMGQGLHTKILQIAARCLEIPIEKVHIHDTSTDKVPNASATAASVGSDMNGLAVQDACRQIMERLAPFKKLNPDGRWEDWVKSAYVERVSLAASGFGIIHHEPVDFFNGKGAELFGYSVYGTACCEVEIDCLTGDHHLLRTDIVMDVGESLNPAIDIGQIEGAFIQGYGLFTMEEIKIRPDGIRLTRGPGNYKIPSADDAPKHFNVSLLGNSSNKMGIFSSKAIGEPPLFLGSCAFFAIREAVRAYRIQNGNEDYFVFHSPATPERIRMACEDFVTSHVPSLPEEGTYTPWTASV >CRE04442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1411:1:6091:-1 gene:WBGene00075577 transcript:CRE04442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04442 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3NRV3] MLAEKENEIKINVFLQTDGLMNTYSILHSARVLISFLTTVDLSRFVKTLKDKAFRPLEFSIVHVDCYKFINFSLTAQNFYVLFRWLCLKLPYFPIIGLAVLKVSVTSQRLIVQKTPSYTLYKALVLCDGLQLLSNYKTPSGNLSIVEQQSFLWEHVTNTEIHGFSGPMFIGSDGVRLPYYEMFVWRDGKTQHVASVKPRESEFCGSTNDSKNCYEFTRTVPYGSYLDDLPPYNSDCGYDNNLCSDFHVFMIAAVVFSILLIPMAIAFYLQRKEHLIQQMPWRVTLDTINFDDSRNGKLVSVSRRVSNVSTARASYSSIFSANISEHAHVNKQKVSVKRYVQRRAITFTRQEMEMLNQLKYMSHVNINPFTGICFNQGSEVIVKWQITTRYSLEDLIFVKEQKFGRNFQSTFIKHIMHGINYIHNSSIKVHGALYLSNCVVDSYWVVKLTDFGIKNILKERMHHKELAPSSSFDIDAIHYKYLQLAPEHLSHLLEKHDEPMGTVEGDIYLLAMLIYQILFYMRPFAERPEAIRELADLLSNQSTAPIHPKVPEGNSFKMRLLSIIQQCWLYKPVARPALIKITDAVNREFGQDVKGTLIDQMIEMIDEYSA >CRE30338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2608:2908:4434:1 gene:WBGene00075578 transcript:CRE30338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30338 MTTIIKQDDLITSVKDALQFISYYHPQDFIQAMSRAYDREENQAAKDAIAQILINSRMCAEGHRPICQDTGIVNVFVDVGLDVKFDLTMSLDDAINEGVRQGYLENSNVLRASVLADPAFGRKNTKDNTPAVIHYKLVPGNKVDITVAAKGGGSENKSKLAMLNPSDSIVDWVLKTVPTMGAGWCPPGMLGIGIGGTAEKAMMLAKESLMEEINMDELLRRGPQNQIEELRIEIFEKVNALGIGAQGLGGLTTVLDIKIKDYPCHAAGKPVGMIPNCAATRHAHFQLDGSGIAHIQAPLLSDYPEVTWDTSTSKRVDLDNITQEEMNSWQPGDTLLLNGTIYTGRDAAHKRMVDMLNKGEQLPVDLKGKFIYYVGPVDPVGDEVVGPAGPTTATRMDKFTRQVLEATGLFGMIGKADRGPAAVEAIKDNKATYLMAVGGAAYLVSKAIREAEVVAFADLGMEAIYKFKVQDMPVSVAVDVNGTSIHATAPKIWQAKIGKIPVVDAVAQ >CRE18314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig963:13511:17081:1 gene:WBGene00075579 transcript:CRE18314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18314 MDKIPKDEELLLLPVKEESLIVPKIEEDSYEEIYEAYSPPVEQPKQDPNESLRDYLMRTTQPPPRPKELTQEDIDLLESVFLDFVTEKGIFFDADVMIKSLIRKTCLTRNELRDWIRVRKEKVRQEVPACLSKSTSAVFERVYSDLKTKNGGCTPFLSPEELDNLAEEVNESKKVIQRWFNYKRYREKMQQERAAVKPLPKAPNPRRHSAVKRPCPPPSVSDEDRKIFQKQIKILEELYEEYGHNGMELSVQDLQFLGSKGEMGREELEEWFQLKIVEENVSNHLTQYMEASKDPFATIIKSQSLTAFFNNEFEEVPGPSSQLLEQVPEEHWIEESEYDAYEAREYEYQYEEPLIEGDEDQKAAHLLEMELRDQEYFEEKKPETGFD >CRE18312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig963:8130:11264:1 gene:WBGene00075580 transcript:CRE18312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18312 MAAFERTKCGSFVLFKPSEEHRRAGMKSQEIQMYLFKKMETEFHKNRGYRKYINELETDVKKYVLKEYYRMMRNEHVGNQMVSPAPRLVPQERAVSVCSPVRICRLSFGMPCNCVNNTYCRNRNKSPIEETPVEETPRPQTPHFEAPLDEPCTPRSARLRNRRNRDFETPVSDRSVSVTPAPRGRKRKAEADDAVDYTSMDYPKRPKFSTPLNKKRRRTEQEGTSNSFELLDLQLSPIQANSSTSSSSSSINSPLGALDVPCFSEHFIEHPPALVCVKSEHGGLPPGPHLSISKAEAREARKIRKKTDEKWAAAFRGKIRQSSLLFEELGEKHGFWQLLDPKMPRQKNDLLRAEISVILKKWGKVFDRRGIDESKVESFVAARDKLKKRIGKEQARSALRIFPEQYSRKLSEAFDKFPRNYPMFFEDTLTVNVASDVIKEFYDQAEENRLNQSTQEEDLVTNGSSLSNRDVSPGTLSRLEEEKASRRMDESINFVAHPFGQLLERREDVQEELNVGENGSFSQEELAPVENGEAAQEYQEANGDEVQEELDVEENGEFAYEDMVPVENGEAAQKKTRTQQTEMRPKR >CRE13229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2500:787:1434:1 gene:WBGene00075582 transcript:CRE13229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13229 MASIIDNNSYVCTKEMEIEAEARVLKEIQMKLSETMDVGKVSSPTEEEQKAIDAKSIFVGNVDFGATVAELEAHFKGCGEIVRITIPKDKITKKQKKYVKEVKEILFHAFIEFECAASVANAIVMNGSTFRERQIVVTLKRTNKPGMGATRGRGGFRGGRGGPQTVVVKYVYVNGPAPKGRGGFRGGRGRINPY >CRE21649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig912:5049:8342:-1 gene:WBGene00075583 transcript:CRE21649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21649 NLPVAQVPTTSPKLVDPPIICIHPLPLRDPVATSPPAPRLNFPLPSFNFNPLPSTSANPSPPTVTRNKYSEQAEAILRPHFTIPPAHHQEIISNIKNNPRVSKQPFSCNLLLKEDVRKDELLFEMTGHFKKRSEEIAERSKRHHIVIDGMPLSLETWQQDTLAKNMKRSCQPNCRLTYFYGEELHIFVTSNEAIEKNSMVTLPVEPDFWESDEKFCPEHVFQKKKCSVEDQWMQRMTSVAPVPEAPLEKAPLEKPDSEDEIQAEIDDPVENDDAPREARSSGAVKDAASSSSAGSNNADPQPGSEPMDAPEAAPDLAEPEEAASPVDVVAIPATAIAPPTRRRRRSAGNGRAGNGRLQNVDPEPAPERMEAPEAAAHEPEEAAAPVDKATPAPPTRRRLRSAGNGRAALNLTEPEQVDVPVNVASKKTTCATLARVPNSRSGNSTAVTFPITDRHTRPTARSAPVPPTIHNAPVAPPAGRRNRKAQLKALAANARRCQREQNEALAATNVDSAPRAPAAPAVVDPSTTRRRRASQALSPVVPASTRRRAASPSALLSTPAPPPTAAPRRSAPGPSAPPAVPAPVPAPRARDVSVARQELIALIGKSMHPTRAAVKQLRDKNSNQGHGKK >CRE28562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1611033:1613640:-1 gene:WBGene00075584 transcript:CRE28562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28562 MRQASVHPRMKDILREKSDEQTLRLYWATKVDEAEQAVTKKEQNVRRLQAQKNELNGKVALLKDEIQRLHEQASHVGEVCKAMDKKKVLVKNNPDGKYIVDVDKDIDINQLVAGTRVAMKAESYMIHKILPNKVRELFVMAREHSPSIIFMDEIDSIGSSRLEGSRGGDSEVQRTMLELLNQLDGFEATKNIKVIMATNRIDILDSALLRPGRIDRKIEFPAPDEKARAQILKIHSRKMNLMRGIRMDKIAEKIPGASGAEVKAVCTEAGMFALRERRIHVTQEDFEMAVGKVMQKDSDKNMSVKKLWK >CRE03449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:21652:23323:-1 gene:WBGene00075585 transcript:CRE03449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03449 MHRPFRCPIIVTAAVVGGAALAAIIYYYWSRPKKCSASDCCGTTDAPGAPGAGATPSVSLPAPGEPKAVVESQRAGAGKETGLMFNIEDEQVRKVCEKLFTEQMDLGEAYMDDEETSELGAIHMSNAIALTGETAQLLKVLRGSISPASLARIQKYLPTADLRVQQMLQDELAIETIEQHFA >CRE19623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2589:156:906:1 gene:WBGene00075586 transcript:CRE19623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19623 AFPDVHHQHVHFDNENSENITLHSSKSVTSLDYQPVNVGHVKNLAKLFNKHDEKPITTEQVIYRVRAAPPGHNFQQEIKPRPRSMPPIPMDEIELHEHGQRDHLTRHHLELHSPVEDHGHDYSLGGGVAVVVNENSGINTKTHHTHSIHHNSHYHYQNVDEEPTIRVRRVYKALEDTSMLSPISMPDNDEPMYDIPTDGVH >CRE19616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2229:3886:4977:-1 gene:WBGene00075588 transcript:CRE19616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ech-2 description:CRE-ECH-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NUK9] MFKIMYRTFSTTRSAKTLLERELYQGNSVVRFVLNDKKVNTLSLAMINELFAELKAIDKIEKVRSVVIAHNGKSFSAGHELKELTTESGSEKHNEIFNTCGDMMNFIRNMKVPVIAEVNGTAAAAGLQLVASCDIVVAGKSSKFLVPGQKLGLFCSTPGIALVRAVPRKVAMDMLLTAQPIDSEAALRAGLVSRVVEDSEVKFEALKVAEQIGHFSRSVTALGKAFFYTQAELSTVDAYRYGSRVMVGNLKLKDCQEGISAFIGKREPEFEHSNDLVEDAKKN >CRE19615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2229:121:952:-1 gene:WBGene00075589 transcript:CRE19615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19615 MEDYTCTRISEKISCIHPVVQQQTMSIRTKIKNRTQLWIFRLMFYRNMLHRVLRIVHLDICILLQRLHSEKLQVINFKNRNEPYKGTLFGTRLPRNDTKNPTNNEKAVTILPVSRNLAEHVSNLIAIGRKPVTVVQKKKPTNLPEENQGTRKVLGWQADKKTLRERINHMYCNETLADVFFVVGSDESRQRIPAHKFVLSIGSVVF >CRE15104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1503:101:1485:-1 gene:WBGene00075592 transcript:CRE15104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15104 MMFHAQLLLVLSILLSANSSLVPITSVNHDEVMQNSRLTFVSFTASWCPFSQMLLTSFTDAAITYKEKHPERKTIWGNVDCMNENELSNKYSINKYPTMKVFFYGHLVTEYRGSRQVQALIDYVEQMENTTSLVKLNEVESLTQWQRYAVPQKGTLILWFPRGSPPFELILKAIVLIHDRLTVVVPEVSNLLEHEEHKLWFSLDGEHVQHFNGSVTNFKEIMEWVKQRSEGMVRELTFENMEEMVEDGKPMLILLRKKDDKETEKKFVEAIRRELEGDLLSKVNPLMADGGILTAVLRHFRKDVNDLPFLLIDQLVHSYPSPWRGDEIFAKGNIKQFVVDLFNETHHRKLHKKVDELLKKILAETERIEKQAELEDQKTEAPKTLTKQESLFKQLKPGKNRYSFAKEEL >CRE15102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1503:2887:3424:1 gene:WBGene00075593 transcript:CRE15102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15102 MLARLIYETPILAQARAEGHLLVIYGREADRVAKVLFQRGFKTVYVAQFKESYPCLVEPSIDFVKLKEIYEKKRNSVFGGRLWRSTSASRLKSVQQEERIEKSKKINSRPSSQSRKPWL >CRE10168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:154971:155723:-1 gene:WBGene00075594 transcript:CRE10168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10168 MLPQLSRLQTSANIRSLGFRRFASSHSHSDAKVERKSDNYRYNPVSILKNIFFFRPGTDSYAYDNPWPKLNGGRLDWLFGDGWRRPLAKDQGAKMRREWIWFSQIAHDEHKDWARFHQAAFLLFTVLTTWFTCWIMFARPDWPMGREWALREAHLEIARREKAGLPLISPDLIPRDRVTATLPSDEELRDFDVLI >CRE11535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig698:1008:2722:1 gene:WBGene00075595 transcript:CRE11535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11535 MLKPSTSTGKLLHSASMVNLTTTTTRAPSAAPLSSAPSTTTNIYAKQYIPRSGRSVSTLRHASAEPRRAGGPAPFRIEPSDQMQLVEGFPTSFSTKKVEKMENSGNTTPPPSLTSSESDSGASGAPGLIRSASGSMLLRRTAERTRRQSESPKKTTMRRSGSVGGALSGVGGACGVGGVFPKESEDVEMKETPVLKRKRTTSMTSLLQSISTNDDAPPILTPQRTRPLRGASVGGGAEGGGASSPQKSPMKKMTSSSSPMKKRGRPEEGGDI >CRE18366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1803:3275:4468:-1 gene:WBGene00075596 transcript:CRE18366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18366 MKSHDPMKKKHINSKLGIIIAIIFIVLIVAFIGWKSSSKKQTSPENAATSASNTKPALTVMVIQPELQNWKQNFTANGNIAAWQEVVIGSELSGQRITKVNVNVGDSVQRGQVLAEINSESIRAELATAKANYAEAKAVLADASINNQRIQQLKNTGAISQQEATKYLTSQSTAQARLDAAKAQIDSNQLRLTQTQVIAPDHGVISARTATVGSLAQTGQELFRLIRDNRLEWRAEVTSTDLYKLKKGMTAHITSPDPSRSAVSGSVRMIAPVIDPQTRYGLVYVDIPTTDAVRMGMFVKGEFDLGEKPALTVPQTAVLLRDGFSYVFIVNKDNRVTQQKVTLGRRLNDRVEIVDLAANTQLVASGTGFLSDGDLIKRGHAIPDTPLTKQLVSTQEK >CRE18367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1803:7157:8389:-1 gene:WBGene00075597 transcript:CRE18367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18367 MKHPIKSVGNINLTFYRGNCFMNSSLSLLHPYPFEKLSQLFQDVKPADLPLIPLSIGEPKHPAPEFVKQAIIDNFQHLSTYPNSKGLRELRESIAQWLTRRFKLNAISAEDHVLPVTGTREGIFSFVQALINRDDAPYVVMPNPFYQIYEGATLLAGAKPYFVNCTEDNNYLGDFDAVPAEVWEKTALLFVCTPGNPTGAVLSKAQFKKLIALSDQYDFVIASDECYSELWFDEAPIGLLEVCAELGRDDYKNCIVFHSLSKRSNLPGMRSGFVAGDANLLKPYLKFRTYHGAAMPVQHQLASIVAWNDETHVEENRRLYRAKFDLFQNELGHLLPLQKPDAGFYYWLKVDHDENFAKMLMEKANIKVLPGRYLSRDTEQGNPGEGHVRMALVADITQCEQVIQRLKTIL >CRE15236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2387:4041:5036:-1 gene:WBGene00075598 transcript:CRE15236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15236 MGIGQQEACDQSFCFSKKEKGMTNAHKNLTGFQLSKLYTFEVAARHESFALAAEELSLTPSAVSHQINLLEKELNIKLFLRLHRKVKLTTEGQRILAALQFSLDSLNVEIKALQNQQLVGSLTIYARPSIAQCWLVPQLPDFIQRYPLIQLSVLTGNEDVNFQRSGIDIALYFDNQPSFQLNYQYLMDEYIIPVCSPAYAEKFNLYDHLDSLKNCTLLHDCQAWSNGTGADEWNSWTQHFNVNMDHSSEMSFDRSDLALIAAKNHLGITMGRKKLVEKDLESGELVMPFKNMYLKCDQHYYITSLKNNELPKVKAFSDWIKARANSEIGHQ >CRE17716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3183:1988:3817:-1 gene:WBGene00075600 transcript:CRE17716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17716 MEIKVNYLDNLRQEAKFDDFTVIADQPIRYKGDGSAPGPFDYFLASSALCAAYFVKVYCAARDIPTDNIRLSQNNIVDPENRYKQIFKIQVELPADISDKDRQGILRSIDRCTVKKVIQTGPEFVIEEVESIDADAQALLMPNLAQESSTYIEGKDLPLEQTIANMSEILAGLGMKIEIASWRNIVPNVWSLHIRDAQSPMCFTNGKGSTKESALASALGEFIERLNCNFFYNDQFWGQDIANAEFVHYPDEKWFKPGPNGALPKEILDVYTLEVYNPDNELLGTHLYDTNSGNTERGICSLPFVRQSDQEVVYFPSNLIENLYLSNGMSAGNTLAEAQVQCLSEIFERAVKREILEGEIALPDVPEDVLAKYPRIVEGIKGLEEQGFPVLVKDASLGGEFPVMCVTLMNPRTGGVFASFGAHPKLEVALERSLTELLQGRSFEGLNDLPKPTFSSNAVTEPNNFVEHFIDSSGVVSWRFFSAKSDHDFVEWDFSSAGENANAEEVKTMLNILEEMGKEVYMAVYEHLGATACRIIVPDYSEIYLVEDLIWDNTNKALLFREDILNLHRLDDEQLEALVERLEEVEVDDYTDIVTLIGIEFDDILCGDN >CRE21695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2192:1410:3749:-1 gene:WBGene00075601 transcript:CRE21695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21695 MMKPFKIKHSLIVCFASGLFLTACNNDHDDNSAVVVPPKVDTSLYLQTKQPYQPQQKLSSYEATPAGYRAVFTELVARHGSRGLSSIKYDLALYNMWLKAKQENALTPLGEQLGADLEAMMKANILLGYGVEGIRQYGYGNETSVGIKEHQGIADRLLQRLPQLFNQASQQGKSVQIVSSGVDRAVDSAKFFTNELLKQQPSLKTAITPASYQTLNSTSIPSIVDGGVNRFHLYFHSLNAQDDLANVKTDLDKTVYAASLKYQDFEENDPDLKQKIKTLSADSNAQKIATEVLSPIFKTAFIQKIGQTGYSFSNSGSFTVTSPKGEQITEKGKGKNTIASAVDAAAYVYELYSITGGMQDELGVTNFKKYMPIEAAKFYAQYNDANDFYSKGPSFDTGKAVTTDIAKSLKQDLFKQVDDVMSNTQKNVAVLRFAHAEIIIPLATSLELKGMMQSVPLTQTFNYSNSAWRGEDISPMAANLQWDIYQNSQGQTLLKMLYNEKEIAFKKDCDYARYKQHTIQAAIFDMDGTMLDTEWLRFDVLKQASLEITGVEFSLDYLMQCLGLNALSSEQLAQKFYGQDIPYQHIRQRAEQIELEMILSQGVPVKAGLLEILTYLQSQNIRLAVATSSTRKIAEKYLKLAKIDHYFTLLVCGDDVIQGKPHPEIFNKACTLLNLNPRHSLMIEDSENGITSADLAGGVTILIEDIKEPNQTMLARADYYFESMLDFYQAIIKSD >CRE28002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2519:966:3754:-1 gene:WBGene00075603 transcript:CRE28002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28002 MSRVLCLLAVVVGLVLCHVSPPMVNGRPREGLVASASDPEGPRYQYNSKFYNKTVGYVFLMLGGEGSINGTNGDKWVRHEAETMMVWAAEFGAGAFQVEHRFYGSKGFCPIGDQTTESLKLLTIDQALADIKEFINQMNALYFPLDKPIWITFGGSYPGSLSAFFRETYPEMTAGAVSSSSAVHVFVDYYGYAINTEKTYRTVSDSCGDVIKTAFQQMQKKAYNGPDSRELLKTTFNLCDSFDENNLSKSIQFFFQNVYGYFQGINQYTGDNRNNATRSGLGVPGACDILNNPTLGDEITRVVAVMSWYDSWYSPSATGCRPNSYTSFIKYYSDTTMPDDDRISTRSWIWQTCTELGYYQTTDGGNGGIFGSTVPLDFFADQCIDLFGPEYTLDNTFKLIDQVRTKYGGADAYRGTNVCFPNGSFDPWQDLGHKANNTNNNVDSWLIDGTAHCADMYPARDSDKQSLKDARRRIHDHLSRWLSDAQAIRQGNSGSTNFSIFTVTLLIVAKILF >CRE15227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1947:3973:5325:-1 gene:WBGene00075605 transcript:CRE15227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15227 MIAPFKFIGLDYIGPLQYKRSSGCGKMWILLVTCLVTRAVHLEVVTDHTTLGFLNAVKRVFARRGVPSHILSDNAPEFKLGYTMINQDLRTLVNRDDNLTSFIAQNEITIKLITPLSPWQGGIYERLVALVKNILTKELGKEIRPFLEMETLVIEAEAIINSRPITPNKRDGNDTKAIRPVDFLNPDACLSLPESTEEVVNRFKTGETERITRQLLGNLGRVKEHLWDTFAKSYFQSLRDVHLTKMPRSKNYPKQGQLVYVDLPTTKSRQHWPIGRIISISRSLDNKPRSAMVKLGNKILEKPINQLYPLEDPGNFDEEADGKIAVPEAESSRATILHQEDKQPEQSTAPEKPKRGRPRKVVNAEVPEDVPKLTRETLNMKSRKISSRHPDEKPMKGGHQDISERTKRYLRRTTKEAGPSEKQTTSTSLHSVDLPPPECRGYTSLDISST >CRE06277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3693:168:1344:-1 gene:WBGene00075606 transcript:CRE06277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06277 MVSIKEHFNWTHAIYFGVYLIIMPVYFLIFVCLLKLRRSDFSFQTTFYSLLIQHAIADLFAMGLYAFQKISYILIPNFIFEKQETLYIAPIFYNCFFWFIIIRSNGVALMTLHRFMVIVRPTSTFSSIIKRSKAWVVFLVYWIPPVVLDSFFYSDSTVRFDSVENLKNVVKSETTLKSTIVCIAFLFISCVVCLASNVFIIKYIRENSNSISKSVQRELRLTLQVSFPFGAQLVLLCFMIFANLYAKTGNTEMMVYIRDFFPIANGLLSFISPFTIILFNRDLTRKLKIMLIGNKSRTVADNQSEISAKTSVVLRMR >CRE30609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1688:1559:4242:-1 gene:WBGene00075607 transcript:CRE30609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30609 MSHSKPTTVSFSMSLYQILSIVSLIYMLYKLFTNICFTESTFIQNEKQQNVDLNAWAIQMMQIWLPDTYLYNSVVMNREETERYINVVVTTNYWNGEKGAEVKFMFSLYRTSCLLDIRFYPYDQQACKLTMSSWTLSKSDINYEPEYESVNMDNFLPNEEWVVVSFNIKRVEEKFVCCPEPWVLLEAVLVVRRKPLYYIVNLVIPTSVITLVAVTGFFTAASTSSERREKLSLGIDSLLAMSILMMMVSEQMPTSSDFVPLFGIFYLSIIFIIFIGNSQLSPIVSYIFFGRVGLYFLVKPILILQIAHWLRMRPPTMLLELWNETGVTFGKKDKMKPKRVEMKNQKMPKVTSSSSGLNLLKSNSGSGRAPLAAPISARSYISMDDMKREAARRNWRRLVKKINSNKQNGVKNCGGGERGQLRQAGRKKVLAAISVPHGEPGPLMLSASAISAFSVTGDSTILESKLKRRYALEWEYLASVLDRVLLIVFSLVVFTVTSIMILVGEAMHLSYELAAKEF >CRE20639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1634:1090:4366:1 gene:WBGene00075609 transcript:CRE20639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20639 MSSILRLAQRTTRLLTIGRPQIKKSFTPQIRQFTTTNLLKTGDFEYEDPKSEDEVVNITYVLRDGTERKIRGKVGDNVMFLAHRYDIEMEGACEASLACSTCHVYVDPAFKDKLPEPLEEEDDMLDMVGN >CRE20642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1634:649:813:-1 gene:WBGene00075610 transcript:CRE20642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20642 MTRKKQKKDKKAAAAAAALNNEQKEKEDDLDMLEDIKRDEDLYISESDVTNISK >CRE10242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:792684:793028:-1 gene:WBGene00075611 transcript:CRE10242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10242 MEFKVSSSTSRCSSPLSFHHLTAHRPIPLHHRSHSASTPTTISSITLSPSLSQLPPHQPHHNHHHFNHHHFHKTMTSALEKCTTSLSEIHRLLRGESFLKCFLWKCLVVMVLCL >CRE09909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1019:9560:10713:1 gene:WBGene00075612 transcript:CRE09909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09909 MKLRLFVVLLVFSATSFADNKQAVEVNPEDVDDVKPPIEEDEERKDEPLEKVTTPPTLTFLSSTANVTAPAEVVAIPAATNATNVEVKSDHDKKEELLTGKDETPLAKTEDIPEATTIAVETLAPIVPKDPEEADDVKEEEKENAAPEFEAKQEVEGGKGEEDPDANVDKPAAVDPPKDEADGAAKEDRETPAVGAEGLHEAVEEARGNPAPGAAVADKKKDSADEEQTLEAGPVIRPNRLDSSSEYQSSFQRIRGSEEEGTGFMSFFFIASFLIIAIYLLQHNKKKLLGLMFEGRSGRGSRSRA >CRE09908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1019:7834:8665:1 gene:WBGene00075613 transcript:CRE09908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09908 MDSASVSSKENDNSVPNSMVLEVRTKDRQMTEDELMHFIQISEPSNKKQKRGTLTAEEEFDQWIEDNKDRFRHNSSTRNEWKNCIFMYNFFRRRGSQHRASSYLQKIENAKTEKIRARVSKIRKLDLEYVSLPVERVNPEDAKRRKNLESKAKAESAADKMNAQRILRSILFNGQY >CRE13205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1540:5475:7570:-1 gene:WBGene00075614 transcript:CRE13205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13205 MDKMPEDIRNYLMEAHQLAGQWSLPNNRGNHTNSKSIPLKSVSVIGGGTMGRGIAMAFSLAGFETILVELNQQAVENCKNELEITYAREKTFKRLNDSKIEKLRNTLQITTDFQKLKNCDLVSYLEEFSMKNIRVQIVEAVFEDMKLKKELFTKLDKICKSSCIFGTNTSSLDLNEMSSVLSDRTKVVGIHFFNPANLIRMVEVIYGSYTSSEAVATAFEACRAIKKLPVLVGNCPAFVFNRLLGVYLNQSQKLMYEYGYLPHQVDKIITNFGFLMGPLTVADMNGLDVMEKLKKENGWPASDFEKEVWRQKRYGRKTNKGYYKYDPKTHKKEVDMEMEQLIEKFSKQARPNIQILSDQDAVNFLLYPMFNEGLLCIEEGIIDHENLIDIMFILGFGWPVSTGGPMMFGHQQGIEKVANTLILWSSLEPTNRIYKVAEKLRQIDQKHLSSKL >CRE13204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1540:1310:2884:-1 gene:WBGene00075615 transcript:CRE13204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13204 MDKVPEEVRNYLMEAHQLAGQWSLPNNRGDHTNSEATEINSVAIIGSGTMGKAMAICFCLAGLDTFLVVRSEQKCLKELESMYATEKAFKRLNDARIEKIKSKLRITTDLEKLNDADLVIESVFEDLKLKKELFTKLEKICKSSCIFGTNTSSFNLDDISNVLKDPSRLVGLHFFNPANVIRLVEVVYGSKTSSTAIATAFEACETIKKLPVLVGNCPSFVFNRLLHVYFNQSQKLMYEYGYLPHQIDKIITNFGFLMGPLTVADMTGFDVMEKLKKENGIEPNELEKEMWRQKRYGRKTNKGFYKYDEKTQRKEVDSEMEQMIRHFSQNAKPNIQILNDQDLINFMLYPTINEGFLCIEEGVISHESLIDIMFILGFGWPIHTGGPMRFGKTEGIEKLRTL >CRE13198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1180:314:1798:-1 gene:WBGene00075618 transcript:CRE13198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13198 MAPEQKQLLVEHLQKVGQTVAMCGDGANDCAALKAAHAGISLSEAEASIAAPFTSKVADIRCVITLISEGRAALVTSYSAFLCMAGYSLTQFISILLLYWIATSYSQMQFLFIDIAIVTNLAFLSSQTRAHKGLASTPPPTTILSTASMVSLFGQLAIGGMAQIVVFCLITMQEWFEPFQPTHHDNDEDRKSLQGTAIFYVSLFHYIVLYFVFAAGPPYRASITSNKAFLMSMICVTIGCIAIVVFYFTPIQYFLGCLFIPQQFRFIILCIALVTAIVSILYDRCVDWISIKISEKIRQMRKGA >CRE04464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2051:1291:7915:-1 gene:WBGene00075619 transcript:CRE04464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04464 MQPNRPPAMPHFRQGLRRAVPMNTAPPRPGYDISILNAASARPNELIYQRFHRAPPARPPGPPVCITLDSDGEEVEPVAKKARQSPDEVICLDSDTDQADSDSDDVIVLDSDSDANLESDSDDVVADLELAEIYILVYFSAHKIAFSANVLVEVHHEISSPNASEISELGQAEQSESENKENQSADSSDSTPQRILRSQISKTSPSQPEVKESIYANKKIREGADYQTVMQPLLEDHEPPSVHYDKECEERIWSPRIFDVEHPEMEDLFIEETRVVYWKAIWRQFKGRILFEDALQHLMENDYDFAASLETIDRCLEKRPNLMRHPCMAQAARLVTHGLKETVSMRRLRKLAIPNEPEYIREEEFNPWVDG >CRE24360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2355:22:459:1 gene:WBGene00075621 transcript:CRE24360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24360 CTAQATKSTTPQNRISKMVPLRGHFRDNTMSVAAEDCLRILATHLPLPRIVQISRRVLSQDDDDQRGVLILKMLTKMFQYIDIDELHMIVDDVAPCFVTAYESTSSSVRKCAVFGLVALVQRVGAQRMEPHLRVLNASKVSWGLK >CRE27992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2004:4830:5961:1 gene:WBGene00075622 transcript:CRE27992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27992 MVDERENYSINGICRFENFADSFRNNDFPNFPIGLICGFDEWRLTLKIKEINGNSYVHPSVRSYWRSQLHSIRVRFFIAILDGDGSQRLVMGRRCHLTPRKLPAGIYLKLESLLDEGNGWLDNGALVIEYGFHVESIVVPDVIWEFQFHEKLMRCDKERDLIRFKCSEDPGIFLHAHRMLLAFHSSYLNSTCEAECNTFCRETVEFIILGISESYPIVILGMARELGLTNVIRYCERFLIEENYKCSLLFEFQLAADYKLNHYLTYLLKSFGGKNQRKLAGILKKVGVESMSLEYMKQCTKYFFDNSKTRFL >CRE27993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2004:7526:8911:-1 gene:WBGene00075623 transcript:CRE27993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27993 MVQASFGKDLESANGFKESSNAKLQKDRFQIEEPKTKKEMWYEWIRNRLRHYMILELLFSICLVLILWKLCHISSQNDKTIELISSIHSELRYLKLDIESNRASKPTDTINLDGGNKKLEEFVEQVIKDIKNPSIENNQKSKEYPKQIIPTEDNSSPNNSVSQINAASLILGATVDSSRSSNSDNNPSIGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPRRYDVLACLDYYCNNLEPLVSNCEYRATGDNKQEQFCSIPFNSNHSSIGKVQFHFRQNHGNVMKTCAHTIRVYGETKEEVLKVKEMTRKQETCSKLTYNYHHNPWTYKIVCFLNIKPAIIYIIYSMTPRIARYFTRMTAVLNARNAVMNVILKTSTKKQLLSATY >CRE22736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2078:1913:5006:1 gene:WBGene00075626 transcript:CRE22736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22736 MAAPKVQLSKILPAWQWLQDYNVPKFRADLLAALIVIAMLVPQGMAYAMVAGLPPVTGLYASILPMIIYAIVGGSPTLSIGPVALISMMTFATLEPLYEVGSPVYIQAACLLALLVGILSSLLGIFRFGFLIRLISHPVIKSFIIASAVLIALSQVKFMLDVPLKSGNIIEFIQSLWQYISFTNIETLIFGVCAILFLIYIPVFFKSKLCQSYAHSLQFWVKALPLVLVFISIALIHFLHIDQFGIKTVGEIPSGFPPIAMPYWRWDLVIQLLPGAAMITMVSFVESISIAQTTAFQQRSELNSNQELIALGLANFSAGVTSAFPVTGSLSRTVVNADAGAKTPMAGVLSSIFIVIVSLYFTGLFKQLPLAILAVTIMVSIWKLVDFKPFIETWRYSKADGIAMWVTFFGVLCIDISTGLIIGIVSTFLLLLWRISRPHIAVIGLVEGTQHFRNISRHDVLTSTNIVSIRIDENLSFLNANTLKEFIILEPPGSVAEVLKNLLLSFITNPITALHEANFIGILAWAVGLGAALRHGSETTQQVITDIADATNAIIRVVIAFAPVGIFGLVVATFAQAGLETLKNYAHLIAVLVGTMLFVALVINPLIVGFVMRKNPYPLVLKCLRESGITAFFTRSSAANIPVNLDLAKRLGVNDTTANVAIPLGATINMAGAAVTITVLTLAAVHTLGIQVDIVTMVILSVVSVVTACGASGVAGGSLLLIPVACGLFGIPSEISMQVIAIGMVISVLQDSTETALNSSTDVLFTAAVDLSKK >CRE13852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2141:584:1894:-1 gene:WBGene00075628 transcript:CRE13852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13852 MASLSTATPEIQTNSKTRVLFASLVGTTIEFFDFYIYATAAVLIFPHLFFPASTDPMTATIQSLATFAIAFIARPIGAAIFGHLGDRIGRKATLVAALLTMGVSTVCIGLLPTYAQIGIVAPLLLALCRLGQGLGLGGEWSGAVLLATENAPEGKRAWYGMFPQLGAPIGFILATGSFLGLGALMSEADFMQWGWRIPFLASAALVIVGLYIRLKLHETPAFQKVLDKQKEVNVPFKEVVTKHYKMLFLGTIAAVCTFVVFYLTTVFALNWGTKQLGYSRAEFLEMQLVATLCFAAFIPLSAVFAEKFGRKATSIGVCIASALFGLVFASMLESGSTVIVFLFLCVGLALMGMTYGPIGTVLSEIFPISVRYTGSALTFNLAGILGASFAPLIATELATKYSLQAVGYYLTAASILSLLAFLAIRETKHDDVNNQV >CRE12446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2016:2987:4768:1 gene:WBGene00075629 transcript:CRE12446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12446 MPIYNAPLADMKFILNDVFNAEQFWQANEKLAHVDAATAEAILEEMAKFAQNVTLPLNRTGDEEGAHYENGKVTTPAGFKEAFQQYAEGGWIGLGAEEEWGGQAMPKMLTVLADEMLFATNPSFMLYPLLSVGAGMALNSYASQEQKETYLPKIYSGEWSGTMCLTEPHAGTDLGIIKTKAEPNQDGTYNITGTKIFITGGDHDLADNIIHLVLAKTPDAPAGSRGISLFIVPKFLVNADGSLGERNTAGPGSIEHKMGIKASATCVMNFDAAKGFLVGKENEGLAAMFVMMNYERLSMGIQGLGASEYAYQNAAQYATDRVQGRSATGVKSPNKPADSILVHGDVRRMLLNARANNEASRAFAVYVGQQLDITKFSTDPEAVAKANNRVALLTPIAKAYLTDTAFNAAIDAQMVFGGHGYIREWGMEQCVRDLRIAQIYEGTNGVQSQDLIGRKTIKCNGEFIAEYIEEIRDFANGLDTDLNFIKDATLDIATEVDMITQHILERAKENADFANAAAVEYLHVVGLLSFSYMFARIANAAKNKQGQFYQDKLALTHYFIQRLLPDLNARVAKIKAGAEVIMNFSEDYFTNQA >CRE22142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:40721:41465:1 gene:WBGene00075631 transcript:CRE22142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22142 MPCPMNMSKDDIELIQLLQYGKVPYTRGLLDMQKERQRDFLTLKRNCKMMFVYYDNERNIQNSEGHEVCCEIARICDINNIFYYYVPFYMQTWFFVTCGAILLLLIVGVAVGVFFCCRRKRKRGGDNGGGGQSAKGIETGGKKNKK >CRE22141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:39121:40483:1 gene:WBGene00075632 transcript:CRE22141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22141 MLEEEPRIDGMTPNGTRPQLSGEVKLNKVFFRYPERPGVPILQGLNVHVKPGQTLALVGPSGCGKSTVISLLERLYDPLDGAVTVDNNDLRQMNPKHLRKHIALVSQEPILFDTSIRENIVYGLQPGEYTEAEISIACQKANIHNFISELPDGYETRVGEKGTQLSGGQKQRIAIARALIRNPKILLLDEATSALDTESEKQVQVALDAAAKDRTCIVVAHRLSTIVNAGCIMVVKNGQVVEQGTHNELMAKRGAYFALTQKQSSNQAGGQFDTGMAVEDEDDDNVKF >CRE04467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2171:1939:3057:1 gene:WBGene00075633 transcript:CRE04467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04467 MIWIEVLALIVIWLSFWSLIPRDEWWFRGADFPRLQILFVGLIALVLMLFWTAPWDLAREVILFLLIAALAFQLKMVLPYTFIWKKQVKQVSKDQLNPDQQISVIVSNVLTPNDQYHLLLEQIEQHQPDIVLTLETDQTWQNELAQIEKDYPYRVAIPLDNLYGMHLYSKLKLVDTEVKFILSDEIPSIHTTVILPSGQAVQLYCLHPKPPSPTEAKDSTLRDAELLIVGDQIKDLDESCIVMGDLNDVAWSRTTRLFQRISGLLDPRVGRRYINTFHADYKFLRWSLDHLFHSTDFALVHMQRLPHVGSDHFPIYVVLQTGRIFEQTQEELEQTDADEREAQEAIQEGIEKAEKEEKLVTDEIAQSYKAGT >CRE02775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1881:3032:5013:-1 gene:WBGene00075635 transcript:CRE02775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02775 MGIKFLEFVKPFCGFVPEVSKPERKIQFREKMLWTAITLFVFLVCCQIPLFGIMSTDSADPFYWLRVIMASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFGMVITVGQAIVYVMSGLYGEPSEIGAGICLLIVVQLVIAGLIVLLLDELLQKGYGLGSGISLFIATNICETIVWKAFSPATMNTGRGTEFEGAVIALFHLLATRSDKVRALREAFYRQNLPNLMNLMATFLVFAVVIYFQGFRVDLPIKSARYRGQYSSYPIKLFYTSNIPIILQSALVSNLYVISQMLAGKFGGNFFINLLGTWSDNSGYRSVPVGGLCYYLSPPESLGHIFEDPLHCIVYIVFMLGSCAFFSKTWIDVSGSSAKDVAKQLKEQQMVMRGHREKSMIHELNRYIPLPPAFRRSLYRSSL >CRE22594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:193683:196329:-1 gene:WBGene00075636 transcript:CRE22594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22594 MFCQPSTSTATPTWIRCPQKEAIVLRRRSEGQSTPRAPARSANESQLSTKRERATPKVATAPKVVKTSTRKSVFNKKRSTDGFITFGGQKPLSSSPLYDPSKGISFFEQSFGIEGVLGRGSFGEVVAARCRLDGHVYAVKRTVQKSSTALKHQEALSLLRIPSHQNVLEFYQAWEEEEHVYIQTEVCHQTLLNWSTCVGGLAERDTWNVLVDLMQAVDHLHRINMIHNDIKPENVLLTRAGVCKLGDFGLAMEVRPNENHLEEGDNRYMAPEILTMGASKATDIFSLGATILETLTDLEMPTGGEAWHDVRDGLIPERMFKGASTDLRELMDAMMAKDPEERATIEMLLEHPGIQKRLEERNRYVKEMELNPQLAEPIYLTLPLLAESPENQKATDAKEDDVTPPLNFNRRLTLSTSPRRSPTGGPKKLDFGILDDDDSPKENIPSRLNFDDEDSDSDEEEIQPTRRPCKRRCESVEGTPNKRPPPRRRPNTFSTPLRQPCFDD >CRE21682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1672:1604:2234:1 gene:WBGene00075637 transcript:CRE21682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21682 MYSQFILASFVFVGINCQFSSNTQQFIVDIHNSMRSKVATGTYSVNGTVKPTCSNMRKMSWDSTLATSAQTYANTCPTTHSNSAGIGENLYMRTTSANIGGLDVYGGAASVAWEQEFQKFGWASNYFDMPLFNTGIGNATQMAWAKTNLVGCGVKNCGKDASGMNRVSVVCHYKPL >CRE11609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1577:1238:4260:-1 gene:WBGene00075640 transcript:CRE11609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11609 MDNPKPFPILRLPFLAIEEVIKAMDPIEIINFSMISKRTKAVTTKTTFYSKYVVYFCVDKTLGIVIHRTNKVFYTYNMTSDKRRDGKTEKLAVFKYSKDPVQEWKHLCKHILEVFKRQTIDVLIVTMDSLVDHNASITDFLATNVKSVDDCTLFQMHDKKNVDKHTAYLLDNLQINSVLCSYVNTKNDDFNAKIPKNLKELFIENSQWIGYEKLLEINCKSVILRNDWISEEEWNMFFKKWIALETHVNLEYLELDYRRIEELRAHVLHDIPHEMVDGGVKRTVKTYRDMTEQISGGIDIKRIDGKTKPFPNNKFPILRLPFLAIEEIFKAMDPFEIINFSMTSKRAKAVTKNMSFCSKFTICLYINKTMGISIEGINNLVACTYLMTSDKQMDGKTEKDESYGNILRSVVKYTNDPVEEWKQLCIYVLEIFNRQTIDILTTTMDVFVDQNVPVIDFLKTSVKSVNSCSLSQKDKAINVEKHTAYFLGNIQINSELYFDIYINNDDFNGQIPNNLKELYIFNSHWIGFERLVDIDCKNVILRNDRILNKEWNSFIKKWVTMEAQLNLECLQLDNRELVRFRNHVLHDIPHEVVDGGVKRTLISSHGSPREISGGVDIRRIDEKTATFIEQSYGFSMSVH >CRE11607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1577:85:615:1 gene:WBGene00075641 transcript:CRE11607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11607 MFGSIQDADNSTFLKDVDTFNDHNVSIMDFVKTNAKSVDDCHMFQAEDKNNVDEHVVYLLENIVITSELLFCLHIKSDDFISKIPKDLKKFTILESQWVGYERLLEIDSISVVLEDDQISDEEWNLFIKKWIEMETNQNLKYLEIDHKELDVFRDRVLHDIPYEEIDGEVKRTFKM >CRE09795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:73085:74164:1 gene:WBGene00075642 transcript:CRE09795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09795 MKPLKQLPILRLPFRAMEELSKRMHSIIKMISNRRDNKFPILCLPFLAIEEIFKTMDPIEIINFSLISKRTRTVAKLMSFYSKYLVHLYVRNFTLDIGLLGTKKMVTCTYIMTSDKKMNGKSEEKEQNGCIEREVYNYSKNPVEEWKQLVTHVLDIFKKQAIDGLLMQMDAFVDQNISIINFFKVTEKPVNNCFLYQLNHQNNVDANVAYLLNSVTINNSLDTWLDIKKYYFDGKIPKNLKGLNINNSRWIGYERLLEIDSKNVTLENDKISNKEWNLFLKKWIAMETNVNLEFLQLSRKHLETFRAHVLHDIHMKCGMESQRL >CRE11643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2816:1645:3493:-1 gene:WBGene00075644 transcript:CRE11643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11643 MKDDPNDDQPTTSNSVKETINDDESNSENNKSSPPRRQSSGSQPQSISRQNLTPNIKTENFDSWTPTNNGTEQKKPIFGFQASGVDFDLSNNEWHENLKLSNGHSAEKFHPYSGNPKNVSFLLFPRFLKTKEFQDSPLQTRMKGWQREYIKEVIKDSHYPTEDELRDIELRCDLSRKQILRFIAKRLTNPNRKPRVNHHDEKRKEQEERDSLGDQEDDLRDSDVTNLHHILSSLQETTA >CRE11642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2816:4061:4520:1 gene:WBGene00075645 transcript:CRE11642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11642 MKRFNDFSKMKAFKEAQEHGKNRYKDVGCLDNNRVKLGGNWPHEYIHANYVATPTNPKRFICTQAPLEKTCADFWYMCLQDRVETIFMLCNYTEKGAKKCYEYLPTEEKQDTMTFKEKGQKVTVKFESSSSVSISF >CRE03079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:819754:823895:-1 gene:WBGene00075646 transcript:CRE03079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03079 MESRFTGIVVGKTHTSRSRYYVWCKNCFPGIDGYLDIIPGHPVEIGDWVSMNFTPKDASRYLPKQKSRQTGRFTCKNYSVIRNVLETRVHEKFIRIRLEQELIENQDSFDHPVLGKVWCNTERFKFPTGLHQLTIKRKFLNEKKIDSSVWEIWEIEPKTEVIGVVAGNDPFHFFVTCKDRSPGKDVVIEKVPENLDLTIGTWVKMILRIDEMNFNLKCYAYSVIPAVLHTEMIGDAGKKTLQLKLMCETDENGDIYNPVIGPINLEMRDSLSIRGFSRRKEKLEKSRRYAIAVHREKPDSDKEVDKEVDKEVQSVWHLSYYELLEDDSLLQLQKTDGLATHDHMLSLHPFLKKAIVVKIENNPHKTFVWLFNKHKEARVYFKVNNLQLGQVLIAPFTDKGQKWSSWGPQFLIADESYETRAIDSGVADILVTAEKLQNPGSRYEHSYIYHNDFGNIIDNKSNLKENGTSEYRMWIRRVGKDYRRRWVVVEQVH >CRE04480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2651:432:2354:1 gene:WBGene00075648 transcript:CRE04480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04480 MTSLFASLAHNLRGQVIYESIKTFQNLEFQLIARIISFGINMYLLRRIDNDVLGLVNVRLTLLYSTILFLTREPLRKAEIIRGSLPKFINLLWLSPLISTVLSVICVYLWYTFSSTTVDVSWTVVLSFPISAIIESIAEPFSVISLRLESTSGSLGQHFAIGQGMLICVKRIFVLAGLFIFPEMYHLDLFAYSQYFGAIAYLLFNFIAFYVYIRNKSIPELESFSTFSDLLPKFNEGIDRNSVNAMSTMFAHSILKQLLTDGSAYVMTFTELLSLKQQGVYDAVERVGSIIVRTILSPIDENCNAYFSNTIRKESSVFNKNTDNHDDLVKNLSTILHVVGVLGFVACIFGIPYSSTAISLYGGKLLSDNGGALLLSLYSAYILVTAINGITEGFAMASMDNYQIYSHGKFLFVTSALHLFINYVLCVYLNSAGFIVANIINMTIRIVYNWRTIREYLGESSPSFTTVFPSFSTSMFLGASLFATSFSYLIFATTPGLSHNLSHIAIGAVCLILIAQHTIQHDPVFASIIDSLAKKHRE >CRE20625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig828:167:3124:-1 gene:WBGene00075649 transcript:CRE20625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20625 MVTDLYSLPAVQIIGDPLDETLEKVVETVQFSIFCFTLPFYIFVIYHLLDAQLRGVEDLSTPFFKLCVTTAIVDILWIFPRTLLNNYLGAMFPKWGWGTRIYLFLDGFYAHTYLYFAWTSGICQAMCISVLATNRLSAIIFPNRHHHVIFFSNFSTLVTYFQIWSTQRLRIAYAIQFLPGLMAGMATLFDKTQLYRNSKNGVIPKFRK >CRE02783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2441:107:3888:1 gene:WBGene00075650 transcript:CRE02783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02783 MEGNEANDKISDTVDEGKELVEEVMSLCADRTETLERALALMEQLTAQFDELNKWLDQMDGELQGAASVTTATPAAELREMHDHNEELARSVAAYRPIIEGFKSDVGALEEILAEDQSPLLESVAGELVQGYEDVREAVRARGHAIDNMMGATIGFGERLETLVANLQGAADRLKENEGISADPSVLESRLAENRSIVESLKDKQNAYDALKQTANELLATAPEGTGGDVENKLNRLDKLWKEIEREAQDRGVVLEDVLEKAKHFWSELDSCQKAVDDLRNRLELVEPATGHPEQLEEQQQIMAQVANEMERTRPRIEALSIAGQQLAGYVPDDEKAVIESQVANVRGGFSTITGLFAEKKRDLIAAMKEAMAFHGDLQSLMKWLDTAEGRLLKMAPVEHAKQMTEIGHMLDELHRFKDEVDERGVAKEQVVATALQLAADAPPHLAATVRQPVAELNGRWTRLNAALAEREHKLENSMLQMGKLVDAIAQLTAWMDKTKTTLREIAPPKTAMNLRDIEIAQCKLVVLSNDVHAHQHSVDTVNNAAQQYIRTSGALDAETSEKLGEMNVKWEEIQKLLKSLASEIEISKKEAENVGGEVERWQRWLEETEAALQSTKPTGGLPETAEFQLDEFKALKQDVETNSQPLETHLTATERYLREEETQGEDTWLAKTHATMKTKWSKVKELLVDREKKLQLAYEQAVALENALNDMEGWIVGEFIPENRGFRDCN >CRE14085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:226295:227553:1 gene:WBGene00075651 transcript:CRE14085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14085 MPVLISTPDPSSSSQKSGCHWFGTAPFCYQTCPSDYDFIRQHSGRCADGTACIPDSSFGEPCIKLFSYQMTKKFCCKSDPVDCSWSGRWMGSEDAFNFYCRFDPDQGKCGKLECSVNHPLFKAHNSSFIEGENCDELRMWNLRGKASCGYIAWFERGEYRNGWYKTF >CRE13243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3700:119:2369:-1 gene:WBGene00075652 transcript:CRE13243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13243 MAAEGVPGVPERLQLPSVREQRDPVAPERRDGTAFINGAIRSLYGSEGGPSGYLGFPIGDEVRAGGVSRQEFQNGTAYWSAATGPSVINGAIRSLYNSEGGPSGYLGLPVGPEVRAGGVSRQEFQNGTAYWSAATGPSVINGAIRSLYNSEGGPSGYLGLPVGPEVRAGGVSRQEFQNGTAYWSAATGPSVINGAIRSLYNSEGGPSGYLGLPVGPEVRAGGVSRQEFQNGTAYWSAATGPSVINGAIRSLYNSEGGPSGYLGLPVGPEVRAGGVSRQEFQNGTAYWSAPTGAALINGAIRATYQANWGPSSALGLPIGPEVRSNGSATQRFEHGTMTWTAKTGVTVTLQATTRTPAAVQSPTTSPAS >CRE15241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2547:2:1993:1 gene:WBGene00075654 transcript:CRE15241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15241 MMFTVVDINTPPSPSLNTWFITNTTSMATWPENLLPHYQCNQKLDKCVLDEECQCSPVEDTMICTCKDTDMRELFRQPDRVLPVQAGHLRLEQDGNNVKGKMKFSTSTTMSIKMTDKWTTSIVRTKESCSVASTTASGCYKCEEGATAEITCKTNEESTTANIECGEEEFAVECSPTGTKTSIKFFGNKASFQRHCTVDCGGKQKRHFEVTGVLKYSGSIWTAMWHLLDGNTTIFNEINLPDMGHIATSYMSFMKTMVAVTATVGIIFLLTYTVITNAGLAIVKTFFKICVWILWQPIRGTIQLISLITTKWRRRRGHLHVMILLTLVHNITPNNLTTTLHLAHVPDPLEHLIIPNLTIFRSQSPDILNSSLLTLSHLNSSNPHSSSPNRSSSHSPPSRRITMILTDMDTLRDTTLRAMNGRLAAVEAKLDLILDMLVQPERGGASSPIGHDSHSPRPDTDSPLIVSNVPSPDNYVEIVEEPLVHGSDNDHHQDADNTPHLEPDNDEHHEAARAPSPQDAQPRLQSKVEVVVDNTAYKMRKLEKNPKKGTTKCLFCKEYHYSDLCPAVPEADERRTIAMDKGRCFQCLLDDQKCKQRCKAKPCHYCNQTGHHSALCLRAITKWVDKGDHRKHRRQEEDKTGDHRKHRRQEEDKAGDRRNHRRH >CRE21614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig753:185:775:1 gene:WBGene00075655 transcript:CRE21614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21614 MFPGNVNCSCSAETIRSPYVSFFYTIWKMTVEKMEGCSWGTACETVNLVKYKKEVKEFLEKSSNEVWEKLKEIIQSYESKEADEKILAEAQYKIVQLFKEEKNTEKERIMAESRMEADEIWEKLKELIQSYVKKEIDETILTEAQNEITQFFEEEKKKERERRMVESRMEVKANLPYVDEEEDDRSIPTPLPTTDL >CRE19521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:17609:18365:-1 gene:WBGene00075656 transcript:CRE19521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19521 MEKVEYTCIGNIQEADNRRFINANGCIRRPKHSIINFFKATAKSVNNCFLYQLNEQNNVDENIAYLLNNITISNSLDTWLHIKKYYFDGKIPQNLKELYIQNSEWIGFKKLLEIDCKSVSLINDLISDEQWNLFFKKWIAMETNLNLECLQLSRKHLETFRALVLHDIPHKVVDGGVKRVLKTVLNRKAEINGGIDIQRIDGKTATFFVYRELWTDYFAMTIH >CRE14434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1982:2101:3678:1 gene:WBGene00075657 transcript:CRE14434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14434 MDDYGEFQPHIRLAKDLMDPRRYDSRVRPVMNHSKPTTVSFSMSLYQILSINEKQQNVDLNVWAIQKWNDDFLGWNPYLYGMINTTILLDAIWLPDTYLYNSVVMNREETERYINVVVTTNYWKGEKGAEVKFMYPALYRTSCLLDIRFFPYDQQACKLTISSWTSSKSDINYEPEYESVNMDNFLPNEEWVVVSFKLF >CRE30275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig769:15918:16510:1 gene:WBGene00075658 transcript:CRE30275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30275 MRTLPILLLAIVGAVYCWPIQNDEEIGVDARSRVLGASESDQAEMVERVKRASDKKLERQLEKVMKKVEKIQDKIEENKNKRDKKNKNKNKKDDDDEEEEEKDKKKKDKKKDDDDEEKKKDKKKKDDDSEEEDKKKDKKKGKKQSDESEDSEEKDKKKKNRRRD >CRE13934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:497042:500213:1 gene:WBGene00075659 transcript:CRE13934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13934 MPKTKWRLNHSILLLFIAQITISEAVKCHDCVGMDCMGSFCKGDYCMVSQYAPRWGSARWGESEVVKGCLNGSMLAKDIRDHCEYAEDSEEPFTCFCNGKDLCNTRGRLRKVEREDVELVQCVCDGAHCRNDKTCIGELCTYVINHVTKQVEKGCTNASVPLIERRAIGSCMAPPITGAMHHTVAKDAASLLGVESCICGTDYCNSEKPTPTVPEKEKCTAFVEVTTMGTTTKSKNISCTGEYCFTATIKSKLGIMSSYRTLGCASFTGEDPLPEELDPTGCAVFRSENLEVKTCFKTSDRSAVGRALASKQIPESKNSKPSKGSSGKSQKSKAKQMEVEYDNEEEEEEEEEKMEKTKGKSNGKSPKDRQKSEEKEKEKEEIKEEEEEKEEEIEEEEEKEKEETATKKSFIFQKPTQPPIPDDSNTTMITVFVLIIICILGSGIVWKFELHKKLKRSNYDSVAGG >CRE11634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2657:2914:3866:1 gene:WBGene00075662 transcript:CRE11634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11634 MGERDRDPCGHEHALPGEREQEVLHDGQQHEQEDDHRGDEHPRDVRQPQSQHPLAERPQVRRAQVVVRRVDAGLRGDDHDEAEDPHQVHDAAGDAGLGHLHAGDREGEEDADERREAVGEDVEQGAEGEALVELHILPLAEGGVVHGEHHHDPDAHVADEGREPAGTARDERQFDLRCVGQPRVAEAVHDARPDHGGDQPEEVQRHEPAVELVEESAHAADDERRRVDDHHEAPQPHRPAEGGHQGVGHDRSLEHGVVDRDESEDDRRDRGSGGAEDRARELRDRGAVVDGVLGQHGVDGAVDDDRQDDADDRVRGA >CRE11635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2657:285:1208:-1 gene:WBGene00075663 transcript:CRE11635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11635 MEVSPGDSRPSSLESCGVTLGLPRSGDAPVHEALHVVMAVGVDRHRSIQLEGEDRADGREQRLDLGRRAVEPHRQPAGLAEAHRERHGEHVGLGRAALPLAPGDALGVLRDQRVEGVVDGDRQFERGVDRREQGRDLGTAAREASALVGERPSAVIAGDLLGLEHALHVLVDLEQRHRRRHFEQGQVVARARLDETAGEFRVRDPDREPECGDRDPGETLDVAVPDALLREVHADPGGEEQHVGVEERGRVEMLGRSAARDLLREPLRAGGGLEAQLQAVLDDQIGNAHRFGLSHLPEIRRDIRAVA >CRE23875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6795:856:2088:-1 gene:WBGene00075666 transcript:CRE23875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23875 MNRRVVITGMGINSCIGNTLEAVKHSLENGISGTRHNSTYEELNFKSHVSAAAEQDFDGIDRKLKRFMGVCAMYAYNSALAAVEHAGLTPEQLGGNPRYGIAGGSGGNSTASVTEMVKLLEEKGARKIGPFFVPRNMSNTITANVGVAFKLQGVAHSITSACATSADAIGYAYNLIQLGKQDLMLAGGGEEDHWSQSLLFDAMGALCSKYNDAPKTASRPYSADRDGFVIAGGGGFVVLESLEHAQARGANILAEVVAYAANSDGADMVAPSGEGATRCILMALEEAKQHGVDKVDYVNTHGTSTPAGDVTELLAMGRAFGEGNVPPLSSTKSMTGHSLGAAGVQEAIYSVLMMQNDFIAPNINVTELDEGTKGYDIVLEKRDTKLNTVMSNSFGFGGVNACLIFKKWEG >CRE09958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4058:31:930:-1 gene:WBGene00075667 transcript:CRE09958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09958 MRNNKIDLLRGISILLVLLHHFNIPYKLHDTFLGIQIFGESLSTLIARNGNYGVTLFFVISGFLITQHTLQRSGTLAQIKLKDFYIRRIARIMPCLVLLVVLVTALGAMGLKPFINQAPNDIEVSYGLTVFSAFTFWMNILIIENGWVNYALGVLWSLSVEEVFYLVFPILCVVLGRGKGFIIFLLAIIVYAPYFRSLHFAEESGAYLYHYFSSFDGIAIGCLTALMTQRMSQKIQVSESWFHHCGIDGYCYYMHQSRVSRGESAFCSIVSNVDLLVCSNPLLNLALYCQRYSVDWARS >CRE09959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4058:1183:2613:-1 gene:WBGene00075668 transcript:CRE09959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09959 MTTSSHSDDVPSSPNELQRKLSNRHLQLIAIGGAIGTGLFMGSGKTISLAGPSILIIYMIIGAMFFFVMRALGEILLSNLHYKSFIDMAHDLIGPGAGYYIGWSYWLGWILVGIADLAAIINYLNFWLPDGTAFTPMGQALISAGCVLLVLGINLLTVKLFGEIEFWFALIKILAIVGLILIGGYMVLSHFQAPTGSVASFSNVWSHGGMFPKGASGFLAGFQIALFAFVGVELIGTMAAETKDPEKNLPKAVNAIPTRIILFYVLALFIVMSVTPWNEIPADQSPFVTLFLHAGIGAAAVIMNLVVLSSVMSSMNSGVFSTSRMLFGLAKEGQAPTKLAELSKRAVPAKGLLFSCGFIMAGAALQYFVPNTVEAFTLASSLCVILFISIWSIIMVCYIRYRKLRPELHEKSNFKMPGGVWMSYLVLIFLAFSLGILSPEPDTLKASIISPLREIFLAVTYQKMYKPRMKKRNQQL >CRE22253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2031:665:1884:1 gene:WBGene00075669 transcript:CRE22253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22253 MAARLIIHSDFLHKVLRHNRNAIGHASARQINILVEIVYNLLNTKNIPLSASELELLKPIHPQLKTLSRTGSVDKARKILYKLSKRHSCRDSAPAMKLIRKYHIVPYEDGSAVESAKRFLETILNDPTLETSEKCRFYQDLLYRIRQHRELPIMTDEVFDDLRDTYSQQNSNNEASAGAVAVPTLKRELAVVVPKIEKQEPEEPMDEDDDDLKELPAVFKRVKTESADNAYQQQQPTARRLSRKRLHVDVDDVEDNDRFETTMKQRRVAAATLELPSPPVRTSRKRKILDNVKKQKLNIVQPTRPSPPPLLPPPPPTNQRRPPKRRHPILHSKIPEKRRKFVELFDPTGGKLPVWRVRKDYRFAPYQTERSSIRLIFGAFYHPVGTHPRRLRLVLSSK >CRE15114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig922:258:5286:1 gene:WBGene00075670 transcript:CRE15114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15114 RAFETIGNALRLNTFLRNLDATQIEKISSAMYPVEVQAGAIIIRQGDLGSIMYVIQEGKVQVVKDNRFVRTMEEGALFGELAILHHCERTATVRAIESCHLWAIERNVFHAIMMESAREKTMTMKRYLKWSNRFCGYPEEVLLRIAEFCTEMRYEAREELVVKPQYVYLVCRGSKIGTSQNIVMDARDWILDELDQKSEQVGKTSDVLESWKVERNEVLFELDQFYDAHKKSCDKIQLKKLRND >CRE11404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:115310:116922:-1 gene:WBGene00075673 transcript:CRE11404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11404 MIRCLVTILALVGVATSLRCNSCDSFVSCTRPFPVDCPPHSKCYTLTRNGNEILAKGCAHSCEAISFLDGSHCQICHHGDFCNDFQPGIGQGAVMRQPPEIGGGVQPYNNDNNGGYHIGHGVRPRSATQASFGMLMALPVFRRFLL >CRE01463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig880:16722:17915:-1 gene:WBGene00075675 transcript:CRE01463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01463 MTFLFSLFRFLSWIISVLFQTFKSFFISNIPLPPPHFPLLHVPYLPLRRIIDFMEPKTLVSLSFCSQKSHSVIKTQRRAPFNGRLCVSEFHSNLSFCTFQNRDCVLSVCNSLFFPNSERSNYIKMNGQYVPVEVHRSDGNLVSYWGNTSDGLKEITNYVTDLLNIDVSEVRASKESFHLIEWVNRRQKTPLKKVVYIDWGVIPSKDEMIYILRDCTTLSEIDIRSDDPPNFRFSGNFRKIDCLDICHGQWVTIDNLLTMDGIVINLKKSTLTNNDLNVFLKHWLSGGCPRLKLFCARIGSVDIFRVLAGLLHNVVRVENRRDYNSKLSATGRLRRCCLARDHS >CRE29398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2369:4777:5097:-1 gene:WBGene00075677 transcript:CRE29398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29398 MVVRKDIFAFRNTEPEENFQNPDSRFWTTIFPFNIILIPPMTPTTSAIFRVEKAHRCQKSGDLYCRVHFKLMEENQNRKMLSVEENNNNEMDGQVENQEQEQVSNI >CRE18311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig963:4325:5276:1 gene:WBGene00075678 transcript:CRE18311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18311 MEQFIEDPEGFTHFFPDKVNSQLGFRETKFHTFYFNYMEKKFQKSEMNYQSFLQLTTKLEVEEDVLQIFFQERFYQETKERIVLSKPKRRTDVCYPIRAPSTCRRNFGPTCCAFVGLGCSPEPMTSTPKQNRSSRKRLHVDVSPIRSNPSSSRSSSRSSSEDSPGPSSRVKNLKTRPSKASSVSLSSPNLPVGSRNQSEETAPNCRKRLCSTDWSEMF >CRE10785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2658:2891:3992:1 gene:WBGene00075680 transcript:CRE10785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10785 MTLTEEFGIVSDISNSLEQSENFRLIAGEEDKKRMTFFAHRLPRKVILTIRTSSSSASARVFFSPSLFRVEDQYPPLPHDTRLATNILNDNSYSRRDEVSTQINWKISPQVRNAEPGRYRICTIVSRQDPEFAGMCDHVEEGVETVKCVPQTNNSVVIGHLRRDRTYYVTVFVRDHKRGTSSAYEVQTIHTSPLTEIVSHRKVTRNVPRKQKKSAPRVLTDGQLEQVELEPKKGTFVNLKFFVNTIPEGNATQSAMLIVHAC >CRE20166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2707:242:4441:-1 gene:WBGene00075686 transcript:CRE20166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20166 LECDTLLVSVGRRPYTEGLGLSNVQIDTDNKGRIPVNERFQTKIPSIFAIGDVIEGPMLAHKAEDEGILCVEGIAGGPVHIDYNCIPSVVYTHPEVAWVGKAEEQLKQEGVAYKIGKFPFVANSRAKTNNDQEGFVKVLADKQTDRMLGVHIIGPNAGEMIAEATLAMEYGASAEDVARVCHPHPTLSEAFREANLAAYCGKAINSV >CRE25032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2206:1365:3479:-1 gene:WBGene00075688 transcript:CRE25032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25032 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3NUJ0] MILKTVIFSSLLAVCYAANNDRSWTTDEGVKIEIIKKIGDSKCKIRSESGDQLEQFYKLSDKEGKVIGSNFGQKPYTFTLGKGEVIHGMDVAMEGMCVGEQRKVVIPPEQGFDDDGEEVEGTIHSPERKHPLLLESTIKSMFGTKPHDNRIPDAGHDIHITHDVEGCTEKATAGDTFHQQYTLYLEDGTFVDSSWSRNRPFIFKMATGQVIKGMDVAMEGMCQGERRKVVIPPELAYGEKGRPPAIPGNAFLHFDLQLEKLIRPGKEEL >CRE28649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:7122:8351:1 gene:WBGene00075690 transcript:CRE28649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28649 MVDSSYPSFQSWPLVIYFIIFIFITPIYFFIFISLLKFRMSNSVFRTSFYTLLMQHIISDLLSLTFYTIFKITTSLFSEYFFFQEAIPFAQISMNGVYWCIVFRAHGIALLTIHRYLVIVKPSSVLTHFIQREKQLLICIRFWIPPIIFNCFFFLEWKIRFQLASILIFAMDTSIVDINKSRASSGSTDSLPFAGLLALLLYMTFLNMYASNDNSIMIQWIRGFFPLVNGVISFIGPFTILLFNKELTQKVRELVFHNKKKTRPDSTLQPARNNDNVSMMS >CRE21096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2273:2291:3035:1 gene:WBGene00075693 transcript:CRE21096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21096 MLGNTADKMDPSETVPIHFISPNNRVKMKLSFNHLHFLTIFIAVSAYTDPTQYIASSKFRYPDGLCTTTSTTQKPTTVTTWTRTTTIVTSTVPITSTTGTISSTGSSSSTVPSTVSYPTTSGSSTADSTSTASPSSTTVPSSTTSYLTSTSSSKTMNGTTSTDASTLSSAGSSSSGYSTSTTSSTTPSTSGTTTTGADSTSTSGTTSSAIVSTSASSTTSIGSSSSSESTS >CRE09545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:38788:39611:-1 gene:WBGene00075694 transcript:CRE09545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09545 MEIIGRIKKSVHRLSETSSTTGTPSVRTTRSGNTLLDIVSSSTIEPTSSTKSSPTVPTVRAQQSTTDGSRSTSTRFSSSTSDVVETSTESSTDVPRSSILSSTQTSPLETVTSQASSTARAPVTTTVGTSTQQSSSTTESTKPSSSSSTVGSTTTPDVKSTSSEPSTSTKSTTNSSPAMSSGTTSDVVSTSTEGSTTSTGSSSSTGSTLKTRKAHKFPFQIRVTLP >CRE29400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2649:2116:4228:-1 gene:WBGene00075696 transcript:CRE29400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29400 MTFIKYVEMFVVGGHDRMLRQFDINGKLVREVKGTDDDVAHSGKIQKLAVDHSGSYAISVCSDKYVYVMDLRTGVCLAVLCGFGAPPTDATFSDDFKNVIVTTSNGAIFVWQLAKNLTERMISAQVRLMEEVTMRTATPDSLLGSGSETISSNSFTRPLGAPEFSGSSASLYSDDDDSTCFEFTGLERRSFVPSDFCQCPNTNRSGSKW >CRE23832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1676:128:7492:1 gene:WBGene00075697 transcript:CRE23832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23832 MEFTEVIEVLDRGSSIDVQSKHTFESLMIGQKTLERLKAAQFDRPSPVQAKAIPVGLLGRDMLVQAKSGTGKTLVFSVLAVENLDLRASYVQKIVITPTREISTQIKETMRKVAPPGARTSVYVGGCGHKLNMIDLKKTRPQIVIGTPGRIAQLLKLGAMDLSHVDFFVLDEADKLMDDVFRDDIKCEPIASHLKSEGLDVTFVSSAMSQKDRQLAVDQLRAKRVKILVSSDLTARGIDADNVNLVVNVDAAANEETYFHRIGRAARFGAHGAAVTLLEDEKALKCFTALAYRGKVTVKRVARVENLPSDLAKNVDFWMDLPFFIDFEEKSKVVKVPNDLEKVPDRKEAVEALQRERGDSGASQYKYDRNGMLAMRLESQPTSSESQTSQAEKSSVKEQDSPEEITKKIEEMSIEEKKQDEEPVVAPKKTFKERLAELKQAKKDEKKEMNEPLKVVEKSKFKFVPTREKAKKKYYMRGELQHIRDAFSDEQWRAYAESKFDFSQEPFLDAFGFKARRGSSPRIENEAGDSGTSSGAAKPVEKFKKTGRKSPENSSKSSEKSESKSSNIIKYNRYDMKKIQKEVPKNQWLPYVKSKWDTSDEPWELDPTMRCPFEERLRRIRQKEKNERKKVIEERKRQRDEDRSELISFGTMTPRHEIKDTSWEGYKARLRKDFAEKTAKRKQDELEGKPRSLTRIGPVVPARDPAHIYRYRLDMYSRRLAEQDAWLMQETRLQDGKIADAGIQTAIRELAELSCQTDPIEFEQSEQASDDVENEEDFDDEEYEYYDEEEEEEEKEYYEEEIEKDEFDPFGIPSYEQELRRHFGDSPQQVPYCEVAQEYLDYMNSFPRPPPRVDPNDPRYFLK >CRE05299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig892:1515:2072:1 gene:WBGene00075698 transcript:CRE05299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05299 MNGLKCLKDIIILSDEISLVIGMLPTKVRSAHHELFNESLKVAKSTLLVMEPLLDYNQLYQTQSEVTIHLVLPTYKLLETQFNSFLSGKFDDVSLHDLDSICSDTVDALSRSGLAVLPHYYKEFDSVHFAAVYLSPKTKKMLIMGSRIIESTLLVFLSSNPKTQTVGRSTI >CRE07941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1575:5724:7190:-1 gene:WBGene00075699 transcript:CRE07941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07941 MQNSSTPTSDGVPHTFMKMISPSISSPLSQICNLTMSRGSVPKVWKHSYILPLNKTAKPSQPSDFRPISITSQICRIYERFLLKQIIAHLDSINFWSDEQHGFRPRRSTVSCMLTALNDWTDNIDKGNQVDIVYLDYAKAFDRVQHDLLLAKLVEVRLNPSLIRWIDSFLSERYFEVKVGKSYSAKRKALCGVPQGSVLSPILFGIFVNDVPKTLPPGVKCRQFADDLKIYASFSNSDSSNSLPNRLQLAIDSVILWSKKAKLDLNNSKTECITLGNRRAMNTYTIEGNTVGQKTLIRDLGFLISPKLDFSEHWHKATNAAKFLVSQIFTKYNSNDSKIMTLLYKTFIRPVLEYGTEVSSPYKKCDIRAIESIQNSFTRRLLSRQIGRYLTPSDPDYLSANQRNAKYGLASLEHRRQTTDYKMVLKMQLGKIDINTEDFFTTNTFTKTRSNNTFHWKAGKTKTRRNFFIHRTLSRVAISSDLPPITPN >CRE12401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig856:4604:5662:-1 gene:WBGene00075700 transcript:CRE12401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12401 MSSNCNCSRVRATNEPTNQEKGEAMLAMMGIMLLFFIIFIIIVIVLYIRSWKFHEITKFPKQPNWMLKLDSIFSTKKQDRFTIYTKYYEKKYCEKTREELPKKMMKTIRILLQTYLQVSVAIPDSTCIVSIPKRNFKCGAVPGEFLSKHGEPPACYYEYQMIGDDRIQVAWFVVAGVRYVAGVCIYLETPYVSRIRYRDEIILDLLQKPDYWPTFTLKEETMQVLTRKCKNELTLVTNNRYDEPCCFRFVSDKRKFVTCQALADHNYKRNNSAVHTHHIPIQVCPHGNELALIRINEGTRMHATWNRKCRQTEYSRCASCAELNDTPPPTYQSLC >CRE12403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig856:8193:10038:-1 gene:WBGene00075701 transcript:CRE12403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12403 MDVAVPSSPAANLEWAKHFVAKMSNEQRQLLQQMYIADQEKKKKAREEELAKQQQAILDSLTRQIPITVDVVRATTPPESQASSSSSVVSCYGEEDHITVKDAVMGKLKNASRCVPNGSPKPYRPRTTRERVLFDSHLYVFDKCSYDSKKKFYRCEKKNTCPARLHTPFDSPRVIHKVQVHNHPPPATHDLSHWDIDYGKMRSGFIYLLVPKQSQGQAPSRANQMPHSLLLTPKSDFDGDRSEKSVTPTPMDFLTKLANQLDDKKVVSVKLPAKFSNLNQSEVFEIELALTKFLLSQHDLRNEFINYLTNIPTFFPNAPKNELILFVADFSVPDAPFHMLTVKERNEKSIRAAIMQHLKQVSTRALMVNVCARINVPLSQQMIDEWKTEEFIRLDFSKPNAWKVHRITKLHE >CRE20164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3028:4354:5016:1 gene:WBGene00075702 transcript:CRE20164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20164 MTPSTINRALQTMIEKHNAAPTPHRKRRVLSTSPLVPCPEIGVVFNGNHKKSSQFSPPFQFRPLEHAAYERRLEEIENQRMSRILEDLDQINEILPLDDLIQALQEQADRAEKLPMPIPLRVKLHPQIRRLKRGGRRHSKKHKLQKKSANGPKSGRLIPRVCKPRVHSELMPEHPPPKILTLLLITRLLDLPAEMAMNSTAGEIIAHRRPILYRYVICLD >CRE19320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:213531:220065:-1 gene:WBGene00075703 transcript:CRE19320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-16 description:CRE-VHA-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MX79] MIDNIILLITGTLHQRPISELINKCHPLGSFEQMEAIHIASTPAELYNAVLVDTPLGKKNPARVVLTDKTPSTLYNSQLGISCRPRGLHRSTFLILQFAKFRKLVHEDYKKAILNFLQFEADRRSIIITINSFDTELSKDDRQKLYPRCGKLYPDGLNSLSRADDYDQVKQVCEYYADYKPLFEGSGNGPGEKTLEDKFFEHEVKLNVHSYLHQFHFGVFYAFIKLKEQEMRNIIWIAECISQRHRTKIDNYIPIL >CRE11639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2536:3675:4424:-1 gene:WBGene00075705 transcript:CRE11639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11639 EDESNTEQEDESDTVSEHGPQNESEPDPQDDSEPDIGNGSCQFYLHKFHFSDASCACPCEPRSSRTRHREHHREQHVSDSSQNGPTVEDQTTEPPSVSAEHVPRGLRRKLPTEAPTAVQTSKTKSALNSKATKPTTKAPPKPKPTTKPKPNKSVNPPITNAPKTTKARTTKKAPKPTEPLKPTRPPKTTKSPKPTRPPKTTRPPKTTRPPKTTKSPKATRPPKTTKAPKATQAPKSPKSPKTKKTNKKG >CRE30630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2807:3645:4406:-1 gene:WBGene00075706 transcript:CRE30630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30630 MTTQQQVNQAQYQNKSEAYLNSQVHAQGIEFEKMQNLIQQHQFKRVLDLGCGGGHVTYQIAAFTEQVIAYDITPEMVNLVTTQSQQKGFDHVIGQVGAAEQLDFPVEHFDCVISRYSAHHWQSITQAIHEIYRVLRADGKVILFDIIGNSNPILDTFIQTIETIRDPSHVRNYSLAEWVKIVEQAGFKVETIEKQSLQLNFQSWVERMQTPVESIHTIRYLQSKAADQVRQYYQIQQDGTFTSEAVYLVLSKT >CRE22215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1031:4195:5447:-1 gene:WBGene00075707 transcript:CRE22215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22215 MPEIHARLRQRGQPILLFGESELSVRKRLHQLEIEQPELNEGWENEMQTAMKFIGKEMDKAVVEGTADSATRHDIALPQGYEEDNWKSIEHASTLLGVGDEMKRDCDIILSICRYILARWARDLNDRPLDVKKTAQGMHEAAHHKQTTMHLKSLMTSMEKYNVNNDIRHHLAKICRLLVIERNYLEANNAYMEMAIGNAPWPVGVTRSGIHQRPGSAKAYVSNIAHVLNDETQRKYIQAFKRLMTKLQEYFPTDPSKSVEFVKKSV >CRE22212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1031:1433:3950:1 gene:WBGene00075708 transcript:CRE22212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22212 MNERGMLVDPRLDAFFKMEQVDDYKYDGVGTSPPSTSNGIQDTSNGGRLICDVCGDVAFRKHYGINACNGCKGFFRRSVWSRRQYSCRFGGDCPVVKEHRNVCRSCRLKKCFEVGMNPDSVQNERDRNAKNGMGMGSLQSPIQNSASCKELTISNGQIKRKRMRPETIDKTTQTDGKMEIQDDYEFFEISQENPTPPGLLPVKVERISTPPSDLPVPTDGAIGSAVLEIEKAVFCNCPVAVDNSINATKTPITLPFEYVFRQPELVCNRYPMRFANTRVLTPEDLIDGWRRHFTYYSDWCHAMEEFRALCAEDQILLAKKKIILHGWLVHAYYSYRSGCNGICFANGAAHLAEGGHPSITEFYKECMPRYLNYVIYPMHNFQMDDTEMVLVKCIMFFSNESGLSPAGKSIVSAAREKYLSALYNYGRSLKCTTSAQATLRIAKFMIMLSAITSLTHLMNEGVHVTSLFNIIEFDELIQATHKTTPPQHSPPPPMS >CRE19618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2469:4806:5330:-1 gene:WBGene00075709 transcript:CRE19618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19618 MIAFILFQLNILTLSLFSTLILCVGRKKNFDGDGEVSLVQPGAQKVNTGIVTVSSSASKPQNDDFSVGVPPPHIPLADKSDERTLADIESIQSEKAMIREKKKKMAAGGVGVKSAKKNGTSSSKSPAANSAELMKGAKSPGAPA >CRE19619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2469:5499:6270:-1 gene:WBGene00075710 transcript:CRE19619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19619 MPFLFALISIINILSVSLILVVCGKKENENNGNTTGKTENQAAANRSNSKEVQLSFSMDQPVTPPKRTDIYHQVAESFAFPASSKCKNHDDAWRILALYLDYIREVNQTDFERFRMLDSALAILHDFRFVEQSVQVSNETLALGVLYFLINYHKIKVNLGSPSHPWYNILCRNAKIEELEAIRLLIKQEMLGIDGSDSGASALEVVPWK >CRE13750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:11661:17700:-1 gene:WBGene00075712 transcript:CRE13750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13750 MTNVYLKPVNDNQTNKTGDNSRNTMSNSQCEMTWKPVARTYAQAASTNPADDKTVTVLGCKYNLLKLGNTPQTSKRSPPKPSRGGARISSVYTLTDELEITHREEGKITFAIDLPNKNNILCPLCRECTQTRGRGSSFTKHMKLHVKEKHQLDATFIYKCSMCNEYEPEKKCGTKWIQTHLQKVHNYKYDESAIVVPVPRLLDGCRRTSPTTNQKVSDVLKKAAPSLQRPERAVRKGYTAPPVEETTPEKILRVEAMEKMPQTRAVTKSLSVLKESVKKSVKKTEEKQMGKKVFSIFSKNGETSSPASRRLSVAPVRTNSLGSVVDLSNLQGPERVKAAKLNAQISARMETKRRRSSLSVLKPQKVSGKSGKEETNRISEIIPEDSVVSRENDWNESGVLNLTFESDGSNGYVGKRFNTWCLDHEDSREAWLSDEYLEGIQRAWDYKVEKYTKAYKYLEARGIKVTVLPIVVGSLGTWWKPTTNSLLQLGIDRKTINEWIPKLCAATAEYSKNIYWRHIKGDRYQSIPMKFGLDKPPGNSWKRGKRRKPPKPAKN >CRE03589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2450:4621:5468:1 gene:WBGene00075713 transcript:CRE03589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03589 MKPVVVLLGIFLASFFSVSIAKQLNKEEYLKTINEERRDFAKKAGIPDMYKLEWDDLLATRAERTLCDSKSQSCRIALKAGNAEAKRNAQDQLKYFFPYTTRSLSELKIGYEKFHMNGHEELTPGQKKIGCAPVTTKQNEKNEVTGYGMFEFQTTCILEPESTGESWNMELGDPGSKCTKGYENDDGLCSPVAKSEKVSSLENSQNGTDTNSSGINEKTYGISLVFLLFVIHTMF >CRE03588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2450:1759:2616:1 gene:WBGene00075714 transcript:CRE03588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03588 MFLVLFGILATFVHYSTSTKMMVKSIANKEEYLKSINDLRRKYAKDSRIPNMHKLVWDDYLETKAQFEDWTEDKKTNRGSRRDGNAAAEDFRKRQIARFRPFSTRKEMITYGIQYHMIGNEDLTPHQKRIGCVPVERVEDYKGKSFKYKTLCFLAPEGTFDSWNMELGDAGSKCTKGYENDDGLCSPVAKSEKVSSLENSQNGTDTNSSGIKEKTYGISLVFLLFVIHTMF >CRE30610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1728:3397:4583:-1 gene:WBGene00075715 transcript:CRE30610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30610 MDPPKQFPILRLPFLAMEEVFKAMHPIEIINLSMICKRAIAITKQMSFCPKYFIRLCIDETLVIEMHGTNRLVSCTYLMTSDKQMDGKIEEYGNVYITRSVYKYSTDPIEEWKQLSKHILEIFKKLTIDVLTLHMDALVDQNISIIDFLRTNVKSVDLCYLFQWEEENDVDDHAAYLLSNLKVNNELNFYVNIKNDNFNGKIPKNLKELHILNSQWIGYEKLLEIDCEHVSLRDNQISNEEWNMFLKKWIAMETHLNLEFLELGFKDSEEFKALVLHDIPHEVVDEGVKRNLIIFPDETDEINGGIDIRRIDGKTGTFFVQCNVFSMSVN >CRE15197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1267:14411:15395:1 gene:WBGene00075719 transcript:CRE15197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15197 MKNSTQNNKTDLKRRQSRRMAEKVESTQQQIRAVNPFAIPVTDEMRELVWFKLPASFDMPLENLNPWVTKEFKKWERRRPTPEEEPVKMEADGSMDQPGVSAEKVAEKVIEKEDVDMKEEKKVRVEPPVRLEEITQENVKELKGVIESTMTNLFEDESYEGAIALKEHGRLAFLDGVPVGYVMTELYENRKLMVTSIGVPFAHRKCGVGSVLMKHVQNLCEQFCMVKKLSLYIQPTNARGIRFFESHGLKKKERLRTYYSGEPREAWRMSKRIRK >CRE20673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5073:74:2185:1 gene:WBGene00075720 transcript:CRE20673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20673 MSQDSRTSDDTTGPSRDGVIDGIEDTQIMSQNVRKRDKKKQKKILEATRLAAKRKSENDETRVARLQKNAKRSALFRILKAWERIWNEWRKTSEEKRGRRQSGQNEYQQKEDLQSQPDVPEKRHRAIAVRQTAARSKETSTQKLTRKAADRARKTKRSSAFLGIAATDDRPDTYYCGRMDNECSFCGALYFKCKFSVMKRKRGRSISGETTTKGDFTACCMSGAVKIEQKNIPHDLKKLFLANKDFKDQNLWKESKNFIENIRQYNNSLAMACMKADVQLPTGGPYCYRIHKQVYHLIGDFHPGIGKPRNFAQVFIMDTEQAAAELAGRDMNFSCSKELFEKLISVLKENHPHAQSFQMMYEVENKEKEKAALYQESTANEVAVVYVGDSDEIPGKRGTTVYQRSGNINSILLTDPNCDPMTYPLLFPTGQFGWHPNIEYTKSRGKRQRVTMREFYAYNLHVRKEFSPLFRSRKLFQQYVVDDWTRVEQNNLNFIRNNQSLLHVESLSGLQDYVVGEEKGPVGIRITLPASFTGSPRDMISKYQDSMAMVARLGKPDYFLTMTSNPKWAEIQECLFSGQTALDRPDLVARVFDIKITEIREDLFKRRALGEVSAYIYVIEFQKRGLPHMHMLIIMKPGSKPRYAK >CRE07033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:4929:9632:1 gene:WBGene00075722 transcript:CRE07033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07033 MKCRVCGDSRAGRHYGTIACNGCKGFFRRSIWEQRDYVCRFGGKCLIVQEYRNRCRACRLRKCFTVGMDARAVQSERDKHKKNPKDSNEGSSSPQYPTSATPISIPSTSTSQTPPTTSVTSFNYQNIPGVVSRTFSENLIMRDQSVPQVEPPSSSSSSQQASHVPLVRYLIDLEKATDNLIDENCDFMSMEFDQLCRVDVTIEAAFRQPGVVAKRTPPRWLALERLTTLEDVHIAWCRSFVLCLDYAKIMKDYQELSHTDQYTLLRNRVVSVNWLCHTYKTFKAGCDGVALVNGSWYPRDKELQKQLDPGCNHYFRILSEHLMEDLVIPMRDMDMDEGEFVILKALILFRAHRRLSEEGRAHVKRVRDKYIEALYQHVQHQHRHFSSVQTSMRISKILLLLPSIEHLSQQEDDNVQFLALFNLANLNGLPYELHSSIKQHIPNGDDDTQVNEVTSNNDGPRSSESSHTPLSVSTSQYSDFSSKMH >CRE13247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4740:182:1431:1 gene:WBGene00075724 transcript:CRE13247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13247 MAFLFALFLSWIISVFFEAIESFLIPNIPLLPPHFPPSQTVSIRQPDVPIPSSPFPLFLVPYVPLRRIIDFMEPDSLVSLSFCSQKSHSVIKTQRRALFDGRLSVSEFDSNLSFHTFRNRNCVFSVRDCSYFSTSERINYVKMNRRYVPVETQRSDGNLVSYWSDTTDGLKTITDYVTNLFNIDVSEVCVFKDAIKMIKWVNWRQKEPLKKVVDMNWGVIPSEEMIYILKKCTTLSEISIHSKAPPNFRISGSFRSIDYLDIWYGSWVTIENLLTMDGIDISLGHSNLSNSDLNVFLKHWLAGGCPRLKFFYAAIHSVNILQVLAGLIHNAVFVENRRDFTSPFGHQWTFWDGYDIQRSDGVTATVDYQPPRTLVIAVWPETTHNYN >CRE31637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1967:3430:4878:-1 gene:WBGene00075725 transcript:CRE31637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31637 MQFKMNRFVLLCSIFQFIQSTIALDDGISEFENNVTSRRECWAKGGIISNVWRNSAGHQFCSVFMFLSFSISALSALKMVKVDGEFTVFNLGKQIDFPKNISDEVKKAFEANQPAFLKFDDQDGPNWTPTLVNESVVCETVLHYGCLQDIVINTTDSLDEFNRFDCAELHGNLIITGFGRGRFATEKQPDFSKLKEITKIGRRLIIESVQLDQSLSFDKLEQVGRHEENKEFPSIRIVSNSMQSIHFPLLDTAECSSKPPINCVLTANNHNYSSPEKSMEIKFRNDTLESIWISYVNLDSDAEATKEAELEKEKMDVQQKMKDYKNDYIDWQEEAFGEEASLVMGIIGIILFVAFPGFSAFMLVRGRRKPDYNFKEMEKADEKTQEEKKPEVSKMAENKSSHNDDLGSN >CRE31636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1967:5590:6714:1 gene:WBGene00075726 transcript:CRE31636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31636 MKSYTFVVIFIGFFFFIQECSSLTMQGLFRKLKETRAQEQQQYQGRYPDEARIEADSISRININDKSFIRPIASIGGRPVIPRRWTYGQPISEVFIDENGIAIPVRPTPSLNSILRSRGGLY >CRE31638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1967:6984:7711:-1 gene:WBGene00075727 transcript:CRE31638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31638 MFECNGESVYTHKHGEELRSKCLEIQKNIDVLSKRIAEGADDKPNAGKEQQLRRNIRLSAVNALQGLIGQMESLPTAEECANRIVRRKEKRVKDFARVNRTMMKMSNSMPQLMAHPHLNSTSSVSSLGGPPSSDDGWTAEDNDLVFEPSSIEDDTIRADHPLYEQREQLEKFLKEAILNGRMDEMEILERNIKEIDEEMDRLGLLS >CRE17718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4183:22:2154:1 gene:WBGene00075731 transcript:CRE17718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17718 MRRPVSARFGPVCKYGSKSLPFRDLFFQKLKNFLFQLFGSLPVYINQCSYNLLRVYFHTIRDGYRSALFLPADKEIKLEDGLVSHSQFLFAADENCVIVHASESTLDIRMEMKMKVCCRKKWPLCKIVKVNTQATPDENNQIEVVRAKLKMTFDRQSFRRDKSLVDLDTLMRVFLNTRYIEALYLDEFVSVEELFQFSEQKDFAKLFPVCQLTMVVPRPKNKSDETKFWNFILYFVVDSPLVLVSSRAINHDKIDLVFYNSVYESCNFKHFSIPFNKDGVKF >CRE03542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1010:11768:12675:1 gene:WBGene00075733 transcript:CRE03542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03542 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVTERIRRNPHRSIRKMAKGMKISSRSMERIVKDKLKLTCYRVRKAAILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEELLQTEGPFIKLLIPLILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWVFYKTRSTLNPTQVSRR >CRE19637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3788:100:939:-1 gene:WBGene00075738 transcript:CRE19637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19637 MMCIDFLKMDSEFDIDEFTKNLAGTHPELVEGLEGTSLMDDFETDEFERKIVNEYPELAEKICELDEKTVKEEQEKKVSKKQQARDRRERLEAMMIEGRSVVEKKDETYHDRILEIKGPLELLAKAVKGELRIEVRTRAVDRIDRIFEGILTTFDEHMNVMMKNVTETVRYGRKAKKEVGARNRVQEYLPEFLRWKEGGNWPMPIGANSLVEHRFQRSCFVKGDSIVFVRTLS >CRE19638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3788:1994:3034:-1 gene:WBGene00075739 transcript:CRE19638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19638 ESSKGLSALLREEQEQIPPPSSNNSMDVLKQLFGQMPEQRQPAVQQFQQHNHQQSHHHHHPLQQHQDHSFLHQLHAQQQHQQQQYAADMSRQQDYMFSRMMSQQQQQQQQQQQQQQQQQQRQYEQAQNFSHPFANMQHHSPQQQQQSQSSQPSSLLQELFNRQQQQQQQHHQQQQQQQAQQQAQQQQMYSGLNSYMYNDMMQQRVPFGMAPPPGLGAPGSNRSSSMQQTPSHLSQQQSQQQHHQQQSMGLFGMGNHMLQQESQQPQSAQDAFKALLPNVNVRFMDDSSMARWSHENSLRSAHVPPPPGFSSVLNR >CRE06646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1039024:1041161:-1 gene:WBGene00075742 transcript:CRE06646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06646 MTFMTSALFFCNGEPLSIYHFHMKGYLLGRSNDIIHNKHVKTNISRMRTSRSNGRIHKPMEVPAGHNDTINHMSVIRSGMVLSCGKDGRVVLTNTDSGEIHQTWQTSSEINKIGFRNFTANHSFIAAGRNGTLTHWMLAGKVGDLPNGVYEGHTFGVTGVVTVNERQFMSGSRDCSVRLWDLETTQCVLNQTINRNLVTHMTLNACNNLVAQTSEDKSVRIWDPRCLAVVTEFPRKRHIQMYCEFEGEHRLFTCSNGFNHDGCEITSYDIRNPRQYKESRGHEGNVTSLAVVQLENTKRFLVSVSADKQIRLWKMQEDGENVLKPIWNEDVPLEADNLQVCTYPDGHIIVSGGKGRLIHYQAKVAAQRVILEVQLVQKHKGILTKSASVPGSR >CRE27932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig764:11587:12241:1 gene:WBGene00075743 transcript:CRE27932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27932 MRRICNNNADLVFEFLCNNQHYFSICTTFIVYALMYNMEKLSGSLYWNSAAIGASRWVVNIIVSIADYKLHWFGRKLINILSMLFTLASLGVMAVYMYTGHGGSILAIGTTVAIAMCSQLFIAKYLMVNELYPTAVRNVAVSAVSTMSRIGSMFSPQLFYLVSDFIKKNTEFGFNCR >CRE05355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1892:6491:7830:-1 gene:WBGene00075744 transcript:CRE05355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05355 MKLTQIAAICAIASTATLAQAAPVWQDFSITGLYGENYELTPDEKQSTITFEYAAKLKYGDFFAFADRTNSDGQSDTYFEVSPRLSLGAVSGKKLELGPIKDVLIATTWEGGKDMNNYLYGVGVDLAIPYFQYAQLNFYRANNEIQEDDYQLTFTYGIPFKIASEEFLVDGFLDWSTAEKDQVAHSSELNWTTQWKWNAGKHISPDTRLYLGVEHSIRPAQASDLTEIMRIYNHEIATGLATWNSQLKTFADYQQWFLELQQQQFPLFVAEETATHAIAGYADYASFRAINGYLYTVEHSVYIDPKFTRQGLGKRLMLKLIEHAQQHNIHVMVAAIDHENTGSIYLHQQLGFKQTGYMPQVGQKFGKWRDLVLMQLNFD >CRE19543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig363:14451:15873:1 gene:WBGene00075745 transcript:CRE19543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19543 MYLLFLPLVTCVSIFTKTEPSIEFDLFNVPVETNFFGHFEGYNMFGKPKLVHFHQFEDTLVDNRSQTYKINKNCTFDVIGDQELLMHCFGRLLKITRNETHLLDIYSDLFTFDHVHRQIYLWRDPYIYKLEAGDSNPSWRVESLQDFNVVSGLLTILFTNGTIVHNDSVLTNSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQSVMSKPYNAMMINNDPNADPCFRIMENFLHEFPIVYK >CRE30587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1288:681:1367:-1 gene:WBGene00075746 transcript:CRE30587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30587 MEDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSAPDVSPGKDSDNASHDEVLVGALTGGSAPDKSPEKDSETSSDNTSHDEVLVGTLKSGKQGPEDGPEKDSEASSDNTSHDEVLVGALTGGSAPDKSPEKDSETSSDNTSHDE >CRE13834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1501:860:5284:1 gene:WBGene00075747 transcript:CRE13834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13834 MSSLECSMDTLDEKLVKALDECYHVAVEHNTHVNHVLYENSKEEVESVGEESEEEIAEWSFMDSLLFAFTVITTIGYGNVAPRTFGGRLFVIGYGLIGIPFTLLAIADLGKFISEMMVVAKTFCKKTWKKLKKAWNPNFIRYPKLSGAKDLSNTDIEEKILENEKIENEIESSETSEEEDDLTETEATSLFILFLLYIAFGGMMLAAYEPDMDFFKAVYFNFVTLTSIGLGDIVPRSETYMLITIVYIAIGLALTTIAIEIAADALKKLHYFGRKIENVGNVAIWFGGKKITMKALVKNLGDQFNLPTTVVKSLNLDHFVDQAIKPDLERFDAEFADEPESEWIRDPTPTPPPSPQPVYRLPSPKPKTPSPLPSPSITDKSMAIATPSPEESEDDEELILPSPEPSPIREPTPSPPPREPTPREPTPEPEPYREPTPPPPPPPKPRPLTAAEIAAQKRKAYSEEAWRRYQEYQKQWKKFRQTQKTPAPGASTSGASTSKQSGASPEGGGQAGTGSSTRSQSVTSVASGKTSRSATPESKKSSHPSSSSRRESGAK >CRE10136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1122974:1123811:1 gene:WBGene00075748 transcript:CRE10136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10136 MYAASYAPVTTVIVDASKEDRVCPFHSCQRYLIITAIAIIVVSMFTVICSYGIVVAKMAQIAHSEKMHNEPEMTRKRMHKFFKFGGHLALYTLIVSLIFVGSLFILHNAEDYHQVNRMIAINCDVYDYLNIKLRLETIAGGAVLLWCVRIIFDVVFSFLSEIRLLPWIRLDNLQALDSNRIVSVRHGLGWQKGCVNCPVFNIENRLHALDFKN >CRE15144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1722:171:1242:-1 gene:WBGene00075749 transcript:CRE15144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15144 MAKNKIDNTSLALDKDFTIDQLLPKELVDHYMIRKPIGVRVHKQDCRITHVLPESILIGKAFLGDCIVGIDDKVITSGDELHTKLKEKTENIHLSLKRNMFSWCFHIRTTVEKIQIDRDVERITPNPVNHYFVLIRLRPTPEMQIIDLGLFVKYNNRDRLEVDYVTPNSLASIHLKAGDVIREVNSQQICSKSMLRYHIANSIASSGVFTLLVESPIRDTVRDHIDLADDVVQIADKAAEEFKKLGKGYVPQPPRKANPKRNIKLKEEKTEYPIVSDIDPSKLRPCKNAS >CRE15143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1722:3565:8031:1 gene:WBGene00075750 transcript:CRE15143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15143 MASLQAFRPLQLNETQVCVISSYPPREMSEINDNSAEHSAAPCCPRRTRSPLSKRIRQRETLAIGGMSDLVEFDHLIPFEADLNPEKNGIRHRVFSTFYHFGAKLYDCLYAVAIEIPEDGETVPISAVLKSLNYEHFLTPECRFPPVSKLLDVIEKREMDDCQILFKISDLIEDHKTEKPETFGPYDPSNPNRVPIQCAVDAATTVASMAYCFLASSFAEDLMKAACPEAYGYDDEEDESDEEEDGTEDDDAVKPPKEKKIPILNHRKLPPVEPIELQQNAMLLLATRTGIEQFLILANEIGKVQFQGHKLSTITPLMEAAASSSELIVNRLLKMGADPNVQSVPNCNTALIYAACTDARDVVREILMSEGPIKPDVYLINNFYHDALMEVALVGGVDTLKDFLDAGGVDNDKDLNDAGNPPKLLDFQSTTRVSFFFSFENFQSLKFKPKKFQQESALTLASLKGYSQIVSTILDYHDKHPPTTSDDLRDACLERYSALMEAAMEGHVDVCKLMLSRGTPTEMSENVHIEAQSPLLLACSGGYPEIVEVLLAAGARVDEISNKNSTCLMEACCGEQGDQVSVVRLLLAKHAEVNYLHPDTGDTPLSLAARFGHIGIMKLLVEKNGDLTAGKTSPIVEAAAKNKLECVQFILAHCKTIPQEQLSRALVAGADTGCLQIVEELVRAGADMNFEQDERTAMMKAAKNNRYDVVQFLVNKGASVNFKSSKNDATALSLACSEGHMEIAQFLIRNGADPMLKMDDGVNCFMEVARHGSFD >CRE03602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2930:2988:4202:1 gene:WBGene00075753 transcript:CRE03602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03602 MDLLDMIYNLSQNIQEDDLQHLQLFTEYGRLALEDSAAKPFQSLLFLVRDWSFPYEAEFGFQGGQRVLDRRLEVSEKQHAELQQLRQHIRSCFEDIRCFLMPHPGLKVATNPSFDGKLVGKQGK >CRE24365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2755:40:5209:1 gene:WBGene00075754 transcript:CRE24365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24365 MSASREIPQARVPLGSVEHDHPIPRETRGLGARPRVRQQRRDGEQQARARILQLPGEILGRRQRRHGRDHGSRPQDPVERSDERRHVRCVQRHHVSHPDAAGGEAARDRQDLAAQLGVARLGAARPVDQGHPPELRLGQSAEHGLGDGNIRDLDLPIGTAERHVVSFDSSRSSSAMRPPRATVPIRFRGPAPTAMAPVGGSLELSPIEPSAEEPQPSQPPSRQRHRRPHREGRGRSGACPGAVARLRRHRLVDRGLHGHAVLEFLRLRDVARFDRAVALRSARRRGSRCDALPHVRRARVARRSVPRPHPAAPGAAGRSARARHPRSARHRAAARDGARALARARGLLLRQRAQHQPHPHGAARRQSRGLVGALGHGAVGRLSAGRARSDPVRARPRAHRRLAAAARHQAARLGHRDHGRHRGARHPAPSSIEREPAALFGADLARSLLAGAVRPATAQDQEHRARTEQDRGRDPEQGEVLAAGHGQGSAGLARCSARGLGRGRSTSSGGRSGVRAVGAGSTGIGGAGRPGVGAAVRTSTGSGPGARVPVRTGVRTFARTRGPIRTSTSTGTSTGPGTCVRTRISARHRDRQFGDGLTVTQRHDGVGAGRSVRGHGHPLRERAVLVGGRRADGHRRGVQLERELLVGCEARSGDPDAAAGLHRDRRSRVAPGHRQLRHGRLLGLGRQRDVDVLRLPGGPAGPEVVGGDAQAILVESDAQADRIGAADRGLVPIAARAVLGRHGRRVDDRRAGGGARIEALLDRPVVELGRPVGVRGEHGHVRVLREQRLDALGVGAVIALVELGVGARDGVVEHVVRHEQHRLALRFPVGEALAQPGELLIGEVDERPSAPVVHVALAAEPQQRQLSVDELLAPEAALAADLAHARLVEEPLHVGGNAVGSVVLVVADGGDAGGVVLPTAGVEGGEVVVVLRRGAVARQVAVGDDGVGPG >CRE13182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig900:1386:2253:-1 gene:WBGene00075755 transcript:CRE13182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13182 MGCIKGSLNSIPPTYSNLSQFKGSWRLDIGLMVLYQMTLFFSVQMLFVIFLEFMPKTYCTDDDYCYKMKNKCLTDFDRTPDSAICPYNSSNFNDCVKEAKRVDFRSAQFDYQQDCTGLKHFSSSTATFIGTLLGNLVLGYLSDTIGRRPVYIFSICLGVPAVILSAAINGVMNFYIFRFIVGFAVAGTLTVGWTYASEMITPSRRFRLRTFPNWVSLVLLHICDMPLNNGRIKMNKRSS >CRE11633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2537:37:1036:1 gene:WBGene00075756 transcript:CRE11633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11633 MDPPKSFPLFRLPFLAIEEVFKAMHPYEILIFSMISKRSKGISKQMTFYSKYSIELRINETLGIKIREPNIVVLCLYLRTSCKQKDGKTEENEGYSYNLRRIFKYSKDPVEEWKLLCEYVLEIFKKQTIDVLTLYMDAFVDQNVSIIDFLKTNVKSVNECNLFQLEDENDVDEHAAYLLKNIKVNNELSSFLHIKNKNFDGTMPKNLKQLLIQNAEWIGYDRLLEIDSRHVFLINDRITNEEWNMFLKKWMATETHLNLELLEFELKSFKDFRELVFHDIPHEEVAEEVKRTLIT >CRE05288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig652:147:2080:-1 gene:WBGene00075757 transcript:CRE05288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05288 MNDKRVFTIISVQSAANFPAFHCSNVRLFRSIVSRRDPRSLAPTPVHPLITGNNEKCEKYEPNRREVFYTHRRVVFYAPDSKCNYEVEVIVFDTKRRRSETKKIKVSFMKYTYSKSFLFQIVRVNEPSYMALAILLIATSIILFFIILIVMLKKRNRSHRGRKGETVVYAYVDDPKRNVMKVRPPGSRFMPVEYINRDVEAALTQRTAGCNLRDGGKNNMFQVLPQPIGDNVSENQYDYTTEYQVESDLSDEVFEEDICISTPNSSFRRFDSPSFCLMAPIAPFEEFDDLPAYAFQNFRFGKPYEREGNTYCLMTTAVDISRGHCYSLKYPKDYCKFLYRSFFTKHSCLAEPSRRAMRRELEILRILPIHPNFVRFDGVVIGRWENIPYQITGILMEECQGGSLYDYINSAGYVLRRQGMRTPNDHHLPITEGSPNMSSGYDSFTSKGRQSPDQNNQKEGSSYQQVSSPLCSFTEQISRALEHLHFAKIVHTRVASTSVYLTSDYTDPLEMPSEQMVKLGDFSYASGSNDAIVADPNLQPQAIFILIVMLKRRNRSQRGRKAIIIIWCIILILNQWSMWRVAVASRIVCDVF >CRE11080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:615094:615671:-1 gene:WBGene00075761 transcript:CRE11080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11080 MLRFLLLLFSLFSFGSTINDPWDHFEFAITFHCYDKGGRSEYNLVIEWWEDDALPTMEPITQSKFIRAKTGNFSFSMAGAMDGDEPWSNGYSPVAYITHDCERYAQPIELEMHVNTQCKVGQKSCYYRIIQDITSQEGKKYVNADALKMGDLTPFPDFHEDL >CRE27960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1164:4:1417:1 gene:WBGene00075763 transcript:CRE27960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27960 DGLIISLSIFALCLLPHQFTVLALRPAVTLATVYIINCESHDNLILSSKLLGYIGNISYVVYLVHWPVIAIFPPLSTQNYIFLIIIIFVSSITIHHIFEQKYLKLDWKALVPFVFILVLGNVFLQNCIREHSFWNATYPTDVQRIVSMNKAQLPNFWASDPQMKDCTEEVLEDSIEPSRNYGYGHCQHFLFQQGHGNFSIMMLGNSFVLNFMNPIRAHFHQNYSDFRYMSFSGGYAITSDSGESRSSMVVFKKHVEQFKPDVLFIIMKHSYNVLFPILENDPIVQEMEENIKIYEKFAKKIYIMDHFPTFEENFLNRFLQNVINRPDELEPLHINRREHDKVMKFFFDISSMFAEDDKYLTFDRDELLAYADNTGHITAAGVKLCEPVFEKLAKKVMDNV >CRE14838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:75374:77807:1 gene:WBGene00075764 transcript:CRE14838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14838 MRQDIQCLRGLAIIFVLNFHLSPNLFVNGYLGVDIFFVISGFLMAKNLTNLNLLDVHDFLKFYYKRFRRILPLYYLTIFIIIIMVHLYLPDFLWENNNRYSLASLFLITNQLVIHDQGDYFTELIAVTLITIFGFIGFAIILDKFAFNFLFLRLWQFSAGFIALFYIKIRETRLLPKKSETPDEGKYKFTSPISQHDLVISALSILALCMLPNVIPKLILRPLVTLATSLIIGCQSQDLRILNSKTLSYIGDISYVLYLVHWPVISIFLTATVNSYLFCIVLIFILSIVLHHLFEKQYLKLEMKGIFPLVLLLIATNAYLQYSIRNDSFWKYQYTPEQKSIIERNHETYAPLYDIETRQSKCMEKDLEVEFEKHYLLGYCRFPPGKGNTSVMLIGNSYILTFVNQIEEHFKLNYTEFRYLSIIGSYGIFASSWKLSHQALELSRKQVELHKPDVLFVLPRYMQDANYPIEENDELVAEINSNIEFYERFTKRIYILDALPNWSENFQTLFLQNFITRPDDMELLHLNKKKADLEMKNIRRRLKMIKCNKCKVRTPRNSKSRTFLQVFDLSHVFLEDDKYLTFDRDTMLSYIDNTVHLNAAGVRPCDPVIKNLTREIMDSL >CRE19625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2669:387:2058:-1 gene:WBGene00075767 transcript:CRE19625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19625 MVVQVDRKVRDRLTLPASVTEAEAETAALTSSAVQRAIGDREIVNTVVRVPKIVSIVTNSRELKAAPVADGGRNYKDRPDSRQSYHSFVPSEHPPTDNRAFYNDSDHSRSQSFQRQSSYSDNEQNAAQEEPSRASSRGQTTSEEDSGDELKNFPNENPRNGVTSDDVVPGSRRQIKNESNINGVEDSEDDEEDYQSQRSRNDKTASDKYIATLKYLTNHESVTTAMKMYDLQQYEELKKFENAQKSSGGLLSLQQHSETETSVEVLAQKQKKLYDTLVLFISSSEVSIVMKNYDAVEYEELLKTVNSVPYESSTYSSEEQEEKMLKLKCEILILQTQYFAEDPQVFEAWESTDSTKCQFILNELWQ >CRE18380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2603:679:1296:-1 gene:WBGene00075771 transcript:CRE18380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18380 MIVQTRTRVNRLLENGVPAYQYEFTYPKHADHTDDLFFIMGVHPFEEDENEKNIGNVYRESFINFVKTGKPGNGFEMSDMKTSSYFEIYWNETSGERPNMKTDFEEGIMDYWTREMVEFDENITKFKRENHLILPSVRALPIEYSVFPFSYFLFFLAPFLGGFLVAKYCCSGNERNLYIQLDGNDYPVKS >CRE18381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2603:3129:4173:-1 gene:WBGene00075772 transcript:CRE18381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18381 MTSSISSILFVVSCFAALASISEACFASGICGGGCPPPPPPMCSGGCGGGYSCGSYGCYRARARGSKTLSFKKKSEMNPDERFMRCCEERNLPDSCLSKCSYRSYTKSALQSMYFKQDSCPMQAAADIQFCAAQGEDHTACCARNAVGTTLAGNKCFTFCDQRPGNVTQLDMTYLACYDRFENMKACFYQKASETSDPNVSFNNDREFAVDTETAEFRRPSQEFRAPASPQLPQNPLFAVQNLLARTFGQ >CRE26938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5484:1277:2246:1 gene:WBGene00075773 transcript:CRE26938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26938 MTLSYEFSYAFTQCGFISTTIANTLFIYLTILHIKKITGTYKVMVLVFSLMGIMFSAWELVARPFAHNYNKALMYFSLNTWLGASHEFLQFAIILYASFYLIILAIIAVQFVFRYFTLCRPNFARKFGGFGVIVWISYSLISGAIYGGSLYYFCHPDNFSDSYMRDIIADSYNLTITDVPRFLMIPYAEDGSVRWHNLNFLLAGVAILGLQYLIIIYCGVRMHTILQKELSQQSVVNRKLQKQFFRALVVQTVVPTFLFVLPSPISNRTSR >CRE13869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3061:3238:4443:-1 gene:WBGene00075775 transcript:CRE13869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13869 MQSRNQNEIVVSNILQLILDVTTKVSIYIDNEDESNALYSSLLQIVDSYRNDQIKRFSTFTADDEDKAADLVSRFSQPRSTETEENCALFIDILSNVLSKDFLTLGEENCSTGAKVVIHSLEMLLTIMNDRVLQMPEVALKFFRLILYLVEFSPESLAEMSDNLMSSLCQCIRLGMTGQFGMEITSTSLESLTEVVLHYGIESNKPRCTQNLALLFKEMLPSFFDEYVNNLLSNRSNQQARGVLEAAFTELMTVTPEAGNRRGRVQFRSRMEKFLNGIQGLLSYT >CRE13868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3061:1357:1830:-1 gene:WBGene00075776 transcript:CRE13868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13868 MQEEDYDQGETSTKKNRENGREFRNHKPDEAVGTQNTALDLDIVQREITDHLKDVLHEKAIDSVDLAMLAPKKIDWDLKRDIESKLQKLERKTQKAVATIIRQRLAEGKGDLAATVNAAAAQNL >CRE20172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3147:1627:2646:1 gene:WBGene00075777 transcript:CRE20172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20172 MNTQREQLIQTWLTSVLSSDQFEINFLAGDASFRRYARITLNNKTFMLMDAPPEKEDCRPFVAIDEFFDTNGVRVPHIVAKDLDQGLLLLEDFGDVLLSTQLNDQTVDAHYAQSFKQLVQLQSIAADSSHLPAYAYEKLISEMELLTDWLLPSLAIQVTDEELGLIKRTFAILANAALAQPQVIVHRDFHSRNLMILEAEKDQGVIDFQDAVIGADTYDLISITRDAYVQWGPERVYGWFKVFYDLLPETAKQDRDFDQFKQDADFMAIQRHIKILGIFVRLFERDGKSGYLKDLPRVMWYLLEESKPYPELQPFMHFIHARVLPKFEEKYGVYEVIAA >CRE13891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6661:150:1466:-1 gene:WBGene00075778 transcript:CRE13891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13891 MKTQKFKKLFKASTLALLISTSVSVYAAPQDQIIAIVGSTAILKSDLDQGVAEATHRLQAQKKEIPPQNILQQQVLSQLITHDAQLEQVKKYGLKADEKSLNEAVLKVAQQSGENSLEAFQKKLDAVAPGTYELLRNRVAEDLLIQRLSQQQVMSRIKITDLDVENFLKSPEGQAAVGSQVHVLHMRISGEAPASELESIAKAVRTDLKDSNDVKSIEKKFSTAQVKVDGADMGFRPLSDIPTDLAARVTTLEPGQTTDLIPAKDGVHVLKLLEKKSNEQKALVPQFNTRHILIKTSEVVSPENAKQTIDSIYNRLKAGDDFATLASTYSNDPGSARDGGSLGWVSPGMMVPEFDKLMQSSPVNEISKPFQTQFGWHILQVTGTRQQDMTSEYQKRMARQILGERQFESEYDGWLRELRANTYIEIKDPSLDPKKNES >CRE16566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2025:942:3036:1 gene:WBGene00075779 transcript:CRE16566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16566 MIFPMFSLPLSHTRILACSHGNHEREGPLSASALCDMFLFSLVLISSLCSADIQDVCYPSVVRHRIDSVFPTTRLFCVAKRSDEWEYSDVEIVNDADQWNEFSKSRLKSEKPYPDDSLESLYFGNPTEIRLLKLPASITESDFCSGTQSVELRRNVSFTCKPLVTSDMISQCTENSFLNALSLFGNGEFFERTDNKSIQIPRLIPEQLRSPVWNGSACNSVLRSVILLFHTNETNINGVEVYVEYSNLPGNVDNNWFEQEFSVRWIPVIRNNEETNSSAPGIGYKAGEQVLCQFHSQFQLSAIVIQQRFRHLQFYFYVQSYPCARFQQLIVKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSCIIQEVSPR >CRE13236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2700:2600:3448:-1 gene:WBGene00075784 transcript:CRE13236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13236 MNVIRCPRRIGVKYMSISFDSEEIPQEPIPLVYRVFEAPIHEVSVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYFTVFNMNEYSRKIIDEYLRTGEIELTVIQAEYKTIDWQFHLLQINVISFSSLLIFFLTFQECHQRSKHHSKWVINVDIDERLVILDDKIKSVGSLLSGYNNTVAEVGFAIRRIQKTEKLPEKYESDEQVGGKTIKRTM >CRE06268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2853:3206:4187:1 gene:WBGene00075786 transcript:CRE06268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06268 MQHEWNRHDYRNLKAPTCKYERTSGPFDWCRHEGLDKKNGKFKLMIIGNSWAANHARIFYDECGKKANSIVQFTIAGCDPFVSYRFSAKTCIPALKTFVEAVKKEKPDYLFSFSRMVDINDPIPSNSTDDPIFKLMRVQKNQLVKHVKRKMLLLNALPDISYTDVSKIIKTVKNGKDLNQFDKSLIAVDATIARERYSKLIAECPKCELIDYKPLFYNNSTKTWRFYDVENGGLSYFTSQSHFSFHGLELIRKVYTDICNKL >CRE09948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2859:771:2163:1 gene:WBGene00075791 transcript:CRE09948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09948 MGSATTLAPEATIAELVRSNATTDVAEVLTWVTEVAELTRPEAVVWCDGSQEEWAQLTSEMVEAGTLLPLNKDLRPGSFLARSHPGDVARVEDRTFICSENEADAGPTNNWRAPAEMKAELQPFFAGSMRGRTMYVVPFSMGPVGGAISQLGIQLTDSPYAVLNMRIMTRMGQDALDGITAGSEWVRTVHSVGAPLEPGQDDVEWPCNDTKYITHFPDTLEVWSFGSGYGGNALLSKKCFALRIASVMGRNEGWLAEHMLLLKLTDTETGKDYHLSAAFPSACGKTNLAMLQPTIPGWKVETIGDDIAWLRPGKDGRLYAINPEAGFFGVAPGTGASTNPVAMETLWGNTIFTNVALTDQGDVWWEGMTDEVPDHLIDWQGNDWTPESGRPAAHPNSRFTVPIQQTPTLADDWYEQDGVPLDVILFGGRRATNVPLVAQSLSWEHGVFVGATMGSEKTAAHEGF >CRE26030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2725:1699:2882:1 gene:WBGene00075792 transcript:CRE26030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26030 MSNIFVSDRKIELSAKCFRVASTKGHKSQHPTVPETIKFNITHGFPKIPKSFWLSFEATMSRNTRVIMSVMRTRTLQEVFEESKLVISQLTEEEIYEFKEAFQLFDKVRMILKF >CRE13208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1620:3799:5050:-1 gene:WBGene00075794 transcript:CRE13208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13208 MIRVALPTSAAAIPRSISTSASETISKNQEEEIRRIWRKAEAVCFDVDSTVCQDEGIDELAAYLGVGEAVANVTRTAMNGNARFRDALAARLQVMKPNNQQLEQFVNITKPKLTVGIRELVGRLHARGTHVYLVSGGFRRLILPVAELLGIEKSRIYANEILFDKQGNYHGFDTSELTSDSGSKEVSLIKSSNKAKNFFAIQTGKPAVIALLKKKFQYKTVVMVGDGATDAEAAPPADAFIGFGGNVVREGVKARAKWYVTDFDVLRKDLEHDDSDDE >CRE15560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:286362:297267:-1 gene:WBGene00075797 transcript:CRE15560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15560 MIGLAAERKSDGNLIVVSPTDGFEFSINEDILNINMIEIGRFCRLDVENNVPTAFTVLENNEIGDLKVNVEKDSVEVSGITGVLTSKLALFYKTTEFGDIACKDVTAGNVGATYKLIISRLPEDQRTGMYSKFVWQGISDGMLEDTKEQKRKSQSKNPSLKKGDTNKKEKEINIENGTKKTSQEKLPKYITGLVTGKHPTSNSCYVSCSLSWPGVDGTAWYSKRDQLKIGDWVEIRYSEADFNEYFPPTPTAETPKFIISNYKKIPKPANYSIVATNSGTEVRIKKFQVINDHEKGNDIEDRFLGTISDGKKLVPDGPCAVDVVIKRRKIFKTASENFTTWFVKECKKCVVGSEKTDNERSPSTESRQSRKKTSEKMKKEKDEKNDSKTSDGKGSVQSISTPQCAYPPGMPFGVPMNWPVGHPGLVGFVGATGSGLPMGQVSPPGYPNYLFPTPLMQPMNGIPLPVFPVNPGFSMQNPSTGIDSKTKRSGNVSQMKEEEEEEKVIKRAVVTSLIPNKKNKYVSVAYLWLLDDHEQSIFYTPVEIKYDTNKERAKIYPGFFFEGYFEQSEGKWQCKKFKKPLGKLLSGIVNDKSIEFQMTVHQYYPQSQDRLNPETHHVLIGKIIDKLNILPTDCSSGVKICIKMWNLKERNSWCWVVSKVYQSKHIQLLTEFHEAWHKPGVRDMIGQRCSRAFQSIRNLMDTLSEGKISYAENQWKLDSMKTNVETKFAWVTVHDSDFVRMIVFPRSEGQGVNKMKQNVTDKTIYEKVARMRVNRLYRVLLDDKGEVTQMLDCPQYFYADKAGEIEFKFISSDQTLLTNNVALVDPIGMPKWLGKLMFCNPESPITKRKVIMKGMFRLLDDKDIFVNDEIVSDKGVCFINTGSVEKLPNQNLDEVSHDEDRVFDRKIVKHEQNVFRTRLSHLSNEVVFDQFKQVCSILRNKEVITEMKKQNIDTDSLVKLQSTNGSWQPAFVMSFVPPDKYFFVIINKGISAILKGDHKVQLGEFYDVQYAFNSQAKEGNRAKHLIYGLRPINPLAQVRTDVDNLGRTHIILTTTAKFEGLVESKNKRVVAKLFNDTFGHFIDLGNMVQQRNKLVEFEFKCKASERDESLSIFVPTRILGYYDLPIEEEPRPSRANDSEQESFKRNVVGFVCSKTQKDGSRYVWSKDLDFDVRLDWTVCPDEDVTGKWVEVLVDFVKREVVNDVRVVSDLFESRLYCGVPEVRTEFHYFEFDRDEQIDVYMSSYFGRIRDPHRMIQYNEGYGSYFGYIVRHKTEHSRIGWIVSMKQNILSPRFERAPSRESLNYKDRPDSRQSYHSFVPSEHPPTDNRAFYNDSDHSRSQSFQRQSSYSDNEQNAAQEEPSRASSRGQTTSEEDSGDELKNFPNENPRNGVTSDDVVPGSRRQIKNESNINGVEDSEDDEEDYQSQRSRNDKTDMLIEIIPIRDSMESCHLVPIEKSHSSMQSKPFLVQQVIPVGTSSVNSGITSLKYQQPQQHIPYHDSRRDYYIGNSIQLPSNYNPSRNGRYSTKARQWSSMSYTGETMEGNALVEKVNQGLKSGILWFFDHSMSVHFLYDDFKLHVGDYAHVKVEQIADPLSAMGFRWKWKSGSRKTPPFACFVDKNQIYVEDQLVYCGLNRQNWPMYKSSNFPCILDVDGFIVNPTIGTQYSATIFRRRIQPANLETSPRYHWTIFSLRNTHQLSTDLFIPQSNYQRSFGSRQAKNLPVTEFVKSSTSSDHSTSPPLKSPSLASDEPTAETPKFIISNYKKIPKPANYSIVATNSGTEVRIKKFQVINDHEKGNDIEDRFLGTISDGKKLVPDGPCAVDVVIKRRKIFKTASENFTTWFVKECKKCVVGSEKTDNERSPSTESRQSRKKTSEKMKKGKDEKNDSKTSDGKGSVQSISTPQCAYPPGMPFGVPINWPVGHPGLVGFVGATGSGLPLGQVSPPGYPNYLFPTPLMQPMNGIPLPVFPVNPGFSMQNPSTGIDSKTKRSGNVSQMKEEEEEKVIKRAVVTSLIPNKKNKYVSVAYLWLLDDHEQSIFYTPVEIKYDTNKERAKIYPGFFFEGYFEQSEGKWQCKKFKKPLGKLLSGIVNDKSIEFQMTVHQYYPQSQDRLNPETHHVLIGKIIDKLNILPTDCSSGVKICIKMWNLKERNSWCWVVSKVYQSKHIQLLTEFHEAWHKPGVRDMIGTRCSRAFQSIRNLMDTLSEGKISYAENQWKLDSMKTNVETKFAWVTVHDSDFVRMIVFPRSEGQGVNKMKQNVTDKTIYEKVARMRVNRLYRVLLDDKGEVTQMLDCPQYFYADKAGEIEFKFISSDQTLLTNNVALVDPIGMPKWLGKLMFCNPEIPITKRKVIMKGMFRLLDDKDIFVNDEIVSDKGVCFVNTGSVEKLPNQNLDEVSHDEDRVFDRKIVKHEQNVFRTRLSHLSNEVVFDQFKQVCSILRNKEVITEMKKQNIDTDSLVKLQSTAHT >CRE18386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3069:1790:4026:-1 gene:WBGene00075798 transcript:CRE18386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18386 FGESVVPFARKKRQTTRPGECVCNSNSACPPGASGPQGTPGLDGTPGQAGEPGAPGLPGNYPSINMDAHQQCRMCPPGPPGFPGPAGAPGAPGDKGNDGAPGLRGPDGHPGHPGNPGYGGEPGAPGNNGEPGEKGRDGTHGSKGAPGPQGPSGPVGQPGFPGQPGNDGNSGVPGIQGTPGGPGEDGAPGAPGFDGQQGPSGTPGEDANYCKCPPRSKLSR >CRE24356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2275:3041:7322:-1 gene:WBGene00075799 transcript:CRE24356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24356 MFARDIGPDSGSALSTQNLYGVHPFYMCIEADGKAHGVFILNSNAQEVVTGPGPHLQYRTIGGRIDMAFFPGPTPEEVVNQYLQHIGFPFLPAYWALGYQLCRWGYGSLDAMKTVISRNQAAGIPLDVPYADIDYMNHYEDFTEGDNWSGFPAYTQQLHAQGLHLIVIFDPAVEVDYASFQRGITADASFIEWARDDQVPHSIQDQYPMAKNTRVMLGNVWPERNTAFPDFLDTKSNTNDWWAGEFAQFHKTLPFDGMWIDMNEPSNFDTGTYSSMEEQLASAKLSCPISGPDSTLERPPYPTQAVYQRDGEYLFSKTLCMLGKTARRSRNFYDTKNLYGWSEARATYQAIPQVTGKRSAVISRSTFPSSGRYGGHWLGDNTARWEDLQTSVIGVMEFNMFGIPYVGSDICGFNGVSNEELCLRWHQFGAFSPFSRDHNSEGMPDQDPAVWPSVATAAKIALGFRYYYLPYLYSLHYNAARYGHTVIRPLFFEFPKDEETLEISEQFLWGSALMIAPALYQGQSTVHAYFPSDTWYSLQPETYGQKMFAGFNDVNAPLSSLTPVFVRGGFILPRQAAMTTTTASRLNPFEVLITVKTNAASSGDLYYDGGDDLIPNDDIEQHPRVHWQFSFTSSIVGGVFSGNCETCSTAVKPPTLDTIEILGYPSAPNFSGFKLDGSTVTLDMSKSSYDASTQKLIVSSKNFISLMALKKKFTLSFSNN >CRE14389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig822:1654:4070:-1 gene:WBGene00075800 transcript:CRE14389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14389 MVHADNVYKFANADITGKICKTNLASNTAFRGFGGPQGMFGTEIMVKHVAEKFGWNHDEIREKNFYEEGDCTPFGMHLNQCNVKRTWDECRVNSDYDRRLEEVNTFNQNNKFRKRGIYLTPTRFGIGFGLKQLNQAGALVLVYTDGSVLVSHGGMEMGQGLHTKILQMAARCLEFPIEKVHIHDMSTDKVPKLMHRIF >CRE01518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3320:1645:3281:1 gene:WBGene00075801 transcript:CRE01518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01518 MNEKSNHNSLSHKPYSCVQLNSFFIRLFIFFLYSEKMKTLALLLLVTFIGLGEPYKILMYTNLFGHSHIKMLGAVSDTLTDAGHDVTVLMPVIDFKQENKTAMKSTKNIIKVPPGQDTAETIATMEKFMTQLWTSDNSNPLFMLFHAPAMSAIFASQCRRVLEDKELLERLKAEKFDLAITEPFDTCAYGNKLRLAFQNYYFYFSELFDAIKIRAHVAVLACSRLDHVSSAIGQPIAPSY >CRE30634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3047:236:1714:1 gene:WBGene00075802 transcript:CRE30634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30634 MQLRLVDRPSSAGAYANNLREFEAIREFMTSASLLTIVDLPFLFLFISVIGLVGGKLAFVPLALIPIMIAVGFFVQRPLARYINESMKESSQRQGLAVEAIDGIETLKTNNATNWAQQRWDNFTAKTSVSSIKVRNLSNLVVNFAASMQQINTVFLVVFGTYLIHSSNPDYKITMGALIASVILSGRALAPLSQIASLAIKFQSAKLALQGINNIVARPIERDPSRQYISLASVEGQIAFEHIQFQYQRDAAPAVKDITLNINSGEKVAILGHIGSGKSTLLKLASGLYEANAGHVTLDNIDIRQIDPNDLRSKVSLLSQSPRLFLGTLRDNLELARTDHFSTDQQLMHVLHQFGLDKVINQHPQGLDMQLGENGLGLSGGQKQLIALARLTLRDPQVVLLDEPTTGLDQETELSALDALQNWAKQRTLLVVTHRPQVLALVDRIIVMENGAITMDGPKAEILAKLVQQQPQNIPSVKPKVHRVSVSARIRK >CRE17508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:157187:162328:-1 gene:WBGene00075803 transcript:CRE17508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17508 MTREELNVLFAIRKEVYDANAKAGIIKLSCVLSNIRSIATIERIAFLQNLMENKGIHLAFLTETFLSPAIPESICNSINFSCLRSDRSSSHPKSRGGGCALLYRSFVNLVKIDLDEKNYITHFCDILVVDHTESNVRFILVYRPPDTSNIQTHNLVDQLNTLMACPKMSYVLLGDFNLPGIQWAHHSRVDNTGLTDMTESHNMIQLVKSATRISQHGTENILDLIFSSNPKACFNVEVSEPLMMSDHNSVHFSTDFTKEASSCRKVKKLLNYRKCDIDSLNSYLAGFNWAKQFSFFSTLNTKISHFLNIFNKSIDMFTPLMTINSRSVVITSQFRPRRILPSLPPKPKNVFVDLSPLSVFLAISKLHPKIGYSTDRINFYIIKKCANSISVPLSLLFTESLSARKFPDCWKTATVIPLHKKGSTLDPSNFRPISLTHPLARLFERLILKPIKSELAAQLSKKQYGFLSNRSCPLALIDATSQYHLTLSKPKAFMDVILIDFRKAFDSVPHDLLLFKLMHFGLDSSLCDWFRSFLSNRESRVKIDDYISDNSFNNVSGVLQGTVTGPFLFLIYINDLIQSLLSDVYSIAFADDLKIYSENPTSLQETLNVISDWCDQWKLQLAENKTVVLHLGVSNPHKDYFIGNAKLASANAARDLGLLVDCDLKFEAHIAKIVNNAKFNCRRILNSFRSNNIKFYFKLFNSFIRPTLEYACELFHPSNSLSTSQLESPFRFFSRKVFHRCNISFQPTSSNAHLSPYERRLEISSQMSMYHRRIILILKTYFKIVTHQCHFPNLALYVKPALSPRFPYRIVLCDKIIVDIKLLDDQQHQNVLDLKQLHKETVMYKNNSETINNYFRFHQCLMFSFSIIY >CRE10185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:300703:301335:-1 gene:WBGene00075804 transcript:CRE10185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10185 MGNGMRKYSNCDDYYKRRRKNNDGGANFTKDYCEYWKSEKKGGKDDNFRNERNEFSFSNNMAYDSPLRNSRKQPTTTFISSFDGSNNFSKNLGRR >CRE15217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1707:1671:1992:-1 gene:WBGene00075809 transcript:CRE15217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15217 MPKNTKKGANKKLQLSNKQFEDLLKEIGGGLPEGMALDPALLATLREATNTEFNKVAKEKYGDVQVESDDDEEEQKPSEPKNGGEGSSNKA >CRE15218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1707:2358:3138:-1 gene:WBGene00075810 transcript:CRE15218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15218 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3NKL8] MAPPKPSAKGAKKAAKTQKPKTDKKRKHARKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE15215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1707:4351:4941:1 gene:WBGene00075811 transcript:CRE15215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-3 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3NT38] MSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTAGDKE >CRE22745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2358:1068:4593:1 gene:WBGene00075812 transcript:CRE22745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22745 MQREKAERAEKYKKQRSSSSSQPPSTPAPPVLKEPAWKADWKAHCSAIRNHAATAAAAPVTTPTETVKRKEPTTEKPEQLPKKKKRDMTTSIDAIEALMSSTHKEKAEKKASMDRSERIVGGSDATSDHAQGYLHKAASQGLPLPSPKLPQYAPGVFFNQPAIPQKPGYPVPPHPMKMIPMIPVPMHGMIPPPVPPQLVGRRRQSRFDQPPPEAAPQHFYAKANAPPPSLTTAPQISQRMPNGIPPPPPGRRPILDGIIPPPPPRPKPVAPVTTATSDLQRRVQELFSSEPAAPAVPIVFSPRESSVPSSTPVTPFVLPPPPPPPPPPPPPPKLVEPPVVNIKADVDDEDDDFVIRDTVVEDPDVPVVATVPPPQNQVKVEPRGESATPEAEPVPHVHVEEDNAPKAINKVEELRKKEALAEERRRRAMELGDGEYDPLEDSVALDETEAVMEYQPTTRMEDEEVEEMEENEGDDEDDEMLVDDEEEDEEDEDEEEAEEETAQDGEKKEKTAEQEEAAAPEANQDDEDDISEIPQNPLELFKRALPLLHSSTVAEQPKNDYAQLDVVVPTESLLSRPQDEQPTEVYYHGDPVPEVDENELYEIAAGIKPKKKDKKPLVQIPEEERVPADVDTIELDYYNADILVKSAEKNIWQIEPFCQDGLALMWGGIRSNFGIQLPFRQTTRINRIDSLSKFKSMPINRFNIFPLSL >CRE10759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1618:1340:2356:1 gene:WBGene00075814 transcript:CRE10759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10759 description:tRNA-dihydrouridine synthase [Source:UniProtKB/TrEMBL;Acc:E3NSQ5] MTINKNIENTQSPRISVAPMMDWTTRDYRFFARLFNPNIILYTEMVTTGAIIYGGANRHLDYNHEEHPIVLQLGGSNPKDLATCSKMAQDWGYDEINLNVGCPSDRVQNNKIGACLMAEPDLVAECIAEMRNAVDIPVTVKHRIGIDDMQSYEEMLHFVDTVAKTGCDNFIVHARIALLQGLSPKENRDVPPLRYEDVYRLKQERPELLIEINGGVKTFAETQAHLKHVDGVMIGREAYHNPYLLAELGQLWNLDLPDRFDIIDQMLPYIAKRMQEGAPLSIITRHILGLFQNLPGARKWRQALSGGNAKTFADVENAIINIKEAMKRTEDYIREQSV >CRE06270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2933:1276:4805:-1 gene:WBGene00075817 transcript:CRE06270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06270 MSINGSNLNGLADGLQPLFKVQQRMGGLYDKLKLLASSNVRDSLDFFKQFQESLGSVEQSSADLVSNLFVELKKCDDNHTAVSEKKYETTAKIIGTAKKLAALSASVNSAVDGLNPTELTNKVNSLFISVGFSNFAVQQQSVKEIQSVLKNKDGLNEILKFLNQLKEKFNVAYTDSLKGEIEHMVNNHPNFEFTGYTNELKVHDCALKHAQNSAKVSQAIKAVQNLRGLDPKKIETVETAVTVVSEVAKGLSDVTSIPGAMKKSAKEVTTELNKLPDSLKNSEAIGQSVTSLYTASQLRGLESQISQLKTIDADVQAEIQKMLSPDDRKKVESQWGVHKKDMDELEKILAEIKTFDTGIDVSKAKTLGAYGTPLKQLASLSSSNIKAKEKSKALEVLLSQQSQPPIDPSTKSRNEFPNHTLTHYQYITWPDRGVPTDHETGIALMDLVKKSKKPVVVHCSAGIGRTMSFIGIEYIYDEVLANPGIVWCDAMIKMRDARWHGIQTYVQSIWVLLAVFFKLIRKYKLKSKPYTEDLKIMKEINDDPAAAAAPAAAPAEAPEAAEAPAAPAAAPRAPAAGENAEGIHEEDENQGQPRNHVIIPIE >CRE21611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig673:3:6011:-1 gene:WBGene00075818 transcript:CRE21611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21611 MSLAGKKAALTKAVKGLEEKLTATTTQLDFIEDKAPEEVLFHKEDLQLLLTTVETKSDNLDKALNNFEVEVDKIPAANDEATKDAENRIAEAIDVREDAIDILIRLRHQLNRISSLTTRQASREDIRTSSTQPNNIPTPHPTQQFGFKEYLMDNTRINKFKGNVWEFEAFWTQFEELIHKSERPDLFKFNKLLNLLEGEPRELIARFKITGDNYNKAIDLLKKKYNDQEQIVSQLTTQLKKETATSGHTTDQRKLFEKILITTNQLKDYQENVDTRMMKDEIVSKFAHRIQEDVYKKKLDSPGDWTLDKILEDLENVIIREEALNMLLKKEEKTKNMDNSTQKQQKSKDNKRDNKTPFRKNDDPCIFCKEKGHFFGHCPTKPNPMDRLQILKTEARCTRCTKTGHTPKDCKSKMCPVCNKDHHSSCCFEKHKEALPPKTFKKQDQKKSSSPPTTTAALALQVDNTVGETDNSDNKPDEIHTIASAKTRGTNRGFIPTIVTKAYNHSTGQWEGITVMLDSGSDQTFITRSLLNRWNLPNLGEVKVDANAFDSACQKKQFGRSQIQLRLKDTRIQMDVYVADSLVGRISKAPLTHQDMQFLLKEKLEINEDSLRTTSEPDMILGTDYWMEIVTGQLIQMPSGVGLIETKDGFATMGSTKDKSCQPRFEEDKVIVMALNSDPHDPGRKAEDEQMRDTLMKKPHEFSGSLKEEQSERDKKTIQFFEDTVEKRKEGYFVRILYKEDHPPLPDNFSIALARLTQMRRQHSTENLQMIKDVFEDYKAKKFIEEVNVYEETPNKLHYNALQAVITPSKTTTKCRIVVDASAHYKDKPCLNDCIEQGPTILPDIQDMIIRFRSGQTVLISDVEKAFLQVFLHEDDRDVTRVLWFKDINKPVNEDNIIVYRFTRVLFGLNVSPFLLGQTIIHHLRSLKDDPIIREMPHNLYVDNSIITTDENAENVIQIYKKVKKTFKDANMNLREFRSNCKTVNDGIAEEDKSKEEDMKVLGIWWTSSEDTITMDTTFDLALTNSRRTVSSDMASKFDPMGYLTPLLLLPKLFQRELWDTTQYGWDTKLSEQHENEYRKLIQDINGFTIKMPREIVLKTGKNSIITFCDASKEATACCVYVKNDKGTHIILGKSHARPLKEKWTIPKLEMHALLLGTEKTMKVVKALQLGQTTIDQVVIMSDSAIALAWIKSLPTQKEVGTLIHNRLRDIVSHVEEMETMVTTVKFGHVRTHENPADLGTRGCTKEEFENSIWWKGPNFIQTDTHTWSPEHQLFQVERPGQIHTAALVSKESEPMLNSQATKSFQKMIRISLRVLKAAKIFSKPLGQERFPSLKDITLNNIANRVELKTAETLVIKDHQKGISYKTLQQYGNLGIIPNKDNILVAKGRMELAGLEENARNPIFILPNSQLAKQIIADCHGSFHKTMEHTMDSVRRRFWIPKLRQQTKSFMARCVPCQRNSKQPCRYPDMGRIPRDRVNKQRPFGSTGLDNFGPIQYRKDDGTLANAYGTIFTCTTTRLIHVETVKNASALEFIQAFRKFVAIRGRPTKIVSDNGTNFVLGQKIIEEAFERSDYPPDMHKIDWKFITPYAPWKGGVYERMVKSVKEAFYKAVGRSKLTFEELTTVLYEATASINQRPLTKLEDDINAEAPIRPCDFINQEMEIRLPLEGALDIKEDFRPAAELQSKESMLNTVDALKSSIKASERVWKVWNSKYLAEMREGHKLRMDKKRGSPKLPKVGQLVLMCEDLQPRNVWKMAKILRLNESSDGVVRDVDILTPNGRTLNRAINLIVPLELDEEDNEDETEHPAPQLDKPKEDPEKSSDNKKRYNLRSRKVVNYNEEEPVNNFVFSSGTKWTNMMFICTLLLMFSGTTGTDNIIHCTPNGIKIEGQFESFESCVENYCTTKNRWEWSRGQGVNVWFPPAIKIYPHHVTTKIKDGDRLQINEMDCEAVPFCQTIDCTVCWTNIINPECHISWAILGVGALIFLLLFVIHATCKAPVKCKD >CRE27999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2199:1156:2021:1 gene:WBGene00075821 transcript:CRE27999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27999 description:Cyclin-dependent kinases regulatory subunit [Source:UniProtKB/TrEMBL;Acc:E3NDJ0] MTTGSNDFYYSNKYEDDEYEYRHVHVTKDIAKLIPKNRLMSETEWRSLGIQQSPGWIHYMIHGPERHVLLFRRALEKATTSKTGVRGGGNPVGVR >CRE23856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2716:945:1643:1 gene:WBGene00075822 transcript:CRE23856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23856 MSSHYSSEHSTATTNISHLCSLRISERPQKTRKTGVICTIGPACSDVETLRKMINTGMNIARLNFSHGSHEAHAATIKTIREAAENAPFPVAIALDTKGPEIRTGMFANNAKEVQLINGKSIRVSTDPSMEYSATASNIYADYKNLPKVVSPGSRIYIDDGLISLIVETCEENAVICTIENGGALGTRKGVNLPGTVVDLPAVTSKDIEIDI >CRE12538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:615747:616622:1 gene:WBGene00075824 transcript:CRE12538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12538 MKNLSIFSLVLCIVFPLFNTRSVEEHPRYTAARLTDEYRHILDGKIKLAESHIFTEDITVDDCGKGGDYDYLSSKLEALIPKLGQVDLSVKFAYPVPFTNNTIQFSVDEVLTLLNGEIQSGEKAFFARMEEKDDDYKIYDIRVVPCYPK >CRE14995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:94723:95187:1 gene:WBGene00075825 transcript:CRE14995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14995 MSDKTSSKQSTSKVDDDRMIVVETQMSVKERRKKVKKFTKLIASSVKVEDETRLQLGELTAKCSEQEADAILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAAQVQVEKNLLTECWEEEREMDIEKNDDIYRCFSY >CRE21440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:148675:156097:1 gene:WBGene00075830 transcript:CRE21440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21440 MTDTILSQWLNPQYLRTLLLDPDKNKSTCIQIARFVAAYYLDYLLFLPKEEEGLLPSLYLWGNIQKDDLKPTDTILDRSKVSSLLPVEYSPALPALLMVKCDSLIEAISYVDHFDDRRTSLILRLLADSLHNKDLTIDFIKTMIVENLPLQMEESQKSPKSASKFSQNFTESILQLDVALQDDLVLFMEQHLISSAEFLFSELPWESEELLPNPIYEDPGDTSDSDEARAFSEIHGYVQLLLESFARTNRLVDQMNFTVKLVTNDTVGVFLKLLRCNELFFQKLPSDISHNYFLHRVLLLMLRITYRHLFSVALRENHPNLGKIAERYKQLLKDESGEHILLQEWFKTAEEDDQERSGVFIDWIQNNYQQTSSLLPLASRSRELWLRRIVLNSTINHRDIRQERTMDWFRSVCHPKHRVCDVVMSQMLFINSQWTSPGFTFIQPGCLALRLSIHPHDLCLGTTTATVNFSASARDILYSPQEELSKYQEKDEEKIFTPRNHPASPDLISKYAEKHEKMTREMAQTARFFTPFEEQLRVFSRQQDELQRELNKETEFLKRAGHYYYPDPLEDVEVLKAGKESVDPPTKQLVDEALAKQLNLLNERLDEVVKGLADRQKSMYIREEDEESEISDIPLHYDDAPVHDDAPVIEKLDFGMLSSRTPAPIGDLSFKESGSEREKYPAFLESGQKTPTLSDNSQKLSTAKSFTNPLFPEKHPKIPIEWMRLLPLEGGSARFADNFLTFTKPKSENMTPRQEDFYKYPDRQIVSERGIGTQTSERMRNGETQMMTRGSYRSEQEVMGENTKKVVNTKSVKKLSEDDMKIVMDRVTKY >CRE27990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1884:2253:5155:-1 gene:WBGene00075838 transcript:CRE27990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27990 MKEILPTTERISNMTMWLEVTRIFDFITFVLSTFINGVLLNLIKTASPKHFGNYVYLMMSFLVNSLIFAVIHAFLQPIISTEKYVLFVFTSTNYLEVPRGFMKVLLSVYGTSYSQALVLIAVQFIYRCFSISRRKFLIYFKGRWLFFWYALVGVFGINWGLCIFFIAQENPQVDEILRPLMASSFGLNMSDVFYVAASYEIEDEFKSKVLNWPVIFMIINFASIMGLSLAIIVLCFCFIHYKMQRVTHSKVYEAVQQQLFRALMSQMIIPLVLIYLPIIIVMILPIFHLKNDACMSLTSILISIYPVLDPFAVIMIISVYRQGFLNMFTNHPKTNQISNLEMST >CRE30317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1569:415:1249:-1 gene:WBGene00075843 transcript:CRE30317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30317 MTSKESEEMEYVKMNWMYVGVYRSNGNLVLQWYGDTTKGLKDTTDYVTDLFNIDVSEVCVFKDAIKMIKWVNRRQKTPLKKIVYMNWGVIPSEVLIYILRDCTTLPEISIHAEAAPNFRFSGNFRNIDCLDIWHGKWVTIDNLLTMDGIVISLEKSTLTNTDLNVFLRHWLSGGCPRLKLFSATTGDVDILQVLHGLMHNAVLVENRRDYTSLFGHQWILWDGYDIKRSDGVTAPVLVSLFTLSAPESVQFVVKAMLSQKQV >CRE15258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3586:2133:3429:-1 gene:WBGene00075846 transcript:CRE15258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15258 MTNMVNKAQGLGLNLITKIAGSDLLDQFKLRKFIERSLYQGSKAGFKTLSATQKAFKSDSNVTKQRLPNQQKSLFDLSLTEEQQMTVDAMDQFASEVLYQLAHQADHDEKFPVELWQHSTDLGLNYYALPEVIFHLLQVCSLLLVSSMQSHNGGSEKVQSVYLANFANDTDIQATFAVQEATPAFNPLRLKTKATANNGQFSITGEKTLVLLGATADLFLVSADFKGQADVFVVKRDPSITVKKSPAMGLKATETVTLKFDNTPAQRLGDEDFNYPAFLDLGNLMWCAMAVGSCEAVKDYSIKYANERTAFGEPISHRQSVAFMIADMAIEIDAMRMLILNAASLAEAGQPFHREAYLARLLCAEKSMKIATDGVQILGGHGFTKEHPAERWYRDLRATAVLHSGLHA >CRE15257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3586:909:2108:-1 gene:WBGene00075847 transcript:CRE15257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15257 MNLQNPKKFQMLLDQAHETALNVLRPISRKYDKAEHAYPKELDMLASLLDGMNDGGDGLNAGAAINKRGDIDSNNKNGTNMSTALGVIEMCYGDTGLLLTMPRQGLGNSAIAAVANDEQLERFKGTWAAMAITEPGCGSDSAAIRTTATKDGDDYILNGEKIFVTSGDRADSVVVWATLDKKLGRAAIKSFVVPKGTPGMKVERLEHKLGIKASDTAAISFIDCRVPGANLLGNAEIDVAKGFAGVMETFDNTRPLVAAMAVGCAKASLERIKDIFKDELDPDYATPYLQTSNLAAQIYRMEAEWEAARLLTIKATWMADNKKPNSREASIAKAKAGRICNEITLKCVELAASVGYNEDELLEKWARDSKILDIFEGTQQIQQLIIARRELGKSSSELK >CRE02729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1081:8394:14727:1 gene:WBGene00075848 transcript:CRE02729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02729 MQPMQPHGNNRWDGRDDVSFEFQDSERFEEDSQVSLDDSIDENQHNWRGWADCSSTCEAIQPGLSCVVYQYHNTSGRYSSASTRTVVAGDPNNPLAHHPMMSRGDNPTGILLTLSEISAKVCAEKWSFQQLEEMYMHICVTRAEKMNPPPPMGPIPEKIFVSFIVHCFPQSTDEIRMYRYIKNNVTLIVSYIIFFSTLANGSADQYEFGKMLYQWGNVRDVSQTGFLLSGNISNTPPDAGNSDNRDSFHVTVKVDRCRIVECTCECSNRSSWCKHVVALCIYRISERSQIKFKETIADAINSMDDKDLRKLVQWHINDIPRKCIPGFQKLIDQIKDPNSTINRLLGAPDPTDGGHEPISRYDFPEIENKVRRLLIKYCVPAPAVHCDVQYLSSVQHPTHTEWTTMIKPLRCREPEGMWNLLQMVREMFARSDDNAVALLRTITDECLSNSQVLLWWYISKLVQSGNWTQANCFKAPDSQYLAQLHCSQLCDEVVLLWKLVAINPRAGKNYRSQLAGYLQAYHRTAVTRLKNMITSAPPEKNDSMPSTDATVLSNYKIAEILQTITNVDIRYQLSAVNMKFTLNCFPGFYPAIQMCHYLNEQNIRFGVRENAIFYVSEPNLTVSYKMVPPHREKSLKKKKRKKKLLKKRMEEEGQAWPIRKQEEYRGVDGVWRVVEEDHDMNQSLNDSTESGQESDVQKGPQRPEVPKKKKIDSAEMDVNEVLAASFSPLDALEARFLRVEAYGTHGYRADAIENALKNSEYLVDSLAEQCQEFVKTNEERPSTSQQSASTSSSSSNVSDEITGSDRDEEVAKANRFLSTMEKILYLTKVLKDSPHLQHTVFDISMRTLSLPKYPFYTKHHQILFIYLETESLLFLYQARTRGIKHQILLQVGPLTLLNLIQMYLVRVEETSGSKLFENQKNQFHAARRNKKRNARSFSNDPGTTVTDAAVYHMQELSKKILFEAGGTQNNAVWGGQNLGGTNRRLHLCAMAISIYALGMSNRISPNWNTRTYSNIASWITAQVEDIGSSSLELMREIWMAHFTPNEVAQMSDRIAPASDPAMRHEAGRMALSVLPFAHALTDDEVIHALRRCQQGGRDMSTAALLAMDTTQFREVGRSRPLFEAMNHWQELSYPEDRQQNPMRQQAPPAGLVANPYVNAGVAQQVNVPNQPANRRQHPPRGHILPPLQQVALLYPQVYEADPTVLLPPSSYRHENIERSRFHHSRSAPQLGGQEENADTPRVVPNQQYMVPPPVPGNALLNAWHYGMRAMDCLATSPGEDRQMYLKFTSVKAHSTPPYADDIQNLHFISVQLGTEYIRTFYQHAARTILSPYVLHNYAKESVRHFPHLSVVAQNPRPADAAPVPLIPTNFPPPPNRNLSSIRYPNVKYCTAGHITTHMISCGYHEITGELFERCCDQYLQATVNKMNSPRIVDNSDGQLCDFVRGAYDAFQCIPHIGRQLFEDFVRAFKRQKAYKRESAVALNPLLQQLCAQ >CRE13866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2981:3187:3915:1 gene:WBGene00075849 transcript:CRE13866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13866 MIDFHAHLDLYPDVVHVIQNIANMNMYVLSVTTTPSAWEGTNALSINFSRIKTALGLHPQIAHERYSELPLFDRLISKTRYIGEIGLDGGKEFKDHWNIQTMVFEHILRACTNAGGKILSIHSRHATSEVLNFIEKYPKAGVPILHWFTGNKTELRRAISLGCWFSVGPAMLKSKRAIELIKEIPIDRLITETDGPFAQVNKVSAMPWDVAIALKQLSEILKMPYSDIERLIHNNFRNLLLS >CRE05351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1732:5807:10425:-1 gene:WBGene00075850 transcript:CRE05351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05351 NKNFGDVDVVVEKLQLWEQVRKVTEDLGEVNSFPSIHDFLFKDIESLATAFSAEPFSNAESSVEIVLTSLEVLKGYSTLGFTREEAVNRYFQQFVAVKEAVANVISFIDPLIIQIEKMTPFKSWDLEQKRSVISEPERLISAIVWRKGVQEKSDTSDYAKNALDKNFGLLDDLKQSVKIFEDDYTFFVNTLFKHAYNSLSDLSGFEAIDKLAAEIGTKKLNKMFKIIESDKKLMKGLKPILEIKKTKFMNSSFSSDLGTKFAIEKVNLILKEMLKTITTVKGFGQYLTNFEHCGAKGKIIDDNTLSGARFPLHTGQEMVANFEDFWKRDFFHHDLRPLLADLGNANRTLENINPNGRTPSLKQLSNVFSELTINGTLNVIQKSMKTLLKSLKTFNSKQLESAFSIFKNRREKIEGFLKISNVTYERNVHICLQSYHHQCKTSYEATLLIRMIRLLEVESINNVEGYLDQVSKVQQTLDSIKLILPLVKNNSNAYVEKLNKIDKLVVTRIEKAVNSVKNLVSLKKQGLLKELQAIQSRAEDEINLIAVFGPKHPIYKDIKSSWNLKEAIMSLETSLDYFDEKVKAFNFHKVRNLADYDAFFASMKEMPKIAIKPESLIRVLNYFIKMTSWENHIVEFTKTKEKLIEISSMDLDFAKHFQGVGDAFQKFENFFIQSLTDDVVDPMEDEDIQNEDEYDYTWIIVGCVLVVACLSTVAIFILWKKKLLCFKRKRESVDCEVIDLDPVDNKPVLPPHLVIIAIGCQTFGRHPEHYELWTQLMVHVRTSPTPEDRQFPYLPLNESKYIDLKIRLNPYTALQSVRLHGNKFPTRLGTVFYAMQAPMEANNMHDDTRADFLAMILIDNVEFIVFLGETSSCGQYFVERAGNLTVENFTVTTEGEQQFQNSADIVVRTLMVKDNKKKTQRKVKQFQVKHWNEGDIPTCGYEPLEAIMTEICKSKAPVVVHCTSGTGRTMSFIGMEYISRALETNEEMTFTDAFKKLIEKRYSAFENARQIGWLQVGTVYFMSIRHNAESVWFENIQGMFRDMVERNVGVPKGVKF >CRE25039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2726:2270:2926:-1 gene:WBGene00075852 transcript:CRE25039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25039 MDHLVEIGSGWGGFALYAAQNYGCKVTTITISQAQYDEAVARIQAAGLAHRVEVQLKDYRLLEGKFDKLVSIEMIEAVGEQYLSTYFKQCRNLLKPQGLGLIQAITIEDARYKKALKTVDYIKRYIFPGSFIPCISVLTQTASEQKLRLKHLEDIGQSYAQTLHLWRKNFLAAREQVLALGFDEKFIRMWDFYLCYCEGGFKEGVISDVHMLFEANVY >CRE25038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2726:398:1447:-1 gene:WBGene00075853 transcript:CRE25038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25038 MDFIIQQSLKLVESGLVPDQAIRAAIRSLSKKRLIQEGRYDPEQGSKRYMDVLRMLKRSEIAIETDKANQQHYELPTEFFQAVLGKRLKYSASLFSDAQTSLDEAEELALAGYCERAQLKDGQHILEVGCGWGSLSLWMAEHYPNAKITVVSNSSTQRAYIQQQAKQRQFNHLTVITCDVNVLELEGGSFDRVVSVEMFEHVRNYQKLFEKINTWLKPDGLLWCHIFCHRFLHYPFEIKNEYDWMSKYFFSGGLMPSASTFLHFQDHLQLEQHWQWSGMQYERTANAWLENMDRNQQRLKPLFEKTYGKDAAAWWQRWRIFFMACAELFGFEQGQEWVIGHFLFHKKAV >CRE07969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2655:432:1868:1 gene:WBGene00075854 transcript:CRE07969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07969 MEALEYLHRKNIVYRDLKPENMLLDRNGWPKLVDFGFAKKLRNGGRTWTFCGTAEYVAPEIVLNKGHDLSVDIWALGIFMCELLTGSPPFSSTDPMVTYNAILKGLEKWAWPRFVTKEAIDMMLSLCKYEPTERLGFGDIGEIRHHIWFDNFDFVGFRAHRIRPPYIPSVSNEVDTSNFDTFPAFDNFSSGVDESGWDEEF >CRE10789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2817:329:4384:1 gene:WBGene00075856 transcript:CRE10789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10789 MTTTLVFCRSIRPRQAVPQPCPESEPAPIPAEEADDRGRDDGHEEDEAHGARVGEPGEHGEHGVEETLLAEGRGAAEHLAGEDEPDGDDQRDDRLRDRAGPGGAVLRGAREPRGQHALAAEREEVAGDRVVERLQRREEAREEQDVHNVDEDHADVAVHEHEHQIGARLLRLRDDVRRADTDRERPRAERVEEADDRDGGVGRPRHGALGVLRLLAVDRGALEAHERGDAEDEHDAERGAEELGGIQRGRGDALGSDGERDDVEHDHDEELEGDEHAEHLRREVDAIHAEHADDHDAEQDPQPPWRRESELRLEEHRELEAEETVDADLHRVVRDERDERGADADGAAEALRDVGVEGARVGDVAAHGGVADREHREDHRDHDEAERDAEGAGHREGGGDAARDHGERCGRGDDHQRDRGDAERPAAEPRIVRRRSVGG >CRE19988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:23172:25068:1 gene:WBGene00075857 transcript:CRE19988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19988 MLLLALFILQTITILLSMCSSKTSAKSASNSIETSGEDVTKPVKSRKQSADETPPTSKTPVSTTQQSPVPLKISSKENKTPVKSDVKKSEIHTAKNHSLVLNTAKNLHPPNQEHPKSSYAGIHDKSHPKASTPKHPSVMTVPSKSTDTVATCHELEPLKSQKTEPKTVEINDKSDDTIENIPSVKTKEGVSYESDGQTEKSPAEKKKDEIAMKLLEDKKINSQICLCSAGNGFMGQGPKKENMVVEIPVRVCIKKTGAIGRVRKTTNVFKRQQLKKQPRVAFENDENNADTGRNGENIVVQIVDQEEMMRDQMAILGPERPTGNKFF >CRE17679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1024:12472:13154:-1 gene:WBGene00075858 transcript:CRE17679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17679 KGRVRYFQGNNLIIWFLIPIVCGAAWLLITSEVYTQTKIEADYIRNSVKQTFNSDMEDVVFISGIFYPIDETGQRIINWRTFLGFGLFSILMTIPFTVIIVFGYRSWKIVRGLLDHGESEYSKNLQMQLYKALVAQTILPMIFLFIPFGLLFSLPMF >CRE05363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2412:4114:4707:-1 gene:WBGene00075859 transcript:CRE05363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05363 FDGVDEVQDSPRRQEMVQDEPQNDTPMNDAMEQRSSKVQMFTKEQEEELLNKEIRKRVMQRIRLSKVKTTTETPTTEAPEEKEEVVKTAAEEEEEEGDDVIEAEELVEDEEEEVTTTTTTTEAPVTRAQRVKKNKKRAVVTKHQCLNLRSFARQFLFDTVEEFAKEHCYFIENYYPALTCARSHIYVAKCQKWLKEE >CRE05362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2412:1560:3963:1 gene:WBGene00075860 transcript:CRE05362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05362 MDDSRRSAVPPKEPTGRICTVCSDRANGYNFGVLTCESCKAFFRRNAAKHKEIKCPFSDSCQITSASRKFCQACRLNKCFGVGMNSDWLNDLKPKTTGKFKRKKPELKSQMKIEIEDTEEDVENEEEEQIIVPKALLEKLISKANEKPKDHCICKCQCGFYSTTQRLTAYIPKEDAVSTVSTPPLTSFSSQSQLLFQNSPLSSAFTQPHSDSFSYSSSSSTLSPMSVISCAPSSHDSSSYSTLTHRSSRLNYRPMPERSWTPVQAVQTETSISTVPSSLLEKIHIKIDKYIGVLNPEEMSMLEELHIRNEPLNAPLIQWHNPKSIDGVFKIIEEALRRIVNMACQLNLFRELHVEDRKNLLKSGFGELLIVRGLMAYDKSDNSWNHSFGVGGKMEVKVDVLKNPKLEEHYKAHINLLETFGDDVRNNEHLMLIFNAAVIFHPHVSNLRESNRVHTTQAKYFQMLLKLLTFEYGKERADIAYSNLLNQVVELHRVNRTLLRVFYGLDIAQLDPLIRELCSFE >CRE30635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3207:73:912:-1 gene:WBGene00075863 transcript:CRE30635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30635 MSNYRFKSKIKSSVLIILSSVAFSGCVSNANLHDPASSQRTSEIPLLFNYAQTQAVFVTYDGTQFKRYGNDLNRAKTAYIPASTFKMLNALIGLQHAKATNTEVFKWNGEKRSFPAWEKDMTLAQAMQASAVPVYQELARRIGLDLMSKEVKRVGFGNTQIGQQVDNFWLVGPLKITPEQEAKFAYQLAKKTLPFDDAVQQQVKDMLYVERRGDSKLYAKSGWGMDVEPQVGWYTGWVEQPNGQITAFALNMHMQTGDDPAERKQLTLSILDKLAYSFI >CRE15252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2826:434:975:1 gene:WBGene00075864 transcript:CRE15252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15252 MAKSKNHTNHNQNKKAHRNGIKKPKKHVFLSMSGVDAKFLKNLRFARKNNKRQINKPKESKA >CRE15253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2826:1124:2774:1 gene:WBGene00075865 transcript:CRE15253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15253 MKEETYLRKLISDGEGVGEDRRVQQVAAFFRESRKVEEPKIAEVLKITKALELMELSMLKQQQIAQMNKKQATEFDSFAGEIDSEIDLMYKKMEEAKSELAEAKTVKKNRQEYRKLVNVMNEVPTRAETMRKLEEVKDDLERQHERQKILEAKLLDRRNHLQAFNIILSNFQRFCAEDDEDEAGGLENEGEEEDDAASVSEKQEDEK >CRE09902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig859:10727:11981:-1 gene:WBGene00075867 transcript:CRE09902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09902 MYFNDPGNTYQKHLQSGVDDTFPEDFLDDSPWPRGRNSENHYQNENVCDGSEIDRINFNVFSIGESPKRKRKPLEEVNREGTLGTRMSKCLGFQTSGFISSWIQSIGSSNKSSIKPCSDSHQKTHIPRPKPPIQHILPQNTSKLKSTPKKIDHPTTPKSPFPKMSIQMALMILTADIPEEWMLPEADRLLFEVETWRRGDDVFSKCLTLIDTKILGCRKETYKSLLMKPNHLTKNSDLYLKLYNFLKTPENMKQEIISLDVYGDEDKVKINGEVIKKRKNWYSFEQQFALTDIFHERQNPTEKFMENVSEQIHLPVECVKDFFHNSRRNLKKYYD >CRE30344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3008:619:1626:1 gene:WBGene00075868 transcript:CRE30344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30344 description:Lipoyl synthase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:E3NVT2] MDLKVSVTTGQKLRGADKLARIPVKIISTEQIPQKPEWIRAKISQPEEVQRIKNLLRQQKLHTVCEEAACPNLPQCFGGGTATFMIMGDICTRRCPFCDVAHGRPNILDADEPKHLAETVKNLNLNYVVITSVDRDDLLDGGAQHFAACIREIRKSSPDTLIEILVPDFRGRLEVALNILSETPPDVFNHNIETVPRLYRAMRPGSDYQHSLELLKRFKHLRPDIATKCGLMVGLGEVEAEVIVLLNDLSDYQVDYVTIGQYLQPSKSHAPIHRFVSLKEFEHYQAHGELLGFKNIWSAPMVRSSYFADRQYKGEPVPKPFTRENIATEIIATEI >CRE03480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:87567:88764:-1 gene:WBGene00075869 transcript:CRE03480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03480 MSCFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKTLVSLVNWNQPDIHLNFSEDSKLCLKFPNDPGLQWILDFENELDDELYTTRAIDGNQFPSYIDSVLHGPKAFHHLVFPNDDNFETMRKMTEHILKIFRTPIASFEINQLSDPSTMSIVKWFSTLQPSVVDLDVTIDDITAPTLLCILDNIKVTDHFSLDSKVISSDFKYHKAIDIPSVILSHSQWITLKSILNSSSRVIVLCESNLNFWDINSFLMHWLNGSNPQLEYISIRRSMKGKAIEEDIEEAFQIITKDLEVREHEENEKRPMRISISLHRPSSYSPPNDWCYDIVRDDGTIGTFHQTYFHRSDVPDFKFHYFYFHVWNKNI >CRE21498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:32:1620:-1 gene:WBGene00075870 transcript:CRE21498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21498 MASLSPTANVPTDNSLDAHDGASLVPGVLHRSESNDSVGGIDGIEDTQLMTQDFFTILDLRIVMRGELENFDFAAFPSPSYYAPTTFSTRLFPAILACILLVGVLCQEPALLKAPILDRMSSNEATPLEKEQVFTQLKNIINSAKFPWYKSNLHKVVKDNAGYMNSVDVGGDAGAKALEELKETRRKSENPQNVLDFLEICLEFMNKNTIPESSKWWFFNEAVIFLNRGYKLEQLKDLLIRRDWMLPVNVLEALEILYRTPTEVLQPNEIPKIPSEIVKNVYVAPTDPMVGPITLL >CRE11628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2257:766:3030:1 gene:WBGene00075871 transcript:CRE11628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11628 MFNVDTTVPEENREVYEDYDNDDDDEDDVFETSEHREEVENYTNDQCRMYGSVDINDMSDDRGEGSESEIEVLSEDGQGRYESDDENEWMEEYQDERVNEEDLDYRLLALVNFYCKEGISGKCMRRMLSLMAVVYGEKAPFTFKEVMKVVNGAGQNVIQSVTFYCNRCARQKRFKEEKCIECNENRSEVLNRITLVKCNVKWQIEQQLQLNASEIVDAHFKIHNGIDKFSEEDIRKYPGYRSKMETKQEFERRNINLIFTLFSDGAAFKSISRREVTPVLMRLEGTDLESKLGGKKFGLVSMVFADGGVKKIFAEKFVQKSFGDMPVDVKMSIRGTMWNFKLTILTFMADMKERKLLTGLPNWNQEIGCCECVTEGQMKRSGTASYNNYNHFSLLPKIFEYFPPKSFCLDPFHIRECGISKHLVAEILKPTNWTNLKLKYGALGAIVECIDRIVPYTYDTVPLVSIRKSSKSTGREMDKKAVILCGLVSHQKFCTSHEYNALFIGLFYSFMCQGNRLCDFDKLKTLKTAVYKLHSLVEPDSITIKYHVILKLQSFYNHISSHEVQYGKMHTSEVFEREHKNLMKSVNYQSTNCEQSIIVRYGCEHN >CRE13875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3621:1193:3301:1 gene:WBGene00075872 transcript:CRE13875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13875 MHDGPPCDDPEAPSPGRSFRTIPQSRGANQQPGRGFDESSRERYGSSNPASDPGRIPNTVSDSDALRILDARPRHAEQTCGIPSIFTNSLRIPLRFRPRSAKIETVSSKRTSLALDATSKAIIEQLQIDGRRSYAEIGKAVGLSEAAVRQRVQKLTDAGVMQIVAVTDPMRIAVDTAALQASAKRHMWPHFTNRKVLNDGIPVITRAEGHHIYDAAGKQYIDGLAGLFVVNAGHGRDRIVQAAAKQMKQLDFMPIWSYGHPAAIELSERLASYAPGQMNKVFFTTGGGEAVESAFKLAKHFWKIQGKPMKHKVISRSVAYHGTPQGALAITGIPDMKKFYEPLTPGGHRVPNTNFYRADEMGAPSDDLEAFGQWAANRIEEAILFEGPDTVAAVFLEPVQNSGGCFPPPPGYFKRVREICDQYDVLLVSDEVICAYGRVGDFFASKALGYEPDIITSAKGITSGYVPLGAMIVSDKVSEPFNSVDNTFYHGFTFAGHPAAAAAALENLDIFEEEDLNGRVRENSPLFRAELEKLLDIDIVGDVRGEGYFFGIELVKDKATKETFNEEESNRLLRDYLSPALWEAGLYCRADDRGDPVIQLAPPLTI >CRE21710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4151:1117:1323:1 gene:WBGene00075874 transcript:CRE21710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21710 MGADGKLVTNGYDDAPSSRDFKRPSSPIDRIKSLFRKSDTTGTGHSDYYNSSRYVQLYLKTKSIVTRE >CRE12693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:290413:291861:-1 gene:WBGene00075876 transcript:CRE12693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12693 MNRMLMDCGENGMKEFGDFYKFREFRRMFISYEFVLEGGYELVIIWDFWFRTLEGDKSHEKTWQHNNQKNPPCSIWSLLLKKKQLLFEICSEYLDEKKIAELKMSESFWKVPVMSKTLELPVDSAIGERVRVRIGEILGITWNGYWTVLNTAMGQFELEFGDSKRFEPYKWHYCSIVETKFTGEGQTPVKEFMNEVDFSRKDILWKMDPFEQARKYNKLELKITRKSAVGVPEKIYINFDSKNKWLDAEFRTKNGKQQLFANSGILAFNSSVLRKRLENETAIVVPSKLFPGLIKLFNFLHPPFAFDKENGKIHELQYCIFKCLVVGEVLELVLFWEMKEVLAKYEDFVIRKRIYEGQNPVDTVKLAEKFKMRKLLESILWSDTSNVYNLLAENCFNTWTRAAMFDHILELEEEEEDYDDDDLDDYDDDTDTAMEV >CRE01509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2440:2507:3240:-1 gene:WBGene00075880 transcript:CRE01509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01509 FPVHNRVVDKRRSITSSPSHKEYFSLLSGRKLFVRMYNCLKMSGDLKMKILSLDLSGNKNASSMEVPENKENASPQPETSSDSGDVPPTPDLSSNTSTTDSDMVTKIINRPVSFVDTKNVSIEVKRWLEISQVCEEWFATKLLKRWRSTLTDAINNPKDWNDGIRNNNNMYARIHNWMSMTEEQRQEILRLLNAPITDTSQTDLSLGGILEELPKS >CRE12409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1016:175:4859:1 gene:WBGene00075881 transcript:CRE12409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12409 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3NPV0] MGTEDNDGTDEMRRGIIPRLVHALFQRIMATEAPEAFTVTVSMFEVYGDNVYDLLRADKVKLNVHGDEKNCTIVNLTAVPVIDLKGALKQLATGCHYRTKAETAMNAMSSRSHAVFTVFVEKTATLDGDSAFSAKLQLVDLAGSERLKKTEAEGNRMREGININAGLLILSQVIAALATKQKHIPYRNSVITRVLQDSLGGNSYTVFLACISPADTNSQETLNTLRYADRAKQIKNKPIVNKNPKAEEIAVLHAQIKRLQQEVSDLKQGIAPSDVKYSDVANSEEIVSLKDEISRKTEELRERTIKQSECIIRINHLAQKNARLEAEKMKLSTVITDVRNTLQNEEMIDSDELVRSVQQLIDSEELAALPEEDQDETATCLPSSDDTVYDAERHDTVYDAERLPELQAELDDLENQIALKDENRQKALDEQREFIEAMKQRESEKTQLVVRVGELETEINKLRQETKKASTVTKIAEERRQRLKELERQHAEDKKTLSELKKLQETRRRMEETLKKTEEELKNLRTQRLRLLREQRAEASKFQAFKQKHEREMAQMKSKLQKREMDVVRQKRVDDQKLAVLQQRLAESNRTNKTLRELNLKRANRKGSTTDATAIQSLIEDELELEITSQRCHLLCEELRRQRQDVMQRINEIESRKFEGAKKRRMSSVDPDVSIVLPGEEEFEENRQKELTSLRASLDTINEEIKDSLRNETISGNEERSTSRWEKVPVEMRPILEVIYSHAVAHMRKALELEFKLTKTKNEYSAKLAIKASQEEKRKREGEEMKSEIRDLKSNVEDAKADLHERIKFLLGLVMSGKVDEKLMQHFESLKNQYCDVDQKVKKLARRRTTHHQGSLLTPKPELKRNERARRAVDHYGKVVNSEDVTMDDSRHFHTKKIPTVVANELNRTTDENVRRKVAMSPIKFDDDTRLPGVYEDMENVEEGSFNNDTFVKKSGNDSIILIDDGTPSTSSDKTFVISTAADDGASEDIPPIRRKHRRTGLGPSLS >CRE24418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:444806:445361:1 gene:WBGene00075886 transcript:CRE24418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24418 MSNLTMSSDSQEVLQLKQLLEEARARERGHREAERSLREHFGIIRDVVNRHLAFANLMRENGEGRSATVSKRHNFAANVQNQELEQQRLQIRALQEQVTILNIDLILSRASIDQMRRQIAGEIEPMKTE >CRE24487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:445417:447107:-1 gene:WBGene00075887 transcript:CRE24487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24487 MGGHSLPCRWGAIVIEKKSASPKTPKSTNSTKSVKSTKSTDATKTKTKSRKSRKPKKEKTPEKKKNGLFSCCAKKRKMKRGSKSKKSKKLARSPAAKKGAAAKTGGKSAKSTKKSAKDKATPPPPPPLSKALGSNDKLKKSDMGKIPEAASIPAAKPDTPLRSSSSEPIAPPPPSSSTATPTTPTLTTTGTPSSGGTTEMVTAPSSPPKKAAFSVEVGAVPSPPPPAVAAAPSAEKEYNRGSASAEKKFSKEDSHDEDVGEKRKAMVYPSHKFFMTQYIKDECRVRRWTYEDSIPLSMETNMKYMLKTASNRIAFCQNDKEKRGEMLNDLNELSTILDGK >CRE23290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3277:4179:5348:-1 gene:WBGene00075890 transcript:CRE23290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23290 MNHFCTQVINLGRTPQRFDGLVNTPVYRGSTIVVDSFKEWEEHKQNGGVYKHYGRFGAATAKSFESAINALEGGAGCMVFPSGLSACTHALMAFVAAGDHVLIADNIYGPTRSFADSMLPKLGIHVEYFNSCDLNELKSKINQKTSVVFVESPGSITFEISDIAAISKISHAMNAKVLVNNSWATPLFFQPLKHGADVSIQAVTKYIGGHSDILMGTATANAASIEQLNKVVHFFGETTSPDDIYLASRGLRSLAVRLKQHEENGVILAHYLNEHPAIDLVNHPALAMNPYHKLWQQSFSGSTGLFSFYLKQNNPCFVETFFDSLKLFHIGLSWGGFESLILPVGTPYRTQSHLPSRGYLVRLHAGLEHVDDLKADLDEALAIAQRAIA >CRE26848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig485:5:1426:-1 gene:WBGene00075891 transcript:CRE26848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26848 MTTENVKAELACLPSEILCHLFTFLPTRQLTTEIPLICQRFHTILKDDKFWNGRIRTEYKVRLPDCETKHSEYEPKKSFVAISTQKERWRDEWAESQTIHTALGHSATVDSVLLFESQHRQFCLSGARDRSIRLWDLERVRSGDGDTVDAPWTVAKDETAHLGWIWNMARDSESGEVYTTSWDSTVKNWAIREGGAIQNLNSVNVGSAAQCVSVGGAAHEIVCTTFAKRTA >CRE10228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:701084:706865:-1 gene:WBGene00075892 transcript:CRE10228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10228 MIIHWLISLLFAVGAVENYDGDEELSCKRRHGGIPLPLGVFTVQKEGFPDALPAIRTALSHVHTRSCILQGYRLEMIVKDTHCKTSQGMKALFDLIASRPRPVAILGGQCTEVNEPIAMALKYWQIVQLSYAETHAKFASSDSHELFPTFFRVVPGNRNTNMAKCKFVNHFGWKRVGTVKQNDQPRYALPHEALTTRLEHGFGVKIVHTAGVNWEQIETVGAELDELKVCDRFSSSRSSIFFLSSNSCCERDVRIILVDVDEEMAATVICAGYHRGMYGDNYVWILPGYHSDKWLNKTHDNCTAEEMKEAARNHFSVEFALTRRDVDTKIVGNTRAGDVWEEISKLDPNNTWRGYLYDGLWTLAIALSHSMGDNAEFSHHKMIEAIDNSSFQGLTGKVKFANNERLGLVDIKQWSEGQYIPFAMYDGAEDEFKIIESTSKGWSPPLDSTITERRREHISSLLFLAMSLLALIGIFLALIFLLINFRYRNHRFIKMSSPNLNNIIIAGSICTFASVIMLGLDTRIVSPDGFVWLCYMKTWTLCLGFTLSFGAMFSKTWRVHSIFTNIRMDRKAIKDSKLFLILGVLLFIDLCVLVTWAFISPFSYTVTELPHIPEDNIVIIPEVEKCYSSHSGVFQAVLYAVKGVLMILGCFLAWETRHVNVPALNDSKYIGTSVYCCVVMSVLGLSTSVILQERVNEMFSLASFFVIFSTTLTLCLVFVPKVIELARNPVGNEPRAYRRGLMKSVVAKTSQPMSPQPRS >CRE14446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2382:4119:4751:-1 gene:WBGene00075893 transcript:CRE14446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14446 MQTFNSWKFLLWLTIPFLMGVFWCFLGYYLCGPDKETIELARKHVLDSFGEPIEHFIYLGGTIYTISNDGSIILHYKLLTAVSLMFLTVVSCISPYLFRTLFQSISFIIITYCGLKCYNLIRNMMETSSTISAKSKSLQSQLFYALVIQIIIPTILLDIPITVFFILTLTNNGIEGYSGYLSFLITLYPAIDPLPNFFVIIPYRKALLGG >CRE14443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2382:527:1599:-1 gene:WBGene00075894 transcript:CRE14443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-220 description:CRE-STR-220 protein [Source:UniProtKB/TrEMBL;Acc:E3NUW1] MNLYDLPQYLHIFNNCASSLAVFFNSVLIYLILTKSPKQLGVYKYLMVFISVFEIFYSILEVSLVPIHYSYRSSVAVLISTSDKLFSRKFLLILNSFYWGFFGSSLAIFGVHFIYRYLVISGNPLLRTFQSWKFILWLLIPVVIGFIWALTGIYLCGPTEEFTEFMRNHVKEVFNNPIEQYEYLGAFMYERSKKDDSLLIYWGPIAGIIIMSITVMVSFIVIIVSGIKCYLRIKRLMRNASTTSSRSQALQAQLFNALVVQTMIPMLLLHTPVSLKFGFAIFDAGLGSYCFIMSMTIALYPAIDPLPNFFIISPYRKAAYGKFRKS >CRE22759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3638:1868:2221:1 gene:WBGene00075896 transcript:CRE22759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22759 MRPQQPTLTQSATTPSLSHLAAANSSTNATSLASADTTRLIQQMFLGQMLIPQLPSALDLASSMSLPLSTAIPQAITTPQHALWQHSMCAWPNCDQPCDSVMALIAHLQQEHPCCER >CRE24674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:240056:240951:1 gene:WBGene00075897 transcript:CRE24674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lec-11 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3N411] MVHIIENPPIPLATPIYEGMKAHSSVEIHGDVFQGPQGGFTVEFPTKNGVALHISVRMGSYGQNVIVFNHLARGRWHREEHHHNNIVFGRPFCMKIHNEHHKYSVHVDGHHIGHYHHHKCPKKIVALTVRGDIRVGKIHFENFKHHNGGGTEVVMPVAVTPTPVVVAQAPPPIMVPPPQMVVQPMMQPMMQPMVQPMYPNIQPIVYPTATPVIYTQPEVIYMDGYHHHHRHHC >CRE08619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2939:2337:2672:-1 gene:WBGene00075898 transcript:CRE08619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08619 MGSNCRLYNEHRKDSKGLPPKTEKQVIKQCKAVQTMNVKLPATTQNQLGTALPDEDVWSATEQKTLEDAIKKHPASDAERWEKISTDVGTKSKKACIRRFKYLVQMVKNKK >CRE25041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2846:4507:5214:1 gene:WBGene00075903 transcript:CRE25041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25041 MPALPGRINRTPGQDGAPGQPGVPGADGTPGEKGICPKYCAIDGGVFFEDGSRR >CRE25042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2846:1454:2126:-1 gene:WBGene00075904 transcript:CRE25042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25042 MLEALPGTNESVCLDPTEETKQWMTFVENAQKGIMERVYTSTQSTTEKEKKTMEMTTTPRKSILETLDELEGVKTTDKMSTKLRSTTTAITTTPRYRKKYKDYDDEPHKFVNVLIFLLFLCVIILIISIGVTVYLK >CRE14894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:122266:123641:-1 gene:WBGene00075906 transcript:CRE14894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14894 MKPLTKNILIGLAVAITIVLILLIILFVVMYVMLVIEKNEEHRKLGHCVPLIDSALETEEDFYNSTKTLLSNPSNYKELADECEKAINCVGTVDSFVSADVLHTFSSCQFYVFYNRQFASCAEKLIMKKDGDAACLKRVFDDSEESTDSRCKEWDNIQKCIKTQIGITCGDEMTKRYEEEAANLRSSICMGGESLV >CRE25033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2246:2369:3761:-1 gene:WBGene00075907 transcript:CRE25033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25033 MACGCAVQLVCWICLFFCVFLFVGFIMTVWEATTSSSDFCSRAQLLTGVECAKKTRRLEDSLMKINKTTRFLRPPSEYKEVVADCEKAESCFTKITCEDGHDFVADVMDTFPACKFYRYYTGDFMECAEKLMSLAPNSTCLNALFNAKHVIRFNRCKQWLNIQPCIYDAILNECNFDGNSTQLVTDYAETARDFYRSMNCEPKPQRIDTNDIDYEIIGLVGQ >CRE07975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3335:850:1927:1 gene:WBGene00075908 transcript:CRE07975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07975 MDLPNPFPILRLPYLAIEEVFKSLHPIEIINLSLISKRAKAITKQMTFYSKYDICLRVDEGLGIAIRVENKLVSCTYLMTSDERMNGNVEEYGGNDYIVRRVYKYSKNPIEEWKQLFKYVLDILKKQTLHLLFITLDNFVNHNVSIIDFLRKNVKSVTECQLYQDEEDNDVDEHVAYLLNNLKVSNELQFYLNIKNDNFNLKIPKNLRQLYIHDSEWIGYERLVEIDCEHVTLRDNEITDEQWNLFLKKWMTMETNQNLNCLRLDNRELDEFRAIVLHDIPHEVVDRGVKRNLIFSYRDVKKAVNGGIDIRRIDGKIATFFVHDNVFSMSVH >CRE15249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2586:4260:4655:-1 gene:WBGene00075910 transcript:CRE15249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15249 MHFMLQKEVVDRITASPNTKEYGRLSVMIQYFCKPTFLFEVPPGSFNPPPKVTSAVFRLEPYATKPIVAKSEKALARLVSHVFTQRRKTLRNSLKGMLLEDGFENAGVDPMARPETLTLAQFVALSDQMVA >CRE15250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2586:7234:7728:-1 gene:WBGene00075912 transcript:CRE15250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15250 MNAKNILENEKTLGNEKTLGNEKTLGNEKNKQIGNTKEEKAARKQQREELETILDAKWMTVDFSEEIQSAYPCQAVGLLIQTQHIPIGEEVDAVVQWKDDDDKSRSGEYTVYGKVEPDQRVRIIFDQHIDPAKCGKEVESMLDFSEIDQEQAQPETRPASKSQL >CRE25770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:211629:212199:1 gene:WBGene00075915 transcript:CRE25770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25770 MRENRQQVFFVEFADAIRPVFKNTVVYLTGGFRTVGAMVDAVQRNTTQRIGLGRPVTAVPDLPKKSVNGSVPSAKILASRSQLEQMGRKSVRKVVGNWRRWKCSETCR >CRE11088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:642285:644056:-1 gene:WBGene00075917 transcript:CRE11088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11088 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3M5G4] MAKEKINTEDQKKVRGFVQRIIGKLIFKWNHHTTMDLFCTAELAELCMRARELIWSEPICLKLEGPICVMGDLHGQFDDLLGMLDLNGWPLTESEMIWFEEKTLKIKRSGRNEQKSSAQPESTPIKTPKGSGEVKSEMEELGYKRYLFLGDYVDRGLFSMEVVILLISLKLAYPNRVYMLRGNHESRSVNCHYGFYREVTRRLIKFRYDPSLYECFQNLFNVFPFCAVIENSIICMHGGISEHLTNFSQFTAFKRPLEVPDVGVLADLTWADPDPTVKTYKASTRGASYVFGSQALRAFLKKLNLQMVIRAHQVVEDGYEFFDGRRLVTIFSAPNYCGQNDNTAAILCIDKKLKISIVGYRPAQRDKKFEKEKRSKVPPKPC >CRE30589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1328:943:8450:-1 gene:WBGene00075921 transcript:CRE30589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30589 MRDTKEWRGTTSTSRVFVQKGYQLSDELALRKVQVLERRYGGTHLAHRAATIIQRAWRDYCLMKQWMVIRHKHDTIPDHLDARCGVSNSQRNELSLTSRYATSLSAQLRIDRAARSNTVDRSPPSPAPPVLANLQNSNMIVYYSDDEIEQINGWRIYWHTSFTYHSLVLKYFTGMIDIRGLEVDVALRKAMQFFILPKEAEKIDRIIQAFALHYSKSNPKRTSNFRGGWDTIHLLSFAIIMLNTDLHSPNVKQRMTQADFVKNLRGQDKISGEKNGEDIDRKTLEGIYDRIKKDELKAGDDHVAQVQRVDRAIVGKDKPRLTETPRRLVCYCRLQQVSDPTKRQSSTARERDVFLFNDMIVVAKGVRRGSTSMAGCTYTLKQWTVLLGAHVTEFQRGQYEFGLTITCPNKDKIHFNARNFEDRCHFVADVTESIREATEMEQVRLEMEMEHHTTIRSDNQRDSGLPDMDESMKLSNGSNSSAGSSNSSTNGVNGSSFRRLSFNSLDSGVVEEHLDAC >CRE30588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1328:262:673:-1 gene:WBGene00075922 transcript:CRE30588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30588 MSDDAKKKQMMQNFLNRKRKMDLKVEEEKEDDQKPSTSSEKKEVEEIEKKKKEEEKYDKVHSETQKNILKNSPRGVHDEPRWSVNSMRLPCSPLEQEGFAKLSDKFRANNLPPEGSVCV >CRE29385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2009:1752:2396:1 gene:WBGene00075923 transcript:CRE29385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29385 MTGSVYFISGIDTGIGKTYTTGYLAKLWNEQGQKTITQKLIQTGNTDISEDIEQHREIMGMGWFPEDETKLTMPEIFSYPASPHLASKIDGREIDFEKIADATQQLAETYDVVLLEGAGGLMVPLTTSLLSIDYVAQKKLPVILVSSGRLGSINHTILSLEALKSRGLELYALAYNLNDESQDELISKDTAEFLKHYLVKHFPNSAWIDIPVIC >CRE28007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2959:56:4080:1 gene:WBGene00075926 transcript:CRE28007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28007 MLDESDLPRSVSLGQIAEGEILASGHAILQRWLSSDSLAARWTPGTEREPGPLTAIVGQGSDGPVEIDLRTHGPHALVGGTTGSGKSEFLQTWILSLAANYSPDRLTFLLVDYKGGAAFADCVALPHTVGLVTDLTPHLVRRALTSLRAELRTREELLNEKGAKDLIALERRGDPEAPPTLVIVIDEFAALVSEIPEFVDGVIDVAQRGRSLGLHLVMATQRPAGVIKDNLRANTNLRIGLRMADPADSSDVLGVPDAADFAAEIPGRAAVKIGAARLRHFQTGYLGGRADSDRQEVVEVRDLGFAERAPWALAPEVRSEPERRGRGPRDIEVLAGNIRTAAKIAEVRQPRKPWVDQLPTALPLERVLAHSRSSARSSSALPLGLADEPEAQRQSIYACDFGGAGNLVIYGGPGSGKSISLLTIGVSAVLQNRATRIYARTRNCGGRPPRSARLAPPTRTRQSAPSGSSRAPCAAPAGRWRCSRTRTTSRVSSPCPLHTRSARTSHRAVDSSSAPERRPGCTSARPRAMSPRRTRLAGMFDDRYDRDVLADMKPRRGPVQRTPVTLARGLVVEHTESEWCGAVVGLREGLVQLEDFRGKVRSFPLNDAFLIDGKPVSLVLPQKQAARRRTASGSFAVAQERAKVAMPSRIFVEGKHDAELVEQVWGDDLRVEGVVVELLQGADNLEDVLRDFGPGPERRAGVLLDHLVAGSKESRIADAIARGPHGANVLMVVNPLIDNRAALKHTRVSRLPWTMFSDFLLHTNRNRWIF >CRE02792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3481:3134:4000:1 gene:WBGene00075927 transcript:CRE02792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02792 MNTDGVDDDLPFGLVVNPFWGVRPGQTRRDAHGARARRARRAGRAGLGAGCRTLPGAVARRDRDRTARPRARRRRRDPRPRPPGPRGPPAAAGDRTGGQRQRLRPAVRAPARPRRRGRADPRRGIGAAIGRPRRGAPARPRAARTGAGALVRRRPVRRVRRGGEPPRERDQAAPRPVPLPPRAHRRGAHHRTPRLRRAMDPRRRRSQLRHRRRSGSGAPTRIHGTPRDRDEHPGDRRRDPAHAAGGTRRRRTRPPHGRRVQHGPAPERARGPGPRAARPPAGGAHGADR >CRE02620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:75123:75479:1 gene:WBGene00075929 transcript:CRE02620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02620 MPSYRDDFKLSYESVILNSEDIGILERNEWFNDKLLTFIGEYLMNSHGNSGESRGIHVFTPPETEMIRHSSSDDEVDMYFGMLGVGDMEMVGIFGFMGGKSRIHRFLTICSLKETRTL >CRE14409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1182:4125:5618:1 gene:WBGene00075930 transcript:CRE14409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-10 description:CRE-SRD-10 protein [Source:UniProtKB/TrEMBL;Acc:E3NQT7] MWADTLYWIIIGIIDVLAIIFNALLIYLALYRTPKMVRVYTTLIINLAFTDCCSAFLNFFVQQRMIPSGFTIGYISNGWCKLFGYRFCFLSHNLMAHFIVHSNYSLVLSFAYRYYILRKPEPRRKTVLIVLFITYLPSFLQLILYQFAESDPSEIIQLLQPYHPQYNFTGLTVSGVSDIRSVYALYKILQMTVMTVPIFSTILFLRNKIINRLLYRGINISLNTKSLHSQLLMALTYQALLPTLYSINVIMYVLEQSGIYASPFFENFIMSGLVLIPFFSPFTSFFFFTPYRRIILGMVIKNLNKRPVATSETGHGSFPI >CRE14408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1182:1330:3370:1 gene:WBGene00075931 transcript:CRE14408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14408 MIKVETGDVIRSFNDHTGSVVSLQLTSNNQFLITGSGDFIVQMWDVTNGKCISRMGGLMAPVSTLAITSNDAFVVVACEDETLKVFSTVGGQELHELMGHEGKVNSLVCAQDDCQLFAATKSKIFCYDIHNGQMIDVLDIAQPYPICSLKVSISFVAWLHFLFQISSDNNFLISPCGPKVTIWNVTKRNHDAHDVHADKEGFLTAVALSNDDKYAACGTNNGIVALWDLEVCQCVYTTVQNKGDPITCIRYSIDSNYCISGNQAGCILILDAQNGGIVRELFMHSSEVLSIMSLVQNKMISCDIQGKMVIWELFGDDDTPEMVATGVKPPIFVPPTGRIMIGHCSLSNKELVFLIVSRLTQPIFRMKIWAFPDEGPPVTRAKLSHGDEITCFATSPKGGNFIATGSRDQSLKIWQIDRGFLTQVLVGHDNVVTCCCISYDEKVVVSGARDQKIIVWNVQSGDMICTVNTTAAITSLSMTGDSTVVFSTTEDGWVETWSTTEGKLLSTFNAHRPIKKLINSYESHRMLLLLENCAQLPILCLHNTPAVGVEATRRRSARAQSVSSASNEPVASSGSGEIKKDPTSSSNNGGSSHPAPRANAPKPTFDMLERSKSRTSLIEKVGWQR >CRE26873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1005:10610:11543:-1 gene:WBGene00075932 transcript:CRE26873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26873 MLLKQCSFVLLVLFATWIHAEEEMAIYDEVEDVANKTISGEVEVSDTEAGEKEKLDKKREVDENDIHEEVKAELDKAVESLKEAAREREEEENGLLSRTNLESCGAVDCNHRGTCIGTKKTFICACQLGFSGKTCEETVCDSARDCNGRGLCFGTTNQLTCLCNLGFTGKRCQTPI >CRE26871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1005:12359:13614:1 gene:WBGene00075933 transcript:CRE26871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26871 MSGELIQLSAGQQVQRWKIEKKLGEGGFGAVYRVFDSSGKYAMKVEGANEEIQVLKLEVSVLTELSKRGNRHFCRIEDKGRFKNFNYVVMTLVGKSLEDLRKAGNGGHMSMGCSIGIGIQALEALEDLHGIGYLHRDVKPGNYTIGRPELNEIRKVYILDFGMCRKFTGTDGNHQKATSSLQGFRGNCQNMLQSVVTCKKTCVEVMTWKLGCICKRNCLTDDFHGLISTIWAQVGKQKQAIRKMSISCSLHLKMRQSFSSTGSSEQAPYDWEAGGPASHVLR >CRE26874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1005:14087:15052:-1 gene:WBGene00075934 transcript:CRE26874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26874 MGIAGTDVSKQAADMILLNDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITPFMSYVLFGLPLPMSIIAILMIDLGTDLWPAISFAYEVPESDIMQRAPRNPIHDKLVNKRLVMFSYMQIGAIQACAGFTTYFVLMMSNGWFPQDLINLSEQWDNKYIDDLEDSYGQQWSYESRKALESCCYGTFFFTIVVTQWADLFASKTRKNSLVMQGLENQVLNTSVIFTCFLATFVLNTPFVNEVLGVQGFRLEIGFLALPFAFAIGLYDEFRRFFIRNYPGGYIYKETFY >CRE26909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1845:7870:8709:-1 gene:WBGene00075935 transcript:CRE26909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26909 MWRLFMDPFIACCSGALIMANVSLAFLEPTITTWMAETMPETPGWLVGVIWLPPFFPHVLGVYVTVKLLKSFPGYTWAIAMIGLSMEGIACFAIPYTNSVLTLIIPLAFVCFGIALIDTSLLPMLGHLVDTRHVSVYGSVYAIADISYSLAYAFGPIIAGWIVTNWGFKALNIIIFITNIGYAPVLFLLRKVHSYDSLNGGGAAPAQNGEMTQLNNTAPGYSQVGGKTETTVFNDSYQGWEDQQSYQNSANIPNHAVSFQDSRPQAEFPAGYDPLNPQW >CRE26908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1845:4357:7502:-1 gene:WBGene00075936 transcript:CRE26908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26908 MTDCTEKVSDDWYETALPKPPVPTLEATLDRYLEYAAVVAVGQRASLATTHDAAQKFVRQATPLQEQLLEIAEKSPNWATKFWLPEMYMRVRIPTPVNSNPGYIFPKVKLESKEDHLKYTALLTRGVLEYKNRIDTRQVCREKSTGAQKLQMCMEQYDRVLSCYREPGVGEDTQIRKQKTNDGNEHVLVMCRNQTFVLHSRINGALVSYADVEHQLTKIEEISKINQNNTTKIGASGVGPRDDAALFWQDMLTVEQNSKSYEWVKSALFVVCLDMEDEVDYGKNDTLNISAKEKEFIARGYSTLTGHGSSVFGLNRWYDATIQLVVSSSGVNGLCIEHSTAEGIVIINMAESAIRYAQKYFKSKMVWNDVRNVHPKSLTWHFSENSRTF >CRE26036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3365:511:1254:1 gene:WBGene00075937 transcript:CRE26036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26036 MTAIANGVALHGGFIPYVATFLMFMEYARNAVRMSALMKQRVIHVYTHDSIGLGEDGPTHQPVEQIASLRGTPNLNTWRPCDTVEASISWKSALTRNEGPTALIFSRQNLPFQTRTQAQIENVVKGGYVLAEEKGELKAIIIATGSEVSLAMEAYAQLDGVRVVSMPCAEEFVKQDAAYREAVLPSNIRARVAVEAGHVDYWWKFVGLDGKVIGMSTYGESAPAKDLFQFFGITTEAVVGAVKELTA >CRE14411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1182:12548:14667:-1 gene:WBGene00075940 transcript:CRE14411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14411 MKEEKDDLMHHVNDVPPIPTILLLGFQQMMICLSMLLVVPFLVSDMVCPGDKETEIRYGPTDICFFCDIWNRYPTTNYIWNEVCFYFQPLSHTNLRLAILHGPSFAYLPVLNTFQTMYPCNEHTDTSLWQQKIQMISGSCLIAVLVMPLFGFTGIIGFLSKFIGPITIVPIISLLTISAVPDVEQKMSLHWMSSVEFLILVVFIVLLEHWEMPLPAFSFSEKRFHVIRKKVLSQFPVSHSQSEAIFPSSTTNLQYIIGIGIGWFICFILTVINAIPINSSARTDQNSSIETLRSTPWFHIPIPGQYGTPTINVSLLCGFIASSFVAMIESIGDYNLCAQLSKQGRIPESNLNRGFVVEGIGCMLSSSFGIGTGITTYAENIAIMSVTKVASRITMQVAGVFLLAAGIFSKFSAVLAMIPEPVVGGVLAIGICMVNGVMLRNLLTVDLRLSRNLTIMGISIIMGLTVALHFENNPLKSGNQTVDNVFGTLLTIRMLIGGIIAFTLDNITPGATREQRGFRRFDESGDDGTLVENNGYALPSFVNRFFLKYRWLTYIPLVPSRDEIMDIEEKRMEIKYKL >CRE14410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1182:9872:12071:1 gene:WBGene00075941 transcript:CRE14410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14410 MKGIGLVSLRRRNRGKRSCVHLSSNSSEFSYGFSGSKFTILVNFLLVTWNRMFSFSGRLSKKPKNLKPRTKEQKEIDVLLSKDVKNLTLQETYKLASHLRHTSQFTMRVKNVTIGGVLKVRRRNDENRRMSEDRDSNSTIESTYSQKWDVSLNIEDVKGETRKKIGKGFQRRLTEDSEIGCLPKADSLRKSVKKVVWNEVEKQIYYEQQLRNTIENDPELCGYYQRRFKCRIDKTVMKTNAKTLWRHFNEKDGKQVANGDQEIQFTEKLMKFIRNGAYNPPRNRLQFIVEKSKNWDTSALIFSDIPKEEPDNIKIPHTLLYKYTNRNVVNEKKCPELAAIKKEAEKKIIKCDCCTGGAIKRCWQNPNCPCYITNMKLRQFQQVDDVVVNEKTNFSTFNPVLLRGGNSFFDTIGFACSDECECAGKCTNNVTLLIEKDVHPLELYRKDEQMGFGLRANTFIPCGTPVVEFTGELNHGDVKKSEHDYSYAIFSEDDSFPTMISKVLGKNTKCVAEIKKQFEKDERWFVNPKHIGNIARTCCHSCEPNMSMVRVFQKGFSPAHCRLLLVTHEVIFPGNELTFDYGPGYIQETLGNKCLCNKAGCRCSNIYETFSKCSEKSLEKYQALRYHIGYAEFKRNVLDAIEKKQSQKVVAQ >CRE17721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4343:183:1490:1 gene:WBGene00075948 transcript:CRE17721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17721 MEDPKKVAAETESYRKIAFFQTLHGVNGRIRRAARRHTGEVTRSKKIRRQNEDEEVEIIYVDEEGNEVEDQTPTAAPTAAPAPVAQAQVPASSFAAAPPAHRAPAPASSSSGSCCSCGVGPAGPPGQPGQDGAPGNDGNPGAPGHPGQDAAEDSHASADSFCFDCPAGPPGPSGAPGQKGPSGAPGAPGQSGGAARPGPPGPAGPPGPSGNPGSNGNPGAPGAPGQLVDVPGTPGPAGPPGPPGPAGAPGQPGQSGGASQPGQPGPQGDSGAPGQPGAPGQPGQPGQDGDSGSEGACDHCPPPRTAPGY >CRE17713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2263:970:5535:1 gene:WBGene00075951 transcript:CRE17713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17713 MSKITRRALIKNTGLGLGTIAAASLIGCSRSDSSAANEAAAHTSQHATPQKGGMIKIGVINGNQAGNLDAHKPIGMSSAFRGWPLYAKLWEWGRDIQPKLALAEFAEPNEDGTKWTIRLKKGLEFHHGKTITADDVIFSLRRLTDPKLASPFAAYLYSLQREAIKKRDDYTVEIPFAQGKGLVALPEAWMSWGGIVPTDYDPIKNVVGAGPFKLESFTPGQRSRFVRFENYWKDNQPYADAIEIIDFKDQTGRLAALQSGQIDIASGISAEHLSLIQANKRLNVVSSETDAWQSFDMNTTKAPFNDPRVRQAFRLIANRDDLVKRALAGQGRIANDLYSFSDPVYNHEIPQRKQDLAKAKTLLKAAGFDQNLKVDLYTGPDSNAALVFAQHAAQAGVIVNVKQVEAATFADAVKQDWALSTGSNVSRPFLLTVLQIDGPGAANNKTRFNQARFTELVHHALQQPDLEKRKVLVHEAQQIQHEQGGLLIWGFNNVLDAHSHQIGGFLILPILTLALSLIPYLMRLVRGSMIDALESDYVTSARLRGIPERRIIWKHVLPNALVPVVQGTALTIRVLLSGALIIEVVFSYPGIGNALNAAIEMRDIPTIQAIVLLLTICVVVVNLIADLMTTLLTPKIRTAKKHKARSPGLRQALRLWKSGRPITVKPVDQYQFRRGEMSTLHWYQRKFFKALISERQVRFGFVVTSLILIFALIGPVLVPFEPTALVGMTYGAPEAQAFLGYDYIGHDVWSRVLAGGASIIWMTLAASLVALIIGSSLGILAAFARDKVDQFVTWLTDVFMAFPDLILVLLIVSMLGREPWLIVLTVSIAFIPGVVRLARSVALNLVEQEYVEAAKILGYSKSYILCREILPNMLTPLLIHLGVMLTWAVGMLSGLAFLGYGIAPPAADWGLMINENRAGLLVQPWAVFAPVILIALFALGTNVLAEGNTVLQVKDLTVALVNTGNHVVSNISFHLNEGEVLGLVGESGSGKTTLSSALLGYARHGAKIIQGTIALDGQDILSLDDHALRQIRGYKISHVAQDPGTALNPALTIGQHLLELLEVHQSGLSSSERNHKVAKILDEVGLPQDDVFLKRYPHQLSGGQQQRILLALAFLLQPRLIVLDEPTTALDVTTQTLVLNIIRKLCREYNVAAIYVSHDLTVVKDIADRVIVLYSGQIAEDAALSQLFETPKHPYTQGLLNAIPDVSIRKYLSPIEGHAPAPNDRPTGCAFAARCTFASELCHQQQPKLTLLSQAFDPHFVACHHWDEVG >CRE17785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2510:193:540:-1 gene:WBGene00075952 transcript:CRE17785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17785 MSPLTSEIQSSVARTTNTDNSLLYEQMEFISTNGRFKMCIQPLEKKKKSDEFPIVPKQLQSSTRLKIESQKIKFSAQISLGTDHFIDLSGIFNGSSMKKNDVTNLVINGQSYSTY >CRE03600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2850:2589:2786:1 gene:WBGene00075954 transcript:CRE03600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03600 MPSLLQMILPPNLTTSTMMTSSSSESYDADNPILPPEPILGDYVEMFTLVLNFIVSAPLNLAAYTQ >CRE17784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2470:520:1314:-1 gene:WBGene00075958 transcript:CRE17784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17784 MDLELKDRVAIVTGGGMGIGKDVARLLSQEGCKVVICARRMSYLNEAAKEIATETGNEVLPLFCDTTDMKAVQFMVDQAVQHFGRIDILVNGAAAPSGVVRNDIEHAEDHELLGDLDTKVIGYFRCIKAVTPYMKKAGFGRVINIGGLTGRGSKVMSGMRNLAIAHLTKTLSDQLGPSGITVNLIHPGVVDTPHIQELYEREGIKQNKTPEQVRQGYIDSTPIRRTLAPIEMGYLIGFLASPKAGAITGESIGIDGGLTRGIFI >CRE23214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig798:220:1278:1 gene:WBGene00075964 transcript:CRE23214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23214 MPSSSLTDTFSPVASPPSTSSRPRSLSAEQAHELLSTLIPDNVYLDTKDIARQMKEWFTFGICTQAFFAVHVLGTVRNCLHRVLTIPRPFNSLKTGKKLYIKMYNWLKLSEDVKKEILSVFGINDEKPKKFKNVSEDMESFPDEYDYPKLGSRKRQVSLESEASSDSGVSTMSTRSSCHSSISTQMFESIEEGYDFPQSLTSSVSGSSLSPESSFHTSITTETFNGIINKPVNYVDTKRISVVVKNWLEETQVTQEWFATKILKRCRRTLNQCLNNPKDWKELNQKREIYVKMHNWMCLTEEQRHEMMRVYKAPNMNSH >CRE11010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1375326:1376651:1 gene:WBGene00075965 transcript:CRE11010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11010 MSQNDCQEHENEEKDYGKDYRSLNAEQLESKLKTLISNVAVIFELSDEQCLKLLQKYNYDKERLLEEGYSGEDALKSVEDVSQTSEHNDRQAMINDYVTKSRYLKWCPNGGCTRAIEVDYADIRTVRCSCQMEFCFSCDRGPHDPVPCDLLSHWLENNQRDSLEKIIYESKPCPKCGLLIQPDDKEDMKTGSVWCLNEECRQQFCWYCGVEWVGEHYDCEDFELPLNEKHEKLVSDFKRYSRYHELFTTQKENLGMEEAVRNYDNLLLKYTKFQLREKIESRETKTQYLQETLSKLLDCSRTLMYSYVLEFYLNEECNANIFKQIREDLQTDSAKLLEKFLKLEKGDDSIETKKIVQEMGESAVKKRINLLRNCAEEMKNDNWTFDEHKFIEM >CRE26907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1845:1132:2921:-1 gene:WBGene00075967 transcript:CRE26907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26907 MGFNVPVINRDSEILKQEAKKWLEQQENQKKCVLVIVSIALLLDNMLYMVIVPIIPKYLRDIHNYEVSFEGYHNETHRLANGTYLVKEVGGRIEYLDEELELGWLFASKALLQIFVNPFSGYIIDRVGYEIPMILGLCTMFFSTAIFALGKSYGVLLFARSLQGFGSAFADTSGLAMIADRFTEENERSAALGIALAFISFGCLVAPPFGSVLYSLAGKPVPFLILSFVCLADAIAVFMVINPHRRGTDSHGEKVQGS >CRE15160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2762:441:2937:1 gene:WBGene00075969 transcript:CRE15160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15160 MIIACSYLWVIGFGGYLIGQNQLQIGQFVAAVLMANLLVFRIESIGQVLHIFADARSSATRIWQMLDEKSAIVDAAYALPLQRDADGDEGVNIRLENVSFQDRSTEKYILKQCNVEFRAGEIVTIVGKTGAGKTTLMNLLNRFIDPTAGQVWIGSDQRGWLNLKDISLVNLRHMVQIIPQDNFFFSGTLADNLKIAKQDATEQEMRDALHLASASELLQRLDAGLETRIGDKGVTLSGGQKQRIALARSILKNSSILALDDSTSALDSTTEKQVLQRLSGLADNKNQLKRTILINSNKQTTISLSDRIIVLDQGQILAQGSHTELLQHCIEENLAKTALHRGMLKKLIPLLYPIRYLILAMISVEILQVLSIFVRPWAVKYILDSGFQQVAGKLVLYQPVLFIAIAILAFSWVCRFGLAGVSKYLSGKAALSVINDLRRSLFQHIQALNIGYFDRTKAGRIISRADRDVDTLEPVLIQGPPELLSAILRCGLASLLLWHIYPPFFWCLFATLPILLTMTAIFKKSSQRHWGRVAEERSRFTAHLVETVNGAKIIQQLNYSETNQQRYQQLLKDFNDSIIYGSKRTSWFAPFTGLLSTVATAVFIVIGSYAYSEGVISIGQFAESIFYVFLFLAPLQELTDLFERYANGAACAQRIFLLLDTQSSIQDSPHALKLGQLNGHIRFQSVDFAYTTKAVLQNFDLEIDAGTVVAIVGPTGHGKSTIVQLLTRFYEPQQGGIFLDRYPIQDIHLDSLRQNVSIVLQDNVLFSRHILDNLRLIQPEATEFNKLNSRRIGRNF >CRE31620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1527:10075:10829:-1 gene:WBGene00075970 transcript:CRE31620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31620 MPFFRNSVILFWSSLFLFYCSHIFFSFFQKPTSQTDSNEKMPNCPRCQKPVYFAERVTSIGFDWHRPCLRCENEACKKTLAAGSHSEREGKPYCNRCYGAMFGPRGYGHGGVESHTFHQGQTSGQSAVVKTKPL >CRE31616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1527:4530:7269:1 gene:WBGene00075971 transcript:CRE31616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31616 MDGDQTPEEQVEIGESDETSKFDDNVELTSVFSFSLDQRIMEGCVISAVLEPDGQETIVAVSVTNKVIIKDTETSLNITETIRCIAAAPFGEGYDCIVIGTDSSVICYNVHNNLTVFRNDVPDGVNCFAYGKLGDLEEAIYCGGNCCIWGFDKSGANTYWTVTGDQVTTMCLSDYDGDGETELVIGSPDFEIRVFKNDLMRAELMETDEITSLAHVTSGCFAYSLNNGTIGTYVLKERQWRIKSKSNVSKIFNFEEQGLMVVVWKQGKVDLRFAHNGEVLSRDSVATPVASATLSRDKENPTVTVVCLDGKVKGFKVQKATNGAIDKTQQLIREFGQKKHNLMMELSNYEQEEQLTEAEKDRDFRIPADTDVAVVFIVSCETQLLSLRVEASHAIPIRGVLIFAEGLFEGESYIWIPPNEHQSRSVIDIPLVIDKDSTNDLHTKVFLGHVDSNKLMVMENTRILPRFCRFTLLNKEFEKFFYMPTCFVKFKLSPRAIKLSEWVSESFTIDSSLVEIFDENEGDFKFMGLRPKHEKSLMFSIDPAEKTFTIFHDDIETVGAMVQTYSSFLQIQNMESVATFRMFSKRLKKFWKRFEFGNYWDKSKDSDL >CRE20653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1954:1124:2060:-1 gene:WBGene00075973 transcript:CRE20653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20653 MDPPKPFPILRLPFLAMEEVFKTMHPIEIIYFSMISKRAKTITKKKTFYLEYAIHFCVQKPLEIKIHGTNNLVSSHYLMTSDKQKDGKTLEDECDGFITRMVFKYSKDPVDEWKQLCKYVLEIFNKQTIDVLTVCMDVFVDQNVSIIDFLKANVKSVNECNLFQLGENNNVDEHAKYLLENIKITNKVISWLHIKNGDFAGKFPKNLKELYLLHSEWMGYEKLLEIDSVQVILGGNGISNMGWNSFIKKWIAMETHLNLELLDIDFKSLEQFRALVLYDIPHDVVDGSVKRVLKT >CRE29406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3409:189:389:-1 gene:WBGene00075974 transcript:CRE29406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29406 MTPTTSAIFRVEKAHRCQKSGDLYCRVHFKLMEENQNRKMLSVEENNNNEMDGQVENQEQEQVSDI >CRE21715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5191:584:2491:-1 gene:WBGene00075976 transcript:CRE21715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21715 MKKKKKLLKEKRQDLQGIRGLAILSVLGFHFLPSIFPNGYLGVDQFFVLSGFLMCMLLQRSHKSLKENEESWIGMPTKFITQFYYKRLKRILPLYFTIIFLAIVTLFYIFPDTAYETNIESGKRALFFISNRAATQEEDYFSMVLFMKLILEIAVDIFTHTWSLSVEFQFYFIIPFLFLFGTIRYIEKYQICFYSGLGLISYWFSIEFCTENQSFHSVFARVWQFKIGMIVFHITDSNASKIRKNTFPIIEHSSVLLGNRIKQSIKYFMTFVMIGIMVYPYELSDKVMRLVPPLFRQFVMFYQLTRPFFTVTTGLLMTITENNSILSSALLTYIGDISYSLYLIHWPMYAYWKLDMSDGSVWNGQLILVFLLALLVSILSYEIFEKYEQFRCFVQLQSFRWYLKLSATPITCLCVSLFVLNILALNRDKIEDWIAKRQPGNFKRLDGAGWKQNLQFWYI >CRE11390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:12:1418:-1 gene:WBGene00075978 transcript:CRE11390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11390 MEAVVGDLLGKSDFVYVDDLLIASENMEQHVHHIKEILSRLEKSGMKLRASKCHIAQKEVEYLGHRITPEGVKTEETKVNKMKNFARPENAEQMRSFLGLTSYYRKFMLNYAQVAADLTPLTSVKTAWTWQPEHEKAFQELIRLICTAPVLMQPDIEKAVDGSRPFRIYCDASKKGVGAVLAQEGEDGLQHPIAFASKALSPAEKRYHVTDLEALAMMSALRKFKTITYGTSVIVYTDHKPLISLLKESPLSDRLMRWSIEIMQFNVKIVYIAGKANVVADALSRGGCPSVEAEESETAELTNIIGEIKEKSESKESEGLNMEKWLEMLKGEEGWCDIIQFLESGCMKEKVKIPGLKGEVPVENYALVGNSLRNIEDDEYNRHVVPEKARLALVKEAHSGTVAGHFGTEKIMRQLRKRFYWPKMRVDIEKVVKSCPKCLCVNDHPKLVAPLKPYETSAPLEIVACDLID >CRE23866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5276:240:1553:1 gene:WBGene00075984 transcript:CRE23866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23866 MGLGKTAQTLAHILLEKQAGRLNQRPALIIAPTSLMHNWRKEAEKFTPELKVLVLQGHDRVEHFQEIKNVDIVLSTYPLLGRDEEFLLPYQYHLLILDEAQNIKNPRAKASQVVRQIKAKHRLCLTGTPMENHLGELWSLFHFLMPGFLYSQELFNKKYRNPIEKHADLQVKTKLISRIKPFMLRRLKTEVAKELPEKTTIEVNIDMNEQQSKLYEAVRATMQKNIRELIAAKGFHRSQIQILSALLKLRQVCCHPSLLQLDQVKNQNVESAKLDHLLEMVQDMVEEGRKILIFSQFTTMLQLIEEHLKTLNIRNVKLTGQTKKRDEVITAFQAGDIPVFLISLKAGGVGLNLTAADTVIHYDPWWNPAAEDQASDRAWRIGQDKPVFVYKLITNQSIEEKILALQKNKADLAKSILSIDHENEVKLSEDDVMSLLD >CRE22754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2998:1008:4282:-1 gene:WBGene00075986 transcript:CRE22754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22754 MLKSLVILSVCVLAVCQALQSCEDCLKSGNHFCADTKLCNSPVCLNSITHIINCPRAPNATYDDNEARTKWLPLFGASATGPVQAQKCFDNNWPTMKLSKHILVNCSDPSPILPLTTCAMLTAVDTTQKVLVMSFRATNTGTQLDEEILNYFVAKKPFFDSGYIFEFFYDAYVALWKGGLEQEMRNLKYKYPDYEVWVTGHSLGAALASVGASWVVKAGLFKPDSIKLLTAGQPRTGDYAYSLWHQNTFPYSFRVVHAHDIVPHVPFQYELVDHDKMYHHRTEIWYNNDMSVGSTYHVCQEADGFLLLQPERRFELERPHPLFQHRFGWLR >CRE20610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig508:8740:10249:1 gene:WBGene00075990 transcript:CRE20610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20610 MKTNKYGTPCMMCDRPKKDVEFKDVDVIYENMKRMKSNQRQRSQNSLDLIRITNNLDETTKNLSVFLEQIDARWKRSKSQPTMRLTKGMTCIPIISLYPLYLKYQPILTKLNVSRPSAAPSLQNNMDRLNSLLYDFSNDTPEQNYAPQAVITATAVYKFEPRSSRELPLNRGDIVRIIRDVDAYWMEGERNGRCGIFPNTYVQINSTNQSDTQKMRAIYPFTARSDTELSLKR >CRE24503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:586884:597493:-1 gene:WBGene00075991 transcript:CRE24503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24503 MSDFYITLPSSVPNSQFPNTSSRYVTRLPDVLTLERDKYRVAATDIIYPYSFVNVGKALDFWIHFRPAASSSSPPRIPVHVSFPPAQYSTADQIVRTLNNDNNVVVEGGPASRMKRAAVDFEISRAKRAKTEGAAAGGGGESVAACYLLSTPQPLPPPPPPPPPSLVFCDETIIMMPYRLNNGGGPNYDDDEEMPIYWDMDHRQQQPPTPEPLQEIQNQPQQQQQQQQVVHYFYHYHYIMGNLENMPPQAHAASIVASNAPPQQEVVVEETLRHQHHQQPRHADTTRGQVARNDELRQRAVARLEDQNLDIQDYEIDLFLARIGHNLDILDENLEQFVEKLEGRIVRNSLPKIGGQASMRAVGGFIENNV >CRE21105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3392:691:3278:1 gene:WBGene00075997 transcript:CRE21105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21105 MRGPRTVRTRILGVLLAAFAVLLGATGCADPGYDRTQQYFIAGGGIAGIYFNYGDQYAQALHRELGINVSVSETNGSVDNLQRVASGRALLGFAQADTAADAITGTGSFDTPLPLRAIARVYDESVQVVVPADSDVREIADLAGRTVSLGSATSGVQVIASRVLTASGVAPDRIENPALGLDESIAALRRGEIAAFFWSFNLFAHLTILVNVTLGPIKVHGVGREQAEREAMELLARVGVDKQASKLPAQLSGGQQQRVAIARSLAMHPKVMLFDEPTSALDPEMINEVLDVMVGLAKEGMTMIVVTHEMGFARRAADRVVFMADGQIVEQATPEEFFTNPQSTRAKDFLSKLITN >CRE22264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2631:4495:5061:1 gene:WBGene00076000 transcript:CRE22264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22264 MRFKQLGFIGLLGLALVGCDKTTKTPTQTTAIKAREPVIAIALGGGGAKGFAHIGVLKVLESHGIKPKIVTGTSAGSFVGSIYASGKTPYQMQQIAQQLKESDLRDLTLNSQGIVLGQKLQDYVNRNVANKPIEQFPIRFAALATRLDNGKKAEFIKGNARPSSSCFLQYSQCFLFPHHWHTKYVDGGL >CRE23817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1277:7751:10869:1 gene:WBGene00076002 transcript:CRE23817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23817 MKDSETLPLITRKAPIKYYHNQNVSRFERRRREKNKKQYYSRLEELNKLYEEDEKLMEGITKPEENEQSTDRLLANLSIALNLTLLFTNLLASILSGSLSIVSTFVDSLMDVTSSLIIGICLKLIKNTNMFNYPRGRNRLELVGVIICSILMGIANTLLVMESIRSIVGGDINPVMDVPTLSIMLGGSAVKVILCLICYRRG >CRE02571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:252114:254429:1 gene:WBGene00076005 transcript:CRE02571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02571 MKMMDQFNKPMEADEEMQRSNETVLGIDTFGNSTVEEVKDTGTAENENSNKSAEADTLEKVHEPAGKTNLEKFDEGLKSDKKEYVQKRAEIAPISSNSTDNLTEEDMKMMDQFNKTMEADEEMQRSNETVLGIDTFGAQGI >CRE09952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3378:3363:3779:1 gene:WBGene00076007 transcript:CRE09952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09952 MIEIDDFLINSTRVREKTENTPTGCMARNSIFCWRVSRFAANNQFFEFVSPHFTNFSSFFLFFVSFSHEKPHFLPKILLKFQEMTEVKTQNAISLKGSAQLVKEFFGIDFRRFWLKNFRIFCRFRSKQYPLSTWIVSE >CRE22269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3110:2708:4069:1 gene:WBGene00076008 transcript:CRE22269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22269 MRKKRQRFILFNFSSAAMRAFHMSWLAFFVCFFAWFACAPLMPVIAGEFHLTKDQIANINIAAVAITILVRLIVGPLCDKYGPRKTYTALLIIGSIPVFGVASANSYESFLFFRLLIGAIGASFVITQYHTSIMFAPNVVGTANATTAGWGNAGGGATQALMPLMLAALVMFGVEQAMGWRIALIVPGVLMLIVGAMYWKFTQDCPQGDFKELRAQGLHVGSDKKGGMAILLHAAKNYRVWILFGAYAACFGIEIFIHNIVAMYYVDHFKFGLKEAGMAAGIFGLLALFARALGGIVSDKVATKKGLDGRTKVLFAMILLEGVFLIVFSQMNTAILAILVMTVFALFTHMACGATYALVPFIDRDALGGVAGIIGAGGNVGAVAAGFLLKGMLDIQTTLMVLGGLVVIAASCVLMIRFSVEHKEKEQKLFEAAVLERNSMEQNAVSLKQNSAT >CRE21112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6032:1405:2040:-1 gene:WBGene00076013 transcript:CRE21112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21112 MACTCSHEPAPQKPNSKFRTALWIALFINLAMFFVELIGGAYAHSSALWADSLDFFGDSINYAVSLAVLGATLYWRATVALFKGAIMAIFGFVVIGKTIYAYTKGIPPEAITMGAIGILALIANVISALVLYAFRDGDSNMQSVWLCSRNDAIGNVAVIFAAIGVFGTGSMLPDIIVAVIMATLGLTGGYQVMKRALQERKQNMPTQHVLQ >CRE29293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1959:2670:4240:1 gene:WBGene00076014 transcript:CRE29293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29293 MGLFETEFEKITNVCLLEESTTAASAAALVFRRNHLDSEKPIVLDVKPSVSMNASEVSQKYLAWFASKEGVQLNMSTTYGEEKIGRYRVDGFVHPCPKYPQGLIVEFFGCYWHAHECTYSEESMIGCESAKEIRLKDEERLNALREFHPVKVVWECEVKKQLLRNPEMAAFFRDYEAVGLLHCDRALTGGRTEVFRLYANNEGKTLRYADVVSLYPTVMKHDPFPIGAPENVPKSSMEVPMRKPTDLTFRGFLSCKVLPPRHLKLPVLPIKDNGKLLFGLCKKCCRDSNQHDCEHSDNDRSFSGTFTTVELQKALSLGYEITEVFHVKTGIWWIHVILFLQGVKYENWVQNDESGRGGLFTSYINQMMEEKIYSTGWPANVITDAQKDAYCKAYFDKEQIHLTDYSRFQKIQGRELLPS >CRE29282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1479:10510:11512:1 gene:WBGene00076015 transcript:CRE29282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29282 MHVEQLCKALDTTDLDDEIRPKASHALEESDIELEDLQRVSTLGMGGFGRVEL >CRE08621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3139:113:4324:1 gene:WBGene00076016 transcript:CRE08621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08621 MILMLLQFCLRCPHGPPRRAPAHLRRDRRRGQPRGRGAAAARHPVGHQPAPQRHGAPHRVRAAAAHAAHRGDRGRRRGAAGRAPVGAHRRRRRARTLGRTDGRHRDPAGGERRLARDLGRAGARPGGARDRRALRGAARRRDRLDRAAAPRRGDGGPHLDQGGGARVQLDAGGRGSLPRRGGAGVRCGALRRRAHRRGAAPRPAYRVRSARRLAPGNFISFFGPKHERQAPELGAHAAAADGHAVLLEQRGEEDGPGGERDEHGDRRRRHLDDAAEHGARHPVAVGDRLHGGADRERVQVVVDEQHDGEQPGRDERAAARVHEALGPQREVAHGAGGVEHGDQHAEERDDEQQPLHRLVVHRGAEVADPRIDEAEAAEQQRADDGAAEEREQGAAGEDRHEQDRDDRQEGESGVLHLRVFRSGYSARAAEVGVPDLVTGDQCGILLPDREIGVGALADHAEVVVALREGGAAGVAVERLERGEALLGLVHVRVAVAGRAAQHRPREANAGVELGDRPVGAERERGACAQHRSRVPRLLRALRAEALRPGIAAVGDPPLACA >CRE26027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2525:206:1528:1 gene:WBGene00076017 transcript:CRE26027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26027 MKNIQKPQSKEKAIALGFTLGIHVVAITGLLFLGLSQPPEPPKQIKTVLIKPEDLPPPEPKPLVETDATETADSKVAENTQQTAEPDQTAPEIPTEANNTPDTKAIDAQKAAEQAKAAALEQQLAMAAAKAAQEKALKLSQAKADAAEKAKQEAAEKVKQAKNAAEKAKAEAEARSKADAAAKAKQEALQKAKADAAAKAKADANAKAKADAAAKAKAEAAEKARADANAKAKADAAAKAKADANAKAKADAAAKAKADAAAKAKADAAEKAKADANAKAKADAAAKAKADAAAKAKADAAAKAKADAAAKAKADADAKRKADLARELDEEKASAAEKAKEAAANKKAEARKVASSAKKDFESKVRNAWRMPAGSTGQKATARVTLTDSGSVASIIVNASDPDVKASVEQAVRNAAPFPMPSDPDARREARSFSANFTVK >CRE11610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1617:3950:4450:1 gene:WBGene00076019 transcript:CRE11610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11610 MNYTPGSYLQNLLKRSASNDGSDHTNGASSSSFSRRLPPRPPFESSHSTASSPSSSNAPRRMINLRSVSDRQGAARSSGDQSPNPLNMRSANSSGPDLHKISQIHAFILSKMPEGEAKERFLRSILDLEGPDSRRGSRDDVGSPKLKKTNSKSSVNSSSNVSFILK >CRE14064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:36705:42234:1 gene:WBGene00076021 transcript:CRE14064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-8 description:CRE-TWK-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MRC0] MPVLLRAVGFGRSSNNNRNLRPNSGASGDRLRRPSQISAGAQSSATRHSNPDRDKVVQSRIHETEGEEKEKETWGQRFHRAYKEYHIKYLFPLIFIMFYMLIGALIFYFLESGAAEEVASEEDYKYKRERRLLLLRMEELIQEPASKRRVYRKKALEEAIDNYEQKLDFSVKNESQWTFMSAMYFAGTLFTTIGYGDIACTTSAGRIATVIYSCVGIPFMLITLNDLGKFLYNNINGCVKGFEDFTTYLGAFRLCRRGNGNFPKGDDLVNVEAGTANPDIHIEVSSVASELGSERNESDYEDIEDEEERAMPRMSVKVALGITVGWIFFCSGLFKLWEDWTYGESCYFMFISLSTIGLGDVSVQRRDMMVLCFVFVIVGLSLVSMTINVIQVALEDFYVNLIMKLILDYQEKMAAGGDQMGASVGMMRMWGNNKTAKFLMPLLSKEKKKMAMEKVEVKAKNNGFEIPAILTDLDEKSGMPKLFHIEEQAEGEEPPKILEELVQKQIDIEEAAAENAVLFVAHDANTQTDILLQEEKGHQTDEKQYGEGGTQTEVLQADNLECETQTEMVLNSIAETQTVILDYKDSDTMTQPVIVNESDSQTEYVQTKEEELQTYIDTNDMETLTEIETKNVRIQTPQPVIEQKCVQTEDLQEDRKSPSKMSSAKKRLRRAFAGKSKPSRSSLEHPVMSDWKDVEESETAVDEEEEEIGSVESLHWDPVDGMHAEKQLPVKKLKAMFDSPKGQRKLE >CRE06229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1373:43:1548:-1 gene:WBGene00076026 transcript:CRE06229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06229 MRIKLLSLLILFIFLTVVMSVPTTSSSSSNREQKGQEEVDEDGSFAKPVKNGKTFANPPSFKNWGGLPGLSDVYRFKFKETDRENVPTDKRLLDVEIPVHSIKASDFHSESDLFATWLGHATVLVNLEGVNFITDPVWAERASFTSLVGPKRYRPPPMKIKDLPDLDFAVVSHDHYDHLDAEAVKKITDLNPQIKWFVPMGMKSWMENAGIGVDGSSSVTKLSWGESAEFEKDGKKFQVWCLPAQHWGQRGPFDRNRRLWSGWAVIGAKRKFYYSGDTGNCDSEFKKFGDKLGPFDLAAIPIGAYDEIFYESQHINQRA >CRE02791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3441:1656:2387:-1 gene:WBGene00076030 transcript:CRE02791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02791 MLIIPAIDLKDGKCVRLKQGRMEDDTVFSDDPVATAQHWVNEGARRLHLVDLNGAFAGTPIHKPVVEAIAKAQPELPIQIGGGIRSLETIEHYLDAGVSFVIIGTKAVQNPEFVEEACKKFAGHIIVGIDAINGMVATDGWANVTDVKATDLAKRFADAGVSSIVYTDIARDGMMQGVNVEQTVNLAQYSGLPVIASGGVTNLDDVRNLKGKPGILGAITGRAIYEGTLNLREAQLLLDEQGF >CRE22118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:40701:44511:-1 gene:WBGene00076032 transcript:CRE22118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22118 MHKLSKIRENEFLDLKNVRNPDFYNLKRQKSEFLKNFHIFPAIRNSHTVYFLMINIVFIISVLVLQIHKDCLNIEWPLGPKYNHTVRPCYASHDDNQREEVWVMTRLQLEPIGLVFLIFFVSILVIQFLAMLCHRFGTLAHIIASTELFCFRKTMDRLSEDELVAQNAVEIARELQAIRGIDENAHNIDNVSFEADIWIDRQKFPTEDRGISRRRVVQNLESSRRSMMKRKTETLDAAFKKRFFALSSEQAPDPAGFSARDNSKRLTLRKGTIRALEHRRDSLFGTLDNRKEDEVDATSMRGPAQRRLERLFTAQQDQQSPSNISDGNRRKSAGVRPLWEQPASGAPTSSADVELRRF >CRE11542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig818:4682:5566:-1 gene:WBGene00076033 transcript:CRE11542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11542 MSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINANKTKVLRNKFASSHQINIRKNNTMTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKMSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLRKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE19628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3148:405:1223:-1 gene:WBGene00076035 transcript:CRE19628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19628 MVSEIRLFTESTFFEKAQSYQTVLSLRGVVVNIATIEKLNARFLRLNPELALCVDEQGLSLCANGMKMQPDWKAEIPRLKRATLKSEMIARACNISEKPNLIDATAGLGHDALLMAHLGAKVTLIERHPILFTLLEDSKKQLENDPFLAKVVERIDLVFSDSAEYLQQLIITHQTVDVVYLDPMFPQRDQNQQAIKKQAQVKKQMQLLHMLLPEDGEMDLGDHLLVLARKIAKRVVVKRPRLAVFLNDQATDHQWQGDACRFDAYFNSNLIE >CRE23843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2156:6553:7889:1 gene:WBGene00076036 transcript:CRE23843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23843 MVSCKKVDNQLPADYTLTELTTVQYPFFTHGIHPMRQESITCSERKMLIEEKKFEMRQMPDRSGQHPNSKTLLNVSNTYETETNRFQRSVDYESEKWAVTRENMNQEIVGEPKESTSRQYLRKPSQSSMDSFTSLPLSNASNPSPMTVEQAIRLLTKPIPSQINVNTTEIVKEIKEWLGSSSYTNKYFASNILNIKGNHLTNIFAQPRDFISLRNTKEAFIKMYNWLEMSEDMRTEMLKMNLYEYESPLQDENDTPKKIFRQNPATMTAERIRELMNQPVAYMNTRKVTSDIKMWMARTQTTRKWFATNIMGRAKRTLVINLNYPKEWEELTRGKEVYVRLYNWMRMSEEERQDIMKFYGAQNVGEQESEEEDSKSLDGILKELRRQFSECNKQ >CRE30628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2527:4191:5052:1 gene:WBGene00076038 transcript:CRE30628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30628 MSQQAAAKVLWFSTRLKARNMSLNTLRKAVTKHMSFFSKYSIGIDIDEEQQVSVVGPEYITECVYIFTSNEEMNGKVVEEGDWDDMNELRAWKYSNNPVEEWMQLLKHVLDIFQRQSINSLSMTMDAFVDQNVSIIDFLKSNVKSVDRCNLCQLRDETNLVLLLDIKNNNFNAKIPKNLKELTILESKWIGYERLLEIDCKSVILEKNRISDEQWNLFFKKWISMETNQNLEYLELDNRDLEEFRGRVLYHMKWLIYHMKWLVKKFPEL >CRE18388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3789:889:2934:1 gene:WBGene00076039 transcript:CRE18388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18388 MADFTTFLHFPISRCGIHVHESCYGGLPVADPEETRWFCEPCQYGLIEPPHCEFCPSRFGAFKRADISGRWAHAVCSLYTHGVTWSQEHTTTGVSWENLENSVFGRRVCTACSDKIEARFGVASRCESGMCKEYMHVTCAQKLGLLVDETDESDDVIVAVPRYFFCKKHTNQENLKTFQRRFEQWEKAEGRRITVHRRKKALSGAEDALRIQMRETLEETIREEDRGKSNGSVAGGEKIKQARLLNSSTEFFDRFETKAEDSGLSKKEFRDPFYDIKLTNASHVPIGFSKEYIE >CRE24357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2315:86:1623:1 gene:WBGene00076040 transcript:CRE24357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24357 RRGSPQVVHGWKRYDAANPVEKTVKDLDELKFGQLKGFKDRFEKGEEGVEVQKTQVDLGEGVQLGNIKATFEKGAVDESEMTAEERAELKKREIEAEFQRYKLARKSAKAQEEAEGAGEGGAGNEKAYNPADVEVKMAGKAFEKFRQIDASGASPVLPNQKKSSEPSKWDKKDDKPTAEVINRRNVEDETPEQEDQDAFDVKNLMNKFKNIGESGNQKTQTSEHRAELEALKTAAKDFKAKFENSGEADADAAVVEAKRQQMEEEFEALKSERTRRAQNVLKRSVWQSRASQGTKHVMRCGN >CRE17789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2923:3011:3751:1 gene:WBGene00076041 transcript:CRE17789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17789 MFLPTLHHLIFSEHLNTPIDMPFYIQTPPLTPAQHMSSSSTVTSPTSHNNHLLAEDEEEQIDVVHEEEEEDMEEMKMEIDMQNLKTTPLRRSFRPLIASELRSGIHKTCSDSNLKRFSGSSGPLSSSCESTGPSSAFGFFQNPRPNRPASNLPGGVSHELKIDPLQTVQQFLQMHQSLMNSPSVPTCLSKLLIEVSQLKTHKKHKPKLFFVCLLLCFPFLCSFFLFYFCLRPPSSPLKTQVSSVCV >CRE09951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3338:2846:3673:1 gene:WBGene00076042 transcript:CRE09951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09951 MSDEVANDAIPLELPWSRFVAIGDSFTEGLGDPDPGSPGGLRGWADRFAEVLAEHDPEFAYANLAVRGKLIGQITDEQLDIALDLRPDLVSVCAGGNDVIRPGTDPDAVAEQLESIVSRLRDTGATVILFTGVDTRFQPVFRSIRGKVAIFNENVRKVAQRNDCFVVDQWALEQLQDSRYWAGDRLHLNALGHHTIARAALDALNVPNDLAPLDPPPLPVRTWREARKEDVVWAREHLVPWVIRRIKHVSSGDHIEPKRPQPGAVHPPRHDADLA >CRE15113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig882:5909:7570:-1 gene:WBGene00076046 transcript:CRE15113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15113 MPLGATNAFRHFIGLSSSSSFFFFCICSFSSFFFFLPKLPSHQLLLFQTKTSPNVKFLLESNIMNASTVSYEELEQFEPVPLLRHSYQLTVLYTVAYGAVFFTGVLGNTFVVLAVWAHKNLNITTDYLILSLALADLFILWICLPTTLINSIFTEWLWGQFFCRLSTWANASTSFASVYTLVAVTADRYLAICHTLKYNTSWDREYTKYVIFTVWFVAAIFGIPNWYNYDLIVWQEGNYGYRLCTSQTDQKLYFLFVNLLLAFIVPFGLISGLYTKIFITVSTHRSLAVDARAREDRVKLRVATMMLTVIIVFACCWLPLYCIFTYFFFFADQRSDLFQITSMVSFPKFSSSEYLFNFQLIRPIFQWMSLLSSSLNPIIYIAYSHKYRRAFKSILLMPCKTRYERVRSTILRRHSRGGKSTATISMSNFGTEPTNLGGAASLLIEPDGKQVERSTSDC >CRE06274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3333:2938:4110:1 gene:WBGene00076047 transcript:CRE06274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06274 MARRAADTLLSKLCDLVGLPMLEGIRVLCTPRSGPDRRLQAILKDSTPMRQTSLRRRALALVGIAAASALALSGCSSSASKDSGLRFGRQERQDRHQPARAAPRARRRDQGLQEGPRGFGHEGRLRRAERAGRAGERGHHRAEVRVERRRPRARGRDPRGAGRRAGDHDEARALHCGDRRGLGTAREVEREARRQRHRNERRGPDRQAARDAEADRAGRQDRRHRLQLGRGQLRGAGQGRRGGRQEAGPRVQDPDRDHGERHRSGRRGARRRRRDLRADRQHGRRGHRLARAGRREEADPGDRRRSRHRRRRRGRDPRHRLREARVPDRRARRADPQGRQEAGRPAGRDRQGVQLRGERGRGEAHGRHDPRRHPRQGREGQVVDLRCEME >CRE26928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3244:744:4289:1 gene:WBGene00076050 transcript:CRE26928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26928 MITRVGDVESPRRGSPSEVFSSDINHIHMNRKEEKKGCSKWTTIAFGVLAILFLLSTIALAIVVGIKYSEDDKPTEKIIQRDENGKVQFDWPTPSGSLFAHYKKAAVTSDHGLCSEIGRDILIEGGNAVDAMIASLLCIGTVNPQSSGIGGGFVMTLYNA >CRE12456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3322:1903:4371:1 gene:WBGene00076051 transcript:CRE12456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12456 MSSEDQIASQTEPTIEKAYDSSSIKVLRGLDAVRKRPGMYIGDTDDGSGLHHMVFEVVDNAIDEALAGHCDEILVTIHEDESVSVADNGRGIPTDIHPEEGVSAAEVILTILHAGGKFDDNSYKVSGGLHGVGVSVVNALSSKLELTIHRAGHIHQQEYKHGDPVYPLKIVGDVETTGTIVRFWPSTETFSQTIFNVDILARRLRELSFLNAGVRIVLRDERVALEHVFDYEGGLSEFVKYINQGKTHLNEIFHFTTQAENGIGVEVALQWNDTYQENVRCFTNNIPQKDGGTHLAGFRAALTRGLNSYMENENLLKKEKVAVTGDDAREGLTAIVSVKVPDPKFSSQTKEKLVSSEVKPAVEQAMNKAFSEYLLENPQAAKSIAGKIIDAARARDAARKAREMTRRKSALDIAGLPGKLADCQEKDPALSELYLVEGDSAGGSAKQGRNRKMQAILPLKGKILNVERARFDKMISSQEVGTLITALGCGIGREEYNPDKLRYHKIIIMTDADVDGSHIRTLLLTFFFRQMPELVERGYIYIAQPPLYKLKKGKQEQYIKDNDALETYLISNAIDELELRVNAEAPAIRGVALEKVIADYQTSQKSLQRLTVRYPATLLDGLLSLEHFKLDQNHDQDYVQQWGEKLRAAIETTQPSLRPVLSLESFDKETADGLKTTIYFPRITIYVHNLPHSYLLDAGLLASGEYKRLLQNSKSWFTLLEEGAYLQKGERKINVSTFHQVWQHILTDSRRGMMIQRYKGLGEMNAEQLWETTMDPENRNMLQVTIDDAIEADRMFACLMGDDVEPRRAFIEENALNADIDA >CRE22268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2870:4203:4613:-1 gene:WBGene00076056 transcript:CRE22268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22268 MVAPLYAKSNSVAEVLLQKTLKKYYVSLQFYCFQLPYCNPEDDYDFDVDYLAIYRRSINFFIFSSCESVMFPLLKKLSGSDGKMEKDRLTFQTCSNFQLPAVNHDLIFCLSDPNIYLS >CRE22266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2870:1264:3006:1 gene:WBGene00076057 transcript:CRE22266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22266 MLESPAVAVLFANKLYGRFAFIMPLCVACSTIGSANGVIFTSSRLFYSGAREGQMPVVLTMINKHTRTPIPAVILTGALSIAYLLASKDVYPLINYIQVKYFIMAQQSSSRSCSWSSTMKRGLKHEKFNFPSGLPSLHN >CRE09986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:163055:165471:1 gene:WBGene00076059 transcript:CRE09986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmk-3 description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E3M6N6] MASAPSSSNLPYSHVRRHEDVPTPSAPPTKRCNIQSQPPESYEPNTWLQQQMEHEQQKKLAEEAEKQSSGTTNNDGEEEEDVLSKPCGPHNRRFNFVMVRNITFAIPEGYDVDGTNIEYLGGGSFGNVIKTSAVCRDGMRRWVAIKKMREPFFDPHHARRIFREIKLLQLMRHDNIICALDIYTPDEENDFRDVYVVTEFAGRSLYRILKQQREYGRRVLTDEHIKFIIYQIIRALKYIHSANIIHRDLKPGNLALTDDSDLMILDFGLARSLEKKDTTLTQYVQTRWYRSPEVIYWKIDSYTNLADMWSVGCIAAELLTGDPLFPGDDGIASMPDTFSNKLITVNAQYQRITQLCGSPDEELLTKIENDNSSAMKAVIQSYKVFKRRNFREVFAAYNPSADFIDLIEKLLVLDPEKRITVEEAIQHPYLAEFSLPDDEPRADHIFDLDDSQARTRFEWRDAVWKEIMNYRRLDSSPLIPGEADR >CRE08629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3659:3228:3908:1 gene:WBGene00076061 transcript:CRE08629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08629 MAKDFNNPVVVEGYDAHIRKLIPGYELIHLQVHAVLKSYVSTQAKILVVGCGTGYELQYLAEQFPHWNFTAIDPAANMIDKAKQHIADLGLCSRIQFIQGDTSVLKRVDVSFDVALAILVSHFVPVDAKAQFLKDIANHLSSTGLCLSYELMEISNTKQLQALKNLSLAPGLTENQAQLMTDRIEQDFALISVDEMSALYLQAGFKRVENFAQVLNYHGFIAFKTD >CRE08630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3659:270:1547:-1 gene:WBGene00076062 transcript:CRE08630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08630 MRNKKNIQGKKSMKTQSLILSLLSVLPTAYTHAAAMDQSGQSILAFLEDKNYFEASIAAVDPKVSGKVRNRPDLVNAGSNDLSTGDMAQSYQYYNAALKLQIAPKVSFGLIYDQPYGAAIEYPLRSNNTFSDTEISMKGTLADVDTQNIAMLFGFQPDAHFNLYGGGVYQTVKGKVSLRGNSMSVFNGYDANFKQDSAVGWLAGAAFQIPEIALKAAVTYRSKIKHKIDAQETIFGQPLAFTTDKDTEINTPQSVNLDFQTGVYKDTLAYLNARWVNWKDFRIRPTQFGALTELATAELSQGLYAGGFNLDDYQKDQFSITVGLGHQFTQKWAASADVSWDSGTGNPASVLNPTKGGWGLGLGVQYNPTDNYFIAGGIKYIWIGDATAQDGTYYIPVPGASEIAQQGDYRDNNAIGYGLKIGYRF >CRE30638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3287:541:1278:1 gene:WBGene00076063 transcript:CRE30638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30638 MTKFLNDPKYEPVNMISFGAKKYFLSRRPKKEALLPGGFTNLAIAAQTTCAARLRLTQKAGIENMIYCDTDSEIYKENVGENKLELIRCEQLGFLTDEIPAGRKLKKVVVMTPKMYALRMEDQQGKSSYSVKAKGVSLTSKNSEAISFNTMKETMKDFISEGISEPLVAKMMTFKRGDNALDGLWTCITDKRVNPKMDKGHYDIHGVVTPFGQLPTNTLLIDDYPFYDQ >CRE14840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:82537:85445:1 gene:WBGene00076067 transcript:CRE14840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14840 MRKDIQCLRGVAIICVFLYHLFPTLFVNGFLGVDIFFVISGYLMGRNLTRTDITKVQDIIRFYYRIIFLTVVLVHIYLEDFLWENNDRYSLASLFLWTNQLVIEDQADYFREFQAERSSLNVFVHLWSLSLEMQFYIFVPFIFIGLQILRKDILKLIAVSSITITVFYLFATINPQFSFNFMFLRLWQFSAGFVALFWNKVAFFNTQKDTDSPINYPMSIEDIVIIALSVISICLLPSKVDVMISRPLVTIATALIITLESKSNQVIIFESKALGYIGDISYVVYLVHWPVLSIFISASVKGHLFCIIITFIASILFHHIFEKQYLQLSWKGVIPLIIVLILGNSYLQYSIRNDTFWKTTIPPEIEDVVHSNKKFFEYFWKVEPQNEKCIETEIQPPYEKMYGYCKFPQGHGNFSIMMLGNSYVMNLGEHMRMHFHHNYSDYRYVAINEGYGIYADSPTSHRGIEVGKQQVEMHKPDVLLIVARYTRAMKTSVSPDDQYVKQMNEAISFYEKFTKKIYIMDAHPLYSLGFLNLYLHYLIQKPDELESLHLKKKLADEEMSNVKKRFSMLKCEKCQLFDLSSVFVEGDKYLTFDREAKLSYVDNTVHITSAGLEKMDPLFKKLAEDVMDNF >CRE27963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1164:10473:13188:1 gene:WBGene00076068 transcript:CRE27963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27963 MRKDIQCLRGIAIICVFLYHLFPTLFVNGFLGVDIFFVISGYLMGRNLTRTDITKVQDILRFYYRRFKRILPLYFLVIFLTVVLVHIYLEDFLWENNDRYSLASLFLWTNQLVIEDQADYFRERVTVSTQTPRHHTEGLRYTRAMKTSVSPDDQYVQQMNEAISFYEKFTKKIYIMDAHPLYSLGFLNLYLHYLIQKPDELESLHLKKKLADEEMSNVKKRFSMLKCEKCQLFDLSSVFVEGDKYLTFDRETKLSYVDNTVHITSAGLEKMDPLFKKLAEDVMDNF >CRE26931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3364:301:2400:1 gene:WBGene00076070 transcript:CRE26931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26931 MHASRWFCSTFPPSPIIGSWTYDPCSQKIWYNFLLLQIISNIIKLPPNNEFLDNVAVVSHIVSGIALQHSLINNGTLVEIFVGELLKFGNFSISDVVGFNISKIETYIDSIKSARGSFNTNSLKFEETALSYDEVRNESESIRDFLNSSKSDRYFGDIEIAMKMDLGKLDTLKTSIDHLISEFKALKIDLSETTALRDTIQKFDDLKALVGSLKPLESLRNGTEIFTDFVKILKLASDRENLQSVTIKRAELDTFHSHMNLIQQMMRENDSINNEISKLKSFSANFASETTKSSFGFQYGLDDVKKLMEDVKHPWLVNMTGDLSYRLYRLSDGFRPLFVLTKQMIRLKEKLKKLSSVDTFPSLTHFDQIQKKLLSPSSLKLIDAVEKYKACTGFPISQNFKEKISNISRDAKIVERGITSLIVAVKTTSKIDLKSMFHNVEKNNRSIIVRQDLALLKTLYASVDRMRLSEALASLQNSRQIISAFRQNATGELAYHACIHKLKTGSEQLVQLIQAIQKIRDVDEKMISNAENGVSIISEVIEELSGIRKRFKNMKKGKWQEFLNMMRDSEAYSENIFQTLKYLREANSLIELRASISGLESIGSSVEMETREIGKLDDGKRIMKQWGDHEKDMDQLNDAIREIKIFYDFLNISKATTIAEYGVPILGMLHFPDVGMNSREKSEAIGELLEGVILDPKKI >CRE07965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2255:5886:8174:-1 gene:WBGene00076072 transcript:CRE07965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07965 MCLPWTHGPQTAEPPTKEQPSVHQSKHPFSLRLAIGAVIAGLVMLVAGSAAQAAPPSAGPAHAAPAKTADLKGKKFVIATDTTFAPFEFREGGKLVGIDMDLLNAIAEKEDFQVEIQSLGFQAAVSAVTSGQADGVIAGMSITDERKQTFDFSDPYFDSGVQMAVAKNSDVKGYDDLKGKTVVAKGGSEGESEAKKLSEKYGFTVKALDQSTSLIESVKNGSAAAVFDDYPVLKYGIAQGNGLKVVTDKIPGGQYGFAVAKGKNADLLAAFDEGLAALKSDGSYDKVLEKYLGTGGTAGSGGEAPAAMDLGGKKFTIATDTTFAPFEFRKDGKLVGIDMDLLNRIAKEENFQVDVQSLGFQAAVSAVTSGQADGVIAGMSITDERKQTFDFSDPYFDSGVQMAVAKNSDVKGYEDLKGKTVVAKGGSEGESEAKKLSEKYGFTVKALDQSTSLIESVKNGSAAAVFDDYPVLKYGIEQGNGLKVVTAKIPGGQYGFAVAKGKNADLLEAFNNGLARMKADGSYAKTLETYLGKDTSATADGGAKAQPGFIQLFVDSMPALGEGLWNTLRITMMAFIIAMVLGLIFGFMKISTSRTLRGIAAVYVAVFRGTPVLVWAFLFYFGLPQLTGVTGNVFWAGTATLALNSGAYLTEIVRGGLQAVDPGQMEAARSLGLGWGKSMQRVVVPQAVKISTPAIINQFVITLKDSSLLLTIGFAELLYQAQQIYAANYRTTEMLIIVGIMYFVVITLLTCVANIVDRKVNK >CRE11629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2297:9:5429:1 gene:WBGene00076073 transcript:CRE11629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11629 CENQEDAKQLAYGGTDLRDRYKAVSMDGTLFQQSGVMSGGSADLRAKSKKWDEKVVKQLREKRDDLNEKIADLQKNRRREIEVEGERSKIASSEQRLQIWKKELKNLREMQLERLQNELEGLTAELNMLPVSQIEKSYKEMKSKEKAAASDLKKHTESMKEAKEVLDEKKETATRLETEWNEVKKLAQVAMKEFTKAEKELLRLESLLTKKQYERHSLLHSVKLGQLALPLKSGSMADVEYEEDDGGER >CRE22284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5790:1117:1920:-1 gene:WBGene00076077 transcript:CRE22284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22284 MQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEDVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESNRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCAEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE18271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig310:5472:7655:-1 gene:WBGene00076081 transcript:CRE18271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18271 MSSLGTESIFLKKGELVASGEVEGFDVIGENEENLKLLEEFFERSKLLEQDMETINLIETNVNSGERWDILCEQLKKTCAKSEEEEDVWKVIKDYQHIFATDDTELGRTNVVECEIELTEGAQPVRQKARPIPLAIRGEIRKMIQKMLSQRVIRESKSPWASPVVLVKKKDGSVRMCIDYRKVNLLIKYNAHPLPNIETTLLSLAGKKVFTTFDLLAGYWQLPLKEESKEITAFAIGSELFEWNVLPFGLATSPAIFQAAMECVVGDLLGTCVFVYVDDLLIASENMKEHAIHVQTILERIEKSGMKLKASKCWIAREEVDYLGHMITPEGVKTEEAKVDKMKKFARPEDVKQLQSFLGLVGYYRNFIMSYSKIAYPLNFLTSKKNAWVWGTEQENAFVQLKSSVCSAPVLKQPDPETAISGARPYLIYTDASRQGVGAVLAQEANDGEQHPIAFASKSLTSAETRYHITDLEALAMMFALRRFRTIIYGSQVIVFTDHKPLISLMRGSRLADRLMRWSIELIEFNPKIVYVKGKANVVADALSRGGCPLIDPDDMETGDMPNIIGEVKMIKEGNKFDTSEWLGKLRKEEGWNEVIGRLENGEKTGSVKFPGIRKGIWLDNYMIIGKSLRNTEDENCSRLVVPEEIIPSLIKEAHEGELAGHFGTEKMLRQLNKKFFWIRMRATVENHVKSCQKCLYTNDYTKMVAPLTPYKTEYPLQIVACDLLCP >CRE05814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1318763:1319815:-1 gene:WBGene00076083 transcript:CRE05814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05814 VTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSSVQSSSESTPVTSTTLESSTTETSSVSKAVLNLPQ >CRE23819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1277:4737:6431:-1 gene:WBGene00076085 transcript:CRE23819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23819 METTSKTDDTDRPIGYASHLKRFGASLADHHSYSRKDFVFTLADCHQKLGIEWHEESLETVPNEAVEEIEEFDTKIEWKALECPKCHRRVEDTRKLTDHLVEFHGKSQDKLWYSEFKTIGSDVKRWFDKAVKRQAESSLVKKKFNNSNNMLICPADSKLAPFLMIKHLEVYFEELAAPATDGIALNIVVDEKSYPVIFSFHKVDKVRRRIKRSLVKKSFEKRLKVLEDHCARVSILDEDVIFKKSRTAEEHKALAQALQLPETCSYEEIVNKITEMRAKHDDLNPFCSSVYSKSVKPDGQKMSAEEKRLISSLTNDMVTPVSGKRKTTYKIPSELGLGATKKRQRTTTPE >CRE12636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1221409:1222849:1 gene:WBGene00076089 transcript:CRE12636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12636 MFIGNSSKLPSDFLTCSLVRFLLFLFRFRVLYYGKKRMILIKFILFLMHSFVTSELTMMLVYGVPNSLKNADLDSRLNWTECIQSCYNSQPCVMAWQVSGSCATYEYSVMGSVTKTDSSNGSIVAFKVETTDGECPIGSNPPTFNNQNATGSLYIDYDTNFFPKWVYYTIYLKNNSCTPSDNFIEIVERSDGSSICVTGYYDDKNTSGGYSYDNSVEYCNDLSTHPTGVWYPEDAAYFPKLAQKMLSYLAFNNTYIRIDGIRTTACQSTPSTAECMSVKGFNFTGPPVENFDYYDWVTDSSAQETLDDNCIVMVVNGSNPLKMDVRSCFSDGSPFPPKLIFCSCPAWIF >CRE12415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1056:7226:11661:1 gene:WBGene00076090 transcript:CRE12415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12415 MLPSRTTCVSHLSNTQKEISLERIWKNCDGLIILRITAIIAQRPLPRRTPTSHMKGASGDLIIRRLSSTNDAQGITWCTACAVTVSLLANQVIVTAFGEYDEAIEKKIAYSSLLFIFYSGDLTPPFTPTVCYNSAIMAWIASPLSEEFPSAAADKMYENPIQSYNNWIFLACIFTLFSIYFFMVKKLAQGQKSKASRAIFIQCSIICFWNTGVALVYNALVYVTPSPPVLIFAQICWAFNHACPAVIYMTMNSTIRREYKKIVFGIMSQKVEDGSTVKTVSQTRI >CRE13246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4420:690:1073:-1 gene:WBGene00076092 transcript:CRE13246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13246 MIAKYAEVLKTRGILPEYFLVHEAKSSQYIDEDGDVANEFYQETMSDGEKRRLCRLIKNLRPKVSNFRENLDC >CRE26042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3885:809:3310:1 gene:WBGene00076095 transcript:CRE26042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26042 MASFFLIALLATPALGCIGGLGGGGGGCCQPSQPACSNPCGGASAAGYAAPAAGYPRAPVGYAAPPPPPPPPAFGGIGGAAYPGAGAGGQYDTGVHGGAQGGYAGAQGAQGGYAGAQGAQGGYAGAQAQGAQGGYAGAQGAQGGYAGAQGGYAGAQGAQGGYAGEDKSLPKDTPEPDPKSLPESLQPRAICCERRYTSQQQESCCPAAGYDKDQPPFMLIPLLSLPREPKPKHQLHQFNQRNLLLLLKRLPLKRLHHHNQPLMFHQHQHSLSKSQDTSKLPQPLRPRKNLTMMSSQLRRPMLSQNNQLLEVDTQQLKLQLSQMVDTAPT >CRE13890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6581:846:1709:-1 gene:WBGene00076098 transcript:CRE13890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13890 MAAIRTNWQNIRQYIWLVGGLICLLVALIFWAVTDTKDLVTVVNPIEESQVQIQPEKVAATSHLGALMDEVRPLEMTTRIVTAGSHDAEFRGTKFFQENKKAWTIELFKTSDEDVILGFLQKQADRKNFIYFRLSGEDQAEQYVLAYGLFKSDDQAKSQLQQLNLKLPASIHPQAIQFEKYSALINDLGSDEMASANKLYQVKLKSAPLPVVDETLLAQAKSALSSLTGSAPANQTTKTTITRRDASGNVVDVQKSQSRAQPSSNNKETGATADKKPAEHEVSDPFN >CRE07076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig334:51359:52429:-1 gene:WBGene00076100 transcript:CRE07076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07076 MRKFQTRIKKIQHDIKKQLFRREKHILSTVHSRSALALINKRLKCRSTIPHLSIGSDLITSDSSKASIFAAEFLSNYNTSTHSPPTLVPNNPSSPAHPQSSRDTFSPWVIEQVISKIPPKCGFSLHLANYFIIKNCATSLALPLSIIYSESLESSVVPSAWKHGTIIPVFKKGNPSSPQNYRPITLTDPFARIFERILCRQIRTDLGHRFSIHQHGFLARRSCPSSLVYSTANYRRILKTHHSLDVVFFDFKKAFDKVDHIILLKKLANFGLSNPHISWFEAFLKERTFSVMVNGSLDNKISPIPSGVPQGTVSGPLLFLIYINDLLLKLPPNIPVQEAKYAYMFRSIRKCLSDIF >CRE10381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:117637:120767:-1 gene:WBGene00076102 transcript:CRE10381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10381 MKHRIPLLLLLTTIPLSTESTVSDGRAPPCQLPPFTNLLPLNSRRELRKIWAGFHSTTSARKCERQLAQTKELIAGLAEDMKLKILDWDRPTVSPTDEQRGTNFLAGLSEEQTKVYSGIMSNRSITHDMRYDLLRNWASENLDLDAINQVEQFITFQQRKNKSFKQKVEKLSSEARIAHDRLESLRLLKQDVYSNLSETAKKELAGLYRTKCPRGSIYEASDLDAEEIQLACSVDDRIPLFRTTTMASTTEEAPEESSTTSEPLTTTTTVPPTTTTTVTTTTVPPTTTTATTVPSTTTTTTTVPPTTTVPPTTTVPPTTTTTVTDDSLWVKLTTTPPPPETTEGRLSFEIEKSNNTVPLIPSRPHQVASMFVADPERLTDLLKKIFSHRNND >CRE13877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3661:2871:3785:1 gene:WBGene00076105 transcript:CRE13877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13877 MLELRHLKTLTALREHGSLVAAAGDLCLTPSALSHQLRELDQWFGVEVVNRRSRPVSFSNVGQRLLKLADDILPQVQIAQSDITRIVHGQTGRIVFSSECHSCFDWLMPLLNQYRQQYPDVDLDFASGFEANPHELLQTGEFDLLITADPIALKGVEYFPIFEYESRLVLSITHPLARMKEITVQELAEETLVTYPVDKHRLDIMSRLFIPANIQPKHIRTTDLTQMLIQLVASGHGIAALPDWVVNEYEQKGWVVSRRLDCVAPEGLRRTLYAGYRVEEKEKDYFDGFLKQLDKFSKKRATYY >CRE13179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig860:1034:6169:-1 gene:WBGene00076106 transcript:CRE13179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13179 MFLFFQKSALFENKGTVKDEAREEELAALKQGNNELKKMKKDFETGAVHNIENEDEDAKIARLEERQKLTEIGQEKFSKFKTKFENIDSTMEEDLEQKLKRMQKEQIGGLGKDTLASAKERFEKGESDIIVEKTAVDIERSADLSKMKAAFQEVKQEEKKNCCICDKVVYPVEKVVANKNLYHVQCFKCCKCAKKLTPTNFNSHEGKLLCKVHMLEVFHPEVAKTMDPANTEEDEHAASDEEEFAVSSKPKQLQGVVKCKLSLVIKIIALNFPPPPNIPNWKPKTSFFSFHFEIRVISSEDDVSKML >CRE03578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2050:115:1353:-1 gene:WBGene00076107 transcript:CRE03578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03578 MEDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSVPDKSPGKDSTNSDKASHDEVLVGALTGGSAPDKSPEKDSTNSDNTSHDEVLVGTLKSGKQGPEDGPEKDSEASSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSKSESKTSSGNSEDTPHDKPLVGALTGGEQGSEDGPERDSDNTSDANSLVKALKGGQTDSEILAFLLTFILLTIWEKKERDQIVEALKSAETGGVKENDEKKEDGNDVLKKILEKHFVELEKLHGVDSSLQEAIRKMTDNQTAFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKGDSSVVKKQLMH >CRE17724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2627:3810:4742:-1 gene:WBGene00076109 transcript:CRE17724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17724 MITGIEILKFGVEDRAASNKFLADFGLKPSQSDIEGTDLYQTQNGSKIYLFDCDDPRLPQAIESGSTLREVTWGLDDAAQDLADLATRLKDVDGYQATADMLQCLDPNGMTIRFQASFTQELPALKTEGINQYGSITRVNAASPVYEKGQPVAIGHVVFFTPDLEKTESFYIEKLGFFLSDAYRNRGAFLRCRGKGYHHDLFLLSVPNKPAGLNHVAFVVRDIHEVIGGGLNMNRSEWSTFIGPGRHPISSAYFWYVNSPLGGAFEYYTNDDYLTEEWQPRVEEHCLELFTEWAVEGGLDDHTRRQVKPV >CRE17791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3003:192:1346:-1 gene:WBGene00076112 transcript:CRE17791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17791 MSHFQFQTVANIISGLGSIQELSTVLGNQYHKALIVTDAGMIQHALHLPLLKILAQCNLDYEIYADVQADPAEQIVLSAVQFAKDNQVDVVIGFGGGSSMDVAKLIAILANPKQSQSIQEIYGVNNVKGPRLPLILIPTTAGTGSEVTPISIVTTGETTKAGIVSPILYADVAILDATFTSGLPAHITAATGIDAMVHAIEAYTSKIKKNFYADMLAKQALKLLNKNLKLVLADGTNLAARQNMLVGSMLAGQAFANAPVGAVHALAYPLGGHFHLSHGHTNALVLLEVLKFNAPHAKALYAELMQWLDPYSKGSTEGLCDLFIDHMQRHLDHSGLTLKLRDLNVHEQQLDRLASDAMLQTRLLQNNPRPLLHEDALKIYQAIY >CRE23847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2316:976:3747:1 gene:WBGene00076115 transcript:CRE23847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23847 MISRTFWKFSILLLFIPTIEACHPQFQKELRYLDLYLGIKPDNNGEIPVEEAVGFATYIESPDELNCDFETECLWRNAPTDNLLDTSDWWYFKKSDEKTFPVQIQPGNPSIPKGEHFIIAGNTTKNANGAVISSAPIACQKGFANLTFRSDILQKQFNSSKEENYSFWLYNNAQVEVIILRAMNRRRHLQVLMRPKMKCDFLGAANEECRVEIPPIKEPFRIGIRAFDLKDNTVGSLAIIDHIRYHGKICQQSPFPSSFSSLRIPPYDNSPLVRMTDLSCERPLRSCRWGNMAKSILSEWRVGRSIDRWVDMFEITANQSHPNSSFLFLAVDSFSPRPYSSLTSQVIPCSQRTTSLSLKYWMKTGTQAEICAVDEDGVALSCAYLEPNDSPGPITIDLDSYSQPFKFTVGIIAFDESTFGLLAISELKVLGLLCTEPAPPIVTTISPPIIQHIFGLQPGNGRYVPYDLSLNCDFTKDYCSQWVNYDGVVKYGVMPRDSDVFPIPKGVKGNVAVFLMQNATVSNLRSRMVPCSYNGRIDVEYMRSEDAQVRICALEQCVDGNQTNGKISIGVSSTTPFYVSIEASSRDDAVVLINKISTSGDFCPLKTAEQTVCDQLICNFKDTFCNYETIVEKAGDVPVLKTANGASVTLNGGGIRAVLLSPTFDLAYPSILTITLSQSTFGSRVLLCPDVRSDPTLCHELSGPRVLDSSDKQVMFPLDIGARSFLLVLLHDKSIEFGPATFNIKSMKLRTVNNEEMCY >CRE24645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:47798:49236:1 gene:WBGene00076117 transcript:CRE24645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24645 MGILPKDARDICESFGGKIATNTKENQKHLEEEILKNCDIKSSFWPKFCIWEDLNEKREDLEFQMNQGVLMTHPIHLGDMLHLKLEIEPNTLEVVQISDSILEDIPINNIQNVILQLAIHNDYNNGIDTKPEYKMVTVGRGTIKKLEKAFEVSQKSIPVYSCRKASYVACDVISAIPTTTELPATRKIRKKTSTVESETVENAETTSSKPQGSSYLHSEFLDEHIMFFVSLSGISVAMLVGSLVLQIMKMWQNRKLRVAKKKKNK >CRE27985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1684:5548:6998:-1 gene:WBGene00076119 transcript:CRE27985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27985 MNGKVSIIPRGQHNKPTGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDQNTREKEPQIRLEGNTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVSPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE10323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1193943:1194780:-1 gene:WBGene00076123 transcript:CRE10323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10323 MAYTRIARCIATLTNLLFLSVSIFLLLITLLSAFNPPKPVVSPERVNEYPQFIVTLLLIGCYATFLFVLSLLGLVSLCFLNSILLFVFILGQVAMMFMIGISFAFTLTVRKRLHMKLEDIWKNKPNCLEGQPCVPLDTFRSSENLLICLLLIFFAVQIIQLIASWYLCERRSSQEKYKLQLQKADEDDE >CRE13458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:223626:224930:1 gene:WBGene00076124 transcript:CRE13458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13458 MFCLETEVPINGWANSLFVHLSLQTSSGFNDRIRTSIDKIYEKDAGQRNALVIVRPPGHHASASKSSGFCIFNNVAVAAKYAQRRYKAKRILILDWDVHHGNGTQEIFYEDANVMYMSIHRHDKGNFYPVGEPKDYFDVGEGAGEGMSVNVPFSGAQMGDIEYQMAFQRVIMPISYQFNPDLVLISAGFDAAIDDPLGDYRVTPETFALMTYQLSSLASGRVITVLEGGYNLTSISNSALAVCEVLQNRAMLRRLRDEKEQFATKPNKLESSSIKTIREVCALQQKYWSILKGFQIIFGSVLNSKLVNKNGKSNAATLKVKTKAATDPIEPSSSRRYNTRRQNRRRSDNSEVDDVMAKIENMKL >CRE13459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:225182:226019:1 gene:WBGene00076125 transcript:CRE13459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13459 MSDQSSSGSSSAPPPSYQDLELMSMGPAHAVVPLSECPHLDQVQPLPLTGIDASTNCSDCRLGAEIWTGLTCYQSDVYTRSIDRFCNPLDTKYHHHSQPFGSKSMKSHSGDRGDDNPM >CRE13519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:226269:227820:-1 gene:WBGene00076126 transcript:CRE13519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13519 MSETPTKKQKRRATIFVTGPQSKRRFTKKILTLARQRLHNELAAVNTASGIEILVQIFMDEMEILRHRIRESGQRMRNFFYDGSHQIRAILLRIFSKSISSRNMIEYKNEARVIMNEMRDQTNTYKMPRYTMNDIEEVLLSDDLEDGIIQRRSEKWSYLPERQKPLYEDDEDVFSEFRKLNVPMSMTYDEDEEDRLSMPSTRTGVTTFTAEDQMAAIQNQLAMLSKQLMSLQKGGGVEVKRGSSRASSRRGVKTMTKVTVFFEKQNKTNFLQKASVEISSNSSSSSDVSEDEGKGPSVCSPSLSSNRKTSESSSSKQLSSVSIQIAPLSMAPPPPPPLPKMAPKAPLSEIKSDNVRTPSKPAGKRMTPNSLPPAETILSSRPYLTDIAQGRNLLKKTVRSPGGSPACQDRHQKRAVSSFEAALRDRFRGFHGDNSYSEQEENGDDDDVNATWDE >CRE26934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3884:492:2448:-1 gene:WBGene00076128 transcript:CRE26934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26934 MDTQGTFDNNSTYQQCMTVFALSTIVSSVQIYNVVDNIQEDALQHLSLFVEYGRMAMEQPHNFGKPFQQLVFCVRDFKNQEEYEFGENGGTDFLDNILQTNPEQPEEIKAVRELLREYFEDIQCYLLPHPGYKVAERQSFRGHVKDLRPLFREELKKMVPNLLGPHNLKPKIVNGKTVTCRKMIQYFKEYAASFDGETLPQPQSILNANAKLICIEAAHEAKVNYCRGMDRSTYGTRMMSEKKLLEAHIKHGITALNIYDKCPKIGSKEVRSLLLEKLQEDINVSFFIYVLLKILIFDYN >CRE27991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1964:3501:4424:1 gene:WBGene00076129 transcript:CRE27991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27991 MYRMFQAQYQDDTVSCRKKCDRRIKTATSSAPKIAKYHETSEIALCLLRCRKDMFGDHQTVRKMSTYHDLEERKPYQYMHICYYHQGELAMAVQSAYTFLVANPDDKDIIQSLNWYMDRDGYSDEMLIDMERKDHEAKFMNGAEAYDEQDWGRCVHEFETSLEKSLIQDEKCRILCQDKIDWSVVDGNPELEILLASMRSSVIRCDHNCLYKLSNINGHYVGNLLAAHFEYLHYCHFKCKFLRTRDGHEVSVEI >CRE19643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4828:657:1595:1 gene:WBGene00076140 transcript:CRE19643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19643 MDTELKPLSMIYNEKSGFHANQKDDIYEKLMTIWTSHGFEIQVFDIGSEPDIKTLMQKIFQRHAQYSDCGVIVAAGGDGTLNAVASQMLKQKIPMGILPLGTFNYVARVLHIPLNILEAANVIATGEPRASHVACINDQIYLNNASLGLYPLFIKKREEYNRRFGRFPLNAYTSGLDVLIRDRKELKLEIEVDGQKYPVKTPLIFFGNNQLQLKELNLKISKCAEVGEIAGVVIAKGDKATLFKTLFQTIRGKLENASDVYSFGAQKVIVYSKKPKLTVAVDGEIVSMTTPLTLHVEKNALKIMVPHVIASV >CRE14439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2102:3094:4882:1 gene:WBGene00076142 transcript:CRE14439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14439 MRQDRRNLLERNILVFSNKLQSEQILEVLIAKQILNADNGDVINSCRTERDKRKEIVKAVQRRGDVAFDAFYDALRDTGHHELAAVLEPLARTIDFITPRDLECPMSPASHRRSRALSPSTFSSPTRVHRDSVSSVSSFTSTYQDVYTRARSTSRSSRPLHTSDRHNYVSPSNSFQSQPSSANSSFTGCSSLGYSSSRTRSYSKASAHSQYIFHEEDMNYVDAPTIHRVFDEKTMYRNFSTPRGLCLIINNEHFEQMPTRNGTKADKDNISNLFRCMGYIVHCKDNLTGRAMMLTIRDFAKNETHGDSAILVILSHGEENVIIGVDDVSVNVHEIYDLLNAANAPRLANKPKLVFVQACRGERRDNGFPVLDSVDGVPALIRPRGWD >CRE10122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1021691:1022527:1 gene:WBGene00076143 transcript:CRE10122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10122 MRLILLLVAVVLIAFIDGASGEEFELKVEAKEECDAGEKTDQNLKINGRQTIGNKKYRIVEAYIYWTNSNGKVIGSAACNVPGSKKCVALNPSPKNAARRYLVVAVTLNKSSFEDQNIHYQYFDELFQLSKIPDPKKLPTADIHNLNNFLYIANASYTTSAETLRFTGINCRENRAKSLISGHGYFITEFGGKGAWDNLYFLPQNGQYITTPN >CRE20153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2068:2200:3423:1 gene:WBGene00076144 transcript:CRE20153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20153 SPSPAFLQNLFLNQAPQNHVSLKTEETSSTTSSVTESSISTKSVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESNISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETEETSSTTSSVTESSISTESVSESSSTEPCVTETGETSSTTSSVTESSISTESVSESSSTEPCVTETEERSSTTSSVTESNISTESVSESSSPEPCVTETEEESSTTLSPRVPHFYRICF >CRE09873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:41703:43950:1 gene:WBGene00076146 transcript:CRE09873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09873 MGKKKSGKVASGLNDERFSRIKSDPMFSGLKSSEKKVVIDKRFAAALTDERFSTRAKVDMRGRKQKKVVGNNMLDLYELEEEEEVNHQKPGKTEKKLSKSKKVEDDVDSELDEFFDEGDDEVAEDVEEADDEEESDEEVEPEKTGLNGFKKLDLARGEGNVDSSSDDDSSDEEEADHDDKTDGIELDLANLDKDVDQVEWTSRRLAVCNLEWDNMSCEDILMLVKSFTYVNHYVVIHFLIYFSPQDGAVVSVGIYLSDFGKEQLDKEEKTGPLLKLSKPVDEYKEDEMDDETRTAVREYLVNRLKHYYAVITFDSIPSAVAVYEECDGFQFEETGLKMDMRFIPDEMDFEEDRVKEFLNAEDVNMAKYKVTKKSKSAIISTGAKITWDEDDPMRKKSSSKHSWRRRGCEQKLSSKQEDLVQKLKKQADKWNKKKSAKN >CRE12618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1063430:1064254:1 gene:WBGene00076147 transcript:CRE12618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12618 MKLIVSLLVLAGFVSSARNGIDFIQPVTVATFQCIKNAGYSFVIPRVFTSLGKVDTTGVNNVKNARAAGLTDVDGYVFPCLSTSCPSAANQVKAALDALKNAGTKVSTLWLDIERLAWPANHASNRAFIEAMVKEAQAYGQQVGIYSNYYNWQDIVGLDYHGQSNLMLWWAAYDGVKDFSKFAAFGGWTRPTIHQWEGTTAGPCGVSVDMNYVP >CRE09928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1659:4859:6007:-1 gene:WBGene00076148 transcript:CRE09928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09928 MSPRKAASSLVHSISEYRLLLSSHKSLDVVYFDFRKAFDQVDHQFLISKLDSFGIPSNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLCIAAFADDIKLYSHDPLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLFLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE26033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3045:140:2870:-1 gene:WBGene00076149 transcript:CRE26033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26033 MRKVQKQSYRLSATQIDILLSDMTAACRKEEFEYLTFLNRLPPPKLRNDYSEGAQTILRPHFTVLLAHHQEIISNIKNNPRVTKQPFSCNLLLKEDVRKDELLFEMTGHFKKRSEEIAERSKRHHIVIDGMPLSLETWQQDTLAKNMKRSCQPNSRLTYFFGEELHIFVTSNEAIEKNSMVTLPVEPDFWESDEKFCPEHVFQKKKCSVEDQWMQRMTSVAPVPETPIEKAPLDKPESENDDQAEIDEPVENGIKLKFVKKFHTKK >CRE26034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3045:3460:4560:-1 gene:WBGene00076150 transcript:CRE26034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26034 MGRQDVTLSDMAAYLSNETPSKKKNFGYTTFTRIREVCRGLSSIKDAPLHKIKIVEQEGKLAILVQAIEDGPEAVYTESHVKKLQLLLKKEVNTGILHRFITQKPVKSQVVQVVLQHPQSNSFVRNGGQMSFSAHKIVHKARLNMLVCNANTWDPASTKKCRRCGAKETQMHILQVCNYNKSGLITERHNAVHNKVSELIEKGSKRNWELQDDLVIPGPSVKRPDIMLKSPDGKEIILADVTCPYEYLKGIQRAWDHKVDKYTKAYKYLEARGIKVTVLPIVVGSLGTWWKPSTNSLLQLGIDRKTINEWIPKLCAATAEYSKNIYWRHIKGDRYQSIPMKFGLDKPPGNSWKRGKRRKPPKPAKN >CRE07197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3734:131:1405:1 gene:WBGene00076152 transcript:CRE07197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07197 MDEKLGLNKEAKRTLALSSLGGALEFYDFVIYVFYAKIIAELFFPSSLSPFWAMLNTYGIFAAGYFFRPLGGVIMAHFGDLVGRKRLFSLSILLMALPTLFIGLMPTYTDIGLAAPLLLLLMRVVQGIAIGGEIPAAWTFVSEHVPTKKIGFANGLLTARLSLGILLGALMSLFISLKFNEAEIHAWAWRLPFIVGGVFGLIALYLRSYLKETPVFKAMQARKELSKDLPIKQVLASHKSAVVIGMLFTWFLTGCVVVIILSTPNLLTTTFNIQRADAFQMQSMAILMQMLGCILAGLLADRFGAGRVICLGSLSVAITAVLFYYSLGHAAHSTIFGLYMLLGLFSGTVGVVSYSLVKMFPAPIRFSGISFSYNVAYAIAGGLTLPLVQWLSLYRDIGAVYYIVVLCLITLITSVVYRKKFETV >CRE02245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2623673:2626541:-1 gene:WBGene00076154 transcript:CRE02245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02245 MKRNGINWNKEKERFSRYTSKRKRREMWDKAQKQSEEDTSDSGMEHFREACDNSSESYFDFDDGVFNTSYDETEAGSSTSENFDRRNAIDVISAVFLYNNVSRTFAQDIFTIAELLRDYSSISLSEIYRTIETWSNRENRCYTSCGACQKLLKQSKICTNRECSRYLRTQTNMAGCTRIITFSLKEQLLGILESGNYDKDLLNRDNWTSSLSAKLSRTPKYRDRLEKANREHPGVITMFLTLNMDGFRKRGLARGEFWPLYVAANDLTEERSKFSEYRPEVVMISSLIQTSKQIESGDFNAAFERMRCEVEETQRYPIEVTIDGVPHKIRLEIFQTVLDMDASRKIHGLPVWQSYNSCSRCSIKGQRIETKKGTKIVWLPEENDEQYSCLNIPTKLKTSCLPFPWKEGFDSLHLVNEGTSRDILKDLLKGGEKYNVKIQSENNLKWVNCLSKAKNPKGVSSTILLDPIQLTTRTGSEVQQLFNVAVPMLVVVHNKCDIWLICLYLQWITTRLVVDPNLSSKHCDALLEIVPVLRDILKNHFPQFYSMKQHVNIQELSIVIYFCFQFVLDHMVPQLKYDGSPLLSSAAPFERLNQVLGRGTGAHTTRTLLNMCRRFIALQKAVSHCNVAIAKPDSPITFPKSMKSVDDEDLIHNTKLDGVPLTTHEKMYLRSHSIDITECSQVFTNNLENFRISTISNQKVYSTRRNCDDNVQHNCYIYFLDQQNSIQFGSIERIFTLDGRCVVLIHKFQLADPFPYVRKYVEDMPELKRVFQLSERSNTYFKQIVGIDLVVVEADRIGGFCTVVEFESRSYLSRC >CRE13870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3141:97:1876:-1 gene:WBGene00076169 transcript:CRE13870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13870 MYDGIVQDLIDEFGRLPGIGPKSAQRIAFHILQTQNFDVSRLAELLSEVREKVRFCEVCGNITEEVRCLRRGIDRAEVRRLVGLGCREHQARRQAHRRDPSRRQRGRRRGQRHGRHHRRAARSGGRVHADPRPARARHAAHRGRAHLDGAARHDHQGHGRRSALLHREPGRHDHDRGARLRQDRRRDPGPRPRSARPGRRRHRRRLPGLQPRLPRHHDSRPRRLRYHRRRPRRRARSRRLRDLHRRRRGLHDRPPHRPARSQDRRDHRGGDARARRLRSQGAAPARRRVRAPARCRTARPLLVLQRDGHHRLRPREGAPEGSTGGRADHHRDRRREERGEGHRRWRARRPGQGRADLRDRREDQRQHRHHRAERVGRRHEPHRHLVHRAPRRGPPRGRGARGRARIRRVPEPAVRRPDRQGRGRGR >CRE22204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig831:19479:20619:1 gene:WBGene00076170 transcript:CRE22204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22204 MAAAVISTTLFGCVGCQAGIEYLQTYVKNSLNLITFSSFIFTATYGLIFHSKFFTVPNQIPIRSYAKIVAIFFTVNMANNLALKFAIYFPLFIIFKSGTLLTNMTMGWIIRSYRYNLKQIIAVVVVTAGIVIFTLASYEPGADINIRSGIDSNSWLIPVPPFVVGIALLSFSLILSAYLGLYQETFYQKHGKHNEEMMFYVHFLSIPAFALVGDEMTPAFHAANETPSFVLAGIDTIIPSAWIYIFAICLFQFGCTKGVYMLSAVTTSLNVTMVLTLRKFFSLLISFFVFENAFNMFHIIGAAFVFIGTFLFSVSF >CRE22203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig831:15368:18587:1 gene:WBGene00076171 transcript:CRE22203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22203 MMEKFDKKKKKAIFFVDNAHKFEKPPIVSVQRAESARVPQLLISQPSFDLRRTQSESHLDAKVIDAVLSSSADFGMENCVEDEIKMLLVGDLNRSASAGHNLDPQLLGVVRKEDKDKTLTQADAAKGLALIATQTGSVASLASMVSNRTDTGTEDCGDDEEAHLDRKRSASTRKAKIQATFAAGKKKVLDLMPQKRKLTDASAVDFNGESIEIGADAGSLYDTDREHSVGRSPMAAVGKRKESPSEDKKEKKRLKKKLSESPKGRRSNGSGQKDQSPMTTIKARTTKSVQFQKFQDVMWGQSLHFELDTPAETTTRSTIRYLNVTVHAREVKTAGTPNTSASILPNVTPSTPDSLASSSTNTENSAPMSPMKSPESKPILLGSVSLFVPQLIDDCRLTLSNCHREVFQLKQPNTSATPPPPTATDDPLISEFSRHAGFDPRLCFGDITLGFRYFPDGFPSDKAINSGDDSEDELHRIQHANEAASPARPFSPPALAPANHDWKLWVGRNATTCAMCRGKIWLRNASTCSRCLVICHNKCVVKANNGGIACSPQQLSPPTNLPLPDDSQFEEISASELDGVVTASTPDHPHEEKHALLVQSPSTSSSNVTGPLDTPETTKRARFRKVTEKFSNWRKGGKKTEGTPGRRDTVDTESSSTDCNSMLNQDIVDRDSPMASIQNVLADVLPELDGSPFISGLYFQFQPGNAYNEQTIRNAKRLGREIFSELPSEERVEKINAQIDRIQTAIRETKDDRLSVMQSGGESSSKFQGLDERLQALAVLMLHYCSALQDCQSGRSTPNPPTEEDAQKEMNEETQFVTADHEEDEESVMDEDTVVGDASFQSEPTSSTPIERPTQLDPNSDAVSV >CRE21109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4232:1550:3410:1 gene:WBGene00076175 transcript:CRE21109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21109 MPRFAIQLLVNFSLKRRTSSGSLNKGDSDDGDVTLTKSDVSLALTMEVVVMEVQGLKSIQPSKIVYCTMEVDGHKLQTDHAEASKPKWDTQGDFTTKNPLPVVKVKLFTEVKSIVSFEDKELGKITIQPTPSCSRTPEWYSMTSPKSNQDQNMKIRVAIRIEKPPNLKYSGYCWCMGRNAWKKWKRRFFCLVQVSQYAFAVCSFRQKKADPTEFVQLDGFTIDYMPESDPELAAQGGKFFFTAIKEGDELKFATDDENERHLWVQALYRATGQAYKPVPPKQSTIAPKAQGFQDKASKHGMDALIQADSINFDHDHLYSDIQKLTLDFRINEPICSLGWFSPGQAFVLEEYSARYMVRGCFRHVTLLSNLLDKADDGLLIDPALIHYSFAFCASHVHGNRCMPDRQGPEGVGTVTQEEKEKFQEIKERLRVLLEKQITNFRYCFPFGRPEGALKGTLGLLERVLMKDVVSPVPPEEVRAVIRDVWRMHTC >CRE16589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3344:1278:1951:1 gene:WBGene00076178 transcript:CRE16589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16589 MNATNLVPAPMIYLTLAISIIGLIGNLTIVFVTVWNKRLQSRCNVLIGLLALFDAIVCIYLIHLRVLMILDMYMITSTKCFLFSRNGLFALNMQSSLGLVIGLDRLYNVAFPTRYSQLSNSVYTSFILMCIIFSFVITFSGYSYSSRYGNRFRLSTTNCYTDNQELYGLDQTFNFTL >CRE13221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1900:4097:6125:1 gene:WBGene00076179 transcript:CRE13221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13221 MSSRRQLRVVLARNPPDAFANCPIFPTLDVIVQCPFPGWTVEVLKMLGDALKWDIIPVVTPTIVGGLDWGTMTGNDTWKGVLGYLQNGTADAAALMYQKTDLRNEFFDFSYPVNNVQPVFVVRKKTENLGSVLWNAFKPFTIEVWLCLLASLIVNLFVMIAISRVEVKLLFRDRFRPFEMLWHLVQLQLDEKSDDMLFYTLSGNIVLFIFALLQTGTLIELYKGILLTSLITSNGDNPFANADEMIKLIGAKKYHLTTNYMGNCKWIIELSRYFDDLQHSDQQHFVKLRAATSSNPVIPAASVSAALDLVDTGKYIYPIQQDSLAMQMSKERCNYVYVSDGMPQVSSFFVFKKNFSGLEEFNRQIIMNQVFIQRTFNKYFNEGFKLGFIPKCEIAEETKSDASKPLDIESVIGVFTIGALGIAASFIVFVMEIYHYWHMRILARRARMRDPWNVRNLARIAQIHFTTSQQYSEIDVMRLVDALNASSLSQSSSDSSI >CRE10794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3657:28:1007:-1 gene:WBGene00076180 transcript:CRE10794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10794 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3NWA7] MYRSILLLSLFAALVLANVAEPEKDDQVAVKVGIQIPRHANLEQFQIPTKRSVSEPPKDDDVAVKIPMRKKRGIAIHPWQWESHLWPNAEVPYDIASHYTASERSVILSAMEAFKDVTCIRFRPRRSTDRNYLQINKYYKLERCFSYIGRQTSRWLFGTPAGNVETRMKLDPSCLLYNGRGTVMHELMHILGFYHEHQRDDRDRRIGGSAFHYNFKIYQRAKSYYMGGYDANSIMHYNFQNVPWQKRDNFSASDIRNINTLYKCNNRVVSRLAPTSTISTTTTTVSDKRWSSWKKNLFRSVLAT >CRE20175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3747:106:1001:1 gene:WBGene00076181 transcript:CRE20175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20175 MCQNPANAIIHTGHTNGTVSLWSPNSKEPLVKVLTHLSAVQGVAVDDQGNYMATTGLDRKCRIWDVRMFRQLHAYSLPFGAANVAISQKLDVACAVGNHVQVFRGMHNGTCKEPYLVHNCGGVVTDLKFVPWEDVLGIGHAHGFTSMLVPGAGDPNVDTFRSNPYETKSQRKEREIKQLLDKIQPDLISLDPDDINKVNEGLLELEEEERKKILYIRPMSVQYTPRHKMRSKKSGWKMEARKNTVKNQIRLERNMEKGAVEKEVFGGNEVQEPKKKHILDRLK >CRE20177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3747:2682:2993:-1 gene:WBGene00076182 transcript:CRE20177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20177 MTASSASSDFLALQEQLKVRNEQFKVLQEKFLEQRKELFEAKSGGSNNDFMNSLRDKLENALEKNAQIEKAFAEYQKKKECEVNEISIKLKDSQDEKSQKKEE >CRE21700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2392:966:2153:-1 gene:WBGene00076183 transcript:CRE21700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21700 MSAAPQIKIPATYMRGGTSKGVFFKLDDLPERAQIAGQSRDQLLLRVIGSPDPYGKQIDGMGGATSSTSKTVILAKSSQANHDVDYLFGQVSIDKAFVDWSGNCGNLTAAVGSFAISNGLVAADRIPENGICTVRIWQANIHKTIIAHVPITQGQVQETGDFELDGVTFPAAEVQIEFLDPADDGEDGGAMFPTGNVVDSLEVPEIGTFQATLINAGIPTIFLNAEELGYNGTELQDDINSDVAALARFEKIRAYGAVKMGLIQDINEAANRQHTPKVAFVSKPKSYTSSSGKQVSEIDTDLLVRALSMGKLHHAMMGTAAVAIGTAAAIPNTLVNLAAGGGEREAVRFGHPSGTLRVGAQALNEKGQWVVKKAIMSRSARVLMEGWVRVPADSF >CRE01521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3960:247:1017:1 gene:WBGene00076190 transcript:CRE01521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01521 MLLNYQYDQAQDSHGTQKATLVLIHGLFGSLSNLGIIAREFQGKFNLLQIDVRNHGHSAHSDEMNYQLMAQDVLQTLDHLNIEKFIAIGHSMGGKIAMKLADLAQDRMQKMIVLDMTPFAYQENHHDQIFKALSAVENAQIESRKEATEIMRQYLKEEMVIQFLLKSFSKGKWLFNVQALFNHYADILSWENQQVNPIPALFIKGGNSPYISKAEHFSAIETQFSHSQVKVIEQVGHWLHAEKPAVVNQMISGFIE >CRE27889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:83448:85746:-1 gene:WBGene00076193 transcript:CRE27889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27889 MMKNLQFLVFFGILAGTQCLLSCEQCLSSGNHYCADTKLCNTPVCVNTITVALNCPRKSNVTYDDNEARTKWLPLFGAGATNEKMAQKCFDNNWPTMKLSKRILVDCSDPSPVLPTTQCAMITAVDTTQRILVMSFRATYGNTQLGEEVLNYFVGKKQFFDVGMIFEFFYDAYVALWRGGLEQEIRNLKYKYPDYEVWVTGHSLGAALASVGASWVVKSGIFTPDKVKVFTAGQPRTGDYNYAMWHQNTFAYSFRVVHHHDIVPHVPFQYEVVDHDKMYHHRTEVWYNNDMSAGSTYQICPEADGLYCVNQQVDLSWNDHTHYFNTDLNVYGDQGCPKK >CRE21619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig793:3320:4325:1 gene:WBGene00076195 transcript:CRE21619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21619 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3NND2] MNTANGVKSGMDFEVDQEEETIKELVGKDGSLEDIVERAETGLEDGFLDYFLEDGAASEDPLSHLLDQICKENSGEKENKENVDPFGNRLNGQQSCDSVSFGLYPDQQVVSSIGPIRQTEKKRMVSKPYEIAAPGHAAVIRDITAEELKNRLDTPVAEAIDTKRTVGDVKNWLKSNNVNQTTFAEKVLEKTQGHFSVISRNPAPWEELLAPGRAVFVRMHNWMKLSDEEKMKILSVEKVSVNTDLQEKMKKTRFTFPKEQMEILMGIYEVNDRPGKELIEELAAKFSLSFIQIKDFFLNRRRRAKKSNL >CRE10775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2138:1904:3330:-1 gene:WBGene00076196 transcript:CRE10775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10775 MSTMNPPKNDETNSSKSVSSGDGDPDYQSIPSTPSLPQIPSISHQITVSIPSTSGDSNLISETTFRNQESSSEERDISGMDPNVKEEQSENNGFSHQNPDLAPLTSNDRNLEPNIRNLGDAFREVERVDPSIVKSEFILRSPSDFQRPSTSETESFLHPIFHILTSVDFSSDPLHYQEVLSRRFLTEYDDETMSKFSIEIFIPISSSGALQSPDTSLHHPETHFSDDDPDFVATKNAVERIDNFDVENPPHPIEIVEKYIKWKKERCPGDVNINTIFGKSSRTISDYLVTPQPWDKCGKEKIYYLRMHNWFQIPDDEQEQIMKLKLNAYREKYCTEPKIKYERPRLPNGMRTFITNKFQFMRRPLKFKEMADIAISNNLNFPTVKGFCRHLEK >CRE25044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3446:112:3568:1 gene:WBGene00076198 transcript:CRE25044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25044 MEGNDAPGGSALRAQASMLHVDMDSFFVSVELLERPELRGVPTAVAFDTARSVVSSASYEARRFGVRSAMPVGRAKQLCPQLILIPPVHSKYRDASRAVMRVFEEFTPLVEPLSIDEAFLDVAGSLKLFGEPTEIARQLRARVREQTGLPASVGLAGTKFIAKLASQRAKPDGILEIPPARTLEFLHPLPVEAMWGVGKATARSLQSRAIRTIGELAREPLPSLERLVGRASALKLHALANGRDARAVETERIEKSIGHEETFAIDETDRAVLHRELLRLSTRTAERLREHGAEARTIAIKVRWDTFETVTRSRTLSEATNATQRIYRTASNLLEGLGVSLMAVRLIGVLAEQLIAAGSDPAGLWSDDDDWRAVDQAVDEVRGRFGRDQLTSARLLSGRGQVVALLRSLEPPAPPSARGARARPRGAAPDRCRRRCPAGSRWRPSTPPQLVDALFADAVEVGDLVHQGHADLVHQFVLVGAVLEQVLAEQDDAIGFFAVPVAFALGEREALVDAEQIAAVLGAILDQEDDVVELGEHVLVQAVESVGHEGLEGLWRNVEHAFTLQKRRGDRQGAVAFRQRMGARIGRSDGERGRGHDAAGPARTRCGRGARKRRGSGRGERGVRRAHSPGRGGVPA >CRE06219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1133:2348:7956:1 gene:WBGene00076200 transcript:CRE06219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06219 MQCLLFCKYGNIMYDSRVRPVMNHSKPTTVSFSMSLYQILSINEKQQNVDLNVWAIQKWNDDFLGWNPYLYGMINTTILPFDAIWLPDTYLYNRNSFFQCGNESRRDRTIHKCGGDNELMERRKGSRSEVYVSRIVSNELFVGYQEKNCFLKQTFFRVIMNQEETERYINVVVTTNYWKGEKGAEVKFMYPALYRTSCLLNIRFSYFHHCLYFVGRYQTFTSNFNAIYFKYDSRVRPVMNHSKPTTVSFSMSLYQILSINEKQQNVDLNVWAIQKWNDDFLGWNPYLYGMINTTILPFDAIWLPDTYLYNRREKLSLGIDSLLAMSILMMMVSEQMSTSSDFVPLFGIFHLSIIFIIFITLFTAFILNVHLQKMYAKPVSPIVSYIFFGRIAQWLRMRPPTMLLELWNETGVTFGKKDKMKLKRVEMKNQKMPKVTSSSSGLNLLKSNSGSGRAPLAAPISARSYISMDDMKREAARRNWRRLVKKINSNKQNGVKNCGGGERGQLRQAGRKKVPSAISVPHGEPGPLMLSASAISAFSVTGDSTILESKLKRRYALEWEYLASVLDRVLLIVFSLVVFTVTSIMILVGEAMHLSYELAAKEF >CRE02796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4281:320:3832:-1 gene:WBGene00076202 transcript:CRE02796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02796 MLRYVLFRILQTLPVLLGTTFLIYFMVFAMPGDPVLALFGDKTPSPAVLAQIKHQYHLDQPFIVQYLLYIGGIFRGDLGLTFSGQPVSEVLATTFPVTIRLALMAIVIELVLAISIGLISGLRKGKFFDNISLIIGLIMLSVPIFVIAFVGQYFLGIKWPIFRTTVGVGAPFQDLILPALVLGISLYATSMRLTRASVIDTLNQDFVRTAYAKGLSRGRVIPVHVLRNSLIPTLTNTATNFGVLMVGATITEGIFNVPGVGNTLFRAIKLGENTTVVSFVTVMVLIYLFVNLFVDLLYAVLDPRIRYLEHYVAPVDETPVAAVDAPQLDERRSGLWIDAWRDIRKRPMFYIASALLLVVLAAAFFPQWFTSVDPTKCNLALSNTGPTKKQNEVLHGVGFDVYPGETVAIVGESGSGKSTTMHAVINLLPGTGKVTGGSVRWNGRELVGIGRKEMESIRGREIGLVPQDPMSNLNPVWSVGFQVEEAIRANGLASGKKAVHARAVEVLEQAGLQNAEQRMKQYPHQFSGGRKQRALIGIGLAANPELLIADEPTSALDVTVQRVVLNHLESLTKELGTAVVFITHDLGLAAERAEKLIVMYKGNIVESGPSREILQNPQHPYTRRLVSAAPSLASRRIGADASLPAAPTSTFDVAALAESTERKIGEPLIEVEGLRKVYKLRKGNFGSEDFVA >CRE08673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:211068:214624:1 gene:WBGene00076205 transcript:CRE08673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08673 MDDSAVFTLDNGLFDPSILLDLKSKNPDSPSELLVVIKCMASALTEIHKQNLSLLSQNKVLNDKIVKLENIMDNLVEKVQSPSTINKVDEKSYASILAKSIQAPATQVALIKAAQLANSLEERKHSVIIKNSKLLNDPNTDMDTCSALTEACNVTSASSVFRLAQKTGPPLLKLSWSSAADANKVLATFEKRKAEVTFCKNASIRPDLSKPELIKYRNAWKKAIELNNQEKQRIYTVRNLEVRAKGKPCSLAYANCFAISNKISYLNYLASFYSFDVIALTETKLNDTFPDAILSLDNMFTVFRKDRTRHGGGVALLISKSVRCSLITIPEALSAVEIIAVDVFINGKSTRIISCYHANHSSDIGPIIEALEFLLSTHKQTIITGDFNMPHIDWSSMTASDSKCNEFLSFVLRNGLCQHVHSPTRLNPDHILDLLLTNTPSVREVVVGELFSDHKLIRATLNLSLKKLPNHKTLLNFRKADYDSINFVLSNTNWNSIFRELSVEEMYSQLLEIATNLINTYVPTVTRNFLLKKYPAEVRRLQKLKLYIWRNEGNTERYKAISALLKKSLTDYDTSELEKKLTTGSSKTFFRFMKDHMKPFHEVGIIKNNGEIICDDITKAELFADRFSEVFTHDDGNVPFFKPRSNSIIDGYEFEPYIVEAVLAKLKPRHNRTPDQIPAIFLKRVATAIAFPLTLIYNKSLSTGNIPHIWKKAIVVPLHKKGLRSDCNNYRPIALTSSVCKTMETILRRVLVQHLNVNGLLNGSQYGFRAYRSCESQLIHYQGSLLQDLKRHKANFAIYIDFSKAFDKVPHNKLLTKLEGYGVQGNLLRWLSTFLTNRNQVISLNGIYSKPMDVISGVPQGSVLGPLLFLLYINDISDNVESNILMFADDLKLFSPHSNLLQNDLATISDWCSQWQMTVAPNKCEVIAFRLSTRNLKSKTSPDFHISGLKLPFVRHIRDLGIFFSDDLSFTHHVNIILRRSQFRVNMLFNILKNSTMEVFIRCYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTFIPSVKSLRRHPFYLRCNIKNSHKSSSQFLTNRTLNCWNSLPVSSFPVKSSSRSFKTNLKHVDLSKYLTLSPLNY >CRE10793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3617:3066:3683:-1 gene:WBGene00076207 transcript:CRE10793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10793 MSNALITPLNPILSKLGFHGSYRPEDVTFLMQIDDIQPTAVEEKEYLIQSGKMHYSQMISAEHPPTDEQMQHYQHAFEQGAQRLAEEVQKLGNSLMQRFPKQEIVLVSLVRAGVPLGVLLKHYLEKHQSCYHYGISIIRDRGVDFAALQAIIEQHGAENIVFVDGWTGKGAYAKNSQKIYKTFLNSLMKLEIPRLVPGLIGVVFW >CRE31539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig287:33543:43421:1 gene:WBGene00076209 transcript:CRE31539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31539 MSQQVSPRSTSNLHNYQLPKASVSHALVVIFLEYFAWGLLTVPVINVLAETFPTNKFLMNGLVLGVKNPRFFPLPVSETNRTKLSITSGHSESTRVLCQVLQLATIVFLSYLPESGQFSCFFVYLKLVVGFSPEAVAMYIGLVGILSVVAQTGFLHCLTSRFGTKHTITLGLIFQLIQLTWYGLGTHSLAHLRRVLNNHKNARGTPMMMFGIQQQVERAAAFDWQFIPGPPFLIGAMMVLFALLINSTLPHTPAASKYFRRSPTHSRQSSDTARLLSGDSSPHC >CRE30349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3808:3315:3996:1 gene:WBGene00076210 transcript:CRE30349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30349 MTREFSDIQTREIGLQKTLSARQLSMIALGGAIGTGLFLGSKFAIGFAGPSVIVSYMIGGAIALMLMGVLAEMTVKHPTSGSFGAYAEHYLNPLSGFLVRYMYWACIVLAVGTEVTAVGEYMQLWFPGVPPWIWVVLFSAALIGVNAMNVKNFGTLEYWFSAIKVFAIIAFVIVAAWLVFFSGDGGYGVHNWTAGEGFMPNGLTGMWFAVIVSIFSYLSIEMIAVAA >CRE07953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1815:4249:6651:-1 gene:WBGene00076213 transcript:CRE07953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07953 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NTI5] MAAAPPSADPLDKALIVDVDQWIEQLYECKPLSENQVKTLCEKAKEILEKEPNVQEVRCPVTVCGDVHGQFHDLMELFKVGGKSRDTNYLFMGDYVDRGYYSVETVSLLVCLKVIFFSLTIHLNSAIFQDPYKDRVTLLRGNHESRQITQVYGFYDECLRKYGNSNVWKYFTDLFDCFPLTALVDGQIFCLHGGLSPSIDTLDHIRALDRIQEVPHEGPMCDLLWSDPDDRGGWGISPRGAGYTFEQDISETFNHSNGLTLISRAHQFGYNWSHDRNVVTVFSAPNYCYRCGNQAAMVELDDDLKYSFLQFDPAPRRGEPHVTRRTPDYFL >CRE24371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3315:510:1694:1 gene:WBGene00076214 transcript:CRE24371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24371 MLCRMDPKSHDVIVDELDFSTMPGTQSGVLNSRWTPIGLKNNFQEAGPFEFILTNNSRSYLNLKRTYLVFTFEITDAAGNHVTMTPEVANTQVYAPINNIAHSIVKNFSLHINSQLAFHNSSNYAYKSYFEHVLMYGQEIKDSTLTAAGFYHDSAIGDLSSLGFQKRCLSVHGQGDVQVAANISIDLMNQPRVLLNSCNVKLTVYPNDSKFLIEAFNRDPDTEFRFKIKDVYALVNEFDLADGLSNALEAAVIEHKLIQYPMISSQVRSFYIEPNRLDAPANTLFTSKMPRRIFLGLVDAEAYNGSCKRLWGTRETTLAATLLAQNVQRRRLPIFRFRAEPRRTGQLAF >CRE06278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3813:3:635:-1 gene:WBGene00076215 transcript:CRE06278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06278 MSNKPTIIFVHGFWGGAAHWSKVVTELSHRGYESLRAVELPLSSLAEDVERTRKMIAQVKGDVLLVGHSYGGAVITEVGNQTNVVGLVYIAAFAPDSNESPGGITQQHLPEAAPNLEPDSDGYLWLKADKFHESFCQDLTKDEGFAMGVTQKAPVASTFGDTISTPAWKTKPSWYQISTQDRMIHPENQKVMSARLNAKKVISLDASHASL >CRE06279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3813:2784:3626:-1 gene:WBGene00076216 transcript:CRE06279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06279 MVIIAEGVPVIVKCGEKQRFKITPAQLEAAITDKTRLVVLNSPSNPTGMIYSKAELEALAEVLRKYPQVYVASDDMYEPIRWDDEFYNIATVAPDLYERVIVLNGVSKAYAMTGWRIGYAAGPAKLIGAMKKIQSQSTSNPTSISQVAAEAALNGPQDVLQPMIEAFKRRHDLVVNGLNEIQGISCLPADGAFYAYANIRPLIRAKGLKSCTEFSKWLLEETGVAVVPGDAFGLGGYMRISYATADEVLVDALARIKKAADSIDGVDAAIASIAAEKAAQ >CRE31594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1047:3455:4759:1 gene:WBGene00076219 transcript:CRE31594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31594 MSVDSQPQMPIVIRQSDGSKIHEIAGHKFKAVVLAQPTFCSFCTKFIYGVGKQGYKCLGCETVVHKRCHSFISARCTFGPSSRAPQLPEQPLTHRTRSASVDPPSNNHHFNKHFYTRPTFCDHCGSMLYGIMKQGVQCVDCHANVHYRCQEKALRNCGIQVQ >CRE15207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1427:2273:3678:1 gene:WBGene00076220 transcript:CRE15207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15207 MTCYRNGVKCMLLLFFLGLATMNTYSYWRDSQSGNTRITSMQPEVIVEQSLSITPFQCPFESWNQVHSDSVPNENLHLEWIQNNISRRDNILESQIRLLSSFVYPDHISITTNSQRSYGQKVYCRYYNCLREEVSNSTYQSIFFPMNLIRWPRRIDIDAMYYHWTYLRYPDTVAEYVKSEVALFRQYRTTEKNILGSGWLTDPNYKNFSIEIRRETEGECT >CRE25059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7806:196:1153:1 gene:WBGene00076223 transcript:CRE25059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25059 MGADRLDKPLLEFLQSNFEMFDNAFVVLMADHGIHTLSTEFYATEIGSLERHSPALMMSVPKKYRDNGILEVLTKNSERLQTHYDLRATLLDIVKYQPSSQFSNTTLLKIPGEKGHSLLREQPLTPRNCETLPIIQDYCICKSKSIDMKYDTNLSNRLATALITYVHDTLDEFNVTSQCHKYEFDKVSALSIVSLNGAKATYKIVVKTKQPAIFETLVTDNETGKLEFGAIERVDRYGTTTYCTKKSHYTPLCYCKE >CRE11655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4056:1052:1840:1 gene:WBGene00076225 transcript:CRE11655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11655 MMASSFIIFTMTAGFGLLESGRVSSKDEVNCMVKNVFDVIFGGEFLFDWNQKRAIEIEFVGLAYWMFGYGLTFGDSKHQLGRFFGFGDFFFDPERVSDDDSTDEKGISYSLFIFQMSFATTTSTIVSAGMSERIHLKSHYFISFAITLVHSIAGHWVWDQEGIFRTMGVVDSAGCSAVHLVGGISGLVAILYLTPRRNRFPKN >CRE23863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2916:2982:4500:1 gene:WBGene00076227 transcript:CRE23863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23863 MTCYRNGVKYHKFDLFLSSFGSYFNYTNSQRELCQKVYCRYYNCLREEISNSSYQSIFFPMNVIRCPTRIGVKYMSISFDKEEMPQEPIPLVYRVFEAPIHEVSVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYFTVFNMNEYSRKIIDEYLRTGEIELTVVQSEYKTIDWQFHLLQINVISFSSSTDSPFLRLFRNVTNGVNIIRNGL >CRE17783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2350:58:1093:-1 gene:WBGene00076228 transcript:CRE17783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17783 MVLPSRDVKRVCVFCNRSGSRTEMTPVTKNPVHREVWYRKLGKTFKLNCEKSRYPYVCLSHFPAKKASSPRAQIYPYKPNYDIEDEYECEENKKSFKNIICNWNNLYPILSKCWNCLRNGKESSARASMRLQGAALHVSYDCVECGSNWKWSSSTFLDREGKQGQKQCEVNLDISVSVLSTGNAFTKIASLFDVLELPFISNRRYNKLIENVLEASVAKCFFSQRSEVLAIIKENSARENGVDLAGDGQFDSR >CRE24372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3475:260:1369:1 gene:WBGene00076229 transcript:CRE24372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24372 MSHKNLLTTLSIALFSLSISACNNNDSDHSNTVVETKKQPNILFIMADDLGYSDLGAFGGEIHTPNIDALTKEGRILTDYHTAPTCSPTRSQLISGTDHHLAGIGAMAELTPAHLKGQPGYEGYLNERSLSIAEVLKDNGYRTYISGKWHLGLTAETNAHVKGFDHSFTLLQGLDLHFKQAPSAYKRNATYTEDGKVVPISALPDDFFSTNYFTDKLISYLESGKNSGKPFFAYAAYTAPHWPLQAPAEYRDRYRGVYDAGYDVIRNGRIARQKQLGLIPANFTAAEPIATQNAPQKYGKWNELSAEQKVLEARRMEIYAGMVENLDANIGRVIEYLKRNNLYDNTLIFFVSDNGADALSVVIMEPNLF >CRE16596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5104:1457:1771:-1 gene:WBGene00076232 transcript:CRE16596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16596 METEGGGEGPRRHIDADANDSGNELSMGGRSSEVFIGDSMSNHRGEHSPNHHHQDSLLGQTATSSIQGFQQFTGLLFDTPPSMLSSSPQFHHGSFSPSEFGLGI >CRE24361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2395:917:2550:1 gene:WBGene00076234 transcript:CRE24361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24361 MYNTDDSIRDFAHASFKYALQRKFPLYLSTKNTILKKYDGRFKDIFAEIYVEYEAEFKAAGIWYEHRLIDDMVAQAMKSDGGFVWACKNYDGDVQSDSVAQGYGSLGLMTSVLVCPDGKTVEAEAAHGTVTRHYRMHQKGQETSTNPIASIFAWSRGLAHRATLDNNAALEKFAQNLEAVCIETMEAGFLTKDLAICVKGGNASAVVRSDYLNTFEFLDKLAENLAKKQAH >CRE24362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2395:2760:4524:-1 gene:WBGene00076235 transcript:CRE24362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24362 MFRAETSRDTLITTAYRLHRTRWRILEPYRRLKNALKKLQEDYLKSKEANALMRYVKLGQSVREVAMLEKQYWKMLNIPAQEGNEDANCYVVKIIELLEETPTQLPPTRGIGALLQSTIGKPAESNVDTALYDSLKARKSEDLVKECEALYAQLYRLTKKYLGLRRLIKELHDKYDASRMFPIVPRYAMLKKMIKATLRAPEFADICHEQTE >CRE28000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2199:2671:3906:1 gene:WBGene00076236 transcript:CRE28000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28000 MSHLPNPSSSSSNETSPQKTARTFSEFELFKNALRLWYIPLRTTNKDYKVADTYNEFLEDVHKHLHIGFRDIRHQLYNQMCMNFETERKGEILAENSENSPIKLPKEVHDWIHTETDNCYPKIDVKMLHFPAAKFPESDLSGDETGEELLKSKDSRRPYKTTAQSLRLNNPYFLEPPKDPKGQTYAEILEGLERFGEENDRILREMNQKKTGGRIRKEGTEKKKKMPKGIGYDKAYRKLARENEGMDGLEELRKLREELEESEKCQKTLDESLAKDEKIDDLEKRLKEKERENEELKVSIRNMIVSDREAFKMVDNHYKLKLEEEKKMNSDRIDELTAQMEKLTKCVEKLMK >CRE09955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3498:1835:3380:1 gene:WBGene00076237 transcript:CRE09955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09955 MDNNRRIVVALAMLVVSTMAIEIQDAGSFPTGQDYQKEKAKEGQEPANYKKTYHRVIPGGSEHAEVVASNGPGSNSFSQTYSK >CRE21048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig914:3620:5237:-1 gene:WBGene00076240 transcript:CRE21048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21048 MAQNLLFITVTVAALAFVLAGPGGHGGPRGGGHGGPRGGHGGPPFLQNVTRDARKEFEAVWRNETLTIAEIEAQTAALADKYGVSESYKKFEADRIAHLAEVKQNQTAVINNLSATSDKLRVIYQNKNQTRKAQEEAVAAIRKESPVEVDTIKFIRAQVGGEPLGHGHGGHGGPRGGPGGRGGPRGGGRGHGSH >CRE13861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2701:4737:5453:1 gene:WBGene00076242 transcript:CRE13861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13861 MSVVLMKITDAVVFITGANRGLGLALAQQAIQRGAKKVYAGMRNLQGFNQQGIIPIVVDVTDPVSIQKAVAECGDVNLLINNAGIARLNESPVDANIAAVTRTVLDTNLYGVINTTQQFSPILASHAESYIVNILSDVSWEPSTMLASYAISKEAAWSFTNSTRQWLAKANVHVMGVHVGFIDTDLTQSLDIAKISPHTVAQHVFDGIQQNAFEVLVGESTQQLKQGLTATPPSYIKH >CRE27789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:36660:37409:1 gene:WBGene00076251 transcript:CRE27789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27789 MYHLVKNFRDKSVKLRINIYGRDSSVRIVTPNNYYHEVYVMSKEKEKSGNLERVNINGHLVPIDRSRKHHHVCETYWDDKMKGLQSVMEYILDLFGIKKVTTIIVSPDTMRLLDVLKERQGNDYELYLSHRLSENESHFLLENYPAKVIRIAGLPHNFPIGKYLQTIDSLFVGSKVSITLDDLLNMNCVDLLLSKNCFTGTEIKRLLQHWAIGGFKRLKFLRICVEDLNMEDVFGELTHTRMTEKRTYK >CRE03605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4130:950:1473:1 gene:WBGene00076252 transcript:CRE03605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03605 MFLFQLRNSYFYFYNTIAWLTLAILANILLFLKVTKARAQLISFQKSVVSYKAELSITITTVVMILFYVINGGFIIIYVLYYGTSSYFSFLVIVKAFANDAETCVVPWIFYLTHPVFKKKAISSDLVFSTSSFKSGLIIVDVILFFEYKLWKLEPD >CRE22186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig792:7763:8729:1 gene:WBGene00076257 transcript:CRE22186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22186 MDEKQRLHAYRFVAYSAVTFSVVAVFSLCITLPLVYNYVDGIKTQINHEIKFCKHSARDIFAEVNHIRSSPKNSSRFARQAGYGADEGVDQGNQGAQGGSCSGCCLPGSAGPAGTPGKPGRPGRPGAAGLPGNPGRPPAQPCEPITPPPCKPCPQGPAGAPGAPGPQGDAGAPGAPGQGSGAGAPGPAGPKGAPGAPGNPGQAGAPGQPGADAQSESTPGQPGQAGPQGPPGPAGSPGAPGGPGQPGAPGPKGPSGAPGQPGADGNPGAPGQPGQSGGAGEKGICPKYCAIDGGVFFEDGTRRK >CRE22189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig792:8769:11344:-1 gene:WBGene00076259 transcript:CRE22189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22189 MTTTSTLIHRSTLPILRRRHRSVDVLTIDRPFFSSSNTQGGASTPPGASVTPSAGAAAVTTSYGYISDMFKSFVSKVENPLNYLSVGTSKAIGKEAIKVESKTQIIQKSNENRVSRSEVTAKTRALIKRILVSETSTSRLTRVRDLSEHIMSFPPTRIIAAQDQTLIAELLEMVIYGTSDQLKEEARQCLTLIGVQPAPKGRGVNVLSIDGGGTRGMMGLEVLEKIEKLSGKRICELFDMIVGVSTGSIIAALLTCKGYTVAECREAYMDVSKKLFTQGKFQGGIGLILQHSYYNTNLWVSILKKMIGEEVTMINTSKKLHTPRLAIVSSIVNLPTIQPYIFRNYDHPAGRDSHYRGGSEHCLWKAIQASAAAPLYFSEVKLDNLLLQDGGVYANNPTAIAYHETKLLWPNEKINCVVSVGNGRTVTSVEPTPTITSTSFQDKLLRIIDSATDTEGVHMNVHDMLPDSVYYRFNPYMTYAYGLDEIDQERLEQMASDAEFYVRRNSSKLESAAQRLCLRPNMQQCVHRNIKEWLDLKGFYKPA >CRE13499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:4:1507:-1 gene:WBGene00076262 transcript:CRE13499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13499 MKKWNTPGAIEETIEEVRAKVKLVTGSGKKTIKPLHQVIVEFENKDGTQFMTEEEIIEMKNHIHFEYEPKFVYRNEKRVSLPETRLRFKGKTNSPELMERTFDQFIRYCIGKAGGNLERSKMSFGFFHEGFHKTEGFWINERTYQTFNGQVLMEELERITQSKAEVDIDDTFIIHMHVFNNFEGGAGRHRNKMFDEELKIPAYVVGDGKCLPKAVALAMTLFASKEDVEQFSKWERMIRVKYRSLNEKLQLTAANEILEKSGLSTEHQVFNIDDLEKIATAYPEYKFEVYSRPAYEKYYQIIKEFNFDASKLVTLAFKKLDEVGHYDFIKPSFMHMKATYCHKCKQKTLSTGHSQVCEAKCEKCGYYECDNTQIETIHCEICTQTFPMKNVQWPFGTCLQSKETCVKEIY >CRE25035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2326:2559:3103:1 gene:WBGene00076264 transcript:CRE25035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25035 MSKSGEGSSIFGKMTTRPAGKRQIKPTAILYATLHENHSSDEEDEDFEMKPSEIGGKSNTDDSEESDENSDENSDEQESEDEENEDEEQEEAQRTPSVEKDSVVVCGVCVNQRNVVVAGDFMQCDKYGSSKSE >CRE22757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3518:859:2370:1 gene:WBGene00076270 transcript:CRE22757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22757 MRYVDPNQPGSKVQFKAQYENFIDGKWVAPVKGQYFDNVSPVDGKAFTKIPRSSAEDIELALDAAHKAKASWNKASPTTRSNVLLKIADRLEENLELLAVAETWENGKPIRETLAADIPLAIDHFRYFAGCLRAQEGGISEIDEDTIAYHFHEPLGVVGQIIPWNFPILMAAWKLAPALAAGNCIVLKPAEQTPSSILVVAELIQDILPPGVLNIVNGYGVEVGRPLATNPRIAKIAFTGSTAVGQMIMQYATENIIPVTLELGGKSPNIFFEDVMDKDDDYLDKALEGFAMFALNQGEVCTCPSRALVQESIADKFLEMAVERVKRIKTGHPLDTDTMIGAQASQEQQDKILGCIATGRAEGAQLLTGGGDRQEVGQGFYIEPTIFKGDNSMKIFQEEIFGPVLSVATFKDYDDAIRIANDTMYGLGAGVWSRSAHTSYRAGRAIEAGRVWTNCYHIYPAHAAFGGYKKSGIGRENHKMMLDHYQQTKNLLVSYSTKAMGFF >CRE14457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3422:1509:2330:-1 gene:WBGene00076271 transcript:CRE14457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14457 MYSGVSKTPLWTAEYLSPQRLSQKIKREDNFHEEPRVNTTHRALLSDYRGSGYDRGHMSPNADMPNKESQFDSFSLANMVPQAAKNNQQVWRELEEATRAIVTKQKKDVYVVTGPVFFGKKLKTIGKGVIVPTAIYKAIYLPKHGIIGAYYAPNNDSLQVKVVSVCYVEEQLGMNLFPQLSEEQKRNTYKLPLNAKQVKVNNEIEYSHWDAESQCAEEVSQDKITALQKQFQPKSGSTATLDMQLPKVDPETKDALVKQLVEALLQYILQILK >CRE15221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1787:6022:6828:1 gene:WBGene00076273 transcript:CRE15221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15221 MKLWFHAVFRGIYIGMFAYMLCKFPVYDDFEALDRTWSQLIPFFYVLTVLIAQVSMTFIKAIDYLSFHCFKSKVLPLESDQSYQKKNCVTLLHPYFKSNKLALWRICLVVPLLLLEAIRFILLTIERNKNRAPDVKMWFGGWVLVPIVLELLYCALFAIATISSLRFFHFVQSLGFFVHLFKKMWKTVGMFVLIFCTFWFVLAVIHVSISSKSSSIIHNY >CRE12869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:43913:44263:-1 gene:WBGene00076274 transcript:CRE12869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12869 MPGSPGSQGRAGLPGSPGVDGHPGRLGGTGGPGPDSGYCKCPGRGKNEEFSNYSTKTTAKSQTKPAESGTTTEWRRSRKIRVSA >CRE12449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig722:523:3777:1 gene:WBGene00076276 transcript:CRE12449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12449 MEYKYGATNIKCSGKKECRIRPGASYMCADDDVYCMRCFGVEKRKKKDNILGDINNWRQLENVVETFEVLKECGDCGGLWHESCSMTLATTTFICYKCITGYSIPKIEIKHECPLSQFMSERMNKLCGKPVTRNTGIAVVNFTSRRTVDLVADRPDHLKEQFRNKYGNTTNCTQRMIYVIQRTSKADVIFFSMICHEYENHAGTKYCLIDTLDSVPYFTPTATVSRGAAHHEVMLSYFDFMRRVGFEKAHLWANAPVQGDNMIFTCHPMEQKYLSQVELEGYYEKMLAKGEKSGIFKKWRNFGGFKEDVERYSSGHSNLRKKKDYKGIHPIHIPIFEGSQWEYFNQKYDPEPEDKENSEAANFMRKFTRNIPDNLTNTFWMDLKKPDEPMDPELLEGRRNSHEDLGDKMSFLELCVENNWEFSSLRRAQFATMGIIDMINRFTVVQE >CRE10777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2218:1328:2109:-1 gene:WBGene00076277 transcript:CRE10777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10777 MYTSIYLNPEYENFSYHFDYVTIIVIASFIFLIPTVYATIKMVLFRNPQKSSTDIHPYVYKSFLCMQVSKVISCILYLIVIRIPLTTILTSYYSTLERDSPLRIFTASYFSLDNLSQLFTVLFCLIRLLVFINKEERLETYRCIFFIWLITSVIFCAVIYTFHFSYGVVCLPILFPFQYGAILVTSNLYKSRLYTAVEVISYALTTICVVVLTLLMLVKLKNMKQL >CRE21108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3792:2091:2261:-1 gene:WBGene00076278 transcript:CRE21108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21108 MCLIAIQYSAYFLLFRPIFFDCRVNIVSCYFYWTHPYFKKKTTVTNSLNIKPTILT >CRE07188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2614:4069:5505:-1 gene:WBGene00076284 transcript:CRE07188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07188 MGSALVCSQNSVFGLMQQVAWSIAQRLNILFQQASPSITTVALWCGAGNNAGDGYCVAHYLHQYGYQVEIFATEAGHSQQLKAAIELVQTDKIKIHPHFNIQKRFDCHIDALFGIGLNRDLDDPLQAIIQHYNAQSGLKVAIDIPSGLNANTGQPLPVAVKADQTLTVLGLKVGLFTGQGREYAGQIELISIVPIDPQLKAVAQLSPTQIQLPQRQAFGHKGSYGHVLVVGGHAEMGGAVMLAAESAFSAGAGKVTIVCHAKHHTAILARSPNIMLRDINALTDVDIQNLLSHVDAVSFGMGLGRDAWAKQQFSKWFSPIHLTPQLQVVLDADALWFLAEQAVQLNQRTYLTPHPGEAAKLLNCSVAEIENDRIAAIQKLQQKYSGQWVLKGSGSLILEKHLWICTAGNAGMGTGGMGDVLAGMIASLKAQFDENIHLHEIVTLHALAGDRLAKNGMRGLQAQQMRDAVYYVVNHCQE >CRE13856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2341:415:3460:-1 gene:WBGene00076286 transcript:CRE13856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13856 MHFVKKVPTTEQEKAAKAKEHAKRSQQFLHVRDRIFAKRDKGEYDDELLSLTQGVLEKNADIYTFWNIRRTTIEQRIEANDKIQKDSETSDEEKTKSAQKIENLLAGELFLSYECIKDYAKELALCEKALQMDCRNFHCWDHRRIVARLANRTEEQELEFSNRLIDENFSNYSAWHYRSIALQNIHRDAATGMTKIDDALIGSELQKVKNAFYMDAEDQSAWTYTRWLLEVGSGKEFLRRESSSPIELISASFHGNNTTLVFSRAVTIPFLLTFVDTEDTTRWRAFSSTSPNPSSSRVWQYLSDSPLRVVTSQSTDENVTWNELTNDRYVNKSRLETIHDIVEAKEPEYIKELLEDCHQLIQLEPKNKWPLYMRTLVLLEYQPIRSHDEIISNLKNLAENLDSKRAELYKSLLSRQKLNHSIREQFERLIGKEHDQLVVRYAELTSLEGVEFLAGLVGNADFQGNLLTEIHRIVLPNLHNLTISENPIERFVEHFIEKIF >CRE06566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:520738:525693:-1 gene:WBGene00076287 transcript:CRE06566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncs-6 MWGVIRMRTFRRSTRNAAPRMKRPKPRASEKQRTLERHFGSRQPSKNKFINALYWGIRRCQFWLCFYDDGKRHLENNHPNSHISEVEIDVEDLLQNPFNTQPPGLDQLVQLTGFNRKWIMFMYRNFKQKCSNGRMTESQWRIVFRSLFPQANDSMFVDRLYSAIVKNKQHQQITFEDLILCLWELTDDRKSSEMSNSHINSSARAQFAFHLMDVEGKGRVDESGFYKYTRCVFALTASHQVIDAAAIGLPAGSIYRSKSADDDLKPLSPLIARFSSKRFKELDEDRDGFITVRDIEREIELQHNESICLKSLKEFTSEILDDDDDDDEEEDQDTNPLLSPSTSGSKKDL >CRE22285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6390:126:1514:1 gene:WBGene00076289 transcript:CRE22285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22285 MMFGGSFAPSNVMNMFVVDPFTLFYQFIAVVAALACCTLSHAYIETYKDNREELYILMLISVTGAMLMVASSHYASFFISLELLSIPVYGLLAYTHQRGKSLEAGVKYLVLSATASAILLMGMAYVYAYTGTLSFTDFPGLYGNQLAQVQQIASLADFIEQLKGFLAQPMILLGSGLIVIAIAFKLSLAPFHKWTPDVYQGAPAPIATYLATVAKVATIGLLVRFILSSGFILVPSIVTVLTVIAVLSILVGNFLALRQVNLKRILGYSSIAHFGYLLIALISLGYASLGSVSVYVVTYTLTTIGAFGAVALMSSPYNNVDEAESLADYRGLFWRRPVLTATLTVMMLSLAGIPLTAGFIGKFMVVIAAVTTEHWFLAAMVVVGSGIGLYYYLRVMIVMYMTPPDNPRIDAVDHWGQKVGGLMVLGAAALVLIIGVYPDPIINLALKSEILSPLHFLMSQQH >CRE12655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:108439:111179:-1 gene:WBGene00076290 transcript:CRE12655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12655 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3M751] MNSVSNFLIDQASSLRCVVLRWTKIGTDQDYSKTGITNVMTAFGYESEDLMESSSEQEGVIFLKLKNKEETTNICSDPRLKEMRIEVHSLVDLNGMHLRDANDLVKIASIDRNVTDNVLMDVLTELICLEDPEREKIAEEEVEIIKKGISDVIENGVCTMFGSYSSLVRRNGYSDIDMAVSSESENTLSVRPLQMIIDNPKCLLENPMTYAEFYSYPQEEIIKAIFQCLVDKEEFSEKFEMRTLLVRTPIVVLKSTKIPEMKMSYDISVNNLISVEKSRILNDFIAKDKSRKMRKVAMFIIHWAKTNKLLGGVYHDEKLKKKFKFNSYIINHLIIHFTQKAANECLVKPFEKPENRIVDYSFDELFHGYSAFLYHFFEYYLNFDYETLGIYGFNVIEKSKLAEMQGVNTSPLMMIDPLDITHNASFHVIEDGIKLFKNLIKISLEKKFHVKGDTEVATLGPVSMASTASKVTENADEKKISHQDTVRTAKDKTIVKSEDKTSEKENPKSQKTERKGEKKPLISVEPSGDSLAFKLESESQIRLTFKNISDEKIMFKMKVSDHSYNMNPVFGTLEIGESSDVIVTHTPSQCKEAKLVIVNSKYAGDVDLAKSFRNRKPTGGPITINLVAT >CRE26025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2485:11:409:-1 gene:WBGene00076293 transcript:CRE26025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26025 MLVDSNIQIMDSFDLTVLIIQRICTLLAFLIHFPLTLLILYKSPTSLGAYKCLLIYISIFELVYAVLDVLVSPELYTYKSSFMIVLDSNKTFLPFWMLYPINLLFCGMLGCSMAIFTINFIYRYLVMKGYEK >CRE26026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2485:2765:3404:-1 gene:WBGene00076294 transcript:CRE26026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26026 MTNCKFLGYSCLLNQYVPLSVTHLITLLATFAISYGADDICHVDQLLMLPAPEDVYHKQSAKEVFPNATILPSIFVNAPVPAFFENITIPSVLDTPKLLKTFEYNEEDFSENVDDSQDSDDVIHMRCMKIAGFVAQCIIFLFVFTIVTMDVEHFEYPEEAHTFVVHKLHKAQEIQTCPLPTIQNVQIIKRELESEC >CRE09069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2380106:2381467:1 gene:WBGene00076295 transcript:CRE09069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09069 MTSLNDIRLNTNSITGALQAYEVFISVYLITNLTTNQLQTLTGFMYLSTLNQDRNNYFHVYDVSYTQTISYQLNSQNPATITTLFLNSDNGSSVTFSKWDQDLDAQVTLYDGFRSSVAERANTQIFTNPLYFQNFGISFSNVETFSIPSRTFHMKSLGGISFRIAPGENQKLAATTSYTTTGLHVKRADQESSVTYRILQDSLDFTGVTGVNIVSTIPGNSSVQVAVYNNPPDNSYYNTSIIPGNSVMSWSIPFVGDKLDIKSRRDNTSTIFTQFFIIQGPPHLLTTTQTPLTSTTQIQTSTTTTTLPSSTTTMKTTPTTTKPTQPTTNTSTTTTTTTTTTVATTTKSASVSKLFASIAVTLSFIFLV >CRE21702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2632:7:3341:-1 gene:WBGene00076301 transcript:CRE21702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21702 MVVSFRAPPPHPLLPAPSTNFQQKETKPTKPKEISKDSKNPIRKSIERQNPRTPVLKSPATKARRTVDKKGKSPNTPDKKSKEKKTKSKGKVEAPTAGMKDSVEYTKISSVVSRLVSFSKDVPKNNDFYHANWATMPDRTTYIGAQGPMDSSLEDFWHMVVTELCPAILMLCDFVEDGKVKCVQYIPLENEQSAQYGMYKVTRKDKAVEFCKDVVLQVFEVSVPEKPEIATHTVQHFQYQNWRDYSAPMSTSSAINLYKTFKQLKTPLKIEKKFQLKGPPIVHCSAGVGRTCTFIGLDLGHQRVGYCSTYPPIQLVHELRKVRDKAIQSTTQYMFMVMCLLDIFIEEGVARSKWLDEFVIA >CRE21113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6552:1460:2101:1 gene:WBGene00076303 transcript:CRE21113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21113 MPHGKFILHSNYIPAGDQPEAIARLISGIDNGATHQTLKGITGSGKTFTMANVIHRLKRPTIILAPNKTLTAQLYDEMKRFFPENAVEYFVSYYDYFQPEVYIPGSDRFIQKDSAINDHLERLRLSTTKSLIERRDVIVVASVSSIYGLGDPSSYKALQIPLAPGAQFEQKELIHRLALLQYERSEKTIKRAMFRVRGDIIDIFLLIQNIMQFA >CRE11499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:101704:104047:1 gene:WBGene00076305 transcript:CRE11499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11499 MVNFTADEIRSLMDRRRNIRNMSVIAHVDHGKSTLTDSLVSKAGIIAQSKAGEARFTDTRKDEQERCITIKSTAISLFFELDKKDLDFVQGEHQCETIDVNGKPEKFNGFLINLIDSPGHVDFSSEVTAALRVTDGAMVVVDCVSGVCVQTETVLRQAIAERIKPILFMNKMDRALLELQLGAEEMYQTFRRIVENVNVIIATYLDDNGPMGPVMVDPSIGNVGFGSGLHGWAFTLKQFSEMYADKFGVQVGLSRICEMRKNLTKKLANRAVTRFKRSIVT >CRE15465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:49399:51195:1 gene:WBGene00076308 transcript:CRE15465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15465 MSRHVANEFRLELENLLPKNDDTITKKDGSRRPSVFRLQESDSMFGFDSIRSLIHQCCRDGDVSLLRSYLNKYPDYVNSPDKDDMTALHYGTRYGNYEVVEELLRRKAIPTTKNLDGDTPLHIASKYSHGFTDICQITNEKGEVVMDQVDSERIHNTSTEKIIAALVNANAEIDSPNAYGLTPLHYAAMKSNIAAVKKLIQLKANVAAEDVNAMTPLLLGCVHGSQEVIRELINANSDVTKRDLRLNTVFHIVALRGEPEYLKMMMDHDPSAAILALNKENNEGKTPLRMAVEGNHPQTLKKILELEKKNKQKWMIREKDLIHFAAEKGYLEIIEALVEAGGNKNEQNSEKTLPLHVAAKTNQLEVVKYLMDDNSREATDDYGMTPLMLAVSHDSLDCVEYLIEKGADLTVVDKDERTCVYIGAKYNALASVEYILKYLNPPKSSKSDMSETDCLQMSIASRKSLRNINENEKRTMVNMADRDQNSPMHIVASNGYLEMMEVIFQQSQL >CRE07952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1815:3139:4013:-1 gene:WBGene00076309 transcript:CRE07952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07952 MGEPSSSWYNSMAVSTLGSGNLREALKLPPGEDKNEWLAVNIIDLVNQVRMVFGVLCESECTDSKCPSMTAHGRQYTWTSDETVLNTSAPQYIDLSLTSCQLNIDDETVFPSEIGKQFPANFEERCQTIMRRLFRIYAHVYFAHVSHFKEIKALPHLNTSFKQFVLFANQFHLLNKVETEPLREIIENLVSFS >CRE04494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5611:894:2507:1 gene:WBGene00076311 transcript:CRE04494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04494 MYEQTLSEAAGFHSAAQLRGLFVMLLLFENINNPEELWNKFLKDLSEDFEHQGYTSKEAESLAYHDMKDRMEAMNGDIKQWINKDYQPVASATHFVDLKECEKKGEEMKSLLNVEQSEAVGAILDALDFGGLFFIDGPGGSGKTFVYNCLANIIMGKGKTILPMAWVGITAALLPNGRTVSSICKLNINDFYGLESHGDLAEEVFGDLLANGDVNKLAKVAILTPRNKEALEVNNSVLDKMPGELRSYTSLDEITHKDGGEINDSLNFTTEFLNQMTPSGMPPHLLRLKKGAIVMLLRNLDVKNSLCNGTRLVVDDMGARVLQCKFINGPRQGQMVFIPKIKLNYEKGLPFIMSRLQFPIRLSFAMTINKSQRQ >CRE10402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:311640:312121:-1 gene:WBGene00076314 transcript:CRE10402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10402 MSMNVAHILYILSQTFPLPVPNNPDISRQERNLANHIINIIKEAETGEIEIEETEELVDEDYDGDYETPSEYCFGPEVSNENCYINGCSEMSFILCSRCKLYICFDHFCISLNHFCPVA >CRE11907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:503189:503792:-1 gene:WBGene00076319 transcript:CRE11907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11907 MSKIAYSTLAILAIIVISQVQSAVLPVSSKEVALVTPSPSSSSSETSIDTLGSSRVKRQGGCGCCGCGCGCCGCGGGGGGGCGCCCCRPRCCCCCRRCCTCCRTCCCTRCCTCCRPCCCGCGCGCCGCGGGGRKRRSLQNLRIDEANRALGIKRRPTKGGDKC >CRE07883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:13466:16004:-1 gene:WBGene00076322 transcript:CRE07883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07883 MMQNMLEILIKNAREPLIIGILQSTKRGDHNGKDKNRPTIFSRWFSRNGGAEKERTVVAKANIDRSNDQVLLRQGSLRMPQASPASVSPLVRYGSLRAPTYSNSVADHSKLMLDTLDKRFNAKSSAHSEISSSSTAAPSAPWSPSSVNEKDLVFAGTNIEGVPVYVPKNTIFSTPTSPVTRLIRQNSEQKRTMSQISSSHRGGSGWDGRSFSSNTTTSDARPYSMHFTPTSSTIMEGKPHRRSAVYSPSHPPAYPPIRDDSLSSVMSSSNSIRLPSTSFSNQYPNNSACSLTGGNGAPPRHCISKDGSDFSISTTGSAMHSYAEGGRSHKKYHHHIPRGSHHSESLLVNRSSQPRLVEVPRSDVKLCGGNAIGRRQIRDIVNQRILAEKSVGSELLEGDLILSIDGNCVRNTTLECAVNTLIADDTELTSLLVQDGGDRLNRLRLGADGDSFFLRVNIDRSMENKDELELKCGDVVFVDKTMLMGKTGRWRAWKVDKEGRQREHGAIPSSTTVYQAIRANRYANPFPKKAYEWVEKLDTKVKRPVLLFGAVVEPFLQMLVDESEKFSIVARESLTASFDEVSALLKDKVLIDSKQNEDVYDLYHVISTAHIMDITAQGLHCVLQVEQSAIDRLKRCRMFPILVKIRFKSVKQLKDINEHICGEKISSKEAKQLIEKDLKTEKDLDGSVTLVVPSHNNVSFMMTHAVLQVTDFILKKLIEEEQKKIVWVQRKVDEE >CRE27994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2044:1:1518:1 gene:WBGene00076324 transcript:CRE27994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27994 YDFSPFVGRSWNISGVRVFSREGSAYYHFFTVALFPPNIKCQEQYDNFDMIGLLDQDKEAVEGLACRVTALPTPSSNRSKTAYVTPLLVASRLDSITTSRTHGNTSLSDEVLEYDSHDNTSRPLDVFFWFDRVASLSSTCPNGNQLVVVARCLPTKKQMEMRLPHSCPDGTCDGCLFLVIMETAQACPVCESNDYETINGECVNGKQTIHSIPKKHCVITGAASQTKEVACSAFTAFQKTLLTILVLSMVLLSIGFICICRRNRRLEYKYTRLIESHTGELPAVETCGLDEDEDDDEGWFDNWGLQDRVIFSKGRRSAPNNSRTTLRDHRENDNAAFISLDSED >CRE18393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4829:540:1262:-1 gene:WBGene00076325 transcript:CRE18393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18393 MSDLAFSSFTLIGVDAIKFLQGQVTVNVEALAENITQYTAICDLKGRIHFGLWLKKINPEHLEIVTTQDQAEEFAKHIKKYGAFSKMKLEETGRVFPTLNGSTTDFSTTETDISVWQIAAIQTGQAYINQAIEHVFQPQELRLHQREGINYDKGCYLGQEVIARLWFKAKPKAWLHVIQGTGPAPAQAEQLNKGVQVVNSATFENGYIALVVARPDALEELDVTVLALPESLSGDVARPQ >CRE15242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2587:4559:4693:-1 gene:WBGene00076329 transcript:CRE15242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15242 DTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE05373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3372:1466:2394:-1 gene:WBGene00076331 transcript:CRE05373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05373 MHPFEIINFSMISKRTKAVTKHMSFFSKYSIGINIDEEPQISVVGPEYTTECVYIFTSNEEMNGKVVEEGDWDDMNELRAWKYSNNPVEEWMQLLKHVLDIFQKQSIDNLSMTMDAFVDQNVSIINFLKTNVKTVNNCNLYQLRDETNVDDHSAYLLKNITISSKLFSLVNIKNNNFNAKIPKNVKELTILESKWIGYNRLLEIDCEHVTLRDNQITNEQWNSFLKKWIEMETNQNLEYLELDDRDLEEFRDHVLHDIPYEVVSEEVSRIVTW >CRE25978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig806:1375:6526:1 gene:WBGene00076332 transcript:CRE25978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25978 METLQNTCIQVLANSKFKGYAKLIDGLRIGEEFKIPSELSNRIFEILTKTPLQFSSDTAHEISKVFNVTKLRLTSSIVNDASIELLQSFNLVELELKLLDLKKEENTGEELDDEEYLSDDEEYSFDIIATLDSILNSKSRKILRVLKIGGYKTKFNSEWIEQSDSRYAHVFQIAKVLGPVLQHLDINSYRLSWGQSKTISNRFPNLTELDASHTHISLTEGISQLKNLEKLSLAGVKLGLDDFKELFKLRKLQFLNLSNLHYDPYMGFIKYSFQEESPHPELKVLDISACGYDLREIAKLIEIYPKLETIGLIGYELPPNTNTEIPGVKLHLNTTVEQCLDSLDYYLNNWGFEHETIVEIIRTIYRECIWPTFLFPEQLKIQCLGKISEALDHGARFTNILVPLLALTHLIDEYRLQGITAFQRQSLAKYLLGQEPPEERPLLYFTLFQRCFTSDAFLATRHINYSMVCNKTIDFVIREVSDCTYHILDVLETGVSILSRCLSKMPRSDENFRKKRFDCMFNYMMTASKRSSRQAAVAILVHVFSFSTNRNMTGNRKVKLMTEIMSCIRRYRRYRSIDFRKIHRFIQTHCKSSGVKDWIDWVQMNMCDD >CRE22277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4150:55:833:-1 gene:WBGene00076333 transcript:CRE22277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22277 MAWFPDPLAMETPTEESADYYRNTPQAWNNWIFVSIMGVFYVAYFLKVRKVAKGQKSKASAAIIVQCIIICFFNTVCALVYNSFTLITPDPWILLMGQICWSVNHGCPALIYITMNHTIRKEFRKLICRRKVHFRHQIYEKNWICFRKLKTQQCRLPTQ >CRE22278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4150:2173:3622:-1 gene:WBGene00076335 transcript:CRE22278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22278 MSYNNNKIFQELTPNISIKNDIKAGLGTSFNKKKEMNRLLYYGSVESIPFYNCSWKSQSEWLETGLKRPLLGYPITVFGVFIELLYPPILYIIFKTKLIRHACYKIIVMLALVDMTATACSCLISGPLFIKGAVFCAYPEFIYVTGMFVLSEFFKIKVYVYPFKIFCVLKAR >CRE16717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1087057:1106595:1 gene:WBGene00076340 transcript:CRE16717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16717 MLPRALCILIASIIFGVINADLLSDPNYRVFRDISSIRQRVTARPVKNVTSTELPTYYEQNYKISNRVKRQACASSCTPLEKATVPEYDGLIATENFYITYSTDGSGCPIADITCVGGDLATLDYNDVSGNTITLGEGMDDYNILEAQLKCFAFGWAATIAGEVIDGNSLNCNVLTDIPVTTTTSTTTETTSTESTSTSTESTSTSTEPTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTEPIESTSTKTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSSESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTSLPALPQNHQYLNGVYQHFTGVDQHVHESTTTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESSSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTESTSTSTEAESTTVPRTACCPTGGYWSEWTADAPCATSCGSCSQQIYRRVCLTEADCGTCTGSDVKIQNCNVGVCYFPLDSCCAGYTATVSGTLHICGPQPNTTDPTTNDETCCPLEGIWGEWTEWSACSGTTCGNCDGSRSRSRVCASDSFCSCIGDTTESEFCRTLATWSEWTTTDTCNQTSCGACQTMTYTRTCLKTNGCFCMGEATKTEPCNRAPCSSTTVCCNSLTVSTINGISVCGPLEDAEVETDPVPCSTTTPECCVLGGVWSEWSSGDSCNDTCGNCGVTTLSRVCLSADYSCACSGSTTKQAECAPAPCPFPRTTCCGARKKVIVGRTFQCSSADDSDAAPSTLCSTDCCPAAGGYWSEWTTGGSCPTTCGSCSTVTQKRVCLSPSTCPCQGVSSRSVNCGITVCYFPDDSCCAGYTATVSGTQHICGPQPNYTTPYAPYDPTCSENCCPETGIWSEWTLSPAQCRDYCGSCGNQTKTRICTSDADGCPCQGPTTITEQCGTGVCYFPRLSCCPGYTATVEGSNHICGPLTTAVADPDKLNTCGVTCCPSAGIWGEWVSTAGCNDTCGSCGVETRTRKCLSLQYGCACTGDATDTSVCASSVCLFPRTSCCTGFKKMVNITARTFYCGPLPVVPAFNPEQTTCCDPGTTINCFYNLHSNKNSISEKTGLWNDWGSWTTCSATCGLCGTQSRNRTCASAPYGCPCTGDSLETKACGNQVCTTGSQCCAGKFVATGYDGAQYCQDKTPEVCTGTWTEWTTVTGAVCNDTCGNCGSIPTQRYCFPSGCQCSGEFTGTNACANSVCLFPRTSCCLPYKKKIVGKAFACA >CRE06245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1733:37237:38424:-1 gene:WBGene00076341 transcript:CRE06245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06245 MQNVDLLFLLLGAVLVLAMHAGFAFLELGTVRHKNQVNALSKIITDFSISAIAYFFVGYYIAYGQHFFHTGATLSTEHGYNLMRCFFLLTFAAAIPAIVSGGIAERAKMRSQAIATLFIVALVYPFFEGIAWNGNLGLQKWLADTFGASFHDFAGSVVVHAMGGWIALAAVLLLGARNGRYKKDGRVSAHPPSSIPFLALGSWILIVGWFGFNVMSAQRLDAISGLVAMNSLMAMVGGTLSANFFGKEDPGFLHNGPLAGLVAICAGSDVVHPIGALFIGICAGFLFVKLFTYTQNKLKIDDVLGVWPLHGVCGAFGGIAVGLFGQQWLGGIGGVSLMSQLIGTLLAILLALAGGFAVYGMLKATMGIRLTQEEKFRGADLSIHKISANSEDAMF >CRE17780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2310:4942:5834:1 gene:WBGene00076344 transcript:CRE17780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17780 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3NUQ9] MAKKPLYKSLYFQVIVAIILGVIVGHYFPSGEQLKPLGDAFIKLIKMIIAPVIFCTVVSGIAGMESMKSVGKTGGIALLYFEVVSTIALIIGLVVINIAKPGVGMNVDPATLDTTGISKYVESGASQSTVDFFLHIIPNTVVGAFAEGEILQVLLFALLFGFALHKLGDAGKPVLRLIDQISHVFFNIVNMIMKLAPIGAFGAMAFTIGKYGIGSLAQLAQLILCFYITCLLFIFIILGSISRFCGFSILKMIRMIREELLIVLGTSSSESVLPRMLKKLEI >CRE20668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3354:324:2066:1 gene:WBGene00076346 transcript:CRE20668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20668 MRSAEREHDLAAKQRTVENRAIELTLNKENFEKTKEEWQRRMEEDLEEMRLERERIEVATSKTTDRRRSEMAVEAEVSMWKKRTAELEHDANETRKRLGDLMEDNFRLKDQTGAISQVKRELDVTMTALNESREELAASKVEIRRTGDYDQVKEENDQLKIEIERIRLKTTHRVQSAVEEIIAEYSAKEAKWKRIAGLSQQRIAVLTEKLKDLEIERDVLRQEIKTMQKMVGRGSYQKLQVQNLTKHQSKVMFRSVSPSESTSSLSDGELEILNIRQRIQNLDDIAKELDASVEHFSTTGMSQKGFDKNESNVELYDDFCRALHRSVIDDEGSPINMTSSPQKPVKKAQKIMEESPVPSEHDSEEKVKKPESDSWLKRGMRVVSPPARKKSFEEVRTTEVVSEKNSEQVRNRIEPVKPVEPELSEFEKRLQARGAAKDQTDRRNRILELATTPEPEPIQTIVQPHVHESEKPTSADNPMFAGVDPDMAEYMKKVLAARNQNPTTSNVKLFQNINTFNFNCFSLFNPLNRSIKHLN >CRE15857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3025:3189:3483:1 gene:WBGene00076349 transcript:CRE15857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15857 MELIYSKSRMSSSFHYERLDQWRMLILELLIFNVYSHHKCFISLHFNLMISHGLLNTVILMMAVMQDSSGIEVFISHFNDME >CRE16567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2025:7148:7270:1 gene:WBGene00076350 transcript:CRE16567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16567 MAGSAILGSVILAMIEGVGLVTTRWMGAMMDPTQPPVRFH >CRE15261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4546:3:1538:-1 gene:WBGene00076352 transcript:CRE15261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15261 ILCYLTNSRIKAFEHIPYCAYRIQPLGSRTMVWTGKTFSFDKIIDEFVGKPFECATESFCPDPCCSRVPSVVRSSPKYAPLCKMNTCQKKSTCLLKHFENDDLSKLRLNQFNINCGCDKPGTIYRPDIGKCVHHNPCTKQSLCREYGQESSAYHGFSFSES >CRE03607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5610:1446:2564:-1 gene:WBGene00076354 transcript:CRE03607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03607 MLGNNPELKETFNMGHQRSGAQAQALAGAVLAYAENIEDPSVLLPVVELIAHKHVSLNIQAPDYNIVGENLLHSISEVLTISMEDPLIDAWAAAYGQLADLFISTEKAIYEQHQQTQGSWLGWRKFKIAKKVDESEEITSFYLAPVNGGALPKYEAGQYISVRVFVEALGLKQPRQYTLSTSPQADYLRISVKREDEKGDLASGWVSNTLHGLAEGSEIEVSAPTGNFYLIDSSKRNVFISAGVGLTPMIAMLNQLVTLDMPQPASFIHACRSSQVHAMKQHIQEQKTKFPRLSTFTAYEFPHSGDVIGEDYDVAGRLDLATLDTALLPAHADYYLCGPIPFMQQQHQALVARGIKPEQIHSEAFGTGGAGI >CRE18260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig270:64521:64949:1 gene:WBGene00076355 transcript:CRE18260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18260 MGTIRIGTGNQAGRTTTNNTGRPGPPPLMMQQVRPTPLMSQQPSLMGNQNQVPQMRLQINGQPVPMVNRAPVPQQGLMGTAPMGGMPQQMPPQQQMMLGQPQVRPMMQPTMGVQQMMGVNMAAPPPMNNQFQQR >CRE30639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3327:1047:1550:1 gene:WBGene00076357 transcript:CRE30639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30639 MASSSASWKDQDPYSAPGPLGARYDDHPLHLDIQLVVPGIRPKSFYRAANTQVNIKSDPFSMKCVVEIVKVDKKKTPPEKSIIDRRFYEIQRFPAEVEDISWKLKKIVVI >CRE20643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1674:2514:4321:-1 gene:WBGene00076367 transcript:CRE20643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20643 MFLFFSFFSFKSGLLDYSISMDYFSVIMSRQLGKKIVQWIATRTMCTTEELVRSLTVPLKEHEEPLKVLTPDEKRNAVLLRMKEVGIPEDANYVVFLQIEKTNGQPPFFDMFMREHTYLRISLTEKCNFRCMFLKLVLNLLNLPFSGLYCMPAEGVPLKPKDKMLTNEEVLKLVKLFASHGIDKIRLTGGEPTIRKDIVQIRKDISEGIASTPGIKDVGITTNGLVLPRFLKDLKNAGLTKINISIDSLERQKFAKMTRRDGFDKVWKSIELARSYFPKVKLNVVVIRRQNENEIVDFVNLTRDRNLDVRFIEFMPFGGNVFTNDQFFGYREMLNLIVKKFRGDVIRLSDSPNDTTKAYKIEGYQGQFGFITSMTDHFCNTCNRLRITADGNLKVCIHGNSEVSLHDKIRGGDTDEQLSEIIQKAVNNKKARHAGMDALKNLPNRPMILIGG >CRE22771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5798:671:2558:1 gene:WBGene00076370 transcript:CRE22771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22771 MDVKAVEKKRKKHKTSVQKPREPEIVETVSNQRNSDGKTRDPSKVRKHKSHGTQNKKHKKRGSKSRSKSKKKSGFLCCKKKKKKRSRKSKSKTTHKQPRMKPIAPLPPVPNEQLGEVVLVGNTPKVPVTPQKQEKEPLTPEKEGVKPTTAKSAEDVKPSKEKIGSEKDEKGEKTKVDSTNGSKKDEKEKTDQKSENQENEKKKTIESDWNQVSGYIPNHVSKRNFLANMSKNRFADIICMDHSRVKMSDSSYIHANWVKLNDRKKAILTQFPLPNTAADFWQMLLEQKVQCVLLIMTDQELKSFDGDSVFPKNQDFLSFEERSIRVGEFKQVEMAKGWNLKVISVTNGTSKTFIHVHHYKNWLHDSIPSESKHIWQLQYHLEKYPGPPVFMSLSGCGRAGTYALFESANFSLLKRQAVNVPNLLKCE >CRE19636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3748:2714:4030:1 gene:WBGene00076373 transcript:CRE19636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19636 MSEQIFALIDINNCYVSCERVFNPSLNNKPVVVLSNNDGCVVSRSNEAKALSIDMAIPWHEVEQQAKKAGVLAFSSNYALYGDFSDRFFKILKIFFKDEDLEAYSIDECFINLSSYQDLFDLQDYCRKLIKTIQKWLGLPCCIGIGYSKTQAKLANNFAKKRRGFDSVCDLTGLDLCSFEGLLLETSVAEVWCVGRKTSKRLQAYNIYNCYDLTFANEHYLAREFSVVIGRTIRELKGQSCIALDDADIPSKRILSSRSFASALNNVEIIKQAIIFHVNRAHQRLSKQRQLCAVVHVSLYEKVAQAPYKKAQSSVIGLMYATDDLMILTKSALQQIDVLFKENLKYVKVAVMFSALHSKSQHINDLWHPVDFIQQRDQLMDTLSSMKQRFGSDCIQVGYHSNQETWKMRQQNRSPSYTTRCSEILSIDDSHMAVTQIK >CRE12452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3122:7360:8376:1 gene:WBGene00076377 transcript:CRE12452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12452 MHLAALHTPSQIQLNNDGNLKHFLTIEGLSKATLTKILDTAHSFINDQNQLITTPLLEGRTVMNLFFENSTRTRTTFEAAAKRLSANVLNIDIARSSTSKGETLRDTLWNLEAMAADIFVVRHSSSGAAHFIAETVCPHVAIINAGDGRHAHPTQAMLDMLTIRRETEKNFEDISLAIIGDIKHSRVARSDIAALQTLGCKDIRVIAPNTLLPCGFDEYGEGVRLFNKMDEGIKDCDVIITLRIQNERIDSPALSSQAEFYKMYGLNKDRLAMAKPDCIVMHPGPMNRGVEIDSSIADGAQSVILHQVTNGIAVRMAVLALAMQGQLQEKGLLEAIAV >CRE21690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1752:6374:8476:1 gene:WBGene00076380 transcript:CRE21690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21690 MTCYRNSVKCMLLLFFMGLAALNTYSYWRDSLNVNILNTSMHPDVTVEQSSITPFQCPFEPWNQVHSDIVPNENLHLEWIQNNISRRDNILESQIRLLSSSVYLDHISITTNSQRSYGQKVYCRYYNCLREEISNSSYQSIFFPMNVIRCPRRIGVKYMSISFDSEEIPQEPIPLVYRVFEAPIHEVSVCVGPIYGSESKWLEVAEFIEHYKLIRVRYFYFTVFNMNEYSRKIIDEYLRTGEIELTVIQSEYKTIDWQFHLLQINECHQRSKHHSKWVINVDIDERLVILDDEIKSVGSLLSSYNDTVAEVGFAIRRIQKTEKLPEKYESDEQIFFEMEFLKYNVSSPITWGAYKTIYRPVKVP >CRE15532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:92994:96260:-1 gene:WBGene00076387 transcript:CRE15532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15532 MSNQHPNGYSVRDIILRYESEEEEFVRELEQMMEQTLENENEIVQETDEGPPILSVLCSLVDEIGSLRTENRKLKTRLVPAPRNSKNVVQRMSAMFEQRGSSIFPKLRRSNRSSESMEIRNGARERLSTPPRKENNSMTTSTDVSYGRNGAPPIVRPELSDSEIDEQIFDEDDHRSRDTTRRCDSINVPRHQNDHSTSACTSPSSASSSRDPSETMTTSRSSFLDLLGLRRRSTHIPQPTSYSKTTSTKQVVKKRRRKISGNGNDSESSGMYANLEDHCSRVPNKPPRPASYYRRDDSEDSDCLRQMRQRKTASSLNYSNKKYSFSEDMYRVSKETHWQHEKDNLMAEIEEMKMRNLRLVEQLREKSQQQSKLQCQLHKVEMQVNTLSRRCALSEALDRLTLDERMEKSATIWIKKIEERLRIFENQMQNAKLEAATAHQMALNSSCHEKEAHQNCLEKLENLQREHMRVIHSSLMEIGIDEMNMKRRLENLPTYEALYAFTHSVVRRLNEARWAMIEKANEASRAQIDLIVSQSSHLVSLAQLERLKIMQTLRGKRQKRPSSFHGHSVSDRNVRQDLNFYLPLKLHGSRVENSRRTIKKNAIVASERNIEEEFLKLFSYSKDFSMELAANSVVPERRATNRIETMIREMNGRPTVRRGPSGISSSNLMRDRVTREVPSNRRPISLVETEERRIRRNEETRRSIKFIKTNSIDHGYNAYEPPAPSSRMNSTTVTSSQDFSNNVHHSPLNTPVFTRRIIVPVKGNAYDIVPEGTTANITPANIPQMGRVRKLERAFSAESRNTVDSLHEREERRERRDHAEANDRRQSKIQRSQPVSRLKQPSAQLSRFRTIGESVIANREPPISSNLRPRTLDPSTSIPRMTTSPTDSRIPTPSSRHVGEKRGWLERLKGIGKL >CRE04485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3171:2564:3750:-1 gene:WBGene00076388 transcript:CRE04485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04485 MEVRGLMVIKQTKKTRARQRKQAIYNWKTIGRKVIHTIDKVGTEQAILLLHGHDRLDRVYEDHVQPEKVPSRSRTPTRIGTTLHSSKRLKTTMVVGAAAAAAASNTHEIRTDEAVNSMLLSAPPSLSGEGGTMDWQPSITPVEERSESKSQGRSEASSPIVVIPPVHSKTPPKADSPVKVQEYSRTVRVRGADSIPSIELPNIPNKSTASTPPHRAVSPRLRADMFRRHPNTSSFDQNPPAPPNE >CRE01196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:343367:348662:-1 gene:WBGene00076390 transcript:CRE01196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01196 MYRSVHIFPTTSLIDCYDSKNRKPKKARAVEVRMDRYFKLRQTFSDYLDTRDGGSTQTPPTPIYYPPISTMTEDDKKRFTVKKITEEELEIFDLPTDHFSPVYFLEPEFELRWYNKPDALCGRFQRDCEAEAMSKMAQRLLALFIKMEMLESVAFKYDDIRAIRVYFMDDREMANDRLLTILDNIFSTSMIKLGQFSDKISVAVDYELRAFMSDQKKAKRLVLETMLKYPEFVPDSWGGESAILKWKDMKEADVRRREADAKDMIENEKKEREEKFKKEEEKVKNEEEKTKKEKERLEKEERIKVSSFQFSVGFIRIHFQKEVEEAERKKKEEKENRRKEVKKNREERRNADQTRKDKKEVGEEKTAFEKGIKDLLGGGNKKKKDEKKNKRKNKNTKTTENTKTKDFSHEEPPTPPPHQPVQHSPKTPPVPLPLGTQPLPLPPPQPLPSPQLPTSSNAPGQPRQHYQTPPRKRLSMAEVGHFLSGRKKKETTSAERVSSGNSMDHISKHPKGAGGGGGGLFGRKHHKK >CRE18372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2163:3465:4500:-1 gene:WBGene00076391 transcript:CRE18372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18372 MVKKAYFLFLYLVVSFILFFVLAFNDSPYVLLALLTSCLTCFALYIIVQVFHLLISLLAVQRLVIYFVPSMEKSTFFFLNAFLVTSALLYIPITLSIWKLTSLTMNQDKPQKYIFFQTVVILIFKSACVPAVLAFILLECSINYSIGFVASMDIIIIPLIIQMSYLGCNKRNINTLFHSFNLTRFVRVLLDFEVETTVEPQITLTHFSSTRHSTRQ >CRE13241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3340:3595:4785:1 gene:WBGene00076394 transcript:CRE13241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13241 MTNTSQHATQKKQILLNAFDMNSVGHINHGLWTHPRDESHRFNELNYWTDLAKTLEQGLFDGLFIADITGVYDVYQNGIDLTLKESIQLPSHDPSTLISAMAAVTQNLGFGVTVNLSYETPYQFARRFASLDHLTQGRIGWNIVTGYLDSAERLIGQKGLKDHDLRYEQAEEFLELCYKFWEGSWENDAVQKDKQKRIFTDPKKVHQINHQGRFYQSQGVFQVSPSPQRTPVLFQAGASPRGLAFSTRHAECVFIGGDQPAKIKQQVDKIRELAVQQGRNPEDIKIFVGITVVTAETDELAQEKLAEYARYASPEAGLAHFSSSVGIDLSKYADDEAIPYQQTNSIASVNNKFKENQITPNDLKAQHQLGGRYPLIVGSGETIAEKLIQLIDETGY >CRE07200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4694:518:988:1 gene:WBGene00076399 transcript:CRE07200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07200 MFQNFIPILPYSVPTRNITTVEDHARVVEGVSRCRNALINGDKTSYDWDSGYTCHQIGSGLIMIQLAQPYIISSMRILLWNCDDRFYSYYVAVSTNQNDWITVVDKTNEECRGWQELVFDPLPVVYIRLVGTKNSINEVFHVVHLEAPSSVPIAIK >CRE23287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3077:85:853:1 gene:WBGene00076402 transcript:CRE23287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23287 SSSQTTYQNFDSSAFRSNVFTPSRSSTYFTTGGTTGNTYIISQPATPIIYDNHHYYWHGYYRSRPEKETYCEYAIGDEDGELRNVTFANGTSPKFLAFGCGHYERCCGMTCCSMLGDFLGTIIWLAMFGVAIWLCCCKN >CRE28012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3519:30:950:1 gene:WBGene00076403 transcript:CRE28012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28012 MTLGQEFNAFAVTLREDIERLREAVSLLGEVNMGATAIGTGINAPRGYKESVIRHLREITGLDLVTAGDLVESTSDTGVFITFSGALKRSALKLSKISNDLRLLSSGPQAGLGEINLPARQAGSSIMPGKVNPVIPEAVSQVAYSVAGADVTVSMAVEAGQLQLNAFEPIIAHSLFQSITWLERACQTLRVNCVDGITANEERLADTVARSVTVITALAPVIGYAEAAKLAKQALATNEKVSDLVITAGLLDQAQLDEILQPAKLAGLAPDTGVIDIPKQVLPDAAGEAPETVEAGAQIAAPKGSD >CRE26923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2524:1243:3658:1 gene:WBGene00076405 transcript:CRE26923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26923 MTVNYNQEVSSVNSFTFVKLLMTWRGSIWKSVRWELTMWILAFAVVQCFYRYLMTENQQRFFEYAAIHLNVRLVHIPLTFMLGFFVTIVVDRWRSVFTNIGFIENVALSVGTLVAGTDHAAKVLRRTIIRYLVLSQVLVLRDISMRVRRRFPTMESLVTEGFLYRDELEKMYKCETMYNKYWLPTHWANQLVHKAMFETKNVDSVQSMNSVLMVRE >CRE23868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4435:72:2659:1 gene:WBGene00076406 transcript:CRE23868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23868 MQILVQIPSLCSPQARITLLPVVMYLLIGFIRETARLDEGSIQADRAGHLSAIAAAAIQSIRNIVSQPPGDETENSWKTIMRNAFYSVLNMAEENDRIQLDKCIIMLAAVVFTTSAPVDVVLGHQESFSKLIVLLKRHLQSENVGVVMKTLQSLSSIFQRKGFGGIFVKYLGKEMMPVVKRYTLKVDNEDEKITESDLSVIQECMKVIEVLAMNSRESKRIHVISLYVQLLVRFLRATCHSEWRKVGQIEKKLHEMAIGRLNSAALMWPAEFKKVVEWNQELKKRVESALLLQSTRHSHQMTMARTQEVKTTPVVQQPRIRLTMFGAENN >CRE26825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig325:39253:40456:-1 gene:WBGene00076407 transcript:CRE26825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26825 MGDFIPILPELVRFHTKNLILTDAVPDSDDLNRVLVPTCFPLESINLCCMYLENEMEYPIVQQSKLLILSLDLNFHEEEYGDLNALTHSRIHFYRQYWNSRNIERLLVSWKKNQKPIGTHFSFERPTVAGMRRLLRNIGDRFPESRIRKIGNKSRPFLPDQVLIPLNDYSEIKVFCKAAKKKLKSLHLKVQPIRRS >CRE24342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1835:1119:2151:1 gene:WBGene00076409 transcript:CRE24342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24342 MESVESELNAPRAFPARHCTRFEDHFAIIRERIRIERKIKTLEYDLSSDALRLSEEYQNRLKVLESLNFVEKKMVSLKGRIGCEIHHQELLITELILDYKFHKRSPPELAALLSTLTCQYNSGRELQFAPDSVFGEIRESVNSVLGRLEAVASKHKSHISDLGSEIRFDLMEVVYEWAKGTPFYRIMEMTDCQEGLIVKCIQRLDEVCKDVRNAGRIVGDPALVEKRKKSVLQSEEILYLLPPCILRSNYL >CRE10748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1338:856:1449:-1 gene:WBGene00076411 transcript:CRE10748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10748 MSLFHLAKLFIQFLIVLFSMLMNLILIILVIHQSPKKIGNYKYLMCYFCVMSMIYAGIDYIVQPYIHSYGSSFSMIMDLRDSIFSKFRQVASVLTASLAACFGVSIYAIAINFIYRYLAIQREGRTRYFHGFRLYIWLTIPILTGILWLSVAWFLLDYDEELAEYLM >CRE21662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1272:3652:5235:-1 gene:WBGene00076417 transcript:CRE21662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-27 description:CRE-SPE-27 protein [Source:UniProtKB/TrEMBL;Acc:E3NR95] MNKLLICAVFVSFTYYCHSAILDELFDINDVENKACKDGCETENVRKSSVRSTYDKLYKCYDSECSKLFELCIKVLFSEKVKYSHYIQYRTCQDNCVPEMAVLPYKQAKEMAERVRPPINPCMDLVSACNYETMDSYEILRTENPFLYI >CRE09876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:11427:12076:-1 gene:WBGene00076418 transcript:CRE09876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09876 MNFNVNMTDNKKSKKCPERSYHCRLLSKMHFLDMDPSCALCFRFESREQFDHDMRQLNLSQFIDIDQGEEHGMKRVRDPLFSVVYGERRRIPSYEREVSESDLQQADKHGFEML >CRE07979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4455:47:826:-1 gene:WBGene00076420 transcript:CRE07979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07979 MKKAPDNDKMKRKASMESSEKQAQKVRQMESRIARLDEVEEPRKEWRLEFTIGSAPRSSSVVATLNEAVVRQGEFVLGPVSLQVDAGERIGITGPNGAGKSTLLRLLLGERTPDAGSASLGSSVAIGQIDQTRTLLTGSAPLAEAFESLVPEYTSAEVRTLLAKFGLRADHVLRPLDALSPGERTRAGLALLQARGVNVLVLDDPTNHLDLEAIEQLEQALEVYEGALLLVTHDRRMLENVRLDRRWHVEAGRVEDCFV >CRE17740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1110:179:2309:-1 gene:WBGene00076427 transcript:CRE17740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17740 MQSENVYGHFSFGLVANERKCRCNTGTMTLVTDGKNLIWRCTSCRGSSKMSLKRDSFFEGLKTSVQSLIYLAANWIENPQKTIRQTATDMKLSPDVVVDAYSWFRDISQIWFERESANQRFRLGGPGQLRFNKSHCQWFHIAGTVVEIDETLLYKAKYNRGRMLNRPQIWVFGMLQRGSNKVAMFEVPNRSAATLLPLIEAHVEPGTTIISDGWAAYGGIRNLQAGYDHRWVNHKTNFVDPLDRRVHTQGIESTWGAFKRLRKQRFGDPHESLKGHLFTYMWRRYHNHKKLLNHLLTEMLTYRRDVDGTSPPTNPVLPLLQPRQGVQQQVAFPNAPVNQNAPPPPYQQFLPYQQLPPSKCISRFEKNEAKSSTTTSTKRPSCSTGSTNRPSCSTGSTNRPSCSTGSTNRTSCSTGSTNRSTPAPTTKWTGLHSINSISRSPQNNIFQNNQDQESDEDDDTEMQGESDEDDDTEMQVDPTSDDDVDYSSDSDSADVPVTVSNTGRRNDVRGRPRTVQTRGTKGARGRNQGSATGATRGAKRGSATGAARGRNQGSATGATRGAKRGSATGAARGGNRGSATRGARGRNQGSATGAARGGNRGTATRGARGGNRGTATRGARGRTSVA >CRE22752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2838:35:3149:-1 gene:WBGene00076428 transcript:CRE22752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22752 MSVSEIRPIAIEDELKSSYLDYAMSVIVSRALPDVRDGLKPVHRRVLFAMHELGNDYNKAYKKSARVVGDVIGKYHPHGDSAVYETIVRMAQDFSLRYQLVDGQGNFGSVDGDSAAAMRYTEVRMRKLTHEMLADLEKDTVEWEDNYDGSERIPQVMPTRIPNLLINGVTGIAVGMATNMAPHNMTEVVNAYLIPEEQVVLTVSKTGYAKTQPLSDYAAQRRGGRGKSATSMKEDDYIQHLIVTSNHATVLCFTNVGKVYRLRVFEVPQASRGAKGRPMVNLLPLDAEETITAILPVIDAPKKFTERLTEFRTFVRANVAQLRENTIIDSHYEALKAAFAELGENPDDLSDALRKQLKEIGIELSASDLDDELIAEFAERVESVRKNFYVFMATASGTVKRVELEQFGNVRSNGLRAIELKEEDTLIGVAITDGEQQIMLFSNEGKAIRFSETDARVMGRTAKGVRGMRVALAAAQTEEDVEVDVDSDDEDTADSNTNVVSRIVSLVVVPETGEVLCASANGYGKRTPVDDFPTKKRGGKGVIAIKTSERNGELVGAVAIDESKELMLISDGGTLVRTRASEVARTGRNAQGVRLIRLGNEEVLVGVVAVEAVEADDEIIDQLDAIEGETALDSENLIDSEKLVDDETVMDEGETESDSTESDE >CRE10749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1338:2068:3664:-1 gene:WBGene00076429 transcript:CRE10749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10749 MLLSTLAFLVEPYLISDASFFLVMNLKDGLFENYPTVALLLLDGLAGLFDTTIHAISISFVFRYFALQREGRLRYFAGKFLCIWMAYPFVFGFIAMVILYQLGPNDQFRQDLKNMYGLDIEKTTYSGGFYWTIDEYGDKIWNIKEASGIMVLNIKKLIPLFIIFYFGTKSYKIIRGLVSQGESEYSRRLQTQLYKALVAQTLIPLFFIFFPLAVYGMGPFIGLSIEWANLILGSFFTVYPALDPIPIIFLVDDYRNAFLNFFRRIFSKHQIAAVTFYDSNMNTFQA >CRE11394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:20505:22122:-1 gene:WBGene00076433 transcript:CRE11394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11394 MRKRCLKCNHTDVCHNHERYRLDHLTSYIYILLGIFVITAYVPTRQDFFERKIVGSRVGLLHIIYCIIITFGICPTVHWVFLHGGFDSDHVVKWFPNVIVLYSLIAAAFMFYVTMVPERLWPGKFDVVGCSHQWWHIFILGAMIYWQQSGNQLLTEYRSFSDSCHRFIPQQNFSEISHSISNYSHPSM >CRE04493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4131:3386:4144:-1 gene:WBGene00076435 transcript:CRE04493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04493 MGMEFWDEIVGQPTAVGVLERAAAPEVVPAHAWLITGPPGSGRSNLALRFAAALIARDPADRDHVYAQVAARTHPDVAVLSTERVIIPIEDVRKLIPTAYYSPSEGRFRVIVIEDADRMSEHASNVLLKALEEPPERTVWVLCAPSEADLLPTIRSRARSLRLVTPQPDQVAQMLQARDGIPFETAERAARLAQSHIGMARRLATDAEALARRTSTIELALGVSTLGEGMAAAGALLKVADTDAKALTESLD >CRE30351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4128:14:781:1 gene:WBGene00076436 transcript:CRE30351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30351 AAWVLGAILVAAIAVGAKLLPEAFDPAPTASPSPEASASPSADPSRTPDPGSFPTPAPSGGPVPATGPASAALETLEVKGRAPKTGYERTVVFGKAWLDVNRNGCDTRNDILARDLTDTARLKNCKVASGTLLDPYSGDTIAFERGPQTSPLVQIDHVVALSDAWQKGAQQLTQAQREALANDPLNLLAVSGAQNSSKEASDAASWLPPRAEARCAYVARQIAVKAKYALWVTDAERNAMRVVLDRCPAEPLPGG >CRE17804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7123:1408:2211:-1 gene:WBGene00076437 transcript:CRE17804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17804 VLTTDERVQKFSFTGSTEVGKKLIAQCASTVKKVSMELGGNAPFIVFNDADLDAAVTGAIASKFRNAGQTCVCANRIYVQSAVYDVFIEKFVAAVKQFKVGNGMQAGVDFGPVIDAAAIRKVTEHIDDAVSKGAQIVLGGKPHPYGALFFEPTIVKDVTAEMQVAKEETFGPLAPVFKFETEEEVIAYANDTEFGLASYFYTQDLGRAMRVSDALAYGMVALNTGILSNEVAPFGGIKQSGLGREGSKYGIEDYLEIKYVLLAGLSK >CRE11656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4176:989:1942:-1 gene:WBGene00076438 transcript:CRE11656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11656 MNNQRITTIHIRSYSDYISLFLASPQKEVTIDYEKHPDGSAITVFQGKEKHSEVVNSSYFAHVASQHIQTVLINQSSSLDELAFVMLFKLDEKRELNQCGKYTFEKLKEILKSRECLLKVDSFIVTVTDGYQVLQLLPHICSESLRRLSINNAKEPNQQKSEELLDMEEIVKTMQYEKAKWLSILGFAIEPIVESLVGFRTVQVAVKSISFEWFMELKKVYQLEVGFVLMFHVFQSLGESSNFEFIQLRFLEADIDQLIEALYGFPSGETDQLGHKRRQWFLKTSDPKTVDSIIIFHDNVLYSRMESNRVPDDAQIV >CRE24376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4315:124:315:-1 gene:WBGene00076445 transcript:CRE24376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24376 MAQNEGLRVTLSENLPPVDYYKLVMSLRYGFNYVSKNILPAAPCKMCYNRSYNNSKGVCFCTF >CRE04432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1091:8033:8864:-1 gene:WBGene00076447 transcript:CRE04432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04432 MLSSFKSHLFSQGNTKDLSIESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGLQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE12672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:197026:198095:-1 gene:WBGene00076448 transcript:CRE12672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-114 description:CRE-COL-114 protein [Source:UniProtKB/TrEMBL;Acc:E3M781] MEDDSCHRVRAYQWVANIAMCFAIVSVLTVCVSMPAIYMYMFHVKQLINTEVITCEEHAREIWKEVEIMKNLPFTNRTSRFPRQAYKDKAPEVAPGGGGGEKYNEAPPVAEAAGGGSCGGCCPGGPGPNGKPGKPGRPGKPGAPGLPGVPGKSTIQAPCEAASPPPCRVCPVGPPGEQGSPGPSGDKGPAGQPGRNGPDGPNGEPGPKGPPGSPGAEGQPGMPGEPGKPAPQEGSPVPGDPGPPGDSGIPGPPGPPGLAGPDGLPGMGGAKGSNGPDGPPGADGEPGPKGPPGSAGGAGEKGICPKYCAIDGGVFFEDGTRR >CRE09834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:17846:21656:1 gene:WBGene00076451 transcript:CRE09834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09834 MGDGMDDDLERSMASGDDKVSMGAVQMSLAERRKRTVGFANFLATVGQVEEEVQQLLKTMTVVSSRQIDVVQGPWRKFRKELTERFKELDEDKWPRAVMRIMRESGLESLGELKNKCENTEGGVYIDDILIASESMEEHAADLAKVLRRIRDCGLKLKAQKCKIAQKSVEYLGHVIDELGVRTDGKNVEKVENFPIPRGGEKKHSFLGLCTYYRNFVMNFSSIAAPLTPLTSPKVAWRWASEQQEAFEELKRRMTNAPVLAQPDIEAARSFERPFCIFTDASGYGIGAVLAQVGLDGKVHPIAFASKALTPAEKNYHVSDKEALAVLFATRRFKHFIFGCPTTVFTDHQPLTSLFKGKKLADRLLRWSMEMQDFALNIVYLKGKANPVADALSRGGAPQEIEEMKEVHEQARMEVVRVVNEVSVVEKEETAKRSWKETLEKDEKWRGIIRKLEKGQQDGMVEVPGEGKRDLSEFMMVGDELMLVTKYGATLKVVPEEKRKEVFQEAHGGIFGGHWSPEKVGAMLEKRVWWPRMRAWIGKWSKECQQCLCSNAKQILTAPLTAIETSEPLEIVALDLLDLGRSAHGNRYVLTIVDLFSRYAGVCAIPDKSAETVAKAFVENWLLKEGRVPKAILTDQGLEFANATFEKVAKMSNIKLIRTKGYNSRMNGAVERFNRTIQTVLKKITVIPAEWDEKLPYAVFAYNSCRHDATGESPHYLMYGRDARIPMKADAEELVGRYQVDTDEYKFRHAEQMNSAQEETRAHIKREQEDAKRYFDKKHGVHKIKYPVVGDRVLIKSPAEKIGTKNAKLRNEWQGMYRVLKTTENSAEVMPIVGGKEKIWVPWEHVRKVPIEVPEMSVKAKTRRGKRGVRESGESGVHEIRNIEISVENMNSFRNFVINGCDCKFGPCHVKLDEQSCRTLEEAANLLVMRNKQIRGADGHMMMHSVMVRKEVGEPEKVEALKMFAKECSMVAKAIMNGTIQEKEWKAAAEEVKKEVEERLKPKKTVIREPEILIGPRMGIKGKGLLEMREANADGWVDKYDFEQVQTAVFLLALTMDEEKNKRTGDVIEKLAREVKEVVVFPFRMDCTFAEVPLVTETWKRTLMTSANAIWIEPMKSVGTKQMPMITTAPERFKTAKELADFLEAVMPSGGIVEMLRKDLEKEPPSKRSRPSHQ >CRE11066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:496519:497501:-1 gene:WBGene00076452 transcript:CRE11066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11066 MRFLILCSLFSAVFSTTEVAIRQKRQMNICGTYPNQFYSMLPCSYWTSQTVRNPNMCNNGGRKVGVGCYYNYQCTPYGAGVVCVNNCCCTTPTFTTRAPIIPTTTTRSVAALAYCYNGQRTQVRCTTSVDCAAGQTCINAVCCSTTGQEYTGTCGGLPAISSCQANRVCGQFVCTTSNYCCECQFGRTAGLCTNVGVGLTCPTGYSCAANGYCCATCANGRAPFGSCFNGLCANGYTCQAGNICC >CRE30346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3088:3303:4739:1 gene:WBGene00076457 transcript:CRE30346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30346 MITISLCTLTGITTKQDRVLMCDIDTSNDRDEALEATQSDEQMNLNYQAHWMPFSANRNFHKDPRMIVGAKGSYLIDSTGREIYDSLSGLWTCGAGHTRPEIQQAVSKQLAKLDYSPAFQFGHPLSFQLAEKIVQLMPEKLQHVFFTDSGSESADTAIKMARAYWRIQGKPSKTKLIGRARGYHGVNVAGTSLGGIGGNRKMFGQLMDVDHLPHTLQAHLSFSKGCAETGGVELADEMLKLIELHDASNIAAVIIEPVSGSAGCIVPPVGYLQRLREICDQHDILLIFDEVITGFGRIGKWTAAEHFGVTPDLLTFAKQITNGAIPLGGVVASHEIYNAFMQQDLPEHAIEFTHGYTYSAHPVACAAALATLNVLENENLISQSAGLAPSFEKLVHELKGSPHIVDIRNCGLIGALQLAPRDGDATIRGFEIGMKLWKAGFYVRFGGDTLQFGPMFNSTEAQLSSLMNAVGEALYQVK >CRE31635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1927:6353:9635:-1 gene:WBGene00076466 transcript:CRE31635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31635 MSPSKATSSKVPTTKSFEPPMNIPGTSSNRSYMNSVSASPISGTSNSSPNYPLFENTPERGVEKQNGNRTMATQTEGLNSSERALRAQTCPVDLPGPLLQSNDASRPIQAVRVDYPAVNKPGSSQTGQKFSVPAKPANNGLLDPKADESQQGQAGPAVAPPRPAFKRPYPAQGAANPPKMGKWTREFNLFTLKVILIYLSGAGQLQMMSQAGQTGQTQMMAPSTSTQNNSLRTAPAGTSQMMQETSSNVVKSTSQTGPIKQSPTTPARLPGSVSMISTTPALKSNDSQAKQKRATRVVPARPKQDVLMAPSSDRSQSGEVGPAQAEVSGQTASSQSTSADLQPAPETRSSEAEQKRAPGIVQPEPENTQEEESQSAPSSSKAVMPPPRAALWRECRSKPTVAQRNEELQRVRMSTPIPDNSLYKIRIGQEFQANITEESLSNSDSDRDEAIWRSPESEESQCNTATIQKEYWCTIWRQFEGRFLYEEALQHLMKNEYSIEKAFESIEVCLGKLRPRILLREAHIEHYKELLQEQAFDEEYYRNFDNKKEVSFLSNGSKDAKRVLTIFGKQITKMSV >CRE15262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5586:1737:2185:1 gene:WBGene00076473 transcript:CRE15262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15262 MVILISALFALCWLPLLILINVIPEFYPNINSWRYILYLWWFAHGLAMLHSIVNPVIYFIRNARFREGFCYFSSKLLPCIAFKEFRLLTDNNTSRRSVFPRFAEKNFKFSSGYFSHMFLIFIRYN >CRE01426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig440:18402:21014:-1 gene:WBGene00076474 transcript:CRE01426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01426 MRLGDGSARTEGMRTMFTKSENLLREVFARVVTRTAPDQRLLAVYCSMRLGDGSARTEGMRTMFTKSENLLREVFARVVTRTAPDQRLLAVYCSMRLGDGSARTEGMRTMFTKSENLLREVFARVVTRTAPDQRLLAVYCSMRLGDGSARTEGMRTMFTKSENLLREVFARVVTRTAPDQRLLAVYCSMRLGDGSARTEGMRTMFTKSENLLREVFARVVTRTAPDQRLLAVYCSMRLGDGSARTIRDDYIHSALRLLIPLLCYSPVCEVENLTVTNTEPVIDDALFSKSEDRHFFLRIHACLGASPLGRTSKTQEFDGQLGALELVCAKATFPLDSEKPRNYSSKMSSWYGQTAPNTSDSLEYYLRFGLDTLFFILNYMALELNFLLQKPSSNYLGSSTLNIGRGSNDTRSKNKPLTVESLTVTNNYRVEMENR >CRE08741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:518591:519342:1 gene:WBGene00076481 transcript:CRE08741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08741 MLKTLAVLVVLLSSVTCFFLSEKDICDVEKARWNQCFKGFINKTTELNEAAKEILESSSTVAPSHYENHKKHFKSLKQCVGDIHCKGMRKLIKFEWDTFDFYMEMDDGTAEQCVREADQTLPLHSCIHPKDYKFPAGYDFNKEILSCTKEVLENTECSAEDKKNVMRGALAVKDMYDIFSFHLKSEDLVNEFDLNFDRTKYL >CRE22756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3398:6:3646:-1 gene:WBGene00076490 transcript:CRE22756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22756 MVPFSFDVSEISFETSKKKIKKVKKVKKVKKIKKVLRTISEESSNPAVSIPANPTALSSSTIPTVPSSSIISTVPTHNPGQYGAGGPQKKKKILKRKGGNSSEVPKKKSSNPIPGQFGGAPEFTHKDHFPTQEERAHLEECVELSKGAALKRENAAYMALKPLRMRFKNLDSIEDEALHLHVANLLDIFVRMRIQEAGGDLKTTKYWLQLSHKGYAESNNSHFITHKTYAAADGGVIMNEIAKQMQSNQNLLIDDSFTVSMNIFKSKQPSMTGRGSRNKEKIKNAILITHRHKEEAQLKMAKELLNGAQMDPDQEQHGFDDVITLANYLSDYQIILWSIEGRQTVASEVKHLNPNGSKFIGLFYQNGHYEFVSHTVAGNSSRFCFKCSKFDRKDHWKRCTRRCKRCGTIDCEPGSLNIQCPDCKILFRSRKCFEAHRIPSSPSSLPHCEKYFYCLKCSMFDRTDKYSGRAHNCGGVIFCSTCRQKVEHGHDCSYKVPTEEEKTKKREAQKHAKYIVYDMETVTVESGEYNGHVEKGPKHQPNLICVKAFCTECYGAAGCKSCEIEEKYTDDYPEERMRWEKEDADDDMDDDDDDEEPLDFNKIFEVEERNTPLARFAKFLLYDPKANGAYVIAHNGGRYDHVILLAELDRLSGLSREEPRVILSGNTIISADYSFKKQKLHFRDSFQYLQIGLAKMPGAFGIEGEAKGFFPHLFNHPDNYNKDLPTLPDKKYYSPEFMSPSKCKEFEEWYEKSYNDGFKLQEELLKYCQSDVRILTQTLISFIKMCEATFNGWSPIINACTLASYVMFVMKHEYIKNGDVGHVPENGYGGGNNSMLALKYIQWLEKKDPSLKLQYALRGGERQMKINGHNYLLDAYNPTTDEVFEVHGCLWHGCKKCFPIRDKPNPFCGNRTPQESYDQTIMRENDIRSAVKKLHVVWECELNEMMDKDREMKNFFELNKYTRYLQPRDALYGGRT >CRE08822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:893551:894604:1 gene:WBGene00076492 transcript:CRE08822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08822 MFEDIYFWTKHGRPVAEEDHERNASCQYILSNMKKDGIIMKTYHVDPKDPIWDEAFHEKMDHTYFQKDLAPFVRYSINKKRRWEAQGNMDTLTDLKKAYRDMDVFVSERVWLRSSPLFCEFLFLEVRILHQNGFSGFVSYLNYYHNFFLEFKIRRIHQLTDNNDYQCLSSDSVFGQPYKSLLPENRFPKKTENSDDDDGYAAPKVAYHIITPPKNYATLRNSDFCPGSSDRWGHRTLVHWRRCKNMRDALLSLRYEQFEDLDEEVDMTDDYLIYDRYDPPREFYNLEDHLVDNYVYVKRNIGRNSSDSSSWSVISID >CRE08823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:895013:895744:1 gene:WBGene00076493 transcript:CRE08823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08823 MGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE30340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2728:315:1196:-1 gene:WBGene00076494 transcript:CRE30340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30340 MNASFSPFTDFDCVLSTSNSVHTSSAEKEEYVKLGGQQIRVKYHRLRKYLITYWEDKLTGLEAITDYVTDLFNIDVSEVYISKDSFKMIEWVSSRQKTPLKKVVYMAWSGCPSEDEMNYILSNCQCSSQILLYSDAPPNFRFSNNFRRIDCLDISNSNWVTIDNLLSMDGIDIVLESSSLSNRNLNVFLRHWLSGGCSRLKLFSAETGSVDLLQVLDGLLHNAVFVENRRDYNSPFGYRRTLSYGIDIRRADGVSATVCGQADGTLVIAVWPETTYN >CRE12808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1095042:1100035:-1 gene:WBGene00076495 transcript:CRE12808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12808 MSECFDFTRCSNSRKVYIHPMEKRFEESPQSVIYSKILKHFQESEHYTNDPNEACLFLLGIDTTDRDIRSLNYVKNVNEYIESLDQAVWNNGRNHLIYNFYHGTFPDYDDHNLNFNTGEAIIARASSSENNFFKDFDISLPLFHENHPYQIESQRALHNEPKEEKRRYLASFKGKRYVYGIGSGTRNLVHHLHNGDDIVMVTTCKHNNDWQVYQDDRCQRDNEEYDRWEYDDLLSNSTFCLVPRGRRLGSFRFLETLRSGCIPVVISDSWILPFSETIDWHSAAIVVAERDALSIPELLMSTSRRRVKELRDSARDVYDGHLRSIQVISDHVLQKTNIVFLKQHYVSNIDILKRRRRRRGCXXXXNEEEDLFSITWDDGSDANSAGWSAELYFRELREPIFPIFMFEQFCDCAKAESSTEFVKRARELISKLPLSHVLLLRFLFAFLSHLCEFADENMMEPHNLAICFGPTLLPIPEGKDQVFYHNYVNELVRNLIVHADDVFPRDLAGPVYDKYAMQRYTDGNFIEENDLVSEDDDAHDKLSLPHGRHMIDSTYESADRILFSSPILSHANTATPNGISPANGAGSSTHNEIDYAPIPSSRSSNRSKADSVDMAIRSEMPHRIANELNNIFKNSSLSSDGSKGISVLRHSHIEPSGNEHREHHNLRSMSTDPDDEREYVSPPPPLTSTQYMSAASCSISPAIRNTEITERRDSREAMYAPMVKQRAATIPANNGSTTEINATGVRPVGKSSLRDQLQLIRKENSIDIKPSTSLNGSIKKADTDTTILDARSADKSTESSRRTSLEDDRSPEPFAQPDIINSARPRGKSPTLDDILNSLKVATSLSP >CRE22988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:359511:360116:-1 gene:WBGene00076498 transcript:CRE22988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22988 MSCGAKDVVTEETLTEEQEEDKAMAEIDEMIAKASEDEKAALKKKKKKMLKAKARVLKRRELKMIIDGDEGPQAEDQEVFQLKKIRRAKELAEITKETAAPDFDTADGGV >CRE23576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:225237:229038:1 gene:WBGene00076500 transcript:CRE23576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23576 MMTLVESKAEFEITKRSMEDESEIKDAVFYNVTVPFVETFVLKNPGDFCISRAIDGAFYLSIVADKKDKKGGVRVVNLRVEDFEKEVGIPGLIFARADTLAQLIYQLKHENMDILSGVLEEKLTMNRLLGPQVTAMHNILQTGKSVKVQRKLSQDTTSPIYIGEMKFSDGKIKEAVFEEIPGGGQNPTELKTFFEKMVNSKSLRGKNLPIRIPIGAIMNPPTLIYENNKLEVGCNLEDFLFFHQNRLDLTQRIKICSSAVRVLSELHHADIYHGASQLEHFYVDFVGFENEDIKDYELVFNGANGLICEGKSDNSVSVVDYDSTAPEVAFTRKLTKESGVFNLGRLFEQILKPDLFKSYSESNEGRVPYLQTNSMTFPSKEHTCYCKCALPLTNQPRLPSGSPESLTEMRHLISRATHPNPTRRPTMHGIVMMIRDVLLKAPKSNSHICMVHFDQFTN >CRE02711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig801:30885:31538:1 gene:WBGene00076502 transcript:CRE02711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02711 MMRGELKISGATSSSGPATSYVIREKSNSGGSQNGDSVCAVCGDGIAKLHYGVLACYGCKGFFRRTLTGKYRYACRFGNNCIVDKFHRNSCRYCRFQRCIQAGMDPKAVRPDRDQTGKQKVPRIKKSKLMRNY >CRE28017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4999:282:1163:1 gene:WBGene00076503 transcript:CRE28017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28017 MSIRRDHMKFKLLISSMLLLASAPSFAVVCWNSKGNGVVDEVFYDLSNSFSSSNNAVGKIVELKKNFSSQVYGTCPVHNSNISRNRTMRSYVSDLSVVETIDRYKYLPINDYLVGAMKITDSFAGDFYPPANYVQMGTDPNVSRGQPFGIWDSNFTFRLKVIKPFIDFVPIPKKTMFTVYVTTGSADPLSIPVYKISYSGSITVPQSCKIGTGDFLEIKFGEIPAYAFSQAGPGNKPNNVNKQSHTLAIQCTNIDAQAMLTLRLEAEKATGDMMISDNPDIGLLRCQIKMTMC >CRE13222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2020:3893:7104:-1 gene:WBGene00076504 transcript:CRE13222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13222 MSIRKMQEKFMLALGQHNIPGLRWVLEGFNNYDIQRVKEVGADRAAAEWIVRCGGTIKFTQIGENFADYNALVKRTAQLDPRRAEDNVTLETIRAEDASVTGFGCRHFENLSAIKNVTFIRCKNFHDFGLEYMGQHVGGHLKNLHIEECRRITEFGLEHLKAFTALDKLTLRNLKGVHGKEKVEEKLRGALPKTTEIQCEL >CRE17786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2590:3046:3912:1 gene:WBGene00076506 transcript:CRE17786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17786 MNMTTANTARLLITCEDKPGIVQAVSSFLYHQGANITALDQYATEAQGGRYFMRVEFELDHLQSRRESLIQTFATNVAERYSMHWRLALVSDIKKVGILVSKVDHALLELLWRHSRGGLPCEITQVVSNHEDLRESVENFGIPFYVVPVNKENKREAYTKIDELMQGNDLLVLARYMQILDEEFVQKWEMKVINIHHSFLPAFVGANPYKQAYEKGVKLIGATAHYVTADLDQGPIIEQDVERVNHDFTVDQLRELGQDVERNVLARAVKWHLEDRIIVDGNKTVVFQ >CRE20531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:186309:189103:-1 gene:WBGene00076513 transcript:CRE20531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20531 MSDFYITLPSSVPNSQFPNTSSRYVTRLPDVLTLERDKYRVAATDIIYPYSFVNVGKALDFWIHFRPAASSSSPPRIPVHVSFPPAQYSTADQIVRTLNNDNNVVVEGGPASRMKRAAVDFEISRAKRAKTEGAAAGGGGESIAAWNRQFESLGGGGGGGGGASSKPKESVNTLNQQFNSLLGGGGGGGATSKPKEGVAVLNAQFQCLSGGGPIPPGQGTPTETKDPQKPAPVQKDQQKPAPPPPPPPPEKKDQKKPAPTEKKDQKKPAAEKKDQQKPAPPPEQKDPQKPAPPPSSEKKDQKTPAPAGAETKKEETIADWNRQFESLSGGTPAGGETTTTPPPESVADLNRQFMSLSGKPKPAPPENQPTQQPAPPIVQKKGDGDVDDTPASANRDVEVPCASTLPVTLSGILRCHPRQSRRISRNLRRQIRRIRRNPRQQKRRIRRNPQQRRRINRNLPRHRHQSRRIRRNLRRQRRRIKRNPQQRRRINRNLCATEQKDQKKPEEKKDQKKPAAEKKDQQKPAPTEKKDQKKPAPTEKKDQKTPAPAGAETKKEETVADWNRQFESLSGGTPAGGETTTTPPPESVADLNRQFMSLSGKPKPAPPENQPTQQPAPPIVQKKGDGDDDDSVASWNRQFESLGTRGETIDAWNQQFLSLEKQRAEHDEAWPLYAASSMLLLHNKSTDPTTYQQQVEEFRKLRAKISTSHTSTSRGLLHFSNVGDQIKIEFLDGDISFVEFDETCAYFLGFTDPIVTKSQPAHKKVDYFGNVSTLYLYCDVVDPIIVGNTKSSLLSVIPCRGAYGEMIHHTVTHPRYLPLMNSTIDSIRVELLTEFGEPIDFNWGSTIIVLHFKRIE >CRE18310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig963:65:2052:1 gene:WBGene00076516 transcript:CRE18310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18310 MRERGNQFREEHYIKFQPNLGTIDMAGIWSKNPNWKEFFELTSGMGWNSNVVSFSVFSFFWKVQTFQLRIAWLEHTGALSADVPSTSQPPPNRMAQTFQSHSERAKSAEASEPSTIGMDDQLDIDYDNDGQDFEMDEPSSTADFDVPESSGNSSRDDSEDIEARSVTPSDMLSVREQAAPCVAIELQDEEPHRQSPINGLEEDETEIVGVHDDNVEHPEQEEQEVPQEPEDDEGHEEDDEAPAEEQPHLPNGIPQMSPAHSERAQSAEASEPYAIGMDDQSEIDYDYDGQDVEMNESSNPASPSHFSPPADFDAPDYAGNSSRQSSEAPTNELEQGGAESVGAQEDVNVEHPEQQEQDMPQEEAPVNNEDHEEDDDAPAEEQPPHQDAPQESWERELDEDTMRLVMSNSDNPIQPEPIIPFSRTYSGSYLRWKEEEVTDWMKKVLVKGGYKAFRGYNGHHLHDAIYDRETRERTGFGEAFWKMIKCHLDNVYSYDPFIPRI >CRE13867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3021:320:1078:-1 gene:WBGene00076522 transcript:CRE13867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13867 MCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKRKKEEI >CRE11492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:7621:8257:1 gene:WBGene00076523 transcript:CRE11492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11492 MYRLLLLCVILCGVTNANKVEQVHLSLSGKMDEMVVTWLTQGPSRPSSPPENGTLGRLEQFLANAPMMKYEAGE >CRE08636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6179:799:2014:1 gene:WBGene00076530 transcript:CRE08636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08636 MRVVTRAATSGDDRQSVRPAPKPVRRGSLAVPTLSSQEHNMRVGIPTEIKNNENRVAITQAGVFELKRRGHEVLVQAGAGLGSAITDAEYVAAGATIVETAEQVWADSDMILKVKEPIAAEYDKMRKGQVLFTYLHLAADKTLTEKVLESGTTAIAYETVQAGRALPLLAPMSEVAGRLSVQVGAHSLMKANGGRGMLLGGVTATRRGKVVVIGGGAAGEQAARMAFGLGADVTVIDIALPRLKQLEDEFNGRIQTRTSNAHNITEALKEADLVIGSVLIPGEKAPKLVTDEMVAQMKQGSVLVDIAIDQGGCFENSHPSTHDDPTFPVHNSIYYCVANMPGAVPETSTAALTNATLPYVVALADKGWVAALKSDEALAKGLNAPRRRADLQGRRRRVPEARHLE >CRE27101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1071951:1074190:1 gene:WBGene00076535 transcript:CRE27101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27101 MVSRKRREYRTRTTLLESDSEDDVSSSGSATEAEDLEEDIDMEDLDTTELENVHSDEDCLETEDADKKREKHFCKEIWQLKKLPYSAELEEQANRHFQMIKKGLAESILLNDAATGFCHWTMELDKYIDFYGRRFSKEEHIQLIRIFLPLVKKGAIFRNVKIAMRTLYTLLCKKDFLTREDLVIEWRPLMELYVEVTFKNLEEDGLFLMPDGFRSDLHTLIFYARPYFSDESVQELLDEVRPFMCIWDESCLRYWKLMDLFLCTSLPVEKQLTHGFAIWLDEAWYWYEQITNNSLFETQAIKMFARLSVECPGHIDWTDKLNLIFRRLLRALRLGHVTGLCQIFNQEYGSIWLVFLIGTKSHEKLMSHLRDLFNQVESFLHPSNNGLHTQHIMVLLSKLLSNTLLRLKRKRSEKSQSRTRTLTKVKAVKNSCTSISIVFQIPDEMRLTQAHLDELVNMLLPSLKLIAFTKTCKELVSPAFRSACLLCPKIILPVVLEMVYPALETLVEPHRLLQTLGTLLGVLIPLVKDEPDENGKTYRSHVITILNSLLPGLDCNDISKCMVTYQIIGVVVNMIPIVDCSEAVHVRCDLSEDEKDLCSAPASFDSLISMLMDRLFDMLIAVGQTASTTSTHGSISAKTGNNIEDQIFHRGTLSVFKGICRNSSTELFNIAVNKLYNVACEHVFDSRIANDVIGDMIQVACKFHPDIAFQKFFKLVISKLQGCITREFY >CRE22176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig512:9:1822:-1 gene:WBGene00076540 transcript:CRE22176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22176 MDANTSSSAALAPENDALVGKAYPTEAELSFFDNFATVVDGSDLVRSTTETLKLPPTRIKLKNLDKLPTSNTLDVNIGILFDIFIRWMLMKAGACLKTTRFWLNLRHPGYEKTPSGFWMPHRTYDKLNGHTLMNEIARHSQSNRNLGLDETLILSMRIFTKDKKALPGRGHRVPEEIRKMFGLHHGHNVVGDSHCLPKALAMGKLWSDMQSCSDPGEKKTMNNKLQKVMRKSERRFDNRCRIQMERAMDLLEEAGMDIDQEEHTLEDLEQLAEYLDEYHICIWEVPPHFPFPVVREEFNKGADNFIPLFYHNGHYDHFHPTVENVQARFCFRCHKVVGANHARTCETKCRRCGNNECESEEGVSIWCEKCNITFRSQECYKRHLEKKTLKAFPYCDVYEKCKNCRTIHTRESYSKVKHECFSTYLCKICQTRAGEDHQCLHVMPTEKDRGQQLDKQKEWKMVIYDCESIVASTGEYTGAQSLGGKHVPNVLCYKIICQTCLGKECECCGPMQVLSSIEEPKPVIQRFVE >CRE11087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:640770:641487:-1 gene:WBGene00076544 transcript:CRE11087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11087 MYTNNQTNNAVRPADSSSSYSQMEDTPSVHQQNTFNITINFVNAPNAPLPPPEQAVPMIHNLICQQMKDLMKEERRRSNARRRSSRRHSRHFPQPPPPQNPAVSNDELSDDVTQKESVVESVKSTRNPETKKTPRYSDESPEIEKKIRYRLVPKRNLKDDELPKKRYSEGGRRRREHEDLRHLNSLEPVAERHEEQKREKARRRSVLE >CRE23041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:355868:356538:-1 gene:WBGene00076545 transcript:CRE23041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23041 MDSVVDQNVWIIDFLKSNTKSVSECDLFQSEQNNDVEEDAAYFLNNITINSKLFFKLNIKNENFHEKIPKNLEELNIQNSQWIGYDKLLKIDCKSVTLNKNRISDDQLNLFIKKWIAMETHLNLELLELDYRELDNFRDCVLHDIPYEEIDGGVKRVLKTCRDKNIEINGGIDIRRIDGKTATFFAKRTFWKQYLAMSIH >CRE02561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:273102:274079:-1 gene:WBGene00076547 transcript:CRE02561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02561 EGAKKAFIEELQTKAGSEFFPHIETLKSSSLLLYLFDKAKKIGSSALSKISAAYQTANEGLSTIGSSFVPDLIRSKRREETQSKKKTVEVSFEDVSKIVELAMKEDSPAKDRDALLAILSFNVMLRTSEAAEIKWTGVKQKDGVIEVFVEKAKNDQMALGRYSFFNYAPGSDTDILMCRWRLRTKEKCPYVFSNLDGSGKLSAQSISALSTKMLKAIGKPGATHHCFRRGGANHMRAMGHTMEEIQTRGRWRSLVGLQWYIRDEPRAQGCLHPQEMLEDQVEDDEEFEYNK >CRE22767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4758:623:1720:-1 gene:WBGene00076548 transcript:CRE22767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22767 MSTSTSSSSSSIKKEIHRAWTDTALPCAFTSVANVHRHVRQAHPSLRYEQVESALEDLESFTLHRPNRKRFPRARTQAAGVYTDLQADLVDMAKYRRKNDDITFLLTVIDCYTRVLFVKPLTSKSGAVVAAAFEQIFTEMGTTPTILFTDDGKEFYNSVCRKLFDEHHIKHVSPKNDVKCGMVERANRTLKTRLAKYMTHAYGHRYIDELPHVVHAINHSVNRGIGKRPVDVRLGDFPIPLPENARRPSFKIKFAVGDHVRLASKRGFFDKGYEQGWTTEVFVISEVAPGRPVTYNVVDTNGEPVQGIFYSQELTKCTYNATGTYRIEKVLARRTRGRRKECLVRWEGYGAEFDSWIPESSVLQL >CRE19605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1829:13:691:1 gene:WBGene00076549 transcript:CRE19605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19605 GSNSGDARVGGASRSSFKTSTPTPEVLTTTLQTTSSVFNRCWTTPDPRIIRPPESLVVIGDYDEDEPPSENAVVDSPQPLAPSLPSFFSQTPPPPPRAPSVISNSGDVFARSRKEISATPDFEQQSGTAATLR >CRE27974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1444:6832:10903:1 gene:WBGene00076554 transcript:CRE27974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27974 MKPGTIDPALAEIGKKNGLLVWRINKFELEPVPEREHGIFFIGDAYIALNQKYHGCWDVHFWLGKNASTDEIGVAAIKTVEIDDSLGGIPTQHREVQNYESPLFLSYFADGIRYVAGGYESGYNHVEDQFKNWKPHLFHCKGKRNVRCTEVECEVSSLNLGDVFILDLGKDIYIWMPPESGRLERIKGMARAKNIADVERMGASKVHILDDVEWDNDPKFWSYFGGVNAVKKVSKGADDDDNYGKRLTEQITLWKVSDVTGAAKVSMVAQGENLRKEQLDSKDAFILDAINGGIFVWIGKECTLEERSKALIWGQNYLKQHHLPRWTQVTRVLDTAENTQFTQWFRDWVDEKKKNTFEPLLFQXXXXDSVTVSDESGLFHVEEIANFTQEDLDGDDVMILDARNSIYVWVGANANPNEKKEALNTAKAYLEKDKMPRHKKTSIDTIYQGQEPPTFKKFFPKWDDNLFKNQVRSVENMRRLLFH >CRE13883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4461:3:3620:-1 gene:WBGene00076556 transcript:CRE13883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13883 MNYLPIDNRTKLQYLKTSVSGEAADILRNLPITNANFPIAMGILDDQYGGTVRIKHALLLKLRKLPDLSSNTSPSDLQHLAIQAGMIFEQLGSMDCNIDNTTTSDIIESKLPKRVINKLYGNGTEKYPTSTKQLILKIKEIAKVENLVAELHNNKSEGQRQVTMSTVAQINKRNHHGQGQQNNGNSHQSRQRATIPCAFCVEERMIHHPHLCRRYDSTTTRKQRANELHLCYRCLRSGHSARQCSKRCQHCHGNHHEAICLKLESGHQNGTSRNYSSSSGTNVTGHSSQRNGQQPAHAQQSQPVNMLTRNSNGNGSSHGNSSGNSNGHRGYQRKQYQHQRRFQNNREQTHLASAVEELSEEEEEAVTHSINVTEENVDGNDSTTLETTVGINDMDNDSSDIKQLPVIMMAVELPILDSNGRECYGTVFFDSGSNTSYISSAFSEKLKLTPTRTKKLRVNTFASNDSHSILSNVLQVPIKTKKAVETVELCEVPHIASNIITVEINQEIYQHLLADQKIHLNRQQKDVDILIGLDHYLQVLGQVNTLRLSNGLQLNITECGPIVSGKERPMKQILYDTYTAVNSKEDKLCQQLRKFWILESIGITESNIHCQADEEANLYFRMTTKRNPDGRYVVRLPYSNKDNIPPNRALSYGRLQSAIRRLKLDPGLLEKYAAIFKEQQELGFIEEVPDESTTDGPVVHYLPHHPVVKETSKTTKVRIVFDGSAKSSKNKLSLNDHLHTGERLLPDIAGILLRIRQRNILISADIEKAFLQLELNIEDRDSTRFLWIDTDNFQVKCYRYKRVPFGLKPSPFLLNKTIRTHLAIYDTKMAREMTHSLYVDNVYMGVDSIDQAKQFYHESKEIFSEARMNLCQYVSNCKESNEYFNTIDKAKSQDRHQKLLGIKWDTETDELIFSLPEMKAELVTKRRVLKRVAECYDPTGFLTPVILHGKLFFQTISTKENAWDTPLTDEQHKSWEKILQNWKGEEWRMPRKIFTDNLLMEADRIQLHVFTDASKIAYGTVAYLRILKINQHSDAQFIMSKSRVTPINSNYSIPQLEALALLTGVRLANYCLKELNLTIEQTFIWSDSMCSLDSLQSTSSSGSRFVRNRVKEIQDTGKDFIFTHIPGKQNPADLLTRGTTFEELKRSIIWIKRPEFLQSTSPLPLRTNSMEPAIKAITALNINQEPVIETERFSSFHRLLRTFMII >CRE20002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:101316:102792:1 gene:WBGene00076557 transcript:CRE20002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20002 MNPCVVCLVRRSGRHFGVDACRGCTTFFRRTVVSKNQYKCSENDTCDIEKSKGLQCKKCRFERCLKMGMRRDYIQSHRDFYDRRSVDNNPIPSISNFQNNVGILSTIEKDYSHLENIREIVHRMEGVNMFMIFQKPSPRGQTYKETYRLLLREFYLVADWISSSFPIFTAFPTSQKDILLRNFYLTFYNLEAGFFACQRNRNDVWFLPNGNFINCQNLESFYHDPYNLQPMTSADAARLFEGTRTQCKRNVLEPMLRENVTQFEFLALAALILFDTGLEGQTDSCLEICRKVRTTVQKEMIHYYSTKRVEEYPLRMANILSIIPSVQKASQKMQNDMEIGHLFNAYSAEKPFFENCVGKR >CRE29243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig519:505:2448:-1 gene:WBGene00076558 transcript:CRE29243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29243 MSRNHNHTIFRYESWSDSVSLVEATWQLHNAGSVHYYFYSALKTMWSPMERLLEQLKEDMPHHRILFTGHSLGGAIASIASTVFVRNFPETSNRTHSITFGQPRVGNLQYAMTHDRLVAAGSWRVIHGRDIVRLARNPSDF >CRE14769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:343371:343825:1 gene:WBGene00076559 transcript:CRE14769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14769 MYYHWAYQRYTGTVAEYVTSEIALFRHYRTTEKNILGSGWLTDPNYKNFSIVPEETKFAEKLKENVLKKIKYVYEQRVLYCEEIAALYRLSISWIDRRYIDL >CRE23294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4517:260:1327:1 gene:WBGene00076562 transcript:CRE23294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23294 MPNIDKVENKDDALVYQATVEVYPEVEAKFEGLEVSRKSSEVTDKDVDAMLENLQKQRAEWTETKGMAKKDMQVTFDFEGTVDGEKFEGGAAEDFKLVLGSGRMIPGFEDGIVGMKKGEEKVIDVTFPEDYQAENLAGKAAQFKITVKLVEKQKLPEIDAEFLKIFGLTEEEGVEKLKADVRKNMEREVKNGLRNQVKGATFDALVAANDVEVPESMLAQEIDRQRQQMIQQFTQQFGAQGAKAFDSSMLPDELFKEQAEKAVKLGVLVSKVLADAKLEVDQSRVDAYIEDMASSYEDPTEVIEYFKTDKQQRAQIEGVVLEDQVVDHILAAAKVTDEVVSYQDLLKEQQARQQG >CRE03592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2570:1308:2063:1 gene:WBGene00076563 transcript:CRE03592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03592 MNKIAFITGGSRGIGRDAALKLAEQNIDIILTYHRNEKAALDVVEKIKEKGQNAFAIQSDIRDITLFDDLVKSLSFVLKEQFGRESFDYLLNNAGTGLHTSIEETSIDDLDDMLNIHVKGPFFLTQKLLPLIENGGHIVNISSGLTRFFMPGSAAYAMAKGAVEVMTRYMAKEFAPKGIRVNTIAPGAIATDFRDGAVRNSEQVQGMIASITALGRIGEADDVGKAIAAIFSEGFNWITGQRIEASGGMML >CRE24691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:33544:35552:-1 gene:WBGene00076568 transcript:CRE24691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24691 MATLDGISVKNIVKIMVAVDQLHLGHINDAPELSQKKLKEHRLGKLPIVNDNGELCALLCRSDLLKARDYPMASYDSKGQLLCGAAVNTRGESQYTVDRIVEAGADVLVIDSSNGSSTYQISMLRYIKEKHPHVQVIAGNVVTRAQAKMLIDQGADGLRIGMGSGSICITQDVMAVGRAQGTAVYDVARYANQRGIPIVADGGIRDVGYITKAISLGASAVMMGGLLAATTEAPGEYFWGPGGVRVKKYRGMGSLDAMEAHASSQDRYFTAESDQIKVAQGVSATMKDRGSCHKFIPYLIRGVQHGMQDIGVRSLREFREKVDGGIVKFERRSTNAQLEGGVHSLHSFEKRLY >CRE07034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:47506:50891:1 gene:WBGene00076573 transcript:CRE07034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07034 MHLKTNLSECIPDRRCLVCGDDKASRHYGTVACNGCKGFFRRSVWENRTYICAGAKDCDVEQRFRNRCRACRFQKCINVGMDRKSVQSEREVRLPKDTVLKPKASLKAKRASLQMSSSITSSIPSSSSTPDVDSPSIVKELYSIQRRVETTFCQEFEDLVQCFGTMCNVNITLKSALENPEKVATRTKLEWGNTKRLATLRDLTVTWCRTFVWFHDYMSSFIELDRLNYLDRTILFKLRFAPVSWMLYAFQSYRHNVEGVTFTNDAWYPNDKEKQTLMEKPCFDYYNRCSGTMMYDLVNKMKQICMTEEEYSCMLAIILFRSDYRLSPDSNHVLASTGDQYTQALSELIMSRMEDKDDLQALDRLATLMCMVTSCQSIARTEDDNVTFLAIFNMADLVGLPYEVHSALRQEEPPHGYVE >CRE20174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3427:2215:2616:1 gene:WBGene00076575 transcript:CRE20174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20174 MTSDFLPDNESYYQFYKEQAELNGYYKYSCHFIPFITLPIYAEACYCILYKCKQFSPKYVTILQIHMFLHFCGEIYWTVLLLPVIVLPSIGISTEGFLSVLRISSSWQIFIMCGILQ >CRE10801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5697:228:650:-1 gene:WBGene00076577 transcript:CRE10801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10801 MISKRAKAITKQMTFYSKYAIGLETMEIAINETNGLISCIYLMISNERMDGKIEEYENNGFIQRKVYKYSKDPVEEWKQVCKYVLDIFKRQTIDVLGVVLDVFVDQNVAIIDFLKTNVKSVNACNVILHTSSSNPTRYEG >CRE11605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1497:4109:7487:-1 gene:WBGene00076578 transcript:CRE11605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11605 MEELSKAKVRLSELEKKLDETEKELSKYRNLYDRQKDQESRGVTETDIKLKTAETECSELKKQIERLNKLKEEADERFSSLSNSYGAVLTKSGETNIQFQSLRDEKNALETKLKDLEAVIAKKEEKIRDAESEAKRVQLKNYIFHSENHIYLKFSVRKPETCIFLQAAYKKLETKSFHDVMTLKKECDELKSAQYLSGGGGGRDNMFSTNRSISRTDRIASPLVDEPIWDEPVDYQTQNHMTSPQEMDYYSSGSGVTMPSRRRSTRRSHLIGGESPSDLEKKKKEPMTSSGVTQHRRRSRSQGRQQYPTYPDPYQYGIHPGSSDSSFLSNLGGFQPLTKRHSKSGHLSGGGHYSSGGSNGGRSPPPEMPLLSAIPPPGARKPMSKRPGSLENRENL >CRE22533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:47022:47931:-1 gene:WBGene00076580 transcript:CRE22533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22533 MRTAPDQALCSLCSMRLGVGFARTEGMRTMFAKSKSLLREAFARVVMRTAPDQRLFVVYCSMRLGVGFARTIRDDYIHSGGKEFRNTNEAIMEVHAARLMAQLENSCLAGGITSRTQRKNSTDSWLRWSWLARKLLFHWTVRNRETIRQRCQAGMPSWYGQTAPTKNDSLE >CRE09941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2179:2894:4053:-1 gene:WBGene00076582 transcript:CRE09941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09941 MYQMQLKIDSIENRLSSRHYMKTENTESISGESQQEISRLREINEKLENEMKAASIASIMSHRQSALPSDNLNSIPPNSTTSSREIFNAASMVAGASIVDKLSSHTVSAQTGGYIRSGEETYVLLDRKELPLYKAWCSDQSKPRLTINLAKYIKPISVSYQHTKWNGLIPDDAPRIYDVVNCLDNNCKKWDVLVSNCEYKSSGYSISKQEQTCLIPSNRSMTSVKNVQFRFRENYGNKNRTCAYLVRVYGERTEPPEDRKAIERKEEERESTCSWISWQYNNFRILYNARNKTCPVLYENNCCIECPECCMECTMTTSFSDSMQGFLLFIIVLGTLSLIFSGYVQLCKHLQ >CRE02242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2618707:2619808:-1 gene:WBGene00076588 transcript:CRE02242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02242 MKSVDDEDLIHNTKLDGVPLTTHEKMYLRSHSIDITECSQVFTNNLENFRISTISNQKVYSTRRNCDDNVQHNCYIYFLDQQNSIQFGSIERIFTLDGRCVVLIHKFQLADPFPYVRKYVEDMPELKRVFQLSERSNTYFKQIVGIDLVVVEADRIGDFCFDVTGCFLPDDFLGDPIDESHWFMEKLPFNDARTEIIRRRKMRQPVLETFTMALWQALRTLRNYHYDLSSRQLLNCTSRGDCTSHLKWEHLKNFREKCRNEGVDQDDYTEQQLHDYAMVSSSSDPRNSSAHRNGSRVQQ >CRE16598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5744:58:1032:-1 gene:WBGene00076590 transcript:CRE16598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16598 MKWKNNGWTLALSMIAAISLSGCDKKPAADANQGAQKLATPIMVKHELGTTSIAYHPQRVAVLDMNEADFLDQLSIPIAGMPKDYVPHFLQKYKDDQKVQDLGAIVQPNMERIYTLKPDIILMTPLQANQYQALSKIAPTVHYDINFNNSQQHHIETIKAHLITLGQIFNQQQLATQKVDALDAKLAEVRKITANRPEKALVVLHNNGAFSNFGVQSRYGFVFNAFGVKPASTVVDTSLHGQPISSEFIKQADPDILYIVDRTAVMEHRPTIDAERMSNPLLRQTKAWKNGKVVFVDADAWYITAASPTSMHILIDDVIKGYQL >CRE11654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3576:526:1602:1 gene:WBGene00076593 transcript:CRE11654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11654 MPNISPEQMRFWSPEVRELEPYVPGEQPKIQNLLKLNTNENPYPPSPKVVAAVQSVLIDSADVLRLYPDPDASALKHAIAKQQHVDVANVFVGNGSDEVLAHIFKAFFVQDKPILYPDITYSFYPVYSQFFGISTQTKILPLNDDFEIDVADYKQPNGGIIITNPNAPTSIALGLSAIEQVLQANPDSVVVIDEAYVDFGAESAVSLVEKYENLVVCQTTSKSRSLAGLRVGFAIAQPHLIAALEAVKNSFNSYPIDRFAIAAAVASFEDQEYFVAQCQKVIVSRDKLVADLVALGFKVLPSSANFIFACLPSKDAGELAKALREVALLFDTLINHVLINSYVSLLVQMNKINALLIR >CRE18396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5629:101:3539:-1 gene:WBGene00076596 transcript:CRE18396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18396 MVSERSLAVLHALVGDYVESNEPVGSKSIVERHSFGVSAATIRNDMALLEDEELIAAPHTSSGRVPTDKGYRLYVDTLSRFQPLSAGQRAAIERFLGESSDLDDAMARTVRLLAQLTNQVAVVQYPSLKRTAVRHIDLVAVGEARVLCVLILGTGVVEQQVAALPAVRVTEAWVHGLRERIAGAVIGSDLERAVQAVELLDRTVGDWAEPAEAELVRSVLSLNEVRTEPEATPRVTLVQALAKGDRDERAVEQATEFGVDRVVPWQAARSVSRWDGAGGAEKAAKGVAKWARIAREASKQSLRARVPEVGAPISSGELRAAASDPDRAVIALHPRGERTLSDWAAGFAAGTSRPAEILLVVGPEGGFSDAELDALESAGAEILVLGTTVLRTSSAGPAGLAVLNVALGRW >CRE11129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1007641:1009400:-1 gene:WBGene00076599 transcript:CRE11129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11129 MSARPAFEQFTAQPPQPAGEVVSQQAGDGAQGQELTISKLENAITSMEEQGLQNDHRHAKALLLKQKLQDGVPQGGPPAENGAVEGSNQQITTAQLNQLKAQVSAYRLLARNEQVPESLISEAVMLRPKVTTLLPDPYEYAGEGENGEKLPYDLMKIFNLHQIRCNRPSTVPPPNGIDPVGMLKQRENAMQNRIGLRMKLLSNLPADLPDHMKLKAEIELRALRVVNLQTQVRSEVMSCIRRDTTLETALNPYAYRRVKRQSLREARVTETLEKQQKMEQERKKRQKHTDLMQAIIQHGKDFKEFHRNNFIKASKSRKAVMTYHQNNERERKKDEIRNEKLRMQRLMQEDEEGYRALLDEKKDQRLVYLLQQTDEYVDSLCSLLRQHQTTEKKKKREDKKFEKGSLDDEARVHVREISTGKILTGDMAPKTEEIELWLETHPEYQLVPRDQLSDDEDDEDEVVEPPPEEKEDEYAGMDEEMKAKMIIEKARNEEDEYDQKSKKQEADYYATAHKVKEKVVKQHETMGGGDPTLQLKPYQLKGLEWMVSLYNNI >CRE09308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1250034:1256168:-1 gene:WBGene00076602 transcript:CRE09308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09308 MGDGMDDDLERSMASGDDKVSMGAVQMSLAERRKRTVGFANFLATVGQVEEEVQQLLKTMTVVSSRQVDVVQGPWRKFRKELTERFKELDEDKWPRAVMRIMRESGLESLGELKNKCEKTGGGGEGNVGNVGEEKWLEEIEGIKNENRLLQVAWNEEREGMARRIQELEREKEQMMQKAKRLEKLAKEEKKAAESMKANLQFVQGKTTEKLSERTASLQEDSKNAGKRSRTSSSSSTARYWSLENQKGKLPPVENGEDWEDKVKQWSSERRGSVGMKENGWERGSVNAGSQAMESEVQGMVQCMSRMMKASALPEPKVFDGKGDFKEFKRAFLLKYNQVTDTDDELVAILEEKFLGGAAKSLFKTLPKRYERSIKSLFEEFEMKLRKRQGDSKAEALNEFEGLRKHPNQKMWEYLVEVEKWSKKAFAEAGAVTLSQLRTTKLMMAARRDDTLHKMLVMKRLELPLEDQYEHLKDIVLQQENEKRRDYGWRRGTVGGHKEREGGNEKDRGDNSRIGGKEDEGGRRKEGFKIKCFKCGGIGHMSRQCTSKPVQEVAMKKVVGDTEKTVVGAEAAEVVEVLGQKKRMVIDSGAVVSVVSKSAWEALKAGCQQWESEVEMLGKPDFTIVNASKGSMPVCEQIRLPIVVRGRRAVVVFQVVENEAEVFLLGTNAFESIGVELKWKAERAVARAAEKLRVPPQSCAQILLTTEVDLGEQVLLESKEECVPTSLCAKNENGCLTVVVSNWKDEPLLIKKNQVMGVVVREWKLQNSGEYKEVNMMDLDRKMGLKGNARVEEVLGILKENGEIPEGRIPKILQEYSDVFAVEESELTQTEMAKCGIELQEEKPIRQKCRPVPLALHDKLKAMLKDMEQRRVIKKCRSPWASPVVLVKKKDGSIRMCVDYRKLNAVIKLNAHPLPHIESTLQALGRKKWFTTLDLMAGYWQIPMEEESKEKTAFTVLNEQYQFEVMPFGLATSPAIFQEAMEQVLGEWIGKSVFVYIDDILIASESMEEHAADLAKVLRRIRDCGLKLKAQKCKIAQKSVEYLGHVIDELGVRTDGKKVEKVENFPIPKDRVELHSFLGLCTYYRNFVMNFSSIAAPLTPLTSPKVAWRWTSEQQEAFEELKRRMTSAPVLAQPDIEAARSFERPFCIFTDASGYGIGAVLAQVGLDGKVHPIAFASKALTPAEKNYHVSDKEALAVLFATRRFKHFIFGCPTTVFTDHQPLTSLFKGKKLADRLLRWSMEMQDFALNIVYLKGKANPVADALSRGGAQEIEEMKEVHEQARMEVVRVVNEVSVVEKEETAKRSWKETLEKDEKWRGIIRKLEKGQQDGMVEVPGEGKRDLSEFMMVGDELMLVTKYGATLKVVPEEKRKEVFQEAHGGIFGGHWSPEKVGAMLEKRVWWPRMRAWIGKWSKECQQCLCSNAKQILTAPLTAIETSEPLEIVALDLLDLGRSAHGNRYVLTIVDLFSRYAGVCAIPDKSAETVAKAFVENWLLKEGRVPKAILTDQGLEFANATFEKVAKMSNIKLIRTKGYNSRMNGAVERFNRTIQTVLKKITVIPAEWDEKLPYAVFAYNSCRHDATGESPHYLMYGRDARIPMKADAEELVGRYQVDTDEYKFRHAEQMNSAQEEARAHIKREQEDAKRYFDKKHGVHKIRYPVVGDRVLIKSPSEKIGTKNAKLRNEWQGMYRVLKTTENSAEVIPIVGGKEKIWVPWEHVRKVPIEVPEMSVKAKTRRGKRGVRESGESGVHEIRNIEISVENMNSFRNFVINGCDCKFGPCHVKLDEQSCRTLEEAANVLVMRNKQIRGADGHMMMHSVMVRKEVGEPEKVEALKMFAKECSMVAKAIMNSTIQEKEWKAAAEEVKKEVEERLKPKKTVIREPEILIGPRMGIKGKGLLEMREANADGWVDKYDFEQVQTAVFLLTLTTDEEKNKRTGDVIDKLAREVKELVVCPFRMDCTFAEVPLVTETWKRTLMTSANAIWIEPMKCVGAKQMPMITTAPERFKTVKELADFLEAVMPSGGIVEMLKKDLEKEPPSKRSRPSHQ >CRE24680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:278503:278748:1 gene:WBGene00076603 transcript:CRE24680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24680 MAFLYLLGAALYATRTPERFFPGKCDIWVSTINVIIQSVIAQFQFQSHQLFHTCVVIAAFVHYYGISEMAFARLNEQCPVR >CRE12740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:588294:589062:-1 gene:WBGene00076623 transcript:CRE12740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12740 MLSKLFILFCISAVVLAKGGSGGNGGGHGGQGGGQGGRGGPGGPGGHGGPPPPPFLQNVTDDARKAYFDIVSNENLTQSEVETQSAAWANTYGVSDIYNEFQQNKTAFENEMKTNVSSVIGNLANVNTQLNSIFNNKDQTRDQVKTAIDAIRQNYPVEVDTIMNLSHPGPPHGGPGGPGGPGGHGGPGGPGGPGGPGGPGGPGGHGGPGGPGGFGGDNSNNSTASTKAAKKNKKNNTSSR >CRE19621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2549:1983:2933:1 gene:WBGene00076625 transcript:CRE19621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19621 MFTLKYIVASSLLLLVSSQSWGQQSYGNSNQGIFRPLWRPILFRRFLGFGQQQQRSFGSSGYGQNSNQQGFGQSGQGYGSNGQQGFGQSQGSSQQGFGGNSQSFGGSQQQGYGQNQGTNGQGVGSNSQQGYGQNQGSSQQGYGQSQGFQQGFVQQQQSRPQQGFQSGGFSSQQSFGGHSGSNEQGGFGGQSGFNSQTGFGGNNGNGQSGFGSQSGFGGFSGNSGFGGQSGFGGNSFGQNNNNNQGGYSNGFQSQSGYNSNQGGHSSNSGFGNSNSGTYEPYPPFLSNVSSSASQDYFNIVNNQSLTTNQINEQATNW >CRE27984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1684:3615:4040:-1 gene:WBGene00076629 transcript:CRE27984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27984 MFSFIVAPCIPANIFSSFQSLLQYPLNYLVIEYQLLSVVRQESEINVRNSVQLFSVELNSFIIYSQLTAHVNQPLSVVLMMEMRTLEALEDSQKEFDVC >CRE07195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3214:1841:2715:1 gene:WBGene00076633 transcript:CRE07195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07195 MSRRFALIALLFSVTYAQSTTQQSQQISLDPNAGPLKLRIVFQSDQQQQAQQSPCSTGNCGSVQPVQASPCSTGNCQATPTLSPCSTGNCGSIQPVQASPCSTGNCNGPVAGSANIVPAYDASTYTTTTPMPVLIRTVPIVTSNTAPVKVIRIPSYSNSYSSGCFSPPCGAPRFVFAQPPCFGNSCGPRFMFPRRRHHFFGQRPIIIGNRNNGNSFNAGGNVAIPDTIYRNGQAIRAPIRVPSSYQDGNPVFNASKSNS >CRE15210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1627:43:892:1 gene:WBGene00076634 transcript:CRE15210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15210 MDSFSSLPLSNASNPSSMTVEQAIRLLTKPIPANINVNTTEIVKEIKEWLGSSSYTNKYFASNILNIKGNHLTNIFAQPRDFNSLRNTKEAFIKMYNWLEMSEDMRTEMLKMNLYENESPLQDENDTPKKIFRQNPATMTAERVRELMNQPVAYMNTRKVTSDIKMWMAKTQTTRKWFATNIMGRAKRTLVINLNYPKEWEELTRGKEVYVKLYNWMRMSKEERQDIMRFYGAENVEEQESEDEESKSLDDILKELRRQFSECNRQ >CRE06875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:45624:46174:-1 gene:WBGene00076635 transcript:CRE06875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06875 MFLPSDQNDVILVVDGKKLHVSKAFLSYHSEFFRALFSSNFKEGQMDEIPIGDVSYEDFALLLSSFYPNPVLPTDRTVEKLLEMGRRFLVSSVIKVAEHHLLKMSKINNEKMLWMADEYGMPKLLKKCIRGLDTLAKAKQMKQSEKCVHLSDKTKLKVFDRLMDFI >CRE15819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1106:3423:4417:1 gene:WBGene00076637 transcript:CRE15819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15819 MISKRTRAVAKLMSFYSRYSIRLFVENFMLGIRLYGTKNVTSCCFVITRNILMDGKIREEEWGTIRRVYKYTNDPVEEWKQICKYALEIFKNQTVDVLSMTMDAFVDQNVSIIDFLKTNVKSVEDCSLYHVYKEKNMDWHTAYLLNNITVNAKLFSMVNISNKNFDGIIPKNLKEIYICNSQWIGYERLRIKSRMNNGFYSSKKWIAMETHLNLEYLELDYRELDRFSALVLYDIPHEVLDRGVKRVLKTYDDEKRTVSGGIDIRRIDGRIATFFSVYRFQTLKFAMSIH >CRE11103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:789487:790484:-1 gene:WBGene00076640 transcript:CRE11103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11103 MAEWSKAAVAVQSTPVRFCPLAQFLFSFQNRCGQCLRQTDKHHLSFIYKNDRGFFVEYRDNRYLKMKVNAVEKKERERKEEVKEEDVTILFKEVSPELKNPKLRLNFLFIDLVYGYYKVSAGFEWRSHPEFNEARQKRIDDFKGFLASMNHKIHSTFLTMTASNVEDVVTVLRCLKSGILRKITLTIEQESESTLNIGEMVATDQWKHAKHVTINKLISSSIEHFYHFDTFDIRVQEISIEEIVKLVDALSKSPDFTACTIYKRNSLDTEALKRKLNLENRDSLQTYSIPNSNLSINFVSGIKITRGEEDPRSPRL >CRE26894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1525:5374:6247:1 gene:WBGene00076644 transcript:CRE26894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26894 MLITRPRRLGSLFNGKVIKQVGPQLNDMYIITRNCIGGPPHCECDNCPKPPPPPPIPPPGPPPPRVMHDEWIDIREGDPFPTRKLVQALDKTLDTLPGVNPDQYVALWYMQGEPVMGRVWNEGGKVAANFSWFNNEYCKGVGSIQLLVRLGPHVVGYEYGWIPFPEAATFEEGKTWKPVHVNNHKGDISVGVVNLAGGKQILAKVDVRNESYGYGYQGKEISARGPACASSVTVLCRKAMPGYKLDG >CRE25047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4286:2509:3687:1 gene:WBGene00076646 transcript:CRE25047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25047 MLGILPNKKGFIDWKTLRGNASRMLEGTNIDAKALAGHLNAVARRKLNILRAMFSGGRVIILDEPTAALTVADRRQLFDFMHKLKATGVTFIFISHYNDEILEICDAVTVLRDGSLAGGSDNVSNMSSEQLTELVIGRDLALFQRERCDYTGQIPAVCLKNIYGKDLALQQLNIGPGEVIGFTGLPGAGAKEIARAIFGLHPAKGSISMHGEDAQALATSPHEAFDMGIAYLSDDRRHDGAVGQMSIGSNIALSSLSQRSKLGFIDQQAEDSVIQHYFNAMGVKAPNAEYAVDTLSGGNQQKVCLGRVLAAKPRLLMVDEPTRGIDIGVKQDVLRIIDELSRAGVAVIIVSTDMDELIRVVDRVCIFDQGTVKETLTGQEITVDRLRASVQA >CRE14831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:27380:30713:1 gene:WBGene00076651 transcript:CRE14831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14831 MRLDIQCLRGIAIILVFLFHMFPNIFVNGFLGVDIFFVISGYLMANNLSRNELKTLKDFTQFYYRRFRRILPLYYLVIFMTVILVQLYLGDYLWNSNNRYSLASLFLVTNQLIIHDQGDYFNEAFSINAFLHLWSLSVEMQFYLLVPFIFFGLQILNHNYLKLIVVSSITFFGFIAFALVLPKFAFNFMFLRLWQFSAGFIALYVLKLKGCKTDEEYEPIFKIPFSKDDFLIISLSIIALCFLPTEINVLFSRPLVTLAAACVISCEIENNIILSSKMLGYIGDISYAMYLVHWPLIAIFVPYTVKNYIFLIQTIFLSSIVFHHIFERKYQKMHWKTLAPLCFVLILANTLLQINIRSESKFWSIKYPAELREIVEMNKAQKSWVKESKKNECVEDENPPYGSYGYGSCKGGNGSLSVMMIGNSYVLNFREAIREHFNYNYSSFRFSSVSGGVGFYADSPQSRAALEFSRQQVEKYKPDVLFIVARYLESVRAPIQEDDDLLHQMNENIRFYEQFVKKIDILGSHPLYKLSFMNIFLQTIMNRPEDLESMHLDRREADHIMRNVKKRFSMVKCTRCQFFDLSHVFLENDKYLTFDRDEMLSYVDNTIHLTSAGLKLCKPELQRVVKEIMDGL >CRE26050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6005:2629:3279:-1 gene:WBGene00076660 transcript:CRE26050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26050 MSNENLSSSAETGELARSLSNRHLQLIAIGGAIGTGLFMGSGKTISLAGPSILFIYMIIGLMVFFVMRALGELLLSNLQYKSFIDFSTDLIGPWAGYFVGWTYWLCWITIGIADLSAIIYYLQFFNNGVPFTPTEGAMISVAAIFFILGLNLVTVKLFGELEFWFALIKIIAIVVLIFGGFLDDFYWLYFGGNTQVASFTHLWDHGGMFPKGFDAS >CRE21703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2912:115:1683:-1 gene:WBGene00076665 transcript:CRE21703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21703 MKFPFCIILFSIGYVTSSGYTCQGPIKLAPPNDLTQLVNWPSIWNDSMPPIPFASQQSCSWEISVPDGMYASVVFYKNAPSAVSISLVYPNGYAVGYEELFRLILDNNDLYPYILMSPKVKINLGKSKYEGSFSFNVLWSKYDPSITHDNIHLVKGATPTAMIPNSHLTTFTAQTTVSIVGFSLKNQSEYVFLRQSAVFDGESTSAPFLGTLYSIMMSGKEKVSSGKYLTVYTWGLDNQFDYTLYMVQDRASEQIMNCDLESLNALSSDEQNFFTYRGVNCEDGFDCKFQILANYGTAVLVTSGSQAEYIKNIETFSDKSTLKVYEGAISDATLVTTLTKANYKNRLPMDLKNTIRQYVLDTGTITGFTITHDTSSADWNEMYDGRKGFIHSAFHGVVSDQQDTDESFITDKKKQLYFNYIVRDADFTGDKTSLTVKVWSNGNQVSSDV >CRE30356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5128:1249:2142:-1 gene:WBGene00076666 transcript:CRE30356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30356 MSAVPIRMVGMTQTLRVASVNVNGVRAAFRKGMGDWLASRGVDVLAMQEVRADDSHLAELFGDEWQILHDPCNIKGRAGVAIASRVPAVAHRVGLGSAEDQERIQSSGRWIEADFEVGGELVTVISNYTHSGEVDTPRQEAKWAFLDAMGVRLDQLAAERELVTIVGDFNVGHREFDIKNWKGNVKRSGFLPRERAYFDRFFGPRGETVVGVDGSEGVGHGWIDVGRRWAGEVDGPYSWWSNRGQAFDNDTGWRIDYHVVTPQLGERVENYVIDRAASYDERWSDHAPVVVDYRVGA >CRE30355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5128:157:1164:-1 gene:WBGene00076667 transcript:CRE30355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30355 MTSHTKPVLFSGMQPSSDSLQLGNYIGALTQWVNMQQSFDAFFCVVNLHAITVPQDPAELAARTRRTAAQYIAAGIDPERSTLFVQSQVSAHTELAWVLNTITGFGEASRMTQFKDKSQKHGADASSVGLFTYPILMAADILLYQTDIVPVGEDQRQHVELTRDLATRFNSRFGDTFVVPEAQIQKGTAKVYDLQNPEAKMSKSAESEAGLIKVLDPANVTRKKIMRAVTDADGEIRYDRENKPGVSNLLTIYSVLTGRMIESIEQEFEGRGYGDLKKALAEVVDETFTPIRERTEELLADPAELDRLLAKGADRANEVATATLDRVYDRIGFIR >CRE02244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2621307:2623327:-1 gene:WBGene00076669 transcript:CRE02244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02244 MRRTRSGAIGKQANPEKVIVKQEPAEKKKKSEGLGKSQINNKPKYTPKIPLAQISKNSTLEKPFRSTQVLKATPKREKNENGLKCETKRSYPFPVQTEICQDRVYTEKRENGKSFENSDRGHSIHNNSFQRETHGLRTPSQPVTMVHEEEEVEEEFINDYGETSSYQQNGNSDYMPNAYNLNYNLEEMDDNTLLSTTEEISLALGEGNILEIGRTIRKGIQTGELSSVQIEELAKSLERPKVPLFAALIANERADFEDTKSIIAAMLFIEGLPAPHEKPLSRLQCSVNSLSHTVKAGSSLKLLEHRNLDTRHIINGTDEFQSIDLTKFYMNYRLTGDTPAFQMSSLLVQILKKSTYPRFQIWKYTFYPKSSKSADIHFHKTPEHIVESLKDFCFDVTGCFLPDDFLGDPIDESHWFMEKLPFNDARTEIIRRRKMRQPVLETFTMALWQALRTLRNYHYDLSSRQLLNCTSRGDCTSHLKWEHLKNFREKCRNEGVDQDDYTEQQLHDYAMVSSSSDPRNSSAHRNGSRVQQ >CRE24961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:193728:203114:-1 gene:WBGene00076671 transcript:CRE24961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-imb-2 description:CRE-IMB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MI08] MTFQPDQHELQQVIQLLQHAHSTDREVQRSVQVQLVQLNEHQQFCCYLVFILSEMKDQIDATSRSLAGLLLKNNIRAKWNKYPQDVKYFVRTTCLKSIGDQEPLIRATVGIIVTTIVMEENMCDWPDLLDTLATVLMQPDELMQEGALGALQKVFEDSADRYECEFLRPIMPKLLVFYEHHSAKMRALAMNSVNCILMVNNDPIDFAIDQFLTSLFARHNDNDEEVQKQLCRSLTLLLDTHMDKMMPHLPNVIEYIIKKTQDQNESIALEACEFWLSIAENNEICRTMVLPHLDKLIPVLLGSMRYSENDPALKANEEDSSVPDREEDIKPRFHKSRQHGLGSLVESDEEDDDDDDDDGGGDWNIRRCSAASLDVLASIFGKDLLDKLFPLLKDTLMNENWLVKESGILALGAIAEGCMDGVVPHLGELIPFLLQMMFDKKPLVRSITCWTLSRYSSHIVVDENFRQNFFKDVLANLLRCSLDSNKKVQEAACSAFATLEEEAGEQLIPFLGEILEQLVQAFQCYQAKNLLILYDAIGTLANSVGEALSHPHYVQMLMPPLMEKWERLSDEDKELFPLLECISAIVSAMGQSFIPYIQPVFTRCCSLIEKCVSQNQQHLMAPEQVEAPETDFIIVALDLLSGLAESLPEHMTPLVTNSKLIELMLFCSMDVTTDVRQSCFALLGDLTKACPEKVLHQSNNFIFFLAQNLDPTKISVCNNAIWALGELALKMGPAMKQYVGPLIEPLIVVINSQQNMQRTLLENTAITIGRLGQFCGEELAPHINRFIRPACYSLRNIKDNAEKESAFIGLCNMINMNPVGVLNDFIFWCDAIASWTTPTDSLRALFAGVRKCLKIILQSFKLQVGDFNWNNFIQQLPPPLRERLAAFYQI >CRE24359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2315:6041:6711:-1 gene:WBGene00076672 transcript:CRE24359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24359 MFRPRGCNPKLDCTIGITLQVIGQNQMKVQMVAATIIPPVQQQYVAVAFSHDKAMGNDSVSECVISNMGEFVGYEPEVYVSYNKGKSNDRVFLNDDEHDTLFSDLAGEVVDTKLVCEFTQQIMPQIDNKNGLIWNLNTPFYVMAATDPLSLM >CRE11418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:252063:277537:-1 gene:WBGene00076673 transcript:CRE11418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11418 MVDKDLLAAGKVKANAEKFISGAALENSDDESESADRDPNIIRGSKKAQKVELNFENVGDIKNKWKEGNVETAEAKEAAERKELEALKGGVSVKDRFKERDGTDDQVVERSWE >CRE27945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig924:5391:6906:-1 gene:WBGene00076676 transcript:CRE27945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27945 MRATRECDHQFCGCVGESGYIRDDSTPQKCLDHLNSACVTVRSKGNLFKNQIVEENTKSAVNAIEKNLNQIRSAHKTVVEQCGIESKIKDEEARMLASLNTTNRLFPMTMTFFAMVNVEKFISKSSSVSESCSMVPFFEFFLDENGLKIDVNGDSLTRGFEGFFKGSMTRRETKNDIVRLEASQNSPLILQQLYDDVSMSCGKSKNVVTSCAFKYAYCIHKIKKECMIQLSICLDHIDGIDGTCEEKIKEISSFLWPSTATTPVPTGESNAAKAITRGAKEDSFWWIARMIALFVFIVVITLLIISIGAAIYQQGCVSGARKICGEKHSINQGKQEEDRLVETPSSSSSDVATAAEIDAAPRNDESNDQEPLDGEEEIARIGNDDNYEIIQMSNNQEDSQHSNIKTETDSIHNFIIIPQDTVDHT >CRE09956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3618:746:1711:-1 gene:WBGene00076684 transcript:CRE09956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09956 MNCGYIVLRIKYGTIEMKVLLDRYKELKLEMYPSGYLEFRYEQDVFLCNTMGVPPMDYAGWVMDVLHCDSIHMFRIAEISPCNIFPLLVNLPKIDCVEVHNDLSAVSLVNSRLIKVLRIVLPVSSVVAIPDFFLNLKYHREILQGNFDELKVENDWAKNVPNRKMKFSLNDLRMTNAKTLELLYVSLNVKDLNLFFKLWMKKKCNARLEYLSVRQKGTYDKDLLLKGLNAVPVPIERNRTFRILGKVQRLRSDEETTAEFDITRADGRTATIISNGDDTFHFYVWPESTNDATNIEPNQSSLMRVFSWFSSLYNSCVDRFK >CRE13835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1501:8596:9693:1 gene:WBGene00076687 transcript:CRE13835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13835 MNHKNEDHKKKSPNESDDKKHVDAAVDQVGHTGWYNRFKVHLGQKLGTAECTKLEPRFDRNIEKLLSYHNIIFNMVDAIELQVQIDPSSISKKRVLAPPEKNLWSQLGGWFHFLSLRHYNGAEAHLLDRFSYTCSKIAQKDMQIQKRSSDYRFIFLHNKKLTARTHLIKRMLLYIGDESVELNNSVEQLNVLLSGIDETRHALKSAQITSEAKSRGAAYHQMILGFNSKASEIQGWIDEVTIIVTLHQNELIKFSRELSMYHDSVYNAIMEVLLRLGYHVHRKK >CRE27435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1296385:1297782:-1 gene:WBGene00076694 transcript:CRE27435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-23 description:CRE-GRL-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LNL3] MILYVSISLSTPVFVSPLRGRCRIIRCGGRAWPPPPPPCGGGGGGCGGGGGGCGGGCGGGGGGGCGGGGGGGCGGGGGGCGGGGGGGCGGGGGGGCGGGCGRKKREALNVIAHDDLNKCNNEELRIILNENTKETVAESLKALKEKVAGSDYIVVCNEKPAPFTAETDDFCQVQKENVHCTILRINHKEVVEKKEEEKKVEEEPKKEEEKKEEEKVEEKKEEEPKKEEEKKEEKVEEKKEEEKKEEEVKKDEVEEKSEKAEEKELEPKKEEEETKKN >CRE01512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2760:134:946:-1 gene:WBGene00076696 transcript:CRE01512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01512 MRPLHLCSALLFTILVPVLVESQYPITYGCMAQILAYSPMNKLNTFVNNINNKDTTLALKKKRANSWVPSNMGTHKFPGLDIYGTSSGALSGVISLLDHRNTVGLFWNDLTPGLTKVFNASVAKTYKNMWAKTDKVHDNAFFDALNEWYAYCHYHSPAGKRTGLYNAIQTVTAKYTNNTNLNFEPMGSSFNTRFFLMIMMGMW >CRE13688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:223239:226501:-1 gene:WBGene00076697 transcript:CRE13688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13688 MLVHFSLVLVLFSVFLCTLLYSCALFCILVHFSEACALFCILVHFSVFLCTFLYSCALFCILAHFSVFLCTFLYSCALFCILVHFSVFLCTFLYSCALFCILVHFSVFLCTFLKLVHFSVFLCTFLYSCALFCILVHFSVFLCTFLRLVHFSVFLCTFLRLVHFSVFLCTFLRLVHFSVFLCTFLRLVLFSVFLCTLLYSCALFCILVHFSEACALFCILVHFSVFLCTFLYSCALFCILAHFSVFLCTFLYSCALFCILVHFSVFLCTFLLVHFSVFLCTFLYSCALFCILVHFSEACALFCILVHFSEACALFCILVHFSEACALFCILMHFSVFLCTFLYSCALFCILVHFSVFLCTFLYSCEKTGKILKIRNKFVRECSKEHPSSTSPCGSIFAEIVR >CRE15246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2386:1923:2825:1 gene:WBGene00076699 transcript:CRE15246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15246 MEATDNKINGNASETIKENGHSTKGNEDKKIFVGGISPEVNNEDLSSHFTQYGEVSQAQVKYDRTNGRSRGFAFVEFTTGEGCKLALAAREQTIKGKSVEVKPAKSRENKKVFVGGLPSDYSEQELRSHFEQFGKVDDIEWPFDKQTKTRRNFAFIVFEEEESADKASSQTKQTFGTRECDVKKAVPQGKRFPGAQGRIPGGRGMYGGRGGNNNAGWYAGWGQIGTMPYGAAATAGWGDWYGNNFYQPQAGGHHNNAGSSQGYGSGYISEYLFWS >CRE02827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:335886:336509:1 gene:WBGene00076700 transcript:CRE02827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02827 MSAEQAPVEVPADAAPVAETAPTEPTVYYTLESCDGDEVKISSEAVKQSKTLNDLVSNLHGGAEMDESIPMDNIKKPALVKVVEFCEHHKGEPIPVDDDTVPKNVTIPEWDEEFLKIDNDELFHLILAANYLDIKQLMNYACKKVALMAKGKSPEELRVIYGIPTDEEDEAAEKAAAEKKKAKEAEKAAAAAGAAGPSAAEDAAAAN >CRE26035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3245:526:1209:-1 gene:WBGene00076704 transcript:CRE26035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26035 MAKKEDLLLLGLSEAKAAETLKNVKLTETIGSIIASAKESGELNKQKGNLLYQLATKLKPQVAPSAPLVVKYIMNDGIKTEPQLSAAIEYLLSHTVKGIEVPAFEKSCGVGVVVTIDDIENAVTKVINEHREKIVAERYSFPAGKLLGELRALLPWADGAITKKEVDLRFLELLGPKTAEDLAPKKKEKKVEAPKVQKIEQIGEFLKKN >CRE20140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1468:870:2631:-1 gene:WBGene00076708 transcript:CRE20140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20140 MIPTTVLCFQQIIHESNCSLTELTNVRHPMNTDCYGVLKRKEVPISCFERDTVQIDNRFETGYESRDYPIYSGISDENQYPNKFEPATKRMKLEDSSVDQETSVGSCSDQERGVTRELNNAVINFNRPMPSSSPEFSFAPVSYSPSPPTSTQSHLMSIEQAQDFLSTPISSKINLNTKEIASQMREWFNLAICTQAFFAVHVLGVVRNRFHRVLTVPPLFDSLKTGKELFIKMYNWLKLSEDVKKEILSVFGNNDQKSKKIAQESEGEEEEYECPKEISRKRKASFHSETSLSSDSFLDTSITDETFNAFINKPVNYVQLMFSSLSNFESAYIKVEFDRIKSFQITHNLSLIIIISQFTAETFNAIINKNINYVNTKKISMLIKDWLEETQATQEWFATKILKRCRRTLNQCLNNPKDWKELSQKREIYVKMHNWMCLTEEQRLEIMRVYKAPNMDSQ >CRE19647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6188:433:1930:1 gene:WBGene00076710 transcript:CRE19647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19647 MRRLFQLAIRSRNLKFGLARRYTSTEASTSEEDATPPSLRPDLNFEFLLNEKNLEAIKENILHRKGVGDIDRVHEKWAVIQKMMNSGEKPSDINEQKYAQLWNELYDEAILIPNMTKDGVPRGGEENAKKVAEWGEKREDDCLTAEKLVQTWRSLLHPTDASGQRSYVFLGALASLEKALLDYAHERVCALGFRPITVPDIVSGEVTQACGVMQRSDHPIQYTLGGDETHTKLSGTAEMGIAAFLRGRTFQEEQLPIRLVSLSRCFRTEISKSASEAKLYRVHEFSKVEMFVVSTPEQSDAELDYLVEVQKGTFQALGVHCRQLEMPSEELGASAARKFDIEAWMPGRKLYGEVSSASNCTDFQARRLGIKYKSADGTTKYAHTCNGTALASTRTLISILETFQNDKKGIGELPEPLRKRIKQRGGPLRFQPAKSLV >CRE18006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:80651:83415:1 gene:WBGene00076712 transcript:CRE18006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18006 MQLLKFPSIVQQKIFGFLQFHPLLILSFCSKRTKNLIRCLERYRWIDIKYIRYLFTNEDNVYITVRGDNIWWPFYISPVTLEQPVMIVMEVFGMRKETPICLHSKRSGFSFIYDKEQKQLVVQGIHDFLFEFFGSSIDYEVESTEHELPPSLKNINRTCIKVPVNTTAEELEACFTASPNQEYVEIDGYFDGNLCPNSAILGAQHLRVHFKGNHGDEILLRFRGTRLQLHATKFHDSTISQFLKEWKSNQGFQNLKSLVINSFNYKKYDAADLLKDMDVQQLDRPQDTLHVTWQMRVLYYDNHLKGSTLQKSIRSGLTSRDYLIRDRNGVEASVLIEDYDVCFALWNENSCETDTNSVIYGAKHLRVFFKGNHGDEILLRFKCTRLQFHSANFHDSIICQFLKEWKSNRGFQNLKSLSINSSEWKTYNGAELLKDIDVRQLDHPDYILHINWRMSISFPSLLMFLFSSSNSFPSGLMSRNYLIRDGDGEKASVSIENRNVHFALWNGNSCEMENLNS >CRE13206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1540:7762:8346:-1 gene:WBGene00076713 transcript:CRE13206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13206 MQNICTLDIGFIDGSEKQFNQSRVGVYLCHTPAATSVKDLQHWIQLVKSQKVAKFDYGKDGNMAEYGQPEPPVYDLTQINTPTYLYWSGDDILADTQDIRDSILSKMNKTIAGSIELPHYSHMDFVFGINAASELYPVMISTSNTDVISFSFQGIIKTIQDDYDKYRVSKLHQLRQYS >CRE11519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig378:9240:9563:-1 gene:WBGene00076715 transcript:CRE11519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-15 description:CRE-DNJ-15 protein [Source:UniProtKB/TrEMBL;Acc:E3NK69] MMATPEEKKLSEEHSRRLNEAYKELADPFKRAKYLIKKYGENPDEKIQNTEILMEMLERNEEIDGMSGEAELKEERDRIEVKKSRKLCQKAMKQRKIPQKRLNSAKK >CRE02243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2620692:2621053:-1 gene:WBGene00076716 transcript:CRE02243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02243 MRVSAEILHDVRLAECTCNAAPTSIIAVIKQPNRFCVSHSHIDIVSRAAFPLVFIVFNIVFWLMLLYKSKRLPYISEHEGDRCEAPDLH >CRE17584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:122406:123210:1 gene:WBGene00076721 transcript:CRE17584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17584 MESVKMGGQQVRVEMALFGEYLETYWEDKLTGLETITDYVTNLFNIDVSKVCVCKDSFKMIEHVDSRQKTPLEKVVYVDWNVIPSEDEMNYILRYCLCSTQICIYSEALPDFRFSNNFRRIDCLDISNSEWVTIDNLLTMDGIDFHLDNASLTNSDLNVFVKHWLSGGCPRLKLFCARTGSVDIFQVLAGLLDNAVLVEDRGDYTSPFGYNRILSFGYDIQRADGVTATVCEQVNGTLVIAVWPETTHNYN >CRE15719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:145184:146594:-1 gene:WBGene00076722 transcript:CRE15719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15719 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NCB4] MGVSKWSSHCRILLPAASATVDMKSFLILIVLLGLYGEVSCRYKSRHFHSRQSKKFSNVERVFHGTDIVNPNAPDTKYNDAIEDPSVGIVVSIELYIHERLDIFFHAKKTQASKEVIPLHISIRPNEDAIVFNSLIRDKWDHEERRRLPFEIQSLVLIDVKFDYTGFLEYRYPVTSANFLTIKGDCSMRSVSIFEEKGEETIKAAEYQQQETEETEKEEREEKDERADESENK >CRE07870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig375:20632:23953:-1 gene:WBGene00076724 transcript:CRE07870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07870 MLISYLQNCDPPVLPRLQEDFRSDNDEKRLVENWDTSYAQVESQLLETWPKNKESCAQLLIGYFDYYSRFDFRNFVVQCRREMILSKMEKDWPRPLCVEDPFDLHHNLSSGITKKMFVFIMKVFINSRAVFMSEKPTVARDFNFVRNYQDQLLRKCHQGSAPSDRQCHNCHRIGHFFESCPLKPIKDARRRYGSNSTNSSYRSNEGYRNYRATSDDSTPAGGDRLISHKRVKLCTF >CRE21655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1152:31:771:-1 gene:WBGene00076725 transcript:CRE21655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyn-12 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:E3NQN8] MPAPISDQAPFVILDTTMGKVALELYWNHAPRTCQNFSQLAKRNYYNGTIFHRIIADFMIQGGDPSGTGRGGASIYGDKFADEIDERLKHTGAGILSMANAGPNTNGSQFFITLAPTQHLDGKHTIFGRVAAGMKVIANMGRVDTDNHDRPKTEIRILRAYPSDSSVLS >CRE29962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:94073:96233:-1 gene:WBGene00076726 transcript:CRE29962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dct-15 description:CRE-DCT-15 protein [Source:UniProtKB/TrEMBL;Acc:E3MLW5] MEEISIEKPIFDRIVEAKETASKHASLFIIHLWIYALVGFTIAFISLSYSHSACFSMISSAHLFNLHFAFNGSIFLGAVFHSNLSRRLPLKYVFITCLILICACFSALTHAAHAILLLCIVFIVFGTVLGISLSAVTSNFGVVFKQQNFLLVQLLHLTGAIGAVVAVMFYQSAPSEHQDDCNSKRNPVLPTGPHIPSLEKFYLNPSLRPDYIYYATAILQIPLIILCIKTEFNVTMKTSISIRRTEYDDIEHNNNNHHENCQSITQQSDVSSLKLVLLCSVVASIANIMYTLFPFLILAQPSASIFYYQLFAIFFMWGRGASLLFSDYMAPYFLVGVSIFGCGIGCCCIASEQFLLVGSIIFGFFLAPLLPALVIYINQSLSLKSNNTFTLLSGHCSGHLIFPLLLTLTTSSNSRLFIAFNFVAIVILVIILVSILSLHAQIERMQASQSGLNLFVSRFLSGESLLKRTRSIRPLISRLRPNSYRRFANRRGLNTRSPSPAVPHSTEVSVGPDELSRLNEPKEIRSGSMLMPTRV >CRE10063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:636638:641181:1 gene:WBGene00076729 transcript:CRE10063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10063 MVNNRKRIGAKLKKEIGAKLQEEHQKEQIKCVKQMGALVDHPDAGLVNFSKSVMKSFQFENKSNPAKYHEYLVASIPDRIKILKAGSTVVTEQPNWDQYAYFKKELRKLMKKMSEDEDLKRFFRYDVGNATDLARSCRFIAQRIVQGRHEDGTEGMKKVKADKQRRLKKIRLVLYNQIKMIFYFSGLEEYAKVFIPPQIRIKITDNITFNDLDVLPVYSDLENETLENLDIPDVLTYNYIDVNFVNIIREKQLARAIALSEEEQNHIKCDCHSDQESLIPCYENDACPCYIMNKKLMKFQVDNGEEPVKFKTFEPINFNNIKNAFYNHVSFACSELCKCSGKCSNNPLFLISKRIFPLQIHRVDSLVGFQPFSPVFIPAGTPVALFTGELISREYLNEKDVDYSYQISYDKDAKWRAFVLRSTSIQSITEIAAEQRRTHLFRAWIQFKGEAIIGGARARRGRGRLRRGHRLRRVRYRGPDPRLRVGPARGPGSLDVPAAAVAQRDRPDGAHVLRHSHAQRRAGRRRPAQRAEARSRSRCGARLHVLHAPRDRVLPAEVQGVRRRGPRARRPGGLLRQRPRGHRARLPTRQRQHARGSRHLGRVQPPRGGSRAERDRPALRRRAHHGRQHHDLPHGREGGRDRAGRARHLHAEAACRTAGXXXXTSESQCAFSKTFEPINFNNIKNAFYNHVSFACSELCKCSGKCSNNPLFLISKRIFPLQIHRVDSLVGFQPFSPVFIPAGTPVALFTGELISREYLNEKDVDYSYQITYDNDAKWRAFVLSMRNQFSEEYVQILVHLCSLNYYINPKHHGNIGRTAGHSCVSNMDMMKIYQKSLTPAHANLMMFTLEDIFPGTTLTIDYGYAFADKLHSFCKCGTFACINNPKGEPFETLNSFSLAVCVKEIHDEKRRQYLKNVVEPLKREMEN >CRE25048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4446:405:1535:1 gene:WBGene00076734 transcript:CRE25048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25048 description:Queuine tRNA-ribosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NWM4] MKFEKLGQSGRARRGRLTLEHGVIETPVFMPVGTYGTVKGMLPRDIEDIKAQIILGNTFHLYLRPGLDVIREHGGLHEFMKWGKPILTDSGGFQVFSLGAMRKIKEEGVTFRSPIDGSKVFLSPEISMDIQHTLNSDIVMIFDECTPYPATHEEAQKSLQLSLRWAKRCKTQHHDVLKNRNALFGIIQGGMYEDLREESLNGLKEIDFDGFAIGGLSVGEPKEEMIKVLDYLPAKMPEDKPRYLMGVGKPEDIVEAIRRGVDMFDCVMPTRNARNGHYFVTDGLVRIRNSQYRHDQSPLDPHCDCYTCTNFTRAYLFHLEKCGEMLGSMLGTIHNLRYYQRFTQDIRDALDQGTFDEYVTDFYQRRGLEVPPCPAD >CRE01496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1680:2645:3577:1 gene:WBGene00076735 transcript:CRE01496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01496 MNQPKPFPILRLPYLAIEEVFKAMHPIEIINFSMISKRAKSTTNQMTFYSKYSIEFCVDEELRIEINGTDELVTCIYLMTSDEKMDGKTEEDGGHGYIQRTVFKYSKDPVDEWKQLCKYVLEIFKRQTIDLLRMTIGAFVGQNVSIIDFLKSNLISVDGCYLDRMREEKNVDEHTAYLLNNITINAKLFFHVNIKNENFDGKIPKNLQHLMISHSEWIGYEKLLEIDSKYVILRNNRITNGEWNSFFKKWIAMETHLNLKCLKLKFKSLEEFKELVLYDIPHEVVDEGVKRVLNM >CRE06252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2013:285:3172:1 gene:WBGene00076737 transcript:CRE06252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06252 MDGLSEEERRQIMSVMAAADFDDSVNNAKPSTSGSSNIPAGMDDLSEEERQKIMSVMANAEMEMGPPFQTSSQLSTRSPSVMSASMMSEVPTGLEHLSEEERMKILSVMAEADSQDIRQPMMAPRGPPPMPPSTSMIPPGMEGLSEEERQKIMSVMANAEMDTSNSVISSRQPSRSPSVARIQPPTVAPPPAMPNILPGLEGLSEEERQKIMSVMADAEFDESRSQVPSRQPSRSPSFARSQPAFPPIPSFQTMPMIPPGLEGLSEEERQKIMSVMMNAEVEESRSQLPSRQPSRSPSYISMQQPTMPMIPPGMEDLSEAEIQKIMSVMAEAQVQNLGPSRSPSGYSMPPAPVIPPGLEDLSEAERQKIMSVMAEAEIDSARIPSRSPSSYSVLPLPPVMRSVHIFESIYFYNSFYFSQPDISTGLEDLSESERQKILSVMAEAEIDSARIPSRSPSSTQIIPPGLEELSEEERKKIMSVMANAEMEETRRSVEQPMALPRGHSGFIPSGVVNEDELFEAERKQREESPTRESGYATSTSYERELAMGGDEQMDGILEDILRIREGARSRRDSRDEVLQRREEDPEVHTPEEVPAPAPTTSSIPTVPSSVPTTVQVSAKPTDDFDFTYSDSRFAEIVKMQEEEEANSIQAAKTDGKPRMWETVFDGDESELPHQDYVFNDSTTKKTSDFDFPKETDEVFEKPSEIQRIRVTKNHDVDMDEIYGHRDLPLVASRHTIRHSV >CRE07126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig974:15411:16485:1 gene:WBGene00076741 transcript:CRE07126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07126 MCPDRGVGRLYIYKGRRTIQSSLFSVSLSHNQPSRMSDSAQVAVAAAAPAAPAPKAKATKAAKPTKVAKAKVPAVHPPYINMIKEAIKELKDRKGASKQAILKFISSHFKLGDNVIQINAHLRQALKRGVSSKALVQAAGAGANGRFRVAEKAAAAAAKKPAAEKKAATGEKKAKKPAAKKPAAKKAAATGEKKTKKPAAAKPKKAAGEKKAKAPKKVSKPVAKKAAKSPAKKAAPKKAAAAKPAAAAKKA >CRE03488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig290:35115:38782:-1 gene:WBGene00076745 transcript:CRE03488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03488 MSANKMMQYTTKSGKVRVRTIPNGNETSDLYNVLKNAVNVYTPIVKGLLHPQLVAERPAGDLERVWTFRKQQKTRFNDESDKTRGVMVKEDIGRGEWILEMTGEIYLESQVKDRSIMERENSHYLYKDIRLGAGNEPICMAVWKQETVGRYIRRSCQPTCRLVHLYGTELHLMVEALQPMKSGEEVTLPLEADCQGFKDQLKCLHHQANAEDCPLEKERLLSKAQRGNPVREFLLVVLNCFFLLQAAYSTVVTLTDSDEEIEITVPRKPESVESAGPSSSDASARPSPEAPKAPAVLRDSEIQGTSNRENANRRENEENRPVAPQVLMSAPNPVAQAEIDEPVENDDVPVVDAPRDARSLRAVNDVVSSLSTRRSNNAEPETAPETMDVPEPMDAPEAAAQEPEEAAAPVDVDDIPAIAIDPPTRRRLRFAGIEQEALDLTEAAEEAAARVDAPPASPTRMRHRARLQVSIYALMVKNYVWLSATICDGKRLTSTMMLKQKVLKFERKVLVLKVSELQNTDPATAPESMGAPEAAAYEPEEAPALVDEATLAPPTRRRLRSAGNGRAAALDLTEPKQVAVSVIVAGLSTRMQHRTSKKSAGAPCRRARVQVNIYAVMVSRNSRSVNSAAVMSPRTDRAHPTRSSARSVAAVPPTIHNAPVVAPPAGRKNRRAQLKALAANARRCHREQNEALAATNVDPATGPPGAPAASVVVDHPTTRRSHASQVCSPVDSVSTRRPAASRPTPVTSRPAAPRQSAPAPSAPPAVPAPRARKVSVARQDAITLIGQSMHPTRAAVKQLRDKNPNEDQAGQGSTPGAPAVKKPRKTAVQQVKRGRGGKK >CRE12146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:77727:80041:1 gene:WBGene00076748 transcript:CRE12146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12146 MTLLKGKKMEMNGLEKMEKGTESPHTGGFDDHFEKGRYVCLCCGSELFNSDAKFWAGCGWPAFSESVGKDANIVRIQDRSHGMNRTEVRCKTFDAHLGHVFNDGPNEKTGERYCINSVCMAFEKKN >CRE10208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:480469:481814:-1 gene:WBGene00076750 transcript:CRE10208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10208 MLASAARKKSFSSSSGDLLNAPKGGSGQSRTPPAPRRAANRRQRAVSDFGAIGDALPTLDGDNLINIKAISGLHLDESDEEKGEYRLPTTSMYAFDRDDDLQQSIKETKKPAPEPKTGELRKYRYARRSNLEIAEEIEEEPADSSDDEGQIDDSKRVIDVELDRNENGSLGVQIASLGGRVCIKQLTSEPAISHPDIRVGDVLLYVNGIAVEGKVHQEVVAMLRGGGDRVVLGVQRPPPAYSDQVNPSSASAPLISVMLQKKPMGTLGLSLAKRTMSDGIFIRNIAQDSAAAYEGTLRVGDRLVSLDGEPVDGFTPATILEKLKLVQGPVQITVTRDQASDR >CRE15854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2625:1015:1287:-1 gene:WBGene00076752 transcript:CRE15854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15854 MSNMKGDKSTSLPSFWIPELNPTAAASKLEKPSSKILCPVSGKPIKMKELLDVKFTPMPGTESSAKPKFVCPVTRDELTNTTKCAYLKKS >CRE07972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3215:1187:2251:-1 gene:WBGene00076757 transcript:CRE07972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07972 MLVGSGLLLSACNGNGNSNNSSTGSNDGKPNVEKPGEVISPDKGKPGEVIPPDKGKPGEVIPPDEGKPGEVIPPDKGKPGEVIPPDEGKPGEVIPPDKGKPGDEKPVEEKPNVDINNIENPVVGTPVEYMTTTENPQNVAESVVMTYKMKGIKGTETQATALVFTPKTAPPVGGWPIVAWGHGTTGVIDQCAPSKSKAMLRLDTGAFNMIDSFAQEGYVVVAPDYEGLGEPSGQEMHPYLHLKSAAYSITDAVVATKNWLGNKTSNKWAVTGVSQGGHAALGAAEYAARANMDYKGAVAFAPANNLEMIENLTETDLASKTRVEQMVGYPVLDAITILDGSGDEKSLSKRVCLY >CRE30288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig969:4628:5452:1 gene:WBGene00076762 transcript:CRE30288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30288 MTSPFPLFHVPYVPLARIIDFMEPKTLISLSFCSQKSHSVIKTQRRLPIDGHLLVGESDENASFLALTKFVCDIVLKCNYVLIAHKFVENINYEGMESVKLGGEHVRIKMEHLNGYIISYWENAVNGLKVITDYVTNLFNIDVSEIWASKQSLHMIEWVNSRQKTPLKNVLYSSATATSEEEMIYILKDCRPISRLSINIKPPPNFRFSEKFRKIDCLEINDGEWVTLDNLLTMNVIHIVLDNASLSNSDLNVFLKH >CRE19524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:34148:34927:-1 gene:WBGene00076766 transcript:CRE19524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19524 MVFGYIQEADYRCCINAHXMHSTDLKHFRLIDFLKANVKSVDECFLYQRDEENNVDENFAYLLNNITVNNKLVSCLHIKNYYFDGKIPKNLKELNIQNSEWIGYDELLEIDCKSVILRNKWILYEELNLFIKKWISMKTHLNLEFLKLDYRSIDGFRNHVLYDIPYEVVSEEVSRILPCSRYNQTQKKKGGIDIHRIDGKTATFLVLRSGFGDSFLMCIH >CRE16081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:574709:575293:-1 gene:WBGene00076767 transcript:CRE16081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16081 MGAGVSGEPVYLTRSTMLISHTPMSHPPAGTQCTFRRQSTVMTAPGSSGNGVSGSGISSQLAFPIQLYPNNVYNNHGYTAHVSGFEAHPTPPSSSHHHHPTPPPPVPNRPNAPSEETPPPYSSIYPSLANRVN >CRE27952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1004:7197:13254:-1 gene:WBGene00076771 transcript:CRE27952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27952 MLHYLHCLHFGSFLYISVPVFSEKVYCRYYNCLREEISNSSFQSIFFPMNVIRCPRRIGVKYMSISFDSEEIPQEPIPLVYRVFEAPIHEISVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYFTVLNMNEYSRKIIDEYLRTGEIKLTVIQSEYKFHLLQINENQRSKHHSKWVINVDIDERLFILNDKIKSVGSVLSGYNDTVAEVGLAIRRIQKTEKLPEKYESDEQIISEMEFLKYNVSSPVTWGAYKTIYRPEKIAAMYYHWAYQKYPGTVAEYVKSEVALFRHYRTTEKNILGSGWLTDPNYKNFSIVPEETKFAEKLKENVLKKIEYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNETGNLRNGIPQIQRVFSSHLGCLQNYLSTRKVNCFKTSTSLILISHFCLFIAAMYYHWAYQRYPGSVAEYVKSEVQVRPLLSELLRTFELTVFSSASEKTQQFQKIFNRHTMFHNHSDSMSFFISRHYRTTEKNILGSGWLTDPNYKNFSIVQEETKFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNETASNKNVD >CRE02284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2892686:2893263:-1 gene:WBGene00076774 transcript:CRE02284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02284 MITNLTTGMAMRTTKTPHDPEKELRDRTSEIIYLSTKLNHAKETCVNLDLERQKFREAGRRIKEKDLDPVWIYNGTCFLQTSQANSLKILEKDTKTVEEVREQVGKVIKQDTDTFLKLHKERNLEERGFDLKPLLKDGKLTD >CRE16553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1665:2549:4085:1 gene:WBGene00076775 transcript:CRE16553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16553 MRVLLIFFLLLSKQCLAVKVKVAHIGAVGAMKNSEKILQLSKEQLIQEGVLGDDFQIEILNQMGCGESYEGVAVGADMYHVQGVRAFIGPYCNAELDAVAKMATFWNIPIVGYMASSNSFADKSVFKTLARVSLRTTNSLAEAAAALIKHYGWNKVAIATNTGAVAFERVQSFEEVFHQRGINVVRKIMLDEYTNAKAIMNSGLLQELENSARIVVCAFSSTRDMTKEFMQAVTLSGMNNANYAWILPWLQIETKDNAPWLGDDGEYQQNVKDHFANAFLIDDVNGFDNTLVTPFKERLESNGYSTDDLEMGLYCSDFQKNIYGYIHLYDALRLYALAVRATMNETGDENSYLNGKEVWNHMRRISFPGLVSNAGVTSGTVMMDDIAERAPVYAAFYVPPNSDNVRKVCELEPVLLTNCDGTKTGNGCYELQITDLSTRILAIS >CRE16554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1665:4662:6343:1 gene:WBGene00076776 transcript:CRE16554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16554 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3NSX4] MKQAVHDNLNPFLGMSFNEKEEMVVLWKFCSRGTVQDMIYNQEVTLDSKFHGAFIRDITLGLEYLHSSIIGYHGSLTPWSCLIDRNWMIKLTDFGIANPLERWEKLGLISTETLKEGDDKSGSAQRTSILYQPPEMLKNRESNRMRRMDQSWVKQSQARRQMADIYAFGMVMHEIIFCALPFPNGTNVSEVMDYIRDGTKSFRPTIHDRSQIHPDLVALLLDCWNENPEVRPSIRRVRLNTENYLKVKGSLVDQMMRMMEQYANNLEKLVAERTGMLEEANVRADKLLSQLLPKYVANELKMGRSVPPKTFNMATVMFSDIVGFTTICSSSSPLEVETIGDAYMIVSGIPEENGNEHIRNICNTALELMLLLKTYEIPHRRNVKLRIRLGIHTGTVAAGVVGLTAPRYCLFGDTVNVASRMESTSEPEKIQMSQEARDFCIRYYQEFQIILRGIVEAKGKGPVTTYWLLGKQTENQMQQQNFSQLGI >CRE30643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4207:1129:1887:-1 gene:WBGene00076777 transcript:CRE30643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30643 MKTAQISFQATQQQFCNWIRFPQSELSKALPAERMQIYRDLLFNNVCSFIDLVFPITRAILPAIQWQKLLTEFFQKAQCESPFYNDISLQFREYLTDCQHPSLHEYPWLAELLQFEWLELYLDTFQIEKKLLTRQSEWQLNTQVWVLVYQYPVYQWSSSTIIAEVEPMPSVILVWRNDQDNICVESLSVLFAAVIEQLNQQELVEQDIRSLIKATFPDFSSVQIQTQIDELKVLLIRLKLLDLPHTNDEENG >CRE01377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:132376:134475:-1 gene:WBGene00076780 transcript:CRE01377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01377 MVCDKLRWDKLGKLPSPLTQLHMNQTDWKVFEAQNLKKLSNLRALKITNSKMTSKEMDKLSDLANLTHLEITSSAITSIPDSISKLPSLTHLYLSDNPLDQLPATSLTHLENLKKIRLGGNFSRFACDCESPSDLQRWLMKRTNRAKIDDIDELNCDLNGHGTVWMLEALPGTNESVCLDPTEETKQWMTFVENAQKGIMERVYTSTQSTTEKEKKTMEMTTSPRKSILETLDELEGVKTTDKMSTKLRSTTTAITTTPRYRKKYKDYDDEPHKFVNVLIFLLFLCVIILIISIGVTVYLKFWHPEELLLKRRIKERIQPEIPREEEPLQHLD >CRE13108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:1774:4603:-1 gene:WBGene00076783 transcript:CRE13108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13108 MGLKFHGVVESRGELYGCRSCLTYITSGEELTSSAFTGSTGPAILFKRAWNITHGDLKERGMTTGMHIVRDVHCSSCKMKLGWMYEMALVNSQIYKEGQVILENALVCRISLDMKDPAGEEPGVPLPPNIETSRHRKSSAKKTRSNSESGSSSSSSSSDFQGKH >CRE04868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1286859:1287236:-1 gene:WBGene00076785 transcript:CRE04868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04868 MVNEAKQFEKEDGEQRERVMARNQLEAYAFQVKQAIDEHGSKLESDDARRVTEAVEETLRWLDSNSLAEKDEIESKDKELKSICQSVLSKMHQSANQQPSGCGNPGSAGFNSSNYPQGPTVEEVD >CRE19456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:232356:233522:-1 gene:WBGene00076786 transcript:CRE19456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19456 MIYSIVDFLVQPYIHSHGAAYGVYMDLRESVFESYPTVAFTLVALLCGCFAATIYAISINFIYRFFALERNGRLRFFSNYRLIFWGCIPITVAFLIILNNWFFNRPNQEVTEYSRFGETTFCHFKKMKSFRNNLKELYNLDTDRMAYVGCLYWRTDSNGNVYFSVKDLIGFLNLNTLMTIALFMIFYFGTKSYFKIKELISQGQSEYSKRLQMQLYKALVAQTLIPMVFLFIPVGSLVYCPLIGINVECTSLLVTFLYSFYPAVDPIPTIILVDDYRNAFCNFFRRVLSKNQVVSVVSIDLNTDPL >CRE19911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:107820:127778:-1 gene:WBGene00076790 transcript:CRE19911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19911 MSSLRQSSATSPTSPVARNVYSRASVDVESGEIQQNSHSNNHNSHKRPYTPGASPREILHAQQSLRQLPSPSQTSPHGSRFMDGYRRRMRQASLNLPSESQLLDEDLGFPPVCSPPPEVFCGSISGNQMRNRSNSEVVRMRSSHSLGNGGLLVFFPTSPSSSSNNSGCRYGIHRRICKITQETTGFECVSILLRSTDIIERTGRSPSPPPPPRSPISMATVSTSTSSTANLIFPPIPAPDPFSQYQRSPTPSALLVFLAATPTSEDDAPGAISPRRKWSSQKEDRGTRSAPCSARRVEKSISLDGDQAIDRFFLSHRTSLSTIEQEPECCSGSAVEQDHPSPLPSKGLIRKFRKRILSIDKAYSAPACSSTCNGSCTVENWLKLAEHIFKKKLGKVMAAPWRYVNGHITLEREQHQESNYLAQTIRVHHHHQVQILVHIMLIVLFRLQLSSSPLCSPQSVAARASASCDSQQSGLPSPGRRQTMSGRVHRASFTAGGTLKSFDSGLDLSSPTINHTALLIKE >CRE22074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:168528:169117:1 gene:WBGene00076792 transcript:CRE22074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22074 MTTEMSVAEMRAAHKRTASFLHKTSIMSSENINEKVGVPVLFKCEHLQKTGSFKVRGALNSAIRAKEQEAKGVKEEEIKEALKLVWTRLKQRIEPSAALAFAGVLYHKPKHVKLPLVILCGGNVDANYVID >CRE19385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:67573:69211:-1 gene:WBGene00076795 transcript:CRE19385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19385 MKDTFDIIENPAPTSHLWKQWKNLVDTEGWTSDDNSVTGLVPSLKTTRSVWAVTKTPERNLVGSVVWNEYDDICWLGFYLLSPEYRGKGIGSVIWARAMSRIRKDLVLALRGVVKMAPKYKSQDTPVDGALLENYRMSSKDFDDAMKSYKTLELTQKCVRELSGDEWQKFLKYDQSVTSRDRHEFLETYYKKLDYTIGFTFYDTKGNIVGVISAVPTGHREKNFFKIAPLFADSDDIAFHAMKVLSNVMLEKHPNATLIFHLVDTPEGSFTVLHKFFKALNLTAGISGITLYSDAYPPKGDLEKVYIPFNNSCHFDY >CRE25055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5766:1624:2200:-1 gene:WBGene00076797 transcript:CRE25055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25055 ILNPIHRNPVNFFQQQQKLAEKNFKKINVTTDLSKIEAADQIIDACSADETSLFSHAAKAVPNATIISLNGDKSPIHSNHVSVKMYSPICETKTAKMFTNSKVSKKTFDEVNQLLDSMGFTVLSEEDSQVADRLVQDMQQVEKSSPLSRLASILVNPLNTPVTPSVQKEQYLLF >CRE07128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig974:11:4690:-1 gene:WBGene00076801 transcript:CRE07128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07128 MLWNQTRERIFLLYLLIGIGLCAEKEEQEIAEENATTGTTKTNCSWLSDPFNVTDKKTGNVTFTYNGSCCSDFAAEMLKNSSYQMEIEKLEVKLNTSWEFNYFTEYIKNNITALKMNALVKLLYCIEDACPGMDSVWDNCSETTTSSSTTETTTSPVTNTKKLDCYWLDEPFNSYYAVGPENLVSVEYTGGCCNEAAKSALENGPPDHLHLESYQEDYKAEALYNYLFCIPNACPYMTPIWTNCSDLTTTTEVQTTPTTTESTTVTSEVTTTKNRQGGFLSEDTTSTSTSTTSEAETSTEKKTPQKTENNDNGGPSNDNDLTTELTTVESTTITLGDLLSGFSSNADRTSTTSSTSSIQTTSTDGSSSTTTSETPKKEEPIENEITEEHEESTTTKTSPKITTTTTESTETEKASTATTSVTEDSTVTSTAVKKEDTSTTELAKTSTKKETVATTTEADIESTFSTTSDDSETSKDPEETSESTTPSGTPESSWSTETFTTPLINLISNSTTQTSPKMTAVSTTPESGSQSTDPAALTTSGTHETGTNSSSTVSSSSSKTTKFTRVLLLLPKRQRIQTKRNAPRPQECQLVQEALTNQQIQPRIPHPTLLKPAHLRHRPLLGSSKTDVTGTSADVTTTTGSSTPSSTHSESETAIGSTGTTSTETVTGSTKSTGHGSTETSTTTTIGSKAVGSEKPILETETSSTGTQSTATRGATVTGKLAPSPSTSSTQGTGTVTTGETGKSGSTSTTHPVSNHVLIVTRIFYSRNRPSADISLTTQGLWKPLQQRKRLNRVLEQLEKEIPAPWTPPQNPQVAQQRLNRHKELDQHQNQELLIPPKLPQLQRNRKQEQVLMYRQLLPNHL >CRE31104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1425840:1484032:-1 gene:WBGene00076802 transcript:CRE31104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31104 MCAMRGNRHSTWRRCHNSIGARYFFMISLEKFELDGTIIPSDISRFEFRSLDNNQSHEMVISNISWSDAGVYSVIINGKSTFVSKIVVVESELITQSVEEEIEPEIDVSLHVLESEQIVESNVPQSPKLDASHETLIPATQETQEAASVVPAVEEFVSRESEEFEIIEKLPEEAALLEASLMEQKPPQHEENTLKQQKKESENVEVKEKAAISETPKETPIESKQESQEHKVPEQAALLETSVQEVPEKAATLEQKKTKEYITDSTDAAKLESVESSGGQPTITEETPVQTAALEEVQKTTEVDQSKENVEQPKIIVPEEAALSEQTPQQQEIGNNQEDTPNMEKEDEKHLERIESETIEKAATQQTDSSEIRDAASEIAHLAIDEAVIEASKTSKGVETTEIEEEQVRSVSVDLTFSRESERIVSDVIVAEVGYDEDGECFIIRFFYNFLFLECSTIADTITSLSSSPLYTTPVFTGRLPSSACFSHDKLMLEVMFSGVPQPTISWLLDDHELISDGERIAIKCENGISSIRFFNVDRNAGGILKCRATNCAGQVETVCEIIAADEISTISDSSMTSSTRPHFVTPLPERLTHTVNDHIIIKCKFTGQPLPAAMWEKDGVLLDLQKYQVTTEDGISILKIENATLDDKATYTCTIANEAGCESTSCRIDVVDDHLGMERTGLHVMCERDQNDVELDILVQSPNHLGVTFSFPPVNRTLARQPPYFLLPLSDKIVTDENCTLKCVVMGIPLVIIKWTVDGEVVQDDENHEIHFEDGIALLRIKGLKKDNVVVKCEATNCKGKVTTSCVLSKGMVEESEAGDLQKPSFVLELKDCFTTDDHATLKCIVMGTPLPDATCSFDGTTDDSKIRSEDGIVLIEVNNVTEDGVVVECTISNEKGNVTSKCVVKRVTQEQNSYQRPVFVFNQAGSVNNDRELSVKVGVIASPEPTLFWKHNGVDIEEGGDYYLICEDGIGILKAFNINDGSHEFTCTAINEYGQAKVTIPVEVGTKTDKELTLVKALNDLTVVDDLVQLKIVAEGSLPIEFKWFENDSILKDDSNHRISIDGAISTLQMRTATIGTTNVRCEVTNDSGKLETCGTIERINNTISDFVLTDSESKHFLTFGHEYSGDQKHAILKAVVVSQEDAQKVCDINGESIPAEFLDENSISINLDQIGRNKKNLTFHIADGSGKVCETKTVQLGSDENEEEENFEINYSLRLDTQNANTICVFGKSAESSIGVNPVEEDTSTKNKTSKQDTSDIQFEQPVPVPETPAEQAAPVPETPVEQSAPVQETPAEQAVPVQETPAKQATPVQETPAEQAAPVPETPTEQAAPVPETPVEQPVPVQETPAEQAASVPETPAEQPVPVQETPAEQAAPVQETSTEQAAPVPETPVEQPVPVQETPAEQAAPVQETPVEQPVPVQETPSEQPVPVQETPAEQAASVPETPVEQPVPVQETPAEQAAPVQETPAEQAASVQETPTEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVRETPAEQAASVQETSTEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAKQAAPVQETPAEQAAPVQETPAKQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQPVAAVKEAEMLDQAVKTEKVLLTNVDVDLFFCKAEVFSGLELDLLMECSEFITKNVPQKGREQVQIEQPVPVQETPAEQPVPVPETPAEQAAPSDDSRAEEPIPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCEAEVFSSLELDLLMECSEFITENVPQKGREQVQIEQPVPVQETPIEQAAPVPETPAKQAAPVQETPAEQAAPVQETSTEQAAPVPETPVEQPVPVQETPAEQAAPVQETPVEQPVPVQETPSEQPVPVQETPAEQAAPVQETPAEQAASVQETPTEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVKETPAEQAASVQETSTEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAASVQKTPTEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAAPVPETPVEQPVSVQETPSEQPVPVQENPAEQAASVPETPVEQPVPVQETPAEQAASVQETPTKQAAPVPETPVEQAAPVQETPAEQAAPVQETPAKQAAPVQETPAEQAAPVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQAASVQETPSEQPVPVQENPAEQAASVPETPVEQPVPVQETPAEQAASVQETPTKQAAPVQETPAEQAAPVQETPAKQAAPVQETPAEQAAPVQETPAKQAAPVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVKETPAEQAAPSDDSRAEEPVPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCKAEVFSSLELDLLMECSEFITENVPQKGREQVQIEQPVPVQETPTEQAAPVPETPAEQAAPVQETPAEQAASVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPAEQAVPVQETPVEQPVPVQETPAEQAVPVQETPAEQAAPVQETPAEQAVPVPETPAEQAAPVQETPAEQAVPVQETPAEQAVPVPETPAEQAASVQETPAEQAAPVPETPVEQPVPVQETPVEQAAPVQETPAEQAVPVQETPAEQAAPVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAAPVQETPAEQAAPVQETPVEQPVPVQETPVEQPVPVQETPAEQAASVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQAVPVPETPAEQAAPVIETPVEQPVPVKETPAEQAAPVTETPVEQRPVQETPAEQPVPVQETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAAPVPETPVEQPLPVQETPVEQPVSVQETPAEQAAPSDDSRAEEPVPAVKEAEMLDQAVMTEKVLLTDVDVDLFFCKAEVFSGLELDLLMECSELITENVPQKGREQVQIEQPVPVQETPAEQAAPVPETPAEQAAPVQETPAEQPVPVQEASAEQAAPVPETPAEQAAPVQETPAVQAAPVPETPVEQPVPVQETPAEQAAPVKETPAEQAAPVPETPVEQPVPVQQTPAEQAAPIKETPAEQAAPVPETPVEQPVPVQETPAEQAAPVKETPAEQVAPVTETPVEQPVPVQEAPAEQAAPVPETPVEQPVPVQETPAEQAAPVKETPAEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAAPAPETPVEQPVPVQETPAEQAAPVKETPAEQAAPVTETPVEQPVPVQETPVEQPVPVQEAPAEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQSAPVQETPAEQAAPSDDSRAEEPVPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCKAEVFSGLELDLLMECSEFITKNVPQKGREQVQIEQPVPVQETPAEQPVPVPETPAEQAVSVQETPAEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAVPVPETPAEQAAPVQETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAASVQETPAEQAAPVQETPAEQAAPVQETPVEQPVPVQETPAEQAGPVPETPVEQPVPVQETPAEQAASVQETPAEQAAPVPETPAEQAAPVQETPAEQAAPVPENPVEQPVPVQETPAEQAAPVQQTPAEQAAPSDDSRAEEPVPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCKAEVFSGLELDLLMECSEFITENVPQKGREQVQIEQPVPVQETPAEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQPVPVPETPAEQAAPVQETPAEQPVPVQETPAEQAASVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQEIPAEQAASVQETPAEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVTETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPSDDSRADEPVPAVKEAEKLDQAVKTEKVLLTDVDVDLFFCKVHHNNVPQRQENKFKSSTSSSSXXXSRNSGEQPVPVQEMQRTSCPFKRLQRTSAPSDDSRAEEPVPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCKAEVFSGLELDLLMECSEFITENVPQKGREQVQIEQPVPVQETPAEQAAPVQETPAEQAAPVKETPAEQAAPVTETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQPVPVPETPAEQAAPVQETPAEQPVPVQETSAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQEIPAEQAASVQETPAEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPSDDSRADEPVPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCKAEVFSGLELDLLMECSEFITENVPQKGREQVQIEQPVPVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQESPAEQAASVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAASVQETPTEQAAPVPETPVEQPVPVQETPTEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAEQAASVQETPTEQAAPVPETPVEQPVPDQEAPAEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAGQAAPAPETPVEQPVPVQETPAEQAAPVKETPAEQAAPVTETPVEQPVPVQETPVEQPVPVQEAPAEQAAPVPETPVEQPVPVQEAPAEQAAPVQETPAEQAAPVPETPIEQPVPVQETPAEQSAPVQETPAEQAAPVQETPAVQAAPSDDSRAEEPVPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCKAEVFSGLELDLLMECSEFITKNVPQKGREQVQIEQPVPVQETPAEQPVPVPETPAEQAAPSDDSRAEEPIPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCKAEVFSGLELDLLMECSEFITKNVPQKGREQVQIEQPVPVQETPAEQPVPVQETPAEQAASVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPAEQAASVQETPAEQPVPVQETPAEQAAPVPETPVEQPVPVQETPAEQAGPVPETPVEQPVPVQETPAVQAAPVTRNSSRTSSSSSRSSSRTSCSSSRDSSRTSCSSPRNSESSKQLPGDFQPEQAVSNLTISRKLMNSVPCCKRKLQMLDQAVKTEKAVLNLICNDGNVQSSSQKMFHKREENKFKSSNQFQFKRLQPNEAAPVQETPAEQAAPSCQENSKSSKPVSSTRVSSRTSCFTEQAAPVPETPVEQPVPVQETPAEQAASVQETPAEQAAPVPETPVEQPVPVQETPAEQAAPVQETPTEQAAPVPETPVEQPVPVQETPTEQAAPVPETPVEQPVPVQETPAEQAAPVQETPAVQASPVTETPVEQPVPVQEAPAEQAAPVQETPAEQAAPVPETPVEQPVPVQEAPVEQPVPVQETPAEQAAPVKETPAEQAAPSDDSRAEEPVPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCKAEVFSGLELDLLMECSEFITENVPQKGREQVQIEQPVPVQETPAGQAASVQETPAEQAASVQETPTEQAAPVPETPVEQPVPVQETPVEQAAPVQETSAEQPVPVKETPAEQAAPVTETPVEQPVPVQETPAEQAAPSDDSRAEEPVPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCKAEVFSGLELDLLMECSEFITENVPQKRREQVQIEQPVPVQETPTEQAAPVPETPVEQPVPVQETPTEQAAPVPETPVEQPVPVQETLAEQAAPVQETPAEQAAPVQETPAEQAAPVQETPAEQAAPVQETPAEQAAPVQEAPAEQTAPVPETPVEQAAPVQETPAEQAAPVQETPAVQAAPVTETPVEQPVPVQETPAEQAASVQETPAEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAEQAVPVQETSAEQPVPVKETPAEQAAPVTETPVEQPVPVQETPAEQAAPSDDSRAEEPVPAVKEAEMLDQAVKTEKVLLTDVDVDLFFCKAEVFSGLELDLLMECSEFITENVPQKRREQVQIEQPVPVQETPTEQAAPVPETPVEQPVPVQETPTEQAAPVPETPVEQPVPVQETLAEQAAPVQETPAEQAAPVQETPAEQAAPVQETPAEQAAPVQETPVEQPVPVQETPVEQPVPVQEAPAEQTAPVPETPVEQAAPVQETPAEQAVPVPETPVEQPVPVQEIPTEQTAPVPETPVEQPVPVQETPAEQAAPSDDSRAEEPVPAVKEAEMLDQAVKTEKVLLTDVNVDLFFCKAEVFSGLELDLLMECSEFITENVPQKGREQVQVEQPVPVQETPAVQAAPVQEAPAEQTAPVPETPAEQAAPVQETPTEQAAPVQETPAEQSVPVQEAPAEQTAPVPETPVEQAAPVQETPAEQAAPVPETPVEQPVPVQETPAGQAVPVLETPVEQPVPVQETHAEQAAPVLETPAEQAAPVQEIPAEQAATVQETPAKQAVPVLETPVEQPVPVQETHAEQAAPVQETPVEQPVPVQETLAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPVEQAAPVPETPVEHPVPVQETPVEQPVAVPETLAEQAAPVPETPVEQPVPVQETPAEQAAPVPETPAEQAAPVQEIPAEQAAPVQETPAKQAVPVLETIVEQPVPVQETQAEQAAPVQEIPAEQAAPVQEIPAEQAATVQETPAKQAVPVLETIVEQPVPVQETQAEQAAPVQETPAEQAAPVPETDDKAKKEADEKAKKDLEAKTNKEADDKARKDLEAKAKKEADEKAKKEADDKAKKDLEAKTKKEADEKAKKEADEKAKKDLEAKTKKEADDKAKKEADDKAKKDLEAKTKKEADDKAKKEADEKAKKDLVAKTKKEADEKAKKEVEDKAKKDLEAKAKKEADEKAKKEADDKAKKEADEKAKKDLEAKTKKEADEKAKKDLEAKAKKEADEKAKKEADDKAKKDLEAKTKKEADEKAKKEADDKAKKEADEKTKQDLEAKTKKEADEKAKKDLEAKAKKDLEAKTKKEADDKAKKEADDKAKKDLEAKTKKEADDKVMSKIRIFTAVELVPNEFYAFRVVAVNGFGEGAPSDIIEVNTLDYDQEESFDFIGEEETTATVDIPDSEPTVEVTYEESEEVVTVEKKKTKKKSKKSKESESEPEAVLEITSEVMSSVDVTKDAAASVIEGAPDASKSTSDVTTASGTISLEATAEIVEEIVEIKHKKKVMKKKMEKSDSSISQTSDVSSEEVIMQAEVSDVEIKKETEIETQDMKMPVDEKQKKKIIKKKTEKSDSSISQESSADISSEVTLQEKPVQTVEQASEESSAVTIKKDVKKVVDEEPGKKDLDVKFKNDVGEKSKLETEAKILLETEEKVQKDLEDKLKKEDEAKRESDKKKVDEIAKQITDVNVESGVTAEADTSKSADDKPKKKVMKKKTEKSDSSISQTSSVEVSSNLTIQEKSELEKESDDKAKKDLEATTKKEADDKAKKDSEAKAKKESDDKAKKDLEAKTKKEADDKAKKDSEAKAKKESDDKAKKDLEAKTKKEADDKAKKDSEAKAKKESDEKAKKEAEAKAKKDSEEKAKKDLEAKTKKESDEKAKKEADDKAKKDSEDKAKKDSEAKAKKESDEEAKKDLEAKNKKEADDKAKKDSEEKAKKDLAAKNKKESDEKAKKEADDKAKKDSEAKAKKESDEKAKKEADDKAKKDSEDKAKKESDEKAKKEADDKAKKDSEDKAKKDSEDKAKKDSEAKAKKESDEKAKKEADDKAKKDSEDKAKKDSEDKAKKDSEAKAKKESDEKAKKEADDKAKKDSEDKAKKDSEAKAKKEADVKAKKDLEAKKKKEADDKAKKEAEDKAKKDLEVKTKKESDEKAKKEADDKAKKDSEAKAKKESDEKAKKEADEKAKKDLAAKNKKESDEKAKKDFEAKQIVEPEVESSKPSDEKPKKLVKKKTEKSDSSISQASKASSDFTLQDKSAQNVEHASEESTAVTIKKAAKQEESSKQDVDEIESKLEVEASKPSDEKSKKKLVKKKTEKSDSTISEDASEKLVDEKSQEPVQQPTVEGEADKSGLETKEKKTVVKKKKPSEKAMEEVSSDESRKDIESDVSLSLDTVTESDDLSTASTIKMQKESDESGIDSRMGQTSEFLYFFFPLNEYSPFIGQPETTTVTEMSGEARFTVKFSRKPIYVKWMKNEREIRVAYGKTSVETTDDSSTLVIKNVDGKDVGDIYAVFDSEYRSKMARLELRVPCKISLESQPTSEIVAGKNLDLSFKFAGYPLPTQIELLHNNENLRTRADVTDFDDTISIRMKRLKLEDSGEIKVIVKNNSSQDELKIPVKVIDVSSKPTSLKVSNIEKETISLQWSVPTEINGSNVVEYLVERKTVDGGRWRHACSVTDNKTVVDGLFSGTEYVFRVTAVNGAGESAPSDTIEATTLADEEIESPTEQVKEPVNKKAEKSKESEGSKKRDRKKSEDRDGSDIGNPEKDEDGAAGESSHRSNQIEESTQLNSTFSSPEQHGQTEKQVRKGTRKSLTRSLNIKESDIDADVVEIEYDEEGDDVPNDPTTSGTYAFEKIEEEKTSEDAKLSEKDSDAMEIKNLNKKLSKKGEKASASTEKLAGKKKKDEEKSALSVQELNKSMKKKTEEEGVEVDRSETEKLNEKTGMSIQDVNKSMKKKAQSETVEIDKSGKSNEKESDNLSIQDMNKRMKKKKGASESEAVKNFGASESDQDQLSIQNMKTKLSNEEGIEEASHNIGSKASESESDSLAMQSIRKKMNKKDSHGEVESKLREKQEESDNFTLQDLYEELKAKEKLEAEAGASSAMADKKNEQEKTSMEVKDVNKKFKKKQGSGAAEVSGSIGESNRDETSMKIREVQSTHDQKGDSEGSSTFNFGEKDQEVYSMVMKDVNKKLARQNAEEIQSGKLIPTSNDEKTGLAVTGKNKKLKKGAQKESVEFGAKTKQQESDSFAESTLRSKKSKKGESGESESDIQLKNQDETSLATTSLNDELEKSGSAEDSEAEHLVALKNKEKTSLAMRRKRVSFDSSTKSESIEDVIPEKNKESEHMSITGMKKKISRKNEEAEVQKNNAPEVKEKSSFDERTLISTKKSKGDKDKSEGVEANLGDKTTDQDSLSITGKKQKLGKSDETKKASKTVPQKSKVTTSFAEQSLTSELDRLMADEELAEMMFAEDEKATDSMNVIGKKQGMKRNDEDESGEISIQAKSNIKDGDSLASIGKRESLKKSGKDQEASKIIGEKDQDSSSYEEKTKNIKKSKKGEKSSEAGAETTFGEKNLRETQYAEISKNDKISKPEETSNVSKDSNEMVEGASSQYAEVSKNRKFKRTEQIGDAEASLKADGDSRQQESLSVSDVNPELRRSNVEISAFGQIDLTAEDSTSLADINKEAHLTKSQDSKKSTSKNLKTDSKKDSDSLSIAEKDGAFGKKFDSGEASATVEQQGEEKISKKLKQMRSKRGDSGASASFEIDNKQEDETAEKLFDAALISAKDAYNESSLDSSKRKLPESASTEQDVAEGMKDSSGLSITDTGASLKKKNDEEESSASVGKSSGKDSFSEQELNLKKSKKQKTEKGAEKLIGSTKESENLAVASSEANLSKDSENKETSYGAGATSDATSLSQLDSEHAVNRVGEKMNASESIGSTGKKQGSDSLSVADADALLNRDESHEHSEQNLGEAVTAEDKYSESRRKTTLKKKPEKHQVSDTLAANDGRHDTTSLSVADSGVSFDKSTENELAISGDRDVTSSVSAKVEVGKDAKTNLISSFEKPDEQSKTSKKISGKQKKTEKSSFAEKNAGFDISSKEERDSAESSIDKNKEADSLELRGTDLSFSKPSSSTANAHVDLPQKELTLRICQAETVDWSDDSEQEEGTSTSAPGEIKKKKKFIISAISQDGEFSDAESITFDENGVRVEKRRRKKRDPKEYMGAGELAMRIPAFAKKMQYIGCIEGDVVIFTIKVVSDDVPLVSYFIRMYRNDYPVANFEKMAFEGFTRGSEHSFNVTINDIRKLDGGKLVFEAKNDYGVDKCTILLDVRDSGSFIDDLSETHRSAEIMNPVGDVQVKEGETATLTGKVDGFPLPELIWIKNGKEIDMMVPSTKYQLDYHSDGEFEARIANCTFEDDDDYSLLVENLAGVDSCNFQVFVDCKEYPDDEHFNRRRRLQRGRRVVEASSDSELDDAKKRKKRRTKRVVERRNPNAPRLTQLIPPRFDKILSDHDAIVGENVVMMVETLGEPEPQVRFYRDGKLIDDGDGERMEVRHEDEMRKHWLISEGYLIIFIIVESRDDDKSIDLGLEPSEEPESTAQDEAAENKTKPKTKKKVIKKKDDGETEKDESIAAAETSDTTSIDQKKSESVQDEAKATAEQKAAEAKSDLDKKKKKPSEAEKTKTDVEKTSAEEKSATDEKKQAEDKTKKPVEKKSVKKDSEAKTKKEADDKTKKEADDKAKKDSEAKAKKEADEKTKKEADDKAKKDLEAKTKKEADEKAKKDSEAKAKKEADDKAKKDSEAKAKKETDEKAKKEADDKAKKDLEAKTKKEADEKAKKDSEAKAKKEADEKAKKEADEKAKKEADDKAKKDLEAKTKKEAEAKAKKEAEDKAKKDSEAKAKKEDDDKTKKDLEAKKESEAKKQADEKVKAEADTSKTAEEKPKKKVLKKKTEKSDSSISQTSVADTASEFTVQEKPAEKVEQTPEESASATIKKESKPEDAETAKTDEKKTADGKPPKPEDSDATPKKRVIKKKTQKSDSVASEASLADVSKISDDLEEKPKKKVMKKKTEKADSAISETSSVDTVKPETAETVEKQDTVTTDSAMESEPKESQKKEAEKDESMTRRKSSAIFSDDEQSISSKTSSEGRRRRRRTGFASTFASETLAMRGDNVEIEVELLEEDDKVTWKVNGKDADLNSRCHEMSHTFFRTLIIDQVEPEDSGMEIVAICGTESHTTILKVEELPVDFVKFLPRKTSGKEGQEVTISVTLNHPIDISKVVWLKDGKPLEINKDYSIDTVGCSVSLTLRRAKYEDSGKYTVQCDGVNCSTHLSIQGKPVLKNVSEKKPVITVDKDDKFSIHVAYDSNPEATFSMSVDGKDLEFDGRSRIDVVDDGLKLTKRGVSKDDAGEYEVKLKNEFGEVTQKFDVKVNDTPSAPGDVSVVKVESDCLHIEWTAPAENNGAEVTSYVIEKKESGRRKFHKVGSVNGKKTSHIVDDLEIETPYIIRIAAVNKFGTGEFIETKPVQTGSPFQIPTVEYPPTIDNVTSTSCSLHWPKPIDDGGSPVYGYDVYKRENGGEWQKINGDELVFTESFNVRALNSGKEYEFKVEACNEAGLRSNSNVVSEKLTVEGLVPETILDCPMVKVLDNDKVEVSWKVDGEKEFVVQYKSDGSSIWASIDIGEAAAVAADAKCVIDGLREGIPYVFRVAARNQHGTGEFSEPTVPVVVLADDAPRVLKAIKPVKIPKKCELRLECHAAGHPAPEYIWYKDGKEIIPMDENTEIVNEGSMSALIIHELASEDVGLYKVLVENIHGTAESEAEVSMSDVRAHFNSSFSELTEVEEGHDIELTCEVSDEEAVVNWYKDGKKLVASDRVQFYAMARKRTLRIKGSRDADSGVYKCETTDGRSRAEGEVIVNEQEPHILVGPQDAIVKTFGETMTLFCETSKPVRKVKWFKNGVEIWPQMNKAVMENDGKRATLEIKNFDKHDIGAYTASVSDKETSAPAKLAFEVAPNLVIPEEIREGVTVHAGNEFDFTVEFTGFPAPTIHMANNGTPLKAIATVTEYDDSVSVRMKNVTLDNSGIVRVIAESPLGQCVKEIALKIVDRPSEPLDLQFKEVTEDSVFLSWQPPLETNGAPLTGYVIERKGVDNNRWRPCGQVKPTKLTFVAEDLFCNQVYGFRILAVNEVGESEPCETVDVLTLESSEPVSESSELFVPKIAILTTPQVNVAVDGTKVTLRWEECPETSLYKVERKKVGESEWLEIANTDRNKFKDRSVTESGEYTYQVTATGIHAISSPSEETEPVKIVVPGSEMPETKAEKKADVDSSAQKSETAEATEKKTEEAQASSEVPTEAAEEKKTKKVVKKKVPENKGEETLQEVKEKLKKGKAVEKVQDESRRGSLQTSDAESVATTSEKKEETEKESAKSAAGKTAEEKSGKAEEKTSEDSTEKKKKVVKKVAKKGLVKAEKSKVELIAGKSGEISAQVAETGVSVEWKKDGKSLDASYTITNGGGVSTVKIPTVDVNASGVFTCKVKSSEGDEEEVSIAVTVKLPESPKVEAEQSVVEVKVGDTAKLSAKILEPVASANWTKDDKPVREDGNVSSHISADGTAQLTISKTDQGHAGIYKLNVENDAGKGKVEIALRIKGAAKGAPGVPTGPIVFDDVTESTAEFSWKTPENNGGCEITGYNVERKESKNKGWKQCGKTKELNFKVDGLEANTGYDVKVSAINTMGTGAALEGKLTTLKKKETEKSLEKSETEEKKSEESEKTADLKPIGKPEFASSTATSIALKWTSDNDDVTYTVQVKESNSKRPWSVAMKDLTECSATISQLKEGTTYLFRVIAQNKAGQTVTSEQSEGIECKDTKESQKPAFTSAPADLTAIKNAKSKITAEFTGHPAPEVHWFKNKKEIFSGKRQWIETTSGVTSLTIGEMREDDEGEYKIVIKNSAGSVEHSCKVTMDQLPEINRVDRYASTLVFDKGETVKLRLSFSG >CRE15153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2042:9936:11213:-1 gene:WBGene00076803 transcript:CRE15153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15153 MLKSRQLKKYQQNTVVSGVEKTESSAEANLEKMRAAAKQQTAAKETPKAAVVVKRKSTNKPITKATVKTIETPEQKRAREAQAAQLKATEEAARRKSAEEAQRRTLEQMRQMASKYSFDETTTTIRVVDDSPLAAGLVGQAYEDSFAKEDREIKRGTNTANTRSPKKGGRRGQEEQSFSHNPHKRGLKTSQANKHGFEKPVKKQVYDVEIGETIVVADLAAKMAVKVREVIKSLMKMGELVTQNQAIEQEIAALIVEEMGHNPVRVSDTQAEDNLLEAAEEARGAQTTRAPVVTIMGHVDHGKTSLLDRIRRAKVAQGEAGGITQHIGAYHVKTDKGIITFLDTPGHAAFTAMRSRGAKATDIVVLVVAADDGVMPQTAEAIDHARAAGTPIIVAINKMDKESADPDRVLNELTTKEIVPEQWGW >CRE29397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2369:3859:4607:1 gene:WBGene00076809 transcript:CRE29397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29397 MLINFNIKLISEVNAHELNRDSHSFPITSEETFNPITFGNNFDHPNGFTPKINTNRIEKRPMRPTEAPKASASLIPLISFVLSLIMIF >CRE13759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig301:13136:13483:1 gene:WBGene00076813 transcript:CRE13759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13759 MDAWMPQASYPCGNFSDTSYRKQSGLKGSIGHAFAVCTRTESQDQASFCPFAVREVSVLYELALGHLRYDLIDVPPQSNSPLDTVFEESHGAIKWLRLMLRKKASKTILFQRKKA >CRE07191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2774:3163:3930:-1 gene:WBGene00076816 transcript:CRE07191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07191 MGVITLTLVAAGTQAPGTGPQLWVIVACALAIAVGTYSGGWRIIRTLGAGLTQVKPAQGFAAETSTAATILASSHLGFALSTTQVASGSVIGSGLGRRGSSVRWRTVGRIALGWLFTLPSAAIVGALAALLAQGLGTTGVIIDAILGLLFILFIFWRSSRNKVDHSNAINVPDVAESGYAVRIRKRGKVKPVPTETGTIPPLTPIAKSAVRSDHAARAVEQAAEEAARQIKAAERAAARANKRAARKAAAKGDQK >CRE27987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1724:1274:3934:1 gene:WBGene00076822 transcript:CRE27987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27987 MSTPDIPTTIEDSTQNTVSLNLQTNITTITVNLSVISDPHYTLPFLQLLTPSGARLNALVDSGATTSLIAKPAVLRLRCPVHYQKLVNFRGFISSSGPQQVKFYKLDIMDKSGKAWSTILPEYPQLPTVVKAPTFSQKNLEYLIQKNFDQQQLTGLQKFNGKPIDLIIGNNVLPTLLSTSTRHVLPSGRIVEDTQVGVITHPSPVPDALQRATETQDDSSDQNNDYNESYINTIDMSDYDRPEELSVEISQSPQVTNARLDWLLEQSWKLEVLGIEPPTAILQRVQLNQDLILKYKKTAILDKDNKLYVQFPFNGKEATLHDNYLVAVKRLISLLEVQLASMTDRATYNNIIQQQLISGIIELVPENEQHIGPHYYIPHRVVIKPDAQNTKLRIVLDASSHMKNEQSLNQCIHPGPSILKSILGILFRSRTKPYLMIADLEKAFHQVRLQPQHRNCTKFLWLKDHTKPATPDNIVTYRFTRLPFGITASPFLLAITILRYMELNPHPIHEKITQNLYVDNVMFTPESTEELLKNYQESVATFSSMHMRLRDYLCNNKTVMNAIPEEDRSKSTICKLLGHHWDANKDTLTIKIAQPPEGIPTKRQLASFIASTYDPQGLISPLGVASKSLMAKVWKYKLKWKDPLPTTLLSDWEKIKAAITAKSYTVPRRITPAQGFTNASLVMFSDASKDHYATCAYLRFECQDNTTQVQLLFSKTRIKPMNNEHLTIPRMELLGVLTAVNAASTILTEVNITLSSITFFCDNTAVLNWVQHKNSSDKWVSNRVRAITELEQEFTKKKLSPTFRYVATDKNPADIASRGATLQQIKESNLWQHGPEFLLQDQAYWPKSLEQSPEDPKEFHFFTLDTSAPPFPPHRGLPSEYPPHTLE >CRE02619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:71150:74556:1 gene:WBGene00076824 transcript:CRE02619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02619 MKRSIPSTSQEASTSQAKRVAPPTLQKDSGGKTTNLKRKSVLESGTIYFTPIGGITVPLQESEGSRSLEDILADIRPISSLHMNFMIDFEFLVNSYPPSLRTTTPITLVVGAPDVSDLRKSTLQYPNVTVHSASLPIPFGTHHSKLSILESDDGFIHVIVSTANLISDDWEFKTQQFYYAMGMRREDEFERSPFQEDLIEYLSYYSNPLSTWKKLIESTDFSTVTDRLIFSTPGYHTDPQHVSRLGHPRLSTILSQKFPFDPKYEHTDRCTFIAQCSSIGSLGSAPSSWFRGQFLKSLEAANPAPKNKPPKMYLVFPCVEDVRNSCQGYAGGGSVPYRNSVHDRQKWLQDFMCKWRSNTKRRTKAVPHCKTYVKYDQKIAQWQLLTSANVSKAAWGEMSFSKKKNVDQLMIRSWEIGVLITDPSRFNIPFDYPCVPYSPTDRPFTTDQKHEQPDILGCVWNP >CRE11596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1177:11890:13597:1 gene:WBGene00076831 transcript:CRE11596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11596 MDLSKPKPSKRLDLQGIRALAIIVVLGFHFYPEYCPNGYLGVDQFFVLSGFLMCMLLKRAENQPACSLVTIFYSKRFKRILPLYLLVTLVSMICLYYYFPETAIESNQSSAEHALLFVSNRPKTDQEDYFLMLNTGIDIFTHTWSLSVEIQFYFLVPFIFLLASKLPRKIQYGYYILMGLFSIIFSFTSSEIVSFNSVFARIWQFLIGMLVYLLGVPITQHPGEAEYQVLKEEEGKKDIEDLKLLLEDANDELEDDEEEVENVISNESAGVSLRVSGMFSYILLCSLLVITAFPFAIPADVVRPAVTIGTGLLMLTSEDNWILCNNILTYIGDISFSLYLIHWPIYAYWKLTCEGNEYLLITALLTSIALAIITFETFEKWYLKLSSTSVGILVVVLFFVNIVTIHKDDIYDHIRTIGRNYSNLDDVTENMTVDDAIYLNHRWGVNDNKNLYAPSCDYESIKTPFGWCRHTVSVTD >CRE30282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig849:16643:16985:1 gene:WBGene00076832 transcript:CRE30282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30282 MEIPPGLETTKRKVAHSDEHGFSDQVRVPNVIVMVGLPARGKTYISKKLCRYLKWTGFKTKVFNVGEYRRTDANAADAIHGANASFFSPDNADALKVRA >CRE25791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:98857:100244:-1 gene:WBGene00076834 transcript:CRE25791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25791 MYTFDLISFDENDVIDNFTATVINSTVFVEVENPIYTKYNIYIRDEANNQTVKHEIDVETGKTKFDFPFQLDHTLSYTIKMSGMKLGRESPPSEEIELEFLNPNTRDSSPQPPTQMNSSTSRRKVIKEPPL >CRE13818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1061:6:33362:-1 gene:WBGene00076837 transcript:CRE13818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13818 MSLWANFALGFTYLSPLVGVYSLLAVALSTGGPPSIWWIVIVACGQLLVSLVFGEIVSQYPIHGGIYPWARRLWGRRYAWLAAWVYIWAMIVTITSVAEFGSGFVTSLLGIELTPEATLFTALGLLLLALAINFSGTRWLARIARIGLAAELIGVIGLGLYLLIFQRKHDFSVFFDTMGTAGDGSYLPVFLASALAGLFLFYGFEACGDVAEEVSDPARRIPRAMMMTIFVGAISALFSFGGYVLAAPNLDEIVAGKVTDPIPSILDATLGPVGAKLFLIIAILAFISCVLSLQAAASRLLYSFARDGMLPGHTWLSKVSERSKVPTNALIVACTVPALICILIYFNDGILVAVTSFAILGIYLSFQMVVLASLRQRFKGWKPAGPFSLGGAGTAVNVVALAYGLFAMYLLAKPGETGEFFADYVVLIGLAVVLVTGLVYLFVARPDRKSDRGEIDLGEVALFSHGTTVATNALITRNFPAAALVTTRGFRDVLEIRDGTKDDLWDAYNDVSAPYIRRRDRFEVTERIDYNGSTITPLDESEARELAELLRRRGVKTIAVCFLNSYANAAHETRMREILEETIPDATVSTSAEVLPEIFEYPRFNTAVANAVLAPLVSGYVNRLAERLRDGGYAGDLLLLHSGGGSMTPRLVEKFPVRLAASGIAAGAISAKHIAQQCGYDNAVSLDMGGTSTDIALVADGELRVSQEWQVEYGHPIIFPSIEVLTIGAGGGSLAHIDIAGSLRNGPQAAGADPGPACYGTGHPMSITTAPATRAGEARRTALDPVTFEVLKNAFATSVDLMSEQILRTCYSFVIYSRDFSSALCDKHGNTVMQGSGDIAVHVGTLHFQAKAVIEEFGDDIHPGDVFAINDPYRGGTHFNDVSFVRPVFSDGRIIAFAQNKGHWADIGGKVPGSFDVSAAEHFGEGLRITPIRVWSQGKFLHDVAQLLVANTRAPEQALGDLHAQSEATAVCEREVLRLVERYGTETVELAMQETQDYVERTVRRRLEELPRGRWETVDYLDLDPGKPEGLVPVKIVMTLDGEGIHYDLEGSADVVDTAAGSPTPTPRS >CRE22206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig831:14087:15063:-1 gene:WBGene00076841 transcript:CRE22206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22206 MEIDSKIKAYRFVGYAALTFSTVAVLSVCITLPMVYNYIHHARKTMHHDIVECRAEAKKLWGDVNQIPDLMMAHNRTARQAGNGQCEGCCLPGAQGPPGTPGRVGRPGKPGAPGLNGNPGRPPKEPCEPITPPPCKPCPEGPPGPAGPPGPAGNKGPLGPPGPPGPGGENGQPGNKGPAGPPGPGGKPGPAGPPGENGRNGEPQPGAPGEPGRPGQPGPRGAAGQPGKDGAQGGPGEKGANGEPGQPGRDGQPGRPGQPGRDGHPGEKGVCPKYCALDGGVFFEDGSRR >CRE02784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2521:4015:5156:-1 gene:WBGene00076851 transcript:CRE02784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02784 MKCFSVGTKQVNRAALTDGLTVEGRDESVVRIYENDRLHFPLQMRGGTDIRNCNERLGTFKIGEGRVSCISRNGECLQQAIAIVKPGVKFREIGNVIQKHANANGFSVVKGYCGHGIHRLFHTAPNVPHYAKNNATGVMKAGNSFTIEPMINAGTFHDDKWPDDWTAVTRDGRRSAQFEQTHLVTDTGCEILTKRDQNRPWFMDQIEQKY >CRE20609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig508:1769:2384:1 gene:WBGene00076857 transcript:CRE20609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20609 MEDQVYNQMYSKPMDQPQQQYHQPVATVVPNNKVRFDLPSGSDSNSQLSLNPHPIHNQNQQQPSYGMKKIEYEREPKVEEEPDQYRKLNDEPKEATTTKKDTNILMNAASLIPKGSEMYRAIYPYQPQKDDELQLFTNDIIFVVEKCDDGWYIGTSLRTGDFGIFPGNYVKRH >CRE07606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:68488:69321:-1 gene:WBGene00076861 transcript:CRE07606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07606 MEKEQPKTETVMPRKIKEKTHNQKQQDFHDIRKNINDVIVNIGEKEKKERSRSCCKNARKQVILLLRHHDNDGEPTSDDQRDTDLREEYEKGLKIWKRRNEEGNRRTKKKEENGPSQNSMDEETAAVETTSGTMPLQLQKPTLQIQKKQTSETPGPEQEFGQTSSKTETTATTWNKKEAREKIFWKKHGKETDWKGK >CRE21629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig913:10545:10892:1 gene:WBGene00076864 transcript:CRE21629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21629 MFFAVKFSENHQKNRKLGLLKQAFSGGKFGIIAYEIRLHQNNPWTPHITSAILRMSESMLEIIFYQYETIDLGGVLENLDQKWIDRGGPNCVVEAHNSPARLGLVNLMGVINKPP >CRE03396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:175441:175965:-1 gene:WBGene00076866 transcript:CRE03396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03396 MEDFYLTLPSSTETPSFSNTLSVYTTRLPQVLNLEKDKWVVGATDIIYPYSYKNVNKVLKCYIHFKDARQPVYFNFPANQYKTVDQVLSVLNGNPRKRRNVTDAGHAEALVGALTGGSVPDKSPGKDSTNSDKASHDEVLVGALTGGSAPDKSPEKDSETSSDNTSHDVVDIHP >CRE13245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4220:3043:3306:-1 gene:WBGene00076872 transcript:CRE13245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13245 HETQKNIPKNFFFRFSRLLQLLPCLRWFDPQTIVDIFFSGLIGPMSIETIIPFVLQMNLLNFFDTNNGNGSSDSLSSSSLSEIMSNQ >CRE15091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1303:10:1319:1 gene:WBGene00076874 transcript:CRE15091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15091 HKRFFGKVKLEAFCAIKERIGDIDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITIQEDYEKINGQLFVGMIPNEISISSMLKKAERREVELLKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQTMAKKSNISRYNFRIFNVDPNPYCVNCCEYSLIQDSCMDQYGVYPLVPIVPKVEF >CRE22119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:52270:65205:-1 gene:WBGene00076879 transcript:CRE22119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chs-2 description:CRE-CHS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NFL6] MMNTLDHRPLGRMETLDGPEGAELPPTSSTSSEAKGKGYYYSSTATEEVPEEKIRQKTFDPSSAPQPPKAPPILPNREFDPNFSTVTENKGWDIFRLLPPKPDRIGHGFWHDASLQVLKLATFVVLFFLTLGSAVIAKSTFILMTSAIGWGGQTITICNQVISEANQNTVRLKNAHVVKWVWATLLALSAPEALCFVRSMHRTMFRNVKRPTFIQFVFVLIVETLHSIGVGILVFRIFPDLDAVTAVQLTNAMCFVPAILSLISRKPSKLSLVLVIIDIAAIAAQSSGFWAYPMFMPNLQKHSIAIPVSLTLISLAWWQNFVHRDSVFPPVRTLAKFAQRLSERRSKTYAFVSLWKICVYVVCCFLFVSSRMKIEDMLQKDPFGEKLLSVAGHDMNQTQIEKFQTRINLMIEQANREAGYYSGPQSKPKPPKKQPKAEDAEQVDAGEYMKRLRRATVDEGAEEEEPPAEEEEFSSYNIYSNYVERNQLTMAYDALWLVIFQFGAVFVCYHSSKFACKVMMQRMGFALPMALSVPVTVLLMSTNCRMRAKDPCHLTNVLTVELFWQCNGAPLTFADFILTPQTWIWLCWLASQFWITIHLWNPKHERLAKSEKLFILPYYIGAFVDQSLAFNRRRDDKAKIKAEDLEFDAEDSSLTYETIPGLQNKTTPPSVCSASSSKMENGLIRDSASSADAITKIYACATMWHETGVEMTCMLKSLFR >CRE03048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:582779:582976:-1 gene:WBGene00076888 transcript:CRE03048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zig-8 description:CRE-ZIG-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LWA5] MNTNDFILFAGHGASEEVMACLRQERSRVENPSQTIVNVVAENPAYLHCSVPPDAEHEVRNWKRV >CRE23297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6916:89:847:-1 gene:WBGene00076891 transcript:CRE23297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23297 MLAKRIIPCLDVDNGRVVKGVQFLDIRDAGDPVEVARRYNEQGADEITFLDITATSNGRDTTYRTVERMAESVFVPLTVGGGVRKVEDIRLLLNAGADKVSINSAAVFNPEFVQEASQRFGAQCIVVAIDAKRTGENKWEIFTHGGRKPTGIDAIEWAVKMAEFGAGELLVTSMDADGTKAGYDIGLMRQINDRVTIPTIASGGVGNLQHLADGILKGGADAVLAASIFHFGQYSIPEAKQYLAEQGIEMRL >CRE20181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7307:358:2042:-1 gene:WBGene00076892 transcript:CRE20181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20181 FSVNFDSSSFGNDSFSNNSGVKFPVSFDEQPSGFATLSAAGNSTTTQIRSASAAAQPTNVWPTMMARADSPQPLIPSRPGPAVKNQVYPSLNESSAIYANTSGFSGLPPAPQQSSSTLPPLYSSVPNDTMSMTYAMPPMYDVTPQTPQFQPQVPSLSAYDTPPSIAPMYDQLPNEQPASPAVRPVLCQVKVDYDFLPQGSNQVEVREGEVIGVLQRTDDDGNPEWLLIKRASGQVGYVPAAYCRPT >CRE23633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:385532:387571:-1 gene:WBGene00076895 transcript:CRE23633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23633 MRARVENHVKSCQKCLYTNDYTKMVAPLTPYKTEYPLQIVACDLIDVGLSTQGNRYILSIIDLFTKYGIGVPIPDKKGETVLKAFIERWAIGEGRVPEVLLTDQGREFCNEHFQKFAELVQMKHITTKGYDSRANGCVERFNKTLMHTIKKQNAVAAEWDDQVPFAVYAYNSVVHKTTGDSPMFLMYGRDAKGGLEKIGDDACGVSYVDMDEYKNLLVQELNKAYAFVKEHARQEQQEYKVLFDAKHRISQKSYPQPGARVLVEIPSEKMGARYPKLTNKWKGPYRVISCTENSATIVPVAGSNQETLKIPFDNLRNIPQQMDNTPVTTKKGRARLRNTVVAEITEKLVTDHDHDICIFSELYWCRCQRPCLFAIPGQKDLRLQSPTQVVRAVQLLQKNKEMTRADVMMLAQKRLPMLSEIPTPEAWHILSQCPTLSLWVKDIISWKEAFELQYAMTLEKWLGPELLQTMSSMVFCFPGVELKSVALALKHVKLLKDEDTVVERIRKNLETREVNVAVFVIPFSTSEQSKDSWQEAIHALPKEIDIIVVFSHISQFDHAKGEIFTQLVKELYRLDGKLTVLGPDFTVKFNLNRTLLNVSDRSNCLKYWEDLMRIVKEEKIIWPHLKLATLESAETNQSAATEATGASGSGFGPIRAHNSRGSRSGSYGLGSYSKFRHNR >CRE30602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1568:7656:8252:1 gene:WBGene00076897 transcript:CRE30602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30602 MPSFLYSDTEIESDGGGSRFQTNKNKPLMEKKRRARINKSLSQLKQILIQDGHKNSAQHAKWEKADILEMTVEYLHQMRSSQACLSSPSTSCNPTPPQIPTPPEEKPIQMTPLIPTFMNPVMQQYMAFQQLAQLSMYSQIMNNPIGYRENDSSTKVESINSKQEEKSI >CRE09587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:405999:407012:-1 gene:WBGene00076898 transcript:CRE09587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09587 MSIPFRIRLASRCPVIHDIEKTIPLHLDSLSFDDNAIRLNNICYRLCVNYDEIDESDERYERDKPEECRLTPGDIQTGSLPNKPWESKYLEILFEIDSDEIHSRRLPSDWKIHEAEKKIACILLGGRAKIRSKTLAFEFYGESVIRLPEGFKVITSALDIQCGSFESILGIVDPMSYPLQDIFTSIERPRMLENPVLKSAKKLFISNEYIDEEFIDEIYEGLWKLENKSVTVQLDMEEDNKIDLFDNWINSPRDIGSTFTFCVRKELTMKALGTVKKRFNGKYVQLNRPLDEPDEKLVLSIKTLQYQLCSLAVFSCNCSTLTVCHGHTDCHIKYIFN >CRE20075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:66998:67651:-1 gene:WBGene00076900 transcript:CRE20075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20075 MRTTTTTTTRAPRTTTTTTVPPMTTVPRTTKTTTTTTTTVAPITSKKSSFLDLFGIFGKKETAQVDLESKIIKQENLEKNEKMEEEMMIKKSQDSPKKPKFRTTVTPPITSEAPPPWISTTATVPTTTTVFTTHAPPPMEGCNTKDANGREIFTHIGAIMRVSWKRAPLHFLCISAGCGLALLKFEIWFESRFKIMRTKFQLNLSNFLQTRSTALLL >CRE30492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:33300:34569:1 gene:WBGene00076902 transcript:CRE30492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30492 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NI60] MSFFNLKFLISFFYCSVSFTIYTLLIIMLLKNWKEFKSAFFHIVIADYLFNLLTWLNSMITLRLPNGTCKTCIMSEIFGALGKDNQYTGGFLYICYFLHFGNAYFQYSMVTLMSLNRTTSIFAYFVNEKIWQFLFPISIATVILCAVYFTRTILETNPYYVYNEALDMYSITADSNILPAYSNVINFMAITVVSSIILNTVSVIKLKLMTQNLSTIERNLLFSTIASSVIQCAAAGNPVCLGYF >CRE26045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4165:2716:2940:-1 gene:WBGene00076905 transcript:CRE26045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26045 MDGKDVVAMSRTGSGKTAAFVIPMLQKLKGRDTKGIRALMVSPTRELALQTFKVVKEVRNRCEIRLKSTEITIF >CRE13164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig700:8169:10201:1 gene:WBGene00076909 transcript:CRE13164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13164 MCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGNLKMCDALFRGYQKIRKKKEKGKLKMCDALFRGYQKIRKKEEKGKQKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGNLKMCDALFRGYQKIRKKKEKGKLKMCDALFRGYQKIRKKEEKGKQKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKEERGYLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGYLKSENKIRKKKERGYLKMCDALFRGNLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGYLKSENVRRTFSRKSENVRRTFSRISEDQKKERKRISENVRRTFSRKSENVRRTFSRISEDQKKERKRISENKIRKKKERGYLKSENVRRTFSRKSENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRII >CRE24358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2315:6997:7836:1 gene:WBGene00076915 transcript:CRE24358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24358 MQTHCLNEMCFNNVTSRVIETGDYLGQPYQIRFTIFYNVYSSDRSVLSGVPQCSVLGPLLFLHLLTTLVTHTNRQVDKDLLSAGKVKANAEKFISGVALENSDDESESADRDPNIIRGSKKAQKVELNFENVGDIKNKWKEGNVETAEAKEAPERKELEALKGGVSVKDRFKERDGNDEQVVERSWNKDELSTSGRSFL >CRE23793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig717:17351:18076:-1 gene:WBGene00076916 transcript:CRE23793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23793 HADDRTDPPGFLESEDRGEHAGGECYDRGSRESFPRLVRADPRRHLVLAERHSRGVRADIDRDDDEHQCEHPPLAPVGHEQQHAERTEERDVDEGEQPREDVSDERLRRGLPLREEPLDQPPDGEQDRTREQAEHHAGGTEEVGAPDHDEPAEHDPDARHPAARGAERGREFNERDRDDRGDEQREEVLPDEQAHQEERAEGDARTDREEQVASRTGFDLGVGGFELCAKLLAPLGARHLD >CRE13249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9260:414:1025:1 gene:WBGene00076919 transcript:CRE13249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sod-3 description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:E3NX54] MAYSLPQLPYAYDALEPNIDTKTMEIHHTKHHQTYINNINATVEGTAWEKLSIEELVSKVNEVPADLKNSVINNGGGHANHSLFWTVMSPQGGGEPIKQIAEAIDRDIGGFEKFKEAFTKAALTRFGSGWAWLSVTPEKKVIVESSANQDSPLMNGNIPVLALDVWEHAYYLKYQNRRPEYIAAFYNVVNWEEVNRRYLEAIQ >CRE21030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig754:37506:41854:1 gene:WBGene00076920 transcript:CRE21030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21030 MDDRLAWNSSNYSGVQTITGHKFDFYLHDLWLPTLHLADMPGSAKQQDLFKNHDVDIVIHKSGTVRASIKALITTPCYFGFGDYPNDYQNCTFTLMSPYFADAFQFSDWGGFAYSKYLLEDRISDVQDFMLINVDSHRYFMYLGMDVVENIGTLPPGYCRGFYRYVLTLKRMNKLVFSQLTAPMIVIIVLMTIAGFLPNRYGLPVLLATLGIELMFTISMTDVLPDNFNGMPNIGNKLKKTSLPHLKVLRILAILLVIETMVLTAWKVFSIYTRNNRRLRVVKRDQIYQMSYGLIESYGRSSVKMGRRVMKNVEWNSTITKNRSKKEGIPRINDKKEANKINENQKLMELAKKLVINHPDLTLEQAIDIETRRQAARKKREEEVTIDASIHLRIDQEMEEYLMMENEEDDEKENSEVTEMEYQVNISIKLNQLELSNGDGLKDKNKIMN >CRE12777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:803521:803749:-1 gene:WBGene00076924 transcript:CRE12777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12777 MFRMQGAPPAPPPLKTRFEIVNTVYNDPFFWSVFKGVIGFGVGVVVARSVSEEWATVV >CRE04902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:174775:175767:1 gene:WBGene00076931 transcript:CRE04902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04902 MDSPSDLSPNAPPAGYENTAQYLEKLQQEDRPSAEGSIDSSGFEKVDHESLEDYTAPVHDPMQKSVFGSLTADSDDLVSNGNIEIYKPQHGDDGFVFIERNEANEGTLKKVVHIY >CRE19648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6428:146:2925:-1 gene:WBGene00076936 transcript:CRE19648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19648 MDRRRNIRNMSVIAHVDHGKSTLTDSLVSKAGIIAQSKAGEARFTDTRKDEQERCITIKSTAISLFFELDKKDLDFVQGEHQCETIEVNGKPEKFNGFLINLIDSPGHVDFSSEVTAALRVTDGAMVVVDCVSGVCVQTETVLRQAIAERIKPILFMNKMDRALLELQLGAEEMYQTFRRIVENVNVIIATYLDDDGPMGPVMVDPSIGNVDKLMKNLWGDRFFNPTTKKWSYTKTDDNSKRGFNQFVLEPILMVFDAIMNVKKEKIQELVKKLSIKLDYDEEDLEGKPLLKAFMRRWLPAGDTMLQMIAFHLPSPVAAQNYRMEMLYEGPHDDDAALAIKNCDPNGPLMMYISKMVPTSDKGRFYAFGRVFSGKVATGMKARIQGPNYVPGKKDDLYEKTIQRTIIMMGKYVECIEDIPCGNIAGLVGVDQYLVKGGTITTFKDAHNLRVMKFSVSPVVRVAVEAKNPADLPKLVEGLKRLAKSDPMVQCTFESSGEHIIAGAGELHLEICLKDLEEDHACIPLKISDPVVSYRETVQAESSQICLAKSANKLNRLHCSAQPMPDGLADDIEGGVINARYEFKSRAKTLSEKYNYDVTEARRIWCFGPDGTGPNLLFDVTKGVQYLNDIKDPMMAGFSWATREVSFVRRL >CRE30302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1169:2837:4040:1 gene:WBGene00076940 transcript:CRE30302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30302 MLKFSIDVLLSTSPCRSSGIFPFPDNPSLFADSSSGEDESNRKKFPCEICGKQFNAHYNLTRHMPVHTGERPFVCKVCGKAFRQASTLCRHKIIHTDSKPHKCKTCGKCFNRSSTLNTHVRIHQGFKPFVCEVCGKGFHQNGNYKNHRLTHEETKKFSCTICNRVCFFSFSENQRIDFQAFHQSYNLAFHMFTHEEHKPFTCQVCSKGFCRNFDLKKHLRKMHSTSMNPLTP >CRE10099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:911317:912813:1 gene:WBGene00076941 transcript:CRE10099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10099 MAAVTERPRPDPCAQSQYRSIFLRLDYDLFSEVFPRLLPWPVTNIFIIRVFRLLDISDNGLLTFRDLAINLSILLRGEATEKLALFYKCHLPPAFNMSDLDGLDASEESSPDRNDGEPELAMEATDLLGTPKKTACPFQEKRSYSVDIPRQSSDQELADSYRTRCSTSSAVMISGNVEERASDAESLIDMIAAKSIGSCPSETGTDRIQVADVASEESYSVVDESIEKMKQLRTKTLSSPDCTSTKLEMKMLPAMSQIQFIQLWKTFYDMLSGKETEQSVFHSLAVTGTLLLQLGETHRELQAKLEAQIADAMQDLDETLKGPEEENNTDEFEEDRKIDPIEVQRKRIGDMQTCMADDEWRVNLEQILASIMAESTLSDFFERKYSLDALIKKLLFFAFFYSSFIFSRYYKTRFSQGSIN >CRE24312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig996:5743:8682:-1 gene:WBGene00076942 transcript:CRE24312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24312 MIQPTPPPSKRQDLQGIRGLAILSVLGFHFYPFYFPNGYLGVDQFFVLSEIEKVILVDDFSDEEGEEDVELVSVPPKPSTYIGPFSKYCFLIPMAFVVTYPIEMFPFLVRPVFTCFTGLLMLVSVDDDFLSNKVLTYIGDISYSLYLIHWPIYAYTKLTFENNPYALGGGLLISMILAVIVYETYEKWYLKLSNTTCALLIVFLFLINVVLINKDAIQDMNFMNEMGGNSLNSTKETFPRLDGVTQNMTFDDATRLNKMWNKFDIEMMIEPGCIQRTPQHSRWCDYELKGDEYKIAIFGNSYTKNHHKMFVQECKNRAYNITMDSERGCEPLAASPNDHSCVKKLSEFVEFMDSSKPDYAFIFTRFFAVGDPFKDNNSSDLEHDRTFNEMKSQLNKFLPNIKKKLYILDSFPRANAAYISRVAADLKNGKKIEDISKTLLRPDGYERGRIRHAALVKECGDKCELIDYLPLFWKNSTNMYQYFDERGFSYFTSPNHLSAHGIELVRPIYNKICASL >CRE12344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig417:19570:22239:1 gene:WBGene00076950 transcript:CRE12344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12344 MCFINELITFSKVYKSLKMVSSDIENVVRCLKGATVIHIFSATDGNDTPVITDPMYMCNYDNFSRTLDPPYNNGSSSINLCDVVFLLDLDKTFTSEENYTQVQAFISDATETCMNRGVGYAVFAYPMFNETPNTETVCCNADQCKTQFGFMPYRSYVGNYSDMDPVQPVTSQFNFSYVLIDDLKKVGSTKKACLYNNYVLITNRIFNMTNQTDVVEELSKIMGVGCITFTVVVVGNPDITTEMIYQQYNAQYSYVVPNFNCLDMLKVSFDNM >CRE13601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:259953:260945:1 gene:WBGene00076951 transcript:CRE13601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13601 MLATQDCSLSSRSKIIRKCCSVFSKSFISFTALHNAFLKNGPCEFIVTGNSRSYLNLKKTWMVFTFKITDSAGKPVTDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYFENLLMYSNEQKRSTLSISGYSPDSVIDNKDDSAFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGVAYNGHYNKSPFNFQPFDLTREGLQVTVELWDVTYIIRKLRKR >CRE15233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2227:23:609:1 gene:WBGene00076952 transcript:CRE15233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15233 ARSRKEISATPDFEQQSGTAATLSLIRFLNQRFFWRIIEINCVPRDSSVPSSLFSSFNHLRRFSSRSSSPSFAHSRAHHRLICRLTTPGRLLCNRPMPRLHRSRYVTPRKYWKTSEVRMKNPSGMRIRKKNFATTRIHTTPFMGELARKRRKLDRFGSARA >CRE10891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:526596:527121:1 gene:WBGene00076953 transcript:CRE10891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10891 description:5-hydroxyisourate hydrolase [Source:UniProtKB/TrEMBL;Acc:E3M5D3] MNFSVLFVFFVGASLLSIVAPAVPTASISAHVLDISGGHPAAGIQILAFIQQNDAWTKIGAEFTQDNGRVDWVSPDFALIAGTYRLVYLTQPFYKNKGIDSFYPYVEVVFQINDPTQHYHVPLTLSPWGYSTYRGS >CRE10476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:222999:223628:1 gene:WBGene00076954 transcript:CRE10476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10476 MFVKLLKLKCNHINLRLEYNKVEMKVIFDNSEELKVDMYTDRFKVDLRYGKDHIYWWPSTLPPIDYVLSIVDVTHCKSIKKLIFPKVAVYDPEYDNTIPLLTKLSKIDEVIVEDFTSYILSPDSPLRDVLRIVFLVTSAVTISDHVRKPEYLREIFTGNFDAVSVQLLGDIDTRFPLNDLRIANAKTLKLDRVAFKVEDLNLYFKLWMK >CRE14420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1382:1552:3299:1 gene:WBGene00076962 transcript:CRE14420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14420 MLSSTGRNFTPLDGSNGTSSRRNPFVQVTSTDSCTLPDRPSLNTPPISSRVRGEKLVNYMERSPPSSSVAIIPG >CRE29422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8648:1354:2187:-1 gene:WBGene00076967 transcript:CRE29422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29422 PYADPILPAILGTDLAGEIIKVGENVNNFIVGDKVYGLAGGVRGLQGTLAEYIAVDADLLAIKPKNLSMREAAALPLVFLTAWEGLVDRAQVKKGDSVLVQGGAGGVGHMVVQLAKAKGAEVYATGKAHNRKMIEDLGAIAIDYETQSIDDYVQKHTAGQGFDIIYDTVGGNTLANSLSAIKSYGHISSCYAFSEINLAPSSLRAATLSGVFVLLPLLTGQGRAHHGAILRQLTEYVESGKIRPIVDRHTFSLENALEAHDFLESGSASIKVVIDII >CRE24679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:274799:276829:1 gene:WBGene00076972 transcript:CRE24679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24679 MDPDEVNRALGHYLNDSDSGELVVEDSTTVQVKNPEARKTGDKIEVFYSRKTTVVSPTNSDDEDADFCSDSELLPQQEGHRSRATSFAGRVRGGSDDEAMPRHTVLRYRRKKGGQWREVNAQGTPDRRKDDEDELEVDVKEDRSEQTGIVTKTYEARWKVLKYEHLPEWLQDNEFLRHGHRPPLPSVSECFKSIWSLHTETGNIWTHLIGCVAFFFLACWFLTRPDNHIQFQEKVVFSFFFAGAVVCLGLSFAFHTLSCHSVNVVKIFCKLDYMGISLLIIGSFIPWIYYGFYCRREPKITYIAMVCVLGIGAIVVSLWDKFSESKYRPVRAAVFVGMGCSGVIPTIHYIITDGVHSLFADNSFHWLLLMAFLYLLGAALYATRTPERFFPGKCDIWFQSHQLFHTCVVIAAFVHYYGISEMAFARLNEQCPVR >CRE15265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8626:1237:2387:-1 gene:WBGene00076976 transcript:CRE15265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15265 MDSFRYYMLAFQVRPTDNFLLNSFQLTCSLGDIHLTFLMQPVTLFPLPSGYCIGVLVKLIDASPHFLMVILTLLIGYQVNVLNLCFLRKHKAITKIINKYVLPENVYNAIVFFFMAYTFTYVIPFILAHLTKEEEYQIIDTNYSKLRHKFEKLSNFAIFHWYLLMVMTTHSSINCLVVIFTVPDFRAFVLFWTTAGKLQRQRRSVSFMNNSVESRRAIRVSPRSSVL >CRE11205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:449486:450433:1 gene:WBGene00076977 transcript:CRE11205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11205 MEFEQRIKAYRFVAYSAVAFSVVAVLSVCITLPMVHNYVHHVKRTMNQEVQFCRGSAKDIWSEVNQLKSIQHANRTARQAGYDAGVTGGSASAGGCDACCLPGAAGPAGTPGKPGRPGKPGAPGLPGNPGRPPQQPCDPITPPPCQPCPQGPPGPPGPPGPSGDAGGNGQPGQPGQDGQPGAPGNKGPSGPNGNPGAPGAPGQPGQDAPSEPITPGAPGPQGPAGPQGPPGQPGQPGRDGQPGAPGPKGPNGNPGQPGADGNPGAPGQSGTPGGAGEKGICPKYCAIDGGVFFEDGTRR >CRE14839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:78224:81121:1 gene:WBGene00076981 transcript:CRE14839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14839 MREDIQCLRGIAIIFVLLFHLCPNLFVNGFLGVDIFFVISGFLMAKNLTKAKLVKIHDFLLFYYMRFRRILPMYFLTVFMIVVMVHLFLGDFLWKNNNRYSLASLLMVTNQLVIHDQADYFNEFFASTSSINGFLHLWSLSLEMQFYLFVPIIFFGLQFLKHDYLKVWIPLGKLFPNFKFQLITVSLITIFGYIAFAMILDKFAFNFMFLRLWQFSAGFMALFWSKIQNSKELPKKSESEPSKCPFTKNDIVTISLCILSLCLLPSEVEVLVLRPAVTLATALIIASESQGFQVRNLTGYRYLFSFLDFEIRHLLLHRRHFLCDVLGSLACYINFPSVYLTIFIASILLHHLFEKQYLKLNMKGVFPLIFLLIAANSYLQYSIRNDTFWKNDFPAETRQIIDQNLVMYTSLWDLEARKDKCIETDIETPFPKGHLKGYCRYPRGHGNFSIMMLGNSYVLNLEEHMRAHFNYNYSDYRYMSVIANYGLYSDHTLLSQQALEFSKQQVEKHKPDVLFIVSRYMENIKDPIQVNDPLTQQINETIAFYEKFVKKLYILDAHPKYHENFLNLFLHYAVTRPDDMEVLHLDKKQADDEMRPVKRRFSKIKCNKCQFFDLSRVFLQGDKYLTYDKDTMLSYVDNSVHLTGPGVKKCEPVFQKVAREIMDSI >CRE03569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1610:6328:7279:1 gene:WBGene00076982 transcript:CRE03569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03569 MVEAGGARRHRMTLESGDHTLTLFAYRTGPFKTVLFYVLTFLTLGIFRLILHWKQKWDVKVRMVPCTFESAEYIYIVDNHNVTELQPVMRKPNVMIPSANGEMKKSAELRWFVFRKLEYIWIDNTDSEETADESDCCWKTSFDIANQIPCRSLLSVSEGNSGLSSSEISRRLEFYGRNEIVVQLRPIFVTVWYNDEYAYYASLIVVLSLASIVMDVYQIRSQEIR >CRE26897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1525:8965:9769:-1 gene:WBGene00076989 transcript:CRE26897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26897 MNIRKSDIYNQSLSRCTKKPPTQIWTHVLEYLEKEDDNVLEFLQTHLESLFANQPPSQLKIESTNSLQSSEIIDNVTDSIFSLDELETTEIKHFLTVRPNQKSVEIHSDLTGRPLKRVSKLFKVPGLAIHESGSMTSKYMDNFSGRCLLLFNANVTYSAWITLIEKWKNKTAYHKLHAVVTRVPRNVFQEFHFGELLFESNALPWDGISRPRNFMFDPRSNTQLSIEVNRLLRLVRYPTKRRRKMGFYPDNQ >CRE15193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1227:1:947:-1 gene:WBGene00076994 transcript:CRE15193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-13 description:CRE-SRD-13 protein [Source:UniProtKB/TrEMBL;Acc:E3NR22] MIDFSIIFSYAHAIIAILGMLFNVLLAYMALFQTPRVIKSYATLIVNFAITDFFACMFDFFVQQRLIPTGLTLAYVSNGYCSHFGPRTCYIAYSLMLHFLSHSLWSLLLSFSYRCYILYKPAPTRHILVFILLVIYLPSLLQWVSFLWAQDDPEEIRDILKEAFPSYNLTGHTVTGTKNILCFSALYTILHMTLPITPVYICILILRRKIISRLSFQGVNITKDTRNLHSQLLMALTYQAAIPGFYLFSVASYAIGQFGIYNHPTLEYFTF >CRE07942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1615:1056:1380:1 gene:WBGene00076995 transcript:CRE07942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07942 MNCWSAPFQAASCRRENGVATWWACEQNKVFVKTTFPSCPLACDEKFGPFFGASASWLDTHHKIVEGEHWFKMPDTVEKHDGQLVTVDGVWLK >CRE12459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3682:3622:4960:1 gene:WBGene00076997 transcript:CRE12459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12459 MFGCCKKKKTNKSLDELKKDIVIDDHEIPLDALLKRYSSSETAGISEAEAATRLKTDGPNALTPPKQTSKWVKLAGSIFGGFNFLLWCAAVASAVGYGMDLSMSVDEEVPKDNMYMAIILASVVTVTGFFDFYQDRKSGNLMDSFANMIPPKTLVVRDGTTKEIEVKDLVVGDLVRFRGGDRVPADLRVTLARGLKVDNSSLTGESEPQTRNTNFTSKNPLETKNLCLFSTSVLEGSGEGIIIRTGDRTVVGRIAALTTQVDSGPTPLAKEINHFIKIISVVAFTVGVAFFVLAVVYEYPLLKAIVFFMGIVVANVPEGIVPTVTVSLTLTAVKMRKKFCLVKKLQAVETLGSTSTICSDKTGTLTQNRMTVTHLWFDGHIKDAELLPPNEHFHGEKRYLEIDSYQKLLRCATLCS >CRE13207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1620:1602:2440:1 gene:WBGene00077002 transcript:CRE13207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13207 MSSSSKETPKPTAEGYLNKLYTDLYHLVNSVEKGSGSELTVRLRNVESDIANFKETLKAIPDIGVEEGKQRRQIAALYKQIEIKDELLESLSTFSLEENPTKSPVNSPVVEARTNENTLICKICQTVVILKNMTTEWLDEERDLPLPRQKKGIEYTQTEPVHGYFGVKDIFAFENVGFTRSSEGKRYLVCGECEQGPVGFVDPATEMNYITPNRLAELPATTTSVKN >CRE19653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:50914:52221:1 gene:WBGene00077006 transcript:CRE19653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-63 description:CRE-PQN-63 protein [Source:UniProtKB/TrEMBL;Acc:E3MD51] MRYHLATTLGLLLCLNSGAAQVEQLNPGRYYAKAALLDTSMSSSYDGAGASRTKPDGSRANGPRTRRQSSNASTRVPIPAASVSGWSDATRVSSSRATRVSTTWSSSSSTGSSRLSSTSSSTVPPEDHNPGAPQGYPQQSPLAPQGYPQQAPQQYPQQSPQAPQGYPQQAPQQYPQGPQPGTPQGYPQQGPHGPYADPALGYPQQAPQNPQGYPQQYPQQAPQAPSRIPSANTTRISPTGSSGTTRIXPKTPPNMVRLQVLSNNNKISTHLSNNFKDTHHNSHNSSPPPQQQVPSYNQPEALRQEIDQIQEGPMAPTRGNRIQFGDEAQAVFSKAVYNALGAPAPRAGEYLQPARAPGAEPAGAKDPFTYANYFIGSNKKSDLDLE >CRE25052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5126:712:1443:-1 gene:WBGene00077016 transcript:CRE25052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25052 MTLLSIQHLQKSFAESHILQGIDLDVKQGEVVVILGPSGCGKSTLLRCINGLEKIQGGTINLAGSGVLGKDLAWVDVRQKIGMVFQNYELFGHMNVIDNILLGPLKVQKRTRAEAEKVADELLKRVGLYDRKRDYPRQLSGGQKQRIAIVRSLVMQPQVILLDEITAALDPEMVREVLDVVLKLAYEGMTMLIVTHEMSFARKVADRIIFMDKGKIIEQATPEQFFEHPQTERAKAFLNMLNY >CRE22209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig871:11332:13011:1 gene:WBGene00077018 transcript:CRE22209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22209 MTEKEGDEELIEFLNSISSTPPSNFFEHLPSSDTTTVRTMNFALKSIISQLATPINLEAESMCGWLIYKQGGPQRHQKFFGFWRQMSRNVSKFNEMSLVKRLNSVLKKAENSRNSMYKIEKNSLKFLASEWLKRLFLLEKIAKSAKKCAENALGQLEIAQWINLSLVIFAVSAQIYSDVLKQTVEMERTWCPTISAIFRDIDERFPMKLSDLEVVKRLKLISENRKNLAGNSEIMRLLKFSTEKIEEANVENELRQKT >CRE07152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1494:670:5694:-1 gene:WBGene00077021 transcript:CRE07152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07152 MKLTLKKSVFRGEKHLLQKATPLFVHFLMIVSVGAYAIFGALVMRSLESKTITTIEKKTDVHRRHLNLSSSVEIQNVPPIPSELRHRRRRRHNDTLDQFSEKMSREKRAAAHIMRSRKCVISVIKKMSSLECSMDTLDEKLVKALDECYHVAVEHNTHVNHVLYENSKEEVESVGEESEEEIAEWSFMDSLLFAFTVITTIGKCWVETYMLITIVYIAIGLALTTIAIEIAADALKKLHYFGRKIENVGNVAIWFGGKKITMKALVKNLGDQFNLPTTVVKSLNLDHFVDQAIKVEEGEIETLRPPPFEPDSERFDAEFADEPESEWIRDPTPTPPPSPQPVYRLPSPKPKTPSPLPSPSITDKSMAIATPSPEESEDDEELILPSPEPSPIREPTPSPPPGEPTPQYQKQWKKSVRLRRHRLPEHQHPGASTSKQSGASPEGGGQSGTGPSTRSQSVTSVASGKTSRSATPESKKSSHPSSSSRRESGAK >CRE14083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:209525:215730:1 gene:WBGene00077022 transcript:CRE14083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14083 MPDSITNGGRPPAPPSSTSTGTTSSTSNFGTRRRLVNRIKKVDELHPAQENPTMGSHWLSGEERSRLEAVQQDWRRTRPMKFQWGTKPRRPDETSATASTSIASDVPSGSNTTSFINSSIPMTATTSTASSTISSHPTTHNHQASRIPQAIGTNGTLPPLLISPTSAATPLVSGKGGPMSPSTGSPINVAATVLQNAVSSPQHSIFDRSRLTSKIPPSTSASNDAGDKDKPQQRLGILSQVRTLTDALVNEGPRTLTGDEEKISEEERARKEAEKREEEEKAARKIDVEDDFDAQEKPIDKSKNGRFLKFDEELGRGSFKTVFRGLDTETGVAVAWCELQESKLNKTERQRFREEAEMLKDLQHPNIVRFYDYWESADLCGKRKYIVLVTELMTSGTLKMYLKRFKRINIKVLKSWCRQILKGLSFLHTRNPPVIHRDLKCDNIFITGTTGSVKIGDLEYRLNILEIREIIDRCIRVRREERSTVKQLLVDDFFTPEDLIGIRVEIKNRDADLNDLNVEIQMQLRVYDEKKRKQYRFKENEGLQFAFDIENDSADEVVQQMIEQQHIPDEDTRMITKLIKDKVDAFRRDRDHRLLEIKRAKEEEERIREEAEIKEELKLRAEAKERERLEKERLEKEAAAAAIAAANPPPIPPTPATPHSSAQQPIPPPLSTQTSTELTQQSAVPQQSVPVTMVANIPVMSPTQPQAPPVLSPTTAAPPTVPATMIHVPKPIEIPVQTVPAPTVQSNTVPPSPAPVKQEDLQTPTLAQNTVPRTISTDSSALQVNTPASIASPAPSVLSNNDTVGSVSTTTAPGYSGPQHPRRLLMDSC >CRE22885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:590223:591938:-1 gene:WBGene00077025 transcript:CRE22885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-190 description:CRE-STR-190 protein [Source:UniProtKB/TrEMBL;Acc:E3MHD2] MINRTVGQPIENISYIGTKFYNFGENGTMSFYIPAWIGVCQMWFMVVGQIGGTSIICVFGFGTLCYLRLSTTLSIVSSAANNLQKQLFYSLVLQTLIPLILMHFPITIFFLCPMLNLDTDFATAFILITITLYPAVDPLPSFLIIKTYREAVFDVFRAVLCLKNGNSQQGAVGHSMISMNSAAVVKYQKSVQRS >CRE10036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:476941:480008:1 gene:WBGene00077032 transcript:CRE10036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10036 MNCEDPQVVRNEIIKTINFYQEKFKTLTYLTLTSENGIYHKIREILLLGINIKLEILFKKDKNAFIMVVAAFFGQKYALEEVPNATGKTYKNTNFLPLCKYPLHTVLVMCFAAHSMHKLLLKNENKHGLKQDKYLKLKENLEEVSCKIINHLFSSENNGNEKARAALQEECNFSNEGTSEQNGITIYRDYLNRKFEKSKEIMAIAYKAKAMKFLSQKSCHILMEERYYSRKNIGNTLVSNENTHDQATYLSTNSQTFSCNPMFHQKDFNWRLSMKDKRYMHVISRTAYIILFAYMLCRFPIYADYGKLETRSWKDIFPLAFVISVLTAQITMTVIKFTDYMIYESSVSEKETVKKNNDYRSKVLKMKRKSWVRLLVKYYENNQLAFWRILLVVTLFILESSRFGYFTYVHRKKSTDLNVWHGGWVLVPILLELLYCSLFIIATLSSIRYLYSFHSVGFFVHMIKKMLKTVFIFTLTFLLFWIVLAVVYVSISRTFTNSENTIIHTVTSIGKFEIFGEVQDNDRLGKLDGCGNFNRTVLDFFDMEYTEASCLFRSSIIPFLVFAYIFVTGILLINLLTAQLTKEYENESENSRYYDGYLRYEQLAKIESKLFFPPPFSWIYVAFRILINIFMCGCHKPTRTSNSLLNGNVNCSCSAETIRSPYVSFFYTIWKMTVEKMEGCSWGTACETVNLVKYKKEVKEFLEKSSNEVWEKLKEIIQSYEGKELDETILTEAQNEITQLFEEEKKKERERRMVESRTGFTSNIPYADEEVDCSNPTPLPTRDL >CRE02382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:132703:133393:-1 gene:WBGene00077033 transcript:CRE02382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02382 MVIGDFNARIGERKGNEVFIGPHSMENRNDSGERLATFCEVNRVFHMNSQFIKPTHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHRMLRANVHINAKQAKFEQVKRRKPPRRVLDPTAALLATENLDSCEDQDIDKEYDTLVHVLKKAQDAAVTIPTNHSRNRLKDSTRLLLSKRRFTDRSDPNFKTLSKECRQAQTRERV >CRE30009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:390990:391964:-1 gene:WBGene00077034 transcript:CRE30009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30009 MSFSNIPSEIDRAVEDQLRRTLESIRRGADAETQLKELVNAIHNEWMSRAVQYAKQHEENSRRVKEEFERKLEAAKAENIKLRQQHSAQLHAIRSMMTNHLMFAELHPEKLIELCGSFCSVLGAMLEQHEDVEVSKKTKDDVNLKIAMILQEKNAENASTQGRNITEYLDYKLCSGNFPVSSKNLNKIDNEVRKLRNILHQIQSSIIHLTPEHWDQETANSIANLKSDLRETTGNLLQLLEETETDHKAKVDEKFILKICQ >CRE08163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:225249:226992:-1 gene:WBGene00077035 transcript:CRE08163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ile-1 description:CRE-ILE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3S2] MTSTRVLLVLLIVINVIRAQNNPVFKKFEYKHSFRAPNLAQRDGSIPFWIVSGDAIASGEQLRLAPSMRSRKGIAWNKRAFVESENFQVDIALKIGGQGRVGADGLGIWYTSQLGALGPVFGANDFWTGMGLFMDSFDNDGQKNNPQVSLMLNDGTRSYDHHTDGSQQILSSCQRDFRNKPYPVRVRIEYMKNVLTVHVDDGMQPTPRYELCMRAENIFLPRNGYFGVSAATGGLADDHDILDFSVFSLFNEQQKPVPAAEQIPQQEKQKYDEEFERQMKEYEQERSKFKEQHPDKVKEDDEYDPSKYYEDATARELRLIYESQNAIHQVMQNMDQRLAQIQQAQLSGAGAAAAVPQTGGVPPVSGGAGFSQPEKNEVITSLRDLTQSVRDMKQYVNEIFTKTYNIDQKIQQGGGGAAGGVDSSMHQKVDSLLNELRTVRATQVQTSGPGGSANCPNVSCVSSTIFMLIIIVQSAIIIGVVFVRSKQDKAKFY >CRE14404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1102:5873:6429:1 gene:WBGene00077040 transcript:CRE14404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14404 MYIRLSIFVVLLLALGTVDSKHKHKKENEPEFIKNLTNNQRSSFFAISKNPGFSFQQKDDKLEKWAEDNKLSEPYAEFRRNLSNHKEQVSKNVSAAIDRLAEAKAEVDKVDADFSLTKIQRDDKIEELKQKYPQEIPTLFYMRSLFEHSKKNETRDGPSEGRGGKRKRQ >CRE17753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1470:1358:2038:-1 gene:WBGene00077041 transcript:CRE17753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17753 MSIDDRLGVLLEMELDVERTLKNNKDMLDEVTKELESLQKLFKEPGPTRILLDDVLKNIGCDSRVWFQQLTGNQARTLLRPDNIRKVLAVFPSDSSDNITFMEEVMMDLSALMSSANNQEKTDEEIDEIESLLWRIERNLRVAQPTSSVTPKLHMLTAHLIPYLRLHRSWGHLTEQGIEHLHAVVNALHLRFASVPDPVLNATLVLKHLSNFNFLFDVGQSWFQSD >CRE30162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:29103:35135:1 gene:WBGene00077048 transcript:CRE30162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-53 description:CRE-SRD-53 protein [Source:UniProtKB/TrEMBL;Acc:E3NE11] MSCTYRNNYFESDEFLELALHSLFVFEVPIHTLGVYIIVMKTPNEMGKTKFPMLLMHLTFALNDVYVAIFALPRHVFPICSGYSMGILLSIGVPIWIQRYIGLTLFFRKMDPSDSNRFVALINAYFHIYLICGLLFQLLLLLLISKKSPANLSDLKIFLYNTTCCQILNILTAYFIQYRALPNSTTMAVLANGLCRKVGPHVCFGSYHVFVGISSSVAMSITATVVFRYSLIKNWRLRRNSLVALVLGSHIPPLIATDRYRGKSRRXXXXYRLYPISGSADTQSFQFLFCDRGGGRGAYGVPLVSVFIIRKILELTKTHSKLSNNTKRHTRTLMKPLLPAEHLLNIMTCLPALIDPFISFYFIVPYRHAILRVVGKKANSTIVDVSTAHFHHATSTLHE >CRE04987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:327940:328789:-1 gene:WBGene00077049 transcript:CRE04987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-17 description:CRE-SRH-17 protein [Source:UniProtKB/TrEMBL;Acc:E3MNC1] MDCTATERNEYFWIMHTFFTVSLPVYSVAIIALLKIKSSYFEKYKLFLIWHTLINFSIEILNSWFLNPVVHSPLPILRFTGIFSQLGFTGLFQFFVIGTMIYQTAYSISEMFLFRFRASMIDYKSKVFYTYLRANIYIYRLTITCFLTLNICTYNFALDQQESNRQNMMRNYPDSAIEITCPNVIVAAPLGDLITFYNMLIWLIIVTITLTSTFATTIYLRKNLKENSHLSETVVRMQKMLLFSLTVQVTG >CRE03570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1610:1071:5181:-1 gene:WBGene00077051 transcript:CRE03570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03570 MDFFDEVADEQKVPIALEDEETAEDPMKKLEADKKRKAREETESLLAKMATDDGGGMFEETVAKRARIEEEEENTDDRMENIVVHTIQTNNENCTHEVAIPPNSKFRQLEPKNGEPAKYYPFQLDAFQKQAILCIDNNESVLVSAHTSAGKTVVATYAIAQCLREKQRVIYTSPIKALSNQKYRELEEEFKDVGLMTGDVTINPDASCLVMTTEILRSMLYRGSEIIKEVGWVVYDEIHYMRDKERGVVWEETIILMSSNVKQAFLSATIPNAREFAQWVCSIKQQPVNVVYTDYRPTPLQHFIYPVGGEGMYEVVNVKVCLNIFLANTMNCVQGEFREDKFNDAMSGLATAGDSAGSFQKRRTNGTQGDSNVLKIIRSVATNDGLNCIVFSFSRKECESYAISLKDMDFNQAHEKGMVKSVYESAISQLSPEDQNLPQILNILPLLKRGIGVHHSGLMPILKETIEILFGEGLVKVLFATETFSMGLNMPARTVVFTSARKFDGTDNPYISTGEYIQMAGRAGRRGKDDRGTVILMVDSAMSSDDAKQIIKGATDPLNSQFRLTYNMVLNLMRVEGMAVSWIINNSFHQFQSYSKIPEIDKKCVQAEKKVASFKFSWETDMCTYLDVQNQLEKTLSCLLVMFYYSYVCDMIVAVKDDQKLDPTNPATLTPGFDLSRRKWIRVPMSTDRITAISAIRLKIPAHIESQDAQFRLDTVMTAAMKRLGGDVPLLDPITDMDIRNPEIHVLVDREKTLKSRLENHRMSNRADLEDCKKQYEVKLDAIKEFEALKAERKGLKSTLHLEELDNRKRVLRRLGYLRNDDSLELKGRVACELSASDELILTEMLLKGLFNSLDVAQTAALLSCFVFQDNCSAPKLSSELQTCLSELHVNFLYF >CRE15142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1682:10131:11374:1 gene:WBGene00077052 transcript:CRE15142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15142 MLGKQWSELLKLIQDVSAVFSITINSFLILLVLTKSPKSLGAYKWLMIYISVFEIFYSILDVVLVPQHYSLGPTFLVIVGIQHKLFGPAGLTVLNSCYWGFFGASMAVFAVHFVYRWLVVTENPLLQSFNGWKIWIWFSIPLWYGLTWVCTGYFLSAPNESTTKFIRENVKEIFELEFDEYIYLGPFLYEKMDDGSIKLHVMPFIGLGVISATIVSSIIIVLVFGYLCYDRINRLVATTSASAKFQKLQRQLFYALVIQTLIPFVLMHIPAAIMFLFVFLDIDLGVYSAVVSMTIAIYPAVDPIPTLVIVENYRKTMLSKDFQFIIVS >CRE23833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1676:8356:10900:1 gene:WBGene00077054 transcript:CRE23833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23833 MPPANGKKGKKGGATKAAAPEEDFDAILAEMALADKQTAAKEPKAGNAKAGAKKGANGTAAPEVSKASWEAEIAAMKPIDEQFPDGKYPHSKDETPYYLKGKDGRVATDRESNEEKSIGCEL >CRE08634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5579:621:3847:-1 gene:WBGene00077058 transcript:CRE08634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08634 MFRCARIQGLETAEGLLLFGRDHFYVVDGFTLLKTKEIRDLDFLSQEMHDPIVPYPATGATQPPKSSRLCSKFSYNMIREVHKRRYLLQPIALEVFSSDGRNYLLAFPKKIRDRVFDKLTSMAINLSSGGSDSLGGQKSNVAIETTGRGASLLSSLIGQQSVTQRWLNGNISNFQYLMHLNTLAGRCYNDLSQYPIFPWVLADYTSAQLDFTNISTFRDFSKPMGAQSPDRLEQFLKRFREWDDPSGETPPYMYGTHYSSAMIVVSYLVRLEPFTQQFLSLQGGHFDLADRMFHSVGDAWTSASRNNMADVKELIPEFFTLPEMFTNTNHFDLGADHWVQDVMRQRCDDCEHKFTLADRKHHCRNCGQIFCSTCSRFESHITRMNISRPVRVCRKCFLRLQQSS >CRE28004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2719:4633:4977:1 gene:WBGene00077062 transcript:CRE28004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28004 MGPLVRVSGLMNRFQYESILENHMRPFARQSIGRSFTYQQDNDPKHTSLHVRNWFNRRHVNVLPWPSQSPDLNVIEPLWEELERRLKGKFASYADQKFSQLQDAWSQIPQSTIN >CRE03563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1490:3:1383:-1 gene:WBGene00077063 transcript:CRE03563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03563 MDKNRNLQWIFLVFVISHCVVICQKLNETENLMRLRDCGNEFLPQPSQDENGVNIDYFNETVRKSFWLSWATQPNGSTVLHFKQSAAFPISNRHVFTSSQVVLTANKTWALDGLPFENCNETIDYADVPDHILKNLVVSFGGRRVEALRGRMLVCPKNNFHIMYTPLLLETEPLSFLSIPCLADDNSIEFKQDAEVHAYGLEGLIMTHRKVKIETKIYHKTWVHTFPRYKYADSRGGPLVLNVSGKATVIGLNAAGSDEYTENYYYNMVVLQDKICEYSGVCFVKNFTEALAKLSATEAATNEGSRRRRALTK >CRE08622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3219:23:541:1 gene:WBGene00077064 transcript:CRE08622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08622 IPPINRNFQNGCVKEAKRVDFRSAQFDYQQDCTGLKHFSSSTATFIGTLLGNLVLGYLSDTIGRRPVYIFSICLGVPAVILSAAINGVMNFYIFRFIVGFAVAGTLTVGWTYASEMITPSRRFRLRTFPNWVSLVLLHICDMPLNNGRINGNKRSS >CRE18329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1203:142:852:1 gene:WBGene00077068 transcript:CRE18329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18329 description:CRE-RPS-23 protein [Source:UniProtKB/TrEMBL;Acc:E3MT28] MGKPKGLCTARKLKTHRQEQRWNDKRYKKAHIGTRWKSNPFGGASHAKGIVLEKIGVEAKQPNSAIRKCVRVQLIKNGKKITAFVPNDGCLNFVEENDEVLVSGFGRSGHAVGDIPGVRFKIVKVANTSLIALFKGKKERPRS >CRE12356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig457:20205:20711:-1 gene:WBGene00077082 transcript:CRE12356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12356 MAAKLLRNVPEPNFLEKKSISFQMFQFLSYHSEYFRALFSSNFKEGQMDEIPIGDVSYEDFALLLSTFYPNQVFPCDETVEKLLELARRFLVSSVINIIEYHLLNNSKINSEKLLWMADEYVMPKLLEMCIRGLDTTAKAKKLKQSDEYGSLSDSTKAKVLDRLMDLI >CRE14436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2022:3312:6232:-1 gene:WBGene00077085 transcript:CRE14436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14436 MVYSPTYVPFVISYAACITIFAIFLATLFPGSPFFAKFVGLLLMAAGEFGFGNVYQGITFFQLSVRFFGKIENDGFAVDAICLACMTVNCILMMALSIYLDTFFFSYTQEPLKFYFLIERAYWKPTPRAPKVDQFFIQQILTPREEKPEEKEKKPEDGKSTDKVDKAPSVKKEPVVKGPPKKPNLPLVILHGICKRINNQWKVNQVSLTIRLGEVTTFYGNHGCGSEEILSIISGRMNPEYGEVMMEKSQRPLVISMAFDVPIVNYFTVETYLEFVSRMRGVSMQSGTLKEMLKELDLVKVEDRSLDLLSTTQRERLRIAAAFAGEPDIVLIDWPTKESLPDWKYMILRFIEKRKEKRSIIISSYDAEETETISDKVVLLSEGFVVLNGSCERIQQSYVFNISLSLKYLLSRIESVFEIRLWPVNIFTDDQITGMIDALTLGDPRMRNEVKFFETPNGKIRITLPVIFRRSISLILQELEAVAAQYQIAFIEINKPNIHDVYTNSVYEPRQYEPLANYEQLKEYYSKQERTSRTIHFFKNMVRILKDKQFLFQAVAISVLFFALALLTLISFYGTKQHFTHEISFESHDFPITIYCDDCYKEESEQVTFVKKSGSQQLADNQAVVYWKKKSALSDLELVSVSRGDDTFTMIIQNYVMSLMVSKLTGKYPQIKTTLENVKFRASSTKSGFSTVFNDVNKNQAAMILSESYALIYMLGVFQTFVYTLSAILPLRLVSVNMRLNSHLFPWPRYLYFGLLFAANIAVFLVLSIILIVITLITGFFSTSTASCFFSLLEHGSCPMYPH >CRE16843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:180462:182000:1 gene:WBGene00077088 transcript:CRE16843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16843 MSIEPYALPIFAFMFSFQSNSIHSLHFYQMLLRSENKKHKSSIFLCLTYPRLIEFPRNYTYFHYTFWCFFFLFTYCSFGFFFTSDMENPPSWPLFAFYGISFPSFPLYVLVLVCLLKSRRNIAKTYHSTFYTILLQHCIADIIIMIFYTTTWGLRTKPGIRDFLFKYQEYYIAAALYNSIYYTLYIRCTGIVFLSVHRFLVISAPTHRITAIVQEAKTWQIITFYWTVPTLISIIVLKETDVHYNSMEDLEYVVSKDVLSRNTLMALITLSSTCMICVICYVVMFIIIRKHKTGNQKTLQREFFLAFQVLALLCAFFIMFTYYALVNYFSRTQNTGPIFYMRAIYPIANGILSYINPYCVLLLNRDFSKQFRAMLKCGRDKQNNRRISTLHSGISNPALRTSSSQISQNI >CRE10227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:692165:692925:-1 gene:WBGene00077091 transcript:CRE10227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10227 MSETVSLKRPRSTSNNAEEPDEKYVAHAASQQMMMNPNMANMARGVQPVGFPLMQYCHMIQQMQNQQMEYPMGMIRKEKEDAQSASTTPASSRRQTMSKPSMGPRPIRVPHQSLFNPLQFGLMPHQLAPPVEESVKSPSPAAAAPPTTRPQSPHHIYNIPSFQRADEEIYSKFVESVRVLAAKLAGEKS >CRE15848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2146:351:4705:-1 gene:WBGene00077092 transcript:CRE15848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15848 MSSLYLCRDCSGAFHSLDELQSHERDEHEMVMEADPDMDDDKIGDENDEMTMIKVKIEDSDSLSDTDSSHISMNPTTPSEKSSGDKARYECEDCHEMFAVKRELATHMRIHSGEQPHSCTQCGKEFGTRQLLKKHWMWHTGERSHVCPHCNKAFFQKGHLTQHLMIHSGGRPHECPQCHKTFIFKFDLNRHMKIHQERGFSCLQCGRSFLKQVMLDEHHLKCKGKPSSPIRSLLTPTMKAGLENAFAVKQEQIVLSPETIAKMAQKLLIQQQENQRSAFKTLLVKQQENILNNNNNNETNILKNEDIKVGGFEIPAPTLSINLTCMLCKSQFNNQSSFTLHMYMQHFTNQNPHLSIDSTLLNLPHHHHSHPTISMGNEPTPLGSDSDPATDTSCASSPQKTSPLQLMESSCLEQSSVSPSSSSGASPQPTISESSTTSSCKDCTNSWQRVHDLEQQVLKKDEEFENYRQMTRQLISNVSNFLTTTATPENMFMMNAANVFTQIKNTL >CRE07163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1694:739:1628:1 gene:WBGene00077094 transcript:CRE07163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07163 MSGHQVQQCLQRAGGKYVDSAKKDIVGALSQFKDLAPGTDTFMFPDGKRRTAFRLKGTIPVYYKGACYNIPVTVYLWDTHPYYAPICYVNPTATMVIKAKISCFPVSGGISISWQHESLCPMYGLGVVVNSATLANAR >CRE19587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1229:11935:12947:-1 gene:WBGene00077096 transcript:CRE19587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19587 MFELVPKTILGFILLVASTIGLFLNITVVSPVFQLAFSKDKSSIYVISSVNIVNDIAHLLITTFYLAPTIIMNSFITTEERNGQLTVFISLHLHGLMVYWEYYSDCDGDQTVLSYSYFQIGNLTNYTDQSDIPLNAISSIIPVVCYSWIFYTIRSASKNITPNMETGNQRKRGRQELSYAMQFCLISMFYTFSWIMFRIFPIIFTGRQIEWFILTSLCHVFNCSANAFVYIVFNQEVS >CRE15824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1226:696:1061:1 gene:WBGene00077103 transcript:CRE15824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15824 MSILRRLNHRNVVKLIEIFNLPSKGKVYMIFEYCIGSIQQIIDLEPAKRLTIGESHAIFIEMCHGLSYLHSKRISHKDIKPGNLLISIDMVVKICDFGVAEQIGFFQVFISAAFLSRKNGF >CRE24689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:28213:29733:-1 gene:WBGene00077111 transcript:CRE24689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24689 MVGQVAARQAAGLIVKMIQEGKIAGRALLVTGEPGAGKTALAIAISKELGIDTPFVSIVASEIYSSEINKTEALTQAFRRALGLQIKEETEVLEGEVISLEIDRAASGLGPKVGKLTMRTTDMETIYDLGSKMVDACLKEKVVPGDVIQVDKASGRVTRLGRSFNRSHDYDAMGPKVKLVQCPDGEIQKRRETVHTVCLHDIDVINSRTQGYVALFSGDTGEIKAEVRDQINKKVLEWREEGKAKFVPGVLFIDEAHMLDIECFSFLNRAIEGELSPLIIMATNRYASHLTLSSSNILNLILFRLIEKVRGTDVESAHGIPSDFLDRMLIIHASPYTQEDTTKILSIRCEEEGVKLDKSALDLLVKLQSATSLRYCIHLIAAAEVIRTRRKAEQVTTDHISQAYRLFFDTKRSEKMLTETQGYLH >CRE23804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1077:98:2080:-1 gene:WBGene00077115 transcript:CRE23804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23804 MLGKVTGTVAIAGIAFLAGKYSNDDVSLFRNGKMDTNVPMNQPILPVTTTGATIKPSELNADALGPSRSSEIMKHGYPGFTNVRTYEDFVLSYDYKTKTAHWVCEHLTPERLKHAVGVDRKLCEFKPDVTLPQ >CRE10067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:677190:678925:1 gene:WBGene00077116 transcript:CRE10067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10067 MYKMFLLFLVFLCIPKSILASVSSGSCQDKSPYCNPNDCKVRPGYAMVYCRKTCGNCVDFCEDSKFITCSSERKKDCDEMLSDYCPKLCGKCYSKSKPDAKRIKTIPVTQFFKNPATSTTTTTTRSPSVNASTISPKNSLRFQIRLKQPRMLPNGTFINPPLPKYEKLDDTTFTIPEHPTVIDYPIAHMEELIPEPQRIWPEPEPVRIQPINVYSPNTLQTNSEYFTSYRYENINSSSPWSQSLGFTSSLDTSRRAPQNYYSSYSQYPQYPDEKMNSIAPSSPPATPFENPMQLVEPFLAPGQPDLSPKSMSSLINLLGCKDKDDMICKHVTADTCLSRPGYYLKLCPVTCKNCSGYQCIDSIKIDCAEVKAQGACKLSVASEYCPRTCEYCNPPSDLAQTMSDCKDELNTCEQLAESGACQHDFSKSALRLYCAKSCGFCKIPQFYFSDSPLMASVVSTRKLMKNSMNRRDRFLG >CRE06002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:11135:13792:1 gene:WBGene00077118 transcript:CRE06002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-77 description:CRE-STR-77 protein [Source:UniProtKB/TrEMBL;Acc:E3N6F1] MVLSFEQVSDISYTIAVIFCVSCNILLLYLVYTKSPKHVGTYKHLMTFFAINGILHSIFDFITKPIFIMLEGNEYHGNILVYFVLNKRFPSKWWAVLATEIYGFFLAVIFVIFAVHFIFRYWTITKNPKIRFFNFPYFIFWILLSYYFGVEYSAILHIEFSERPDKTEFIRQKMQDDFGHSMNEVTYMAARFVKYSEEEGVLRVDWRQIAFYFAAVKTMILSFIILIYCGIAITKSIRRDLKALASKERLKLELNLFMALIVQTVIPLLMIYCPFLLMWNFPIFLGIETARLTGIGVALYPGIDPLAVIFIVANYRRALLNPFRRLVLRQNVSYTVREISSTSRSGLGAKVGPTN >CRE10728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig819:113:538:1 gene:WBGene00077125 transcript:CRE10728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10728 LTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKDLHREDIRKMSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE02799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5801:1441:1674:-1 gene:WBGene00077127 transcript:CRE02799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02799 MEPVEMDDWEYWGDEYLEDEPTYAIRPGTRVVKVERLVGSLQLFCLDKKFYLNLEYHLQTRKFEVYILNGSDEGQIK >CRE02662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:53035:53992:-1 gene:WBGene00077130 transcript:CRE02662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02662 MNGFNVSLKLTTVDGGVIDQYNFRVDTTDSQGIYVYTSLRPGTLATTISIAWATNIPPPNLSTTTEFTGTTPTPGPTTTGESICLSVSLFIRMSIIAPKLPADCDEVEDKTSGIQTIYPDGSSPVNVYCDQKTSGAYTVIQSRGTTTNITFDIPYANYSDWFGESGIGKNFWMGLDNMNALSRSAMAVNLY >CRE10218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:595896:596772:-1 gene:WBGene00077131 transcript:CRE10218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cogc-8 description:CRE-COGC-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M702] MDPDFNFQGGDDIRSMGLEEMRRQKVLLASELKAIDAQISDLAFNNYGTYADAGRATHDCSKTFGEMRDKTVDLSVQADELTTAFQEFRVKAKQLSDEQELVKKALDKSNPIWELLTLPSRMDICIRAGYYDLAYTLTNYGMQLQQQTQLCRNPLIKKVADHLVEARSYLLEELFNKFAGPLDLAESIKVVNNVRKMPYLTANQLRIAVLQHRDIYLEKQILDISV >CRE10809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:22487:23702:1 gene:WBGene00077134 transcript:CRE10809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10809 MFGYTGIAVTDPTRQAEIYRALESLKKDELGWKKSVESFVGPNKPSAEEQFLLLQVIEDFLNKRYSSATQQDVLVIRNFLLHYIKGFQDNSSTSHEMFLTNKMAHIFSLVFAMDFPERWSTFFNDLFFNNSITDTNISSFYLKVLLAIDTEVVNRDIQRSKNESERNIKIKDAMREICMNEVAKSWLTIANSSKEESIQCLVLRNIAAYVDWIELDLVANDYVMPFIISKLQDSATSEDATSAVCGLMQKGMPAEKKVGLALTVMTVLRNNGLLTVNDNNDEDEVTRVGSLVNTLGLVLLDVQNK >CRE02782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2401:3560:4556:1 gene:WBGene00077140 transcript:CRE02782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02782 MNTSDLTYLYSCSAHSKNPEKAGDEFDSKVEKIYNALKNSSIKRQEDLAYNPNGELTQIDIWGPEDAEKVLIMIHGGYWLIGNRKKCLAVVPVAQKLGFTVVSVGYDYANKYHPLSKTIEEALDGVQKRKENCHWWAFCRSSFSISRRVPRESVPIFNIFSAVTKLKDPRIRGVFLSSGIYKIQELVHTSYGQDLGLTSEDAETCSCDYDLFKTIQFPILLTNCKIESPKLYQQNLEISHLVPNIQYKEYANEDHFTILTELINENSPVHTDFFNFLHSV >CRE16549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1505:6502:9610:-1 gene:WBGene00077141 transcript:CRE16549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16549 MVKKEDNKIGLIGATSYIVGSIIGSGIFIAPKGIVEHAGSVGLSLIIWVFCALLNMITAIVSVNFSSSILTFWFLSGCLSICDRKAFQNYIELGTSIPESGADLAYIGYMGWTPIAFSLLWLSLLIQSSSSAAVLYLTFGKYIVQALDPIICFSPADADNASKLFGFGLLCEFQRLHKPPPETMSFRVYSFLIIIIFFLISISHADQHVFTEQIRIKSSNYINVLQDFCNFDYHRNRSSQIMKGSDWKPGAIVLAIYQGNWAFGGFTTLNYGSEEIQIENFRKTLPRACLGGLVISAVIYVLTFIQRTVGNGAAFAVPAVVGFLLIGTLNGDVFSWSRYMVAGSRRKMMPTCFSLIHVDNDSPRVSVFFHTFTSIIFAFLGDTDQLVDYLNVVGMLTTIFALAVLVIIKWRKMPVAADPVKYSIFWPVLNIIIMIALLVIPIQQDPISSIIGFSMFLGGVVVYFVIKFIVNNTEFLGVVDRKLTHLCQILTWTVVDLGPEEKTHL >CRE16832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:129993:132846:1 gene:WBGene00077142 transcript:CRE16832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16832 MNHTVEKSWLEELKKRVEFQEKSRLKMIEIRENFRFHFEKTTSFMKKVKLLSAATVPQLIEELSKLNLSKFVEEMASGIIETKLKLSDIPKVTELCLAVSAKYPNFSEQMAGEFKKVLPVKKSDKITNVAKLRVDIIFLAELCLCGVFNEREGLQVLGAVLSYLIQTDKVEFVNYGLLATVSRAVGYQVANIVPIPMGDDAETISVKEDELPTSSALSNDQKKTIRELFKSYYESLYSKTEKVCSARNKAMKRVKRQERSRGDAADEEKTKFNELQAELDTLRKMVNLSKAVELGCAVGIEMKPLKEEASDDEEDEAANLEMGRRLAEGAIKLWNDEETKAFYEDLIDLRQMVPKDLYKDSEQRTLSKAKMAERIEDIDVENINEAGAVDAKRTSMPRMDSEKETTPEDTHLQMLLKEAVDSSEERGVNKWQKFVLDLDHLVSKYSTDKAAEYFVSNLNNKGCRKRLVKLMIDPPPTRIDVVPFYARLIATLENVMPDLTTEIVTHLLEKFRGFLQQKQSSAAVAIKVESKMVCVMMIAELMKFGVVSRAEGLSCLRQLVYDLRGHSVEMTATFMESGGLYLYRHTESHAKMKRLLEVVKAKRDRMKDQRQAMLIDNAYFTCLPPEDSKEERLRLKLDEEDTPMKRFIRHIVLDINESNVDIFLKCIRRLDWSDPEISDYAIRYLSSTWLLPIENLQHVASAIAGLCNLPHLQWIGMAVIDSTIETIRISLENPGIFNQWAHSAAVYLAELYSFELCDEDLILKILYQLISYPEPENSWKDLHRIRMVCAVLEIVREFFSKGQARLKMRFFLSYFHRYYYTKKDAWDQEALEQLSNPERTENGAMPDVQTCM >CRE10419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:477849:478689:-1 gene:WBGene00077143 transcript:CRE10419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10419 MSQKRVFKIEHPNFRRKTDNSHVTEETVKKMEQRRDWATIRLCTWYKDHNLENPDLRLEKILLLLDEIEIVCDMHCQDFIVAKMYEFCDMSQFFYEKLCYAPCNTNIDNVDPDFFEKFRQYAKGEKTEKEDIKRLPSDFSSNWKDSL >CRE10209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:483421:488413:-1 gene:WBGene00077145 transcript:CRE10209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10209 MPAQEVQISIAEVIEVRGYGLNAPELLSVAVSASDRLPPCPKGTVFDTENVFLNSKGQIDIKTVPTSRVDRSFVPPEWAKGEEDPMAAAVYCLGAVLRAAGAEEAADVDLFSLVNILTVAMTGTRPTAHRMGQMARFVMNCCTFVNSRIDFRNQLRGRDPASMLMCVYEELMGDEETNQIDVGDLDDLDDDFLSTNGMERHDSEMTGQVVFSGNNNMKRAVEYFEDDNRDDALTSTSSGNSTQKESSPFADFDENPPVPSRVNQVNESRREDTSSPVQFLDSTLGGIGSPISQSTRFDETFIEEYSIDLDTSGKNTSQPGPKSPFDDNFTDTSASTVPTVKVKEETRRHPNPFDEEEEEDSQFGGGTLSGRDPFDEDSGNSNREKVDVREKKFHKKEQLVHRLSSSSEEIVEASIHEDEPIVMAQIPEEEKPKPKPIPAFDNAYDADFDNSPPLHHYSAVPMETGLSPLEEAQRALRANRARHKPSNVSLAEDAKLAARQRYSNASDYNETKKMKKDGEEEQEEIDETDEVPLPVPAPIIPVLRREQSEEEPKSVRPPRYRKSREIEEPVVVEKPSRFEEVEEAEEKEDIDALFEKYRKTSGTIDTKGPTPILTADEYKEPQKQVPAPVVVAQESPILKRRNSLVPSRISGRQSTRRSVTSVRSMRGKRKTRAIPEFFDLTRHQNIRLRAPRPKKKILSLHRVEDTEVVVELLNGQKVEVMCRSDVISRDIFSLIVQNMNINEHVFFGLSFLRDGEHYFIEDHQRLEKFAPSGWKSVARVGVKVPYVLHLRFKFYPQILDFIKTDVTMNELYLQCRRDILEERIQPKRDAAFELAALALQAEFGNRPPPVITDYFDIQHYLPKKYSSFEDQTRLKNILAELHGHYAGTRISEAKHKYIQICQRHPDFGAHIHRVFRTKPTSLHGSSPFDPDTGSSLWIGIMPRGISIYEQQGGAREVIAEHIWPQTQTLQFDKKRFVIVAVGTHDQQIESTFYTDHHSKSSYFVRFAASQHRWMMKMRQWKSTLRHENTISSMPDVIVEGQAIPPAPIRQSLQESPPSTPLLDSADKLFTKLSSNPEKPASRPIEMPPPAPSKLAQYDTVDEGIVCDSQAENFERVSPSTNGNVTPRAMQFDEFM >CRE03228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:351670:364897:1 gene:WBGene00077147 transcript:CRE03228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-22 description:CRE-DPY-22 protein [Source:UniProtKB/TrEMBL;Acc:E3MMG7] MPSTSEIESNEGSSEEDDAYPENGVPSWFDTHSGERILRKSNTRMGTADVYKQNKNQEEDMLTTERLKKGYQMPVTQYELQSLVFNASENKLTRLDRAQKRTQSMIASIIHKKGEYNMNYDRDRKVTKDSLPRFAVYHYKRILQDRVKFFSALSRGSPLQYLSQKIPSFKKKEFLFHEFYDYNVPFDRALWCVKLVSIIGFASKTQKKTLLDVATLELSQACGKTTNHLLHHLVKNMKEESGYAEACRMWKYKTKFMKIMFRDGVLDKQEFLNDLTEAFNIFFIRGSIFKDDILQKFMAYFISFSEDISSNLILSRRASTLLCQALGILSDTEDMRRKFEAYKAENEDEFDENGTWFAAGKPIPIKGREDVISDSTSVSSVHTEDLIDFFDELPDEPYFEDEDDERTPEERAEEIYEQREAWKEEIAKRTAERQAEIDKEKEAAAVNRRTIKQEKIDSSYDRSMGTTSHSSFMVHIKEEPMDYDEYSMAQVSYPVLLPPPPPPPVPKRFLQPYNENEPEFSWEEPTEPNVRYIRENEVTPPPAESDENKMEASSPVVEYEPIPLKPLYSLPSKKYPEGHEFSHLKQKPRPPCPIIGRYGFLTFPLWQQLGGSAIDPDNEDVMDFSNPTPPEAPPPAPPVEFVSSPSESPAPENEKEDEDSEKKDDDKEESEKSKEKKDEDKSSEDHTNDLDVPVDPASKRNSTTNSKDDENDEASKEAGSEKSPKASKADEETEATEKDKDADSAEKTDKDGEATENPPIDMSTIVIPELNLDFPDPFILAEPMELDPTYTSDNLIIPVDDDYMDVDQPEMAELPVADQEDDCMIIAVQEKKVDEDDCVVIAVVEPEKEKTPEVVEEPVSEPEKASMETPAPMETSVHMDTTALIETIPPIEHIPPMEINAPMVMPQKETTSERRISDGIVVPPMTPEEREEVKLAAKKELEKGQEIHAIAKEIRLRALRDKEWENKTGTHPGFKEYDYTTMMEPIYLMSAMVQYLACVTPESFVWNNLVIQQEPRRHKILPQLCGSPLDYLPCDLYELPVPEGNEEALDTLRLRHLEIVRRSQAAEEKWLPNGADVLQYGRIIDTCVDVIGVMDNIDVEKPDAIPNAANRLFKFREKFAKEEALLRSKLMFKWCITDEREGSFRAAYIAKLLRFGMDHGSENTIGGWQPMDLFFNFMVTEGPKPGIPMYQKQFDAIVAIMIEMMREKIFSITDIIQELEKEMDLDYNAPLMARQRKQKLPKIPKQFKKEQVQDETKLIQFTTEYTPKRLFMGRKLDLLEKMIIVLPQLDVNEDTDEFRIRRLLLFGIKPAGNYYFRRIRAIYKNIAKEFETKSYIHFNRHSKVTTLHKKLNQTRLEELLRQFRAQTYYDQHIILERLVYNYIDGIGAFLEKSSDKVPAPEIVNVICEMYEFSMDISSIFDFFEMAVPFLKSVDEMIAQLRMDILPDMYYAETGFIFVSFFMKHWQRFLLHPNACGIVNQCYHLIEDMIRADDHLITGWGRTIAVFAFHARKAITAAGLQTEPFDAKDQYFWRVFPNTQQVHMDVNCFNEEFAACKLKLKGAALRYDSYSDFKWIVHNLKPNTKKVPPSKRPNARYSFVIRAFIEARKHGRNFDRLNELANYCANVTANDPPLSEYWIGAIKGLCCLSCHDTFPFKEMGQFIDISDCSTHYSFTTFISCLAGKSAFYIPRLLAELAKHVFPLMLGTHGRLTSQKTRDVRRKVTSKTTESRNLSEAEPGVCLCLLIIAGLCCAGDEPFGLAVHYRGIEKKKKRFKNTADERILHLFHWFEMDNAMFRALGHISQLLEALQPRFRDANLILPSVFQIKNPQKILSDSHREKAPYRPQFLFNIAKTVLFTICEQDWVTLRMFRFVKSRRMEAFNQDKLKQNCLGQQILRLSLRRITERDNVQKLFEAHKISKKVTVDKVLSFMNIWNFRATLFDLTLMIKEISPDANSKYAQQGAIAADALMSEIGKCCRDMFLTAYKTNIKMPNLKTLIDFRFSDINKYWLIAPLVRMCPKPTNIPVAHTNSTIGTVAAKFLKEAAMLMDTRPTTPKDRLLQCSWAMSKIPFINMILTCLRCEKSQQSKDGFLQALYVQLQREIHRDHHKRSDWTNRPEHRDCTVLRMNLITYIYKEILKPTHVEPWGLLIFQLMYHGIINQAREKLVFETCYDMLHHMVLWTLVEGDNMNTHDRYGSIRVRWPQYAGLMKKIRKEVQDRYIDPSRRSLLRFIPIGKVQMTSVSYKKFQMKNNNSPKSSKKYISGEGLKNGRYAYLPEEKVKTNAFDHILDTPEVIQKGGWRFRNFQTTRLDKVAKPIQSVLRPLIAHKHMLEFNRPQLIMSDNMFDDVFLSPPDIEITKVVDPPTPTNEEEEESGKKKADTDKTPEKADEAKKTDEESKTDEEKKKDEKEGEKSAEEADADKDKDKETDKEKEKTAEGATKSDEVVASEAEKAKTAEAEATPTPATEAKEGDTSTPKPAPVARSSPATRGRGGGRKRNSGARAGGPRAKRANSKADAKADAAATAGWTGGNTSSSGGSGGNYHAAMRANQPPMSNGSSDETKVNIRNMLNRKQEKRNSIADANAAAAVAAAAAANAAAAAAATSNAATTSTSSASAVNPAVPGSTAPVAQTQQLPGMSTDNMPGLMGQHQQSQQGMNQPMHQQPPPTYTANGLTRLTMPTYGQFATPNPGPLNPMTHRASAAISAEDRKKIMEEQMVMKLQQQQQIIEQQRQVREAREREAREHQERMAQIEQQKQEERKRAIAESNRLIEAQRLAKEQEEQRRREEQLRIQEEARQAFLAEQRRQQEEMRRREAEERARREAEEQRIRLQREAEERERERLRQEAERQRREAEERERLRQEQERIRLEMEEKARREKEEAMRLEQERKEQEAARAREVAAAAAAAAREQEHRARLEQQHRLNQQNQYMNQQTASYGQQQPQGQPPSYQQSSYQNPTGYPQQAQQPNQPPAYQQPSHGHQQMQPAQQQHQPPAYQQGNNQMQMNMHQQQQQQQQQQQIRQQATAQPQFGNQGGMNPQAQQQAYPGYSQQLGQTQAGQQGIPQQQQQRNPFGAQPEMQQPGGAKMLMPTKPNEAHAQQYQHTQNQMALSQKEKEKQYFQAKNAQAGQATGQNPRFGDATAGNASGFGRNMSFGQQQQQPNAPDFPATAQVPPTNPTRLLPGPTVNPNPMQVQQTAMQNYQQQQPVLGQPAPMQPGGPTGQQQPAAQQQQYNRPMHTTPTPDMSVRPTQPGSMGQMQNRMGPGSGTQGYVQPGQNVSGGYPQAQQPGQTAYPQQQTNYAASNPQMSQHQQPAQNMNQPAQAQSQQQNPQQAQNPGQYARPTQEGAYSQQGYNQPAAAQNYQRPEQQQPASQNQWPAQTQQANQMRQQPAQQAQPQQQAQFGRTEQQQQQYQQAQAQTQQAQQTKNPMAPQNASYRGGFPAQQQQQGYEQQPAQAPVHQNPPIHHQQQPNPQTTPQYPQPPQQQPSQQPVHQQQQPQQQQNRYSGYAGSNASSNILNQSMEESGLNQGYTSTNTSSQQSSSSHMQQNAYGMQQNQMHQQQMTQPGQQPQQPQQQSRMSQPGMGQPGMGQSTMGQPGMVQPGMGQPGMSRSGMSGMGQPVPQQVQQTQPIQPAQQQQPQAQRGMNPMGGAQLPPYSMGQQQQQATQQQQQEHYRRMQEAQQLQLQQQQQQQRTAQAQAAQAAQAQAQAQAQAQAQAQAQQAQQNAMGIPQQPAAQQQMQGGYGNQMQQFPSLQHRAQGPQAQGMGAMGAQQQVQQPAANQYYHPQQQPDQRMQQQQQPQQPGQQQQQHGYMGQYPNQQPHQY >CRE22760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3718:79:1377:-1 gene:WBGene00077155 transcript:CRE22760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22760 MIPHIARLFELWRIAAHYRLDTLVPAEELPEKARHALALIRLHPAAWSSKERKNPLKLKEALEEMGPLAIKLGQLLSTRRDLIPPEILQQLVLLQDRVKPFEVNIAKARIEQSLKANISTIFARFDEQPLAAASIAQVHTAALHDGREVVVKVTRPDIRSQILQDFEILQWLGASLEKRLEAARAVHLSEIIQNYRQVILNELDLTLEADNTRRMRHYFTGSSMMYVPEVYMDSKDVMVAERITGVPISDIETFEKLGMDRKDLAEKGLTIFFTQVFRDNFFHADMHPGNVFVETLNPSHPRYIALDCAIMGELSKQDQMTVARMLLAVMNSDFLQLIQIVHQAGWIPPGTDQDALSREMRRTVGPMVSKPMHELDFAGILIQVMDIARRFHLEIPPQLMLLLKTLVHVEGLGTDLYPELDIWKLAKPILTEW >CRE10823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:128181:129349:1 gene:WBGene00077160 transcript:CRE10823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10823 MRDDVAKIAASTSILILSWIVLYHSCRRASTVYFFELDSTTVIFMDKVPAMILPFVCSIVLYFVYLGTKRIEESPVRQSSVDNYDDENVYGEDDITISSATTSFVQNLENGTPRTKQSGPKGRRSQLFPSLQGCSNMMMNIINVFFVEEVLSGEEVSVVSTVVRRAPMLIAPSATPGGPSKPASRKAATPRFKKPSTPVVAPSCDPDEGGPSTVRRQRSAKMNIKSMKEPSTGSKLRRPGKHDEPMPYISSFY >CRE10822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:127226:127615:1 gene:WBGene00077161 transcript:CRE10822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10822 MFLIISFLDRHIVETVDAPDRVLVIVDVIVVVIAAGIVAVTEAAIAVVRENDLVQGNDCHSQYLIKFLLSDLALHKNVIVRDRRAALAHEAVLAVVLLLHATRFSNLHNSFRNVICNSNSLEESRINDK >CRE07073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig334:40607:41074:-1 gene:WBGene00077163 transcript:CRE07073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07073 MAREEFKKKENENDPRIQLYTALERIASDRLIYCGNVYFYKKRENEHLSDTDSVIFRQDRGTDLLGDLKGESLRMMTNEIASGCELVKVVTIASKVYGTLGRRTATGEEDYFVKAKGVTLNSETAKKVNFDMVPFHTVLYVGKFHSLCSQARASR >CRE29402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2889:953:1991:1 gene:WBGene00077178 transcript:CRE29402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29402 MPHIEIFRELFQPVYRTYRSSECSWAAFYIKLINIFRYFLPILIIFLTDLYSSGHDRSIISSSYSVLNSAASPDQLSTSQILHHFSDSDSENSALYQKPNRNLNIQFQMSTQNLSINTVIYAFSLKMKLDYHSIIDSELFLTDTIQLPSFPTSQIQTTARLTVDQSVPFQSREKFRIIDRRRQDVEHYQIHSVLRRISESPISWKMERWVSCQKRTTSVFNIHVQKIKYSTVRSLSTTHTISLHLFVNF >CRE18097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:33245:38071:1 gene:WBGene00077200 transcript:CRE18097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18097 MDPNNMQNTINSGGGGAPVHHSNSFPSNSGGFGHQMAQTGAVPPQQQQQQQSGQYNHMLLNQQYLNFGFPMNYANNQPVFDFQAQQQQYMMQQQHQMHHQQHQQQNPVQQQQHPHGMFQNPQQQQMIQQMIQHQQQPQVQQVQRSQVQQRQQQPIVQPIAQHMPPSSSNQFQHQREAPPLSQQHHQQHQQAPPPQVAQPLSAHQKLFEEQCRQIERERKEQEERRKKMEAEEAKKRAEEAKKLRILEEKRIQEEQRIRREAMERERIEEMKRMEEAARLLEERRIQEEKERIEREQMQKFAEEQYKREQEALKQRSGMEERFKGVVGQPLTLVGTHFLPNFLDMIPFPYESMSDSTLPHVFDIERDGGILHSCSQQVIASICTALNNTTVDDIITRMDKLRPDDKENNDLFMDKLPPIIQKVVEHSTSALDVDSHNDLELLENEDVMMTEDITRSTSSVPQPYYHSVTTSVYQNHQNSQIPTSSTSITTPSSSTSMTTTAPETPAPISIEKRRQMMSVGKYSQLKCLKITNFDHFSMGK >CRE06397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1033615:1038701:1 gene:WBGene00077211 transcript:CRE06397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06397 MEDVFQRVVFSLVGNDLILSCGQFDTEKCLQNDPQKTFSLILMPKNGELLPVQVTIQVQQQANLRTSDKVIRISDNRIISPFAVITERTRKNIKLDGEAAKFLGFLKAQEGLYQLIVVNSAASGRYTLKISLEDSEDSPSQSVDVFVENSQSHAHFRKPKYSVEIDANTIENGLKLTQVELEGVPIDEAKIMILDGNPGWITVEEYGGKVNVGKFDGPIYSGKSSIRIGAVDKKTWTILTETVVEVEVKNGQEPEENDKNLTENVDNSRVLEATFDREKSEVFEIQLDDKNLKLDPKSMYGIDEKGRKVPLDPTSITVTPTHLQFTPESLKTLRILGLSLKNLESTQTTNVLIRLISTPDYLKTQEKLAARPIYPAPWTRENNEIHVELKEELALGQVVGVYPAVSRQNMSLVSRDIEMEGAMKDAFEFDGKTGELKIKQRIDYESLTENQKMFNLTLSSGDEGFKSVAVLMVNVLDADDNPPIVDLGSFEEIALPENSVPGTKIAEFDVSDPDKSEIFTVKLEGEGSENFRANITSNGTSILSIFIAENAKIDRELEDALAVVAIISDMSGNRASLLLPIRILDVNDNAPEFVKKMYEVEVMEDWPRGVIIHRVEATDSDAPGPSSDVKYEILKSEDVPDVISVNSSTGTIEVSGDLWGLAREVPYKYIVIAKDDGAISQNSSAILSLRVREKEDIITEEFVQFLEPKFVRAEVIIKENLPLDTKIFTAKADLRGLPTKTGGKLVYSIKDLLNYEKEPTFAIDKDSGDIFVAKEIDYENKKEYTVSFRRSFR >CRE30359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8048:1066:1767:-1 gene:WBGene00077215 transcript:CRE30359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30359 MLKQFIALSLSLAFCTAGFASVETVKAKLAQQYPNVKINNLQTTEMQGLYSGTLDSQIVYVNEDAQHLFIGSMIRLKDQHNLTKDLAVKENTIDFKALPLNDAVKTVRGNGKRQLAIFSDPNCPYCKTLEGNLAKLNDVTIYTFIYSIKAQSILPSKQVWCSANKEYAWKNLIQNGIKPTAPANCATPIERNLELGKKLGLHGTPAIIFSNGYKVMGAYPAEEIEKIWKNFGL >CRE11544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig697:7192:8994:1 gene:WBGene00077223 transcript:CRE11544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11544 MNIRGKTLHVKYAWINGKIEENVSISVDESGKIIGINKKVFDENVHLSNHLLVPGFVNTHSHAFHRHLRGKSEIGKSAADTFWKWRDNMYGLVAEVTKEKIYQYCLSTFKEMINAGITSVGEFHYVHHSEKKFDLDQSVIQAAIDSGIRIVLLQTLYERAGFDSPAVHPVQERFIASYEDFLENLEKLRKEQSHPRVQIGVAAHSARAVPFDNIKRLFEYASEERIPFHIHLEEQPKEILDCQKWIGQKQGPSDILLSEMHLNEYFTAVHATFTPAPNMIQFSKLGANVSICPCTEGYLGDGIPRINENLKISFGTDCNNRICFLEEMRWACFSQQMLNNSRSVCGLSPEKLLQCATIDGARALSLSSTAGSLEIGKYFDAVSFSLDSPLFANSLTDTLIDSLVLSAGNREIFACFRVRSG >CRE16600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:2112:8485:1 gene:WBGene00077231 transcript:CRE16600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-53 description:CRE-LGC-53 protein [Source:UniProtKB/TrEMBL;Acc:E3MAM7] MRHHWSLSFRLLCCSFCLHPLLLFYPPLPFFRFSQLPCFFISVIFGFDLFFSFFWFDTVHHHSSTIPSHQTQSSGARRPLFSSVMIISYLARIVLLLPELSSGDTDRLAEIAANQEHSIELGQMPPDRTFSYPNIPSPQHERRREGGKRKKFRKERLEDEPCTAINETLRKNLLQELFDDPYDKNNLPSANSTEVIVELTVQSITEISEFSSSFKADVWFSQIWRDPRLDFSDRNYCLKNISLASHKLPTMWSPNVCFVNSKKVEIHASPSQNILLLIFPNGTVWLNFRVSLIGPCKLDLTYFPMDRQSCNLVFESYSYNTAEVRIVWRDWDAVTIPDPDSKNLPDFELVDIKHMNATLVYTAGLWDQLEVQFTFRRLYGYYVLQAYMPTYLSVFISWIAFWIDTKALPARITLGVSSLMALTFQFGNIVKNLPRVSYVKALDIWMFGCVGFIFLSLVELAVVGFADKLEAKRRRHNRCKEQLMMRSDSEQQWLSRLSGQRPQITETNSGLARLVYFKTGDLILDATHTIQINDGNGNVRRRKSEEKRQKLLLQMESPIFVNGEKIDEISAKLFPLLFTAFNIFYWFYYIGMSGGFF >CRE16720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:48396:50665:-1 gene:WBGene00077232 transcript:CRE16720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mct-6 MTGAPVSSGGGKLVPLPPDGGWGWVVVIGSFFVHVFADGFVYSFGVLVETLMEEFQANNTMASLIISLLTGLTLGSGPLASAVCNKYGCRVTTITGAGVAFVGCALSYFATAMWHIVISVGVIMGIGFGLMYCPAIVIVTMYFENKRSLATGIAVAGAGVGTVLFAPINAFFITNFGWRSVFLAFLFVLVLCALCGATFAPLEFALVPDEEEEENNEVFEEDGKKTTANEAHGEKATLLSPPTMIERSMSQSSGVQKVGEKIRPASSMGAVDVESQPRSRRGTVGERDSGYLNRKDVFYTGSISNVAEFREDPDKFRSTGSLHGRHTTVGSIPAHSTGKLDDVREGSEEDSKALDVSEKTNGTDNTDNVEGKNMFKTISNMLSLPLLLEPTFLLFAISNLLTSVGFNSPLYFLPLHSAKIGLTSIEGSKVLSAFGVSNTIGRIVFGVVADHKLPLPAGLGEDTARNRLWMYNISLTICGLLTTFCYKFVGFIPLATYSALFGFSIASYICLTSVILVDLLGLDKLTNAFGLLLLWQGVGTVFGPPISGYLADMTDSYTLSFVFCGINLLVSGLMLFGIPILQQRRAKAKAAAIKN >CRE16644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:430051:431100:1 gene:WBGene00077234 transcript:CRE16644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16644 MEDNLILSERKENVLWVTLNRPKKFNALTRVMFLELCRIFKEAADDDEIAFVVFTGGKGKYYCAGSDFSPAELATLHEIEHHGYKLFVDVLIDFPKPVIALVNGHAVGVSVTMLGVMDAVIAIDTATFQTPFADIGVCPEACSSYTLPRIMGHQKAAALMMFSEKFTAQEAYTAGLVTKILPAATFEEDSKKVIARYATLSPFTMQVGKKLMRTTDIQDALLTVNRKEEVELNGMFSREDTIARLTAKFVKPSKI >CRE16762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:431389:435189:-1 gene:WBGene00077235 transcript:CRE16762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16762 MDDLWDNHGIHQQEQYEPKKSVDQAHSSCAEDVFKYCNEVQQSMLAMHYTHQDQLSKYSQTWGGLRPMELNEIDETGSLSAATKTIISSPAEIALSEKHDILELPKHMLGMVGCNKKGERHNTDKKEGEADNSKILLTTSFQIGAPPSAFNPSSPAARFSSNVMEEKFNELDDNGSVNSNKLQLLSQIKKKQKTRDTICKIPKIDAHQISDEEPCVLRKANNKNNLSISSDGKHVTVTGLGIQNDSKLDSKNVTDGPWVSVYEDLWMATTPKDGYMEENKQHPLDITNEPEFLSTKNNLSVLVCNLDYSVPNELERHNFIDKMEPLIQPNLFPIQDNQQPNAQNLPFARLELKSSIKQNRKSIDSRKSVRFADFCKDDVDDIKTHDSNAAAAISPSSISARFELDSASLLDIPELDTQFSDYVSEIADEKEDSFDGQENPMDFDRCFEEIDRVNKVGGIKVDEKYLELSDHNCKTGSTAETEETFNSTATTAEQMAPLLHCNRDSLCFSGPLIVEDFAQTSVKDNTDDLHFSSPLIMEHAQTEVKDNTNYLCFSGPLIREHFEQAEVKEFVQTDAEDFERMEEEDAGQIEDENKIDLDSLFKLIKDDISKFAANIIRKKLENPKLRAIEEPKLLRENTSLTFLFGDTMEGLAKDYCAPVVEFKKLYFGEEEFAVTKLVKTAWKKKKSATTMYYRQFLNYFWAVTTFSDMRDVKSIEALTEKEAAFFYMAVFLYYSHGQLNNDLSLIIFELTLHLATSEVFGKLSGMRAQKFIIKHTKSNCPETKSPYSSVTRKSYHAVKSWRRFTDMSGFSPGLKCFKWLCRIVTDEKIGPINNARDRLIEYHIKLREEEFDTEKKCIVDDIRRGYFDQPTTCAFPAPDNVDRPTNSFSSRNIKRRSNNEDLIGSTQKKTKRSSNVDGSEATRPSISSEQPQNMDPVKIKVSVKTDTGVIFIRNCHIAMSQKPKKDPPKTPTFAKLNAEIEKFNLEH >CRE16645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:436817:438069:1 gene:WBGene00077237 transcript:CRE16645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16645 MLSSTCTAAVRGLSTTAQLSKINNVTVIGAGLMGSGIAQVSANAKLNVTVVDSNQSALDKAQKGITSSLQRVAKKKHADDAAAQTALVSSVLDRIKMSTNVSDSVKDADLVIEAIVENIDIKRKLFAEVEAAAKPTTLITTNTSSLRLADIGLNLKDKTRFGGLHFFNPVPMMKLLEVVRHNDTSDATFEQLIEYGKTVGKTTVACKDTPGFIVNRLLVPYMFEALRLYERGDASMPDIDVAMKLGAGYPMGPFELSDYVGLDTCKFIMDGWHKQYPDEVAFKPSPLLDSLVDAGKTGRKSGEGFYKYK >CRE16763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:438613:440530:-1 gene:WBGene00077238 transcript:CRE16763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tbb-4 description:CRE-TBB-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MAW7] MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGAYNGDSDLQLERINVYYNEASGGKYVPRACLVDLEPGTMDSVRAGPFGQLFRPDNFVFGQSGAGNNWAKGHYTEGAELVDNVLDVVRKEAESCDCLQGFQMTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETFCIDNEALYDICFRTLKLTTPTYGDLNHLVSMTMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRSLTVPELTQQMFDAKNMMAACDPRHGRYLTVAAMFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGVKMAATFVGNSTAIQELFKRISEQFTDFKVKIYSNMISAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQEATADDEGEFDEHDQDVE >CRE16722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:61131:62483:-1 gene:WBGene00077239 transcript:CRE16722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16722 MSSFFDCVVSLDADSPLNDSISDENENHVVDLTLEAYRTDDGQPFILPVVREALLEYAKSPYGCHDYLPILGHVLFRDSAMKLVLGEDSRAIKENRAAGIQCLSGTGTLRSGAEFLVQVLHLDTVYISNPTCHTQFRIFEKSGFKSIKTYSYLDQMSQSIDVTQMIRDLEIAPEKSVVVLSACAHNPTGLDPSRSQWKEIFQVIKRRNLFPFFTVFDQGLASGDLDDDAWAVRYFVKMDLEMFIAQSMTRTFGLYSSLVGNLTVVARTSTFMSQIASQFTCVNVSKFSNPPAHGACIVKSILTNQDLKIQWKNSLKTIYERLKDVRDKLISWFEKIKTPGHWIHLKQQKGLFLSTGLNSIQITYLLNNYGVRILDSGCLSLSGLNTQNVEYVARAIDAAVRQLQ >CRE16723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:64160:65859:-1 gene:WBGene00077240 transcript:CRE16723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-got-1.2 description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:E3MAN8] MHLIIVFRSVDIHTHSSLFVFSCYIQHRLRLNFNFPLKIILFCFRYPLNMSFFDGIPVAPPIEVFHKNALYLNETAPVKVNLTIGAYRTEEGQPWVLPVVHETEVEIANDTTLNHEYLPVLGHEGFRKAATELVLGENSPAIKEGRSFGVQCLSGTGALRAGAEFLASVCNMKTAYVSNPTWGNHKLVFKKAGFTAVNDYTFWDYDNKRVHIEKLLADLEAAPEKSVIILHGCAHNPTGMDPTQDQWKSICEVIKRKNLFTFFDIAYQGFASGNPDADAWAIRYFVDQGMEMVVSQSFAKNFGLYNERVGNLTVVVNNPAVIAGFQSQMSLVIRANWSNPPAHGARIVHKVLTTPARREQWNQAIQSMSSRIKEMRAALLNKLTELQTPGTWGHITQQIGMFSYTGLTAAQVDHLIAEHKVFLLSDGRINICGLNTKNVDYVAKAIDETVRAVKSNI >CRE16724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:74307:77569:-1 gene:WBGene00077241 transcript:CRE16724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-4 description:CRE-MEC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MAP0] MFRKHKSSRLEHIPKMTLLPESPSCALNTKTWYSMHTESLKKHSNAHNHCRQSPNLVSAVSIFFILAFLSFHSNSTIAFISRMSWMQNLKNYQHLRDPSEYMSQVYGDPLAYLQESTKFVTEREYYEDYGYGECFNSSESEVQCELITGEFDPKLLPYDKRLAWHFKEFCYKTSAHGIPMIGEAPNVYYRAVWVMLFLGCMIMLYLNAQSVLDKYNRNEKIVDIQLKFDTAPFPAITLCNLNPYKASLATSVDLVKRTLSAFDGAMGKAGGNKEHEEDKEVVTEPPTTPAPTTKPSRRRGKRDLSGAFFEPGFARCLCGSQGSSEMEEKDDEKEEEMRETTTRKSFDINEADEEWDGVEEYDNEHYENYDVETTTGMNMMEECQSERTKFDEPTGFDDRCICAFDRSTHDAWPCFLNGTWETTECDTCNEHAFCTKDNKTAKGHRSPCICAPSRFCVAYNGKTPPIEIWTYLQGGTPTEDPNFLEAMGFQGMTDEVAIVTKAKENIMFAMATLSMQDRERLSTTKRELVHKCSFNGKACDIEADFLTHIDPVFGSCFTFNHNRTVNLTSIRAGPMYGLRMLVYVNASDYMPTTEATGVRLTIHDKEDFPFPDTFGYSAPTGYVSSFGLRLRKMSRLPAPYGDCVPDGKTSDYIYSNYEYSVEGCYRSCFQQLVLKECRCGDPRFPVPENARHCDAADPVARKCLDARMNDLGGLHGSFRCRCQQPCRQSIYSVTYSPAKWPSLSLQIQLGSCNGTAVECNKHYKENGAMVEVFYEQLNFEMLTESEAYGFVNLLADFGGQLGLWCGISFLTCCEFVFLFFETAYMSAEHNYSLYKKKKAEKAKKVASGSF >CRE16725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:77934:83225:-1 gene:WBGene00077242 transcript:CRE16725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cas-1 description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:E3MAP1] MSAQEDFLKRLENVTIRLEAISGQKPALAPKPTNLGASSGSTNEYFYSSSFCFSSSTMDSLYYSAPRPFNKYPRGYRPVTDSTQDLRSFGGGLRPSSSWSSFPQQLVSDQRSATLPRFPSSCVSPASPISPISPIDRNTHYWTAEEPPRSRRPIRSESNSTVFDNNFSPRELSPLSISSEYCNNPPPVQSVSMYGHRSVQSPVLAYQDPPPRGYDNVPRPPVYEPQTHTVPTQRETDFLRNLQRQKAEAHNMSSSWYAGDQSSHQPDWSPLSTQQHPNSSLPANQQPRRLAKTQSDLSFDSLNNTYFNNTSTPNTPTSNNGGLLHNSINNFSTGTNGAQGHRMDGFFRNRVIEPNWMTDPPPPKSFREDYIIRNVPMNTTVNSDFERFRLEPTYREPNRATSVVTEPSNFRSYHRDGPIQSSDQQVQPQSQPPQQPQPSHFDNPYQRSSNHIQHREPSPVVSPPIISYAHQPNPFSFPNPINQVNYNQEESLLRAGAGGGYQDRRVAQGSTDQVYQNVPTVAAAAVAFREKERPASQYLESNRNSAVFPNEPIRLTNDRVLRIHPHQPEQDLYHHQMPEEPYYPPPQPVQQSQRARIQSPLRDYARLTSPIREVAPQPSQPVHVQPQQQPNPDRIFSPVESKVFNRSGPTVINGFTSNRHTYNSHTDDYDARNRRDSSQPPVAIIEPNINVHMPSDPIPYNKPHFGHGHGHTHNHHHGHNVHPVKPTSHYSNPTFSPNSNYENDNDSDLDIEEMARRALPKYLRDSDKTGRHQNLYGENLHGILIKNPSLHRSSSKKVVFIDQDKASAATAGSGEVPPHVRAYDDAIEEPLSNWSKLTDQLGGDLAAVKPKVLAIFDSVRNYLWTAAGKPEPSGEEAQKMLSPIVNLLGEINNFKETKRKTPQWNHLNSIAEALPALGWLTVKKTPAPHVKEYIEAAQFYINPILREFKDSDPRHVEWTKAWKSIFEEMQKFVRQVHTTGLVWNSAPGSVPDSSSAAPSAPPAPRAPGGPGGPPPPPPPPPADFLANIAPPPVDTDKANRDALFAALNQGENVTSRLKKVTSDMQTHKNPGLRGTALVPAAPQQGGASSGAPAKAPAAPAKKPPHKELENGKQWIVEYFVNDPNIVIDVADKKQTVYIFRCENSVIKVNGKANSITLDGCKKTSVVFDALVAQCETVNCQSVQIQTLGELPTLSIQKTDGCQVYLSKAAQACEIVTSKSSEMNISLQTTEDGDYSEFPVPEQFKTTFVNGKLVTVVSDIA >CRE16726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:85280:87503:-1 gene:WBGene00077243 transcript:CRE16726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sto-5 description:CRE-STO-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MAP2] MERSPGEERRGMHKMSATERRQRIMRRIHTLQSEDTGYSNEADSRRSSTASVKDEASAPPSASINPNLLFVPDIRSLGLDRGEVPPHKRDAIEKIPMRARSQSWLIRTRHLLHEEREPPPLISHMMLIFSFLLILLSFPWCLFFCVKVVKEYQRAVIFRLGRLIKGGTKGPGLFFVLPCIDTMKIVDLRVLSFDVPPQEILSRDSVTVSVEAVIYFRVSNPVISVTNVNDAQFSTRLLAQTTLRNVLGTKTLSEMLSERDAIASITEKVLDEGTDPWGVKVERVEIKDIRLPHQLMRSMAAEAEAVRKARAAIIAAQGEKDASACLQTAADTIAQNKMTIQLRYLQTLTKISAERNNTIVMPYPIEVAKHFMKKWHNKT >CRE16608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:93291:94872:1 gene:WBGene00077245 transcript:CRE16608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dyla-1 MQTSCELYFLNFQLSESTISKTIKLVACLAIILFSSKRLHYFQIAMTFEGPKFESSLTSTIFGSAETPSNIFLHVNPAEETSTKQEGETNVTFESIVESAEDDHMESEHQLQLILDCILPPRVYEQNGKLWKQQAALHPATRLDMINLEEKLESELKDRGAKPFGICPIRRDLYGQFFDELIRQVSVSCAERGLLLVRVRDEIRMTFATYQNVLESAIAYGVRKALFIENEQTRATTEWKVQKEKNKELLLKIAQLEKKLATDKIVSEEELEIVEQRMKDTNERLVEANRILKNQLQSILQMDDMSHVKVGDTLAQ >CRE16727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:94931:95866:-1 gene:WBGene00077246 transcript:CRE16727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16727 MLLELLCFLSVLSGGHFQSFEGSGEENLIDEYWLQRLIEQIQIPHYLTQKAYQLAENSKKEVDEEELLTLDTSVPDYAKLIFTEQIIDFENDDGLVNATEKLMSVVYFAFRPFGCDQDNIWPSVYNLTRDNLDCLAFTFLQKVYDKSEFTRKYLARAIHFGITSNAPIDHRIHEMLVYQYLLPTLDRVWFNSMKIQFKNSFWNEQEFSKSPTYEDSSFLCGSIPFTDELQGKINYYYTNM >CRE16609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:108487:109412:1 gene:WBGene00077247 transcript:CRE16609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16609 MAYEKVAGRAEIISVHEGHLLKTTTKEEVDSYLRMSESLANVAPRCCYIITNGKLVEMKKCIVCIQNSSKISQLMTSHSNGEFLILKDEGYEMVNPRIMDLKLGTRTHSDYITKEKKENHIRKCKATTSEKLGLRLSGAAFVGSGDSFATKWDKAFGKSLKSDQFFKAMKNFFDVDERQKTEVLRQLLKIRVVLEDSTSHRFFGSSLLILIDDEGQETVKVKLIDFASMARSETGQQQYDGVDTGAILGVNTLIKFLTK >CRE16601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:13944:14438:1 gene:WBGene00077248 transcript:CRE16601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16601 MEEASSSAGSSGGAGSGIPNLPSTSEALLAQAASSLEPGKVSLLHNQLQFIFGMRMFATYLSSYCGRSLNDDVPPHFF >CRE16730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:112251:114338:-1 gene:WBGene00077250 transcript:CRE16730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aakg-2 MSSFKDIHHQRISHMTASKSTTMTESDEVLPKTPNDKEAFARLLWINQCYEAMPSSSKMVVFDQGLLMHKAFNGLLAQSTRHVLLSDPESGGKLDGILSVTDFIKVMLKIYRERAKVGEKEPTELDMTQIANEEIGNMNIRQYRELIKKDGNLRPLVSVDASSSLLDAACILAENRVHRIPVIDTHDGSALFILTHKRILKFLWLYGKHLAPLEYLHKSPKELGIGTWSGIRVVFPDTQLVDCLDILLNKGVSGLPVVERETFKVVDMYSRFDAVGIALENRLDITVKEALAFKSQGGPMKNDERVVSVRDTESFWKAVNVLVDHNVHRLCAVNEQGGIEGVISLSDVINFMVVQPGSHLRSVTPPKKHWARHHTGDMNDKELREILLTNAANFEQESNSSSASGSALPSNQNSYSKHPPHH >CRE16610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:116867:119798:1 gene:WBGene00077251 transcript:CRE16610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16610 MDLYPMNLSDEEIDVLHSDEEELMHATEGDEQYMHAENVYMDEEGAYIYEDEIQYRYEDEETYDNNPDYNSQENPEFIDEHYLNTDIVYVEQKKAEENYHLLENPDIIESHSQDDRLKKFPEMNILPAFHRLMKFEKNGKPIRKEPPKHRKRLNPKESSVNALLVANKIYPGTGVERRAPEPFVSKIHILFNKMTSFSSQVPLINKKLKSDSALSKKFKVKMTPAMKKLYRPKPRLFPEPRDAYLIPTDEMTPLPDRYFQKRLTLTAEQVGFNSDCVACETCNFVWRQKALHESHKSKHDPNEEPKDIMSKNVGLLCPMPHCLTRCDSIATVVKHMKTIHNILDIAFERIIFKNFIEFKLWKTELERLTMSKFSRSSGKQNVFSKSTYYQCRLSGKRNCGSINTRKRDSKKIGRTCTAFFQIRENDDGTVLLRGCTKHSGHGRDIQALPITEDIKMEIAHKLIEGMDEVQIVEQMREESDPSDRRYYLQNYEVRNVFNKIDSYKLEFKRRMTSGEKIPNLGETYRSPDDRHGVLFPLIKAKLARSKYPVTANPEEIALAEALEVQSGDFPQGILIEEPNAVFEPLEEEMQSGVDESMSSEPLDSEPLAPDDVIKETLLPEEPNPVVKPKRSKRSLQKLLNTEGIGKREEVNVETNVKTTTAQSTPETSEARTKRVSPIGRNTARKQAAKRRKIEQEPIRYESTDTEYEEDTCQASTSNVLPTRISSRLQQKRAKLQEEEAAVVLEEFPSLSSTGDSV >CRE16731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:119934:121884:-1 gene:WBGene00077252 transcript:CRE16731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16731 MTKYYPEVLFHDLILENVLKFGKREALIHNDRVITFDQIPNLVSKMISKLLELGVGSDQTVLVCMPNSIWYPIMFLACAKIGAILSGISPQSTANELKFFMAESGSKFFFTDETVAKDNAWILSNVTVEILPEDIIVYMEPIVEIEHLNIETKDIDSYLLAPFSSGTTGLPKCCLLTHRNFLASTFSLKKFLFDELLAQSNMKTLAFLPFHHASGFWALLLCLLEGCTTVIMSDFHPIIMMDLVEKYQVIDTINIVPSIANIFIKMGLLQGRCPSLRTILCGSSGLTKDRCKRLLAIFPQVKHFIQGYGMTELVVLSCVTPIDDNFEHLGSCGHILPGFDAKLHRHSTGETELLLKSDAVMKFYRNGTPNLDEDGYLHTGDIANEKDGFFNIVDRMKDLIKLNGYQVSPTEIVSSHFNRKYLSHLVQENVILTVPKVAEVAVVGIEDELCGQLPKAFIVLEKGADELLFRKHLDHTMKEKLSAVKQLRGGVSIIHEMPKSASGKVQKNKLVYY >CRE16611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:123880:126630:1 gene:WBGene00077253 transcript:CRE16611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-otpl-8 description:CRE-OTPL-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MAQ1] MLMVDEQLKIGASQMHSPSHNCIVIADDMEKGGSDSDSIPSSPTHLHEIYRRPWIHEPRATNFFVRLITSLYALIITIISLVVEVSPTWRTDMWRAETIFYIAMYGVGILFFAYCYIFIIYPGPYNYLISVLRRYKIIKNSEDWFIMESQHNGEGAGTLYLRLGALFFGSVGIVLFGLELFLCIENATCKKVTIAKMIVAIIFTFIQMHFIFCNSKVCLVNKSVIMSLLQITVNSSQKIVAFGMMHLISVNLWTWFRFVLAKQEAKAHKKAQLKQTFRKYYSSSSSSSEEIHDLINAVLNSTINNSPETKTIEPAVSRLFALEHFGDVATFLTTCIVEYSLIGAAIMFILWKSIGQNSHHQSNSGKRKVKMRIDCSSSSTGLFAGIIFLIGSLVSMGMYTIFETLRNSSGAQLVFGIVDLSLFSIALGACVIGLWRMRLLQYRLHAHGEVIDEILLIIGLIGEILYCAVGIDVFITCRRSADLTVSALPAFVFVIRMIQVVVQAAFILTTSRLRCLSKYSIKYKPGKEIITFLLVSNVTLFVFHTFEGMKSSFGFSSKAATQYNYIIYAVGPLLVFYRFHSSACLAEIWKHTYSTKSNEYDHEHTMSLSDSNLTAMTPISDMKHADVPSPIGHHLKH >CRE16732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:127344:132569:-1 gene:WBGene00077254 transcript:CRE16732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16732 MRWCTLRHLFSISTIILLVDAGLECDPTSNVKKPDPGSPESYLYCNLEGSFSKRKCASGKIFNSETGECDSLMQADDPIDDIFSQPFFQAPDDLCGEGIPLTILSAPVTCNPSISSCPDGYSCQFYERTGSSYCCQNPTPTAKSSTDKINCGEGRVTYIEMATGKPRSCVLANDNSCPAGFGCTLVAGTTTRCCAKDMGCAINSAPQLRGSNPIECSPTDGSACKSGYVCSKSQYLNKFICCSNPDGEIMGSCPGGETPLENTQCSATKPCPNGFSCNDKRCCPYASVCPAGAPLNNGPMKCSENAPCPEGHSCISNAGIQYCCPAKEKVCSLPRNGGVQCASSMKSSNRYYFDIATATCRSFKFTQCGGNANNFGSLEECEGFCVDTQCPNGQAHRVGAVNANCALAQADTCPNQHFCQLPLFGPSPICCPTPELTCNEMVSAGTPCFGRGLTIQRYYFNPSTQKCQPFHYYGCNGNGNNFESIDQCQNFCLHSADNACDGLVPLKNPNSELQRCSEDDPCPAGYECNDSAFCCPTSENACNANMSRGNGCKGSTQRSMWFYDKSKKKCSQFVYNGCGGTANRFTNKVACTESCVQSSAFGLCPRGMNPFTEDGEITPKTCTLNVRATCPSGSSCVKSSTNQPICCKAVTACPNNRIPYNIPGTNSVVACSIERDDCPEEFQCLESATAPGFHMCCSGPLPSSQRPVRPIPPPPAPKTRRPYEPDSFAELLSNISPCPPQLFSSGQSCTVNQIGDCPKNHICFRDVGFKQGACCRTTPPKCSQKGYVPVFLDRTQVQVCQVDIDGCPEDSKCMTSSVAKLAICCQKYQPPTPNNRPANRGVNQGSAANNNNNQNNNKGAVCANGELPFRGLDNKFQECNFVHNTCPSGYQCEFSSTGQAVCCTNNNVIRCPSGSSVFEYGGRPLACPAGSNKCPQGYSCMPSTNPQHHLCCSSGSGMGLSQPQCLRGVAYVNPATNQRQFCSPMRADCPAGYTCFESDQSSQFICCTHGDLSDRFQGYCPPRQIPYISRDGFPPTCHMQLSPCPTTAPYVCIYSPEKQDSYCCAPIDTAVHVVNPDRGFASPAKNVDLLETPEAPNSNVPGMTQTFPGGPPAPGMGPVAMPDPIPGVDNTRILPPALAQAEMEKKIREQFAKQAENGNKFGNFDNSQESGHTGNNGNFGSFGNNNNNFNLGGGAVPGFNNQASQSGCPLGSRPLIGFNQQITECSQQPCPDGFSCVFAEKDNRFQCCSSVSIMLAVNKPVNKQTTPSPNGQIECPPGFFNMDGKCLKILFAGQKGCISDDQCSAREANSTCDNGYCICPTDKPLVHGGKCIANCPEGFANIAGRCYDPTTVIFMDSVDERKNGTIGGYCLETLIEEKRCLVQNSYCSEKTVTCTCQIGYELEMNFEDKDDKGKCKKNSDSKYHDLKATTPTPFDDELYFIDISSMNHESPLNDTGVESEDLNKYLFQSDEMIPVFA >CRE16733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:134030:135972:-1 gene:WBGene00077255 transcript:CRE16733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16733 MSEKKSPFLSLFRRKKRVTEEMPQSEVLSPVKKNDKAKTGKENKGIVNSNTMPADMDGGKKNKKNKSTKNGKDFGKSLSMDVLLEDEAAALVEALETFGDEKPTVARSIPKHARVVKKEDNSMKNCLFEKEVYDTMLSDSLRVCEMLQSHLDDCIVSVRAKSPEPTSSYSLDGRDSGRGASSPSSTMSSTASPGTRRVAKETHM >CRE16612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:147922:150770:1 gene:WBGene00077256 transcript:CRE16612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16612 MKKEFGSFATGLPPDIDGLQHIEGERKKFEEYSESVEIINEMAEKIETSKDRCRKLHEKSRELSEKITKKRAEYKEEYGILQTLKNNLSDSLLAGVETFQRISSCKAKISVIKFEFKELTEKKKNVGVELNTAKRELAIMEGEIIMDRKVMLAMNAVFWKVGTLYLLHEFICIIFQYGSPISVNMLKQNPAFGDIFSPQKEPSITVISNNLLSATESSDSDKMNVNEEGSTAVKAIIEMPDILDNIFLVEKSPTKPVILSSAPIQMEKQRESDQFRHIQLQAADHQKCSENLSRDDVVDNIASLPSETFAEETNEDYEAVQTKQNKSTNISSDDPKDLNLHVISPEEESRSLIAPTTTKSIDSQSSISSILTTVIVENPSYSNPGTSPRKQSRDVADNSLVFSLAENVQVALTEQKNDEEVLKLSKKSNPAKIITTLPATKESCTTKPTNPIENTTKKIINPLVKPCAGIWPNKKPPLPAFINFLSSYDQFSIWSRWTSVTVQETTAKEGIEIIEPSEPIVSVPSAVLPSAVKSFVPIENTMKRGRGRPRKNSVVHATTVKRRSKNNSLPTDFENGVDINEIKLEDMQTITSAKVTNKDNAELPTNNRRESLSRKAKTLPKSYVDLAEIYDDDFQLSPTSSETEILELFCCKKSVSTIIKNETARKRGRPRKMPIVTAVTEKLPEFVISTNQDHHAPIEPPTSKRSRNDSHVLSNSTKEIVVVLQQGRYAFDHDSTQPVEHSEYTMTQVDEKSGEIVLENNVTKV >CRE16736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:154322:156581:-1 gene:WBGene00077257 transcript:CRE16736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16736 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3MAQ7] MLLFLLPLLFTDSYSLKTVTTSYGKVRGITDYTGGSNHKYSFKSVPFAKPPVGDLRFALPQKPDSWDGVLDGSKYSAACLSNSSLTSTPQKFISEDCLYINIFTSENCLINKCPVIVYYHGGSFSLDSATMFSDRFIFERYVENGIVFVIPAYRLGVFGQFYLGDGDIVPTNLIVHDAIEALHYVHQEISNFGGDKNDVTLMGHSSGAQLVNALGFSHYVDPEQKLFQKFIVLSAHELYGFPEFKIANSFEVAKLVNCFSENKKEVVNCLRGKNALDILQAQKSMEEEEHHLFRSLIQAPPLMDFGEKLAEVKKNAPKGRKMLCGVTEHEFEKFRYTYFRIQGRFLDFENPVEVIMTYHDNFANRTRNMLNSDSSSVIVSAATYSKALINAGGEVYLFETRQKPYSMHVSDMQYIIGIHREKTHTKDMDILDSFYSKMLVNFTKYGEPSPMWEKLDPGRMNFLALEVDEEHGIWPKMEDGFHEELINFWLTDMMELDSNITEQKRENGKLVNITLSNFTTQKPINWTTQNWNIITFPNLNNITTPLPENLSTQFHSNTTSNESTFTTTHSSFEPFTPESFPIYNQWWFYGILVFIVIIVSIVILMILNKSRSEESRPLLA >CRE16737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:156783:159065:-1 gene:WBGene00077258 transcript:CRE16737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16737 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3MAQ8] MFVLLLVFCVLPQVPGLKVVQTSYGRVRGITEWSYDKNHKYIFKSVPFAKPPLGNLRFAPPQKPESWSGILDASKYSAACMSNSTQTSTPQSYVSEDCLYINIFTSEKCLKNKCPVIVYFHGGAFNLDSATMFPDKFILDRYVTEDVIFAIPAFRLGVFGQLYFGPSAVLTENLLMFDAVQAISYVHNEISNFGGDVNQVTVMGHSSGGTLVDALGFSKLIDPEVKMFQQMIVLSAPGMFGFYDLVVENSFVFAEKLGCYTGAKVDRPNANIAEIVNCLRKIDGHEILRMQRQMEEVDGLNFRSIIRGAPFMELNGKLADLKKETSPRNLVYGTTEHEFRTIKYRNPYISGCFLDFENPVAVSRHFDDMLMDHIDDWINGDTAGIFVSAATYAAAMSNAGANVYLFETRQKPYSFHVSDMQYFIGIHREVWHFKDMDILDTFYSKMLVNFTKTGVPSPKWPVLDPTKMNYLELKVDTKNKSGPVMLDGFHDQEMEFWFGEMTEFDKNVTKIVIINVCIGLTRNSFQRKAQGLQPESHEVSSQLQTEESPQSPILPVTSAFGENTLPSKITTVQTEKEYKKTAGSILSQWWFYLVVALVVMIIVLVIISLVRSKREEETPLLR >CRE16602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:21801:24873:1 gene:WBGene00077259 transcript:CRE16602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hda-4 description:CRE-HDA-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MAM9] MDLIGHFQRAQQELSVQHMHNLYAALQQQQQLQNLQAERSVVNPLLISQQSEDQNSGPVAPLSLANSLTNLLSTSNGNLSVPQTPTKEHHPAAPSSNRKCDIPRSNSTTISQLTKDRLKNMIANRSKGESNSQSNLTSHTNGNSHDNGKRLKNSNSQMNVSSPHFEPYRLPNNYSNAHTLQQASEFQLRKVNSEPNLKMKIRAKLLSKGNSPVQHIQQNNSQFSFTHPQLKRSDSETSQNVPLDFMQSSSQANIPHLMLPSPSLPNLAAAGAFNGLSLPIGQDLNVNAFMAVANLSPFLSLPSLLNKKLELGGINDEGGEYEFACNPYYSFNISDFLDRNSLMGSSSTSSLASNASLGSNQYQSLLKQQIRDLVLRRKSLVREDPEAEGLAELYNGFLPQAKLQQLQALAAETGLIPRPEPMCSTGLAYDPSMVKHECTCGNNASHVENGGRIQSIWSKLIEHGHVQRCEKVTAKKASLEQLQLVHSQTYTTFFAVSPTACLKIDANSLPLKRFLQLPCGGIGVDSDTYFNDASTQTAARLAAGTLIELSSQVAEGRLKNGFACIRPPGHHAEHEQAMGFCFFNNVAVTAKVLQSKYPEQCAKIAIIDWDVHHGNGTQLSFEEDPNVLYVSLHRHDNGNFFPGTGSVVEIGKNNGKGFTVNIPFSGDVMKDPEYLAAWRTVVEPILSSFCPDFILVSAGFDACHGHPNALGGYEISPEMFGYMTKSLLGYANGKVVLALEGGYDLTSISASAEQCVQALIGESDDAGRLSSAALETLPNQSALETLQKVIAIHKGYWPALHGQEATICTTEMQWRNLKLQVQMQQQQLQQSS >CRE16738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:159608:160437:-1 gene:WBGene00077260 transcript:CRE16738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16738 MRSLATTLIVISSICLLKQVSSEIDEKQVVADENSLSDETKALSHHHHHHRIRHGKVHRKRQPVCVNGKPVEGVCECELDFVGKHCEKKKNCQSYRRYKNGSCPECLKGYKGEFCEEIICYNGTPDTHLTECNCDDPYSGKHCDELETKKIYSYYNRKVFLLGPLGAISLIPMCAMYMICEYFAKKRQVKRVGLMMEGQNINVQDRLLEKLLNNM >CRE16740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:165031:166550:-1 gene:WBGene00077261 transcript:CRE16740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-13 description:CRE-LGC-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MAR1] MIGESHLFYYDPQLYVPKYRDYLEAQENLTRDLFKTYEKDVSPVYTWFDTTKPLGYDSDAPKRWNYTLFLYSLKLVEVNEPEEQVSVVLELMEYWYDPRLSWNASDYKNVTAIFTRQEKVWSPTLTPFGANELIDFRDQDFRLVCIDNLGQIYDYLSVRVSANCRMNVANFPFDTQTCQIRFSLPIFSYLEVQIFNEVYQGIQNVSSFERMGNSEWELINLTNRVDFLSFNDRFDVELSVFEIKIARNPLYYFYMIVFPSFVINSVSIVGVFLKGADKMSKLNVGLTNIMTMTFILGVMSDKIPRTGSIPLLGIYIIINLLIMLFAICVEMLVNKLRRWATPRLRKRKSLFNAKLETFIGTPLEYTCAVALELMTCANFLIMIGFWIDDS >CRE16613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:166949:168470:1 gene:WBGene00077262 transcript:CRE16613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16613 MGVTFHESVRFNGDDMASNSGAWQNFVANLKQQRLKGQLPVVTRRYAVSITIFFALLFLGISQLIAAANKQVILIRVKYDEEFSGFIDVNVTGFIPAPVYFYYELQNTFRMHRSLSQAYCTEQLLVGNNAACDKFKNRRYSCENPKQDSSGIPLLPLFCTEKQKYYAPVGAAASIMFTDYFSLTLNNTPIAWTEDGVIDDKLREAFFQPREKNLCDAVEFRNTVKPIGWKHHVCEMGGYRNISLIKWLESTTNKNFKKLYRILDTKKHNGLKEGIYRLQVDNVCQYNPSVMNCTKHKMTKYFWILHPSWLGTKQKFLEETYLLVGLGLLALSCCLVGFQIFLMDRRITFDSDDMF >CRE16741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:168965:169810:-1 gene:WBGene00077263 transcript:CRE16741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-181 description:CRE-COL-181 protein [Source:UniProtKB/TrEMBL;Acc:E3MAR3] MTMDKKFETEKVKRFAFFGIAVSTVSTLTAIVAVPMLCLYLQSVSSSLQDEVNFCRVRATSLEGELAKLSTIRAPRKARAAEGTCCSCGVGQAGPPGPPGLDGSAGKDGSNGRPGNPGEDADGDDYKPDASQFCFDCPEAPAGPPGRPGPDGENGNAGEPGRDGLSGRPGARGGPGPRGPAGNPGSDGPAGPIGPQGGSNVVPSPAGEPGSPGAPGPQGPPGAAGRPGRDGQPGAPGEQGGNGPDGAPGKPGSDGQPGAPGKEGSRGGCDHCPPPRTAPGY >CRE16614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:170712:173362:1 gene:WBGene00077264 transcript:CRE16614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16614 MMFLIVLLIFLPLSNADYTPDWDSLDKRALPRWYDASKFGIFMHWGAYSVPALKSEWMWWKWKGTNPDPEVVEFMEKNYQPGFSYADFATQFRAEYFNASRFAEVVQKAGAKYFVFTSKHHEGYTMYPSKYSWNWNSVDVGPKRDIVGELKQAFSQTDIHFGLYFSQFEFFHPMFLEDEKKNTTTYPEVSFVFRKNNFFSNILQIISYPQMIEIVEKYEPEVIWSDGDWGKTDIYWKSKDFLAWLYNSSPVKDKVVVNDRWGVNTSGVHGGFMTYADNYDPRVILGVKWESCDMMDKYSWGHRRNMRPEEIRTSYEIINKLARTIACNGNLLLNIGPDMHGLIPPIFEERLAEVGKFLELNGKAVYGTIPWLYQNDSSTWYTSSHKFQFKPGHPSNIVNENTDFNSQKKDKTVIYAFVLDTNKDVFKFPSIKTTEETVVTFLESNTQLKNFEDNEVLKFPYNSVPWRKLHRQDVFVIRVDFAADDPTNLRRYISHMKKLRERKIVKEVNRRGY >CRE16603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:25986:27886:1 gene:WBGene00077270 transcript:CRE16603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16603 MSTHESHCSYCTNINCSFKDCLLVKCSHCHIPLHPCKLEEHIEEVCRRAPTKCTNHLNGCPIILIREKIAGHLPNCVASIVVCSRDRCRKLNTKACKLQLKHLGRKNEFLPVDEEDDLDIQLACYDQNLIAESYHFSRSNRVQQRDPIHPAHPLIPLRNMPYDMTTSPYNLDDSSEDEQREKVELVFSTIHSNINFQREKLKKSRMVFANCYMCQIDPSVQHLHTLGNGADIEKLKKRRMTPQVMDQFHEKLNLKVSIVIENAPESTLKSENIREIKKGGTFYTLKCLKTVRRSEYGDHCLSQHTQSIDQMNEMILRCPNWTRGCQFSTHRVRPKTGKLKYHPRTATLSHQPVPDAQFVQDDGSPSDRTLENLPLWCYELLAMYLPSSSLYNLSLSSRKLREVVFIGCNKRCYIESVWHPLKKGSWIQKDFAWKISSTEPPPALEWAVPVDLSHHISQCSHFDGVVYEEKLIPVFPRNLENDIWNGFSNELSEKSIRAEIQDILDE >CRE16615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:187695:189854:1 gene:WBGene00077271 transcript:CRE16615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16615 MLDYKGILTNITGFTKTNFYVALRRGGRNSTNTSVTSSGTTSSASTSRQGGQQQERGRDQEKSRRHVRLSSEEARTTTIMGREAREDGIYTEENGAGSPFVVVVSRYNLGDMNVEKEADKKKKKGRKNHELNIPKRDMFAPDFVIQPDEDLPGFTPIVSDFDSDEDPYEAEEENFRRASLTMPPPLMGCNPDIPNEYDPVIGIISIQGVPIPRSRPIDIVPNREPPFHFFGVNGDLQVMLITERSHKYEYNFRMYDVFKERVRTKGKKQMFTMMENMAMALTCGQMCGRLVPVQMMDESLFYYDYETVTFLSKEELVNLLILCEPDDIENNSVAASYPDMFYRENPEHKLERNKFYQHLMERSKIYLRRTDYMVKMNHQDFTVPFVDTVDTEQNQRLTIERQIKDNKLKDIKKLDELIESISQNLEEAERLQGLDFVRRSTLALYDARVVIPSVN >CRE16616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:192534:194937:1 gene:WBGene00077272 transcript:CRE16616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16616 MIHVKPKIIILLVIFCYHHNIVTGKRTNGILKSELDFAYLDRFCFQSDTGALEYTFKYPMVTGTCYSFSFILLYFYLFFFQFYPTQMLLLYFDTEDQWPRAYKELETCEDRVTLLTNHSDNHQIVYLSPLVPDSFGNGRCEIRQDALSADWVVCSGTRVFRSARSRWWFLAVANCDPDEAAERRMYNDNESYGVYVEFSLQMTNGRPTEVLKYQFSIDQWLILPSDTLFLALQCVLIVCVLFIGKSLSARRLYHNTFRMCSQSIVLNTIGLGFLVTNYVIYAIDGIGLPLIMISGQLIRALGEMLFMYMCLVLARGLNVTKMRLTLMDKLFLTIMFFVFICSYLLMEFWEVKYFDPAMVYAPSESLPGYLLAVWRLIAWVFFSSAAMMSRSVSPQKAPFFLNFVLMMTPWFWAPPIFILVANFLLNNWVRAEVVNIVENIVTFYGYIVFLYLSRPTDGNHNFPFHIRTTQVDIDIGFDPQTAYAENNGNNINDGMHGVMELAVTSGDDPEKDSGSDEVIEDRGVLERPQITTS >CRE16742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:195392:196260:-1 gene:WBGene00077274 transcript:CRE16742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16742 MYPAEYVKCPIRIAPSSFADEVVMNESVHIVYITKHGERSVGVQEFWVMDIPAYVDFLSTTETSRKLNRDQADQTVSVLTFEFVFQRFNRITGVQLRVSLITITLLIGSCGYLLPGMELFVLIGSFANQFVNFMTMESYLPADFDSTPPIVTIAGCLALETVFFIIWRFFSWQVRAKYDFPINTPSKYYLHESYPVLLMIVLAIDKIRPALYFFLCIVNLYNVVIYS >CRE16618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:197620:200209:1 gene:WBGene00077275 transcript:CRE16618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16618 MEQSIGEVIQKLIKEKDDAERHLSEAKMIYQNNINLVVQEREEYRMKLRIAQSEKVISDEKISNLQKLYKLSEKEVERLKKEVVQHRMHLKNYKEKVEKSGKDKEKRYKPVPVKEYDSLRNATVMSDRVGKGITALGTIAGQTTSKFFYRHVCDSLDKQQLQKFKFTAYEGFQMYHNLNFTRSQLCGLKKWLKNFNMYDPFPSLRSIKEVEERVGSKELFTVKQKELSNGNGVVKKVTYAYLNNVQQAVNDRVQQMFDSEKLEFDESTKDGIWVAILGDKGGDEVKLCIAIGNTSTPNSANNLLPIGIYNDEETAEKVLEYLGSAIEELNQLVDVEVKIGDEIVRIPVQQFLVGDMKFIYEMIGHQGASATCSCMYCYSPGRQKISNYKRGENCRPRTEIGYALDSLKSGTSRKSVKECSSFIFKRVPLERIVPSSLHIVMGLAQTYGFNIIKQLADDQDAAESTPLPKSSMKLKKEGKAEVEGAEKRVIECDTHLNSMECVHKSYENILLNKIDDSGLDDGECASKLCVYKDSAMDCATFFNANTVKCNGCQKTHHYVCAGAWTIDEVEEIQRPGSFVQCFDCVGLTPPKILESSEKLVDMLKLERIRLFEQSEQVRKKYEKRLEVWKGNGDTRKRLEKIWTSLGADISAHKQDFSGNHTLKLLDEAAVEEYCSILEHTPQLSHIKGFLINLGKFQKLCVSREMSDDEIESMEIAIDNIWTHLQQFAGHLNVTPKLHVLLEHTADFVKTHKTLARISEQCIEGIHAIVNRLKQRFRTDRDESRRVNFVFRSLLFNCHVFSTC >CRE11531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig578:959:2214:-1 gene:WBGene00077276 transcript:CRE11531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11531 MTERQDYRFYETICVAVRRCVFALLDRVTEFWETSSSKKLNEATVSRQLLLKLERIRLFEQSEQVRKKYEKRLEVWKGNGDTRKRLEKIWTSLGAYISAHKQDFSGNHTLKLLDEAAVEEYCSILEQTPQLSHIKGFLINLGKFQKLCVSREMSDDEIESMEIAIDNIWTHLQQFAGHLNVTPKLYVLLEHTADFVKTHKTLARISEQCIEGIHAIVNRLKQRFRTDRDESRRINFVFRSLLFNCHVFSTC >CRE16743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:200370:201115:-1 gene:WBGene00077277 transcript:CRE16743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16743 MSRLFIVILLLLAISPVMSANLNKHAKNEIREKLIKEILGKQNGIRPVAQPLNVSVNFSIRHVMSIDENRGLMEMNFQLAIKSIITTDINDIYKSIDERAVKVIFS >CRE16619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:213076:218690:1 gene:WBGene00077279 transcript:CRE16619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16619 MKLNDLLTTLLTLHLTSTFSLAIAPLFPFLGPCQKRCITQFGEIRERVTNTDSVYREIDVFNKTEFSLCKLGCNSPEYTELNLPAFRFGQSAYQQISLNAEDKPTRESIVKDVSILCLDNFPYMTKNSSTTINKRVLTGTVLLVLDDGVPETDHIHFVEIVARDADDEDTYIVYQDWCYSSSCNFTFNTPIETSSGLEVRLRVSTFDDNGSVGGLVLSRWYNINHILANTSVDMKLKSVIWNDDKAAARLAFDQDGSVHVPTCSLQMMYKNALSAEYKHVDFHLDRTREIIIKKLDFNQTYTMRLVPATDSNRPSSALATTKFQVPACSDMVNDMTMCAPPPVMSLTPHWNLSSTNGCGLVIEWSYFSDGFKNQTIPMMSMSHFMFFVHPLITANNEKCEKYEPIRRDVTYTHRKVVFYVPDAECNYEVEVSAFDTKQRTSEVKKIKIFPMNQPAYMSFLLAKDIPTSVGLGLLLVIVLLSLALSILFVVHKKRKNTKKIDDENYGTGERMVYAYVDATDASKTVIGVRPLNFRLEPVENINRNIEAALAQRTYGYNLHSGTRRAMCQNELYPYYQPQNPFRREPREPRIPRSTVELSRNDHTYATIMDFRSETDMSDEVFEDSSSSSHSESPSLCTLPPIAPYEFFDNFTAFPLQDFRVRPLQEPVGSQYWTMTTAMDVVRGHCYSLKIAKDYSEQTITAMRKELEFLKILPVHQNCVSSEGVVLSRWENNPYQIVGILMELCRGGSLHNYIISVGSVLRRHVIGTPEASTSGRPIENNDLPLRSYNGSPNPSSGYDSFSSKDRKTPEAQTHQAAEFSHHYGRVSIRFTQFAEQVSSALEHLHRSGIVHTRVTTISVYLLRDYTDPLDMLCDQMVKLGDFGNAARNADEVVVDQSLQPPEVALGKKYEAKGDIWQFGTCLAEMCSLGVPYQAQKQIPTSGLEEFDKLPSTAVLQDAAKRCLNPRNRPSASDLRGLFQTDTARDIKALDMATMDQMRQSLLI >CRE16620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:224534:228834:1 gene:WBGene00077281 transcript:CRE16620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-20 description:CRE-TSP-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MAS2] MEQYLKYVSPMRPVPQHRGHPPRNRRSKSCTGCCSKCQLFVSYLLVIVGILFLALSIWLYFFRGDLIPLIRSDFYIQSIYMAVVCGISNVVIGFLVHSAVSNRCVLVFYLLMLIVSMILEGCLIYFTFSYHTTYMQELEISLPNDILNNYNSDLNIAKAVNFLQKDAKCCGSNAFNDWPKPEVEDHYLPYAKTVMQRVQYVPDSCCKSTHQRKGCALSDSPNNIFYRGCLPFLKEEVYNNLNFLFTVTAASLVLHLANLLFGCCACFKTDEDEEENPFKDYDQEMHLFD >CRE16719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:29219:30663:-1 gene:WBGene00077282 transcript:CRE16719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16719 MQNDLLMLSGILTILGFVGMVLSAIAWFIQSSKAKKYQKQREQIPEEMITRDTTEAVMKNIRGIPFLIQAIQYSMETSYYRNIMNDLGDGIVRTSVIHTAPACQSILDSSDDPETSRPTPTPRPSRNSAEEQWLAHGEKWRNEQLRLSMERENPHFLVFPYSEASPHRESMNNMDALVHEFSRIDSIKRPHCFTKQQKIAHNHFQVRCIGMSAEFIERQIQ >CRE16744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:229260:230328:-1 gene:WBGene00077283 transcript:CRE16744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16744 MCCCTRLHRHQIHLISYGIVSCGLITTGLVFTVFAIFQKESQIGKVWLAGPTTMVVGLVLAGKVVIDWGPAMLHAREGSIDSRLFDQLNQPAPSRYPEPLRPQAVSQMRIEHEPKCNGSGSSPASFVKYNNNKMQSPIGYRPPMQFIHSPVSENGIPRMVQTGGRLPRSSIGCIPPRQGERHSPEQCLIYSQYNASLKQLPYHDDRCYGLPRHMNNHKNSSSPRRSPRPSIYNTYSNYSSNPSNHSGHSNHSGHSHSGEQSIALSDVSIPCHCGVHVPQPLLSSV >CRE16745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:235880:238211:-1 gene:WBGene00077284 transcript:CRE16745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ucr-2.1 description:CRE-UCR-2.1 protein [Source:UniProtKB/TrEMBL;Acc:E3MAS4] MLSRPSGVVAKRFASAAAKSAGVQERTTVLENGLRVSSVELNGATSSIVLAFRAGSRYQPANKQGLTHLIRNSVGRDAPEFPGLALVWNTAQNGGQLTAVSNRDVLAIEVNVVRDQSAVALSLLGQLGNNAFKPWDVEDVKHDTLPADATYLTGTTIAFEQLHQAAFRNGGLGLSNYSVNNVSAKDLSAFAKERLVAGEAVLVGVNVDHDTLVQAGNSQFPLGQGQAAKAAPAKYFGGEIRKEGRGNRSYVAIAGEGSSITSVKDVAVQAVVAQILLSAAQKITSEAISVNVNYQDAGLVGVQFSADNSKITEISKSIAAAIKKANANGLDSAKSAASVQVLSEAQNASGVALEKATQVLAGVEASPRDISDAIKAVTAQDVTQALSRVNGKLSLAAYGSTALVPYLDEL >CRE16621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:238910:240093:1 gene:WBGene00077285 transcript:CRE16621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmat-1 description:Nicotinamide-nucleotide adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MAS5] MRNVVILAVGSFNPPTFGHLRMLQDAKDSLQKAGMNVLEGIMSPVSDGYGKKTLISSDHRFAMVVAATQNSDWIRADSWECSKSEWTTTLNVLKHHEHDVKERFGDDVGIYLLVGGDVVETFDKFNADGSPVWKREDVEMLVSIGLVVQPRPGSDPEKTLEILGLQGGDINVHMIRNEIASNAISSTRLRAAIKEHRSIKYTTPESVIKYIKENKLYE >CRE16622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:245925:251658:1 gene:WBGene00077288 transcript:CRE16622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sma-5 description:CRE-SMA-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MAS6] MGLFNNIVDKVKSLFQAKEKDHIENMSPPQGSLWSGGYPRQNSNSPKTNNTYFTKSKGSREARKPLGEANLNIQGSMPAKKEGFNRVLDGLKKRQLQHDFKLERAAETYEPIQNIGSGAFGIVCEAVETSSDYKATIHKCHFQVAIKKVAHASATPTLARRTLREIRVLRYIDHPNIVTLRDIFRTQGPLGIDVFLVMDLMQNNLHHIIYGNEEPLDEYYINQFLGQLLRGLEYLHVASIAHRDLKPSNLLVNQDGTLRIADFGMAKFTDNSSKKHDDEEHCYYMTQHVATLPYRAPELLFVLPEHSTAVDMWAVGCIFGEMVTRNELLPGRSVQGQIKMLLTMLGHPPQHVIDEVRCDRTRKLIQDYGRKADAEWDDIMFCKARGENQIVRGNCDTIDFVKQLFQYDATRRISIQEALAHPYIQRVVEPMGSQKKCPFRVKKDMMQVEDLSHQELIEIMKQDVRSAENTYNEIHSGDSTGSHSSDTSTDTSGEYPPIVQHEQLMEDVATQISICEPSSL >CRE16746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:252866:253303:-1 gene:WBGene00077289 transcript:CRE16746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16746 MSSTYDEVITADTVEGKVQQLIAFWAARPAEEIDNDFNFKAGANQDRVDLLNASIAEALSSVFNVPTESIDVEPLSTVQDIINRVNNA >CRE16623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:253883:255096:1 gene:WBGene00077290 transcript:CRE16623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16623 MEKMETEDAPKAVDGGEGRDDGDSLDGSLWAHVTELESTNVSLKEKMKRIRGFEKFVEKSKEVVQNLQKALGDTTLAARKQDLMLAPVEKYCAELRERYGEVRCDGETQVCSHMLIEFIQIMSVIAMFYGIKTIRFWFFLPHFIFRIACIVIICVIDTWLILRATGENVNENNVALLISVISFAVAALCALYATWVEVRCAHFVKRSRETGFSISVARPVGPATISLSEPQPNAPEPPLQPIRRLPPLRHHQAQYIVNDETLPAPRNPNEAN >CRE16747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:261756:262182:-1 gene:WBGene00077291 transcript:CRE16747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16747 MFEEYITADTVDGKVQQLIGFLVQRPAEEIDNDFNFKAVDEDRAEYFNTMVAEALTSFFNVPTESTDVEPLSTVQDIVNRINNA >CRE16748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:263321:264655:-1 gene:WBGene00077294 transcript:CRE16748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16748 MANKRERGGNVVMHFQNPDGFNPNDNMYKSCCCHAKTFTIFIGIFEIFTVCFLLVAVLPDITTRVCDKLSNHTNLDFDDAFEEFSLENVTYVSAVLCNNNITCLVWAILQIMSVIAMFYGIKTIRFWFFLPHFIFRIACIVIICVIDTWLILRATGENVNENNVALLISVISFAVAALCALYATWVEVRCAHFVKRSRETGFSISVARPVGPATISLSEPQPNAPEPPLQPIRRLPPLRHHQAQYIVNDETLPAPRNPNEAN >CRE16626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:268558:269446:1 gene:WBGene00077295 transcript:CRE16626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16626 MAEKVDKYLKPRMRLYTLIRQADENDGSRVKKRTYWDKYPDLKLFRQLGKRRLISFMFFSYLFMLTASEYTRIIHYGVGVVVAQTAISVFIVLSVLFFDYGIKDCRVAMIIPFMSTVMAALSCYICMFGYIAYSVLYVPPYHYFLLGFYFYLFSITTGVAFILAKGADMLWQGFFLLVDLGADEGLLEKLRTNATWEREPIIEKGKKNKRKTGTRYLIAERRRY >CRE16627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:274318:274987:1 gene:WBGene00077296 transcript:CRE16627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-crb-3 MASNSTISGSNFVDDNKVSLIDAVSAVDSASSSGGGGLSGGAIAGIVIAVVVGVLLLGVLGFFAFKYVKDRRKNHGEYRPQFEEQHHAKDLPYLQPPNLEGLI >CRE16628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:276405:278241:1 gene:WBGene00077297 transcript:CRE16628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16628 MFTAGSPSKHQRLWQISKRYISPNEVAQALRPFLFLVHPDKYAKFPEIQTQNEKSLQIFNGYMNDLFPVSPSLKPTKVSFSIADKQSTTFRKIHINLTGTDPAKIVRDALESCELSTSELKFKNAASPGHRNTSGGLGFSTTNVEEDLLRSYLRRKKATVITNLYDSLTNQRDEAMRKRREAKTLRVSIQEDISDLKYRTQLKDVVWQMTWEESHMRRCIANVNRMIDQAIPETRIVLEKAFFKNVLRFGRGSFICCDGSIQLGADHVPEQWEQACQEYQIRKNQIPILKETAKQLEQTFGGAQILLPHYKGLAQTLTQLQTLTVRVWKKEALLKRVEESAKNSMLEIVTSYDELAIGVDGRLYIPCNVDVPSLVQFLEENAKRATEINQHMHHLMVSRFSFQISQSTLFFQNELEFARDECIKELKLTGLKWEQAFTPEALIQCINRLTHCSEEVRNLVSGLSLIISASPSIYVTSDGTLSIPLNWS >CRE16749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:279001:290561:-1 gene:WBGene00077298 transcript:CRE16749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vav-1 description:CRE-VAV-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MAT6] MPRRGTTSSNFGLSWSLVDVLSSTTAVVQVPMSSGSELWIGCARWLRDLNVLTTDKNGTIVEFASILRDGILLCRLANTLVPNAINQNDIIKAQQQTQVIIMMYFILIQCILQFTCTRNIRLFVNFCKSHFKLQDSDLFNPEKLYHMDGFQQILKTLSILSHTDESIRRGVNPFPETTTNQESSSCSPCLDFNDDEDIYQSLPKDIDSVNPDETIYGPITSAEEKQNEQVYDRIVTNRKPSMNENDLKTSSSNIKDLRVKELYDTEVNYVRSALGQLIDIFYKPLKEIISTEQFKTVFANIEPIHKFHVSLLADLEYPVNFTWGVSEEKVPRPTTLNGIEAPRTIGEVFVKYRDQFLIYGKYCSNLLDSREMINSLLNTNEKFAKLVNESAQQAGCKFSLNDLLCVPFQRITKYPLLLKELLKKCDMSSPDRKSLAEAVEVMEDVCNYINEESRDTTSKKMIDEIEKSIVDLAMPENVKLHDYGRVNFDGEVKMSESTATTYGKAKQRYVFLFDKVIVVCKTMTKMPVKEKSPSGSNLKSNTFTYKNAYIMSELNIDQNVSIDTKSGGTITRRTQYVIHMHRDRNEGSEITQISFYFKNEATRSAWMTALLLSKSNVSPTEYLRDTNHKVSFHSLRVDLEKPYTCGVCEKLMKGLRYQGYKCESCEMIMHKDCLGLKKCEAIRRSTHEHRSSHSFNISRPRLTVREGDVVTASSTVIPQDLSYLQFTKGDTIEVIKMQSHNRFTGCVSNNRNRTGLVHLDHISKPRTTSMIGLSPMESPAGSIVRIGRHESTVLPNKPLSDPSARSSDPQLSRTSRTSSTSTVNGNNENGRPQEYVNTEIAGFRWYMGEMERTKAESTLRGTPNGTFLVRYSKNRKQTAISLSYKNDVKHMIIEKNQDGKMYLDEDYIFNSTVELVQYYRDHNLIEIFQALDTCLKVPYSACKVYKAVHDYDPPSPNSDGKFLSFKTGDTVVLLDTVGEDRGWWKGQVNNKTGFFPLSYVKALESISDDNGPSTPTSSSS >CRE16750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:291569:292487:-1 gene:WBGene00077299 transcript:CRE16750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-175 description:CRE-COL-175 protein [Source:UniProtKB/TrEMBL;Acc:E3MAT7] MTFALTVSCATSVVVITASLCSVLVIMNDINKLSEDISLGMENFKDVSDVTWGNIMALHGGARQQSGSVQRDVKKLMGINLRNKRSSDSCQCEARAAACPAGPPGEKGEPGLGGLPGPDGEDGKNGAPGVALLVTHDIPGGCIKCPAGPRGPRGDAGPPGPPGNGGRPGQRGVPGEAGTPGPVGEPGDNGPQGPQGRPGQRGESGQPGTVYTPGNPGRPGPQGPRGPQGEPGKDGSKGNPGDEGRQGHPGKNGRTGAHGKDGAPGKCGKEGPIGPDAGYCQCPSRSN >CRE16751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:292740:297737:-1 gene:WBGene00077301 transcript:CRE16751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-4 description:CRE-LGC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MAT8] MVICYSCPTFCILLIIELVPCGIVGMENVENRVLFSLLDSRQTNDTDGKEEHFEIAEAKLTVPNEEASLGTISKLSALSSQQEHVPAVVPMLNFDPNRLEKALRTKGSIDGTEEALYRSLLDHTIYEKDVRPCIHHSQPTNVTFGFLLNQIVEMDERNQALTTRSWLNINWMDPRLSWNETLWSDIKAIYIPHARIWKPDIILVNNAIREYYASLVSTDVMVTSDGNVTWLFSALFRSSCPIRVRYYPFDDQQCDLKFASWSHDITEINLGLNTDKGDLSSYMNNSEFDLVDMTAVREVVRFPSDTNSDWPTIVIRIHMHRRPLFYVFNHIVPCVLISSMAVLGFLMPPETGEKINMIITTLLSMGVYLQSITESIPPTSEGVPLIGMYYVSSLLMVCLATCVNVITLNMHRNGAANQGRHVPAWMQKWILGYLATFMRMSIREPDSIALLKASQSKKSTIRRSSILRDLKRVKNMSNVRAKSKEQNANRECECMDPLVHIYAESIMSSLVADSKPMNGSTIREDFASESTFLGRVVSDGIMPRISASSNSVLTEFETRFRRILKRVYRSLQQHEIREEILDERSRIQWQWQQLASVVDRLLLCLFCTATLFTIICLLIVPVAYRDNDSIMSILNFF >CRE16630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:303654:306241:1 gene:WBGene00077303 transcript:CRE16630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pes-8 description:CRE-PES-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MAU0] MLFLRHFSLCFLFYSVRAELGVPELRVVPNGADIMSVPRGPHVPIGQYTVGRTYTFEVFLDETTQHDYVINSCFMNNDQIIGPNGCVDCGTCISKSVETESYNKPGAIKRTLVEFVARSSTIQFTCHITRYLCSGCAERSCQRYNMIPWSHFQVIGQKEEAYMYPPSGYPIGPMGAFPIPIPPNIYPTPPMGGFHMPPQAPPQMPPPVGPPGPPAAYGGGAGVWPWWVWLLIILLLLLLLCCLLALCFAAFMKRRKEKKTTEVVVVDGKDCAVGTDHVTMTCVGTDTQDIVKVQPAVVLGADSLEQHHHHQLHQQNQYSRNQAEYDQGSVMAGGSYSLREGVVHEGYTRTLPREVYAANDRYASRDRSFERHSQDKYTDRSSYRNFAYERDMGKVEPMDGFDEVETRERTYCLSDDDQELVEKNIKRTHTTRACFRYVTETREYEPEHDNEERMRGDEYHHASYTHSLPV >CRE16752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:306561:307948:-1 gene:WBGene00077304 transcript:CRE16752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16752 MRFVSAIILSTLALGVLSRRSGPAPKIVSIQINRCPTPAIYKNADEEENVSQSLSSETKLVVQSEFDETLRFEANETGTIQFFVVGRGNFSVNVQTLPSVFADLHGTYNVTERGAVISIPFKVLQGVRETPIKVTLTTKRIEKTTLIYASTKDLEPPRPPSLYTSIIFTPEEMEQVRNETQTTRESTETGAVTPPPSDTKVIESEGASGNVTNSQVTIKDVEFPSVDDVLTGDNSSLSSNTLRLDSITLNRVTANKTDRFVSETNVYFVTIKKFERGQIMFYVSGNGQKQVTVKSITEKKLPAKVMKVADDYAAFSYTDGVGYVEQDEILVKVDNDLGEVLYFYLTMTRVD >CRE16631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:309302:309821:1 gene:WBGene00077305 transcript:CRE16631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16631 MTDLNCNWEKLLKRAHSPDKETEQMFNNNEVKQSKTTITEKIQQDDTEKDIQYGYGKSYLYILPRKISIYGPHFKYGPNRRYSEEDDGDDGREEHGSDGGPCDSELDESNGKPRESEEESPSDVQIKKRHFKPREFSIKHPL >CRE16753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:310537:311505:-1 gene:WBGene00077307 transcript:CRE16753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16753 MNGDKNEGKALPRKRREYSDDMEPVGTGPIVVINQSRKMSIYGPNFNCGPIQLRKQRLLEKMKKEAEKAMSEKMQQLADQEKQQKLLEEQKKAQQAQIEKFNDENKNRMAVSLDSNNQQYQYQEYQNYQQYQEFYQYPMVEQYPPVEQYQHYDNLYANKF >CRE16754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:317086:318956:-1 gene:WBGene00077308 transcript:CRE16754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16754 MGADDGPISADQVDIRLQPLNKSGGADPADPNGVEEDAGDQIQLRVYRQRWIVLLTVALLNNTNTMSWIGYAPSGNYVNSFYGESSAAWLSMIYMLCTIPVGLFAMWAGREWGLRTAILIAGWTNGIGAIIRVISSLDFVPPEWRFPICMTGQGIAAVAYPFIMFLPTKVAGSWFPDTQRAIATTIGVMSNPLGVLMANLISPAIVKSPEHVIWLNIFTCVPSLIAMLIATFGVNRSEPKIPPTFSASKPQMDFVSGMKSCFSSKQYIILLIVMGGGIGMFNCLYTVMLELLCPSGYTNFFSGVCAALMIVGGVFGAAASGIFVDRTKLYEETLKISLGAAVIFGLIFLQLTLHQGLSVFLAITCLFFGVLGLATYPIGLELASECTFPVSEATSTGLIVLSGQIQSVIYVFIMKNFARPLQPDRMHIQKCQLTPDDLVNTPKDNTMSIMIFSLLATLLVLSLVLLFKPVYKRLEAERGNRATADKAKELSNQNKDRITLPTESAVQPLQEK >CRE16633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:328212:329408:1 gene:WBGene00077311 transcript:CRE16633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16633 MNEDNHESSSSGCDNQIEFLVSEAERRRRVNHLDTEVHELGYDPFSYCGRRVHVLLLTKLISVLTIPFYIAIVIFISFFGNATSVMFSVIILGSVVISTCYGAFRGAKMCLIPFVILQLVFLIYDLILIAILLLAVVFPHMFLSALLRLPLEDIPFGTDQVLLGCSLLLALLLAPLVWTTHVVYIDFLFISQVDETLHMLKEVNANQKVSQDEVSPNRMMF >CRE16755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:329684:332210:-1 gene:WBGene00077312 transcript:CRE16755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gly-13 description:CRE-GLY-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MAU7] MQAVTKLFVVFIFVFILWTLYVENQITARSRNTDNIDDLLESATRLERLLAYEAKKIKELTEDVHQLNARRRSGKMVMEEMVSPELKYWKDPIPVLVFSCNRAQAVRDHVQKLIKYRPSQERFPIIVSQDCDNDNVKNEVMNFGDKVQYIKHLAGDKANITIPPSHRQYTAYYRIARHYKLALNHVFVDKGYSSVIITEDDLDIAPDFFSYFSSTRYLLENDDNLWCVTAWNDNGKLENIDVNAASKLYRSDFFAGLGWMMSSKTWNELEPIWPVGFWDDWMRDPLRRKNRQCIRPEVSRTGMMSYGKEGASKGQFFSKHLIKIKVNDKYTDFGKINLDYLLPGNFAKKTHIEVKKEAIEMRIDDVASFVLKPENKGKSVRVIYDGNVDYIQKADKLHVMHDFKAGVPRTAYDGIVTCFINGIRIYLVPDRTKVPGYNPDWAVPPSFGE >CRE16756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:332731:333406:-1 gene:WBGene00077313 transcript:CRE16756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16756 MKFSTCLLFSFLIIGVVSYENKFRFAGTILCKSDKPWCIRIRVIEVDSLIDDEIAADDFCSNETTRTYDIEGVDENDGLLDRNFEIQMVVTHNCSRSTDTVFKTHIRRIPLPKGPTEHATIRQHLNLNTNNPQ >CRE16634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:334269:335006:1 gene:WBGene00077314 transcript:CRE16634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16634 MTTIAKFAIFFIVLFFVEAFNIKKPKDEVGSRFPQNKPLFMMKKSMLVGMSETTEQVCETVIMHNLQPVFGHLINGSQVEILQRSDFKFTKTYVECSRDDRPTCHGVKENMYISECVTVFEKARTMVRLVDSFGPFAMGTIKTPILCECRLRRQYREFERSDADEDE >CRE16605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:41972:46130:1 gene:WBGene00077317 transcript:CRE16605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16605 MSSQKLALCDITELNSEELTKFVPANILKPGEKNGKSWKLVKAAKRIPKHFILIFSQYTCEIVLHFTNSNIPHSWKLMESNLANSLPVMKPFNIHLQNTSEMICGTKDKKNIVLATVNFFVLLNKMFFLPVQTILIESGVFELDDQFFQTTKHMLLIHQCNILRVYGSLRTDRVEGNKKCELLLNNIIVTGEISVGRFIQEGALPLLTLKQLDILNTWQGVMSPEDVLKNAGRNGELTIESFSFTDMRQLIRLWLQSPSTDFNTLFIRAETQRIENIGVIFEDFLHKREKSQCCHVSKNDEQRNCRNRMIRFLTKTGIDFQRADQTVVTVFYSSNFPCTFAMIVRNPEVSPEKRYAELKNLSRESESKCRTLRNQMERISVFLASRVFKDKKLLADPKSVCNLLEQKRLGQFEREKTRMMYTREMRKNDLRRIEIEMYEARNTRQSFNLSVKHGKESNMVIRQVEQLRADGMGMTLWIGLNKSDVEIKCSNQDRDFCWRFMKQNNPETDRKDVFTSKDINFRIIHSSKNGISLKTRKGFSVFSMLAHACELQSLFRVRIDTIVIDEDIFDSAQKSQFLIDTLAGSGCQSCVIRLGSNDSTSFKSEKIIELLNKVKVHETLNIQVCLTEWATSTLQKMAEIPIITTRMNFSYNEILKCAGKNSTFNLNKCGPIFINQLMRYITGGLVSNIGILKLQMEEFEYKKTDVLFEKMHHRQDGKPNGPFERMTIIEQLPILIETGINICRNDGAVITVFFVPKSRDNAMKAAFVIHIEYPWNEPLIERMPAIIADFEEDLEEYEEISAEHDEILTMENILSRWQIEPAEGEEKEYRAELMTPDNEIKIKRVCEADNILEAKTELRMFAMERKLEEAHFIELVARTAEDRKRFGVLTYGVGL >CRE16635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:338489:343758:1 gene:WBGene00077318 transcript:CRE16635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tmc-2 MPKSGAHQPLVRHDTDDGAETGQSVKSLADVSEEEIDSRMSRRSSVIADLLSLFRRSSSVLVRPHTRLGNPNYDDDDDEFDEEDDKEASKDRILQKIQQKKEIIQKLRGQPWYMRRKRRTLKVAQKHLQQQEAKVSKARLYKAEAGRRLTQASRWLDNLKIYLIPWEAKIRKIESHFGSVVSSYFTFHRWVLGVNITITFIMCMFVVIPEWLADSRTTFGDDRYNKTKAIKVMPPAVRARADELSTVWDFGVRLLPVFLVVLRLLFKGNIFRRNNQISCSCCIFFLQYFHSRIFTFHYSEKIYIFLFRMAANNRRGTLSSGKTQQYLFNWKAFTGWDYTIGNPETAGNVYMANVIKFREAINDDKQKPSDKHPWIRFIARVLTNLFICSMYVFSIWAIMQCGTLKGEHFFAQNATAITISLITLVFPNIFDLLGKIEKLHPRNALRFQLGRVLVLYILNYYTLIYSLMLQLENLQREKNESDRPSTTTIAPNPNVETIARTIRDTLFPRYPVNNTPHTYYSYTPVTTTPIPSTSSWTTVLPDFGPFGVYNPKASVTKDDTVFSSPVVETHQFGPNSDWNETTVNAVSPTGASTRASLRMSQGGLCWETIIGQEITKLVTMDLYMTVASIFLIDFLRGLACRYLNLFWPWDLERTFPEYGEFKVAENVLHLVNNQGMIWLGLFFVPLLPMLNNIKLIILMYIRGWAAMTCNVPASQIFRASRSSNFFFALLILFLFLCTLPVGFVIASKTPSKSCGPFGNQSFFYSVITDVLHENLDKTLVNGIKYSLSPGIIIPVLVLLSLVIYFLIAMVTGLSQANQDLSFQLMVERTEEKKKIFELAGGKKKKSKDNTFGKQKTKPLLSPPNKGVSSDEDSQHNNRSTAKSVSGRQFVPSLGSVSEVDHSTGEEQSSDTESTTSSLPPKLSLRQRFLVCIGWADPGKYNRKHDDIEMEEGAGGGRIRDLSTASDSDSDDEDSEKKNSESRYLLPPDGSRPASRERSENASKRSSASRRTSNRDMSYRTAIQSFDQNSQSASGSSSKSTTTAPSNSEMRIEIIENPLRTYITPLRIEKKSSASSSSSSHQPSSSIEKQAARRLLQPISTTHNIRYGVATVENSSQDPTRPPSTDDSLGDPALHDPLWANLNPHSSYTSAMMSPIMNEFMSNDETTDDEKGRLIPDRPPIPHSPRELKRLRREKDQSEGGSKPSTPRPPRFRISMSPPRKPPSEKNDSDSSNRKYEMRVEKSPKKPKKSEE >CRE16757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:344056:344856:-1 gene:WBGene00077319 transcript:CRE16757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-38 description:CRE-TTR-38 protein [Source:UniProtKB/TrEMBL;Acc:E3MAV1] MELHMSSFILLCFYPLVFTFRDQIVGVRGKLSCNSRFLQGATIRLIERNYIGPDVVLAENKTNYLGAYDVVGRGRGVLEMNVFLKLYHDCDDAIIPCQRTVSLRIPSSYINRGTTVNNYFEAGTMNMAFRYPDEQRSCTDRIFT >CRE16758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:345669:348586:-1 gene:WBGene00077320 transcript:CRE16758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npr-7 MNFDSNLTDLQCEYPAIEINFQLKTLLALAYGLVGALSLVGNLAVLLIVICRKEMQTVTNIFISSVSAADLVITSFSLWATPLAYYQRVWYFGKYMCYMVSVVQGLSLMWVPLTLAAVALDRYSLVASPFRQPMTKKTCLLIIAGIWMGGFAVLSPMIRMVDFVDSYGPCHFCLESWDHDKQHYRLFYGLSVLVIRSAIPLILISLCHWRIAHILNTQTKKFQTLRSASTATHSTDIKRKQRLQTLLLAMVVIFAVSSLPLDLSNVLQDLIVVYQIRPVPDNVRHFIFFFCHWTAMAGTLLNPLVYAYYNENFRRQIQTCFGEMRGQGEFKRGLYSIVSGRYSYRETFRGDDEGNHPERQRQTTRIELANNQAADIEVLRTDL >CRE16759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:353196:353381:-1 gene:WBGene00077322 transcript:CRE16759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16759 MLEKRLNSTSVAKFNTNRAQLTNWLRTWVCMSPDNKITDTLPFAEPTYALALAICSHLHPY >CRE16636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:355611:358032:1 gene:WBGene00077323 transcript:CRE16636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16636 MDVRPYEGGRFEKIGSEEAHTIETFERRIHGTPHSEPQRQVSSKQYTERRTYGRDENGEIVVKIEKNPAEPVRPASPVRPVTPVGGENSLSRHLLQPIQPLHIPRIDIQPANSSRHASPRHKYDDVSPRSGSINMLSPRSGHSASSRSGAGSRQSNVSVNSANLPMKKVTKKSRWVSIHDGRPVSPYTEKVSFEVAIPVQPDRYSSASARSYSSSPHVSRRPSECAYLMENPLYQD >CRE16637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:360699:364302:1 gene:WBGene00077324 transcript:CRE16637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apa-2 description:CRE-APA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MAV5] MPAVKGDGMRGLAVFISDIRNCKSKEAELKRINKELANIRSKFKGDKTLDGYQKKKYVCKLLFIFLLGNDIDFGHMEAVNLLSSNKYTEKQIVSLFSKFSVAIIDFQGYLFISVLIEQQSDLMKLIVQGIRNDLTSRNPVHVNLALQCISNMGSREMVEAFCTDLPKLLVSGETIDFVKQSAALCILKLFRNSPDSFQPGEYASRIVHLLNDSHMGVVTSAASLIEALSKKWPEEYKGAVPLAISRLSRIVTATYTDLQDYTYYFVPAPWLCVKLLRLLQNYPPPDDPSNKARLLECLEGILNKAQDAPKSKKVQHSNAKNAVLFEAIALIIHMDSEPQLLVRACNQLGTFLSHRETNLRYLALESMCLLATSEFSHDAVKKHQDTIINSLKTERDVSVRQRAVDLLYAMCDRSNANQIVAEMLAYLETADYSIREEMVLKVAILAEKYATDYTWYVDVILKLIRIAGDYVSEEVWYRVIQIVVNREDVQGYAAKTVFEALQRPACHENMVKVGGYILGEFGNFIAGDERSTAKIQFELLHSKFHLCSITTRCLLLTTYIKFCNLFPEIKPLVQQVFQTDHNLRNPDAELQQRSIEYLQMTKLASSDVLATILEVMPAFAEKESSLLAKLKKSKPQLEELEREEKEKRAKPSAVMSEGSTSLVDFDSVNDTTASLADVFANNTGTGLVAQTDDVEIANKTDYTKFVTKSNAILWEDDYIQVGCKLETRNNLGRLGMFYGNKTSQPFNKFTPIITCPGALAVQLQAQAKPVEPVVAAGTQVQQLINFVCVQEFQKMPIMNIKFTFTDRAGALQNFDKNFYLPLFISKFFEPSVMTSEQFFTRWKSLGAASQEAQKIFSAQSAMETATIETKLKGFGANLLTDVDPNPDNYVCAGIIHTQTQQIGTLIRLEPNKQAKMYRLTIRSSKDTVVQTLVDLLANQF >CRE16760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:364980:368448:-1 gene:WBGene00077325 transcript:CRE16760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oga-1 description:CRE-OGA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MAV6] MEETKSDKKFNRREAILNSSYICGVVEGFYGRPWTQEQRKHLFKRQNHLGLTTYLYAPKDDMKHRSQWRLLYSNEEMTLLRSLVESARDNNVNFVYAISPGLDIVYSSDKEMDTLRKKLDQVYTTVQSVGCDSFAVLFDDIEVQMQLVDQKRFKSFAHAHVYIANKIYKYLDAKVFMFCPTEYCETRTFPTLESSPYLNTIGQCLEKDIHIMWTGPQVISRYIPVGHLARVGRVMRRKPLIWDNLHANDYDLKKIFMGPLMHRSVKMKELTSGLLLNPNGRYEANFVPIHTLSDWNAADRDLLPHESGLSEDTGNLFNIDCNTETLYIPEVSMINAATTWIDEFITPSVNNAQAPILTADVAGYVPDRRECVWLLDLPESHGVIRPEPVAPADIPTENIVQSAVPPEEPVPSELNSLAADYSQPMDMVSHLDRPQSQFGMQFQGDTGENLDDESMLSMDDDEAVPLMFSGLATTEEDIKNQRLSLLTALCEMFYLPFENGPRVKTLFRDFTWLMQNASVMKKSFKEIETLDPLQSEWLVRYDAVTEFLTNSIDAFFFITQAPNKAILTEIVPYAFEAHGCCVVLIAVARWMMQGNAMDNPENYLFDDFGSSDESWISQTGLKTDTLRVFTVMENVEQMFTTRIFLPLCMFCFDIRPFTMADKEYISGMVTVMLTNNQDLLRHRAKNFADRNITPFLNSGAQHNFVCEKVDESGHKPVCYATGHADGSAFNHYLLTYKEQLKDKYRGLIEDKTVGSARLSQEHIDFIQNSQTPIEIEDWYPRIPEHIFEKYPAWVETYFGLDSTDAYPMKKVLHVVATTLAMNGSHGYFIAISNEDVERQKYFYEIGLNDLGLSDCERFRIMGQTIRSVSRQSSSSD >CRE16761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:370897:371311:-1 gene:WBGene00077327 transcript:CRE16761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-20 description:CRE-FLP-20 protein [Source:UniProtKB/TrEMBL;Acc:E3MAV7] MMGYSQSRVTIAILLFAVLIAVCLAAPPAFPAQDLQNEDWPMLEEEALEMPGDGTDGLHEEKRAVFRMGKRAMMRFGKRAVMRFGKRSVFRLG >CRE14112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:451828:452061:1 gene:WBGene00077330 transcript:CRE14112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14112 MLLYKIICGATFFPEIHSYVRLSNSNRRPMTLICIRPQVNDFFSSTVPIWNSITSNCPEFLSPGKFISLLEQSINRL >CRE16638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:380380:386444:1 gene:WBGene00077331 transcript:CRE16638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16638 MPISYFQSDESRMSFEHRSVVRLFSNSSTTSNGSRKTSVPSAVLASAPLMSAAIGDGLGTQLFEVTGEDPTFAISYSVRDLIVGPFEHVLMKKSVRRGV >CRE16639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:387850:394909:1 gene:WBGene00077334 transcript:CRE16639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pkc-2 description:Protein kinase C [Source:UniProtKB/TrEMBL;Acc:E3MAV9] MSLRPKQKSSSTSVFLKSHKFSLTSHILRKAKKRETMDSAERRRSETDIGGGPRNSADARPSLDLSSDPMLESNLALRKLSEAFAAALISPVKNSSTVGGQQLCIRKSISNPSSLRVHTQHETPGFIHFPRFEFSHHLSIRPLLKRLHEQAQRIEGKAFVRRGALRQKNVHEIKSHKFIARFFKQPTFCSHCKDFLWGITKQGFQCQVCTLVVHKRCHEFVNFACPGADKGVDTDDPRQQHKWKVQTYSSPTFCDHCGSLLYGLIHQGMKCQSCDTNVHHRCVKNVPNMCGTDNTEKRGRIRIEAHIVNDQLTIKILEAKNLIPMDPNGLSDPYVKCKLIPEDSGCKSKQKTKTLRATLNPQWNETFTYKLLPGDKDRRLSIEVWDWDRTSRNDFMGSLSFGISELMKEAALGWYKLLSAEEGEFYNINITPEYDEDMEKVRKKMNDNFKISRDSSGGKPRDTTPRSTASSLSNANRDVIKASDFNFLTVLGKGSFGKVLLGEQKTTKELFAIKVLKKDVIIQDDDVECTMTEKRVLALPEKPPFLVALHSCFQTMDRLYFVMEFVNGGDLMYQIQQVGKFKEPVAVFYAAEIAVGLFFLHSKGIIYRDLKLDNVMLERDGHIKVLCLNRSRKTKICLFQITDFGMCKENIFGDATTKTFCGTPDYIAPEIILYQPYGKSVDWWAYGVLLFEMLAGQPPFDGEDEDELFTAITEHNVSYPKSLSKEAVSLCKALLIKNPSKRLGCTGDDELACRDIKEHPFFRRIDWYKIETRQIQPPFKPKLKTDRSTENFDHNFLKLPTKMTPPDWEILENLKGDEFSNFSFVNPYYVKDKSADDTSNFDSEFTHEVPKLTPIDRLFLMNLDQTEFEGFSFVNPEYVQEC >CRE16640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:396047:397301:1 gene:WBGene00077339 transcript:CRE16640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16640 MDEDALEQNTPLMSLRQIKACLHLLMGTLQLRCEQQNSGGDPRTESICARVFLKKRLRDLPETEDKNDKTYVLRSLYKLIDVNHEAIFTKIGQVSGELSFLSQIQCDSDFENVEKQFIWSCDYLFKTGQLEEQWRGDFNVPETPEFEMPTVDEMDEEEQANFVLQSKMELCRQLGYTPVDNGQQ >CRE16641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:398052:398402:1 gene:WBGene00077340 transcript:CRE16641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16641 MLSLSIFPFFYLLSSSSLVEKKRGEKRTKRAIRWCGCDSLSRFRRRMCPRRKGTEEALHEKKECCTIGDASEKVRCHGTAPCYSGGERGVVGSVECRNHAKKMAKSRIFERRSHLE >CRE16642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:399811:403497:1 gene:WBGene00077341 transcript:CRE16642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16642 MNTQNYGKNKGYRPYNGRSYHDQHHHGNQSHAQPPQQNYQQQQPPPSSQPYYFNPPPQHDEQSREKPSSGTGQYQQGASSGNGQYQQGASSGNGLYQQGPSSGNGQYQQGPSSGANQPSQGPLLGTDQYPQGPPSPPPGFQVYRVPFGYAPGYAPPNQGMPYHVAPIQMSIIPHPAQMMKMNYLHSTIPPPNFNMPIMSYAIYNGNANMNNNVQNPPPHPPPSQQSEMQNYQEQNQYQENSQQNNTGQQNGDHFSSIGKFDYRELPEYNQRRRPYQNVTMLPPQLMQQMQFPHSQFFQHSQQYSQNQSFQENNSVQQGQQMIVPPPQTTVPAPQYRNSPSVETNATRTDSAFDEGSSPRAPRAEVQTARGTFTYALVNDNEYCAKSVDGKYCFQVFGDPEIAIQQTVNAIVESEEKLARDAQQQSHLMFEKVNKLEQEVEELKLKMGENKTVQQEVPVQQDVTINVQPVPISDSHASVERVVTQSEVTQPAVVQADVAQRNVTKSNVAQPDVVQEDVGQSGVAQPTISRTDVVKPDVAEEGAAQSDVAQPKIPEPIVVQSDVAQPAVAQSNVDQRAKVVRMEIELLDVLTSEMSNNTTVKNGAAPSQENIATIVELDALCPSAERDNTAEVVHMEIEIDDLLTTECKVNSKRGSQPNATKNSSHKQKAIAQKSHTKQQKVASTYTGNANTIHAQKGNVLVSPDSFSVSAKQNTSAMKTREEIAAEKKAKQEAEKRLAQQRKAEKERQAKLLKEKKKSEEEKRRLEKEAENEKRKAEKAAKLAEERAQREKEAQEYKEKAKQMEKEMAEEKERKRQQRIEAKELKNARKAAQKAKEEEETKAWMEASKTFNDKYIPPLPKPRKEEEVPLMFKKKVEKEAEKKRKEMEMANQAPSTSESRTEHRRGDSFYKPSLPVSSSCGRVDMNGSKNEPVPEDPKNAKKEKNVVEEEVVVDLPDFPEESE >CRE16643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:405727:429297:1 gene:WBGene00077342 transcript:CRE16643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fln-2 description:CRE-FLN-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MAW3] MGKQGEEARQKWIDIQLHTFTNWINEQLQGNAIQDLTQDLSDGVNLIRLVEILQGRRYYGKVYDQEPTEIQKLMNVQMALDALREDGVKTVNIGSHDIVDGNEKLILGLIWCLVQRYQIACKTKIPPKKLVMAWIQSALPELKLTNFRTNWNDGIALSALLEYCQPGLCPEWRNLDPSAARENCHRALLLAERYLEVPGIISSDHLSSPHLDELSCLTYLSYFITKGAPGYRATLKKVTLLLPDCNVEDLEHSWSDGFLLAHLVEACGGTVPELDQMRFENLNDFVENVAIVLDAAADIGVGSLVGADDIADPQGEHLGTMALVAALCSIPLEQQVKYTDCYVNQQVNLDLAFTSGNEVRIEELDVQVTGSSGEVYSNEAIKLRKSRTVQGANLSLIPVEPGFLQVRIYCQGSELPASPISLQVHTQEETRSTSRATSRAHPENRHPESSSATTSVVRTTVSPVGTQKEQGGIAQFSSETEVDISHKSFAQRRLHIIKQLEAQHVSHLAQTKSHHQPHQQQQQHHHTSPSIVQVVQPTPPPPPTPAQSSSHIPPPPPIPAVLEASAYREMPLTRSPDVGLVSFSGLSEPCSVGSIVEVVINAHGDAVSGSVYVEAVSPTGTVHPCTVRHQNNSYMATFTPQEVGLWRIGILYDGEHIRGSPFACQVFDSGLVNVYGLDVGLVGQELKFSVNTSQAGHGNLSVTVLRHGREIPLTIEEQGNSKTYQVSFIPDGAGQYKIHVLLNRMEIKGSPFILDIADASSVSTYGENLRSASVGKTASFMVHAVGADAKDITAHVTAPSGETFPAKVVTLDDVTFQIEWTPKEPGEHSVDVMLADQRVPDAPFACNVGAPDLVHVRNMPRRISPTKLNTDHSFEIDASAAGSGNLEIMINGGRVPCRVRELGSRQYMAIFTPTQSITHTIEMRFNGEHVSGSPWKLPVEDRGERRQEMERTMSYYSELSGPGLVRAPVSRIAHFDITGEGLELSDIQAKIVGPDNREFPIRIIPRTAGKYTAEYQIEQVGEHHLTVWIAGRKVDGSPLSVAGYATEKVRLEPLGGGSPNQPVQFYDVHVQEDTVANRPDKPSYYSQLYVIPAVDAVEAGKGQLEISVNQGRVPNNVQMQGAGRCLVTFIPQHAGTYVIDVTFNGEQVHGCPIKVEILPKQVGQQIHANLTPTAVSTAISAGGTSSISGAFRETARSPLSARSPTSPTLLQHARQRSEETMLRSPQLLRESRKADKPWQSSYAPSPSRNAFSQSPHRDWSASTVYDRVYGSNSDVERTLSPSDPSRNRNIRETTTVIHRTPSPTGLRSTQITETITRTTHRSPSPPRGASNTSYGSVRTQQFAERIARSPSPPGYEREFVEKRTTYRSPSPARTSSVAHSHISETPLSPIQGMDTPFDTNERLKKVERMDPLADEEEREQRRAQLERDHKNTLGYTVAQYGDSRSTPERRYFGDTLDKKDRPPSAGYYSSAQQQRSTSPEYSTVYERYERNPEKPDLPPPRVEGHVSASYKGYEPVYSEVTTTRTTTTTEYENIDKKPSVPKKRATTPEGHVEPVNDNEQKQTFLRTQSEKVFEPVETNLHRSDEKESIYDLPPQEKLHKYPEEPTIALSEKDQSSARAAYAKGREDDIYDASRNVSYPPAPKIDYSDRKDELSNYNRGKEDHYGVVGEYPTAPKIAYSDSKEAVVRDQYARAKEDPYGTIEECPPAPEIALRSDDLKETKNEYIRAKNADQHAEQSHPTPPVIEISKAEQARRTEEYLRVKSEDEKILAKHGFTRKPEPSIEIQEPVTEQIRDDVVEAAMAPEVPLRPSDELPHSPVPSSKSTPATTPKMSLKFRKDGKEGKPFDFGKSKFVCKHDVIKRGKEVEVKLEGLKLGKEDALRIVVLPPANKSVAGANGGPQLEVDAKVKKSSSKYEITFKPTEVGTHKVFAYVNEIQHPLSPFAVRAYDAAEIIVGEIPHQSNLNDTVEFTVDAGRAGFGNLEMAIKDADGVIIPSHVAQLESGSAKFLVTFTPATKGPHTVNITFNKEVLKRESNSLSLFSIKNIFPDSPFEVNIVDAPLPPPVVLEAPAGVAAVASPSLSKKELKEQEKEKKREEKERAKREKEERATLKKEKKSKSYRFPAKTTVSKIPSLSRVGQPSSLVVEVSGHDQLEIRVLDSKKNEIGTDIVEIEPGHMQINFTPVQVGDHEIDVRYGGVPVTGSPFTCRAYDPAKIKVGAIPKGLLDKHVYFTVDASEAGVGNLEVAVCEGRVPSMAHALGHHKYDISFVPKEDIDHTITVRFNNEPVPGSPFICQLVATTQATATGAGIERIPVDEETEIQILTDDTEASPEARVRDPQGNDLPVNVTRSKDNETLHIATYVPKCVGNHLVDITLGGEPIAGSPFTAKAYDARKTVLSPPINAAVGKPATFVIDAARSGAGNMEIIVSVDNRNVPNFVQAEGQARFKVSFTPQDAKDHTISVRFNGISVPGSPLICSVSPAGTVPAAVVLPAAAAIGTGAAVASTQHAKHTPQHSFEAVPVKQTTTTVLQKTPEIKERVEKTGLARELNSAQVGQKKGFTIDNINKSSDCNVVITGEHLVEIYLDHEIIEEFVMNIKDERHTLPPVCLAGQKYSFDVNSDDKNQVRVTIREPSGRMLPVQMEDLPDGGVRVSSRFKEVGIHSIDVFVDDQPIGERRMQTVIDPLNGAQLVSEPKREIVGEQTELKILIDSGVESQVEVIIEGPDREDNEVHMKKISETLWSAVWIPKVEGEHELSILVAGEQIPGSPFPIHVLDPSAVRVIGLKNAPVGVEQQFSVDYTNSGASIATIEVRHGDKPIPTTVKKMKPGQLLCTFTPTNDGPHQVDVIIDGVPLTEGPYEVFISHLGTVRASGDALRKAQRARTARFEVINVEQNRGELDVMVSGKHQTRINFRMIFCFACFVCACLNVHVLLYLSLSVGFKLIFSFQIHINTLFLQYLMVKFRFSDPKGGPLPVRCYKQQDDSYWVEFTPEHLGTHTIEVTFGDVPVPGSPFKTEVIDVKNVQVRGLSDPILLRHATTINVDRRNAGNGELSVEVTDPSGAPLRTETLKSPGGEDRITFLPNQTGEHKVNVKVAGFQLPESLSGYPQTILVSEQEKPAVYGAAVDQSIKIGEPASLIFDPKKTNGGLKIHVSGPDRQKIHHNVMRRPNGTSEVVFYPEETGPYTVSIDFNNRPISGSPFLVNVVDPTKVIVNDLDMDRDGTLLLRLGHSNSFDVDATAAGPGKLRAEVRDSEGALLGNGPVVEDMGQGKYRVRFNPDQHGRYEIYLYWNELPVESAFPVRARSSAEDLPTTSRAVREPVPPPVTTTYHTREKSSGSSVDDEINRIMVRGDGLHRAVLKEHNEFIIDGSDINKEGRITATLLGSKADIPVRIQQLGHNVYKATYTPLTGGTYELHILWNGKHVKGSPFAVSADTSAHLADLIDVDASTLKIGIINENIKTVIDTRRAGSGQLSALCMGPTKPAYCELYDHRDGTYALCVRPAEIGKHTLVIKYDDEHVKGSPFVVHVSLPPDPSKVRVYGPGVEHGILSLFKSNFVVETRGAGAGQLTVRVRGPKGAFNVEMQREKKNERTIHCKYEPKEPGDYQVEVKWHGEHVPGSPFLVMIVDTEKELSRFLRGEAPSPTPATPFIPPGWVAPPPPMFQMQPGQQRFLPPGHFGPMGVPSPYGSVPPPNKHKGRNH >CRE01155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:353228:354168:1 gene:WBGene00077345 transcript:CRE01155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01155 MDQLQRIYRRVKQNIENKNDCDRDIFIVLNPGAADSDSSQCGHHLITILAKVQKSANLKKRDDFPNLVLLQYLNDIPIEATNIVYWNSKVFNEKLTDKLESITKLFDDPSVLNPFEFVSGAISNRYGPEQQYFSFDAILKNHDENHESREHSVQQVVQFGSKVFSQFQNFIIVVLQSKYCDGCLLSILNHMTSLTLVKKFVWNLGRGVWSPKTRKNMDLCFSWVGDDEKMYKPSCWRYSNAER >CRE01153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:327753:327887:1 gene:WBGene00077346 transcript:CRE01153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01153 MNVYSVFLFAILAVSAVSGHRCRGNSYGGGGRGGGGIIIGANKE >CRE01189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:322311:325024:-1 gene:WBGene00077347 transcript:CRE01189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01189 MEGHRLTITTNYPQKPQSPNRLDAREVTKINKASENLWIQRHEIEKTLRGALNHLKTCCTILNLSAKSDERLKIEPTHGTTEKYQLMSRTGSSDNLKACVTLLDDNVIQAEVTVKYPKAGGGFYRAVAQPDVQWKLQQLQDLGNHISRVTIMLCDLQEELQYLKGGEHGDSFSLSTGKRILEELKMTMNEIATARNTIMLPRKRSLLELCYFPPTRKFVPPLPQDQLISFYISCCRLVCASYQMVPKTVHPQGLSVFMAESQLPHLDEVIKHLNVVMSILQKLINYMSATM >CRE01188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:319453:321755:-1 gene:WBGene00077348 transcript:CRE01188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01188 MLARGGAVIARGASVAAARHFSRTTVSMAKIRKERDTFGELEVPADKYYGAQTARSQMNFKIGGPEERMPIPVIHAFGILKKAAALVNTEFGLDKKLADAIAQAADEVVDGKLDDHFPLVTWQTGSGTQSNMNVNEVISNRAIEILGGELGSKKPVHPNDHVNMSQSSNDTFPTAMHIAVGREVNSRLLPALKKLRAALNNKAEEFKDIIKIGRTHTQDAVPLTLGQEFSAYVQQLDNSIARVESTLPRLYQLAAGGTAVGTGLNTRKGFAEKVAATVSDLTGLPFVTAPNKFEALAAHDALVEVHGALNTVAVSFMKIGNDIRFLGSGPRCGLGELSLPENEPGSSIMPGKVNPTQCEAITMVAAQVMGNQVAVSVGGSNGHFELNVFKPLIVRNVLQSTRLLADSAVSFTDHCVDGIVANKDNIAKIMRESLMLVTALNPHIGYDNAAKIAKTAHKNGTTLVQEAVKLGILTEEQFAQWVKPENMLGPK >CRE01151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:299834:315075:1 gene:WBGene00077349 transcript:CRE01151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mup-4 description:CRE-MUP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MWG7] MRWVLLVLLPLIASAATTYQHRQTYSSLQCRVNDPLSCNQAKSEVCVFVNGQYRCECPVGVSRLPDGRCMVVNECARPSLNACHKDAQCIDLAEGYTCRCNSGFADTSPDKVNKPGRQCQKTMNECGAKSTYGVDCDENAACVDTPEGFQCVCQPGFVDVSTSISKLPGRKCVESVNECTNGEADCSNNADCFDRADGYECKCRPGFVDASPNVDKYPGRVCNKPKAPEYYGQQSRQPQCSEGSGCGPNEECRFNTAGERVCQCRRGSVQQSNGVCKVFSQCEQANECDRNAFCSNTYDGPKCQCKDGFLDVSPDPIRLPGRKCQQVKNECADGSHDCSHQADCQDTPTGYICTCKSNCIDVSSRYNLPPGRKCSTAANQCSDKSLNSCDENADCVQLPDGYTCKCFAGYVDVSSNANLPPGRVCTLSTACPAQPTDLVFLIDGSGSIGSYVFQTEVLRFLAEFTELFDIAPQKTRVSVVQYSDQIRHEFGLDNYSDRKSLQNAIRNIEYLTGLTRTGAAIEHVANEAFSERRGARPVGQVSRVAIVITDGRSQDNVTRPSDNARKQEIQLFAVGVTNHVLDAELEEISGAKDRTFHVSGFEDLNTRLRSAIQRVACPHQNNEDTYNKGPCDPSNHNGCDRSLNQVCQQKDGKFVCVCPAGFDIHPVTKVCGGDICNPEIATSCPDPEICEKTPFGNWRCTCPADLGWRDKFTGICSKLGKCELLKSARNSLKPLTVPTSAHQTTCTAAQPMRSARKEPAESSSANAMPDSNVTAVPTNARLQEPVIQECQILVTPERRRSVFQMDVERSRACVIDIIRDIQSLIFALREEQRIGCHLTSRFSVIDECAAGVADCDPNAKCTDTDESYICTCNEGFLDKSPEQNKKPGRVCSKQRNECLDGTHNCSMNAECIDLPDGFLCRCKEDFVDISPNPNAFGGIDCRALVNECLIPGGHNCHEHAICIGELGGTGGGSKSEKLIISDTRDSYKCQCKEGYVDHDELRNPGRTCKKLNQICESGKHECDKNARCVEKGANDYECVCNAGFIDKSPLAHRPGRKCVEPICSDDSKHDCHSAAICEENDSVPEKYTCKCRDGYLDVGANGGKSGRECKELVNECLSASLNSCDAAATCIDLDDGYTCKCPLGSKDESPDPKLPGRSCKGLVNECNIPHLNNCSHFATCIDLEEGYECKCKAEYYDQKPEQPGTQCKFIINECLAENLNDCSPNAMCIDKIDGYECKCKAPFEDQMPATPGRICRFDECANPKDNDCDKNALCIDTDDSYTCQCKEGFFDEISDPKKPGRVCIEVGLVIETPNQSEDPTTPDPNTIKCGNGFCHLNLGEVCVGGATCACRPGESRDNEKEKCVPTTSIPLVVRVMEYDGEPIQYRTDYSKPDTPAHVEIVDAVRKSVGKIIGKTEFAPRFVTTDVNYITNPKVQNSDWDKGLLGNVTIHLAGKEEVDKCRVYEQFSEIVREMGGRVDRIKLSDDADLDPCRKEDVKKGIPCGNTFCSIELGEECIAGRICGCPKGQKRKDASSPCRAVESWNLPLYVVRDGHEKITYSPSLSNPLNDEHKSLVSRFESGIGQSYDKTPLKSAFVTAEVNEIENPESRKKSWDTGILYNFTSHFVKGSVAEPASVFNDLIDYIQKRNNFEVSGGTFWLSVGTSKLFISPEQLNPFSACYHSDCHPDAICKEVGKGYECSCPDGFRDLNPSRPGRNCLSYRGVNECEKPELNECSPHARCIDLDYLYKCECIRPYVNSAVGDALPGSVCSIDYCQDVNYCPLNSTCVNVDEQTYDGQARCDCKPGFVDLRKSGHLSEAGLGDAICLKQFDIDECALGLHNCSAAATCIDKKIGYDCKCQEGYEDGNPSLPGRICAAALCGLCNGHGDCIHDALSTNITCACVDGYTGEFCETAPSTLPLLLMLLLALIFLILTLCCCLYFCLSWRCFGARGRSEGSASGQEILGSDYYTIPRAKLARPLYGEDMGDDHAGALAAYLDDGASISSDGSIEEIERRVTTDVTTREVRTTTVRDESGNVISQSQTVSHGNPHETDTEQYGMISSDHYKTSASEAMDAAMSASASGGAYHHSSGGAAAMSSASRSAYNQGYASDSEDSDAGHAVYDRTTRTNQSHDFEPGADPRTGTERSKREFVTTTKAEEVNYF >CRE01150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:288573:290126:1 gene:WBGene00077354 transcript:CRE01150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cgh-1 description:CRE-CGH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWG6] MSGVEQQQLVPANGDENWKSSLNLPAKDRRFKTADVTDTKGVEFEDFCLGRDLLMGIFEKGWEKPSPIQEASIGVALTGQDILARAKNGTGKTGAYCIPVIEKIQPALKAIQAMVIVPTRELALQTSQICVELSKHIQLKVMVTTGGTDLRDDIMRLNGTVHLVIATPGRILDLMEKGVAKMDNCKTLVLDEADKLLSQDFQGILDRLINFLPKERQIMLYSATFPQTVTSFMQKHMHKPYEINLMEELTLLGVTQYYAFVQEKQKVHCLNTLFRKLQINQSIIFCNSTQRVELLAKKITEIGYSCYYIHSKMAQNHRNRVFHDFRQGNCRNLVCSDLLTRGIDIQAVNVVINFDFPRNAETYLHRIGRSGRFGHLGVAINLITYEDRHTLRRIEQELRTRIEPIPKTVDPKLYVADQQLVDASEETTA >CRE01187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:283154:286759:-1 gene:WBGene00077355 transcript:CRE01187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01187 MSMEWAKKRKKTADDEEAKLNDALLEFQAEFGQSSSAAQPKSFLRGNVVEGNKSTSSGGEGSLYAPKFKMNISQSVGSKDLDEAKKLAAAKARRMLEDTNRKLVRWRFSKMNSKGIQLKTLKIFIQLLNFRVQEDREKRKDLRQHLERVGMDQAVVERLAPTVERGFQGSSEFDDDPYTTNVYVSNIPHSVTEDDLLFTFGSFGPLAALKILYPRSEEERRRPHICAFVAFMSRADVDRFMAEVRVIIVRNEPIRFAFARPVQIPTTPYYTPPVLIDLQHPDNLSGLPFNAQPDPSLAKKFLAKYETYPPMHALPIKGQYGYDDFSELMKNSVVRVVIPPDRKLVRVMDRMAVYVVTEGPQFEAMVCASEFQNPMFQFLWDNTCALHVYYRWRIYSLLQGDTLQEWRRIPFRMFINGPWWIPPYPINELREAMPKELYHMNCLKTYPDKWMKVRDGGQRRGGEKPKKHYDSDEEREERRRKRDEEKERKRKEKLEKKRKNRMSDKRRDKLEILIRELTPEKASIGAAMVWCIENAKYAKEISECLLESLQLDETPLHRKIARLYLINDILSNCVQKAIRDASLYRSHFEAIFEKIFVALGKTYQSIPSRIKMDQFKQRVMNVFRHFDDVALYPTEKLIMNQNIFLGLVEYGKEKSEEKEAEDDDEEEEDLDGMPLDERDQKKVSLYDDEDDIDGVPLEEVAGPSTSAKEMPNKFKPVESRPRDNSPIFSSKWERDGRKSNDEDDEDIDGVMITDDVHSESAQRDSLSPGEIKEPSATSLLVSDPNEEKRRKLKRDVEVRALALQEDLEKNRDPDARRKVDEFRRTEMENVEKILKSSGEGNSRKKSDERRSEKKEKKRKRSHSSSRDRDRRDRDRDRDRDRGRRDRSRDRDRSRDRSRDRDRSRDRDRRDRDRDRDRDRRHR >CRE01199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:352100:352914:-1 gene:WBGene00077358 transcript:CRE01199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01199 MEDDEKTNDTFQHAAEGGAIGAAKNAARYVIKTGGRKSNIVNVYDKTVKSSRGNPKWFARIDKPHGKVQYHHVNVNKAITGVPDPHIPISQATAQAAGAAGSVLNVVNKVAPAVAAVSVAIETVQFGAAVFKDIKNGSTRNTIEKTVSVAAATAGGMSGFSAGAAIGTAVLPGIGTILGGLVGAIFGGATAGSYAEEASEKVFDSIGLGIDHPKCEKCGQEFERHRYKEGHQNLCPNCR >CRE01186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:259968:272539:-1 gene:WBGene00077359 transcript:CRE01186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cls-1 description:CRE-CLS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWG4] MDTNWLYVLLQKSTADPLERLKLGNVILNEVSQRKVSPHPKLVNDFLDVMSGWLTGSNFKVSTIGLEILDAALRTSPEVLASYYFDRLSVLIERMGDAKVQVREMAINLCLQLAYLENSSPVMLLDRLCVPGTGFEHKQWLVKVGSLNILREFLSSSFALVIQQAIILIPQLCRLTNDPNSEVRDASTACLVDLMVYGGKPVVAKIASTRLINEQKMTTLLQRYESTVATRGDLPPKHAIPMETTQTQLPSRNSLLRRSLRSPAKIIHPSASTTSFTSSARLSTPPRTAPSLSPAPLMSTPSPLSLPSPSSGGSGGRSRDLARSSLRAPAGMSLSRYRSSSCAPAAQCAITLDDFRKSFVAVPKISIYSNTDVREKLEIANSVLRNANEDWSKRANQLKLIRSVVINSDESIDRRLLISLINELSDSLEFSVRDLRSQIVREAAITCSFLFETFGMEVRNVAECVLPAALAQVAVSTKVMASSAATLTVFIVQKIQSRQIFTTLSEITNSKSKEQRRHLANLLETLITSWDLKSKQPIMKQLSQLVQNAICDADGETRVAGRKAFAKLEQLHGAAADQIFRELDPAKQKMLRDGVSSSSSSLNSDRDNNNQKQQQQQQNQQNISQKFLSQRSASAVDKSQVLSIAVKPQTTSRPTAMPINSRLPKSSTSTSFSTVRSSGYGQNGHQSTTAPSRAKTPSDGIGATSHHHHYNNNNNKSSSSSPSTSTHQTPIQRVASNLGSSSFVASLTQEQASHLQNAMDLAKDELSKNNEDDEFLLGEIRKTPPKEVSPPPSNAPLRAYNNNNGGGANQSVEHILKACTSSSTNEKRDAVVSLSQIVLDRNLDQLECKNIGDTLSRLLAEGNTTLIISILETISLFVKCHYKKLDGWLKLALGKLFAKMGTDSLPNVKSALSSTQKMFLTTFDPSTQLKAVCDFMCDPVHLLAPKSRLALLEYICLLFEEIWPEDPRCLERQTQLDTPYTRAAVRKMFAWMFDPRIGAILMPACERLVCALFALNAADFTMIFGDLPPECRDWAYRILQLNGQQQSSQRQIQIEKEKEREAVQFSNNNYKPCPLDKEEPPIEKPLTVKATFSTYESARKSTETPSSDYRKSTVHLAKNHVEQAAYIRNQLDAMRDFNRPDKLNESMANLHGMMCEGSFTLWNQFFDELLDSIYQILSTFSQSIRKKLALRILQKMCTAQATKLHDSTEIAISKVLQCACTSEDNTMSVAAEDCLRILATHLPLPRIVQISRRVLSQDDDDQRGVLILKMLTKMFQDIDIDELHMIVDDVAPCFVTAYESTSSSVRKCAVFGLVALVQRVGAQRMEPHLRVLNASKLNLIDLYVGRAKSSESGTSSN >CRE01149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:253727:259821:1 gene:WBGene00077360 transcript:CRE01149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clk-2 description:CRE-CLK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MWG3] MDLRTRLENATERAVLFQIIKDVVNDPTKYKNVVEAFCVSIDCFSKFLTDKEFFTALIPVLDTQCPMRSIIGFSRSMSFVSSTTHQTSFRDVMTVLQWLKYVVQKSLVSLIVDSLKANDLDDETVQMYREFTNACMYIPDKISNCSVKALTDEHLKYIESIKWEFKTNLVEGIKQASQTAHDKMSAKSIGGPNLKIVAELVSAGRNVDMDGKRSMIEVIMNWVESLEPFDEQWRQIMHRLFQEPTQLGYQVQESLITTVFTTARSDKALQRCIETSHLTGTLKRVVMVKLPFQRILKIRSIRILVNFIHQTSETLAIELLETALKLWSDSSFAAKAPEGQERHLVRMIVHLIYLLTKNEETSRKVAWRDLLLLSMNGVYGRMEMLPLHIQSALFLNETLTKLAFENLPEDIEEAPPVPKTENFSENKMGAAWVEEMKNIRENGLDERKGKPSYKKMVENTENKTSGDEEYVERIGEQEGKFRLFDEGARPPGINILGGYSQREPSAPDGSEEEASDDEEDITNAQRLQQVIILNEPRAAPAPNPHGGVDSDDDEDFPTYSVPETEKKFKKMEVGEEPKHRVEPPAYIVDAFEMLLEKEKYEVFEAAFFNLKSLIDRRAVGFPQIAEKLFVRVIFLQNVFGTKKFDETCDAIAVSCIVQRPEIVPALVRLIISPGQGIRFQQRLLHYIHMAADEMGVLDRRCEEFIMAQENTMSMHFTSSGGPRTAGGSEFANRAEGQPMIPEWQRVIAARVAAKTRRIGTTRQRPEAGVVNRLAKVAKYMFYPLLILPRGEHANLLSTNSDLLAYIVLVASMVYVRSGVNTSIHTMSRELITYVAPYRYSENGKLRVACLAAHLNVMALLPGHILGDIFDMEVRREWMTWCEGIMSAQIGPCVEWDMAHQLLEHLLNHFQEYHPSALLTHSQNFSL >CRE01184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:244465:249474:-1 gene:WBGene00077361 transcript:CRE01184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lig-4 description:CRE-LIG-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MWG0] MAADVLFDDIVGVFNKIGRTSNLKSKQANFQKSFDAWKQGCNNLSGYCKRISNFLGESPTEMTSILRFFVSDYERFRKFDMGPTKLASFLAKTFHDVRADYVAEISKDSTKAARQLAEKISEEYRTENDESAVIKVSDLNEFLDFLAEGPMDDQKKRDRMSKLVKKCSEDELEWIFNIILRNVESALGAPSNKILDWVSPSACAIWDQTHDLKAVLKGKLVEKEESGEMDADGDEHLFKIWTPMLLQKQKRGDWYASIEKFGGPKFFLQVKFDGENVLLHKKGNEYRWFTRNNNDFSKEYGDSSMAIGKLSSRIHSFFNKECESAIFNCELMLWDKKTKRLCRHNDASTTSDAQVLSFRHVKPDDNQQLTVVLFDLLYLNGKPLFGAPLHQRLEMLKIAPLKKEREDTIFVAKYEEASRKEEIQKFFEAAMQNNEEGIVVKRADSVYVKGQRSATNGWFKLKPSASKDCDLDLALVAIHPRAGRNGKTLYRFAAFDEKSGKFKLCLGCSYGLTRETHEAIGFECGQLLEEAPEELTFYGKRPNKISRGDGGYIDFERWQVIKITSNGVRNGKLVDPVMREWRVDKPVDQCNTWEEFSDYSTKVQDCKLGDNVRDSDDEESEEKKPDPLKVEKITRKAIHQTAPVRLKRAKLESSLSGVEVAVLQGTSETIRRKCEEILKHFDAVVVKGITPSTQLCIATAPKPTHPKTAQAIAANTCTVLKPAWLERCNTDDLIQPWTDSEVFHVVDGGFQIQN >CRE01147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:227238:235557:1 gene:WBGene00077364 transcript:CRE01147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-39 description:CRE-LIN-39 protein [Source:UniProtKB/TrEMBL;Acc:E3MWF9] MTTSSSTTSPSVAEANAPESSASSTSSSSSSSSTSSTSSIGPSGVPSELSSVGISYDQASAAALSAHFGSYYDPASSSQIASYFASSQGLGGPQYPILGDQALCYNPSVTGGHHDWKHVDGDDDDDKDDDKKGLDVDGDDDDMDKSSGGAVYPWMTRVHSTTGGSRGEKRQRTAYTRNQVLELEKEFHTHKYLTRKRRIEVAHSLMLTERQVKIWFQNRRMKHKKENKDKPMTPPMMPFGANLPFGPFRFPLFNQF >CRE01183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:193329:196578:-1 gene:WBGene00077368 transcript:CRE01183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-13 description:CRE-CEH-13 protein [Source:UniProtKB/TrEMBL;Acc:E3MWF8] MLFSRVFFCFFILFSSFLTPHFSRMSSAECYGAPPNYYSDWSTPPYYASAAPSYSPINHHPSDIWAAHPSNYVLGGTGTMSPPASRVSPNRSSNSSAASADLPVGVTASQHNTYKWMHTKRVQRPAVPKKKVVDENGTNRTNFTTHQLTELEKEFHTAKYVNRTRRTEIASNLKLQEAQVKIWFQNRRMKEKKREKEKAFLARNSWDSNSPGSCSGEDVKNFK >CRE01198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:351017:351837:-1 gene:WBGene00077369 transcript:CRE01198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01198 MIKNIKKTRNVFQHAAEGGVIGAFRNYGRYNIRIGGRKSNIVNVYDNAVKSSRGNSKWFARIDKPHGKVPFYHINVNKAITGVKDPHTKISSETANLAAGAGRVLNVVNKMAPAMAVVAVAIETVQFYRVVAKDINNGSTRNTLQKTVSTAAAAVGGYSGYSAGASIGTVFLPGVGAMAGGLFGAILGGAGAGAYAEGASEKYFDSVSLGIDHPKCKKCGQEFKRYRYKEGHQQLCLKCR >CRE01180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:172832:176669:-1 gene:WBGene00077371 transcript:CRE01180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01180 MKVPAPDHPTIQIWKHWKDEVNQHSIVLLFLEEARTKKQISLYVSTEHSLSMHLDRIKHKFKKHKRLQFLTKKIFFSEFDETPISSTDIMHTFMNKYQKVFRMKLHVSHWMKKPPKEAADDSDDDDDEDEDSSSDDDSMNDDDDDDDPPTPLTPHSDDCTAEEMFEKFESPYDKPSNLTTMASVVDIEKKWRRYWEEYRTVKYTHGFRMFAKKKEKEGCKDRMKLRLEYDLRSIKSEWKKLSMQKQKIYEKRARRAIVRKLTEMDKIMETQAMTLSSGHARIFQCIWAACEFKYESKPELLKHVMQHHTSQIIMDSDQQYVCMYMTCLRNRKDGKLFPSLPRLHRHIKEKHMPVSARNVNSNQLCKNLFKVVQAPGDTAPRVVGGHGQQIHPQQQMQQYHDPQMQQHYQQPPQPHQSMGLPQQPMQTGVYREQGPSNPQQFYEVPHQQMHQGMTHPHHPHQQQMQQVVQQQIPDVRRTVVRAAIPAFVAPPNQIHSKRVLHSEAYLKYIESLSQNRQKSVSRWERSLVATHRNTQPSNETVRPPAHWITRIEAGVPVIREEYVTEALWNLRDELLKSTCGLVITRPPL >CRE01179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:167943:169897:-1 gene:WBGene00077372 transcript:CRE01179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01179 MPLKILSFPYLVQEKIVKSMEYVDIFMMSLCSKRAKNCAIRTNLQIPKLWFIVSKKGSRVGIQVDERIVRTLIKLQDCLRNGLVEPFVVKMGNNHEITATIKSEEQRSGRAYCLMNHLGDSGRILKAIQEQIQVIFRSTEPYSLKLHVSELNENLPVFENIKDILVSGKKLESDVLERLLGTYPGLNSLDVNPLINGNVLETSHIFQIDRICFSDCGSYGLEVLRRFTGSHIVLIDAPIRENDVVDIIRKWIANEAYQNIETLMIYLKTHVEINPQLVMDSFPTERYNPAVRPPIFHYDSKIIDRYPDEMDFSEDDFCRDVIRGIDGKRASIGCLTEAIFFVVWN >CRE01178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:163283:164697:-1 gene:WBGene00077373 transcript:CRE01178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-32 description:CRE-TTR-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MWF2] MKSLLVLLATVSCTYAIGFTQSVAVKGRLICNDAPAKDVRVKMYDKDILVDTKLDDKSTDGNGEFYLSGGDSEVSSIDPRVNIYHDCDDGWTPCQRRLTVGIPDKYITNGDKPSKVFDLGTIQLAGKWVGETRDCIHRH >CRE01145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:160137:162721:1 gene:WBGene00077374 transcript:CRE01145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01145 MTPLTLVRNLLAHWKRSHEDQEISSTSEQEVKEHIDPELMEPDCEPGHLICVPPERHPDPNIQEIVQKDYLRKLAIKICENARLNSMAYQGSQEHQTISIHVCTVVAQFRADPNIGMTTMRSNILKNPIVLPGMDYIFAASLLFEYILSEYDYNLVFFTPRSNMISVGFPTRRISTDVFGYDSTKPETEQMLQRWMSHVSNVRHIHIGNIETVEQENRCAAIYEQYFEGRIEGVQGDNVSKALCETFRIMHPSLAYHSDSISMKLVINWIMGWINNKETYKHLTEVRFSSRSEEFNYSNFFKWLSIDESSLPARNRLQMQTPDKRQCCVYVHDTGEIQFFTGGDYELMTYNKHPFGPDGLTDINVLYKRYVMMDHYDSECTNFYEY >CRE01144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:154985:155650:1 gene:WBGene00077376 transcript:CRE01144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-9 description:CRE-RPL-9 protein [Source:UniProtKB/TrEMBL;Acc:E3MWF0] MKLIESNDTVVFPEGVTFTVKNRIVHVTGPRGTIRKDFRHLHMEMERVGKNTLRVRKWFGVRKELAAIRTVCSHVKNMIKGVTLGFRYKMRSVYAHFPINVTLQDGNRTVEIRNFLGEKIVRRVPLPEGVTATISTAQKDEIIVEGNDLQFVSQAAARLQQSTAVKEKDIRKFLDGIYVSEKTTIVQSD >CRE01177.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:152234:154160:-1 gene:WBGene00077377 transcript:CRE01177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpd-7 description:Pescadillo homolog [Source:UniProtKB/TrEMBL;Acc:E3MWE9] MKDKFKQKYTAGAAVAYMSRKQALKKLQLTLKDFRRLCIIKGIYPHEPAHKKQANKGSTANKVFYYRKDINFLAHEPIINKFRDYKVFLRKLNHLKAKKEDDKIKKLYDNKPVYNLDTIVKERFPTFGSALRDMDDALSLCFTFAMLPHTRVLKEGMIDSCRKLTAEFMHYVIESQSLRNTFISIKGIYYQAEIHGEKITWVVPHERGLPHVTDVDFTVLVTFVEFYIAMLGFVNFKLYQDIGLFYPPQIGQVVENDEMESEDYKEKVYSLAKPLAKRKDVEQTEEDEPLDLLGEDSDALAQKVREAKSIKTMFKGSVFYLNRECPKEALTFIIRNGGGVVGWEGGPTELKSDSKNITHHIVDRPMDKLEVNRLYIQPQWVFDCLNARRKLPTERYMPGVALPPHFSPFTSEKAGDYIPFERLEELRSMGKDVSELESAIPKTMDELPMRRKEVKPEKPKGIHIAVGQMHKKSKEKFHETVEKGQELKMRELMISKKHQRVYHSMKTSFKRNRNDALKLKKKAKLVKAAAV >CRE01177.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:152234:154514:-1 gene:WBGene00077377 transcript:CRE01177.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lpd-7 description:Pescadillo homolog [Source:UniProtKB/TrEMBL;Acc:E3MWE9] MKDKFKQKYTAGAAVAYMSRKQALKKLQLTLKDFRRLCIIKGIYPHEPAHKKQANKGSTANKVFYYRKDINFLAHEPIINKFRDYKVFLRKLNHLKAKKEDDKIKKLYDNKPVYNLDTIVKERFPTFGSALRDMDDALSLCFTFAMLPHTRVLKEGMIDSCRKLTAEFMHYVIESQSLRNTFISIKGIYYQAEIHGEKITWVVPHERGLPHVTDVDFTVLVTFVEFYIAMLGFVNFKLYQDIGLFYPPQIGQVVENDEMESEDYKEKVYSLAKPLAKRKDVEQTEEDEPLDLLGEDSDALAQKVREAKSIKTMFKGSVFYLNRECPKEALTFIIRNGGGVVGWEGGPTELKSDSKNITHHIVDRPMDKLEVNRLYIQPQWVFDCLNARRKLPTERYMPGVALPPHFSPFTSEKAGDYIPFERLEELRSMGKDVSELESAIPKTMDELPMRRKEVKPEKPKGIHIAVGQMHKKSKEKFHETVEKGQELKMRELMISKKHQRVYHSMKTSFKRNRNDALKLKKKAKLVKAAAV >CRE01143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:144620:152040:1 gene:WBGene00077378 transcript:CRE01143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01143 MENHVGERTVNIFGKVFPRDAFMCRLFYLSFFASFGSLFPLLAVYFKQLGMTAAQAGFLIGSRPIVEFLSGPFWSQFASRFRKQKLLLLFSLGSLVLFTLGIGLVQPVTPYCIVYVPEGNKTCQTMLAPAGKIIRGGALGMLKETLVGGSTKPARHRRQTQNPIIDKIIDLSSIDSEEDTVAGIAPEYITRDRVCNYNEENYGILVSPPHSTRVYRQPAVEQSFMLLLILICLCEFFSSPALPLADAATLHAVRDNPAEFGKIRLMASVGWGLAMFIMGIALDYSDTFRNHPCPAENTTEKNYTLCFVMCVIFMLAAMGLASKFKFDDDVPAPGEVHGLVMDTREAEVSHVVAEKARARVTQSEGGGGSENSLLLAMKALASMHILLFFVSVVVMGGGAGLVFSFLYWHLQDIGGSPVLFGILSVVNHASEIITYFYVFKLINKYGHVRVMYLCLAVNFFRFMALSILDNPWMVLPLQVLQGACLATVWSCASSYISLVAPPHAKQHAQYALQVGYHGIGKGIGSIVGGSVISVIGSRTTFVIYALICLVTCAGTFGLNKLFKYEGIKYGAGNFEEEDMDIMGLLSAKKSLSNLYISAPQGVPMARDGKITDAFNATTVVNANYGAIAQEDPTQDAYDRYVSTTR >CRE01142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:137780:138749:1 gene:WBGene00077379 transcript:CRE01142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01142 MEFMKLAIEEAKKGMAKGDGGPFGAVIVKDGKVIGVGHNMVLVNKDPTAHAEVTAIRDACKNVDNFDLSGCQLYTSCYPCPMCMGAALWSRVDAVYYGATSEDAASIGFGDHEFHDFLKDPKTDEKRKLEQFKVDNYMEPFQIWAKKDDKTPY >CRE01197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:349814:350642:-1 gene:WBGene00077380 transcript:CRE01197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01197 MEDSEKVILFQFSSKIFNFQSKNTLHHAAEGGAIGAAKNAAQYAIKIGGRKSNIVSVFDKTVKSTKGNPKWFARVDMPHGKVQYPHINVNKAITGVPDPHIPISGTTAHIAGGAGAVLDVVNKVAPVLMVASIAHDAYQIGKCVATDIENHSSRNTIQKTVTTVAATGVGFAGCGVGAAIGTAIFPGIGTLFGGLIGGIVGGLGGGIGSEIASEVVLETVNYDIDDCHCEKCGKWFQHRRYQEGPLQKYCHDCR >CRE01176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:136453:136727:-1 gene:WBGene00077381 transcript:CRE01176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01176 MSRALRRESILLRDLKLIRKLPSVKDSKKTKKTYTIVNYPIDNNKAYFSDEATGWVQAPNNSSKKANMKYYSNQN >CRE01175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:130418:134434:-1 gene:WBGene00077382 transcript:CRE01175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cup-5 description:CRE-CUP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MWE5] MSRRSTTDRSDFNENASNASSNASRRPTVNFQELLEDLPEHERETGERLRRHLQFFFMNPMEKWKVRRQLPYKLLLQVLKIIFVTMQLILFAEMRMSHVDFLEDTTTVMRHRFLKEWNDDRDALQYPPAEGRYSVYDDQGLSEHLSFLINSYYTIRNDSFASFSYDVNSHPSDNLGSKIDFESIPPIEVLIDRISNVTVNNNTYNFDIREVKDTKRLNLTESEVFQIGQSDDSVRDILATRGITFLPEDALKISTVQFKFRLRTIHYSPTAGDQKPECYKIAVSIKFDNSRHTGQVHVTLATVVSYVNVCNGRIIKDQITGVGWSFDTLLIGGTDIFVLILCILSLILCCRALIKAWLLQNRTSDYFENVLKNPITYTDQLDFLNMWYVMIVVNDALIILGTIAKISIEFQDFDNSLFTLTSIFLGMGALLVYVGVLRYFGFFSQYNGECTEILTRGTDGGGGTSVDHD >CRE01141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:128654:130131:1 gene:WBGene00077384 transcript:CRE01141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01141 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MWE4] MSSSYFNVIFTNDFCINVALFIIDFLFTRLPISGLLVDLPSYVPEGIVLTIIYFLSYYLIYANFYSITLICINRMSSVVFPYSCNSFWQRFVTPAIALIYILPLLTTWQMFTYNPYFLALYENRSNYQMVYRAHVLITFLVRTYYGDLMEKREFQPRNSASLCFASILLSVVCVIANVVTIIKYKMTVQSVMATSGAQKAFHRKRTRRVEIAMFSVALVVCLSLVLQAILQILFMR >CRE01140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:121567:123293:1 gene:WBGene00077385 transcript:CRE01140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01140 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MWE2] MSKIEDGGSKKSLVKVKSKVETAVEAKSRPARSVKKERVVAVSPMNNNRRQRNKSNILNERDERPGGIIKDKSQVHSMAKTQPTSERPTQDEKQPVALSKKNSEIQAALEIQNRLAKKLTRNSERRRKLAHTMETTAQNRTQERIDFVDFANRHYTDMQGGVHKMKYTKEEYENVIYEAQTIFASEKALVDIDPPCIVVGDLHGQYNDLINMFILLGRPPETVYVFTGDYVDRGMMSLECIMLLFTYKICYPENIVLLRGNHEIARVNKKYGFYEECLQSIPKYGEEIWAMFQRCFNNLPISALIATKILCMHGGLSPSLITLDDLRNHPKPIRNPFRGIVNDMLWADPDPSVFEWKTSARGSGFIFGTNVIDDVCTRLGVELIIRAHQMCFDGYWVVSGKKLITIFSAPMYCNLYKNAGCVLKIDETLCVQMVAFVPESAKVEQVIEEKNRVWDACFDNID >CRE01138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:116745:118891:1 gene:WBGene00077386 transcript:CRE01138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01138 MTIHHHGSAERKSVDDYSDIPRGEACKQLVEQLNRNLYSLNANMQTTFKQMVHVETRYDKLDNNQRKNGNQNVYFRIGHEISLLSSCLQENMSKCTMPYAPHEEQLVQLDSAKRNLKQLTRLLDARQIFDSEDAHSEKLKDRAIDDSLLPTLVAIGENLKIIKELGAKPKFDSESYHKTKDRYLAWKGTEFAVKFDTPGGLDDIFEAFTTLNSVNDFYRLYQHHFDQSLNTLLNKHSGVEEKKSLKEIGSALVTKSAEHFRTHIGSLCKYCEETEAFTRLLDAWKNFVKNGALEKLFENTMENYSNFDLLSDLKAIVNKAYEEFEANGGTNVDEDEESIENIERIIVDGLMESAKKPLADKLRSMVEPPPLNFRSVAEVMSSLENFAYLLREVSHQLLEIYSDDDGREFMISILKPIFTDYTMRLGRMEDNSAQKVKLEEYLERVQLSGQLSMIVDEYKEQTEIKTGQELKNAKKWMNERVKDAIRASHRFVTDKMPNHGTNKNDHNLNVKWRDNWLKMT >CRE01173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:119637:120316:-1 gene:WBGene00077387 transcript:CRE01173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01173 MSWLCILVPFISFTLTTEGKPTYSCAIEVIGPKISKCLFVSVASNRSFFHFLHFKTLKNMTEIGNNYKNKRLDVEKQNKYLENCEFFSTCRSDFECLKSFTKEVEVAFIAVEVECESAKFIVKDFSSCGQKLDDRNSTCSQDYNPFPGIKPEDVPSILVNGRKESCDDLVGDKDCMKTEIIELCGEDEYEKFRQVTIFLPSMQVELAKSLRMCEAKV >CRE01136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:114724:115122:1 gene:WBGene00077388 transcript:CRE01136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01136 MPRRFETKIMFHVTTDQSKDVIRHKVAYLMTLFGIAVFTTACFFKFAVDVIFFMATIDLRYAHYYIYGGIIYLIFSIVLIFHVSRCVVEHTIESFDKIQKVKDEEKKLNEKAIMETV >CRE01172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:110641:113036:-1 gene:WBGene00077389 transcript:CRE01172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01172 MEPTFPLFRLPENVIIEVIKNLSIDPLFEFSLISTKTKTVAASLGRTAHAVRFTIFRDVDVTVFYGSCIMSLTFYNDSFDALIHLDSNQPISAHSVNENRLVRSSTPFSFNNWLDHIQSVFCCNKPPNVIFWHGNERFEMESLKNAIKSVDHLVVFEHNTEFRIREVLEHFKNPNELTLERNPYEEACEVQKFFIQNYNNLVFRDDVSLDDILLINSERVELSRPISQKQFNRFLKHWICGSNPRLQYMNLFIDTTDLIRGNVHLKGIECMEMSEESMKEIRQNHGIDDNDMVQIRRKEGTTAVIAMKDCETFLYVRFYVLN >CRE01133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:98357:98608:1 gene:WBGene00077391 transcript:CRE01133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01133 MGIRGYKYHPFFPRNPSEISLLLFSFRMNILALFIFCLLAITSPVIARGRRCRSSTQCDYESVCYEGYCYTIDEMFDKFDAKK >CRE01195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:341132:342466:-1 gene:WBGene00077392 transcript:CRE01195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01195 MANVVSSFPLLRLPEKALTKTLQCMAAIDRFGISWCSKRNKQIIVDMKLKACSLRVDITRFILITCYFRDDNKIELSIIPESIPRHFVGLPIKVRVSEGNCGLVDFVEYSSRDEDEESERSAGSDFDDDEDEFDEWDDDEEIVDNNASNSEDERESETDDRDQDSEVTSESSSSSSESEDYSDEEDEVIPVHWYLRADDVRTVIAHCLEVYHKSQLSFVSFLERADMFPIDYLRQTFNGFNTVDHSIIMDRAEDPQFAHQVVRLDIPAKKFNASAFIFENTESLHKVLIQNYDSISLQEPLIRNQRLIVDDLVMTNSAHIEIEDALISDKDLNRFIKHWIRGSNSRIKYIILTKRGDVGFNKDTIFKGIAHQEFEEGVVREVKVTCFLGFRTIRVPSGFNFTRHDHTEATIRIKNRRGRAALEFIVWD >CRE01171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:105034:106669:-1 gene:WBGene00077393 transcript:CRE01171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01171 MKCVGKNLWDIRVTQEGRKYTLSTTMKIAEQTLAALRDLHRVGYLHRDIKPPNFAAGREGEDDYHTVYVLDFGLCRRIALKGKDLRTPRKECAFRGTTRYASLAAHEGKDQSRKDDLESWWYMILEMIAEELPWKKLKDRDAVREVKLKLRTGEQLKFVLRSGCYTEMNKILVYLDQLVYTSIPDYDFVYKCIRSSSIGMKCNRNDPPDWDANAKEYRGPAYKLGEPYIVKALE >CRE01170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:104619:104846:-1 gene:WBGene00077394 transcript:CRE01170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01170 MIYSRTQQSQDKTRNDLFLSIELVEHLVDGVAVSLVADGFVVALSARTATTSTSDDWRGDGQKAEDEESQNIHSE >CRE01135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:101808:103088:1 gene:WBGene00077395 transcript:CRE01135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01135 MSDEPAAKRARIGDEPAVERAKNADEFPNLRSDLVGAVKEKLIELDAVQHQLDVMSEKAAEEVLRVEKSFNKKRMPIYEKRKALTTKIDHFWQTAFLNHHLLSTAIPEEQEDLLGALRDLEVQEFEDLRSGFRIVMTFDQNEYFENTVITKSYHLQSETPSTQITEIKWRENKKPPPKPEDESAVTFLEWLNYAAPPDSDEIAEVIKDDLFVNPLQYYVMPDMQEVDVDEMESFLNEDRGVDENGQPILGFPRPVDLSTIMATDDSDELGEEAVEEMDDEEKVEDEEGEDGEDEEEGEIEGESVDDEDDDGEEGALEDDEDEEEAEAEGEDQGEGEGEDEGEGEDQGEGEGSGGGEGEEGVQEEEEEGGDEENDAEKAAELLGPIDEQ >CRE01134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:99792:101069:1 gene:WBGene00077396 transcript:CRE01134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01134 MLEKSVPQCSAGNLNELMAQTASLLAVNEQLRKEIADNEQIHAMQLRALSTQPPSNHITPYVDPRRRGERRMQKPESYKTVICQAWLESKTCTFAENCRFAHGEEELRPSFIEPRQNNKYKTKLCDKYTTTGLCPYGKRCLFIHPDHGPNAYIRADKLYEVSQRHALADLRDQMEQHIMTGGRSTVPDISTVTKPLDIMARPSTPDEPAAKMPLGPTPVSTRGPKYELPPKNVPEEEAGSLPPSSWPLDPSSFFSLDNLNMASRPVSPFESMLIEAAATAGVLPFTMIGKQSTPGGVSGYSSAGSTPYQDSDTSPESLLAKSVINPLLIPQREELYSPMPGFDKLAEEMAKQFELW >CRE25920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:35642:35800:-1 gene:WBGene00077397 transcript:CRE25920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25920 MNILTLFIFCLLAITSPVIARRPCHENTQCDYESICYEGYCYTLDEIFDGKK >CRE01169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:91432:97321:-1 gene:WBGene00077398 transcript:CRE01169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01169 MGEEGCRGWIKLEIPCSLPKKQMIPIIRAHTTRLENALDNSDMTIQTKGEMQAVEEQDCEPFYEANYEVVSGGFSHHLLSVIQEYLRDLKQDCMLPCQLGNLVLHSSDFWSTELTCHLVDIPLSAFYFGNIQGGVFINHWEVSFWRDTWRRNDLRLKNRTLTMADRVGLNQIRVEFEFDKVDFMTVHFRHEEENFEVTDKDFKKTRQTVTMYYQITVRRTSIRRVIVDPVVSDNHGSDRVRIHFELNCPVLIRRAFRTQRQEADSKHVIPHYKRHLIINHGREPKQYPTSKAITDSPVFTVEFDTSVSLAEIYRLLSRLRIRTGVSIEFADIPAIDCLIWKDNPYHRWTFQNSQQLPATHFSAPIYRDFVATAFPPKHEICGSREIDTNRERKFAITYLLECLISRGAVVKDQILLVETIWTNFLAVILHYYNMDDKLCEAGLEDLVHMIDGRKRIGSLIKCFDRICQTRLKNSLINGLTSEEVREGYQRVRKIIFTPTRVVYVAPETLMGNRVLRRYDRDGCRVLRIMFRDDDNQKMRTNKTSTLLETTVHRYLRDGITVAGKNFGYLGSSNSQMRDSGAYFMEKYSSAQCREYEEKYKLKPPIDFNPKIQSARKNLGRFETIDNIPKMMARLGQCFTQSRLSGVNLERCTYITTFDLTGGKNVKRDEYTFSDGVGLMSHLFAKMVSEVMDLGKGVPSCFQFRFRGMKGVIAVEPLLDNIRLWCVENNVPEVSEETSWGLSCVFRPSQIKFISKRHPRDQVEIVKYSAPVPVSLNKPFINILDQVSEMQSLECHRRVTNRIEELLDRQMLQFAQQMVDETFCRNRLKELPRRVDIDYLRTTWGFTLSSEPFFRSLIKASIKFLITRQLRKEQIPIPADLGRSMLGVVDETGRLQYGQIFVQLTKNLALKIPPKTAARQVLTGTVLLTKNPCIVAGDVRIYEAVDIPELHHMNDVVVFPQHGPRPHPDEMAGSDLDGDEYSIIWDQQLLLDKNEDPFDFTSEKQKSSFKEEEIDGLMRDFYVTYLKLDSVGTISNSHLHNSDQYGLNSRVCMDLAKKNCQAVDFTKSGIPPEELEKKWRKDDETGEMIPPERAERVPDYHMGNDHSPMYVSPRLCGKLFREFKAIDDVVKISEERDEQIEISIDPTMTIDGYDEYMQSAREDLARYNAQLRSVMENYGIKKINDRYFQTEGEIFSGCIVDMRNRISDKDQDDMSFYNTNQLIETKVSNLFKKYREHFFDEFDGGWQTNTECTRNGNETNILQRQCRAPTNKMMRKAVAWYKACYEEARVTRENKKLSFAWLAYDVLASVKQQSCLAADEIILGGANPLYTMMDTHRKQYLSDNSRLFEDYRQFSTESKDGKQVRRAVKIVRMYVEAIQGLDEVLFLLDEWARVSKLFDNQPLRSYHFSLLFILFATRQYSSVDGNAAAFFKKIDEKQWKLKDNENEMSTILTEKEKSTMTVKFLEFLASRKFRKMPNLSFRPLNFSSIFMRGEWQIFHEAALKTYYNVLFNLRFEELPISTDPTVTIRSIIRENEPFVIELPVDADRNLVHRKLIEHTGVEEVCMRNMEKSVRASDGPQKSNIKYLVSTRGTLEAMHKLRQLVAVKVPIKTYVTGQDISIQMARLCYEKIIKGHINT >CRE01132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:90698:91133:1 gene:WBGene00077399 transcript:CRE01132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01132 MNYTLYVFFTILTLFARELNEFFWFIKILEVILCFLSGIYHLSMLVFQYSIGYLQGAALNDLNPEDYTHEYMFYTVYFGLILHFELLIICDWENTNGPEIVKKLIGRFRSARSEQEDGQVFNNRF >CRE01131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:85979:86552:1 gene:WBGene00077400 transcript:CRE01131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01131 MDEEYRNTLLSCTQMFLMFSLASIFQVQNFCIQLSGCMMVVYYLVIYWYIFCSERISHRVFNIQAIIFTVFSGIVGHWTVMKTDVSPTDYIFFVGIFLTFAFHCNSMATHYENGRQQDERDRMNAVRGRARERRNM >CRE01168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:83191:83828:-1 gene:WBGene00077401 transcript:CRE01168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01168 MIPICWMYKCSAKAIVANEKSQNVWLNRRSMFLVSLSNVYQEEHEKKLRKCLERYYSYVSRCKSLKGFRRDLTWRHPHEVEDELETYHLDEFDGFMKRLRKAERPITSLEAQYFPGVITCYPEDITEFFEKRWKRIKKSFVSAKNNICNCFKRSPAINQ >CRE01167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:77996:79269:-1 gene:WBGene00077402 transcript:CRE01167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01167 MSSDNYEVPQIVKPAVAVSPLRDPLVKQRDATRFSIESSQAADRNLIDSASIITTDGFISANRGNLIISKILKVDPAVVNAQQKALLLKQKTPDGIRSIRKALMPQNEPMLYDQSTTGHRELMDKLKAPEANISLVPTPVTHDPRLHCSFQSNESSRIQHPVQLRHYVEHTSHEVTPIRG >CRE01193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:337053:338558:-1 gene:WBGene00077403 transcript:CRE01193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01193 MANAVSSFPLLRLPEKALTKTLQCMTAIDRLGVSFCSTRSKQAVVNLNLKADSVSLVVESFIEITFYFQPNSSLELKISPENMRSGNLKNASVPHNVNFHYESFKSNDFVEFTDNLLEERDHQHDPDSDFDDDESEGSEDEEDEREEENQGNNLMEDTDSDGDEEDLNEQEEYRRVASPDNTEDEREEEENNEVMSESDEVDDEEDEDEQESEEESEVADDEDEEVDEAPNHYFPVLRAMDHTPVPLQDFEWNLSVIDVRTLIDHCFEVYHMSQISAFYVWNGCEQLDFEVIRETIKGYNILQFEVRTESYAFARDMMNLQIPAKRLEINVLCFENTLSLHKTLIQNHDVISLNEPLVRNHTLIADDLLLINSAFVEIHDAMISNKELNKFMKHWIQGSNRRMKHIILRKIGDAGFNKDTIFKGISHEEFPEGVVRECKVLTGGAFATVKIASGFNFFREDHTEATIRIKNRRGRAALEFIVWD >CRE24842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig355:17450:17689:1 gene:WBGene00077405 transcript:CRE24842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24842 MIQIHHIRKTNIHALQNHLQDSKNPQSIVIVGSPALMDPKIQAEVILSEKLATTIPQPALGQTGNNPRLSDEYRTAIEQ >CRE01129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:72406:72822:1 gene:WBGene00077406 transcript:CRE01129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01129 MSEVENPETVPVKYTCRIKMGRCNCVKNDANPNQPIVNRRPEQGILAVKVEEGVLPNFCWTNRATGEVGLDISGSRQQFELSRVRDHDGEWAYLVKHFEERHSEGVFYAFWLQETETDRNTVEAIRRVIEGHPVEAGL >CRE01128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:69110:70004:1 gene:WBGene00077407 transcript:CRE01128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01128 MDPSLGDRAIDWILCCNGQSLLDGRRNDGVRSQLASRHFHSASCPSYRPVVPPQSRATKVGAGQTESRGGYGLAKR >CRE01166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:63863:67500:-1 gene:WBGene00077408 transcript:CRE01166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01166 MVEECLKLHIYSERRMERLSKRCKINRVITHSIEDIERILRTFDSSRLEKYMNIQHKLNDYLKKCEIKYFPEENPVYRNNYWERRAVHTLVQYDVRPVPQRSRRKVEDVHFFHFYTPNLRKVPPRCGFTTHHANFYIIRNCATTLAIPLSIIYSDSFLKSHVPASWKHAIIIPIPKKGSLTSPENYRPISLTDPFSRVCERILCNYIKINLFRNISRFQHGFLSKRSCSSSLVHSISEYRLLLSSHKSLDVVYFDFRKAFDQVDHQFLISKLDSFGIPSNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLCIAAFADDIKLYSHDPLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLIEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE01127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:63021:63407:1 gene:WBGene00077409 transcript:CRE01127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01127 MNERRLERKRKFIIGGILRLCEDTNERKTFMQKYNIRVNYPFYELPNVEMELNSKTIDELKEYETRVWNVHSDVMHTQLMEFDDDICVAYWDCIGLGQRLKFVWDDMIEAISNLKKRTHGQVVPNETK >CRE01164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:60813:61199:-1 gene:WBGene00077410 transcript:CRE01164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01164 MNERKLERKRKFTIGGIMRLCEDTNERKTFMQNYGIRVNYPFYDLPNVEMELNSKTIEELREYRKKVREVHSDVMHTQLFEFEENICVAYWDCIGWGQLLKFLWDDMIEAISNLKNRTNDQVVPNDAK >CRE01126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:59673:60559:1 gene:WBGene00077411 transcript:CRE01126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01126 MMFLEIAYIVFTFFLASLSALFLSFSNHAYTLATSSVLTLYYFLVLMVGAKDNSRRVQIIHFLFCMLSLYILFDMLIYNKILYQVDEVVFYMSLAGFFFHNILSYILEVTKKRYPEVLAESEDGVIVVSKVEYFENGDVHVEFI >CRE01125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:57923:58464:1 gene:WBGene00077412 transcript:CRE01125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01125 MDYLMTFLELIFVVQTAFLATWTTTFIYSASDMCTLAEISISVFYYIAVLMQVRYVIILDFLLCITDNVILISIKFEMEMVQHFQQDWNIFIASAVGHLLHNFMLFGLFLMQYKGQECNKSFEALQQADSKDQNEEKSDKKIAPIEYA >CRE09250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:904890:906064:-1 gene:WBGene00077413 transcript:CRE09250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09250 MQSVEKFNAMNVNFWRINNEPTYMGGYLVDSGALVTAQLSTLYINEIVFKNPREFDPERFLRDEKLLQNVIPFELGKRSCLGESSARSELYLSIKCWLGGNGRRQLQQDFGFKNRQNHGYWHKIDTNRQKN >CRE01192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:335164:335716:-1 gene:WBGene00077414 transcript:CRE01192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01192 MPKQTPLKKALIVTSFILSAASIFLCFLSDHFYNVSINVTLSIFYSTFGYFARRGRGLILLAFIEFAIFTLTLCMVGAVFIDGEAMKVGQFEEWVLFGSFAGLMFHFSLFCANGVDDDEQEPHIEERYQRLVHFTPIESTIGNEYVGPMF >CRE01124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:55400:55915:1 gene:WBGene00077415 transcript:CRE01124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01124 MSISQALFVASYMMAIFTYPLCFVSKHSFSIISNIILIIYYTIYSLAHRIPKERLRWFLVIEFLIFALLSFMVATVLIKQNGARIEYNDKIILFINYTGLILHLFAFCSQIIKDDRNIDDYPATPVPISMSQIFNIA >CRE01163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:53236:54175:-1 gene:WBGene00077416 transcript:CRE01163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01163 MAEQALIKEESKELTTVEKVLVKEEFKEIVEESRKERGILLISIKNLYKDLDRRCAFLRARSPFPNGYMKVTKRELRTSNIEKLHKVKAHYEDLQGKLMKIQKEEFPRVPIFYVDTSAFEWRLQEISRRVRGGLLTFLEALIK >CRE01162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:48335:49777:-1 gene:WBGene00077417 transcript:CRE01162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01162 MENVENVDNSESFMIKVRLLEFLKDNGIPFPVVDNAKFHSFVDFLKPGVALPTSEELIKFNSNCYPKHKEQQDKFKEDNSEAPASENHVEHFFSGGKSSTYILQETKPEFLTLSGNPEKPQLGTSSSNNQDNFMKSETSKTASKFMNLESIPGYASTPCIVCLERKQTSEVRLVRTNDAYIMIFICVKNELYSMDDAKEIAKLPKFQCCAIHLDDMYRDALDHLGVVDPEIDVHTDNARIMEANHLVRELRSARANRVIMKQTHVHYFLRYIKKFLENYARHNYIAASKELYYPPVPTNSRSP >CRE01123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:42953:46105:1 gene:WBGene00077418 transcript:CRE01123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01123 MFLSLHHINPEYMEKIKTHLCVTKYESMKNFFRCSFPVSKNECCSYVGSLSDVDNHFPMHTGAYRFYCWRCNQLFHEESATNTHSKNGCPRSKSEFLAHDEKLVLFHRRAKNLFIKQIDREDYKRIHEGNIQLMKSSVDKDVPTYDVSAPFNSAKRAALPDYPTRHPDHRSSRQVFDAVATPRYEDQQNLDSRSHPAGPVRSEERQDPRSQPTEPSRTARSDTTVHQQNSDARSKPAAPVQTSIPEAAPVSDPRQTQTSDPRRKSISTPQQCRPALERGRDLEREYSSDQRLQTSTRQQDAVPTVPALRPDSEKPAPRPSAGPDRTPRSELGHVQESVDNHQSGLSTPQNEASSRTCPPRRGRDSGLDRATHQRFQETTRQQDPAPSVPAPRPNSVRPAPQHPQNTAPHPSVGSDRISRLEVGHGQEFVYNHQFGISTPHNQNDAPLGICPPAPRREWSSELENGVHQRFHDSDPRQDNATTPEQEAPPRVCPPAPRREWGSELEHGAHQRFQGINPRQNSAPPRPDQFESHGHNPQDSWHNNGRPGFPTPAHSPPGNAPLPWKGPPGNGISHEHNGFQNKGPGHPLHPVWGVFGFQGHGDSRQPNGYQSGPPPFVHQQPASNQWNNNNPPNRSDFRRDYWDHQGPPRHSRSRHQSPERDRNRNRSQSRSRPQSRGPITPQHRSQSRQSRRPSPVAVAQQENCTQIAPEETNQTSLSDLSDVRRIMSDWVSRKSSDAVEDHNNVILHHQQSAHLLLGSPIFLPATKPKPLRELSEKDIELKADLELKARLAEMRVERYFPPPPPPPPSVPDHEHVGARQTESITDSPAPAQADDPPTTDPASNRIHQGVQALRFTLTGQCSHRPPPSEPSPTPPSRPASVLLALKDKNIKTVDQLLRERPLQETERVSRKRIANHDADSNAQTQFAPDCSKSRMDARYESEDQAPIQKRWRPAFSETDYSPSSPTYSETSSSSLENSQRPPPARPSGSTQHHQPG >CRE01161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:33540:36070:-1 gene:WBGene00077420 transcript:CRE01161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01161 MSYMKSDFERYLDGELVGDPVALERVKRQINAGRRDKFKVIEMDEDDDEEEEEEEEYVDDFGEEDRYFEDTRMQMAEQTRILQHRKEMEVMRALLVKVKLGECWFHISAVFLVWFASSIIAAASPFLITTYAAKDSVLHQLWPYATVITCSLLIVIGGFHLKNKYEFKSALEADEVYISEDDIPEYDHRTIAQMNFRIDELKGDYTLFNRICAFLAICLVGMLISMARGY >CRE01160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:31698:32775:-1 gene:WBGene00077421 transcript:CRE01160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01160 MVQIKINMEPKEPEAPEELEKLKTADIAAEIKDRNRKLDVIVHDYIVDMDRYVWVNSCFLVLFLDIWIKMMIEMVSERGSFWKRFTLYVETFIFFASFILLVLYIWAVYSDQKRERALLQKDIDPKDPESVRKHRFSYTLEELDEWEEYMVQLNKRRNFKYQACYHRFMILIALMFMLPAWYFFNAMLVDSPLSFLNYFETVNTTMKIL >CRE01121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:24876:30906:1 gene:WBGene00077422 transcript:CRE01121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01121 MSDENENNTETTQALEDILSYTDSPAFRHIFSFFLGRPRIRAKSTLNSDILSEEVTMRKGKLIHTWQNARAVFYNSNIRLQTKNNQDEALQVRNMKVDIYESKKGRALRLRDEDSCYLIIFQRPNILEAWLTRAQQVEKSNHVDASDEQLTLIPEQILNNEARIQILNLRRNSLISRPPTEKSMAPLGYIDDLYRVHSLQVIDLSANQILSFPIQLTLLSHLRQLNLSSNYISSVPNECSNMRRLQYLNLSNNQLDSLPDSISELQNLVNLDVSFNQFSQIPPCLFHLSLDMWRLAGNNIEKIDRVGELKIQKIDLRRNVISTSFRLDIENITHLDLRDNSMVSTVHLTNLRFLKVIHCERLQLTSLHLSGESLTHVYADHNLLDSLVVMPLPQNLQTLSLSYNHFQNLPDWISECPNLTFLRANNNGLVALPERIFFSPSLRSIFAFVNEISHLPDFGEENCLETLILYKNKISSLPKHFFSVLPRLRQLNISSNLIELLPYFDGSSFCRVQVRPFWIEPENRYLKIFQILRAANNYLTENSVPVIVNMKHLKVIDLSHNRLNSFDDSALSSLELLEDLNLSSNRLTRLADCLSLLPCLQILRAHSNQLVHVPELQAAAQLHTIDLSSNNISLGTLQFKAPPNLRHFDVTCNSGDFDTENFPENANMHSKMNTINISEGPQNLFGFQIGVSGSRGMKNKQCIRQVRVENTFGFIDGGSNSYMSSSICRFLTSYLKENMSADIRSILLRCHCELGEEGERLGASVMIIRLHERRLEIASTGTMSAAIARNQKLKTIINGRYEIDDDEYSRIRDAHGFLDEENRINGVIGTSKQIGHFSTFPVVLPTHSYKNIRLSEDIEGLIVANSMVWSMLTMEDLNSAFHNNRSPIVVAKKIQDQLQSYDYGGNSNILVLRRIKPQMTFNGFSTVSSSNQMTPEIRDPARPKIDEQLVLAVPALILPEYHPSPPGPPPPPPPPVPAIRHRTPSPPPPPLPLSTPPPVSELEEINIHQSATPSGYTLSIDRFYSSSSTVSSRRQFNETRDLLSKSLKLSPPNTVTFNI >CRE01120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:19088:21335:1 gene:WBGene00077423 transcript:CRE01120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01120 MVFFSCNNCGEACKKNQVERHLFQCRNTTFSCIDCQLVYTRDTYKDHVKCITENQKYGGKNYVEKENKGEAKQNAWVDQVNRAIEFVTDSQVKELLKSVAGFANIPRKEAKFINFLINSCRLRDKNLALRAWQAIAAEAEKMREEAIRKQEEMQKQEKAQKEAAAAAKKDAAEKTGATSSSAPEDAAETAPESTPSSFKWKKVIKRKLKENGGEMKIKKLRKAVCEEYSTKNGGDSDDVESLFDEKLQKCGVSVEGKKVSLVA >CRE01119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:15913:18655:1 gene:WBGene00077424 transcript:CRE01119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnf-5 description:CRE-RNF-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MWJ4] MKVQLFIFPLYFFAISLLSQFLVLFASFIPLSPFIQKFIKNLQMASETTVPNQEPHSSSNKDESARFECNICLDAAKDAVVSLCGHLFCWPCLSQWLDTRPNNQVCPVCKSAIDGSKVVPIYGRGGDSSDPREKIPPRPKGQRTEPPPQSFGGFNWGAFNDGGMMGGGGGHNVHFSFGIGTVNGLFPLMFMLPFIQGIFPLSFVASLFGGLGAGNGQNNQAGGGGDGAAGHEHSHGQANRGAHGDPAQSGSRMAQEEEYLSNIFKYIGIFMLVWLLFV >CRE01117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:9318:13698:1 gene:WBGene00077426 transcript:CRE01117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnf-121 description:CRE-RNF-121 protein [Source:UniProtKB/TrEMBL;Acc:E3MWJ2] MGQHGAIRLQNEVQEGVMPVHELTEEEQWAEEHRKMHEKHKGHEAMHMEMMIIFIISVVVGQIFLVTWKRKHFKSYQMCTLIGMLTIPVYVCFNRSWYRFLATWLVFCIFSAFIWVKASAQHISGGTPRMVYKWFLFLHKMSYVLGVIGYLIMMAALLGFHVLFGVTQPNLMDIGILFMFYGVYYGVLGRDFAHICTARMASRIGYYTAEGLPKKHLEDGVCAVCAGRLDDSDHVHEAVIQNKLGEDDDEKLYKLSCGHVFHEFCIRGWVVVGKLQTCPYCKERVDLQRMFKNPWEKPHLFYGKLLDWIRYLVCWQPLIVTGVQGITTWLGLE >CRE01159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:7756:8672:-1 gene:WBGene00077427 transcript:CRE01159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01159 MRNNPNIKFLIVFVFLISSLQIEPPIFILNFLIFRKMSNGQLVSQVVAGQDKPVDREKVCPMLLRVFCGNNRHNPIGEFNNRNGGSVPPNELLMHTWMDCSLRELTNLIKEINPDARRKGITFDFAVVQPDRNSPRYILREIGNTMNGQRGIDDNKTLQQCKFEVGDFIDVAITMPGGGRRFGHRESGGDRFERRMGRSPVR >CRE01158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:6246:7743:-1 gene:WBGene00077428 transcript:CRE01158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01158 MIFFLQFLLISLQFSHYLVECDKVVFNISKIPDRIQQKMDIEKPIIPNRLLQPFLSESIAHILLNPIDEFPKDKSERDRIESARINWDSSLQHFPEIHESMGITELVKACALEHKFSELPIIFPRFLCGLAAIYRELGLPKLNYTRSIFPRVTDAIKFLNDRENYPCHSMNMTDVVAMIPGRIYSGCVSDTNPLEFSQFDSAFVMTHPFPDAFSRESCENVKPTCELRSMLFQHKILYQQKDCCCDGEMCALLVFTQTDSLLPLILKTIDLSKETLIPLPTESTTTETVTTTVETTRRREPVTLNAGLFGG >CRE11548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig697:36546:36842:-1 gene:WBGene00077429 transcript:CRE11548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11548 MINGDRRKRWTNSAVNKGNGAEFEWNNAVKGATKLYCTLNIPISGVQERSNQKSSAVSVPDSNLFL >CRE01190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:328354:329756:-1 gene:WBGene00077431 transcript:CRE01190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mls-1 description:CRE-MLS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MWH2] MYSLSKNINCNRLKEINFRFLSPKPPSPLSCYPFPLSLHKSLSPLPTFSRMNRSFSIDSILARKSTVKQKIHSEERLHLIRVFLQSSNLWRRFHSLGTEMIVTKSGRRMFPTLSVIIAGLDPVKNYVVMVDLECIELKRFRYSFHQSKWISTGPGESELPSRMFVHSDSPARGAHWMRAPVSFDKMKLTNNQLDNNGHIIVNSMHKYRPRVHIIEQDGSQMRHTFSFEETEFIAVTAYQNHRITSLKIESNPFAKGFRECEVQGMEMSHLGLGGGGSGTFQSVFPLIFPYFANLAANGIGVEK >CRE05767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1013501:1013868:-1 gene:WBGene00077432 transcript:CRE05767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnc-4 description:CRE-CNC-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LZZ1] MIRAILILLVALIAMSSAQWGYGPYGGYGGGYPGMYGGYGMRPYGMYGGYGMGMYRPGLLGMLIGKK >CRE05770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1017104:1017361:-1 gene:WBGene00077433 transcript:CRE05770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnc-1 description:CRE-CNC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZZ5] MLRFVLILLVTLFVIASAQYGYGYGNYGYNGGYGGGYPGYGRYGMNSGLGVGVGGGGLVGSLLGRK >CRE00046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:406050:406553:1 gene:WBGene00077790 transcript:CRE00046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00046 MSDNSSNPQEEPEDDTAQEPEEDNDDDYCTACLDFLVERKNPPSCTHGYCIRCFYLLISRRDNCLICNCAVYDIDRVFKDLRSQVNIEANKTPYNRNGGQ >CRE00089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:846681:846971:1 gene:WBGene00077791 transcript:CRE00089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00089 MANLPAKKKTEQGGKKAEKAKPGDQVFWRRGQEPKTTKQEKRSKSLPAPKPPKKDKKQKNNRQLNEEECIELFQTLGLN >CRE00423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4110573:4111195:1 gene:WBGene00077792 transcript:CRE00423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00423 MWAFDVSSETARIGLAVVNGDQNEQPPPVATLNDITSHTNFHSKLELLKDNYADFDHSGQLLAHNLDVICDDSGYSSTKEGYRTTIKNHLLLYITSGTAFNVDPVPFVQNMLARKQYGMITVGYGDGLDFHKLKNLAGGASCAFSAKNPTELNSLIKPIQRLIMTADATGGVYCIPK >CRE00527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:549552:550875:-1 gene:WBGene00077793 transcript:CRE00527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00527 MVTTRLQIRTMVTTRPQVRKKNQTINTKLVKTKESKQSENCTPSISPNTSQVQTDTRKPRVRKTANKPPCRTARIETEQPYTPPALNPRVRLNQTKYDNFEPEIINITLPGFDLDRCMIQFVQKGVMFSDYFYSIIGNECLCERVRRWQMGSKD >CRE00434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4209476:4210609:1 gene:WBGene00077794 transcript:CRE00434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00434 description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3LCP4] MSKPSVGINGFGRIGRLVLRAAVEKDSVNVVAVNDPFISIDYMVYLFQYDSTHGRFKGTVAHEGDHLLVTKEGKSQHKIKVYNARDPAEIQWGAAGADYVVESTGVFTTIEKANAHLKGGAKKVIISAPSADAPMFVVGVNHEKYDHANDHIISNASCTTNCLAPLAKVINDNFGIIEGLMTTVHAVTATQKTVDGPSGKLWRDGRGAGQNIIPASTGAAKAVGKVIPELNGKLTGMAFRVPTPDVSVVDLTARLEKPASLDDIKKVVKAAAEGPLKGVLAYTEDQVVSSDFISDTHSSIFDAGASISLNPNFVKLVSWYDNEFGYSNRVVDLISYIATKA >CRE00327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3199902:3200620:1 gene:WBGene00077795 transcript:CRE00327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00327 MKSHIILVIFFMMTNPVQCLHRDFYAEFEANASMFPTNSDGLPSSTPLETKQEDRSYNNYRIGLDTTTVTGPKEDTHKTVRIPITARSSPGKVYYDEFNNETSQKNKYAIFIIGFIVFMLILFVILSNINSSSFRLATTEKHRHIDMVVDTGLESIVVLDIPNNEINTSRAFFIPGFRNQLSVVREDSQEIDF >CRE00561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:863572:863805:-1 gene:WBGene00077796 transcript:CRE00561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00561 MIIDVHSFARCSVVNILSATRRISTPELAQKEESLINPELEEEESLINPELEEEESLINPELEEEESLINPEIAEES >CRE00351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3426892:3428982:1 gene:WBGene00077797 transcript:CRE00351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00351 MFMCDSEESGGGGPPSDSLEIPDLVRYGDHQDSRDHHDPANLDKLGGNFLHHPAVMGSLEKLIRLVQFYWSLFFKTSKLVKFKSLTNTT >CRE00724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2296378:2297054:-1 gene:WBGene00077798 transcript:CRE00724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00724 MSREIVAELKIWGYEIPFVMLFDSWVLRTNELDIENIKQFITYVFSGLPDSEHRIDRAIKLAQLLREYKTSVSDTKLYLFKSKQLGDAAFKKAVRVDLNEELSRSMTCNGFDELSLQPIETYLIDGDHESCLKAENLKKVKDLILAPFKPYFDHA >CRE00134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1199563:1199766:1 gene:WBGene00077799 transcript:CRE00134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00134 MHSLFVKPTHRRWTHISPDKKHKHKLDHIFANGKYVTDVSIISVISVRQGTQLIESWSTIAKDRRNG >CRE00451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4302740:4303075:1 gene:WBGene00077800 transcript:CRE00451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00451 MPPKKNNGHVIKKKLELQTTPVQVSPRTPVQVSARIPKQLIPPQRFAQKKTPVTVNKNAQAQRSRRDPVQYQLREGDPLRADAEEYEGFWDAVQELLDISKPNQSNGNAQK >CRE00917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4151164:4151820:-1 gene:WBGene00077801 transcript:CRE00917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00917 MRSTHVPDLHAMALAMENEVAVGVPQDEPVMGGDFERRRRAGSVHLRHIRRHVPEEVHDELVESLRVHVEQFNRGLEVQVGLTICRILYDYPYLVSGFNDFLWSDIEITRGLVNGTPRYIIWKEGRGMVIVPMREQEDAQNRQEE >CRE00751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2477907:2479863:-1 gene:WBGene00077802 transcript:CRE00751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pqn-62 description:CRE-PQN-62 protein [Source:UniProtKB/TrEMBL;Acc:E3LE48] MPIGDATATTSGSPVVISAVAETTENAEMMDNETMQKLLSPATLRAREELLTQQQAKINELVDLIQKNHNTLRDQQQQINLAKKQQKMRQQQNSQTAALEKHMNSRCIQHAMKNRANLQMLSELTKQQDSIRTAETRLIEQLHINTATDDIARLIKQDGRTALVIVSLLHDYRTTREQNNRVKTTKTESVADDKNAVVEVAAAPAPKKKAAPRKRPAAGTAKSNQSKRPSQKKCQPRPDVEIVQVIPPPTIEQKPIINKEIFHQKTQNFTEFSQPEVPRRPRTQSDVDMEEIFKTVIEASRSPQCQKNSDSQQQQSDNEVISLPSEVSSPIRTQSQNSAQSVFSDVSYTKNMMYQQDNSPQQYTMGAETESSCSPNEVLITPNELLQQQVQNINVIAQQVEKTDVLPQFEQSTLDQELGTHRYSESLMYNEVSNNDFCHNNGMDFDFPDIDQMVANFKDCELILQYYFVHFNRFSAEPLSSSLDDVDLTALLNCWTENCDSNQICGDQTADHDMIMYDPNNSAMGQHGMEWTNYSNHSNIINQAFEQSQMQ >CRE00234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2233758:2233984:1 gene:WBGene00077803 transcript:CRE00234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00234 MALTCTDIPKFLCALLLPPIGVWMEKGCTYHLAINILLTILGYIPGIIHACYVILAY >CRE00125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1123268:1125123:1 gene:WBGene00077804 transcript:CRE00125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00125 MKTYLFFTLLSPLAAFDFSEEARKYVDHNVDPCDDFYRHACRLGGSSYLPNQIITEQLEKSNVNASSKEFPDIENIAINGFPEYTENLGEFIPDIFKDICESGQDTLPFLAELEPLITQWFGERTDEKCIGHYCLTHLAKDPDCNRVENYLVELINNKFKFSQPLEYVQGAIHNIHVMDMFLKTHNDHSLENMNNFFMEVKDAAIELIMATPWTRNHNVSESIADIVNQIDVKNVMNVTRASIAKLLIDCNNLYTECKQNYANVTSPYISEYCFFQARFKKDFETVPITNQIVAMSTAGAGTLYPHIMVGEMWYQIFINTQSRFAKLGSPGFTIAHELSHSLIKSSPQDLLLYFSQEAEDCIQEQYRSSCREFDEGDCEITEEHFEENGADVLAMQIVWKLFEKQYIDREKRIVEIRGSEKLKQLFYSMATNLCDGKKSVADPSDPHAPNNVRINALVNHPAFEMAFQCSPHSRMMKSKTKECPVYGESAPQHRRRFFPKK >CRE00331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3207695:3208200:1 gene:WBGene00077805 transcript:CRE00331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00331 MRISTRPNLDQTRGIHRSTNTRVEVEQESTLKLRFKIYQVLLVLIALSMVYYWHKSLTLPKKGLTGFETNIEDDTGFFNHLVKKMAKQATLYFVVFITEPLNLSLIMMCLFSRIVLNFVAFNLTIAYREFVWGYEIDESYFVPLIPSSPVVDV >CRE00642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1599977:1601128:-1 gene:WBGene00077806 transcript:CRE00642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00642 MFSTHTEVANTAYLQYKRQTWVAFYRCLITSQHKMSDIKQLENEASSLRRVALVGVAVSFTATLVCVIAAPMLYNYMQHMQSVMQSEVDFCRSRSSNIWREVTRTQVLAKVSGGALRSRRQAGYESAGVEGSVSSGHQGGCCGCGVSAAGPPGAPGQDGEDGSDGAPGAPGNDGPDGPAATPAPHHEFCFDCPAGPAGPAGPAGPKGPNGNPGSDGQPGAPGNNGNAGGPGAPGQAGQDGHPGNAGAPGAPGKVVEVPGPAGAPGAPGPDGPAGPAGSPGAPGNPGSQGPQGPAGDNGSAGAPGQPGANGDNGADGETGAPGGCDHCPPPRTAPGY >CRE00509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:344522:346917:-1 gene:WBGene00077807 transcript:CRE00509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00509 MRSIIIASLVALALASTPVFERTFEPKTDYHYKLDGLVLSGLPVTSSEHSQTRISARARIQLVDDRTIALQLIKIRLAASHLPESEQMPSLNSLEQRELSDEYKQMLELPVRAQLRNGLISEIQFEKEDEEWSKNMKRAVLNMISFNPIAPRHEMERLESYEDKESTEDNRSFFTTEKTLEGECQVAYTIVREQKKTIITKSINFDKCTERSETAYGLRFSSECPECEKETEVIRPQTVYTYVLENNELKESEVRSLYTVNVNGQEVMKTETRSKLMLEESHFIKNHIKKVNGEKEDIIYSSRWEQLVEDFFKNGNKAEFEPFEKFPLDKRIKLIKTIVDRIQEEEHNMPETAHFFAQLVRLFRTCTISQLEKIHDTLYVKSDKKVIRNSQSTVIQSLFEHVLAVSGTKNTIEHLLAHIEQSEVTPVKIAQLLKSIQETPFPSEAIAESLIKFANSRVAKNSQVIRQSVWLATGSVVRGIVDNKNISPLVREDKREIKQKFLRVFMQQYEEAETTYEKILALKTIGNAGLDISVNQLNEIIVDKRQPLPVRKEAIDALRLLKDSMPRKIQKVLLPIYKNRQYEPEIRMLALWRMMHTRPEETLLVQVVSQMEKESNQQVAALTHQMIRHFAQSTNPCYERVAKDCSKVLSFTRYEPQQQMISSAYAQLPLFVQNAFSGAQFDFAAIFEKNSFLPKDLHASLDAVFGGNWIKYFAQIGFSQQNMDQYLYKLSKSSSLLRRNPPPLSADAASKPELSFSRSSPKR >CRE00091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:850456:851595:1 gene:WBGene00077808 transcript:CRE00091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00091 MKRFDSKTPIVVTYYDLVAEPLDGFEAAYAAFNKREPKPTPRQVPTPAPQTEFSLCEKFLKFFSHVSGQFSVILTTSTYCPSSKFSATFLQLSIFL >CRE00088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:844618:844932:1 gene:WBGene00077809 transcript:CRE00088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00088 MSDKPKVDLRWRKIKEHTWEEKTPQTRNDPASVGTPGTSDKPVIETQTGPATDTNQKPVTNNASNLVKTMFHLRTKEKKEEAVLEFSQI >CRE00330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3206244:3206662:1 gene:WBGene00077810 transcript:CRE00330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00330 MTYSYTNPPAFKDLVRLLPPTSKVTIVETDVPLSYKIFEILITLGVFVVAFCLVALVMYLCTRPATSFLGRIFFWDHVEEAVEPAAANANEQFVQIVLNDDVEGTHQPPPTA >CRE00405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3956548:3957987:1 gene:WBGene00077811 transcript:CRE00405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00405 MVDQTRDRTYLTYLLVDFKRDTGLSFSAFDNVKFHRIVKFLNPNVKLPTSAEMTNFYDTYHEAFTGSNNNVLVNAGNERDRNSEEYMPVDVTDDQVDYPSHEYSDTEAHVLQDSMEMGSNEMSMQMQNMKYTDPNSGMGYGAASENERFPSEQLQMSDEPLATDNENHQEGKCSQINLQNSPIGSTYADLNNIMPEAQLSGSAVGDRDEFNQQKSSSDSSPMGQESPSFQNSNSYASTSLVPALHCESLGKIEIKQENQANLPYPKYFLGCKVLKIEKNIVTLKSIPGYLNKPCIVCCERKEGRHMREVKGSYNAYIMIFACIKNGYYSKEKGKQISRLHTFYSCICHNNEMYNSACKHMGIANPTTDIHAENKNVVDALPHITEIKNSRNTVERINSLGIFIELVKKFCETYKRNYDVYTVKPIIEAPLY >CRE00753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2491763:2492584:-1 gene:WBGene00077812 transcript:CRE00753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00753 MEISCCLCLEGTRIILEDEIGGEDEGSSYKCCRGDVHVKRACFYVSISALVVCIFSTICMFFGVYTVNLWLDIFLIVANTVVAILMMIGLYYDKAALLVPFIVSEIAQCVCFFILANYVLYYTIVFRRQKFYQKFDQMLMVISIYLGIVICIGAIWAATKCYHYLRQKAEGIYPDSGERCMWE >CRE00750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2467301:2476465:-1 gene:WBGene00077813 transcript:CRE00750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00750 MEENDEAIMRAAADAVVEGSPIDTLPDDAYETNTVRNYFLEQDRAVTAAAEAAAAAEIERQRAQYAAMGYQMDDEEEDDGLNEFGLPRKPPQTPPGPAPDDQPGPGLIPFPEDDIDDVSDDEEVDGRPRIGPKTPPEPAPPTPKDDSEEPIKAEAPKPEGSDGELSDDDEMDSLFPPVSQYGGTSQQRARQRSRDVRKRQVEEKKKPYVRTDTTSKELTFPAIATQKCLSLLEFTEKRGLTITDEELLLVVRDCLELDKAMDNIIDKKDLMIKQLEEMRDYEYQKIKKIHANMPRHMQDVLKFDGKTVHIAQERGPMPSQPMPFSSSSSFMPSKYGPPFPHHMGMPPPGHAPPFPPPSMGVPPPPLGMPPPPMGSGGPPPFGMPPPISLLGPPPFNPGMHPPPGRDPLVRGNSPPGGINPMAFSTAPPGHKMPGMGEYSQPPPHTKPVPQQNPQMGRMTNNLSSMLTNALKAQVSKAQSTYNTGSPTSTPTKKPVPSLMSINIPGIPKPGPSGSQK >CRE00550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:698549:699132:-1 gene:WBGene00077814 transcript:CRE00550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-59 MQSYTSIIVVLATISCSSALLFGLIGTEQSVAVTGKLTCNGEPAAHVRVKLYEKEATLDVLLDEGTTNEEGEFKLQGHKIEVSTIDPKLNIYHKCNYKGICYQKSSLTVPDNFITEGEVPQKTFNVGIINLANKFSGDSTDCLN >CRE00329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3205399:3205831:1 gene:WBGene00077815 transcript:CRE00329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00329 MIFSKSTAAAYLMLIVGVAAFEHDAHPPAFDEPLNLKPPSDFDSWKLKPDNETTTITSQSRLTNFEIICCFLTFAFIVFLFVLACHWNEYIIKKRIIRSRHRRLAYLAQLEKAKSVPAPKVEDV >CRE00656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1712921:1713561:-1 gene:WBGene00077816 transcript:CRE00656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00656 MNLQISFILIHFIEVFYACIPTQQVDSSATTTTTTTTTVATTTTTVTEPPFPCNNCPKVYDNTCQGFGIPNLIQWCPTAAEAGIEYTLGLINSIFPFIPAGSCGTVITCPLTTSLRIVTFLGDIPAPVLYAWCEESGPNAGIWYTGIDWLARAELVSLACRPIVSG >CRE00555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:795857:802374:-1 gene:WBGene00077817 transcript:CRE00555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-22 description:CRE-SPP-22 protein [Source:UniProtKB/TrEMBL;Acc:E3LD31] MVKILSNVLISLTFVYFCGAHPHKTNVKDLEKEHMLTTNEYYVEKVNQMAGISCDLCMRAVYGVNYDFIQLKKDVIEMIRLDCEALFHDRAEDISECIRFLTTKVEKYSGKKAESSFLDVRVRFTSGTSNDSDDRNRESNMSTMSQVILKYEIIAATSADVSYR >CRE00929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4350143:4350599:-1 gene:WBGene00077818 transcript:CRE00929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00929 MGEHLLIASLFVFAIPVDSVHFLSEASDISPELNYSRDILNFILDTVSVTVLILLTLVLITIGVIIFFHCKCSPKATATARDDTDYRYYIASSKKDNLAMTDFNCNQQNRMQNSNTRTAKKLSAILENQLDPPFVV >CRE00661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1721579:1722495:-1 gene:WBGene00077819 transcript:CRE00661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00661 MRAIDVVIVVSFVESSFSCLPTQTVEVTTTTVAPYPCSTCPMIYGSGCLGGATDVCATVAEVGLSYTLGIIPGYSFGDANTCSTIFSCPLGTTSQVKLPITGNIVPGPPLVIAWCQETGANAGTWYYGIPPAVIPVEIVATQCQGIVSG >CRE00389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3799147:3802786:1 gene:WBGene00077820 transcript:CRE00389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00389 MDSVNLSIGMHLKESLINEVCETKMKCVKITMKTAENTNKVLANFQKIKSSVPELSQLSARPDLSKMDIIKFRHALKDAIKKNNVAGENLYSERHTSREDHLQRRKIIVCKFS >CRE00319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3183201:3183491:1 gene:WBGene00077821 transcript:CRE00319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00319 MAVCSLFRDDDSFRRFFAIFSWLLKIITLIVFFASVRLHDQYLALHVEQREAREENGPVSNYPRRPRRVAYRPLSAPTE >CRE00592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1198641:1199039:-1 gene:WBGene00077822 transcript:CRE00592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00592 MVPLVCRYNDNEKAKPRHIWFLVDSGSPYTCLSAKAFEYLVGERTVRGLYSCAIQDPSTNIECRLSKGNFSEVNLLGMNAVRRLKLSIDIDWYSETFQLIQK >CRE00005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:94542:94959:1 gene:WBGene00077823 transcript:CRE00005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00005 MTSSRNDMNIEMGDMGAQNREPPENGELQNQYEIDHLPNNDEEGFSLIAKIGFIIIFFIVCTVVSFELKLVFEIIKEVAIGEYRKLTQSDDGSATEPIRSNLTQAG >CRE00312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3073577:3073988:1 gene:WBGene00077824 transcript:CRE00312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00312 MISLSEPMSIQWCFKTKPKHANLCEVCFRPFNLTNIDMHLESGCGTEIQRRYNISPDKETKKRKVTKTKFKISKKPKQIKNSQPPESPEDESSK >CRE00457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4335094:4336905:1 gene:WBGene00077825 transcript:CRE00457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00457 MRVVQFLLLLGFVLSAESVLVPELKTWAVDRFIQCVHCMDYDRSHISLFGCKPRRPILCNGNACFMRFHKEIARPSVMYTSGCLNLTTAEMETIALAQKHWQTLQTLAFAQTWTSAILLICLLISEIDDSEPPKKPKVVWPGEFENQLKKRNRNLEKIDERINGSETPAIHTPSDTSSITSKYLTVHFLEIQFLCCRNSHSNSNSDSETEPTSEEKVDKNPKKSSPRKPTKPTTLTPVSISDTHFLDEYLDPPKKLNPTSSGGSFFLVSSNLVNE >CRE00909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4044962:4047018:-1 gene:WBGene00077826 transcript:CRE00909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00909 MVTVSLTIGTAVSLFCLFPIAETKGRKFATVYCRISLLFIAAVCQILSFFFRASEFFLLAQFIIGMGHPLRTFITIFYVTECSRDKNRGFASTVLIFSTVIGRMLFSIVMLLVTVKLPDSPKWLVYQNRIDEARTSIQYYHGSGCSIDKVIRSFTKEKNLTIEAKISFRQAMGDETLREAIGILLFLTLFFLLDSTSTQAVYTVSLHKDAGFTVQETMNISLILTIVFFPTKFIGTYIIDALGRRPVMILAGVICFGKSILMVITQVVIYFVGQSLLTKIMYVAVECLTGSIPATGVTSLHVLFISELFPPSARTSVAQVMIIIAMIIDTPLLAMFPFVYSYFPPGFFVPFAISQVICGIYLYRHMPETSGRAVCDIIESMEKTVTSRASTFDQRLLPHNIHIY >CRE00931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4363213:4364485:-1 gene:WBGene00077827 transcript:CRE00931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00931 MGSAWDEPKCVLITGGCGFIGSNYINFTFNKWKNTKFINYDKLAFGASPLHVEKEIRESPRYIFVEAKLEDQPTLIKTLQENEVDMVIHFAAITHVDESYSDRIGTIQDNIISTTTLLESIVNSPYKGVKKLVHISTDEVYGDSFEDTTPKSESASLPNPTNPYAASKAACEMVIRSYWHSYKLPYVMVRMNNVYGPRQIHTKLIPKFTKLALDGQPYPLMGDGLHTRSWMYVEDCSEAITRVALEGKLGEIYNIGTDFEMTNIELTKMIHSTVSKLLNREPTAPTFAPIPDRPYHDRRYYIDFSKIRNAMGWQCTTPFSEGLMKTIEYYVKLHVATARLQG >CRE00714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2205186:2206006:-1 gene:WBGene00077829 transcript:CRE00714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00714 MTSPPSSPSHLHVRKLSTTNEDEAKTTSACPRKPGRKLVALSGEEDIMSGGPPPVQQPKRFRNGHASFRLRMLQEQHGPASGTTTQSGSGFEPVSYVNKL >CRE00364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3621970:3623318:1 gene:WBGene00077830 transcript:CRE00364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00364 MGTAFFGDGSLADGLAPASGSFLFNTGVFFSGSAGFFTSSVGVSSSSHGGFHFFAGFLIGVGGTSGVFGSAFTGAAFLGDGSRFFAGTFAGSGSLTVTDFLGGASAGLAPAGMGSFLLRIGVFFSGAAGCFTSSTGASSSSHGGFHFLTGFLTGVGGTSGSVFAGTAFFGDGSLVGLAAVVGSFFLSAGTFRAVGSGSFTSSVGVSSSSHGGFHFFAGFLIGVGGTTGSGSFLAAAFFGDGSLGFTVVGSFFFKTGVFFSGTTGASFTSSTSASSSSHGGFHFLTGFLTGVGGTTVVESGSFSGSFLAATAFFGEGSLGFAAIFFGDRSLTFLAGSLGASSIGSSSSHGGFHFFTGFFTGADDGAPGEGSGLLGASFRFRLGFFSNFDGGTSSTVSTSISSTSSSSTLSHGGFHFFAGFLIGVGGARLIMF >CRE00102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:936466:936769:1 gene:WBGene00077831 transcript:CRE00102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00102 MLFLEIAINRIDYVFANYEERRKVALVVIHYLLFFIPLIQHNSDPFAPSLLFFLCCYIAVVSLFLRQHD >CRE00300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2906135:2914862:1 gene:WBGene00077832 transcript:CRE00300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00300 MYKSPISLNRTSQSRCSRTSEMPQRVFERVQESTTQNKFKRLSEKNLSVVISLPPENNELSGVR >CRE00012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:137162:138088:1 gene:WBGene00077833 transcript:CRE00012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00012 MSFRVTTPIQTDVFYDWLTSFSEIAPQRLNTISARDDIHAYLNSPDRCPRYIEKEPTISTSQPAFTPRRLSIPTAFLKQG >CRE00668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1788107:1788460:-1 gene:WBGene00077834 transcript:CRE00668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00668 MNQLAIFLVFFIVLAAALAGPSGNRYGWPSSYERFLERQGVWDYNRHDVRSDYGRRGNYERNLNDLYDDWKKQTRWGQNDYRSNRFQQPTGGYGRYGFPGGK >CRE00872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3727608:3728390:-1 gene:WBGene00077835 transcript:CRE00872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00872 MSGEEPERFDFVLPPGISFPRIPGLPTFEEMLTMTPLPGKEEDMKMAIETIASICREDFSKPIITNNVCGHKAPGVEMKEGYCLDCYDSQHIGYLMEFLSLSRRVGIDRAMELMPAPPPPGVPMATASAATSGEPSGASTSSTGASSSKSASSPTNEKETKTDEVKEADLTDDDKKESEESEESTKKN >CRE00424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4115878:4116186:1 gene:WBGene00077836 transcript:CRE00424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00424 MASRYWGDEKHPDAIYAVYLRKVRYVPPQGYEGVPVCTFLFKKNTTTKLIAPYVGGADMLGKRVWPIKRNWNRNEYAFVITISHFEVVQMVVRYSFLTHFTG >CRE00053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:504027:504314:1 gene:WBGene00077837 transcript:CRE00053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00053 MFLIAKIVRGRRLLHELEKQEKDEHLAADAAAHSNSQDKWRGNESSPTGEDRGGDPSQFRPKRRYYQL >CRE00820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3171718:3173092:-1 gene:WBGene00077838 transcript:CRE00820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00820 MVEQFPKSVKHGLYSLLLPIVHHAAYFVQKEEKYSQIQFFKTYSLLDYCLTLCMIVLLICIIHMSLIRHNDLISREFKICTMITGLLGLLTCLSFQYVSCWCSYYNFKDGTQYVFFSHILAFAMFTFLLKFTYMCKFTQKNNSFQYFLLLAGALAGSGQAIRTANIVEIYHGVYFYIIGMLVIDCFALLCEPTKVFFLRDLIGKYVICQIGPKVRQGYLKSVLRGDELNLELIYSQRIFNGKTRKRLMIIRLYNVDSVKER >CRE00660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1719797:1720382:-1 gene:WBGene00077839 transcript:CRE00660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00660 MRIITLPANGSGPGGTGGPGATTTTSTTTTTTTTTTVPTTTTTVTEAPFRMIFQIGISHHNETILACVVCQKVYDNTCQGFGIPNLLQWCPTAAEAAIEYTLGLITSLIPFIPAGSCGTFITCPLTTTLKIKIIGTEIPAVVFYAWCEESGADAGKWYTGISWLAKFELVSLACRPIL >CRE00387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3789238:3789754:1 gene:WBGene00077840 transcript:CRE00387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00387 MIRSKPCVTPLLFAINQSRVNLALSVVFNHCENPAYLNYWLNTELQIPAQVRVMCRIADWHLYDRAFPGCIMGSKAVLVEFESITGFDFMITHMATIQTSVAFTTNIRNDNNNNL >CRE00245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2335690:2337072:1 gene:WBGene00077841 transcript:CRE00245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00245 MVLVCFPRKIKIRENNSRSGIRTTENRQNKDEFQQLNRNNKPNNKINHLKIDWVHSEENRASYDELLKSLAVSLADFVEKVHVEELTIDVIVVEHLEYLLRSLKPNILKRLFVSGPLMYDDDKFDFSNIALLASQQRIDHEITISHDMENTKQMLEPYIDCSRLTTCRGYYIRSNEKRLTFELTPNYIIFSTIFQNS >CRE00391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3813678:3815233:1 gene:WBGene00077842 transcript:CRE00391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00391 MDSVNLSIGMHLKESLINEVCKKMMKCVK >CRE00069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:630201:631558:1 gene:WBGene00077843 transcript:CRE00069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00069 MFRSVPKRLTRKPYVFLYSLLRNHDFKYPSSHHRWENEEEVDEVDEPRMFFSPQEKWFLVTFTILVLISLLFTSLAIMVDKHAITGTAKDPSTCMLTDSSDCRTVYTNDAARKIVEVSVRVDLYLSIIAFVTSVVLT >CRE00932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4366074:4369036:-1 gene:WBGene00077844 transcript:CRE00932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-swip-10 MFLTKVCRPDQTRSFNEGKRKTRVLSPFDESNFLAKNLKRYQFDYEMKCVFILHLIPTFIVYSTHATDYIISKQDLKQLDEWELKVLRDFVRGRGRPIVERIPLDLEDGPDFDMPGTGSGLINLNDPSIVSQGGLISSPDKKKAEPFLMSLTPPQPITQIITPASKKKPKPSSKPPQVQITDDKPSVEDYEQLASMIQMFIDRRSHKQNGVKTQKSSKNHSKARKKSGEVMQKHRAPVSTQSALPDQIITASKENQDVTFDTDSNNSNKWKPMQRFTSEEKTAPKKSKTNINLPPKSREVYETRMKELSTELTKILKQLETSKSNQQPQIFVLRNGSAEQTVDGQYTFIATITLVKDGVKSILVDTGLGTNINARTELIQSLESHGLSPASVDIVVSTHGHPDHVGGVHDFPDAVHYHGWYSHQRTKFNLTALFENDTMSLSENVMLVKCRGHTSDDIGVVVRDVKGRGDVLVSGDLFMREEDIDHPMMWQPLSADVIAQRDSRRRYGCIVDWIVPGHGTMFHVTENIKKALKC >CRE00839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3332924:3333214:-1 gene:WBGene00077845 transcript:CRE00839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00839 MSSVPAMRKEQQLAEFLLNMPLCIFCNSFHKSENCDKVVDTVKRIEILFKKELCLVCISHHRSFVCPRTSTICSMCNKMNHHVAICYLKDSKVEKK >CRE00560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:860562:862090:-1 gene:WBGene00077846 transcript:CRE00560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00560 MNSVSTFSSKSQRKGILHLDQTWIGTHKYFLTNSCLEFLESPNLILLEESTGEQYGDRSSGHFSTFLFCYCILSRRQYHFFRKNGFASSPVTSGCIWEFGCAFAPEHRRFLPLMLNQSLEYSFRGGVLEELTAGGGVLREARASPALDKESDEKPEFEEESYKEPTTGGGGVHEEPRN >CRE00792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2868602:2869218:-1 gene:WBGene00077847 transcript:CRE00792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-25.1 description:CRE-RPL-25.1 protein [Source:UniProtKB/TrEMBL;Acc:E3LEC5] MAPSAPAKTAKALDAKKKVVKGKRTTHQRQVRTSVHFRRPVTLKTARQARFPRKSAPKTSKMDHFRVIQHPLTTESAMKKIEEHNTLVFIVSNDANKYQIKDAVHKLYNVQALKVNTLITPLQQKKAYVRLTADYDALDVANKIGSHLNCCFARCLINKQSVMEI >CRE00524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:535221:536314:-1 gene:WBGene00077848 transcript:CRE00524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00524 MADGVFQRPQGAVSISTRSSGVVYVEAVGPKRRRLLFDIVELTPTPVPNFQEKAVENNNFTDDRETSDFSLTRIQFSKWATEQKFVLSQHTMALGMDFDFRRCIDMWLLDSDPLSKPEDHMD >CRE00805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3006337:3009021:-1 gene:WBGene00077849 transcript:CRE00805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-amt-4 description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:E3LEF2] MATPNYTAQIILLQQEMDEMKADFSENDNAFFLCSMSLIIFLMQCGFAFLEAGAVRSKNTTNILIKNLLDSCIAIVGYWALGWALAFGDCPNDTIGLFVGYSQFFLANFENYPKFFFQYVFAATSATIVSGAVAERCEFANYITYCSVISTLVYPILTHWGWHPKGWMALGITSGVINTHYDDFAGSGVVHLCGGSISLLAAYMIGARIGRFPEDEDDESDEILGHSVPFAALGGFILMFGFLAFNGGSMADIVKPGEGHIVALAMVNTILSGAFAALTYLIAHYLYHGKWTLLLTINACLAGMVASCAGCNKMEPWACIWVGVGAGLIYLTLSRSMIKWRIDDPLDAFAVHAGGGFWGLTSVAIIGHDGVVYAIGNTIGGATNGGDQIAQAFAQLGWQWVCALAIVTWSFLWMWPIFGLLKKIGKLRVSEEVEINGLDIYKHGESAYPLHAYGHGWHDFESAPSSKENHSKHLPVGRKNRIMSVHPESKSHNATENLNSQLSILVSLEQLASVYDRSGSVGESDQPKRLFQNQMERRKSRMLEANNSFSNMYLDDNEVPERKNTNPKTVSLQVPTTIVEAPEEETLTTEQQESNWT >CRE00636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1576623:1577101:-1 gene:WBGene00077850 transcript:CRE00636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00636 MRYYFESIVDRVPIVAKVLNMSANQKPTAGNTSAMQPYDKISEESAHKVSAPSEGHKPDMSNHVSQQLQKLSHIQFDDFRGHDLGIPLKPPPRP >CRE00894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3929814:3930353:-1 gene:WBGene00077851 transcript:CRE00894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00894 MKFVLVLCALVAVAAASYAVNTAAQDSNSNYNKLPNPGYNRAYDEFDHPSRRHHRYGGRRHHSRSSSDSSDSDSDSDSSSDSSSGSHSRSSSESGSYEDRGYIVYAPPAQAPAYGGASNDAPAQTVYGAPAQDNGAGY >CRE00325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3195998:3196365:1 gene:WBGene00077852 transcript:CRE00325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00325 MLSKTTLLLLLLLVLIPMSSGETKLRGILLVYEHQNSELNAAMIVILLVFVLILVALFIRFEKTICRRHHCVIGRFDIVGDSAELINYHQVNGDPYNINETSV >CRE00927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4312488:4316381:-1 gene:WBGene00077853 transcript:CRE00927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00927 MAANNVGYTLQPLIPIRVNLPPQYHLFFVRLHLNFLSLQIDRKWESLQEVDRIPYTIALFAILDDLEQLLDIGMKPNTENGVQRVIKLMRQIKNLISLMTSVADNSNFKF >CRE00866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3675169:3677718:-1 gene:WBGene00077854 transcript:CRE00866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00866 MFSSSPDHFRPHDSLNLPTFIHISLMENTETNDSINFPFSFRLEDPTLERIAVKKLKCIERGYAYPHIDIVFARGETSLTRHGHHTTEKDEKNCGFYKSVQTISRNSTELQLIPDFETETSLNGRNFFRSIIKHESVTGYVIFAYKTMEHMHTQKFTSSWKTWSGARMLSTRMPLPHIVTKMSFFKKVSGQLNFEYILIAKIKNMMVNAAPALNVLHYMKPKVCAYVGAYRKISFEVNKNLCRSLGLLDANYVDALTNRFRVTYSNNTGYVELSNFIEYRCKEEEEEAREARERHIKKSTHVQTEPTESSKHARRTLPRTLPSTPSSMCSPSTPLQFYPSYPSPNSVIGSILKHKSQIVTVGNNYLLVLDNRDSEAPNRGETVFNDQITSLPSTIEHKTPVNQSFPRSQSHHYNSNNEIPPSQSFRKPPEDDGALLRQLKTKYFNSEEYTSAEFCEHVPK >CRE00228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2141618:2143658:1 gene:WBGene00077855 transcript:CRE00228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00228 MPKNKKPQAPPPEVSGQPETPEVQQKKVFDMLTYIKGHMDKENGFIGESNNFQGRTGKGRAIHMNKKEKAEHEVIIKKNYKTLLPGQMKVNDYLNEMKAMRSKAINEAKRRGEFNAIEMLKLRHGCLPIWDPSSKSEPFGAGGYAWPDNKKLQKDDEVAAFVEESKTWIMAEVVGSVSNHRYECIDIDDEERKVAVFARKQLIPMPQYTVHYCQYPNLALPKNAIVLALFPNTTCFYEGIVHEPPKRASEHYQIRFVDNDKPSKYSDPVPVSDRYVTAFKKDPIMYIRPAKRVELGLEEPKEISPSKQNKKKKKKEKQKNTEQNNVIDEKDVPGPAPKAPTYYESPDETAPVKKQKPRKKSAEPKVKIIRAKVPEHKKHVSTRQFGKRKVKRKPKNVVPTSVDQVNEPSDEVKPEEKPETERMDTENTNMDVEHNDSDTQPQSPLTGLARYGLEDEREETESEEEEEKNEDDGEQEEEKEEEEVDDEEDEETETQKEEKDNTGDDEEEEEETREPHDPEEGTSSGPRHHGFEIESDSDEEEKTLSSSDDDSSDALSGLTISDHERDGKGINSDHEPEL >CRE00180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1665546:1666148:1 gene:WBGene00077856 transcript:CRE00180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00180 MNTIHLLLGILVITVEAMNSTTPEPLGPKVKRFFQIEGKIYCNETSMRYLVTILRKGPQFSIPISELEPVDAVDTYIYVAIAKDFPKNSTTYDLQIKILHNCNEKRHVMEFKDDIGSFLPVERWTKIRHNIDLTVPEKEVGPSNLTMMWENRPF >CRE00643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1602805:1603858:-1 gene:WBGene00077857 transcript:CRE00643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00643 MSDIKQLEQEASSLRRTALVGVAVSFTATLVCVIAAPMLYNYMQHMQSVMQSEVDFCRSRSSNIWREVTRTQVLAKVSGGALRSRRQAGYESAGVEGSVSSGHQGGCCGCGVSAAGPPGAPGQDGEDGSDGAPGAPGNDGPDGPAATPAPHHEFCFDCPAGPAGPAGPAGPKGPNGNPGSDGQPGAPGNNGNAGGPGAPGQAGQDGHPGNAGAPGAPGKVVEVPGPAGAPGAPGPDGPAGPAGSPGAPGNPGSQGPQGPAGDNGSAGAPGQPGANGDNGADGETGAPGGCDHCPPPRTAPGY >CRE00471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4460672:4461769:1 gene:WBGene00077858 transcript:CRE00471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00471 MSSIIEKPAEVTQSIHELIHTLKGQIKNASTNDSVNTTVRSIQTLLRQDVHRREFVEADGVQTLVAGLTGSTNFQLQYQLIFALWCLTFNPEIAKKAPSFGVIQVLGDILSESTKEKVIRIILATFANILNKCDDNEIKRLAALQMVQCKTLKTLELIDAKKFDDPDLEEDIKFLTEELTLSVHDLSSYDEYYSEVRTGRLTWSPVHKSEKFWRENASKLNEKQFEVVKILIKLLETSKDPTILCVAAHDIGEYVRHYPRGKTVIEQYQGKAAVMKLLSAEDPNVRYHALLAVQKLMVHNWEYLGKQLGTESQGEPVAAK >CRE00448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4290819:4291169:1 gene:WBGene00077859 transcript:CRE00448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00448 MPPKKNNSHVIKKELELQTAQVHVSPQTPIQVSARILKQLIPPQRIAQKKTPVNKNAQAVNKNAQAQRGRRVPVQCQLREGDPLRDDAEGYDGFWDAVQGLLDISKPNQSNGNAQK >CRE00802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2991282:2992751:-1 gene:WBGene00077860 transcript:CRE00802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00802 MKFKVLTFFFFYLFLARPDANNKTVVIVGTFVVLKLQKLIFMTVKTRNCRANENYVVAPGNAFAESTAQAFRCFAFFIEFFFIVLYSRVIAYMCETPASRNIVSAYTVVFLIIHAWEHLLAGINANRATNNL >CRE00120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1107799:1108396:1 gene:WBGene00077861 transcript:CRE00120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00120 MPRNSELFFKIIFSPQVANTVLGLLVAKFYLDWPQKYGYPVFAIYYFLMAYEFFWYVKANWRAAFYGEDDVVEEEEVRNEENETYDENEKEIVVPKVIPRPRLIEYPPPVDSEDECVNETDEDDSDCVIVELPDDDTDDEYHEASEGM >CRE00238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2241930:2242168:1 gene:WBGene00077862 transcript:CRE00238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00238 MALTCTDIPKFICAVLLPPIGVFLEKGCTYHLAICILLTILGYIPGIIYACYIILAY >CRE00908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4040614:4042958:-1 gene:WBGene00077863 transcript:CRE00908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00908 MKIPVPKRILYLLVCLSLFDLSAEILAQTFPILADTIRAMNNLTLINHYGIIPTDTSISVLNSLITSSETVGTLLSLLFVIPLAETKGRKYLVIYIRSALTMLTAVCQIFSAFFQATEFYILSQLFYGLQHPLRSFLTFMYVTECAPDKNRGFACTTLLILNGIVKMIMLPIASPSVFGKSDTWFVFPLTALISNLLILVPVSKLPESPKWLVCQNRMTEAKESVEYYHGKDCFSSKRTYYGKFEKLFISGGVLMSLLKEKNLTVESHVTLNQVWENDTLREVEGCFDATSQTFFFRVSKCCLPFCFSSFWIRLMFNQCTLSSYTKVWDSLFKRYFASWIVLITQLIVFFVGPSLLTKIMYVSMESIGQISSNTGVAILRILFITELFPPSARTSVSQAMLFATLAMNSSLMSSFPVLFSFFPPGFFMPFVVTQLIFGVYMYKHMPETKGRTVCDIIESMDENVMSRVKISTLTYDQKYIPKNQIY >CRE00487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:77381:77662:-1 gene:WBGene00077864 transcript:CRE00487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00487 MADETVEIQTATSHVARRSKLDELLQSVANGGATPRSNSWSREQQADMEAQQLTQSARSPRSKASQGYLSYFGHLSNPFLFFTHDFRFTRFFR >CRE00326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3197584:3198452:1 gene:WBGene00077865 transcript:CRE00326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00326 MILFKLVLILAFLGSPIKGSIGKPKSDHLTTAKSPIVHTNLEHFLYMVENSEISMDQKDVEVLKAMVSTPSTPKHRELLTQYLIFVTVIVTVVVVFLYTKRLILEVRLLKANRKQKTKLSELPFIRWFKRQRKDDLDSGMNVTYVNSVYQDV >CRE00027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:273398:273523:1 gene:WBGene00077866 transcript:CRE00027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00027 MWVTCHTSLENCLEACYMSCYITDLCNDEPDMAACAPGLTQ >CRE00402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3947741:3949794:1 gene:WBGene00077867 transcript:CRE00402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-27 description:CRE-DHS-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LCD7] MVLNSENCRYKQHARNKIFINGENRALSVNTGNIREHEYISKKFDGQMYPIGNHGLHANWLFNAVGLSKFQKSISRLDCHQLGSDGFVSQIMRVSIEFKNKKRQKVCQVYYCIAKFILLQYIVKMPETTNIKAALEKTLKLKMPEGADDRFIGGLVTFYNRECDFYGMERISGIRVPDYFYSQKMNPGKQVGAIVMEDLEGMVSVPYYESLNVSQLFSIASQLVNLHVFSVDMPAEWKKKFSFPMEFINTVANMHQVVKTYVDRNPELKETFSRVEKMYHSRELFVFLHRDSHKALGLDDFLCHGDLWFYNMLWKSTGTGSEMASNELGAIIDWQNLHTGSIAEDFGHMLTFCCDTTTRRLAEQEFLPIYYELLKAKTEEAGKVLNVTLSQFRRAYRRNFIAHALHLPFITSIMLCVQPAKDEITQYNRNKQLIDKVIGAWEDALDALHEEFPLFDF >CRE00631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1563393:1564355:-1 gene:WBGene00077868 transcript:CRE00631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00631 MPIVSKDADCETLLAIIHQKYPNARTDCVECYPQNIVFKDLKASLKLKHIGLRAVSPCLNERGTFEFGKPLFTYHFVCFHGEGDELEAYAVHCAPNPFVVRKLEHINNNCPGRYELKKNFWNDCKNSDPFDFRKSYFIPQPDHEPTSKEKSFLELAVDDYHVLCEDDPFMLGRLQFMAEKFIKKQFEDLMKEFHETRNEYHLARADFYRKLKVEVMTDEDVMLAAAAHSNYFQPKLIERKLRLEYAEKIGSL >CRE00243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2328243:2331471:1 gene:WBGene00077869 transcript:CRE00243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00243 MAAETSTKEQFIQFYGPVDIPNYQYREFRVREANLANKSKNGATSKDITDGLRHITDKHNQRISDLKKQIREIEKVCFQIFFLQNFLVIQINSSWKSLEKVTERDVKSLLIKVLEADDKSIEQFEIDNFCADHDWMSTKKAKDGITLKTLLSSINKIDEYGNQLTSEDDEEDNGMKNITEKTCKEDTLPAHSESDDSVNETTVVNVAFEKKPNGVEVIHHSEIEKSDRVENSSITSQRNLLSIQPEEMIQLPVEKLDQKSENAEETVDTSSQSEENSGKDSDTENDETENETDSEIENEIENENSHKERQDSSTLGKKDDIFKPFYIDFPDDKEGFSILDKKSIDTFKSITQKLSEGYEMNNRAVLDVEAETAEEKEKEKEKKLVISVLIAELTKMLAIHQNNVAFDIDKIRALNVMNTAAKRTLETVHEENPEEAALNNSENGIKRNSNGVYSNGDNIASLVKTDNSEDERSDEEGDEDDECFETARSEESFETTNTSTTADSETQDRNHSPKPILVNKGRVVAPHANRKKRSKKGKKSKKPKTGPKMTVEEIEAIKKKKKEEKDREFEKKDSERKCHLEKVDTSDRHKLFRKVVKTALLIIKTDGLELPKEIKQLDTDLEIYVDKTNDMERTSLRDQYFETRTMECTTMYVGAELMAKMDLLSAHQDLIYKSIRLFQYFMYLGSLDETSEEFCEFELAMLKYFFAGYERKERYAGTVIRNEFVSENTVPTSESTDLEKNFFTFVCEVLPGIPETDQFVNTVRFVRNKLLVFSDMAATINITSDEKILREGYMAIGQLLKQYKISTDLAAFLYTFRENTSYALMEAWFLESRRPVPFQYDV >CRE00006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:96845:98672:1 gene:WBGene00077870 transcript:CRE00006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00006 MDTNSSTSNSSQEIRSFPKSESPSLWTSAKDTFNASTKGYEAKVINVVGYVLLQIVLDLTVFQMMLRYAVSAWRGELASLDMDQEIEPTPGRVQVLGIIFFTTLVGSVIAVYKNAIGPIMLILTQIVMAAGAFYYISMPLILEAFQEFWIEKENNICLIHLCYHIFITLCYCSIYFFPTFINLWFMYCTFMVMLVLLGFKVFGDKKTNVVNSASSDLASMISLSEGSSPPETGYYGDNSSFSE >CRE00711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2194169:2194438:-1 gene:WBGene00077871 transcript:CRE00711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00711 MESNLEVGSCLLDECSSAGSLRKFSALSAASSLHAPSESSMSRKRSIRERMAEMADSRRNPKKPTPPSIFEAAQLIGKFSGQRIDLLSG >CRE00087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:825228:825998:1 gene:WBGene00077872 transcript:CRE00087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00087 MGSTCSTPSYPDPVHNIPTIPKTPFGPLPVYKQQPKHADVIEQSDVDPDQKEQSETGVTAESTPPDSLEQKEEEITTEEDGVAQDSPPASSVPNAPEYGSTQIWPGGVSRSLRLEFTTITSSSGEKSCLPTSKQLVIQKIRLLTLTWLSA >CRE00148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1318806:1319610:1 gene:WBGene00077873 transcript:CRE00148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00148 MPVSAKPLFFLCTFILSQLTAMQKTIAVFLQNFLERAPDQQLFRFVNGPAGTGKTILLHYLRDVIVRHFDTNAACRLAASTGTAAWNIRGDTLHSLIKLSIENEDAFRVLDGPTLKATSVRVFYNYSFLLEVVAAQLQSAIRVV >CRE00237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2238645:2238881:1 gene:WBGene00077874 transcript:CRE00237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00237 MPLTCADIPKLLCAILLPPIGVFLEKGCDNHLIICILLTILGYIPGIIYACYIILFY >CRE00042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:394907:396576:1 gene:WBGene00077875 transcript:CRE00042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00042 MGLDDFTAWKKEQRNLIEHDVTVLLRHNYEGGIAYSNGICSKNSLMISGFFPEATMNNAWVFMHQLAHVIGLTHQQKSKCKCKAAKDGRCLKLRGFPECSVQEMVKKLSNHSCLSPQSPSFSSNVLSPKKGSLPVCGNGLQEEEEECDCGPERFCDNILCDAVKCRFIVQKAFLTNMIPMGFAVIAFILVLLLWKFLIFPVFASLFQSLASLRKTEVGDQNAENRNDNENLNDVDVEQAPVRMRASRDSEPTIPVENRRTRLSDLYVTMRPISRFWEKFKIQKDGSAAPI >CRE00632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1564649:1566156:-1 gene:WBGene00077876 transcript:CRE00632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00632 MADKSVEEATAEIRSKHPHAIDDKKTIEPYLNDFYRYEEKMGMENIILRMISLPKDKTGSHLEGTTFHWGFYTIITEDTGMDTEDKDATGSGTFMDTNTEQIPPSRSVEKKIVHIQKLYPNASPKKESRPLPFSSRVERKQITYSAYIDMKGTIDSKFSFEPNYFVPTPDRDLETADQPVVRETLARMKSEARTKPGRMREIDNDCEDFILDHFEDLCEEYHDTRNPYFLARADFYRKLDVEHLSLNHKILISADERNVLHGYFLEKMLRTTYSDRIGSL >CRE00298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2895059:2896020:1 gene:WBGene00077877 transcript:CRE00298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00298 MVLRIRYLAILLLLIVLMDLAFAHEKHKRRRHYRDGGFRVDNILAQMDSFVRPRFGRSIGYAEWRNHGHTGIDVT >CRE00822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3210290:3210832:-1 gene:WBGene00077878 transcript:CRE00822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00822 MEKAARSTSLEKSCELPDGQLITIGNERFRCPEALFQPSFLGKEDLGIHETCVNSIKACDIDIRRELYANIVLSGGTTMFKNIENRIEKEVKSLVPSSTKLKVIAAAERKYSVWCGGSILSSLTSFDSMWISREEYEETGPSIVHRKCF >CRE00591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1197519:1197725:-1 gene:WBGene00077879 transcript:CRE00591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00591 MFLYFGILKNSYKVRFSFKIPKTKKNNLMIYSSKSEFQSATAERNPARSKQIFANEKRVVPRYGFNHV >CRE00662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1722979:1725225:-1 gene:WBGene00077880 transcript:CRE00662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00662 MNPDSFCTDFKSRVQPSSGRRLSTSWDNEAKTVQLSVPRVDQRRNSNTLAQLMECHRQLSLNYDQSFEKEDAPKSRPASRRASVGSRRPSVAEMLVDSGITKTTDDCVMVTQTLGTSTTLFFQKNPLVDNLDLRPSAVERGLYNFDDSIKEVPPLKYVGTPRPSIQMSTSPRPSFLAKSSPSIEESDGETTPTLSRVPVRPSIGERGLPAMKFTPVLPNGQLQQRGRTLHKKIIANRRKIVDEEENRRERENHIRPRNQAITFTSHRPHQFDGRGPYQISTGNVMEVTIRSYINNPKNIIQELRNQMESGEVDRIVKEKKTTTVTETREIVYFTHRLPSSIAISTRVSAFAFFFLLYKQRSISFEVILLFFQLSPTGVPVQNPNRRSITVEGSLPELLDRPYVRASPGDQGTPTDGKMPTKSVTIRVPDDQPSQPRGHFVHRSATPSPNNVRF >CRE00394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3829393:3829928:1 gene:WBGene00077881 transcript:CRE00394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00394 MREENSISVQPDGSPLGLQDLLQQDDVSADGDLRLEKINDRDGLQCSLSDKRRVPMRGSSSSAIQQGKGIKPAKGRVDLAIAKRTRRVGNDREIGDSQRVGGRTLDLNLHIECTQRMPPMSKAASSKPQLRSRPVSMPLSIPSLDSYEQALHATLPGDEAW >CRE00933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4369417:4371087:-1 gene:WBGene00077882 transcript:CRE00933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-5 description:CRE-GPA-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LCT4] MGLASCKPERDAERQNRQIESQIRMENQANKRKVKMLLLGISDSGKSTIVKQMRFFKNSRLVDKQNTYCRVNYLDGFNETEVVNAIFVIRNNIIDAFKNISNIILHSDINSVIKLFAHESGKIEMMQEVDELKIINTVRDYTCIKEFFERFSCHPAVPDHIHYFFPNLDRIAVANYIPTPEDIIHMRQTTLGVHEISFDYTKHTIRLIDVGGQKTERRKWIHFFEGVTAVMFTCSLASFNQTTEEEPKSFVEGNGLRKQSIPAVLWESSLNKVQNRIMVRSSGKARVEKPGMINRLDEAVELFRSIRENHFLRTSTFMLFLNKVDLLAKKLSIIRFSEYFPDYKKWINGDNSVASVAEFIESMFREGLEPDQKIYAHLIQATVTTNVEFTFALCCDVIFERNLEGTTLE >CRE00696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2017097:2018648:-1 gene:WBGene00077883 transcript:CRE00696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00696 MKLLLILLYFAPVVLSAERTKRQISIDDIISGALSLVRPIFDTSKPIQNEGMLRKPDAPTQDDMRDSSILGSHSRTALDDVPLCKGNSNICRFISCSAENFKKDPTFGNIQLAAQILGDAKLRKTISSNTDAVQAVCKEQGMDDAQCKLFSKGFQLIDKFMTSIEKPTETKGGAPPSTEPPTTAIPDFDDLINDEPQKPMMDDPTKPQDDENMKRWADYDSRAVAPVITMQTAHGSKTWSSNPETSREIVIDLYIDSCISESLQPLEIRNDLISSWTPMPFFAPPTIPPAPPLAFKSVPSLILQPIQAPQLDNGIFFSTDKFNFLKDFNSIKRLRRSPDYYDQVDDSKPKPKASPKKSTGGGSDDYYGNFDSGTDDNEEEETTTGLKQCVHFLGLGV >CRE00473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4483591:4483993:1 gene:WBGene00077884 transcript:CRE00473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00473 MLLPDVPVAIVRGVPRAPNHITDGPSTATYSVPVARVTGVPRVTIRETALPAGQQVHPTYPTIRPLIFLNNDLTIGSRSYVDYGQFYPPRIVEQRESRSPLQS >CRE00095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:894983:895290:1 gene:WBGene00077885 transcript:CRE00095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00095 MEEVNNAELDELEEEIDKLDELLAQAQLAKEVPTFQQFRADEDAKVAQLKNDISDLQKEVINLEEIRDNLPTKCFNVINLEQEGQK >CRE00023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:262927:263409:1 gene:WBGene00077886 transcript:CRE00023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00023 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LCD1] MHHDPLKIFSHFPHGSPNSAAPSQVAKKPIFLSPEELQNMPLGPILTQPMKFIKFNAPFEDEKINYFKITNASTNRIGLKIDLSCPDRIQTDVNVCCLDVNGSIKIRIVTKRFDLDKNAQDCIAITWMNVQNEGDIDEEFFEGDGLRNKKKLFLLITTYR >CRE00779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2672992:2674780:-1 gene:WBGene00077887 transcript:CRE00779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00779 MCDDEVAALVVDNGSGMCKRSRKKYFIRYQP >CRE00697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2019632:2020262:-1 gene:WBGene00077888 transcript:CRE00697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00697 MPKQLLVFIFIFTFLAPVLSIYKSKQKPNLLSKSVENSITCDFSIHKKGPYGQVVSGASLDDEIYYKIKCKPISGNCLQVVNCTLSSDEPGFQPYPIIDDMGCSLEESLFKNVQYPNHFEAGIFNPFPIRFRASSAAVVLFCVTTVVPIEHGKCTRRHCQ >CRE00086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:821023:821313:1 gene:WBGene00077889 transcript:CRE00086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00086 MDLSSLFSNAKSCIFGCLSIRRIPPTVSNQSEAEVPNPANAPELPMDLQQVPNQERPGTPRTQEAIINEVVVPNPDDAPPMDRLRIQDTIHHRDKK >CRE00422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4109512:4110129:1 gene:WBGene00077890 transcript:CRE00422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00422 MLEPSNRTMNAVRVALIAVAGILEDQAPPAAELNSITSQNILRSYLNVLKGNYDDFDIVGQCLTYNLVEVGSSYFISRGYKSEIQNHLLVYLTTSTAFDVDPVSTAEEILNSHQYGIITVRFGDIVDHQKLKMISGGSSCSFTASNSTGLDHLIKPIQRHIMHAESGFTAFLIILVYFNAIGGHYCNKK >CRE00861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3624122:3624618:-1 gene:WBGene00077891 transcript:CRE00861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00861 MNAVSFAFFLILAVSMVQGLTLVIKKNRKYNDPPFCFSYNREYNENDVTSSCSGYQFDCFDSAGAQIGETEQFNKSDCESMSVFRSFSDADFQKRLDNASKYASTAEASGH >CRE00147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1317800:1318387:1 gene:WBGene00077892 transcript:CRE00147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00147 MDTSSGECEEKAIKTQQSRKRDAKGDVKKTPSKKKRGNEDGDEAAKRREWLRGKAKDAVIPEEKAAQLKPEYLFKEMGPLVIHLCQACKTYNSKRPMNQLEDGMAELPLGMCTVCRHFLIQQHTMKFYHHNLPSLKKKEML >CRE00131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1174704:1175279:1 gene:WBGene00077893 transcript:CRE00131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00131 MNNLSTGENVNEMLTYLSLVMTHVGSNRSFAKTIKGVAKQGGCGLTGTVVGGVAAGPVGALVGGVVGSVAGYFWSSDYDGILQYYNKLDDNEKAELAREIRRTVGANLITDFYKWYEKPANQETVNNLILMAVGLAAVAVSKSA >CRE00008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:112201:112524:1 gene:WBGene00077894 transcript:CRE00008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00008 MISKCTMIPGVENINDILNTDEIYSLLVSNRLSTECHGHNWKKTKKTTITPVVSAEEYVYLGRLLNMNNYLEPEIRRRRRGAYAAFNNIKNKTDRCFAMPKNPSPAF >CRE00710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2191612:2192014:-1 gene:WBGene00077895 transcript:CRE00710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00710 MSTAMSSARNSVVSLSSNGSVKVETRLVSNERNSSIQQEGAMLPSSSSKDDDLLSTSSDEVENMATRTLQQLEESTSIISANSDDDSVRKEKQDKKNHVEMARDTGRDEKGLYFDT >CRE00543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:657250:657775:-1 gene:WBGene00077896 transcript:CRE00543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00543 MNLAEQIPTERMEQLQIGVWENPNSDICEEDLENFGSKVPDKYRRHRTWQWILSLPDHYTPVPENDLKAVREALADSSDDSLDFNDHGSNNSKEDFNYPEFQADVPEAIDQAIQQ >CRE00577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1070127:1070875:-1 gene:WBGene00077897 transcript:CRE00577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00577 MMNIKETFIVLLGMQFAFNVICVKDAANGTLVDDIAQKLLSYQPSQENITNIAAQESKSNNNTSETDEGSVNICSCASLRYDLCVFSFSAAAA >CRE00483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:43109:45554:-1 gene:WBGene00077898 transcript:CRE00483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00483 MNANIKLSIYENLQKGDQLPPFQCIEKFKNTGKLTGTGMAPHVLNLAEISCLSVNKLCIASTQVLDDKEPRNANDHEVLINYYDCTGSTRVMINQLLEKLQLKHVYTISITNERDYNGQIGHFTMLAAIWKSLSTFEEGGAVGNDEDNICNVFPNFQFLKDVAIGVAPHVVELAGCSRLSFTAVTRSCFVLSKVLFKREPKDVHKHKCRIRLGEEPPLCRQLFERLNLKNNVYDIELFPDNYDDRKAIVPMLTAIWKSLSCFEQDNHHKEDFERNAETFEKYLNSIELDIKEKSSMISAVIFGSLSEARLPTFTNDGEQCNLNSISANRMKKEFDKLEAPGDVASLMKKTSEKRTEEKDSTFYDLQCKNAMVKFDETYPGMINGWEIQHGRVMLVLNKGDYNNNELLMLIFVSFFCKMKKKTL >CRE00057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:519985:521155:1 gene:WBGene00077899 transcript:CRE00057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00057 MMRYSDGRQSDSCTKNIMTIRLLLFYSITALLINEVHSAKKTSGLIGQPCLTNEKRRCIPENAECIDEICMCASFYKAIDGACVTEKSKTLKQHCRGGGECHGPGEFCSSFSICMCLSTHVDVGSQCKPVVYPGQHGCEDSTQCNKGFPGANCDVHRNCVCPVGLVAIQQTCVSNEHAAHHPPIQNGEKVKYEVKCQESEKVIN >CRE00324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3193865:3194222:1 gene:WBGene00077900 transcript:CRE00324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00324 MKLINILLLLVVLSQLKNVTLVEESEDDDFAYFVTQRMLEFHRKMLADSTKKTTPSPSKRCGGPLSSFVSVVVVLSSQW >CRE00478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:15297:15939:-1 gene:WBGene00077901 transcript:CRE00478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00478 MLEADEDLFDMPRIQINHPDYSDRCLGEIKENQPVKLIKTNSKEWRKHQRKIEFQLEYVLSKCHYAYVDWSQQWYFENKDQYDSEILLKTHKKTEFGAFMITKNIMNDEIFYFLRFIGVRGQMETKVILHGHHEKKNRFCFKIQDSETYYECLKFMINDLKEKKIQLDGMVLTLGIKRMVAP >CRE00880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3805759:3806214:-1 gene:WBGene00077902 transcript:CRE00880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00880 MLATVEIGLLRTELWRATHKQTDGSVESLRSSTRLWFHFREELFLGFSQQNFEQVIVKTHERLSIYGKQSDELRSRRVQSGIQMLQDIVKKMNIRPRVQRTDEQSARAVFYLRYKDMDFVVLPLDMETIDNLLEKYVSYTIYSNFHVFEEK >CRE00582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1120716:1121806:-1 gene:WBGene00077903 transcript:CRE00582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00582 MTEHGKSLIFIVTTNCLLLFISSIGTVVNAFLFNKFATRKGVLSGFYKLCLIKTIPNFIVCISFLLWAVPLGSLQVNSKRIPRSINVFIGQLSGSGAFLMGPLLQVAMASNRFLSLYFAMLTARKSKYPVTTIWILISTILAVIYTVVGLPENCGFLYIPEEILWLSEDGECSQFLYDILFYTVLACSIFSNTLNITTAGKLVFDKVAGMSASDSQLRRRMYIRKFSQCVLQDCLHAFDMINCSFIYKMDLSSIWLQFMCFSVSFVGIHMLDGLVMFFFHADVQPKWLRKTFVRNSIISVTPAT >CRE00290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2835990:2836490:1 gene:WBGene00077904 transcript:CRE00290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00290 MNVLHEALIRELIRQNLEEFDVGIRHLEDEFTSGSDYHSDLSINSNVTSSSSSDDQQYYLYRDDGVETVDEVFETDEEDIIDRMNRLERGIAGAESDSPDSLDDHESHDGSMQVSSIWSNEFTSDDEYQDELISFNPLDDHDSGYDADEEDEDGNPDEHFVLNILL >CRE00236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:2237144:2237380:1 gene:WBGene00077905 transcript:CRE00236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00236 MALTCGDIPKILLAILLPPIGVFLEKGCDNHLLICILLTILGYIPGIIYALYIILAY >CRE00074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:712165:712523:1 gene:WBGene00077906 transcript:CRE00074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spp-5 description:CRE-SPP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LCY6] MKTLLVLAVLVAVATGLVLPEKRSAISCQMCELVVKKYDASADKDVTTIKKDFDAECKALFHSIPFGTTECDHYVNKKIDPIIHELESGTAPKDVCTKLHECP >CRE00101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:935573:936052:1 gene:WBGene00077907 transcript:CRE00101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00101 MMLFMVRVIVGKMGFPVKRTMQKIYAVMAVVAIAQVFLSSYFILLQVQGVLVLLFVSTVGSYILDP >CRE00581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1099441:1100113:-1 gene:WBGene00077908 transcript:CRE00581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00581 MLSCAIKARMQNYYLKSPLFNRNCNSLQPPIKKSFQISFPALLIQKKRKNHLSRQFTQLKKKCHQSSGKFQKVKLSIPELSRLSTRPDLSKTEIIKFRLAWREAIEKNKVAGKRILTVRDLNVVKITYKEGQQPWSWEVYPKKLRHISIGIASCFSVCNKLAKLDF >CRE00605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1323043:1323671:-1 gene:WBGene00077909 transcript:CRE00605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00605 MGVRGSKIGRTRAETAHVKNELVKKTPQVSIHTQSQKGDKLNKPCKIAVEGYIDCKGTIAELWKEAKQFLFMFKNMMKFLNDDNNCPLAKGWCMKLVKTTHTLENMWRAFFKKNEWGENGEKGLQHIVNGGFRNIPAIRNDLLKIHGGNERTQKMLEKRAEPLIAEHFRCIEVLVNSH >CRE00453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4319276:4319896:1 gene:WBGene00077910 transcript:CRE00453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00453 MTIPVAKPCEDGIGVTPPDNPYFFGDIPDGGIELTPEVEIEQDPRILLSAQLRNCLYVTNESHFETNVPEAVYKDLIARSVQWKNYVEQGGWKNFNSTTQMIAKMVAESIKQEYNTLARIGQEEKCMFAMEKIALHLRDLIRMETFFSSLCQ >CRE00416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:4070591:4071528:1 gene:WBGene00077911 transcript:CRE00416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00416 MESDTEDDNQKICNLLQARHTLKIGEELEKAVATLPKILRKDEKKIYLSASDLDYSVAYEQVTRKGETYNEHPESVSEFVSKPVVFNKQIEDRDLADLKDRCNTRQFVEAVKLLPEFNFSTDETWDQIKRKKKSSSPCFECGVSSCFRLQQRWQGRVLHGCYPNIPLLKFQHDSTDKIPSCFYVNNENPLADKFADARKVIKEIERH >CRE00141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1268804:1270993:1 gene:WBGene00077912 transcript:CRE00141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smf-1 description:CRE-SMF-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LDC9] MSSSHNDEVNVPEAEPWRVTENEHIEQDLLAEDAESQEKVEIPVDDVEKAFSFKKLWAFTGPGFLMSIAYLDPGNIESDLQSGAQAAYKLLWVLLSAHIIGMLLQRMSARLGVVSGKHMAEVAYQFYPRLPRIILWLMIEIAIVCSDMQEVIGTAIAIFLLSKGLVPLYVGVFITILDTFTFLLIDRYGIRKLELIFGVLILTMTASFGYEFVVVKPPIGDVISGMVVPWCAGCGKGEFMQAISVVGAVIMPHNLYLHSALVKSRRVDRKDRRRVAEANKYFTIESAVALFLSFFINLFVVAVFAHGLYQKTNADVREMCIARHDIPDADIFPNNTDPVEVDIYKVTIKLSIFKVCTSLQGGIYIGCQFGAIAMFIWGVGIFAAGQSSTMTGTYTGQFVMEGFVKIEWPKWKRVLITRAIAITPTLILTFYSQGVQNLTGMNDFLNCVQMIQLPFALIPIITFTSSRKIMHDFRSSKLFQIFALITSALILSINVYFISDYVSSRLGTEWYVIMVLAPITVCYVLFVLYLAIYCLVSCEVFPGTVTIRGFSFNKSYENDAPWLAVEQSASHDNDGFQQ >CRE00893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3928832:3929209:-1 gene:WBGene00077913 transcript:CRE00893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00893 MKKCILVLAALILVAAAFDDSSDEDSFGSFSVSVSSDDSGSSDSFISEEDPLNTRESSNSRESRDSKSKERKSNESKHLKKTTRPSSSSSGSSSSGNSRSNERFNADRVNKANNMNRVPMSQSHQ >CRE00566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:917462:918963:-1 gene:WBGene00077914 transcript:CRE00566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00566 MVDWDLVADWGEWGCGENSLHLVTPGFYEKMTEARKINFCVDQTVYPNQRRGLDPATTLNIYEGLLTPSEIDEIKECEKIYFVAPASFLKEYRTFLRNTRCLVYRGGYAMYKGDHISYRYEIKKVLCIGKSGWVAAVLDHKTKQDVALKLTHHNAASRYSEWKTLQAIEHFDRTRVSNCVRLLDYNHFRGFNYIVMNLFDTDLKNYMFEKYPHGMPLDKIAKTGRSILIALDFLAKKGIVHCDVCPSNILLNLANPEAVKLGGFGVARTVKPYFIITHCQTAYYRAPEVFVQGIQTPAIDMWSFGCVMAELVTNECFFHGESTEDQFFAIEEKLGVPTREFMRAHKTRKYFRSTQGREPIHVIQRYGKDVVDITAFKIPNRRKLPGATPLWSFFKKPEEKNLKNFLMQVFRWAPKRRITPQNALKHKFFK >CRE00168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1502396:1504033:1 gene:WBGene00077915 transcript:CRE00168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00168 MMKAILFLAFVATTSAFRLPFQVAPAVTNITKGGQTLEQTGTYFVANLTLGTPGQLFTVVIDTKTSDIVIPDVSCSSGFNCYNKRRFNQNNSSSYYAYGQQYTYKNNLGTFKGFVGKDTAVIGDRATDLITIPGVKILQATDIGIGIEGLNADGILGLAQTGASQIGGNSPFVQGVQMGDISGTFFSIWLEHFNQTDDLGTHGVIYYGGFDPVHCAPNPSYVPMSSGYLYQLTMNSFKSAGKSATNSNTKMNQVVLDTTTAQIILPTSYVSQILDSIGINIKTVTVFPPIVPCDTKVTLTFGFVSGTSITITERDLVVSFFGTCRLQVVPSATGDAIFGIPLYRGRCTYFDPIMQRIGFTPALLQD >CRE00546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:677777:678890:-1 gene:WBGene00077916 transcript:CRE00546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00546 MTACSPFITLSNGVKMPAVGLGTWQSSPEEVMAAVKTAVKAGYRLIDTAALYFNEEAIGTAIKELIDEKVVTREELFITTKAWSNEIAPGKLEPALRNSLKKLQLEYVDLYLAHMPAAVNEDMSEAVHSPVEDVWRQFDGVYKIGLAKAVGVSNWNNEQIGRALATGLTPVHNSQVELHLYFPQHEHVDFCKAHNITVTSFGTLGSPGRVNFTLPNGHKPEWAPAPSELKDPNVLAIAEEKKKTPAQVLLRYAMDRGLAIIPKSVHENRIKENFELFDFTISQKEIAKLEKSKISQRLFLQDFMIGHPEDPFADERK >CRE00184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1680284:1680388:1 gene:WBGene00077917 transcript:CRE00184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00184 MPSASLSGVRHSGLQTVVKATMVKGELLNHIALG >CRE00114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1062850:1065121:1 gene:WBGene00077918 transcript:CRE00114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-otpl-3 description:CRE-OTPL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LD80] MYKAKEEFELIDRTETSNCSTITTPNSKVRFRFPEKKEFWLSNAGSRAYVFRLFTCFYAIFIVIAGIVIELSNIIAATENTDKISVKDLVSYFLSFPVIQNVVQVLGTWLLGGSIVFIAYCSYLVHDMHFTIKRRRQSLRNSAMSDGTGPEVLANTSTGSLYLRLGCVLFGIIGVVYYLLIFVICLLGWTSNEGECSAISDILNMMAAVFIFVQMWFVYCNGKIIFTGDGNLARFGLMHLTGTNLWMWLRYILYEEVETIKEIRHVQHENDSRIEEPCKGVLCVFSGYNEFMYTCVVEYSLICAGVAFVFWTNLERLKRDQMEKKMRKRSILKIDCSRTAEGLFAGFACIIITIIAIALFNAYSSDKNVAQWVRQVLSNILELIFQIFACTNMIFFLVSTFLVIFAFWRMKYLTFLMEDDDLEDDNAELLDRILLVVGLMGELIFSIGGILSFVNNMTIGLPLIIFITNVLRLIQVTFQSGLIMVASRLRLEEGNAHMLRYKPGKQVHRIKRISHLYVIFQVITMLLMMNCAQFLMNIFEAQKAGINDEMIAMYGSYYWAIIVRGCSPLTIFYRFHSSACFAEVWKKTFRPPKKPKEHEEERTQL >CRE00090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:848739:849077:1 gene:WBGene00077919 transcript:CRE00090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00090 MQRSRQVTPVRKLLAQEQPKNSSKQDTKKDKKKEKQLKEEKIFSKRGDKSEKPKKEKRSKSLPASKATETDQQKLDRKKKKAEKIAENMKMCGVF >CRE00341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:3320087:3320551:1 gene:WBGene00077920 transcript:CRE00341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00341 MAEEFFYKLESSDGKEVLFSETAIKQSKTLSDLLVTLGNTDEVIPMEIIKETPLKKVAAWCEHHKGEEIPTAEESNPRMVEVPEWDRDFLKMSNMELYDLICAANYLDIKRLLNYSCKIVSEMCTGKTAEELRQIFGIPTDEEDAANGLLPARD >CRE00650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:1646185:1648598:-1 gene:WBGene00077921 transcript:CRE00650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00650 MDESSAGPSKTPPPINQPVRDWYRERGELGQFGFPSVDETKTTRKSLQVFEREWTYMTMYLQAKIMRRQFLYDNCHFKIICARLTIETVKETLLEKIPPPHLRLEFCNVISLVFTYTELLEYAFKIMETNKEWLPDEVIDQLLRFVPRMEECARELKTELRDFSQILIIRDEIIEYTTGYYMRLAGKDTSQLKKSEEAGIGCDRYSPYDYEWLCGSVISGPNHLTCVKYLCVSRMAQLNHCKLRNDIIAAKLGEEIDYLPHISVPLPKDEDVHNGKVLLKGLMHREISLMKLISHSNNILEGKIGKDPDLVFAKDILNMMTLRSDIMKKKRNVANCFLRGSLAKPLPYYCIIATLSMVEAHLLILHKELAFFMDKDLGMFGKAIPREVYNACFEVFKYTNDTQCNKFPLNEFIEELLENFRVKSNGLLRSWHDTLAMSCENECMTPVECDLTEKLHSVYTFKREDLPTVLSIHADLMIKNLHWEEFELVRLYSQYLQVRQGYYDWPRKSGTPELMLNTCAEPVNAFHCNFPDVMSTEIVELVTADAPSIHTRMTAESFAGYEILAHAYRITGEAKCLPDSGDLLEQYAAHFLVALGGNDVDGVTTLQLAVLFTDILEHDFEMTEYLAKYPVLKTKSVQIARQLAYNGVEIFIKAYNHHAEVQKAKLALPKKRVRSDEEDDEDDYKYHPVPQIVDIKTKIAQLAHERQNGAQTVAESSAKPSNSNAHSNSSKKSKKKKSGRRYR >CRE01674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1199881:1201308:1 gene:WBGene00077923 transcript:CRE01674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chil-23 MLNNNRRRNDRCKNTVKVIFVLIFVAIIAFGLQQVLLYLLRLKQASYLSNSNETSTSTTTPATTTSTPKLLSCEKRVVGFCSDREQKEITRVQLSKITHAVFVNAEVDWEGVVTLSSANRTNRFTDLKKKAKSVKFDVKVMISIGGVENSHEFNKITKREEKREKFIESSLEFLRKHNIDGVDLHWKDNTLDENYMKLLKGLRQKFDDEGKSDNKNFIISITLAEPKFGISMDPFGILNLVDFINVYSMDYYEQTSAFATPISPLYSGVRGRKNDNVNSTMKYFVCDTKKPTKFNIVIPFFVRLYGKVKDAVEEGKEAFRYYGGYPYKMMTRKTLRQEGMNIVNASWDEESKSSFIYNQESENYFAFETKKSIAEKVKFVNDNNLGGVWIRMVDQDDDENSLLNAVSTEEFCKTNSEDVVKNDYCD >CRE02112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1593681:1598328:-1 gene:WBGene00077924 transcript:CRE02112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ace-4 description:CRE-ACE-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LF29] MKPKLVFLPFLVFITVFIESEAVHPVVLETKLGDIRGTEFFFLSKKIRTFFGVPFAEPPVEEFRFRKPREKKQWKKVFDATKPANACFQTRDNYNTSFWGSEMWNANTQISEDCLYLNIWAPADAYNLTVMVWFFGGGFYSGSPSLSIYDGRALTSTQNVIVVNINYRLGPFGFLYLDHPDAPGNMGLLDQVSVFGQSAGAASIVAHLIAPGSRGLFKNAILQSGSLENTWAINSPFRAKQKSEKLLELVGCNKTTVENSMTCLRLISPEQLSLSTWNISLTYLEFPFVIVSRDNHFFGHLDARAALREGDFNRDVNLMIGMNKDEGNYWNIYQLPQFFDKAEPPELTRHQFDSLIDSTFSIQPDIIRSAAKYIYSDPNCTDHGRKTRFYAEQMNQIVGDYFFSCDSLWLADQIRSTHRSSTRKPPNVFVYYFTQSSSANPWPKWTGAMHGYEIEYVFGVPLSYSKIYKRREQIFSRKIMQFWASFAKNGTPRLRVLKNSEHWPEFNEQNHYRWMQLRSGSNIRPIKPKKQEECQFWRRVKDTEYTAYCNFY >CRE01852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2649535:2651279:1 gene:WBGene00077925 transcript:CRE01852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01852 MSSKPFGYVYDERMLGHECKYDNTMAECPKRMKLIYERLQKDQLLSGALKIEAREADDSEIRLNHPQQLIDEIVSLNSTEKCEEYCKDKEILWTCENTNEAARVAIGGSIELVKAALENKIHNGFAIVRPPGHHSYGKTPQGYCIFNNVVIAAKYAIEKLGVKKVAIVDFDYHAGNGTFKSVKDESRIHFTSFHGHHYGSFWPFSKEYDYATNNPNTLFVPLNGTLNSEGDYVSVFHHVLLPMLKQFEPELILISAGFDAGYYDIMMEFGQGVKAHGYGHMARLLDQICPGKTIAILEGGYHPYNYTESASMMVRGLLNHPLPRLTIPTRMSGSLLETLWNILNHHSEWYPALEQRLKQLENQQKALGLDPFVFNQTLFLGAKMRVMYDDVKKNRIVRTREWFPEMSPEQISICKQKIDEYIKEYDFASDHIDPTTEQLVAQCVWDEASRSDAFMQAAPFATFLIQEFNDFIAGKRENMMICDREMYSEAVKAGVLDPHTPITTTFDH >CRE01985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:603900:604329:-1 gene:WBGene00077926 transcript:CRE01985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01985 MCSTSTPSDCQLAPLLSEKELDAIKGKIRCFNKLMKHPRASLPEIQDLIDNLGIYLEVLCANGPKTEENVEKMDVINRARLLLEEILIRVILKEEELEDVMKKVSELYS >CRE01736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1743678:1744189:1 gene:WBGene00077927 transcript:CRE01736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01736 description:CRE01736 [Source:UniProtKB/TrEMBL;Acc:E3LF69] MEQSPQWQELLRPAQQRHVPLTHNFYEAFLRKNPGYNPNMETSSAPSTPNSSTSTSTSTKDSSIPSSTLKLGSNSSTSKLVNEIIESNKQLIEEAAMQKAASGVNVTTTQYSSLIPLSFDQEQFLQNHEAAMAKRPNK >CRE02199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2353360:2353566:-1 gene:WBGene00077928 transcript:CRE02199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02199 MITIPSRSLPTSVVGNSDFRLPTSDFRVFFSLPTSDFRLPDKKFYFRLPISDFLIRKITSNFRLPTSG >CRE01708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1532891:1533234:1 gene:WBGene00077929 transcript:CRE01708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01708 MCEDNIDISEGRVIMTKEDIRPWLQNDKSAIENMVLVMKKLQEILVASHVIKIIVIYGDSIQHKDLNTIMEKPENYYHVKIRETRMPHDYFHENVSIFP >CRE01638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:930717:932161:1 gene:WBGene00077930 transcript:CRE01638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arp-6 MSHTTVILDNGAYNMKIGKLDCEAPSLIPNSIVKAKHEKKRVFVGHEQSDCSEKFSLFYVRPIERGYVVNWDTQQQIWENTFKHLDIEPTTSRIAYTDNNYLIPALPDVSNEIFFEYFGFNEVYKASASTLVAEHSSQINNKKCAVVVDAGFSMTTVASFVDGVLIQDSVIRIDVGGKALTNKLKDWISYRQLNVSEETYVINECKEDICFVTLDFDRSMKHAKKRYEENTIDMRYVMPDFHTTFKGILKDPKEPTDNTPSIVLGVERFATPEILFNPSDIDIDQCGVAEAVIASMSQCPEALRPCLAENIILVGGSACFRGFRERMIQEVRSMLPSEYGINVSEDVENPETHAWQCGQQLLQNVKVPWINRKEWEEKGESLEYSKFFKTLVSSDELKESRNFEEQREKSPKEEDEDF >CRE02126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1722039:1722898:-1 gene:WBGene00077931 transcript:CRE02126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02126 MIEFKLFISLLVIGYASANFCTDDSGYWLSSVAQGVTDFSYGGSRLNSKYYCFEGCLNTGTALQNYKIDLSGNNGTKLERNRSVKDISAHHAKFDDDDDPNAESCVDPVLRQDVKNALQKATQNSCKNIVNTLVLNLNRPGWAITCIRYNDFAIDDSVSDMNFCSYDAGQFQDYYTIRLAKLDMS >CRE01573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:431551:432102:1 gene:WBGene00077932 transcript:CRE01573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01573 MMRFLSLKCRTKTTFQIICNGIEKEKNAPADKLSATISAIKSTGVDATRVWDNKEIQKNLLLRKTHKKTVFVIWKGINHADWWKKSVLGPVTSSLFVIVEEKDLMSGTTSEGQKPSRAIETVLSETCISLKMESSQ >CRE01816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2380094:2380910:1 gene:WBGene00077934 transcript:CRE01816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01816 MNHSSSLPDVIVFNALYLPFFHFYRRRAGTIWIRDNKNPLSAEFVSDPLLSVFPFICDQHDIMDVMLKLWKTKKKILAKKNEAEQTAEFFQTFIHTAFFIQGIQMISYDVKDDTILEIRRETILPIIESLNKGLFKQFIANQKCRPVNILDYSLDLLGSHSID >CRE01598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:639164:639844:1 gene:WBGene00077935 transcript:CRE01598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01598 MLKHGPPSLKRALTDSDIIDGYVAYEDYRKLYDHVVKLTHQINELQSSLMESASAKVSERLTDTCPILPDPFPIGQPVSLIVRDDVFTDPSTNTKSYANAASNGLAKPIDTLSIAKEAAKIMDKATRAVVERMPDNKEDPDQEKLDLVFFTKFSTTHGLPVPSEAHRHFSKTACRPLKLQFANNAERDKFLHGFYKVKNSDPSLSSIQNRPRARRDLTKEELKRLYE >CRE02136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1788114:1788701:-1 gene:WBGene00077936 transcript:CRE02136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02136 MEGVFRKSANLGSIKRLQDRINKGEKIDFENDPEYKDNVHVASLHASVLLKTFLRSLGEPLTTNKLYPKLAALSEVSKAEKSAAVKEFVKLLPRDNYILLKTVIKFLTRVAENSKVNLMTANNLSVVFGPNLTWPTDQEVPISQLNNLNNFCYKLIVDYDSVFDH >CRE01666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1169621:1170475:1 gene:WBGene00077937 transcript:CRE01666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01666 MRSQFSSIGLAYFLLVANFYYQSNGFNDHYTLSYSFWKITPIILLTAFAYLNGGGLGKEQRKTMAAGLFFGGVGDWIIGMRHDGIIMGALAFGIGHLFYLSLYRNHPTRIHSKFLLGMLAWGLVIGQLCFVPMLADHRGPLIVFASYSLLLSTCTLTAVSQYLNGSKSQNEEGLLYRAIGFFLFYISDSVLMLSHTGYWKLAPSFCVLSTYYTAQYFILYGNTMAVQTTKKSVKIN >CRE02241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2617420:2617866:-1 gene:WBGene00077938 transcript:CRE02241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02241 MEKLPFNDARTEIIRRRKMRQPVLETFTMALWQALRTLRNYHYDLSSRQLLNCTSRGDCTSHLKWEHLKNFREKCRNEGVDQDDYTEQQLHDYAMVSSSSDPRNSSAHRNGSRVQQ >CRE02133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1765299:1766279:-1 gene:WBGene00077939 transcript:CRE02133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02133 MKLWDSPRSSFPYSFDEVVSAFWDRYPNSHAKHILSEDVLERQITDNTIVTKKLIIKQGSSILKRVPRWISRMTDIRVVPVIEESVYDRVSKKLVTYTRNVSHLSLFELHERCVYKPSEEQQLNHPALLTDVLRSVTVSIDCGRMSSVYEQVLLMGFKKSINNTTKGMFEKLEEKFGVRHLATEKMKLIKEKIIKSSTNLVTHVKCDEENEAV >CRE01705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1520835:1521191:1 gene:WBGene00077940 transcript:CRE01705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01705 MLVSPFEMERRQIFARMEQINHEVDRTTDLMSTFQSRDVDAVLAVRSITPVQFFRLNCVLQQATNFSLALWELKKAYLREIQKLKDVDHREILHNELKKFQM >CRE02100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1514846:1515277:-1 gene:WBGene00077941 transcript:CRE02100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02100 MQVPLKLQLFAIRPQPANTPVNGKCEKVNKDDLINEDDRNLHKIVCRRCKSVIFPEDVVMTVDNQPYQLRVMTHQAKGPAAFEKISWWWYTESDMVFDTVGWQTVDKKKVLMCGDCELGPIGFRSEDNKKFWVAVERVKYEKS >CRE02118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1681003:1681779:-1 gene:WBGene00077942 transcript:CRE02118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02118 MDILNSNVKYAFIQSCEQENFCISVSNHLLSSTSIESQTLNSSQKVNNSPVLERFKSVLIIPLPEVEFIRFEQSLEGRRYKYFDMVAVYKDYSIKPTSIYAISETYMEKTKLWFESCDIFYIEGDLQINWPLVLKTVMQDVEGFFNDGGWLKFFETLNPDSSDENIGESDH >CRE01773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2021336:2021971:1 gene:WBGene00077943 transcript:CRE01773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01773 MGSNLPRALRKLLKCCLYEARCKAYAFLKKYIRSCSYLVMILSMLSVAVTTFLNWNHSSVLHMLALSLIAAVTDKRLSIHIADGETVTIIAFILCHLVVSILHGI >CRE02080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1378711:1379512:-1 gene:WBGene00077944 transcript:CRE02080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02080 MSSTIEPTEAKAVVQDTSKRSLRRRNDAPTSASVDERKSKKLSAAHAIAATDSTAAPQAAAPAAAQAAQPTDSNAVASVELKIIFNSLFAASATVVDAKAEPVAAAPTAIAVAAPAVPKPRGKRLRRETQVFQSPDFNANKKAFGDLRKKN >CRE01661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1153159:1154594:1 gene:WBGene00077945 transcript:CRE01661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01661 MFTVLISRQEDFCSGQDAISIFLILSICALLALGLSSIIFHIFDFSTGKLTSFSPTFEGCKGVRRHHLRLNSSNSAKPSSCKKNVIGYYSETESIDITVNQLEKLTHAIFAYVEMNWDGSLRFKTEMAKQKFVSLKNKTKSVNSNLKVMFSIEHEYDGIDLYWKYAHETDKFNYINLLSDIRTRFNALNHENQHQYVISITLPAARIEGWELAYDLDQTLEYVDFINVYSMDFYGPWENRYGDTAGPSAPLFCGYGGRRNFNVDHTMQYYVCKSKKPNKFNIAVPFFAMLWRNVTGQFRPGIDVIRWVGLKDGKAEGNAYMSMVAGEQARWKLDNATWDEKCQSSYIWVPETRTYLTFENKRSISAKVKYVKENHLGGVWIWSVDMDDENNSLLNALSSNELCSNSSGSRPVYKC >CRE01983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:601421:601851:-1 gene:WBGene00077946 transcript:CRE01983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01983 MSAIPTNTPTTPESTTDETCKCMISQKECETFQGKLKCLRTLKMHPAKTAFSSIISARQYKLDKEIRKQILKTIEDMKFFENKIIRVILQGDLVEGLD >CRE02316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3120882:3125170:-1 gene:WBGene00077947 transcript:CRE02316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02316 MWGDTEKPDPLLLGTHIGDGRHHPHHPHHHAHHAHFGLAGKPFYHVDRFAVTATSSSTTTTTTNSSSSNHHLPNHLHHHHHHNGMTAGTSSMGQINGTGSTGSRTRNPQPIVMWKGETSRKKRFDLSSGFSRCLIR >CRE02022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:877266:877939:-1 gene:WBGene00077948 transcript:CRE02022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02022 MPPRKNKTVLEIERIVDSIDTPLQQLVSDLKRNLYLAAKETAKSETDRVLKQIPKEYQDMPIAVFLQSPPSDLFEFLVPTLGDLNNRMSTLIEESNEISDNVSANPPNRDEIISPTGHVFSVPPILHPEKPFREPREDEEIAFSINGTPLVLEHSKGIQKKFSRKKISSIVAEVIDENVEP >CRE01596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:630321:630732:1 gene:WBGene00077949 transcript:CRE01596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01596 MACEITVGSSLKDISFVNSDIIAALESNSIKLYQTETSRRIMTIGCEEETNQLHGADENCCFLIQCTGIRVLNSKTGQLIRWHTLEESEEIVASHWKSERLATIVENKIHKSRQVELKQNA >CRE01717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1619050:1620007:1 gene:WBGene00077950 transcript:CRE01717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01717 MVWIRLLLFLLVFYLVKANEMTRSCAQCVSGDFLYRRHLMQDSSVGMSMGWVNEWKETNCAKGNIRLISCTHACVKITLVKLPKEEDNSVEGIMMDCSDDLIHASPDLPKGIDFKAYDENATFTNRRRNFSITYTFSMDSTDDAVKIREDHSEIILPYYKEDYQPGTIGVYIFFFFLFISFIVGGVICCFRIQKEEKEHRKYLEDLELQEPHEGEAEKMRNGAVPAASLTISDQEEKVIEN >CRE01542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:175793:176270:1 gene:WBGene00077951 transcript:CRE01542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01542 MNMNSVVIWSTSQSIRSRCGLIQNYLDNTLGPYLQLTDRSMDKCRQERCEGRGECYLPRPKLNPALYNFACRCERPYFGKSCEYRGRRIGYSKARPSVIPDVSAYFQSASTSSSGINRYNAPNQYYARTNVKNNNLEKRELKI >CRE01544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:188150:188558:1 gene:WBGene00077952 transcript:CRE01544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01544 MEKANFDGKTIQATVCLRAIQRIDEYEARIEDLATRRSKALEVGDLNMAQRHRLAMIDCRDTVFRVVHVDLLLDRDEV >CRE01556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:278043:280011:1 gene:WBGene00077953 transcript:CRE01556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01556 MISLSVPRTSRSLSPAMARSAPSSPMTRYGPGGMDLWFNAFNPKF >CRE02141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1812662:1823748:-1 gene:WBGene00077954 transcript:CRE02141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02141 MITKVISQLANCQNYGTPLNSTDCRCPAYVNGRLCETVVCRRYGVPDKNRCACAPGWYDPYCGLRGCRPANEDQMNLEKRSLIVVFNTKTTMKAQLETLKKNFKEMVSRVTKLKSLKTCKSVFQNSFGTMDNWIDNYIIYGFVQTKTELKIQTQLSYDVDDIINYLNDLSLYEGDETQPVLTAMKNAQQIYPKMKSHAIVLVFTDSPASDATQWSHRFTDKNQEQDVLQISLLWRSKYSFFLSLPAGVDSSSNGVDVYRRLALTNHGDNFFIQDSNDLVKVLLSVIGYQYYPENVAVRYGKIGDETVTTYVDNDGDIVYFLLTINPSTRKFFEEESAKKLNKFLESTLPTISGATLVAEGPSYRVQIQNLICFTFLYTRPSKLGDTVTISSPTAGSIYNYRMFIQSRNTLLFNYNDDMTIDVGNGMAVIGIDMFSTMQTYGFPKWSNSSYEVRSADGKLLREKFYSSERPQEDCTFSYGFPAWKTLACPPGPITQLHTFYYNGYNQQRVTPGYCIESDHNPDHPNGVTGNSDDSKLERPQDAVIQCSSKNIAAISDPRLAKSRQYIFILEQHSANQQVYKTLAKEINQILYLTNSTTPSNYLKEFTLIVHNSKESHVLLSSYNPILFGERFQRLVTSLTLLPNLDNTMGLHSIVQTQKMNIQPSAQVYYFTNQAVKNVQNISRNWDLVKRDVEVNFFTTSDGVTTEIFALPKQLELVQKMTNGRLIPLGKTEETLLPIFTDMMDVTTLTTDNEQYNCHDTPLEISGYFEGGAEFSVIQLVGTGLKTVKMQDSNGALITVSDYITYQNPNFISMKINSNLFASGIWRISALSTAGGCQITVRQKTSVGVIMGFTSSNTDDNVSTQIISQRSVSGSQPIFVPIKVTNDVVPTNLEIQIVNRKRYDQPQSYANTTITPRDPNSCSFNFVSGSVVVPKSELTTWTVTAFNSGALILHRIFYYYQHLPADPSVCNGGQVDRFGRCVCPERYTGDYCWERICQPPATYSYGMCSCPPGYYGDFCEIELILPSNATTVSTGTTTTVQTTTKLAQLNINQARAGEVGFSGQQCLNGGTPINGTACQCPAYVAGERCETVKCQRWGVPDKDRCVCAPGWYDKYCGIRGCRPPNEGNLDNSKRSIIVVFNTKTSMAGQLASLKNNFKAMVTSITENWNNNNQTENWVANFMFYGFIQGSQQLTISTNFTENLDDFINFLGTVQLQDGPANQPVLGALKNSQQTFPLMKSHAIVLVFTDSPASDATPWSHRFEDRNLEQLCLQISFLWRSKVTFLLSFPQNTDFTQDGVDVYRRLSMSTHGDFINVKSADESSDILRNVISTFFFPENVGVGFGLNDVTSLEPTPDNADDWVFTLLTKDFGASYDLPDVAGTVVVTQGTNYKLVAGQNMDVVNITSVKGTYNYRTYLQSKNTLLFDYNSDMMIDVGNGIVHLGVTMHSTIRTFGFPDYQSMSYHVIQSNEKLIREAFMAFRRPQEDCTFEWAFDPWVNTDDCPPGPITQVHLLNYNGYYKGRVTPGYCDQIAHYSPQVQGYVVPHSRHNEIREKTQAITPFESCSITDINAIDDPRLASPNQFIFILELHTANQNVYKTLAAEIEQIVNLADSVTEDSYKKEFTLIVFNDVNSRVLFSSYNPNNFIKKFRDVIGQLDYASKLESSLGLLSIVQAQKQNIKPTSQVYYFTNQATTSVAQIDRGWDIIDRKIEFNFFTIADGVTTEIFALPKQLELVQKMTNGRIIPLNPNEKTLVNLFADIMPMNALTTDNENYDCHTTPYTLDAFVENNSDATVFQIVGTGLKDVSILDAAGTPVKVDTSTHFQNENFKSLSIKTFNYRPGIWRVSISTSRGGCQLTVRHKSQNGVIYGFTNASDSDIVNTQIPLQRSAISNNQMMYVPIRIKQGYPLAILTSNLEIQLVNRARYDKPTSYKVIDVLQRDSAKCAFNYITPKIVIPRNELTTYILTSYDDSNNLILRRIFYYYQHSPPGISYLRCAFAHHTFSDASICHGGQVDQYGQCICPERYTGEYCWDRICAPGATLSHGICSCSSGFYGDFCELELLMSNASTTMIPGTDSPTTVVTLQTTTKTGPHGTGFIFVFMIVIAFNL >CRE01847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2597964:2598164:1 gene:WBGene00077955 transcript:CRE01847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01847 MRRKSPRATILFAVNPDKGAFPLLMTLPYENYNNQATSSSGEGITEVTELTAGRLESIAEDGSTLK >CRE02035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:953352:953825:-1 gene:WBGene00077956 transcript:CRE02035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02035 MEFSDFRLPKNVIMCSILQNSKCEWKQNWTNADGVKRELDAGKPPIQFFYFCKGNRTENCGIWLDENEQPIETSSTADRLAGETFIIEKMSIQILGDYVKIPEEKGDKKLIIE >CRE01575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:433244:435590:1 gene:WBGene00077957 transcript:CRE01575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01575 MSSIKTDITTTQKVDTDVETESQVNSMAGKDDGWFSMKKVIIIGVASIVSIATIALILYLTLPSSSEEYQKPTAQALIGVTKTTVAPSTSSTEGSQQTVAPVALVTSSNGSPTGSTENSQGVTESTVAPITRSTEDSQTKKLIFVQVLFRHGARAPGRLPKKYQKYFPRGGGELTDRGFNHSHLVGLFLKKRYVDSGFLNKSLVNHEMRWFSRQMSRVLSTASTIGSAMFRTPEQKYKTVGVVSRKDNDFLLTGGISKCKAKKKIIKKRCPGLLNKHSNSEIEALKCLDRKPKIFETFNVTDSDMYINMYRNNVPLPDNVLQHYKEIAAGYLEVRDFNNGVGDSELIQIRFGLIINKLLNDLTKAWESHLSNTTKRKFNAYSTQDWLIGGVLDAFSVLKYLQSILPKEEPNYSVMIVVELWEINGKPFVKFLYKPEEITEENHQLLDLTTRIPGCQGLEDCPLEVFNKCCDSHRLDLYTYLQKCRPKENHSKDSAEKDATYLDF >CRE01585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:555489:555903:1 gene:WBGene00077958 transcript:CRE01585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01585 MFAVPALPALEPLISFQEYSQMKRKLGSFNRFKGHPRASLPELKTYVDHIDFLLGLADTCRRLLATKENLEYLKEIRRKLKMFENVMIQVVLRGERLEDVLKNQEK >CRE01660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1151288:1152800:1 gene:WBGene00077959 transcript:CRE01660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01660 MSTRENQLDEPLLPFRTRQLNQVRNLFSFSSSNDPLDRAGCCKATTVILIVLFVCGVLALGLSAIVWKVYDDFDGDKNHTTTTTPVSPVCNKRIVGFFSNHQTKDITKIQLEKLTHAVFSYMLLFPNGTIQFKNDKARQRFSGLKNKARNTTLNVKLLISIGGPDNFEHFSEVIMDPKKQRTLTESIISFLKEQQTNGVDIFWKWPKETDKFQFTEFLKNLKETMKEEGNQYTLSITAPAAGIEHWTSGFDVDEIVEVVDFINVLTMDYYGPWLNEYGTPTGPIAPLYSGVGDRKNFNVDYTMHYYSCETKQPEKFNIVIPFFGRLWKNVKGAVEQGKEAFRDVHLKDNTTEGDPYMSRWTVEHEGWKITPSTWDEDSKSSYIYDADAKTYLTFENERSLTAKMNFVKEKNLGGVWIWAVDMDDVKNSLLNTITSDGMCSDSTEDSFKYNC >CRE01994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:653825:654843:-1 gene:WBGene00077960 transcript:CRE01994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01994 MHAAPIFVLDRLHIRHVILFLFLSNSKITEIEERMVEVHKDNVPQRQTISLDMSLQLLTLHPDFNWLDHLVSSDEKWVLYKNHHRRAQWVDADKQPEDVVKQELHHKKILLSVWWSDHGVLYWELLPEGKTITANYYSSQLQKRHASIPRRPGLQNKVRVEKWLKKYFDSKQPEFWRKVVDKGGHYV >CRE01913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:22565:24841:-1 gene:WBGene00077961 transcript:CRE01913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01913 MSSSVFLLLMAIIPIAHSGVLLTYPQARFPPLDYLPDDLTMPPCGVPKPTKPFYTTFHIGSEYNVSWITPASTNDYCTFPISDRLEPMTVSTSTTRETPSTTAHSMHHIPTPEPTSSTPEPTSTESDNSSSSIFSLFLVILVVIYQL >CRE01937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:236709:237537:-1 gene:WBGene00077962 transcript:CRE01937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01937 MGTGHIRHLEDVEKIQKLSRVKVDVENLGFEYQKMLENEKCDIEKERIQRELEIVERTKHGINGQLELVQNQWKPFLLSFLTGALRVLYPIGLNVEVNLSFHESD >CRE01984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:603105:603539:-1 gene:WBGene00077963 transcript:CRE01984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01984 MFVTSTSSNCHLPSLLSEKELDSIRGKTRSFNKLMKHPRASLPDIQDLIDNLGVYLEVLLVNAPKTRGNIEKMDVINKARHLLEDILIRVILKGETLEDATKTVSGFYS >CRE01656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1130727:1131429:1 gene:WBGene00077964 transcript:CRE01656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01656 MCFVASKPDGDDRQLSILTDSSHTRFNRRTGSHTTADSPPPELDSMIKTSGSDRALQKYKTGIQGSFSLLAESFNHLPEQGRSGSEISFNGRRSTIRKPPQPSKSQPLRRFAIPVSQGYPSLS >CRE01975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:510499:510726:-1 gene:WBGene00077966 transcript:CRE01975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01975 MTARFFMGNKRVSKQRKKRKGNEKNGSFSGSRCRLPWTGVWLENGNELNITHNSIGNLGNCVQKSRDLYLLTSDT >CRE02224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2484475:2485101:-1 gene:WBGene00077967 transcript:CRE02224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02224 MATSGVREMSDTSVEVCIYITCRFIYSCVGVHKTGCSAHEEPRIGGGVHEDLTIGGGVHEEERSPESEKEPMRSPESEEEPRTGGSNRKRSPEPEDRSRTGRGTHEEARIGRRAHKEPGRGVQEEPRIGGVVHENLTIGGGVHEEPRTGGGVQDRPRTGRGVQEESRTGDTNENLINS >CRE02201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2358167:2359935:-1 gene:WBGene00077968 transcript:CRE02201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02201 MANWYLKTELICHFERGPDDLQLSLRNGGAGATATSAGAAAAAYYANVMTADSEPKKAKLDPSLYSSQFYTPTMHHGLTTSGASAAVAAAAAAAANATTTQLLTGNPITQIQGIQLSTLPQCNTTSSATTTPVSKVVHVRNIPPDLVDLELMQLCIQYGPVSNYMMLKGKSQAFVEYEEETSAAAFVTSMTAVPIQIRGRTLFAQYSTHRELKFDKNKAVSDTEVSFFSDFCLNTKKHTKRPLSLVEIATFRRGKHSCDFEGCLHLNACVLFV >CRE02315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:3114601:3117103:-1 gene:WBGene00077969 transcript:CRE02315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02315 MLSGNTTMMNDYIMFRLLVDDSGRHKQKRNSLQPPVATGAVGATGVGQGAGGPRGSFLIPRKSITAENEPFCSPMVPHVPIRERLARRGTSADMSDDASAYTCYAPRRRRMSLLQTLRQQNRALADSGFDMHRQRTDSESTNRDTCSPTQKTSPPVTPSKKATMASQIKNKIFGKKKDSGASGKVYNNGEYSQSCDLINGRSRKSPSTTNDSGRGSQGHLEEKMEAMVIEEHLENDCECEEEEEEEEIDAPILSSKRSDIRRNRTSEWITPIDHFIHLYITGSCPDITSLGLNHSFKPSISSGKKKCPIAVDTESEEDHFERVKRPCSPISAADCLVERRLNRKFIEQRRQQVAM >CRE01543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:182143:183109:1 gene:WBGene00077970 transcript:CRE01543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01543 MMSEMSRRIQKTKDMQIGLFGMILMSWCLILAIITKDSLDYWKVYWLECLIILFASFFFSIDLYWISTMNSYTLRRHYHYKWQFEPKGDIYSNVIITSKHFWEEDEIIQWTFV >CRE01590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:604568:605050:1 gene:WBGene00077971 transcript:CRE01590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01590 MFATPAFPAKTSKVPKTTVANAKPVKKFVPLLNERQLNGVRGKQRCFEKLKSYPRASLPEIQGLIKSLERQYEETIAGKSFPKSKWNLQQLAQTKKIKVILEEVLIRVIVKGEDSRVVSKEVLEFHKEK >CRE01574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:432390:433058:1 gene:WBGene00077972 transcript:CRE01574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01574 MSARKVELTKLDFKPYDFVSLKMTPEKQFVQYEFDNQEDVDILVKWKSTRPPKYNVEPNYTIVKSKTKFTFQLSCKNIVKEYNVPADRFSAVIYAIKPTNHKPKAIWRTREILDDLRIGSRHKKNVYIVFEGVNEANWWNRSILDEQERNDPTFVIDDEECEHDQLKTALLAGHFTIVHVPIQQSNEIPE >CRE01611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:727055:727354:1 gene:WBGene00077973 transcript:CRE01611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01611 MNNIRLQLQKIYWMVSENPFGSLESLLALAIPLVIVVLMFNWRVKQSMKKQKRIQNRRDKLLKKLNGGKQKEGEEQEESDDEVVKEAIKLMEQKAKKME >CRE01803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2302487:2302869:1 gene:WBGene00077974 transcript:CRE01803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01803 MRSLVLFFLLVAISVVSGQYDSGNSADSSESAPSLEQSASSSEEIVETDEVIEEGSGSGDGPVVSVEQIHIFGILPGPGDIRKKRSLMKVLSRK >CRE01918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:83809:84210:-1 gene:WBGene00077975 transcript:CRE01918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01918 MPQDVIDYVSSKANFTQKKFSSLSEGISHVDVVYVTRIQKERFTSESEYQKVKGSYVINAKLLNEAARDVDEQQSNLLVPTRSLPIVMHPMPRVDEIAVELDHDERAAYFRQAKNGMFVRMSILSLLLGKGYL >CRE01970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:458020:460971:-1 gene:WBGene00077976 transcript:CRE01970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01970 MSFVGRDSLFSSNCPSGNARPVQVCSNDMLETFPFFQVISVVNIIFVIISIVCFCLKTHPSFRIPDIDISTNMGNYTGFVGGGGGHGSMKGGIQMNPIYVGKMATRAHPSFFYVELLSNIWFSGEFLTRMIFCPNVAIFLKTPVNIIDFIATVSFYIDWALDKALSGSNRDSVEFFSIIRILRLFKLTQHSTGLKILIQTFKASAQELFLLVFFVVLGIVIFAALVYYAERVEHNEGNQFSSIPVGLWWAVITICTIGFGDLVPQTSLGRLVGSVCALMGVLTIALPVPVIVSNFAMFYSHAQARSKLPKKRRRVLQPHEIKPVVGRSTTAVMISALTQRGPVGGGHGGGSNPSDGNPLSAFSTTPHLVCPSDGTDKPRKISQNKNGGTAPPVPQNNISKASKLI >CRE01924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:176346:177585:-1 gene:WBGene00077977 transcript:CRE01924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01924 MSKIIDRPSPKNLVFDKRKLKYLLNDLWKFPFSPLYFCLKHFANYSTIFTFTSNIVFWHTFPLICFLTSFLYSAEKRDAFLVIIFHAFSLVMNMLASWHNERTIQKIKHMQFGLFGMVLMSWCLILAIITKDIEKYWKVSQVVYYISSYLMIVFLLIFASYHTEYHVKLDDEKSPFVERNLFILGVGIAHIIVAFAIFMTQVYWLECLIILFASFIYSIDLYWVSTIDAYTIQKHYHYEWQFDPREDIYYNAIITCKRLWKDYETIEWSLV >CRE01914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:32845:34515:-1 gene:WBGene00077978 transcript:CRE01914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01914 MFDTFHSKTLIGKIWFILQFTLKMTFVPIWAIIEYLAPYTNTRPFYLTHQLFWHILPFSFMFFFYIFCPSENSSPNVKYLFIIWGLFAFFYPFVALEVFRILTKYKPVVQKMIHVILGLFGMIVSIWIMILCVISWQFGFFQMASGFTFLIFLCCMAISYFFFSSCRTNLYICLPSENRPFSGVKSYVILFGIFHILVAVGISFLLKIWPACVCGALLTCSFMYCVDAYSCFFTDSYILCEHRETQSEMKKKLPIDGIIQHVVIREMYSKKKNPEELPEEYQFDDELNLEERWYKEFSPFIVWKCQEDI >CRE01694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1408175:1409093:1 gene:WBGene00077979 transcript:CRE01694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01694 MKSIFSCFDRVSQWIEQQTHDCFYWLGLKIADYPKWTLFITTIWAVVMCAGVVRFKEVNNVRDHFSATNSPSRYEYRVAREFFQELGSPFHVVVAMQATDGGSLLRPK >CRE02236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2579699:2580827:-1 gene:WBGene00077980 transcript:CRE02236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02236 MSLRFMMQSFRFRSSAASNLFTEEELHAILELRRRTEQPLSKTAENVMRTRSWAKSPVLEVSGKKPPVNWNKVGKKGQRFNDEEEVEQSIVKLRWANFSERDVDILLSPGETLYTVEVKAVLYGIIQAIRWNETKIRMKSCNEVVVKVANKKYTASKESASFHLITRVVNATDTKSRENQLKAKLDSITEPDKPIRRMAQKVMTENDFFNEYRDSINKLKSYN >CRE02290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2962658:2963833:-1 gene:WBGene00077981 transcript:CRE02290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02290 MGGGHHEPFKIPNYSIYNNFRDFPQLAQHEKRLAQIGLKDPWIRNYVYLYDRKYPHVVGQWAHFKKLILPGWKAGVAFTAALILVEEVYQYKTHGTTSWDAHH >CRE01662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1155027:1156592:1 gene:WBGene00077982 transcript:CRE01662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01662 MFCKEKKPPIDESLLNSPPRQSCEYCTSHRRNYIPRSGNHDYKFVKSLYLTDYRSFPESVPCSKRIIGFYSEFESLHITKTQLEKLTHAVFANVEMSWDGSISFRTWKAKNRFLSLINISKRVKSPMKVMISIGGEENSQYFASVIADLRKRRSMKTIDNEKTYRMFIKSIITFLEDYKIDGVDLYWKHATEKDKFNYLKLLRELRQRMKGNDYVISITLPAAGIENWEMAYDLDLTLEYVDFINVFSMDYYGPWPNQWGNPAGPTAPLYSGIGARKNFNIDWTMQYYVCKARQPSKFNIVVPFFARLWNNVTAAMEPGREAYRRVELTNNRADGSPYMSRWTVEHNGFDLSNATWDEESKSSYIYDSKAQTYLSFETEKSIEAKKDYVVEKNLGGFWIWSVDMDDDRNSLLNALTSDDICSVESEDTVEYKC >CRE01891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2968358:2968843:1 gene:WBGene00077983 transcript:CRE01891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01891 MNSQFIKPTHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHRMLRANVHINAKQAKFEQVKRRKPPRRVLDPTAALLATENLDSCEDQDIDKEYDTLVHALKKAQDAAVTIPTNHSRNRLKTVRDCYCRKGDSQTDQTPTSRPCPKSADKR >CRE01554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:261727:262050:1 gene:WBGene00077984 transcript:CRE01554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01554 MSTTKQEMTPDEVVEGEYVNVGRARSATATSTASRKEYETLDEFLKRNEYSAESKRDKFKSVQVQSLDNSYKFRKNDLSLQ >CRE02051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1088263:1088664:-1 gene:WBGene00077985 transcript:CRE02051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02051 MSSTTSSCPSMEFYFFNYFPRNRRLSSYEKAFFDEYSRYMAQMMIEKQEDFKYWRSVESSSAASPIYSSDSGYSSSRTSGVTTPVNLVKNPFYDPEYVKQHQSTPSGSPPSKPVKLIANPFYNPALVKQMMSQ >CRE01715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1615071:1615824:1 gene:WBGene00077986 transcript:CRE01715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01715 MPRIKLLLLLIIFHFAIPEKIPLNSESCAPGNFVHERKALYYSWSRMVVGYVDTWKSKECVSGNSNPLSCGITYFYVAIINFEDDKGNNRIDASKLTVKCSDNMIYSATELPKGVEPNILYKSYLNCQGDITVDYVPPHMVYLKFILIKAMFLSQDIFLYLLICVIFVEMFNRIMFIV >CRE02204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2382503:2382928:-1 gene:WBGene00077987 transcript:CRE02204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02204 MIKTHFYSFSRYDIFTKPVISSTSNTGRRLPRVRPYDMDVVQPTEYDYEQTAAQMQRVQVLPDERHPNMSPSYFDTQNYGTRNTRFNDVDLFDNQSNNGSTQRGLRRM >CRE01670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1189487:1190746:1 gene:WBGene00077988 transcript:CRE01670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01670 MNDNNEDYVLSTAASPQSEFSGTETVGIIQPFPTNSPIRVIGYYSEFYDKFIIRKSQLSKLTHAIVACVTMNSEGELHFKNDIAQREFLSTINKSKRMENKLRVMISIGGHDNSEHFSSAMESSRNKFVDSIVSFIAEHQIDGVNIFWEAPAQSKFRYSEFLATLSDKLSEQGRTDDKQYVISIVAPRPGIDNWESGFDLDGIIDHVDFINVISMDYYAPWPNEWGKPVGPSAALYSGGAPRKQYNVDYTMRYYIEETRQPGKFNLVIPFYVRLWKNVGEKLKESEVYRDVELKDGKVEGVPYMDRWTAEHEGWKLTPASWDEKTKTSYTYNPEAKTFLTFEDARSLAEKMQYVNEKNLGGVWIWSVHTDDDDNTLLNLLLS >CRE01651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1075457:1076214:1 gene:WBGene00077989 transcript:CRE01651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01651 MMSSKVTPLQLLMKPGLIPDFAEIMETSSEINLDDAINNQRRVDTKNEADIFSDLITAIEESLSCDSVNTAVTAETVADSLNAHADPSLNTRISFSTASEISEKEESHDINEPLEDSFYRPSSPLQVWRNNNKITGFEMVCDEKKVAIVDSLECMTRLQQPLEPIYFDPRVYLGVPYSEVFEGIGLSESSSNGDVSTAELGPTSEEFNDWVRAIEEDG >CRE01934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:212507:215887:-1 gene:WBGene00077990 transcript:CRE01934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01934 MSSLPLLKLPDSAADLVVKLLDFREKVQLCMSSERSAKIVKRAKVKVRYINTHMEERRSMTKIFETELSEGNDHIAMFYSNMSKFLKSSEREQHREVINPIQENINHARRLLETFEVKEFNYSVCVRYKTSGTLEEYLKRVVAMDYDFIEFTGFTFWEASGDFLDTKDLSLILKTVKPEARLSIESTISLDFKHDNVSSICIYSISLFDFQPFNFKSVHYMDGGWVTMDVLKSIRHSGSVELDRTNFSCKDINEYIHHWVNSEEDIIRDLSIGVNRKLKFNEQELLNKLAFATCQSQNKTYHFIKAKNNENRNFTFAQVSYDIFCPYKIKIVTDEPEIGLSAYIFKHLEDIEEIQKLNEVREKIEELEMKCMEVLEEEASDTEKERIRKELELVVKTRNLIETRLDAIRSQWKNFLTHFYAVFHKSLDVVYFDFRKAFDQVDHQFLISKLVSFGIPSNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLRIAAFADDIKLYSHDPLALQMGIDLVESWAFDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTIHXXXXSALMGTSTTSMTTQDHTPQRRPSLSWLHSTGQSLLTLRTALISLLRITICSATCIDPLKARTSKQSQRPRKVDKGGHYV >CRE01641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:944298:945869:1 gene:WBGene00077991 transcript:CRE01641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chil-8 MASRAINKQYNPLFSDPALLNRNTLSKKLRDICCVIVTLILISIICVPIAYVLSTVFMLFYDTEGKIIDSLTTTVPPVTSRSTTQIPITTTKNPNIPAASCRKRVIGYYTEWEKAEVSEKQLKKLTHVIYLFVNVQENGTIKFDSDRAENRFLDMKNKAMTLNSGLKMMIGVGGHANSVVFSPLMKDVGKRKTLIDSIASFTDENDLDGVDIFWVWSNSDDKLHHSKFIRELRKRLNDLKSAKRRNEEYLISVIVPPSVSHLDSGYYLNEIMKHVDFLNVLTYDYYFNGNRVGPHSPIYGGTRGNIDETMKYLACKTKKPNKLNMAVPFYGTFWINASLPLHDDSDDIWKEKGDARGPYAVRWNQLDSEKWDKSSARFHEKSKTSYIWIPETKHFLTFENEKSLGEKTKYVKEKDLGGMLIWAIDQDDEENTLLNVVSSADVCSETEKKSVEYNCNN >CRE02069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1279513:1279818:-1 gene:WBGene00077992 transcript:CRE02069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02069 MSQSFIVMDDTNGLKRVIHQLAEHLGAPIYISNVLQEPRGNHQPAEQRPEPNPATFRVVARPGSGA >CRE01663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1156835:1158308:1 gene:WBGene00077993 transcript:CRE01663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01663 MSNYFDSQLLYKLSNYHDPAFYWTPKRVFKYALGTLSVLVVCGIIAFGLTSFIFLFIPDLDSVQGSVASTKFAANPPSCSKRIIGYYTEFESIDVTKNQLEKLTHAVFAYIEMRWDGKIQFKSEKTKNRFLGLKRKAESVKSDVKVMISIGGEENSQFFAPVTADSDKFKTFIESVSNFLDDHQIDGVDFYWKRAAETDKWHYISMLRELRRKLKDNKNGHKDYIISITLPAAGIENWEVAYDLDQTLEFVDFINVFSMDFYGPWPNQWGTPAGPTAPLYSGVGARKNFNIDWTMEYYVCKARQPSKFNIVVPFFVRLWKNVEGAVENGKEVYRNAELKDNKVEGNSYMSRQTAQREGFSLKNSTWDEPSKSSYIFDSNAKTYLSFETEKSIEAKKKYVIDMNLGGFWVWTVDMDDDKSSMLNSLTSNGFCSGKNDETLKYKC >CRE01890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2964018:2965555:1 gene:WBGene00077994 transcript:CRE01890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01890 MSKRNQKNAPSEDAIKMTKEQEEIAKYIRFNCPTATTMFEGNEVHYFSGNKAVDTLWDSKYGNKAKNSPMFKTRDDCFHYICELNSKQLFFRAKKLVAKKKENKDKGNDSSAEVTKSPKGTVEKRNKKGKEEETATEAEGDEKEETKKDDKKDEEKKKKKVKLLVHDIQTFVDDKDVYVWVFDPTPLMKKIIGVLMLVGTIVGCLFPLWPAWLRQGVYYVSITGIGCFAAIIVTAIRKFRFQKISIVLSFSVRTILFGIIYAVTFGKHKLWILPNLTEDCGVLESFQPWYTYECCGDDRKENKKDKKEKKSKKAKDSDAEEEVDEPSADPEQKECEDEEDAEKYSQASTDENYEKIDDEEISAPPSPSEGIARKRRPAKV >CRE01989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:619777:620060:-1 gene:WBGene00077995 transcript:CRE01989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01989 MVSDPKCYNPKIVRHLNMANHRKMSQDLYRDRTLMQTISHSQRPNRLSQSPGSAGSAFFDDDDDRVVADVQTGLQTPI >CRE01565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:385703:387055:1 gene:WBGene00077996 transcript:CRE01565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01565 MTFPLLKLPYVPLNLITKMMNANEIIKLAMSSYRLELFLRGAKFRIREIHVHLSKESLQFDLIDSKNAWITCFRMRKSRNQIKNVTKLEQFCKRYGKEVDNRLFINSFSMETIFDLYHRITSLFSSFLVGWVFYADTINNRTFVTYLDRALSENGTWFTFINDSLSSEVLKEIMDKIPVTKRIEIEADTPMDFTHPNLLKYFVMKYKNGRWVTLDHLKSFRNVGFFEIFFSNFDCTDINKFLKYWIDCGETMIDLLAITLKEGTVPNVDILTDGLVTLHEDDGGKYPNIFMKGRNNNTRKEVIGLVLLRGNNLLEFSTWEPDECEGVYELLVLLEKKKELENEMERIQEEGVNTVEENRRVREIIMELEKVKDELVVKNERGFVFEI >CRE01949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:312695:312781:-1 gene:WBGene00077997 transcript:CRE01949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01949 MLELLIGDFLQRIPPTARNPYLNEEQWS >CRE01667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1170853:1171624:1 gene:WBGene00077998 transcript:CRE01667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01667 MLSPAQCLAIYGGSALLAYIETSKFEKNHHVLLSAPLVILALLSLATTMNPKTRFATAMSFLMSAIATYFQSVNRTGPTSAIFYTIANVFYYFSYRDIVTKVSSPIIFLAACISFGQFLHLIQDLLVAIPFLATILTILLASHVLILATSASLCQNGQHGDYDARQASTVRLIGAIFSWLSAFLLLINSFQTHTKALHSVSRIIFYLGNAMLFIANERAF >CRE02257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2712702:2714614:-1 gene:WBGene00077999 transcript:CRE02257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-kel-1 description:CRE-KEL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LFX4] MLPNIYNVESDTLGVGLLHGSSRSPSFNKTSTYTNPTMLSDFYQNLNAMRCQQELCDVILEAYQIQGTSSEDGIIDESNGPQHIHAHRVILSASSSYFRAMFTGGLRESQQRIVPIKEVDVEVLSQLIDYMYTGRMRIDEQNVQTILTTASLLQLTCVRDACARFMLELLDMTNCVGMAEFARAHACHQLAHAAQLYTRQHFVEIIDNEELLNLDKEAFCDLIQDDRITVPSEKPVMQAVLNWVNHDEQNRKVHLGELMSNVRLPLLGDDYLLEKCRNDDTIKRDVACLNVIIEGIHQLKVTKERQSDMSYLSSEDRNPREEVNRKWFIAREPMPESQHIMVVGGQAPKAITNVDLFDPDSQLWSSCASLPQRRCRSGVSMCNGYVYTTGGFNGAQRVKSVDFYDPRTDTWRSANQMNARRSTHGITTCQKLLYAVGGFDGTTGLASSEYYDPHTGNWFPLPSMSTRRSSVGVAAIGEDIYAIGGFDGVSKQCLNTVCILTIFYFDEREKHNHKADFGGNGRK >CRE02172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2121428:2121574:-1 gene:WBGene00078000 transcript:CRE02172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02172 MDIEQEPNETREVAELRTVAEKLAAEAVRRKCGDNVSVIIIKLDIVDV >CRE02102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1517226:1517549:-1 gene:WBGene00078001 transcript:CRE02102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02102 MMTSSESQRNGIKLEIEQLQTYEQNCLLGLANYEKTFQDALKVSPGSSEQLAEQTSKAAMISTYTFLYVKSLKEEKLMELEEMNKKMWNQKTSDGSSESQDEFGNNR >CRE01677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1207890:1208310:1 gene:WBGene00078002 transcript:CRE01677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01677 MTYFVSSFDDTNIEKPSDATPWSGITIIANSPGVDKTGIYVDLVELFGIACEDSKWIITKYPYGYLYENENMDSIHVDSSELSKTCKPYRTEIKRFYCDGNDEKLFQER >CRE01992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:632674:632934:-1 gene:WBGene00078003 transcript:CRE01992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01992 METEGGAIQQQKQASGGERPRRYQHQTDIIDADVNDSGNELSMGGSSSEVFIGDAKSHHRVEHSPNHHHQDSLLGQTATSSILGFQ >CRE01631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:855945:857495:1 gene:WBGene00078004 transcript:CRE01631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-2 description:CRE-SRE-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LGV6] MLLQYHTITVNDTNRIPLLTIVSFEFILLIFELVAFLATFLNVARFQFHFNLKLICAYALIAYWFDIIARSIIMSFEIGVVWLDDDVIEEESLKLPWNYSNYSFIILLCCSVYRVSFMFLICSLTLLLAVERYFATIWVSTYETKKHKWISLLLVGSNILAGITCSLIFHYGTGLILNFFQFLGFPELLFNKILAIFLGLCLNIVSIVLFITLYSLNKANMEFCQTKEITQSYTLSLRFQLNENLKIMKVSDFLFSQWLKNCIVVVTCFNTILAGFLIISNHEYLKDNYPVMVKYCHALLNLGIAIYAQVTFFVVTLADRNFRTYFLRFKVIRFFTKPFFGRIFPEDFRSKKLLSTSEETNFYFAKLSSQWDDQMMRNMGLNKRKKGFMFF >CRE02168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2076127:2077349:-1 gene:WBGene00078005 transcript:CRE02168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-apc-17 MSEEVDDCQLKNMISSKQQCDVNMGKKVGKVIDINVLSENHKPSFQKFLNKNTLVCSLQTKGGHPDFVFCSGPILLVCDGMSEKLIVKKRFHMEFDIKKVLFHTFTSSRNAEKLATPSNEKKESGPKSRDYLIVCGEHKLQFISLPDFHFYHYEIPFKLRNVFSCSTSLLVERFYDSSTEQNFHNHDTFHLYSLSGPFGELLPVIYKTNGFHPQWKFCWQSHQDEAGIVDSDRNYVVVYDQKEKVHRVYIARETEEQEVHAAIRYVETLRKQHDSTMFASSLAPHSAGRTTHFDPTLRSPMGAFTDGMHTDIDGRSPMTSHLRSSFGHSTPNNPGAVGFPGNLPTPIYEGKVENTFIRVKKKGIENGDDDRNLKE >CRE02200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2356647:2357615:-1 gene:WBGene00078006 transcript:CRE02200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02200 MPLFLVVRSYEGIGPFYEIAWLSKTMACEKKKGKEKALRELKHEINSIRCIRSAANRTIDKKVIVQL >CRE01691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1388698:1388908:1 gene:WBGene00078007 transcript:CRE01691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01691 MNVIYTAVLVASTLAYTAVAWIGLSIDTANEDLL >CRE01948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:308481:309155:-1 gene:WBGene00078008 transcript:CRE01948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01948 MDLLDKYMGVSAPKPPGQSSGASSSGRGGPPSSVYRTNVWGDQRRGAPPSSSGSRPPPSSHSSESRPPSSYSSASRPPHSSSDSRSHQSSYSSSSGSRPPQSSSGSRPSPGISFSTPESRMKKREYDDCGSEYDSKVKRERKYESQHSSSSERKYDTQHIPSGSDRHKDSFSPITNIPVRMNSWRLDITEMDEVIQKVMFKTLMIMSNGKSFDLADGIVAVSGE >CRE01675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1202367:1203914:1 gene:WBGene00078009 transcript:CRE01675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-chil-21 MTKNSERRPLNKHSRNRQEEFNCCQTTRIVILVLFFCGLMAWGLSAVILFFYPTGTSTDSTTNATETPTVSTSYPVTVSPFNKPLSCEKRIVGFYSERELKDAKKLELSKLTHAIFAYVEMTWEGNLDLKNDRSTEKFLSLKYKSKNMKTDVKVMVSIGGEENSKNFQSIAINKERKENFLNSVISFLNKYKIDGVDLFWKPIVMNRNHVNLLKDLRRKLDEEGGRKYTISITLPIPELGVSMDPDELLKYADFINIFSMDYYEPSPYHSTVLAAPISPLYSGVDERKHFNVASTVKYFVCETKKPSRFNIVIPFFVRLWKSVKDAVEPTNDAFRRVESLSNRIPSMCRKTLKQNGWNISNASWDEESRSSFIYNPESATYFAFESRESIAEKVKFVNEMNLGGIWLWSVDQDDDENSLLNAVSSEEFCKTSSEDVVKYDYCD >CRE01600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:649157:651169:1 gene:WBGene00078010 transcript:CRE01600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01600 MACEITVGSSLKDISFVNSDIIAALESNSTKLYQTDTSRRIMTIGCEEETKQLHGADENCFLIQYTGIRILKISTGQLIDGTLLERTRRFWPVTGNRKEWPPSLRTSRAEAECMNCFLIQNAGISILKIKTGQLVRWHTVEDNEEILASHMNSATEKMFDKWKPAILRSINESSFMAASETGPVKLCDFGKSNKPIWTTPVLDRINAVEDVGLSNVCVITDSKVLLMDSTLGKKKMSIDMKPNEKCVGVLRHYDMTTESKRSHILKYETHLTTPNLYCRFKDELFATCDGKGRVIIQYGNTSQNEFAAEAKKLKDRNRKRQWLRRSSTFSKPIGRKETKGEKTRGIWTTQATSKADVKLNFP >CRE01552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:255097:256286:1 gene:WBGene00078011 transcript:CRE01552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01552 MQHGNRKFSLVIYRTLSEQGESGEWTKSKLGNSLEKNTFDPIYDVYHTSQKLQTIFNMKSCRITVSTNDLKPECFKPLFATILSGSYNRLVLAGECINSEHLLDLMDKARLNIELHISVFIRGDFRHDNAFKFQSFTYLHSHWARIEDLKSIRNSDEIRLKDTKFNCGDINSFLHYWVGCEEDMLNYLIVELEKNEKVDEQIILKDIKTYNATHDKKCGTFMINAADRKQRLLLVGKLTIYEGKNMVSLQTYHATAEGRNNFLQ >CRE02091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1442772:1443239:-1 gene:WBGene00078012 transcript:CRE02091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02091 MSSEKVYKVETSDKQVFEMNLEDISQSITLSEQVDYLEDRHPHLLLQPIQIPNVNGEIMQLVVKWCYFSRVDCPNTSRWCADFLKILTKNELNGFISAADELIIPSIIHETKYEMMRRVESMTPGLIQSCLEIPGTSGSNGGPNKPKIVVKYRRK >CRE02912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1135839:1136982:1 gene:WBGene00078013 transcript:CRE02912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02912 MMLQQPPSHVTKLEEYIGGSSGSSGHEHDSIRSSTTPENYDPLNTCIGIAQRLRLQNFQSCLALLLGLLSISQYIMISCEKLCFD >CRE02906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1080902:1081959:1 gene:WBGene00078014 transcript:CRE02906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02906 MTTSVDGGKRSINGAPEDHGAVLKPGIYSSGNEAVKSENIMVGSNAISISLDLASNVEDVTMVADGIALELAIVANETVIGKNGNGTMVSSGNVSSSSRENQAMRGASPPFRSVHQLEMVRNHLSYKTQKKTCAEVRGKSSETETHLVWQPRRTIQIVTTLPETEEDENVHLAENNDCDRFTNLIARKQKANNEDYY >CRE02838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:382026:382295:1 gene:WBGene00078015 transcript:CRE02838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02838 MLKQKEKKKKLVEKFARETEISKNLKEALSEKERLIEELRQELANLYKNDTSRSFTSSF >CRE03088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:912728:914595:-1 gene:WBGene00078016 transcript:CRE03088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03088 MKFRFALLVGLLLAASIQATEGEDTSPSTTELPTTPPTPSTVTPPETPPPTSTATTDPDATTGTPVATDTVATDGSTASPGSTVSPGSTASPGTGTTVPPVTGGSDATTVPVTVGGSTVSSGPTTTGPLPNVTYSLVQFGSPVCVDLTCTYEIQAPTNNQAVLATDQSLVSDERSQFAGLQVQADEKDSGVKQANDDAAAKIATLQKLMDSIQSQLDSIKGNILVIQNQQNDASATMSLVENFITDIGKSQDNCLYQRCLKPTTPAPPTTTPTPAPTTTPSPCLTFDCPAATADQPKCTLDNSNKPFCNYCPGNMDGYTRCQTGNNAVLYLNEICFSVACTSGTPFHVDSSFNGTWYSAGYNATSPGNSTVPANANCVYNLNGKFQTDNTMSLACLTSTKVTLTFSSSDGSFSQTVSSSTTARSLNSLLGKIPDGSITLTSTASDQSFCVIPLVQLPSSAMLDEIPEKKNGFFSWLMGY >CRE02986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:78060:78778:-1 gene:WBGene00078017 transcript:CRE02986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02986 MVFSIITGFPMCCIYFASQLGAAYPELHDGIQLETIRVLPYETIMIFFFPHPPYIGGVIEDSHTAIFYLFGMIQSAFLCNVCNLLYYNYEWMSPHCGRIMSTMFMFYGIRRVFEFRSVLIPKLPIQVPWKSILFLTLFFSISGFYASQYSTFHMMLRHFYVYFLCIEASIWYYTKEFRMFSRFPIDWLYGHSVARNTTVYRNCNVQK >CRE03096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:953452:954042:-1 gene:WBGene00078018 transcript:CRE03096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03096 MSAEEGSIDVPSDDAPDFDDTPEVEDAPVNDAGPEADDTSEVDEVYYITLISMDQQEVRMSSDALCQSKALLNAVDGLQRAEKQLEVTVQIAYISGTTLTQIVEWCEQHKGEPIPVEEESEHQPIYIPQWDKEFLDGCDLNELLPAAFELQIKRLLDYGCKAMALITKGKSLDELRDVFGIENDEEEDNEAAGLVN >CRE02801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:11223:11541:1 gene:WBGene00078019 transcript:CRE02801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02801 MNRYFILSFCILFLFVSRQVSASSGVTYCENDLATKCQDSCSFKKCVMGSCRSSINSDSATCVCGMCYGGLGQPNGPFVMNENTGNLSS >CRE02814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:211586:212511:1 gene:WBGene00078020 transcript:CRE02814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02814 MSPFLCVSQFRTQFSSISVERGCKKRELSIGRTFLFQAISLTPTSLKIWNQEYPLSNSTKIIVISYGKASIQMATGAHDILNPHLQKTLILAPEQQKTSVGELGNTTKIWFGAKNNLPDENSVQATRNLIQEIRESDSESTLFLFLISGGGSALLTAPRDTVSLEEKLKTIKIMQAHGATIQELNTVRQKLSEVKGGKLLRNIKVSSVERIYFPMKIQIYFQKGSSISLIISDIIGNNIDLIASGATVPQKDNSATISEILKDLKIQENDLPESVQKLLKEKEEGRESALY >CRE02809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:156823:158025:1 gene:WBGene00078021 transcript:CRE02809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02809 MVRTEIELLSECFSHGLLISLIFLLVTTSNSHQPPQPTPRAPPTPLPPPPSTQPKNQDDAPKAPQQNPPKSGEDVKEEKKAESTPPKHSTPQKKKSSIIGMFSKKKKTEPSSKESIKKSKESTKKDKTEKSENDSESFLKNLKKKKQEQVEENPITDLASDDEDEQRKKKEKKKKEKVKTAKGSNEKGEKSQHAY >CRE02868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:669382:669618:1 gene:WBGene00078022 transcript:CRE02868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02868 MAVNKVTHIRVKGDIKVDVIEVYPPVPDSDTLQPEQEEEKEEQEQEEEEEQEQEQEQEEEVEVILQPEEKEEEESKKV >CRE02904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1065152:1065553:1 gene:WBGene00078023 transcript:CRE02904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02904 MEHNLLFSSKATKPELFSLVKSHIAANGGREAFVVYEVDSWAEKMYGVGILRLPPYHCHWNAIEFVWADLKSHLRRFGDPSDKLEIVRNRALDFLQTYDGSKASSVIEHCRRDENDVRQMQHEKEVDDEDFSL >CRE03022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:399105:399522:-1 gene:WBGene00078024 transcript:CRE03022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03022 MKISSNIDSIQFQKTNDISQLIPMEYPSMSPEFKRKYSELMISLTTGIPDTDCLICHDFRKEQEKTIECESTCHKVYHWKCATKWFKHQQTCPHCRSRILDDEEYPAL >CRE02885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:852087:852956:1 gene:WBGene00078025 transcript:CRE02885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02885 MLASPPRPTIQAFFKEGMKSANIEKRFDIPSASVRTMTRGIINRKISRNFDISMNMIAMAHAPRIAESLQRHLLEISELPGLLGKKFNRAKTGASESGTDPEEVESLGIALVQSYVPIIDKKKGIIADLLRILTDYTVSHELNEMERSFEESTLQEEESEVSPPRITLYQMKYQKPRFLRIREEHPIKESTGHLKKSQNLF >CRE02804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:69823:70775:1 gene:WBGene00078026 transcript:CRE02804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02804 MIFPDSPRHLKTITSGLKPDRPFDKQPDISKPDISKPDISKPDISKPDISQPDISKPDISKPDISKPDISKPDISKPDISKPDISKPDISKPDISKPDISQPDISKPDISKPDISKPDISQPDISKPDISKPDISKPDISKPDISKPDISKPDISKPDISKPDISQPNISKPDISKPDISQPDDTSEQNNSGYHQKTR >CRE03043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:556042:556320:-1 gene:WBGene00078027 transcript:CRE03043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03043 MDDEKLNKKYVSRKEEFQKIIQGVRDKMKEDRESFKMEIDKLKKEEIENAKNEMAKFKVLDENLDE >CRE02930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1293008:1294071:1 gene:WBGene00078028 transcript:CRE02930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02930 MVTKYASVKAPCLLGIRYKTIFIILQIVNAIRYYIRPEEFDARSSWKHITVICVAFPSACAFLHGSIGNEKNWEWAQHFLVGAGGVYMLNIIISNVSFIFTPENDPIRLEYLRDQGIYWLETHITIAMWFIARAEIRYYSSIEYLNKMNEEYVSLHPKKVNKRQRKAEENTDKEKPKKQSWFERIFGN >CRE03021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:397817:398308:-1 gene:WBGene00078029 transcript:CRE03021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03021 MSDSQKKKTGFESFDDDSKFYGNIFSTGVFNPELFQRRTNSSDLRRPNLVGGFPLHLLFGPNPQEMIRGGLSDMTSLEAAAYRILEQAQDRQTAGGPVFDPDMPDFMRRFLEHAAKTSIIPGFSNVPGYEEEFKKMQEALKKKDQPKEEKKKANDRGAGCSHH >CRE02871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:723262:723461:1 gene:WBGene00078030 transcript:CRE02871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02871 METQTTVSNAGTKLVDYDEIPFCIKIGEENEVPHISSNYCDVVYDDKLSL >CRE02893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:956719:957210:1 gene:WBGene00078031 transcript:CRE02893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02893 MVVVDWSRDTWINDFCEEIVEGLKKVFRENAEHRKEVLRHERLRVARKREQIKKNVSEQKQEWAEKQVHVKLRRKRKLKKLKKRRKKQRKKVMKIRRRTWIAFRVFVMIFRSEIVTLDILWIESKTYKYAILSPISLEKTFVPRNKKKKKLKLCYGYRKSEHG >CRE03017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:382859:384221:-1 gene:WBGene00078032 transcript:CRE03017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03017 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LW52] MVKEQRTDTAKFIHRHMNVKKWLNYREVREKVTANNFNNHFQQSYTKQELINLALDARDVFKQNREDKKDINSKVLALATISPPVLVVGDIHGQYADLMRILNTCPNKPAEKKDERPAPNNKRAGFFNNRFVFLGDYVDRGSHSVECISLMFALKVHYPSQYVLLRGNHETRAINFAYGFREELQIKLGEADGQEVWEAFNETFAWMPLACLIGRKILCMHGGISQGMTLDLIRKIPLPLEDVGTNVLAQDLLWADPTPDQTIASALQTPQWGKNLVRGLSCTFNPAAVTETVGRLDLKLIIRAHQMIPDGFKFAANHQLLTIFSAPRYMNETDNRGAIVRIQENGDFGIIVLKNTKGAGGKNPLNDELTRADDVPNESAKKKSDSAVNVMKLSSSKTKNSPKF >CRE02810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:173661:183883:1 gene:WBGene00078033 transcript:CRE02810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02810 MRPIILLCLAVLALWNATNTGGSKDDLPNDSNKIRKINKRSASDTAATETGSTGRPGDSGLPATVQRTNDATATTPKEVISSIPATTTRDDLKIYAPFSNSDSSNSLPNRLQLAIDSVILWSKKAKLDLYNSKTECITLGNKRAINTYTIQGNTVRQKTLIRDLGFLISPKLDFSEHWHKATNAAKFLVSQIFTSYSRNIDNTTKVVRGGGRGKRTTGLPDSASSTLSTTPSTEPHRDSNENSSEEELSESSSKVEISTTKTTGETTTLSTTQSSSTNIHTESSSLASKTTSTVLEGTDSTTTRPSGTSSTTVSSTQSHSSYTTKPLETSSQLTTKTPSVSSKNIEDSTTTRPSTTSSSKQLESDGDSSEEVGSFKSTTFVTTVATTQGQSSSTTKPVDTSTPVTSTMRTSSGTKTSTQSTSETTTLKTPESTLNDSMTTRASTSTSTKKLESSSAENSSEKESTASTSRTETTSNSATTFSTNSPSSSTTSPLPTTSRVITSTKKAPLTISELVESLEEGKEYTIDGVQVVTCPSYKTTTTTRETTSSTPVISDKSTGTSPTTVKSASSPKEGSSAAITSSADMTSSTATRPGSSVSTSSPTSASGSMTSSSPSPSSFTTSSSTTEKSFGGDENGESKTTPSTTNTTKHNTTSSSSSRSSETPTTAPVSSKSSATASSSQMSSPSVSISGTTTDATGRSSSDSSSFTGSSTSTDDSSVSSGSTSPKLQTSASSTSEQGSSSGDTTTSDASTVVTEIQSTTPATSPSIESRSSTDSSPETRTTSLQTSTVLYTVSTSQDTSDYSMKHSFEAVSSTSSSADKESSRESSTTGDLRQTTGTEVESSSGRIQSTTLDGSSSSFSSDSISTTEKTSESSLQETSTKATGTSQDQTKSTEGISSKTSTTSTTKTTDAKSTSELKTEAPKTTTTSSGSAFTPSQSSRTSTTVTGSSTFSKSEIFQPK >CRE03044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:557368:557622:-1 gene:WBGene00078034 transcript:CRE03044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03044 MQRETDEKFSEKYASREEEFKKRLQNVRDQINEERESFKMEIEKLKKEELENAKQEAAKFQNLGSSDNN >CRE02883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:847599:847700:1 gene:WBGene00078036 transcript:CRE02883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02883 MQLGEIDINTDDFFPTNTYLFRIFQIFLKVTTI >CRE03011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:309542:311014:-1 gene:WBGene00078037 transcript:CRE03011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03011 MVYPYAAFPNQLQQLAAYGAPIYQSGPSTKTYVHQCPALNATIFKVVQRDGYSKDFRFDSKTQKMVQPPVTVVNEEDLYPEYGIISDRLQKEVMIAFNRRTSKMEQYIYSLESGQFEQVEDPDLKYHVGNRSPSSIVMIIDDWKGRMSIEKGKDGSVKKMVWVRGQWIQIPSRPVKTLEESEDVGEEAEKDPYTRTLPQYKIRYCPHSQKEVIFYLHRDDYLTFAYDETTREMRGGFQCFLCPRFVSESHLFPRYSEFSTSLNTHVIHVWNTETEQMEKYIYDASKFQFQQIYCPEAEFNPEKSMSSNILFVASLENTKSIVMRGNDGRLKKEGYCMIRGEYVDIPPNVVRTFLVQRREQKELEMVKIMKVNERIGNDNEDLEAETEYSEEDSSNGSSESEEESSDEEYSQYSEDEEEEDALDDQLESKDEGSEEEDSDDDEDDKLDMFELNKLRNDLEEYVVSELSHLNLLKEMEVARRRKVNRLVSDI >CRE02834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:359855:360329:1 gene:WBGene00078038 transcript:CRE02834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02834 MKEELSKEKEKNQEKEEEILKASKENEDLQKTILKLTVENEANELVIQKLLHRITNSSTNQQKTTEINKKNIEESTPTASVTSKNAPIVIDCLICSSQIKSGQEVIRCPPCKRRFHSNCAFKWRKDHTQCPACNGDLPGI >CRE02988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:83062:83818:-1 gene:WBGene00078039 transcript:CRE02988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02988 MIFSMILAVPFGVINMVFQADLSHPYMGKDYPATPEIQWPLEMLPFGYVLHTAIFPTMHPIYGRIMSSFFFCYGFQRIFNFETLLIPKFPIRIPWKSIILIALFFSITGYFMFPYSVSYVMCCHVYVCLLSIETSIWYHTKEFRLICRWPREYCIDYHKAYYTTVHTNARNEMSSDVPRPG >CRE02826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:333238:335212:1 gene:WBGene00078040 transcript:CRE02826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02826 MSQHPLKLLHLPLVVLRNVLQFLNPIELFELSQCSRRALSVIPLSGSKNFKLYMNEYSNRISVNEHFFSAYNNLIQSNYSLHGTRSFMETTVKICHRSENELISFWDNRHVGLKSVFFHVSKVFKCPIECAKFSSTCPAAIYMSIIDFISSRQSEIKELYVGGQNLTDEHVTEIFDKLRDSFQIFNIYPSKAVFLAKILLHSDYRYCKSQIFCKILRKFVNCTRNISSVFSIFGLSRSIYGAVNVQRDDGIAAKVSFDDQDGNLRILVLFELSQCSQKATSIISLSDSKTFKLRLDQSFDSIVVDNRVFRVCRKTNKSKYPKKPEVSWKPLSISLTYDNVTKIFDKLRVTDSLEMYVDLSKNPNIPFTPKSISIFYSSWITASHLNAMKHCVAIDLVRTTLSDIDIKHFLENWNLGECPNLVYLSIGSSELTDNFTLFDLPSLQDTVNPIFF >CRE02973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1621149:1621473:1 gene:WBGene00078041 transcript:CRE02973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02973 MENVNQMISDRHSRLGEFFRFYTIRNKYQISIFSTCLQKFNLFLSPVSHLALICSSGKSPVVNCHLLPLQPRAILSSGKISHQEVHKLSCSKV >CRE02878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:777334:777581:1 gene:WBGene00078042 transcript:CRE02878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02878 MKLVLATLIAASVIGAEAGFERQYTVCEHKDGGLHSFKEGRTGDDDVCGKRLATAYFHDEVNQTG >CRE03019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:391290:391808:-1 gene:WBGene00078043 transcript:CRE03019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03019 MEVFIRCNIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTIIPSVKSLRRHPFYLRCNIKNSNKSSSQFLTNRTLNCWNSLPVSSFPVKSSSRSFKTNLKHVDLSKYLTLSPLNY >CRE02884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:851930:852043:1 gene:WBGene00078044 transcript:CRE02884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02884 MILKMQLGEIDINTDDFFPTNTYLFRIFQIFLKVTTI >CRE03120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1147026:1147496:-1 gene:WBGene00078045 transcript:CRE03120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03120 MERKMEQIEKERSMKRGNESFTSSSVETSTKPLPLSEDSISCKRTMPKIFVPKKRGAVPVASQKPQKPEVPIPEVNRISIEKKMKEEKRIEEYLERKKLIAEEKKREEAIRVSKVLDSLEEARLKRKQEAMKAREQAFWEEDQPKPKKAKYSKRRW >CRE02854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:529863:530762:1 gene:WBGene00078046 transcript:CRE02854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02854 MEPTSSPLEKSGKQLVAEKFNAAVRDIKNELIRRGYGKEEDLKSQVAVLTSVAEMLNGVDLKSKYPVQPRRKWGDSLREFITRERLVNGSSKRMEQLDVVEVILKYIKPDSVDDTADGEEKGSTTVSPGLPVLVPIPPLTIQDPVQPPVLSLTPELPDVLPTGAGFNFPPAPMLNFPRTKTNKVTPNEVEEPSSSAIAQPAEDSEEEELDVLN >CRE02990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:86189:86903:-1 gene:WBGene00078047 transcript:CRE02990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02990 MVFSIITGFPMCCIYFASQLGAAYPELHDGIQLETIRVLPYETIMIFFFPLPPHLGGVIEDSHTAIFYLFGMIQSAFLCNVCNLLYYNYEWMSPHCGRIMSTMFMCYGIRRVFNFRSVLIPKLPIQVPWKSILFFTLFFSISGFYASQYSTFHMMLRHFYVYFLCIEASIWYYTKEFRMFSRFPIDWLYGHSVARNTTVYRNWNIQK >CRE03142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1355655:1357076:-1 gene:WBGene00078048 transcript:CRE03142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-4 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LWS9] MSQCNASYSVLSENLKFFTQFAYLAPGVLFQLRILTVIWGTHHHIYSKNSFFRIWSLDSAASLLQMFLDVSFSRIHIYFPQLCPQFAEFLETYWMIPHIIYPLYLYGFTAKTVIHAFLSINRASCVLMPTRYSHIWSHHMRKVIIFIMLYPFLLLWNVIISEKYLDYIFGGFVISYIKRVPWASLSRFQLTSFFFTFSITLISTGITLSKMCKLKKRLITGERHLCIATSWITVGFAIAMIAQAHFAWFRGDHEWAQVFYIVQCVSFDILNFG >CRE02908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1086854:1087282:1 gene:WBGene00078049 transcript:CRE02908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02908 MPPKLSKVANKHNGVEGVEAIKNRHEKIKRELRDNLSDAIGRLTESEAAIVELKKIKQKDEEISDLKLTIINKGDIENQLKNAEKALEHSHRSSEFVANQLSNLRRKNCTLKDKIYFLNSNIQLAEKKQGRIDQRHCSGKKF >CRE03135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1332822:1333040:-1 gene:WBGene00078050 transcript:CRE03135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03135 MFVPSEPIEQIALHGFNLLSNWESRDSLEELIKNDYTLEMYRTYEIKRFCRYYLNGSCDFMARRIIKKIEAL >CRE02931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1303456:1306777:1 gene:WBGene00078051 transcript:CRE02931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hot-4 description:CRE-HOT-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LWR1] MRNQCWLTIILLTILRATWAYSMHHDTHPTHPQEDKKQLLDRQRSHCFSCASFVYLPLWSQLMHHYYPPKNFTDRCWQPDAGIGLVPCSSACFTLVERIDGEDYISEQHGVIRGCMDRLLLFGLDDDVRNILNAYENQRICRHTDRKLLRLFPLSGQTDVVTFCSCQGDFCNDHDMLRELSSSKSQHLHLVILFLSTWILLIF >CRE02950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1460409:1461674:1 gene:WBGene00078052 transcript:CRE02950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02950 MSKLLQNTEAESTKEKEVEIKESVNEEPKEVVEEQFIKKEEPKLKSAPASSIKMPSKTKVLENEQIPEEPTPTKSFDASSPLSLDITAQMSPAAFSWSEEMEKSFNEEEFQINESSDIDRSPASPHRHVQFKKGGSGKSNSNRKGRGVAGKDHHHNHNFNSHKEEKHAPLKKGQRRLTKEKSVEETPEKPQKKVAVKHQEVVDSPDVSLNQTIEIQHPEDESYEKSGSPGSDSLNSEVRFLFLFFSTTFKTISHGP >CRE03045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:569157:569984:-1 gene:WBGene00078053 transcript:CRE03045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03045 MSNNEETDPSQELSTLLKRLNEVEPVITDARLVLEEFDGSAILSEQTHKFKSAIQEVLELSRQFNLEENEKLSLERRRKIGNQLIEKIPLMMVRITIHRASQSEKLVLTFKNMLVFLLKLGDAVAAILNEFKIGHNNDTKVRKNSREWAKIAGEILPYIVDAALATSNQKNQSLDRIIVRDLTSAFRTIQNIFRTFLPE >CRE03153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1419112:1421022:-1 gene:WBGene00078054 transcript:CRE03153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03153 MAKKKPAVEVVDTEQYIVDKIVGNEIKDRAIHYKVKWEGYSAEYDSSEPEWRITAEQKLIDFALTVIVPETYVDTIPTILSQLKNYEYDEEIIQSKKKNTIIILTNRFSAAIDPLSILALTTVQCGSVKFIDNDSIGSKLFFLEYLRDIKQEYLLHSAALPSDEVIDQNMKRYSAELRYRLYAFPEHPLIRILIPEDIEKLFYSPPLLSQLLFEPVTVEIYNRKENHLFAPQSTDIGFNKTRPFLHESYLNVKKCYDPRWFEHFFLDRRLLYNGMPRDVRSRDRRFGCSLLLEQELGRGWVLRAVLDVEEEIPLIMMAGVIRPCQEAHRSLCRFGERVAFSSFIEIPGTDSCLDRREFHDFTKYIPHSVSSNLRINIAESIFQCNPTCSVRLVKSGNDYPDLVVYSKVKLNEANNHMISLDYYKGFRKEVNRYFHKNKHPEGKVFHLFEKKMDFVQCQCLMGGKCRNVLYVDRSLKAENPNAKKKHKLEDMDPQFQFRGMAVVDDTNRIWEIKDAQFVE >CRE02989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:85212:85673:-1 gene:WBGene00078055 transcript:CRE02989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02989 MYLNFDNMSPHFARFMSSMFYYYGLRRTLNSKTILIPKLPIQVPWKSGIFITLFFSISGFYVYQFVTFYMMLSHLYVYCLCIEYSIYYYTKEFHLFSRWPGHYNTDFTRIVKTTVYRNSKKL >CRE02985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:76173:77472:-1 gene:WBGene00078056 transcript:CRE02985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02985 MQPPTDKFTYWHLLIGVLALLVQQDVENAPRMMDVKNGYLDILIQSSPSALAGMISGAVLAVPNVHLIASNPVISNLSFLTHYFGLNTFGSGFGIVSGTVFLGLGFSWKITGVANFVAFLLAPLFSMAFVSYAPIVFSGLLFRVVWITTLQTLLYMGNRLKPRLFEDALAGIISRIPEENLRKCPCSTLIYIFNLQDVFFTAMTLRRIRSAELRRRSSVFFTTFLHRSEQPLETIGDVVQSLLGSESKVENCEVGTIVTLTNEAEQIVAKGSPIHRLLDLFAKNCFYDRDFGKVMIRDDLERKIRSTIGTDVLEKCIKEEFMQVRKRHSIRYIKTGAKSPGKDNVQDLLKRVQGGNGKAKFNELQKHGAMHLVDVQMIIEGNFKQKDENGPSPRFVLTVKR >CRE03001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:212857:213973:-1 gene:WBGene00078057 transcript:CRE03001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03001 MEPQFPLLKLPAVVLRLVAACLGTKEKIYFSLCSKNSADHIRRLNIKVGEFLCEIGSEFSVSLKFDDLHAISMIFLPVDQPVNQYPIPLPLPVAFRFSTDVRQSEETKETHSFQNMPSLKDFLGHLSTIFHCKNVAIAPLHGSEQYTLDSLKESFEICGVTELVMTTYYGNKPHFINILKTFLPVRILYLDNSPYESNWQFRKSVLKYEFDVLRLWVKTLDPYELLFDMDIKQIDILSTQVLSPKLNFFIRMWVEGETNVNLESLVFQFREIDLSDYYQETILNGIDNQVVTEEEEFKPICISVPWGLVDSVIAMYDIRRKTDGRRATIKFDRFSKAIRFKLIVWKSENNLGWVQH >CRE03103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:985980:986298:-1 gene:WBGene00078058 transcript:CRE03103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03103 MSADCAEAYDKMSTWVVLLSIVPITFSLITVVLLCASLLKVKKMIGICDGISASAHWLSRTAVRVGIGETARMTIQEYAATKPELKRLLK >CRE03010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:306793:308028:-1 gene:WBGene00078059 transcript:CRE03010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03010 MSSAINQVFPRLSAFKCPERGSFLFRATSQNGQSWDFQFDVRVQKMATPGPVIREVLESDLFPKYYYISDKFQAYVMFAYNKVSKQHEQFTYCWKTKQLKQVNDLQQTYDPKNDKSEFVMNVYKPWATVVVERAGNGSVKMFSQDRRRLAPAPVTMLVDFDDHDRYIRRLPMYKIRYCQHNQKNVIYVRYKNEFRKFVFNEDTKQLEELKCDGCSVITTDDLYPQYVAESRSLNRDVIFVWNSVTQQIEQYIFNISKMRFEQVQDSKVVYDPEEWKQRIGFIMYNENEEEDVLFGPMISADATLKIGEYSEKLNKVVPVPGTTAVITFFNEEKRKLEEKKKQLEEKKKMEETIKLEKNKMLEQEKKLIGMKDDSDSSGFEDDESIFTYSSDDQMLEENYRLMEYQIGKMLF >CRE02940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1347472:1349035:1 gene:WBGene00078060 transcript:CRE02940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02940 MYRLLVKGLKQVVQIVDDDVTEFLVGEEMNNIKILNNDSESLSILVDSNGKFSYIGEFNGVKKRLKEEGIDMEKLKVIDSKGGIAIPGFVDGHSHPVFAGDRVHEFAMKLAGATYMEVQQAGGGIMFTTNRTREASEQELRNDFEEIAKKMLRSGTTTLEAKSGYGLNVDAEMKMLRVLSTENPSIPLEVSATFCGAHAVPKGSTESEQTRMICDELIPKIEAEKRNGGLRNVENIDVFCEKGVFEVESRYSWIIQKILKRGQEAGMAVNFHAEELKYIGGVEMGAKIGT >CRE02997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:164077:164433:-1 gene:WBGene00078061 transcript:CRE02997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02997 MAAETASRQEVLKKKKAAKKQKKEAQKKSVANDAPDSSDSVKCQKLEESNGPSIKDVPPNEIKKIVKDFVKNMKNHGVTVGNIELVDDLKGENYEVEKCLEGAKETFEGLEINETESD >CRE02859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:559778:560888:1 gene:WBGene00078062 transcript:CRE02859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02859 MREVCNSVPCDISRVEHATRMLEGILRTVESRIQERHQTSAVEENKEDLFHYQKALQSLTKSIRDECKSNYLNAKKSVHRDQIGYLFTALQHSLRIESKTLRFQTKTALNILHEEDKEEEIINETPIKLDKSERLFHCENLNLNMKKIEKMEIEVNHSILSTSASQVQYLISILLSLAFITSSFQISSEIIFQYRDDATFIQSVTDMD >CRE02886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:853396:853491:1 gene:WBGene00078063 transcript:CRE02886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02886 MECTSLLHAFHNCPLRLMKKEDAEKCIMKKK >CRE03046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:570183:572883:-1 gene:WBGene00078064 transcript:CRE03046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03046 MSGTSWLPLITKPEDLNGYRLFVYQKIQGKYIEDVIKCGKDRFRTEMQSSNKNKKEKFGEILLRYLEVESRIIDSQKTAAEDILSQKPIFSSRERYNSGEARRADHRNLLRNFQRALIEMKQQYSQLKNILTEKEYKNEILTIVCPEWVLELLVELEANSQSFSEETKARFHSDCIQFETIIDSIPYNDMLSEFEDHPDSEKVEEGASVSEEMEKATITEIVSEKVEKPTVSERVQKGDVSEKVEERYSSVEMEEATISEEMEKATITEILSEKDPFSKPSEKEEKQKKEREEIMKRMMLSMQQISEANQLRDSEETPWMPLITKPGALGGYRLFVYQKVQGQYFEEVIKCGKDRFRTEMESLIKNKNEKLGEILLRYLEVESRIIDSQKTAAEEILFKKPFLSLRERYNSGEARRVGRRDLLTNFQSVLIEMKQQYSQLKNILTENEFDKSKSLRNILILLSYLMFSCQNVCKSCYFDMETNSQSFPEKTKARFHSECIQFESIIDSIEDH >CRE02987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:80739:81800:-1 gene:WBGene00078065 transcript:CRE02987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02987 MNILNFFQPPATEFNIWHVLISLMSLIFQYDIENQQRYFVNETTVEVSAWSLIWSSGPSEMIGLLTAALLTLGRIRYMAIPFICNVTMLTIFRDMHSYGSAFGLGSSFKYLQHFHCFLISVAISVHIGLGYSWKVTGWLNLIAIVLAAGIPNPVSYHFPRFCSPEEKVIQLCLCQAILYFGAWKFPTFFEDCFSSMVSRRTLNDVVPRFIESHPLLRTSEVVNYKILEETTLNEDGYDNIVRRFWKLLYPSDENFTFWLLLVGFFSLLLHHDVENCQRMEIHKAEESNSTWVALYSAATCFYQTNSTEQMEYIRVLIESSPSAFTSFVSGVILAIPKIYLIATNPIISNLSVF >CRE02896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:962902:963486:1 gene:WBGene00078066 transcript:CRE02896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02896 MSYQQEEGHATPPRQYTLQAGKIGAEEYIQISAVAIQQSLTLKNMIEMLNLNEVIPIENIDAKTLRKVVQFCEHHAGEPIPVPKSNASDTVIPDWDADFLKVTNAELFFLMNAADYLHIDLLMQYIGKKVALTAEGKSPMGISKMWKIPTDEQEKEAREAANVKRILADTVEKAAKKTVTGEQQGSGVSSSLEQ >CRE03000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:190878:193954:-1 gene:WBGene00078067 transcript:CRE03000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03000 MKKSSSPEKQPEGSKIKPRHEQIPSWFRIGTWNCGSLLGIKFHPAMQYCEDSQCDVVALQETNHGTKKSKYTKNDMIYMPRNNAGITAGELKYNDGLAFFVRENPLIKNIQHRLIHARVAIMEFEYKDVKFVIFNCYAPVSKKNSEEKAEDFYKLLSEKLVKNFISNGINIICGDMNAEIQSAKNGSLEPHIKNHVYIRKTQNKHGDILHKFLILNNLFLLNSRFSKGQDRKWTRAHYDKKKSKSEIDFFISDRIDLVEDVDVVERDAKEKDHRMVTSTWRISKQHKNEYKRLLRHKELGRESWCPFRNVLCHNDTLFTITTYSEFEELLREESQHQMFKLIIDHLRKVHSSKDENEELKNSILPVTAKEGEEHIPSITRKEVRDELRWLRIHSQTRITNLAAEMKKMTDKNSLNNLTDRFNKILQGGMIPDWWKTVIFELPNQTLDDKKVENYEYAGHPFLLQCVYAGILGRRLFLNLKTKITESQCYFKQNVKDVGNYRSKAIEHIFTLTMLIEKHNQFKRPIYLVFIKIKDSLTNIKPSAIIETLIKFNAENYLIMAFHSLFSQRKAKISPDSESFDINLGFHLGENASAVLMNVLLQRIFEECDNQLADCPVGIQINKRCLRRLQFDDQLVLIGASPEHVGVHLEKLSSVSEKYGLIIDKNRLVLLKNSCNVGKNQFFFYGNRIQVNTRVQMRSMLFLNRHINVDETLFLEIQNRTVHSFLTSENYKVGVTAKKQTRKMFFVDKVLPVFFFACETWKPSKKEFEELNESLKKLLTKLRIKNFNLDIKSYVLSKRARWIGVLARTNDSICCDLLRGWRPPNGNGAQDVSMFTHWSFDLVIKFKEFNRTSLKQKIDEKSITGHDLIKLAKENKSRWKKFVKFCKTVDGFDFFSESFEQLFKI >CRE03124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1174967:1175617:-1 gene:WBGene00078068 transcript:CRE03124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03124 MRGAFILLLLVGLGCCCSIFPLTSGCWKLPVSIISTAFNSTIPLVQKTAKADLCAVENVLKSTSFSELTSKLIKTSQDTIKNIISTVSQLIRNGYHDDLLNTVLNALEPLVSQSKDEARATLRELITVVSKVSKDVEENCYDGLNPAAFHIESAWNVIEEFLKTVKSQMNM >CRE03161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1476771:1477124:-1 gene:WBGene00078070 transcript:CRE03161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03161 MTSAEVDIFEIRRQKVFTTIESIGTQKSEIAAALRGLGVGSVEDDEAVKYSIEQLMAAYDAICSQEKLWMELLKEINELEKKEEKQ >CRE02839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:393177:393932:1 gene:WBGene00078071 transcript:CRE02839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02839 MCDTAYPKSPVQMTNYNNFGCELLLRRHMKEQELREKLRIANKLKHERLDAQKELEDWMSFEKAEEKRCDEEVRVVFAGNRAENGENDRFGSPLPTIEILHYAVQERVTSEYHRKSIRPEVERRRDHWNRESSRYSENGRRHYEEFEIRFQSRDSSHQGRKRDSGFRTTPEGRRRQGNDWKYNSIRMDNRRETYRRI >CRE03053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:628360:629184:-1 gene:WBGene00078072 transcript:CRE03053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03053 MIFLPVDQPVYQDPIPVPLPLAFRFTTDVGQSGETKETHSFQNMPSLKEFLGHLSTIFHCKNVAILPLHGSEQYTLDSLKESFEICGVTQLVMTTYYGNKNHVINILKTFLPVRILSLDNNPFECNWQFRKSVLKYKLDALQLWAKTLDAYEILFDMDIKQIDILAVQQFSPKLNFFIRKWIEGETNVNLESLVMQFQERELSANYQETILSGIEHQVVSEKEEFEPICKSIPWELFDSVVAMYDIRRKTDGRRATIKFDRFSRAIRFKLIVWK >CRE03060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:704277:707224:-1 gene:WBGene00078073 transcript:CRE03060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03060 MAENVVLCPNYSMAYSSEIEKLSYKYFILDHIDTGYNKKTCKTKPLPEMAESGEMWSSMTTCGKHHERNLYLRLLPPAAAGTKGDNRIFLDDVLEIIPLILRKQATKIDSEIEQKLENLKRQASEESHIYDTITVAELNEVFQDFDCDKSKLTLVADPLSIITRNEMQETFGYVRTCAPDSAEVMDQIQAVFFTFHCFVNGVNWKKNDCEKHEWCLLILRERIIKLMKKFAYFKKGCYIRFSYILEAFKTLQKECPDIYIKKRITLDYAFQDFLPNDCIPMYHYKNVCALYDLEPKARTSDEKLQVWKARLLLQSSWIDQFFPDRNKDTDIRPMLDNSLFFFISAKDNPGFVSIESLAELLYGKSALPAPDAGTSCLKCRNHQSHIASTKKELLGIEENTKAFDKLSKKYETLIITEDKNEQKLEMLRRKIRQLEIRSANKTFNKELQKVTETLKNEIIDLEVQRQKRLQKYADPDPNLLNTVLEKRRRLLILQEENKKLLAQDGNQFAEIRETVHSRATNS >CRE03116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1098543:1098794:-1 gene:WBGene00078074 transcript:CRE03116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03116 MGLRFCHGPPILLRASYFFMGLRFCHGPPIFLRASDSAMGLRFCHGPPIFLWASDFGTEPKNYHFSTSIDRFGRSKEAVSDGV >CRE02829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:341675:341893:1 gene:WBGene00078075 transcript:CRE02829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02829 MAHWIVSLSMDTYSEWTINSFNGEAAGFLWRPLQMFVMLLTTNSIVTGMTLYWISYSILPSVQTIWLPDRIH >CRE03140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:1351970:1353143:-1 gene:WBGene00078076 transcript:CRE03140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-1 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LWS7] MQTTEISSINFCDHDFSVPIENLKLVLQLLYLVPSAVFQARIVYILVFKHRKKYRRQSFFMIFLTDVVTQNSRTSFQAFLLVLNSIFFTRPMMYLPQTCEFLLNFLQNHHILLDIYYPILRYLQAFQILIQVLFIANRASCVLFPVRYSLFWKKWLRRILWTLAVLPSFWVWTIVVSEKVVRHAYGGLFIIYVRYFGWARSVFFFAVLRGVSVILIVSFSAVTVIKMTKMKKRIKESERRLCWASVYLSLCYFSPAVTEYLYSRTTSALPNDHYLYGVTLISWDIQNIGSSYIMLLFNPPFRKHIFQIFKNNSSKEKPHHIKVTSLSHG >CRE02800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:6392:9522:1 gene:WBGene00078077 transcript:CRE02800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02800 MACRAWALRWVVKIGDMAQKFRVQSYDHLFTSDFAGRMDQVEWEIKEIVGELVNKVGETERTEGGVTRAKLDVEIPRLYTMDPNGPRLFGEDIMKLIKNNVSSGVPYSNCRVGIMLESDETSESVLELDNPKVATYLNPPSGSRRKRKFDMASLLDLMAFGKKSKTVKEKGEKEAANDLFDSAAEKEETEETEEEDVIKPQRSNIMNVIICYNKCLGWGPQPAYCYPNNNANAYNNPDPKTSPPVTTTMSLETTEFLTITSTTGSNTKTIIVTCCIIGVVILLCIGIALFYFRRKKASSGTKDINSVESNSKGKKKNEKDAQFARY >CRE02875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig10:763567:763990:1 gene:WBGene00078078 transcript:CRE02875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02875 MPEKCCGETMKYLPEESSTDSYIKVYTYKCSKCGSYKRDVVNTKDLF >CRE13001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:232917:233282:-1 gene:WBGene00078079 transcript:CRE13001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13001 MACGLTKGIFSSPWPGLQSFMFVDGLIKIFPTILLPILTLLLIAEIRAAKRIRMKLSSNVEKSQPDHTTKLVTVMTVAVAKDPPLGTPLLGYQSQSDGPLWFSPQN >CRE12950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:271199:272927:1 gene:WBGene00078080 transcript:CRE12950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12950 MAICSQNLEVSSPELICQVCGLPGHGPHFGVVTCRPCAAFFRRCVVLDLKYNCLMNKSKCVLDKIRRTACRDCRFKKCVKVGMTANNVQHYRDIHSADSLEIHDDFHKTLSRKSSVTTENQLYRKSILCDINFDSIQDEVLKVFQSNMPPLESGFLASLSPLQKFTEGLHLIRITQRTTAIQFKNRFHKGIATHNWKRQARNIAVLMMHSVAFRNLNLEEKKQKFRMYWKSVYRLERIQMSVETFGEECVRKKLLVVSNDRAIQLSRLSLVFDGNTENTVELALRSYKTHAERCIEDVAKPLSQLKLSFKETAFLILNFVMQIESENNEDFLDDISNDLHEYYHKKGIVCYAERILKIMKIVFAMIRIHYDDLSGSFMKNK >CRE12965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:58752:60073:-1 gene:WBGene00078081 transcript:CRE12965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12965 MPVPSESKSFTSYVKIAQFIAQFGFFSTTFFCFILIFLTVFGMTRNFGSYKYLLILFPTVGIFFATIELLLYPYRRPFGMSQDAVTLCLCFYTGVYASTISMLSVQFLYRYWAIFDEKKLRFFKGWKFMISVVYSLFFGVAWSLGILYFDKIDDYLKEYFNSDRFQKFNLDISEVSGVAFVAYDATGSIRWFNISSTTNMTCIMAIQYTFFFTIYLPLLDIECDLTTGITPCAVALYPAMDAIIVMCIVSDYKKDAKSKLAYEYRMLSVHLSELTIQFLDKCLKLLGMLKTEPSTNQKASTNLNYPNIVN >CRE12957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:23147:24499:-1 gene:WBGene00078082 transcript:CRE12957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12957 MRKIHEKNPTTSPRPLRTTTEYEYEPLVFYPWTGDCTDCIDTDSIFTFDVETEVGFLKYSKLLNEVATYVGLFLNLLHFFVLTRKELRNNAVFFIMIGICVSDILVFASSISEAFVYDYAYIAEKIGTCASFKQWLYMGIEWYSGGVQKFGRMCSIVLALSMTFIRTLSVMFSMSAVANRLTKLSTAIFIVFIFYLILGIWYAEFYLRAKPHKDVLSFCYFIGLEDEKVAQHELTEGFSILVLTVIYTFLTVILLAALKIAKKRRKRLGTEKQDNTSNLIIGMTVSFLIATVGYSILFIFSKWPYPNDNIPFFRVQLTMMLAHVPKTLLTMNSVTHCFVCLFMSSHYREVAMKLFWRWAKTKVNEPTRTESIVVATGNTSKNSTKSY >CRE13000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:231054:232138:-1 gene:WBGene00078083 transcript:CRE13000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13000 MVAEGPIGLAYILQGFAGKSMGILIISGCALMNVLLIVAAVTVVGVNLPSWINGSNISHLICATPRGFLSEPVSSS >CRE13013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:286046:287047:-1 gene:WBGene00078084 transcript:CRE13013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13013 MSNINVKNKSKSPHLFIEWFSYFLSVRTFSIKIEDFTDTSITQIPSGVPQGLVSGPLLFLIFINDLLLDLARIPFLHVSAFADDIKIFSSNPVAIQNGIDLIENWSSANSPPLAHTKTSLLRPEPNNASFPYSIAGHPNETFESVRDLGLIPDSSLKFKSHINKTISSALLRSKQLLNAFKSTSPQFYIFLFKCYVLLIIEYCSVVYSPPPTSKLSLSLETPPRFFTRKIFHRCNLTYSSYSDRLAQLNLFSMRHRRLQAQLLLLYKFLSGTSYYPNLDSYVRFSCSTRRPMNLVSIKPNCSDFFSHTVPFWNAIAAQTSYFLPPAEFCTLIM >CRE12958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:24738:25276:-1 gene:WBGene00078085 transcript:CRE12958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12958 MICLNLFLSSLIIFYCLTIVNPCLRTESVTMPIVTEEPELRNCSQTDIALGNPNAAQEIDVIYDMYNITQIPGTLDTIATMNLQCTADEGNFASMTFNTAGEPVENSPPNQTVTIMVQCSSVDMMWKYSATSMGVTCTTTITSAFCQQA >CRE12925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:71409:74109:1 gene:WBGene00078086 transcript:CRE12925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12925 MEYCLKYGFRQFKRPTVLVLCRFQENLRTFTFRVLNYNCYLSVVCGVVNMLHFFILTRKTMRESSVNIIMAAVAFHDICSFFRDFGQFFIRLHNLFGSCIFSDTYGFVLIEGLLVTLTDFSRRCSTWLCFFIALIRALVLRNPASPFYQNLTNPKSAYLLILGVFLASLPLLILKLFEVEIEYFETPSYCNQNITTEHYFSHISDFFAANNRFILNSFYAVDATVSNLIPCLLFPIVTFLLINELRKADRNRRTMFSFCKSADSRRTAQLVFYFTLTYSVVQLPYGLTTSVVYLFAETQAEGAEILTSFWNLFSMLFSVSTVTHFIVCLLMSSHYRVTAMSVFCCGYQYFICWPEYFSGFSDSTRLFLCSFLGGFSNFSDRFSRFHIYFCCLCIIFNLFHCLILTRKMMRSSSINVILAAVAVFDTIPQIYGIQQFFEKYFEAIEICLSSGFWYNMIHLQTILFWLQTTVRRYSTWLSFSIALTRSLVVRNPMNPKFDKLSEPKLALFIILCVVLITSPLTVLGFLEYSILENEGMGCDREGIPYMVVYSNVFLENKQFWYITYNAVDGIVSKIIPCLLYPVATIFLVQDIRKAAASQRKLSSNNQSSRTTHLVLWLTISFFVAEFPLGLVFIFDSIISYQSDGVFETSMLFLYNFYTLLTVLLPIISCSHVVICVLMSSQYRKTVNDCFCFCSWSRSKITSFSSMKSPAIISNIKSSSLAPIG >CRE12939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:174300:174730:1 gene:WBGene00078087 transcript:CRE12939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12939 MSIVGYQVAKLLDAITLKKKDVILQLFNTTADDQKNVDQFMEKFQGIGITILSAKFINNGRVESDVLISGKIPGKIVMSKSPGSLTGWKITQLGIEKPKSVGKKKFSLCYVGLFWCAIEVLAEWGDRQ >CRE12914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:6585:7635:1 gene:WBGene00078088 transcript:CRE12914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12914 MHSSDSSSPQEEMASTNQSEPVGPNPEMHKENDSYPLEMSKNRPFQIESSGYTSKKHQIYLEEKSKNLQLPTARQCELTPKYMFEEAVFILHMCYNCRVLNRTQPATHIDEDYQKIVPLPPSPLPATPTVRKSRKSKAPKQWGVTLREQRATCCIFRRSHLGRLSEDIVKLPAFHTHKQEPERRPILQRQFGVPGDAVTEELNDSFRSMRI >CRE12961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:48731:52431:-1 gene:WBGene00078089 transcript:CRE12961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12961 MSRFNTKTSTTTTTTEAYKVYEPEFFEMEPFIAEYNVRLATLGDMVFSIFGIFLNLFHFTILTQKPLRSQFVFQILIIICLSDIILFSGSILWGSLDPLVGSLCTQSRPYSHHIWRIIIMSLQYTVKNVSFLLVLLLPALGIFSLSFKFPIKVFFVFGLAGLCTAWQVWYHTRFPIVKVKPCRTPPKTTFESYKLEIDYEFFYSQKFISDCMKCVRVSFYIISIVIQFLKLKKVIQKKSWKDDQIILVLIITLSFFISESIDICVLFFDRVYLKPYMLIQSLPARSPSHRPNPDARIRYPVLAVACYFRSALMVAL >CRE12947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:225182:226048:1 gene:WBGene00078090 transcript:CRE12947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12947 MSKIYILGLLVLAGAILNLVGVFTPCWLYASDGYDSECAGIVPFYSTEVAWFAASSWLMFITVAFTLIIVLTYFTVHADVVRHGFSCGSRNYLRIISGCALMNVLLTVSAVTVIGVYLSKYSDVYYYGITYNLGYSAWISIGAGVIFLIVFGLSAHISHRDCC >CRE12948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:228055:228698:1 gene:WBGene00078091 transcript:CRE12948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12948 MSHDKLVGLGLLIAVASLLNLVGVFTQCWLYDNDYYEQECAGIVPFYTTEVSWLAASSWLMFITVALSFIIILVFFVTLTYIFRNGYSCGARNYFRIISGLAFMNVVLTIAAVTVIGVYLSKYSDFYVTFSLGYSAWISLGAAVFFLIVFGHSIHTSHRECK >CRE12978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:175262:175774:-1 gene:WBGene00078092 transcript:CRE12978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12978 MVVSGEAEKSAMEIVNDQVTKFLDAMSSKKKDVILQLFNTTADDQKNVDEFMEKFQGLPITVEFAMFNNNGGIESNVLIAEKIPGKVVMTKSPASPTGWMITQLGVQEPGSVEKRKWSKFSMCWIGLFWCAIEFLVDWGDAMNGRYYPRG >CRE12981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:180311:181125:-1 gene:WBGene00078093 transcript:CRE12981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12981 MEEISSVFLRDLELIIKHQKSALEYFDFNSGSMTNEEEFHQFISPIFARTEKILKPRTRPLKVKEFKMNAFREEHVMSILPFLDANLLKSISMEHTDYGAFKKNETVMKLNEIKELPQFRIATNMRISYLYFTEPFQAFFGFTKVWIWKKSVSGNDLLSVKEKFLSPNNQTEEFRMFYLDFVNGEMLGDCITDYCEVA >CRE12972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:114773:116678:-1 gene:WBGene00078094 transcript:CRE12972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12972 MNQEVLIFCQMSLEVHRPNNDQTEQFKQYIKNLIKEEQFGFFLTENVVLVVCAVENKKLGKRKKKKHKPFPEYSDSTIDTSSWDTKSQIFRIGPKSPMDLTQGNVWDVQVILHRIDPYNADYFPARVRDMQSLIYCLCRANFTFNLLSQVFDVHEGVKTKDTDCSKSVAAYSQKYGRKKAYSRYVNEMNTTYSSAFAQCGEFKM >CRE12996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:215238:216620:-1 gene:WBGene00078095 transcript:CRE12996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12996 MRHSNRQQQKLELEPLLDKKQQYTIDEKNLKISDVIEEGSFGIVRKGVITMKNSGLELLVLVKSAPTIRDHLQQKLFSNEKEILCSLEQHPNILSLIGLVSNLYVPYLKFFVKFQIFRDLIVLEFPDTEDLGRHLRNYRPDFLDQIVYSENKMKTDEYRKIESTEDNFLYTMDLVSFAYQIANGMSHLASSLCVHRDLALHNIYVTKNKTIRIGGFGLARIIDKNGYHRITSLEAPLHLLWMAPETFRNNTTDEKSDVWSFGVCLYELFTLGKTPNLDVRIEDVEMFLKSGGRLPEPTFCTSKVYNFMKLCWDFEPKKRPCFSGCVEFFSAELGDRLVKKVHEKLDEEFSSQQKLNNWARGKL >CRE12955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:17525:18639:-1 gene:WBGene00078096 transcript:CRE12955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12955 MQYNIDFSEPYWIISCYHVIGIISFFLNSLGIYLLLFQCKKLGNFRFYLLAYLSMCFLTDIHVTFLMQAVPLFPFLAGYVVGILPEWFGVPLHYNVVSFRRTDKIAFISDLQIILDSMMSLQFELLIMSFVQKHQTIATILKTNTLPSFLFPLYYICFLFTPLLVICCFNAFHVQKEEQLRYIAEFYPEYLPSFQQLPHFELYFKNNTYVFIAAIICMFLSVACSMLALFILDIFRLMRVLKLQISPETFKKHKDAIRSLIVQITTTILCLSPVSLVVVFIVLEFRYAQFVGSICAVLFTAHSSINIISLFLFFPPFREYASKKMTFMRKRKITTVVRSS >CRE12989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:194716:196008:-1 gene:WBGene00078097 transcript:CRE12989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12989 MPENVMLKITEAVGFPSILTLRKTCHDYRNFIDDKTPNINLTGIFIHVHPKRMYLYYEISGKERILILYNNHPDGCVINHKSHEMFLRNEDFISLFFRDFELIIKHQKSTLEQFHFYSGCLTNEEEFHQFISPIFSKTMKILKSRPRPLKVKDFKMSAFRQEHVMSILPFLDANLLTNISMDHTDYGAFENNETVMKLNEIMELPQWKNATNLVISHLYVTEPVENFLGFTKVTIWKKSVSGNDLLFVKEKFMSPNNQTEEFTICYQVFVNSQILGDCITAECGNQDWYYQTDHYNKILTISKTNWCNTLIVSFIERSNVPENAVVLA >CRE12984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:185293:186381:-1 gene:WBGene00078098 transcript:CRE12984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12984 MSSLLELPITFTDQLLKKLDRESLFVLRKVCSGLRNYIERVQPDYNIKTIRIVVHLRKVQVWFSADIDNSIFYEQGCLVRKGNKETLLANEDFIKICVDDMKVILSQQTQVLSRFSLYLKFDCPEITGYSEQLQEGLHQILKSRKTPIKVRNLELSALGQEEILLFLPFFELSGFFELSISLYSSIGRTGNELLDLSEIEKLDQWKLARTVQISNVNSVYIPSFSHFQKVSVSFSSVSFNDLAVLEEIFIHNPNMQKFLFYYQEFDENQLLEHLGEPQRGRLDHHSLNHWHIRIPRSQQVIHILTSFCHRRFIFYRENLTKVPIGFDILN >CRE12979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:176369:176815:-1 gene:WBGene00078099 transcript:CRE12979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12979 MGIVGYQVAKLLDAITLKKKDVILQLFNTTADDQKNVDEFMEKFQGVGITILSAKFINNGRVESDVLISGRIPGKIVMSKSPDSLTGWKITQLGIEKPKSVGKRHWEFSMCYVGLLWCAIESVVEWGNHIHEQ >CRE13005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:249933:251007:-1 gene:WBGene00078100 transcript:CRE13005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13005 MSSNTHPIHSIGEASTGEEDDNIFNSISGESEGVQENPEARNNVLMHMQARSESRFSRRFNNTVERLGNMAEDLMGFQMESPAVARPPAVARHPAAQDSTETAEAISRELRGNSHMIDQLASQLTGLQLKVVNIESRVTLKSAPRGTTFSIQGATKWSSSRRFMGAYVIISMTGPAVANSAAYIIYNSFAKQVFHINERVPAGAEKKVKMVKHQEQWIKDHQGNQVIKERADFPLVAETNSSHFPPTQCQCIPNMLTSSVLHDGDVHCESRRLFQQLALEVHHQFTKRTLQELKSIKIDWVPPKERKVFTHRRGCPAAGTQARQ >CRE13008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:261678:262536:-1 gene:WBGene00078101 transcript:CRE13008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13008 MAGIAACDFFNMGSIVYDRVLEVLQENECWNSHNYNFQLFSTWKMSIFDVLRRENSYLGVLMALVRYSVIKYSMEAKFEYISKPIFGIFVFMVTFFCSSLVSGFYFYHFYLLETFEWSPPEQCRGYPANYTQMYYMPVITKEFFRRPWLGLQSFMFVDGLIKIFPTILLPILTLLLIAEIRAAKRIRMKLSSNVEKWFFLVRHYSIIKFYRSQPDHTTKLVTVMTVAVAKDPPLGTPLLGYQSQSDGPLWFSPQN >CRE13004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:248457:249699:-1 gene:WBGene00078102 transcript:CRE13004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13004 MANDVKSPPKRDKKTDEEAYNSDSENTPKDSPAAGTSNGIVKVPPQKKIRKSQKGSSDSSKQEVKLPEQLPAENKDDPDDLIVLNASFGNKKEPSQETQADIESKQHILVTKTNVETIIKMLQVPPECIQSCARLSADMRQIDTTIKRLIESSNSVVKVLQELCTRADTDIHGDREKLWLENMKRVAEINEEVRNTINSFGRSIGRVEGLLTREQPPAVLLPIPPSQGRQDHPTKGNSVNRGCVLCGKPNHPTHVCKTYIKSAERIKRAEEIGICMKCLETIPEEDCGVHNNCPNKHVECRNCLDTFDSPAASNHNQVFCSVKAPLKVKEPAAPSSSRNGSKRPAGKQLHLSGPEKIPRTFWN >CRE12990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:196216:197284:-1 gene:WBGene00078103 transcript:CRE12990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12990 MSSLLTLPIPFIDQLLKKLDLESLFVLRKVCSGLRNYIEQFQPDYNINSIRIVVHLRKIQVWYNDDVDNSIFYEQGCLVRRGNKKTCLENEDFIKICVDDTKVILSQRTHALRFFSLYLKFDCPEITGYSEQLQEGLHQILKSRKTPIKVRSVELSALGHEEMLLFLPFFELSGISLHSSIGRTGNEPLDLSEIEKLDQWKLARRVHISIVNSDSIPSFSHFQNVSVRFPSVSFNDLAVLEQIFIHNPNMQVFKFYYHQFDKDQLLEHLGEPQRGRPYHHLFGHWHIRIPRSQQVIHILSSFCHRRLTFSRKNLSEVPIGFDILN >CRE12940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:177121:177614:1 gene:WBGene00078104 transcript:CRE12940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12940 MAVSEEAEKSAMEIVNDQVTKFLDAVSSKKKDVILQLFNTTADDQKNVDQFIEKFQGLAITVQSAMFNNNGGIESNVLISEKIPGKVVMSKSPASPTGWMITQLGAPKPVTDGKKNWEFSMCWVGLLWCAIECLVDWGVIRVVIYD >CRE12917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:29044:29655:1 gene:WBGene00078105 transcript:CRE12917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12917 MSGPSESKSFTIYVKIAQFVAQLGFFTTTFFCYILIFLTVFGINRKFGSYKYLLIFFPTAGIFFATMELLLYPNVYSHNAGYVFYSTSRPFGMSQDAVTFCLCLYTGVYATTISMLSVQFLYRYWAIFDAIKLRFFKGWKFMISVFYSVFFGVAWALGILYFDKIDEYSKGYFK >CRE12921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:44596:46057:1 gene:WBGene00078106 transcript:CRE12921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12921 MSTLVGGIRMENSNLSSFSFLTPDKNNEFRLGCKPNGFIIENNSQLTDIGGLQNMYPLMFESLNMCQFRIVNNPKLDLESLCWSYQLRDLVNLATEGNLKNCGCQGDQINISSLSNYQSCTRFYNGLVLHDITNTTDLSSLSNVQTVLGKLEIRNSNIQNLSFLTNLKYFKVYAESETIFNLQDNPEMTRLGLSALEEFENFNYETYPFQTGNIENLHPDFCLTEDEFNFFDSFHFINLHAKACEFDEQGLCYFESMDKLPNNCLTIIGNLVIESGDEEHINKLIRVYTLFGTLIIRNTQLVDFSFLPKLTLIISLNDSLPVVQILSNKNLTNPKIGSSVRNIFTRSFYNRVAIIQDNHPNIFNYTNGTCNLFGVIVNEMIIHRRSLNYTGGDCGAPVVLGSYSVEGCRFFMILVLFSTEYFIGF >CRE12952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:284019:285164:1 gene:WBGene00078107 transcript:CRE12952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12952 MQYNIDFTEPYWIVPCYHVIGIISFFLNSLGIYMLIFQCKKLGTFRFYLLAYLSMCFLTDIHFTFLMQAVPLFPFVAGYVVGILYEWLGVSLYYSVVSFRRTEVIEFIFDLQIMGFSFVSLQLQFLLMTFIQKHQTIAIILKTHVLPKFLFFAHYLLCLITPLLITLGINIVHIQKEEQLRYIAEFYPEYLPNFQQLSNFELYIKNYIYVIMATVLIIFLSGGCLLLGYIITDIFRLLSVLKLQISPGTLKKHEDAIRSLIVQISTIILCVSPLSLLMVSILWEFRNAQLLSSICLLLFTAHSSINIISLFLFFPPFREFASKYMRCMRKRRVTTEVRGSAALFLKIFIP >CRE12998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:219113:220688:-1 gene:WBGene00078108 transcript:CRE12998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12998 MDSLLFILLLCVELSECASQDQFDFEKQWKLIAIILCVGILIMLVVVVFLLCMILKMNSPQTSSGRSSRSKQETEKDVESATPMLPVEDIPVGADVNSIFKTKSSNLEISRTIQTTSCHTIQKGKMKMWQPRNEEDRARRLRVFVKKMIDPNDPVQQTMIKQELLTMIRLDKNPNILAFVGNVMEGDQISIISEYCEGGSLLQFVKQFRGSRDFTDQLIHARVENNEQNTDSYNWNNQVLDSNLEVFSTFDLLSIAYQICSGMKCLSGNSLVHRQLSLRNIFITANKTIRIGNFSLARPHGNNAYYRINNVNLKIPISHRAPETLEDSRFIEQSDVWSFAVCLYELFSLGDSPFDGLNDMRRFLERVGELQKPEYCHQEIYDFMLTCWNADQFKRRVFSICVEFFEEHMKRFDVHILEQIHTKLREASRGQAELVNWTRRQ >CRE12919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:33509:34960:1 gene:WBGene00078109 transcript:CRE12919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12919 MSQLFRTPLRFNQQRNGIPKIPKRSFEGRFPTSSEYLNGETSDTTKRYQMEARLPTSSDSSREEPSGLSKRYKMDERFDLLNRSYTEKEPINYHNSSRHQQGLYHEEEDIFSEDEDGMAYTKSTRIESPETHHTEEAPENRKRLNSSERNAWNPANLITNTCYNQSTGILLSKEQCKVNIESALKMKKDIDFYSILQLGNTPEHKTISFVLYSMNKLLKKTPKEDNPEIVPSFVFDEDASKEVEDEALLPGGVSLKIAMLLFPGISVNWVGRRAVSKAVLRILDLICNRDKYFLIYSSPDSDRPTTYPTLSLDFFTSFSSESFTLQKEIFNLLSTGVIQAGFRLPNDSSTLSAIIAQIRSCTRSYIEKRRSKKKTEVDGLFAEFQQLIEM >CRE12938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:172425:173450:1 gene:WBGene00078110 transcript:CRE12938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12938 MTTSTINFKLYLAPGASEKFTIESSSLQNLMENLEMKLGELGLTFDVIYWRNPRGNLISLGQKTRGMMRMVEEKETISIYAIADSDSEDSEDSDDSDGDDDEEIVVMEASGDEAPRRRAGSRGPRSRGHSREGRCGRKALGGACRFRGFKGFGGPAAFRRRHVFGGGRRHCFGGKRLRSASAPPCQKRQRLEDGEEGCRRRGFPGFGGFGGHHHRGGFGGCRRAGFGGHHGFGGHGFGGHRPEGFEGRFEGPPRGFGEGGHPGFGGRHGFPGFEHPRGGHHSFGGCRRGGFERPEGFEHPRGFGGHHHHRGGFGGHHEFPGHHGFEGRHHGFGGFGRCGPF >CRE12951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:280071:280634:1 gene:WBGene00078111 transcript:CRE12951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12951 MIYNIDFSKPYWIVPCYHVIGIISFFLNSLGIYLLIFQCKKLGTFRFYLLAYLSMCFLTDIHFTFLMQAVPLFPFVAGYAVGILSEWFGVSLYYSAVSFRRTEMIEFIFDLQILGFSFVSLQLQFLLMTFIQKHQAIAIILKTHVLPNFLFFAHYLLCLITPSLITLGINIVHIEKEEQLRYRKNCI >CRE12970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:109050:109808:-1 gene:WBGene00078112 transcript:CRE12970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12970 MKLIQLKKILLLIICSSSFFITIYWSATNGIKNSGSTRSSPDRIIPVADVKKGNKWIVVTSVNYPTEDVKRLSSFEEWNLVVVADTKTPVDWKLETVHFLSVDYQKHLRLGLNQFDYEDTVSGVRYQVKNSSEIMPWIFWPDCV >CRE12963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:55928:56761:-1 gene:WBGene00078113 transcript:CRE12963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12963 MKRKNSELKTMPSSATTLEPLTSGQGNVRRVRSRNRNSTSRTKHLLKKLKYGVLIFLFCGLLAHLLTTIVMPLIENYFSKEHSKILQLQNQWFLCSNLVPIELLVSMMDPETGISLKLVKKARQLNPELKVMFSIGGYIDYVNFPSVSASPEYRKNLAKSIKLFIQHHMVDGVDICWKWPTKFENRDTYVLMLEEIRAALASISKPLLLTIVSPSYD >CRE12999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:228883:230047:-1 gene:WBGene00078114 transcript:CRE12999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12999 MRTSSTFVILAGIAACDFYNMGAIVYDSVIVVLQKNGCWNLYNYNFQLFRTWKMALSNILRRENSYLGILMALVRYSVIKYSMDAKFEYISKPIFGIIVFMVTFFCSSLVSGFYFSHFYLEMSEWSPPEQCPGYPVNYTEMYYIPMVPLEFFSSTWFGFQTYMFVDGLIKIVPTILLPILTLLLIAEIRAAKRMGMKISSNMKKSQSEHTTKLVTVMTVASMVAEGPMGLAYVLQGSAEKSMGIIWFKGRS >CRE12962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:55289:55702:-1 gene:WBGene00078115 transcript:CRE12962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12962 MGVPFFGAYWKNVEGPIDAKGEMWFTAKPKTKGSDQYEGGYIPWRNMKSIGFNVSSATWHQDSRTPFIWLPEKQEFLGFENARSLREKVEYTKAHSLGGITIWSIEMDNDNDTLLNSVYSADLCYSNRNDTIYYNCG >CRE02723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1001:1749:2992:1 gene:WBGene00078117 transcript:CRE02723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02723 MSVSDEEDDLYWNDKYRTLVGQDEPANRKGTMEKSLKRKDVMEKSLKRQKYEYDDVVKFISLIEESEFLWNPLKTDFHRRSVKSEKFAEIEEKCKSFMPHTKRTIGRCAEERWQEISTDYAQHQKRIQKALSGSGTSDVRSDFRYAEHLSFLCIAAAAREPKNAFCVGAGDDDEESMLMATPKRAREVISGPRTPKVPKLSRKPDSFEEVMREEMDKTRQTMLKMFESPTTGASMCSNDRVCEVYANVASGKSRWEMLEMEGKVIAYISSFRNRGGSEPPLSVDQRDQENTYFALGEPSYRPF >CRE02724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1001:5549:5870:1 gene:WBGene00078118 transcript:CRE02724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02724 MSENPQKPLADKILSEKNLTYAERRRRNNEAARKSRKARMEQEIANAKKGIQKEKKYKHIKAFQPSCSQEYRLAHKNNF >CRE27953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1004:14060:14498:-1 gene:WBGene00078119 transcript:CRE27953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27953 MSCYRNGIKCMLLLFLIGLAALNTYSYLRDSLNVNAYLTSMQPDVSEKQSRSTTPFQCPFESWNQVHSDIVPNENLHLDWIQNNISRRDNILESQIRLLSSFVYSDHISITTNSQRSYG >CRE27949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1004:1897:2332:-1 gene:WBGene00078120 transcript:CRE27949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27949 MTCYRNGVKYMILLFFMGLAALNTYSYWKGSLNVNTEGTSMQPVVIVEQGSSISFQCPFESWNQVHSDSVPNENLHMEWIQNNISRRDNILEAKIRLLSSFVYPDPISITTNSQRSYG >CRE26872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1005:3703:3951:-1 gene:WBGene00078121 transcript:CRE26872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26872 MTSPSPSGNVVVVGSDGNSTVSDRWPPQKPWISPPRVRIDRQFVITAVPHVLMFLLVCIVFTAQQTRISTLERRIDQFVVQV >CRE30573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1008:241:888:-1 gene:WBGene00078122 transcript:CRE30573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30573 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3NPS5] MFIRFHTSSPFRLLPSLTPITCFRVFLPSHNLLSNHACKHAHVTNQSSFLFIHLFLEINYFLFIQSNIKIYQFSAGEEEMKSRRRDEVVQFCRENTLLVMTMFSVFLGVVLGFGLRPLNLSQETLQLINFPGEIFMQVLKMMILPLIFSSLISGEYFLFNMKLNFSFSALAQMDAKESGQMGASTVLYYLSTAILATLVRKNERDSVFLYSISNF >CRE13600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:253537:254789:1 gene:WBGene00078123 transcript:CRE13600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13600 METKKRKQPLNAYILFYREILQEVPEFVQGRDHKKFSVLWKNMASEDKQLYFEEAARLNELHVEKTSMKVIKSAKGLFKERQRMEIKKQFPCATVKEIRKHLRGVYKGFSKEEKSKFVEEFKELKKTDITTVGNDSETSIGGPREDWSEKHISRLTAYS >CRE13580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:173444:174001:1 gene:WBGene00078124 transcript:CRE13580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13580 MDCKICFRKYDEKKQKLTPRVLSCGHTMCEYCCKKLKSDRQIICPFDRKVTKVYSKGHNRIHRYIDMFCSDGVDQLPKNFAILEVIEVLEKSGYLETSKSPEGAPETDSDPDSEPETDDLNTVSSVPSALSIVDWDEDSDGLEIFDYVDLPDESFIVMDRTQASDSLENVQSELSDYEYLHDLYL >CRE13581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:174780:175108:1 gene:WBGene00078125 transcript:CRE13581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13581 MPVIPVQYESLKAILPYMDPNKRFQISLRIPSVNSLESRIPLKVENLTLSREKTKVNRFSYQIGVYLDYGRHEIPFGVYKRRQFEERTSTYFSE >CRE13606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:286198:286951:1 gene:WBGene00078126 transcript:CRE13606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13606 MSKVLVDPSNPDDVDSKVEESGDSDTKPQNLIDAKEPIYDEVPDGKYHQYDYEDMSIEDSDRYPDDDEENCQWEETESDHFPDYWPGDYESDRVSIMMSSEEDLLQVFGDYYIDEEYLSDSENRMYPADNSDGYPTCDNLPDHSWYQIKKSEDEHEKPWFPIDFPSCFINFDGSEGDEHILLNRKDLGDLNSAHSHRAKAEGSGSGAESGPESGSRISEETIESLGFTWMQHFPSE >CRE13640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:231001:231611:-1 gene:WBGene00078127 transcript:CRE13640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13640 MPVIPVQYESLKVSILPYMEPNTRFQISSRMPSISALESRIPLSIENLTFSSIDTKVNEASYKLGVYRDHGRNETPPDVLEMNQWGGSSDDINQYGLIIHPGENNVLPGDFDLRRQVLEDVPANTEGQERHLVQELRVLKMILAERLNQEYIEDDETRNAGVGGPVNVMMETSYRRMTLNRPIEFIES >CRE13623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:137352:138824:-1 gene:WBGene00078128 transcript:CRE13623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13623 MSAEYPLEKCLLTMPDVVMNKLLGLLDFPAVQCLRKTCHTMRNFIGAVKLDSALINLYITVEPNSITSLYFFHETSIEVKYCRNGEGCDVEWRGRDNRTQKKLLESEDFVDVASRDIISVLTDQKSVMKSLKLDTFRCPNDILEEQQELLYEVSQQFLSNLESHLASKPRKLQVKTFRTKVVNVAQVLHILPHLDVKNLAIGNGRKVNYDAVLNIQQLIELEQWKKLDTLNIYRFCVDLRVGNLVHLKKCFVKYETVDTAMIEELKEAFRTSSHLEFFRIEHGQPDIQHLMDPRYAGPHIHSDQFDHVTHSWFFSVSTPKRVLNVSLCPYEIEFDLDWLVNVPEAATIN >CRE13643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:239138:239403:-1 gene:WBGene00078129 transcript:CRE13643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13643 MGIKSEETVKQCLDTLKQRQEVLGSSEKQVQLRINASLMLNVTYEAIEQPGRILTREPFKWELTPSKTTYTG >CRE13645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:251292:253028:-1 gene:WBGene00078130 transcript:CRE13645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13645 MVTEERKILSAPNSRSARLLIKKRTRTSPKIPPLYVSNQLISSNAAKSSIFSSVFFSNYNPSPSSSLIPVSNNSSNNIPLSELFLPWIIENTLRNVPPRCGYTTHHANFYIIRNCATTLAIPLSIIYSDSFLKSHVPASWKHAIIIPIPKKGSLTSPENYRPISLTDPFSRVCERILCNYIKINLFRNISRFQHGFLSKRSCSSSLVHSISEYRLLLSSHKSLDVVYFDFRKAFDQVDHQFLISKLDSFGIPSNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLCIAAFADDIKLYSHDPLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE13633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:196223:196492:-1 gene:WBGene00078131 transcript:CRE13633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13633 MGIKNEETVTQCLDALRQRQEVLGSSEKHVQLRIDTALMLNVSYEMIEQPGRLQRDDQSKWRLRLKVVRGRSD >CRE13590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:209152:209511:1 gene:WBGene00078132 transcript:CRE13590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13590 MSTYFGQIVRNTVMDFYNYLVTNLTLFTPVIENTVKPRRSRKIRTKPTVSKSVTDAVLPPDDLLKLAVKKLRVLKKEGGNWRQEALQNVLVLKLYNHIEESNKELKTKKEVMEENSSSV >CRE13649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:274430:275464:-1 gene:WBGene00078133 transcript:CRE13649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13649 MAPPSPSRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNPHRSIRKMAKGMKISSRSMGRIVKDKLKLTCYRVRKAAILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIETLKKTLVKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE13621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:133258:133924:-1 gene:WBGene00078134 transcript:CRE13621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13621 MRNFIDTVKPDSALINLYITVKPNSITSLYFFVRTVLKSSTPEMEKDVMLNGVDETTGHRRNFWNSRTFLMHSDVQLIYWKNNKNFYIKLASKPKKLQVKTFRTNVVNVPQVLHILPHLDDVKNHRKSFRIEHDQPDFEHLMDPRYAGPHIHSDQFDHATYSWFFPVSTPKRVLNVSLCPYEVCFDFERLVDVPKGVTIN >CRE13629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:184328:184891:-1 gene:WBGene00078135 transcript:CRE13629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13629 MERSFEEFTLQEKENEVSPPRITVSCPHVISPPPNNTNPITSAESTSPEENNSVHSLPNQIPENPILTNTGGTSNQEVNRAPEKISEPFLNTNFRNPRVQQFFDNTHITPTTIVINKHETSQDIQLTPKTLNNLNIRHTEETLKMPVMHRIQKPIWNVREVITMSPSQINNCSFKLIHASQVSPTTN >CRE13641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:234662:234966:-1 gene:WBGene00078136 transcript:CRE13641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13641 MPVIPVQYESLKAILPHMEPNTRFQISLRMPSISSLESRIPLKIENLTLTKLETKVNESSYRLSSISVRNLKIELPKFSFFNCYCI >CRE13648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:270487:270996:-1 gene:WBGene00078137 transcript:CRE13648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13648 MSRFRIPRSPFWLPPCEDDEIDFFLKDAITHNGHHLENLSPSITEKYTSFLNQPKILHVWDTITYETVPLPIIIHDYIATYTRMSNNRRSVAEVYLEDYGYTLTFPFSPVIADVLGRYHPIEVCAVRIQNPSVNSDID >CRE13628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:166998:167489:-1 gene:WBGene00078138 transcript:CRE13628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13628 MNCNICFHEYHPKNLTPRILTTCGHTLCEECVRKLTIERKIVCPFDRKVTNVKYGTDKLPKNFAVLEIMDTLKNSNSKTSFQLESRKMIDIIKEFCRNSNSSVNNLVPDRDHSSYEWLDDIGNGETSEYEFSEHPYASRSFYALNDLN >CRE13647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:269846:270416:-1 gene:WBGene00078139 transcript:CRE13647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13647 MISRFESFLDQNFPNWKSLSDDVLNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIASYLKREWAVQSNHPSGPYVYIKKDENSLYPIDLVHHIEAETDEEIQERQQLEKKERWDALISVSKQITVLGRKHGYVKDLNFKNELSKKVEKLARRMIGITLNS >CRE13592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:215041:215400:1 gene:WBGene00078140 transcript:CRE13592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13592 MSTYFGQVVWNTVIGFFNYFVTSLTIFTPAIENTVKPRRSRKIRTRPTVSKRVTDAVLPPDDLLKLAVKKLRVLKKEGGNWRQEALQNVLVLKLYNHIENSNKELKTKKEVMEENSSSV >CRE13578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:149340:150649:1 gene:WBGene00078141 transcript:CRE13578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13578 MDGDFEMHTDASKIGIAAVLLQKQDDELKVIAYASRPTTPVEQRYAAIESEALAITWGLTHYRPYIFGKKVKVVTDHQPLKSLLHRKEKEMSGRLLRHQAIIQMYDVEIVYRPGKENPLADALSRQRVENEGKVVTFIEGTNEIEKTTNLKNIQDRSKAIQHIKRKLLQEDEDIESMKLQDKFMVINDIVYGIPKKEGQLPPVIIEGGNRETETLIRTIHKANSHIGAGKMIAKLENIAIWNKMKSEIEKVISTCEECQRRKNPQIPSRYTSSRIRPHSLPILRNLRFITFVAAGGLLT >CRE13624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:139547:142153:-1 gene:WBGene00078142 transcript:CRE13624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13624 MSTKYPSEKCLLTLPHIAMNKVLGKLDFRAVFKIVQCLRKTCHTMRNFIDAVKPDSALTKLQISVYPNYIISLYLFDENSIEVKYCGNEKGCDVEWRRGHNRRQRKLLKSEDFVNIASRDIISVLTNQKSVVEFLMFEGFNCANDIFEEQQELPFQVFEHLLSNLESHLVSKPEMLQVKTFETAVTDESQILYLLPHLDVKNLTIRNRRMFNHATVLNTQELIELDQWKQLDTLNIIDFCVDFKIDDLLHLKRCFVKYERLDSAMIEELKEAFRTSSHLEFFRIEHGLTDIQHSMDPRYADPLINRYQFGGVTKSWFFSVSTPERVLKVLITPHDIVFDFDLLVNVPEVVTVN >CRE13598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:247124:247483:1 gene:WBGene00078144 transcript:CRE13598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13598 MSTYFGQVVRNSVMNFYNYLMTSLTLFTPVIESNVQPRRSRKIRTRPTVSKMVTDAVLSPEDLLKLAVKKLRVLKKDGGSWRQEALQNVLVLKLFNHIEESNKELKTKKEKLEESSSFV >CRE13609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:14756:15109:-1 gene:WBGene00078145 transcript:CRE13609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13609 MRFPVVVGILYGERYMHTNIYIHRDIAARNEMVSNDCRLVKIIDFGSAKHGLRFTVSNPCQHPPKLKLFQLGATQKIPAKWLSPEVLKTWTFSTKSDTWAFGVCIWEIYHNGAEPAS >CRE13620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:125077:127108:-1 gene:WBGene00078146 transcript:CRE13620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13620 MSENSKSVDKSETKSEKSFASNPPFSLEISPEKLEVPPEGLLEVTIKNPTKQPQYIICYFDSFYFLVDFKNADWHQEGDTPSAAMAYHTLKPGETYTLTIGYDNGKYPEKVRKCSTCNDQKRVVRDDTKKQRLDPKNNIYYNLERPEGVLKIRQRATRMDCPVLLSRDMDIYLSEETEKYRMLKEVYLKIRLDQKRRRRWGETLETRGCATYRYFKLEKDDESEFFRSYEEFKSDNINPETFWGKVLVTRCTPKNLEEFDKVSDDEIMKLKMERQAPYFLRGHIINVDDLQKVVEECWGDECQCGMPRLRTREEMEKYLEAEAKLEKKSVQNVVKSEKPKTIEESKEKSKKSPNKLPPPEKTTPSSKFDNVESANKSSENMKQEEAKIEEKKAPTTPQVAPIPVEKKPVVQEKKNKKKKKGNPCCSVS >CRE13630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:185093:185971:-1 gene:WBGene00078147 transcript:CRE13630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13630 MTLLYKTFIRPVLEYGTEVSSPYKKCNIRAIESIRNSFTRRILSRQNGRYLIPSDPDYLSANQRNAKYGLASLEQRRQTKDYKMILKMQLGKIDINTDDFFPTNTYLFRIFQIFLKVTTLYKSDLDSFISFSSSSRVMLASPPRPTIQALFKEGMKSANIEKRFDIPSASVRTMLVTFKRMEEKKKSGRPATGNTFRTRGIINRKISRNFDISINKIAMVLKINRGSVQTIVKRYFDLKSYKLCQRQFFSVQFQALQLEKLKSCFSICRSTLPVSRNPFKDICLKSVSFQVY >CRE13603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:266143:267477:1 gene:WBGene00078148 transcript:CRE13603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13603 MEAPYSTPKSRPKPSAPPAKHAVLPDGEIIEEQIADDEIIMNSNDFRDSDEEIFDEDGQSSSSQLSDRYLKPMEVQNQKLTAKKNDERITQIMATLDPASFLQQSHMLIAGIDDNAVIDVNNILAEMSPQSGSLKTIIQNTANHWIRQVIPAEQLVSFSTSEKPKEPQKTLPSRFLNAPVRLLNNSLVFPGGQDPSIQLEVAVYFKLHVTNMLNHARSAARSARRK >CRE13617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:112877:113299:-1 gene:WBGene00078149 transcript:CRE13617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13617 MSIKKTTSDGKYFTVQGLKEYLQTQEQYFEFFLDCPYYRMSKEVTSLLAVYDGLTPEEITTRFFQLKIAQPQKPKASKVRRESICWRHLWNKLKFWNIRTSKKSEKK >CRE13612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:91490:91858:-1 gene:WBGene00078150 transcript:CRE13612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13612 MMVIAFQLTGVILNLKIRAEKTTFMILIVFFIVLRRQFSNYRLVVEILDRKLERPFLESMLPFLDIILIVITVMCYNKTQMNQKTVSTLINAILTVFSVMVSKLKP >CRE13619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:122039:122629:-1 gene:WBGene00078151 transcript:CRE13619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13619 MEVSPQKLVVPPDGLLKVIIRNPTEDLQNLRLHFDSFYFMVNFGVAEGKQHGETPSCAYCIHELQPGETYSLTIVPNREKESKTTTQETEISVTPEVKSEFKLESKTPQKSVKKEPEQKEKKTSTTPKTMPIAEKKKVTQETKMEEFKPMIQNKQIQKKKKNKKRNPCCTIA >CRE13636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:201354:202624:-1 gene:WBGene00078152 transcript:CRE13636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13636 MSVIPVRYESLKAILPYMDPNTRFQISLHIPSISSLESRIPLKIENLTFAGSFTEVNEVSYRLAVYRDYGRNETPPDVVRMNQRGGSFNDIDQYGVIIYPGLNHVLPGDLDLRTGVQLDVPVYTEEWEQGHAQQLRILKMLLAERLNEEYIEDDETRNAGASNEAFRQMVLNDSVESIQDQIQSLRDRLSAYNNRRNNRIPPCTPWIQFTTSSPKGVTIHRVAYNKYLYEAKKAVHTKLFGNRDLNITVKNLKIELHDRIIRFPAATVLKIENLEVLFWNSLALDRFKQFIHPSSFPLQQLKVSSSVFAADFQHTIVRKAKILIIGNITSENGSWTPILRNLTNRIVYLENENTLNPPNNYMDLIENWLERGRPVGTTFSMGIKNEETVKQCLDILRQRQEVIGSSEK >CRE13615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:111055:111453:-1 gene:WBGene00078153 transcript:CRE13615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13615 MSHKTPDNDYKPVPVLKEYLQRKEQEYDALLDCPIHRASKEITSLLAVYDYLTPEEISTRLSQLKHQGSPENLQKNSSWKKLLNKCKFWKISKPVGK >CRE13575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:131061:132908:1 gene:WBGene00078154 transcript:CRE13575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13575 MKKVVGEKSKQSAESPFLLEITPEKLEIPPDGLLEVTIKNPTRKTLYLSCYFDSFYFLVDFNDVIWSQRGDTPSGAHLSQDLEPGEYPKWLMGCAKCDTAFKTRKYRNKKSRSNPKTNIFYNLERPEGILSVNYECGDEVSSTRTFDLYLKEETERYKDLKEAYLKIRQNQKRRERWGESLLNTPFDGTRRVYKKEEDLTRVLDSTDEFKFESPYETPEGFWGKVIANRCSPLNLEAFDAVSDKEIQKIKMEKRAQYFWLIFIVNEEDLQKEVEVCWGTLCTCQMPRLKTREKWENLVKGIEKLETKTERKKALTTPQTVQEKKKEYKESIVNFVVISLEIDQ >CRE13594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:227226:227630:1 gene:WBGene00078155 transcript:CRE13594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13594 MDPPSSSSLSYYPSNFQEDGTFEGNFEFADRYLIPQGKFRNNIQFVVVVVDDSEPVKPTKPKTGKQPSLLVAKHKAKQDRPSSAADKARFRESRTFVHVPICESCRDASTLEKSVLRIPLCDSCRLRLLSKCSK >CRE13616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:112147:112415:-1 gene:WBGene00078156 transcript:CRE13616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13616 MSKEVTSMLAVYDGLTPDEITTRFYQLTMVKSRKNKTEKRQASEARRESICWRKIWNKLKFWKIRTSKKSEKK >CRE13604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig101:268570:269783:1 gene:WBGene00078157 transcript:CRE13604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13604 MHCAQYFERASAGGRDEGVEILSSKLFLLGAPLLEVTTHNSTVTWRPSLVRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE04426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1011:856:1236:-1 gene:WBGene00078158 transcript:CRE04426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04426 MTGDEKIIHILQDFVYEAAYIRIPPFIFGIVMGYVMLKTRDIKIHMNTVSLFSGELFTLSEFQSVVFACWLVSFILSLGSIFTIHSYNRGDYWTPMQRASYYGFSRIAWSISLSWLIFAINRGQSG >CRE05308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1012:12005:12818:1 gene:WBGene00078159 transcript:CRE05308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05308 MSKAPQVSDLVVQPNVTFKAVTGGSAHSTSSSIPIAGADDSIRPDFDTDCLWKPPTRSGRVQKERTISMDSTDSEIGALGGRKFSTQEGSSPAPTSPIEAQPRARRMSISEMLFGSSPKSFSWGMDSSNSGATNTTTTTTAGGADIGERKMSIPDSKNFLDDGISAADYTRCSYNG >CRE07917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1015:22301:22823:1 gene:WBGene00078160 transcript:CRE07917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07917 MNAEPHDFRDHKAHMKWTQEKEAYRANIPMIPQDMSGGSRGQTAATTVLQKALNNPLVPLGMLATTGCLIGLFLSQIFTKTEIFSGMMVATLRRSSRDAQLFMRGRVVAQGLTVAALVGGAVMFGIGAPTDAALRAPGKGQANVVSLTPPPAVSNQH >CRE07921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1015:23234:24085:-1 gene:WBGene00078161 transcript:CRE07921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07921 MRTTSRALMYGLYDEFQISLKCPHAPPNSSFSICHAQAAFLSGVCEAMLCPLERVQVLLQTTKFHDKFKNTLHAFSRLREYGYREYYRGFSVILVRNSLSNTLFFTLRDPLKQRIVDLPQTSRLPVSLQHWIGDFIAGSLLGATISTAFFPLGVIKNHMQAKVGVKYDSSIQVFRDVWQLRNRSLRGLYLGVHLNFTRSLVAWGIINSMYGILRRALAPFEK >CRE07920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1015:316:6574:-1 gene:WBGene00078162 transcript:CRE07920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07920 MNNDIKQLLTRFIFPGLDTKFVRILQSTIVLFHYNGHGVPKPTDNGEIWVFNKSFTQYIPLSIFDLQGWLDYPTIYIWETHSAETILHNYRRFGEDQKISWQSRFDRWQEEQRNLPPISSKMTDADQAEALGFPEKYPRYNDCIHLAACSAGQWLPMSNPHLPADLFTSCLTTPIRTSLAFHLSHSEHRDEYPENILDMIPGNLAERRTVLGELNWIFTAITDTIAFTSIDRDSFQKLFRQDLLLATLFRSFLLAQRVMSKFDVLPVSQPALKDTSRHDLWRCWDQTMDMVLDYCRELMYVKESERALFCGRDVINRSGVFPFLVLIDFEHVLTFNETHQYNTFFTEQLQAFELWLDYGVDEGTPPLQLPMVLQVLLSQLIVWAIGYSLAVGIFPYVLKLLQSNISDLKISLAFIWAKILAVDPSCQMELFNECIDESASTTQSPAQPGQQQQPQAPPQPSVALKPSAMFANHPPPPQLTMDKRALTIGQQTGGRFQNNQNQALDMQLQYAHQQAHQRQMDPAGQHSPTQQSKLPHQPAPQQQQPPPTQQPPQQQQQQQQQGQQQGQQQGQQGQQQQQQQQQQQQQQQSQQQQRQPGGIMKPTTQTQEKKTMLRFQYFLQLLGDPDIKPKQKTVAAFVLAQLTSNNFRLAQKELTNKGYMGICTELMVDDTARSVKLLKLWILIGLGRLWSDYDQARWQGIRLMAHDKMILELSDDSAEVRAAAVFALGSLLRNSSRSNEHASAVEENLADEMCAQCVFDSSVLVREELIVALQWFVFDFEKRFVKFLLDLSNQIKFKLPRRRNSSENENEEGFDIAAEMPSNRRREPPVNEFMQPSVMRKKMSTSVFSTAVEEVVQTDPISGHVYLVGHDEGHHVERESEDIEYRERARAQIKHLEAKTFNEAVARTWLSLLRLSLDPIERVARMAQKIVHRVEAGIPEMQANIDNTMAHLNRKMIARKTSRATVEMPRRPVGPNEEVSKLADQIKRNLEVEKLGSPGDLNQHHRNALSPGSSFTDETQSSELFSKDETDSEEEEALRNQQRQMQEEEVSITEEREVEREVERDVATTASGRTLKGNFRKSDFIDAATMEFTPRRTKAVPGDFAVKEESKTLRTVIENPIVSTQFVAWCSKVFVEPILHVITLDEEKPDDWEHEDEDEFMPDEYREKIKEIQKQDRKLHEEKRAKDKKEGKKERSQEDVVVTTTTISDWAIHAMEGMLQSAEVEGRDFQNTKYDECLWRVKLTHPARSLITSKLRRCMYASDGQQMTIIRQDTDTRCFRRFDLTASNPFCPSYVSQLILINDMSREMVIACSSNGIVRIWDPYFFGWCDEYEKTPELVSASFPLEAQMKLSDDTNKCLFDWNQQNGKLMCTGTRSVRIWDAHCEKVCQDVMYSPGSKQQGGLGVKLDKHTLPTAMSGNLDEEGNMIAVGYGDGRVDYFDMRMANPKAARCSLAPANVGNQFDVKPPGIMHLRVNRKGFGTELFAGSQDGSIYKLQLRMFKEATPSIITPWAPGEHSYMFVHEDSRILASASNGNLAIYDVAQNKKMAFIAPPREVDMIRREKSSGGFGIFGSQRKLSAAQMGARMNVVAAEKKAPVPKIISLTMHQMRFV >CRE07922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1015:30074:30696:-1 gene:WBGene00078163 transcript:CRE07922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07922 NSNVTQLSKICRARTNFYHCLGHTYYTCMNLHTRLESNNTDSSNGFDYVRTFRGLEWICGGGYQETINQWNNFDDIPTSTPYRNCVNTFNQTVSTVHFCSSVQQTGDCLNTVYSNSTGDASAGHYGCENFRYTFAKACPGLKCTIGK >CRE07919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1015:28042:29268:1 gene:WBGene00078164 transcript:CRE07919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07919 MHSVALTLLVLVGASQAALLLPYTLPDDVIQLMAFREQPQNAAISTCNDVQFNYCQTTFNKFFGMDDSISWRNGTYIFNTVQKYLMMNVTELVKVCNTRTQFYQCLGTSYYPCMNLYTLLNKPQPDFPNAFDYVRTFRGLEWMCVGGFSEVVAQWGCLGTFPTTNAYLNCIANFNQTVAANNFCPAVQQTGACLNDAYNAACGAPGSGYFGCENFRITFDNSCWGLRCMVDSQ >CRE11582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1017:2178:2692:1 gene:WBGene00078166 transcript:CRE11582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11582 MAITRWDMSKASESEKKSSVNDDSMTLNEIVNDLAKKNPFLKTPMKRRREEKEEVSAGEKRLEWLKEKAKKAVIPTEQVSTLKPEHIFLENGPLIIHMCLECKKFNSTRTVTNLGEGKIQLPLGLCTICRSHINRQRAVKFFEHELPAIKKTYDL >CRE11584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1017:128:426:-1 gene:WBGene00078167 transcript:CRE11584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11584 MISEGALCEKDASWILHDATNGIAFCHLHGVLHRDLKPENITISDHGTAKITDFGLSTNTKGLTACGTEQYNAPEIWAHEEQH >CRE14232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:142424:142840:-1 gene:WBGene00078168 transcript:CRE14232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14232 MTLPKSTKATPKIPTKTVTLRLKSTTTEIIIRNKEYEVKETDTVAMFLKRIHKEYSLKSDQSLFMYIDGSFSPALDVTFETLAKNYAVRTTGKDIIEFLCNLTPSKG >CRE14191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:108424:109487:1 gene:WBGene00078169 transcript:CRE14191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14191 MIVSFEYFSFFAIFSALYSIPPVIITFKMFVFYFKKRRTLNPNTIHPALYQQFLIMQILNICHVITSFFTMRIPDAALLDMTFICYMNNFLRFLMLFNHAVVYAKNLSTISFCLIRVFLLFTETGKDASFIICITLFNFPISFLLALPTYFMNVTCIGPSDSKFPTGSFMIISDSENGNMNTSKIIEVLIYPFFIIMILLLNICMFWKLGQSRKLSTVVRRKYDRNAERSLTITMTLILLPVIINSSIAISNILNHFNCVLYLIRPWFIDARAHIIVCYFYFTHPIFRRRLVSAGRVKTLKTRETR >CRE14179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:35550:36671:1 gene:WBGene00078170 transcript:CRE14179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14179 MIFIDFKKAFDTIEPAALWESLKTQGIDSGYIKLLKECYNHCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLETAFSRMSWPHIKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINANKTKVLRNKFASSHQINIRKNNTMTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKDLHREDIRKMSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLMKEISVRQGTQLIEPMDSCDPRPHQLSEERID >CRE14225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:106956:107248:-1 gene:WBGene00078171 transcript:CRE14225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14225 MTTLYEKLNLPFGIGYTWIGAMIILFVRYVINRAFRRRGQEFMRYTAILDRAMNPNGVNKKGAEYVYTDDDTSSDSD >CRE14188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:82952:84743:1 gene:WBGene00078172 transcript:CRE14188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14188 MSLATLQRDKAINLRCKHRRIKTHSSRKSRTLSMTVVPTKSYLTSTTASTIWSMSWHLVVVAPLNSIHMERVLRKMTDWEANEVGSGISGNVHQTNMQSTTVEQPSAIRTESQSSSGPIASSAARNISQMDYDYEALDQLATGHKFMNLRLQEENRILRINADKHHRVHEEDVRRRTAQETENIDRVYSLQNELAQQPQDIRTLAQPHVEHSPMPNQALALRAARPQHITAQPSPIAASTPNKNVAAAPATMATRAQATMQMVSTSAGTRASVITNVEKHIETPILSAPVPFRSPLVVPQHNETPIANNTPNMQDIMQAIHSVAENQKQIVHHNISMIHELEQRMDARFDGTTNLDFFEHVYSKFVLSNNNFNAEAEYAILLYHITGPAKNCISLAKDSHNAIMTTFCSLKKVYGKVSNRHSLISKLQKMPFHQTDPEAMRLDVVKIAGILQQLKDKGVPATDHMVSGAIRAKLPADFKKSLARYTVEIGEDNITHDQILDLISSEMEVTTIEHTFTSQMNQPPMNELPESYAHPQDNKATRAQTPREGIQFTLHHNIRMNTQIPPPPCAEARPMVTWTPFATI >CRE14184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:56287:56674:1 gene:WBGene00078173 transcript:CRE14184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14184 MMMKEANGAAGNGIGVGGDGQQGFRDFNYMNMMQPIHLARHPERYDDTMMIMHCQKDGTGRGIGRRRSRL >CRE14173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:15299:15687:1 gene:WBGene00078174 transcript:CRE14173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14173 MSSDAVPWISRDTTIRGVGIVLSMFFICLFFLYLCVEGMSKKRRSTPKPPVFDSDDENMEILRRTSELPDLTPPPAYEVLKEPPPPSYNSVLGNIGRNEI >CRE14180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:42032:43489:1 gene:WBGene00078175 transcript:CRE14180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14180 MSDPFPFLDLPVVNVRGVLELLDVSDLLKISSCDKFIQNEAKSMNQIKSFSSLKISQKEQMSADEVFELLQLQLLNDFNKFHRMNRISFGLEFSNDIGLEIVLKDEKTLKWNIYVEFIGEMDNYNGVQTTVEVEDRRVPGILISKKNLYTFWNDRISGLKTLVAWMIRTYRIRFDTFHLIFGTQSEYSEELKSIVNFVNYRQNSYNNLIIDEKEGLIDDKDIKFVMYNVNAFRKYTHLGPRSDRRLYYFPFRTESILISHAHWFTWEQLFFSHCATINIVDSPIRNEELKGFVSLWLDGYFPRLKHLTVKTKNIMVLIILFGNGIRRGYIRNGVYMSSNNKLIPIPVGVIIENETATRAATIIFPPQNPPGSRKLMAFEMVVWPDYDGFSYDRNEVIPPGM >CRE14183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:50477:51281:1 gene:WBGene00078176 transcript:CRE14183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14183 MFTYEDQRSILPNFNEISWFEELMMNQQYQQF >CRE14193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig102:116810:117309:1 gene:WBGene00078177 transcript:CRE14193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14193 MGQRDHGYLEDLRYQRTPGKAGQQGGNEDTGKFGKFGEQGGIGAYGEPGLPAAYCPSDCGVNSIVSQFGGRAQHPESINGEDNGAPASSNPEEYAQEEPVEAPPAPPAPQPTVQQQQETAAAEESFEESSYRSFFGH >CRE13194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1020:20154:22109:-1 gene:WBGene00078178 transcript:CRE13194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13194 MVASYSGIKCFGYFIIVIILIFSVANYYSLVYKFEDIEKKLHRLKPHDIPLIDELTPIMELRKTALLSADQVQRDILENAVGKDNKNFYLKLRPEAFCQKKVKIGERKEDGGKIVCDPGAVKEDCTLMSLGLNNQVQFDQEMYNVTGRKCDYIAADMDPQNMNTYRIFAAMRAHVYAGKIPDNLTISHMMEQEFKTELEILKIDIEGGEHTGLEPFLQKYYVCQILIEIHGWPAEHLEMLQKIARYGFRIFNIEPNKMCSRCCEYSFINELCMPQFGVLPLAITIPRNLTNV >CRE13190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1020:65:379:-1 gene:WBGene00078179 transcript:CRE13190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13190 MSDSDPPTEPNRNRKRKRDSSSDTPSSSSRPPQPVPISSGSSTQSSSSITVEDIIGTLRRPVEDGNLDEDKIAAKFLEIHREIWRKKMWRWKNFQCTLEYYQGN >CRE13192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1020:5825:7456:-1 gene:WBGene00078180 transcript:CRE13192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13192 MSDSNPPAEPNRNRKRKSDSTSDTPSSSSRPPQPEPISSGSSSQSSSSITVENVILTLSTPHEKKDLNANNIAEKVLTFTEEYGEKVAASIGKTWLLVRMEMRHRIGNPDSCEKTWEIAQMMYLRLFNWFKYYEDSQDKEEVLKLHLDLWKTWLEIDYEQLRNENDFHKELEKKWQELEMLNSNPSAESNRNRKQKIDSSSDTSSSSSGPPQLSPISRRSSTQSPSPITVEKVIGKLSEPLEERNLNEDTIAAKVKEFTEKYGLKVATSIGQNWGIVREEMNPRSAVQYKDMETGKQIMYLRLFNWFHYYEDSDEKEEVLNLQLDLEERWLDIEYEQEWYNHLTVYGVWRKLWKPLGIRTIDVEDVTTRVNRLIETYGEPTKRIAASIGKSYATFTHQMAHPSGKRYEEISPGLQELYVRLFNWLEFYKEDWQRVSVLQMHKKLWREWYCLFILFIFSSQNYRSQKEEEKQKERKEWIAKCIRYNFRDAHRYDLGLD >CRE14399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1022:11144:11947:-1 gene:WBGene00078181 transcript:CRE14399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14399 MRLDAAAMSVVIQQMTDRGVPADDYMTMWTIAAKLPEDLRKSLARFSVKMGDSLTHEMVLDRISRDIETLAMEQIYTSQVNHHPLNELPTSYASVNFANANSNSSSVPPNTAQNRTSQTQNTHNSLAYIPSQHPTEYIDPITKAKLEGYYAPGPKGVHLKVIPRSFPYTKEEDTKCRACDGKHNEIRCALSSAEFRSQCKQRNICPNCARKHDITKCRSQYRCGYCDGLHHMGGCPLKEHYRNKKNYPAEAKPIETFFRSNNFNKSK >CRE14398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1022:9096:9905:-1 gene:WBGene00078182 transcript:CRE14398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14398 MIVGSPNLPNTKFAAPIFSPEDSLYLKNSSIDMSRVLSSVHHNGQKIDMILGNDMLSWISAQPDYHKHILPSGRALEQTQLGIIVHPVPRLILWHKSRVPPLYEEYQLPINIATVLMDSSEPEDAMTKLTHQIAQFWRVENLGIENVSVSESTKKATIDLLQVFYKTVKFNKEGKPEVALPYNGNELRLADNYSVAYKRFISLVATLKKGKNLLNIYNEIIVGQEIAGFIEKVTTAMMKTKGPKYTIPHRGVVKEDSLTTKLRIVPRCV >CRE16532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1025:16568:18014:-1 gene:WBGene00078183 transcript:CRE16532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16532 MRILLALFPFLARFSDTCAPTRATTPIVCACSTSEVALLYRIDGSDGGAEAIQPVGEVTTSDANNCPTEYTITCTLPEGSTGTVMMMFQDSVSGGLQQSTIPLACTDGNWLYLDETNGQT >CRE20124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1028:11593:12222:1 gene:WBGene00078184 transcript:CRE20124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20124 MVFQKFLNNGKVSLCGAQVVIAVKRYPDESEVSDIISQLRANHVMVHIAVDSIPSGGTNSATLYEMAFQTNGYSYFATGRDLWNAFDGMTSVFGFPYQFLAQNFVVSGGGIIEIPAFKTPLPSGSTKECLIAITIQNHSKDNFQFKTRSLIIFAALDSSFVSMNYTVASTDGSYSYKFPRNDSKPLYATGQSDVL >CRE14841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:125520:127064:1 gene:WBGene00078185 transcript:CRE14841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14841 MTSEKLGLCLASALNCVLLLLLFFKSNTKFGTYKYLMISYTIIEFLYSCIGYSTSAGAHSTNTTYVVFRMYNGPNRGVAPVFILQYCTAIFVLLLVLAIHFIYRYFAVCNTKLLYLFKGHRIAYWILGAVEVGIFESSLKYLFLGENSAATENLRQEFMEKYGLTMEQICYNGPIYYICDKDNQCRRDPYIWAVSIFIQASCFGCLLLMSIFGYLTYTRLNTSYINLSVHTCQIQHQLLTALLIQAGIPIIFMYIPIAVLFTSPMFGIGFGVYENITMVCLAIYPPLDQLATICIDCSKIRSGANLNDFGVGKSLTD >CRE14868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:328080:330176:1 gene:WBGene00078186 transcript:CRE14868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14868 MFINSQNCPQGPNNRFTIDEDQGIFIVDLGRAFIMNTILIELSWKGDVNAFSYQLCVGMQNTNASHWQMIADYSKFDCRGVQKVYMEDTVIRYIMIKVIDPTSYRLESSHVEAIYSSQIMPVDPKSYCIVPTRNITTVEDHARVVEGVSRCRNALINGDKTSYDWDSGYTCHQIGSGLIMIQLAQPYIISSMRILLWNCDDRFYSYYVAVSTNQNDWITVVDKTNEECRGWQELIFDPIPVVYIRLVGTKNSINEVFHVVHLEAPSSVPIAIK >CRE14862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:286739:289142:1 gene:WBGene00078187 transcript:CRE14862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14862 MDNNRRIVVALAMLVVSTMAIEIQDAGSFPTGQDYQKEKAKEGQDPANYKKTYHRVIPGGSEHAEVVASNGPGSNSFSQTYSKHQSFGSADTMQSQDAMERHNAIMASIQQSMGGGMGGFGAPVAPFGSAEPKVGDDEAD >CRE14871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:353118:354915:1 gene:WBGene00078188 transcript:CRE14871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14871 MANANLTPMPFVRKFGSAASATTTSSTDRSSRSEDVGGDSGKKPSLAALAQSAFPSRYDPNAFVDISGATIAAPTITGACKRCGYPGHLYFQCRNHIEVRPNQSTKAYEVSSTSSESSDEEDTPLIALEKERKRAKKLKKKERREKKKVKKMEKKEKKERKRSRKDSDDSEEERKKKKRSKKSKKEKKKRRHSDSDDSDSDDADRHRDSKRRRRD >CRE14887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:98980:100359:-1 gene:WBGene00078189 transcript:CRE14887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14887 MGVILQDQVALVTGASRGIGRGIALQLGEAGATVYITGRRPELSDNFGLGLPSLEYVAKEITNRGGKGIALYVDHSNMTEVKFLFEKIKEEQDGRLDILVNNVYNSLGKATEMVGKPFFDHDPSFWDSINDVGLRNHYYCAVYASRMMVERRRGLIVNVGSLGGLKYVFNVAYGAGKEALARMSTDMAVELNPYNVCVVTLIPGPVKTETSLRKNVEDFMKEDTEEYVKGESTEFTGKALARLAMDPGRLKKSGKTLFTEDLAQKYDFTDRIGMEPQNIRSVRTILGTMGKSEVAKFISPKIKLPKWVIWQSVNRF >CRE14845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:133296:135028:1 gene:WBGene00078190 transcript:CRE14845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14845 MDCSTSTEICLPDVNHQKCQICSQPAHGQHFGAVTCRACAAFFRRCHFSKEAKPKCRNMKFKCNPDENGRWSCKKCRLDRCLGHGMSTKNIQYDRDSFRSSKTFLKKRLLSSYLTERVPATVEKILGSPHYICFKLKSLPTNHKFVYIDMIEFAERLSELLVEKPSFSFDHPPKIVRNESVEFKLKKLSNLEQLAFGLDDYRSSQQTFLNEATLITKEIAVSAWENMILTAANWLNYSEIIRNLPVYLKQELLQTTWLIWGKLERIAMTAQMRVNRQCGKDQFVVSHEHLIDFSRTKADMSWWSHYTYEELEYLFNPKDLHYDELVWEIIEIRPDSVELTYLLCSLSFGLAVNSISGELRDVVEELQDTLANDLHNYYTKRNKTSYTLRLRQLMKIYEKFIKLRNIRSEKYHICSILDVFKLYISNEEFFKVAC >CRE14876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:797:1519:-1 gene:WBGene00078191 transcript:CRE14876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14876 MIALELIPLGIILLIVASIGIVGNTIMVVAFFKFKKLKSYCHLFIMLTCLADCFHNYGQLIFTVHLFGDFQTPQFICSLVNIPTLIGVISGSCWMLALGLDRFFACKWPISYRTFVGNTFYIAFQCALPVIYTSIFMLLIFMEVDSTPVTCAVPLAMGSHTFVIWSISNIVLNGITMIVVIECYLPRNAINYS >CRE14846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:135998:137492:1 gene:WBGene00078192 transcript:CRE14846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14846 MSCESPPSSSESSIGSVENNYVKCEICLGKGHGNHFGVNTCRACAAFFRRMILGTGHRQKCRLRKDCKPRDGRWFCKKCRLDKCYGVGMTPDSEFLLYPTLPNLKMSDIQHDRDAFHSSQLFQENHRKRKLQVSIIPNVSFMLHLNTEFEEFQTVEKVMGVPHSILYFKREKVPKKMYTYIDLGYLVERAEKILRSQPEFDPRFSKMTSLEKLTFGLKEARKNQKTHVSALKKLGKEETCFMWESQLITATNWIMYFDEFRQLPFCHKFDVCGMSGQFVVSHDSLIDYDNISCDLEWSTNYSKEELQCFLDAPTYHGEPLVDSFINVNPTDTELVFLLCNLCLRLTGKKLGGEIEEITQRLQDVLADSMHKYYEKMEMSRYAHRLAKLLTLGNDWLALLHKRHDRLHLAYILDAFHVKFSHPELFQYSG >CRE14843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:129357:130062:1 gene:WBGene00078193 transcript:CRE14843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14843 MEEVVYNGPLLRICNRNSEDCELSIVTCMVAGLLVSYIITICGLMIYLGAMTYIKLSKYQTVWSDRMLKLQRQLWIALIVQTANPIFFMYLPILIMNIVPIFRISFGPYANLSMIAFTIYPPLDQIFIIYIINDFRQCVKKIFCGVVRRIRKQPVNNHHENNHTDTTGLNKL >CRE14856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:236304:237235:1 gene:WBGene00078194 transcript:CRE14856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14856 MNFLIILLLAIPSIVVSYPSSANETSIELYEHDSKNDSSLIVITETMDMNEEKQRFIDNIINAFKQAEEEKQIELDEIVRVFKEAHRIKEEEERKKAAEDQKIIDENINAFKQAEEEKQREHDEILKFWNEAERIKEEEERKKAAEDQKMIDENINAFKQAEEEKKNETMSADNKPKKACLHLFITEICFWFA >CRE14847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:138436:140308:1 gene:WBGene00078195 transcript:CRE14847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14847 MNPSTSTSSLSSLGASSGTNTPDMGKCQICFQPAHGKHFGVDSCRACAAFFRRVFVTHKQHFKCRDGNKKCLPDSYGRWNCKRCRTDRCFALGMKPDNIQYNRDHFFCSEDFLTRKCNRIPRSPLLPMHTTFVDLSPLLETLQKMLSDVSRPFFSKKKRSLKDYDRKCLNPLQKLAYGLREVRKTQIWDDLPITECIGKNESFIFWKSEVERACTWLSYFDEFQKLSIEDKVSLPFPILVFLTINVQTHISKCMWIIFTRLERSAMTAELRRANKCGSCDYAFTTYSVINTNTLKWDFNWLTHFPSDQMQNFLGTTQMILCEPLTNCMAEVQPTEEELCYILCDLCFYFLGSKLGGAMQETMERFQGILADNLHQYYVEHDKTSRYSHRLGQILKISQQYKSIMEEKRKIRILGEIFNAFRVQWSHNDLFIYEPFD >CRE14855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:234947:235570:1 gene:WBGene00078196 transcript:CRE14855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14855 MKKPLLLLALLASVLAYPTTNETLIDKPEMESENDSSLIVITEKMDFHEERQKYLKQVEERNQREWDELNKFWEESERLEQLEKEKKAAEDQKWFDDFNEFWNNASYVEEDRKAQETVSVQATERACLHLLFVRLCFWF >CRE14853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:232897:233267:1 gene:WBGene00078197 transcript:CRE14853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14853 MVLKLKDNQQSVQLSSEEDSKNRVKRYLNLNEVKLHEVAYLGRKDGRIYPKNHKFYYWDPTRRRMLNVVEESGGKKR >CRE14854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:233639:234349:1 gene:WBGene00078198 transcript:CRE14854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14854 MKPTIILLLFTVSVSARMFPTYPDTDNRVKPIKIPIIQKEPSNSELTDIIGSRKAYEEPEISVIESLKQMEFLLKDITNDMITFSEPPSTTPSTTKSNPAIQDVTDLKKVAKVKKYKKYPERSQERPNAEKIRALGYSRNPCYSPKFQLAYFRTFPCIKCMGLRKIAIVDSQKITRKEGKKKLQLIIFFLIKFF >CRE14859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:242997:245935:1 gene:WBGene00078199 transcript:CRE14859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14859 MRTLPILLLAIIGAAYCYPVVQYEMEKVEEIKDKIQEARENHHSDCLQGRHGHRGRMNKSGSDSDSSDSSEEKKKRKKMREKKKEEEDAPPFLRKKRRRRRGGEKKKGALTPPPQKKKKEKKKKEEEEKEKEEEEKEKKKKEKKKKEEEEEEKEKEKKKKDKKKDDDDEDEDKKDKKKKKDDKDDEDDDDKKKDKKKKDDKDDEEEEKEKKKKDKKKDDDDDEDEDKKKKDKKKKDDDDKDEKKDDDVDKDMKKDKKDKDDEDDKEDEDQKKKKKN >CRE14857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:237999:238596:1 gene:WBGene00078200 transcript:CRE14857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14857 MRVLSIWLLPIILICLIQCIPISRTSPSAKFRRSLPTDTMTHNDTFIEIPVKADSLVQSENGFTSLKEQEALGKLKEENLEEKLASQQLKREMRRRKQEESDVPCLRTRFFTFCFGIKIN >CRE14900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:222933:226602:-1 gene:WBGene00078201 transcript:CRE14900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14900 MLNITSLPLNSSASDEYMLPNTTVSTLSNPHGFSINEIFAMIMLPIILVGIFGNTVSIFVYSRKHMKKSTVGFLLLSLSIIDLIVLLTALPTFSLYKLPFLPGYQKIGSFHTILSAFCIVYVYPIGCTAKMTSQYIIVLIAVERWFAVCRPLQVQVWCTLRNTIRAMIYIVVIAVMFNAPRFLEFTADLSTGIVNMGLSHSANNKWYFILYYGIRSIFFDTLIPFAVISVTNIQVIAQLKKSNEERKLLTTQQQKDKKTTTMLLVMVILYASCHMFNTSLKFVNLIFKTYAQFQFTLFRVLHHISNLLLVTYSMSTFFIYLIFSVKYRQVIAAVVSCRNMEELATNSSRGRMKQVKNTSSNGYKPIKSV >CRE14849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig103:167741:169812:1 gene:WBGene00078202 transcript:CRE14849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14849 MLHNENSDFGLLLTNNDDEEAEHEMAQSVQPVGALKETQMLLSAGNNPNIEALLNSPEWKLVFSMLQERLSQTTTNLSTLPPTTPALATPPSVPFSPLTCLPSPFSFGTNYGNEGFLNNISPLSSGSSSAESGVETPARLKKKDHVKRPLNAFMIWSRQERRKITDSYPDCHNSTISKLLGQRWKEMSDDQKKPYFDEQEHLKELHKQEYPDYRYKPSRRRISIDGKKVPLNRLQKGSQSWDQESPLSVTELASRVLLLSNQQPELQKSNE >CRE22216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1031:5603:6014:-1 gene:WBGene00078203 transcript:CRE22216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22216 MDFKAKLLAEMAKKRKAVSGLEVKEGGAKFVRGADLESKRTQEYEAKQEELAIKKRKADDEILQESTSRAKIVPEVPEAEFDEKTPMPEIHARLRQEGSHSSLW >CRE21633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1033:1939:2930:-1 gene:WBGene00078204 transcript:CRE21633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21633 MTILPVKKKREKSDSTQKSSGVGSSSDPNRQQSGQSTSAGPSSSKRNRVQEEGPVNVKRCTGFQLNSHKIDQKTMKMRRNR >CRE24887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1035:4510:5418:-1 gene:WBGene00078205 transcript:CRE24887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24887 MAKKIRARRSKYSQPIKSSPPRPKTTSELGVNTPNPSSLRLSRPKKYERVGSKYSQPIKSSPPRPKKYERVGSKYSQPIKSSPPRPKNTSELGVNTPNPSSLLFFLTTNYERVGIFASTAKKYERVGSKYSQPIKSSPPRPKNTSELGVNTPNPSSLLFFLTTNYERVGSKYSQPIKSSPPRPKNTSELGVNTPNPSSLPLQGQKLQSSWE >CRE24316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1036:919:1582:1 gene:WBGene00078206 transcript:CRE24316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24316 MDQVILGLFGMILSTWVMYGCLIAWRFEFYKTAAIFIYHIFTLAMYFSYISFCNFLTNLYIRLPSENKPFSGFKLYVFLFGVFHTMVGVATVYITKIWPVCILLLIASFVFCIDAYSCFFTDTYMLCEHRTFKYEMKTELPIDGIICHVVVRRNVEKSKELPEGWQYEDELKLDNKWYQEEIWNVDNV >CRE23235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1038:12559:13337:-1 gene:WBGene00078207 transcript:CRE23235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23235 MAPANNRVEADEIADILFDDQMDETEEELRDERVIQREIENIALGSDEVAELMTAVPPVQLVGPSAEMREEIRNVGRIDNAHWVPPEIADQEKQAAAPRKRREKKGKGRKVTVDDFLHYFGDVAEDEMERGTGASKCKKISNEKGCFLTEQSLYLSSLGIEAKPHVAFEMGIISNSGIFYKKPYGKIKLERIKQQKTEREVFVDEVKGNKEPDCLNWLSTFSGFRCME >CRE23231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1038:17:327:-1 gene:WBGene00078208 transcript:CRE23231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23231 MSAKYVVTIASCFSGLAIVACLFTVGAIFKDINDLYDNVMDDMDEFKMFANNAWKDMIPVTRPSLDNQSNLRALFGREKRQAGQCNCG >CRE29270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1039:1295:4642:-1 gene:WBGene00078209 transcript:CRE29270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29270 MAEKEEDGPKSKDDAEKSVVPVIPETSEDNIVEKDEKKIEEKCKCALMQKKIITFSGAAKSRKKKEEKCEFWDCWVLGRSEITVDFETSHTFSAPKSVVSCETPSKNERRRKKRIEKGNLGGLTPKIPFLLEISPEKLVVPPSEPLEVTIKNPTQDTQSLCCTFDSYYFLVDFKDAKSSGQQGVTPAAAYGCYELGPGESCSLTVRTTDREIDQSVDLKTLMEGSYNVIKPFAHLPRLKDRSNIIDISFYNHKRPEGFLRFEYRKKEKRTNAKWVVRKQQLHLTDDIQEMIRLEDEKTKEKEKDNDGTKAVSKNETTTDPNSLEYMKFYRMKLIYDATRSHQKWRASWGEQLRNDDEGTYRVFYVPPDFVVDQFVWKAENYNQTLGTKEENAAFWRRIFVKEDIRDNYGRKEALLDRNVGFGKHDFTAETLEESLEKAEKFLEDQSEEYRKKFEGYIEKEQKNKKEREELEKEEELKTACEIVQPLQPVKVDQKVDQKEEKKEEKKKKKKNPCCSIC >CRE17393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:174533:175531:1 gene:WBGene00078210 transcript:CRE17393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17393 MPLPLSYPGFKCVLEHLEAVKRIHIIGRSPGLQKIDKLVPICLESIYIANDRMTFNKLWITCDKDEVYLKMNRKTFSRQRAESQKDTMKKLAHFWITGRSKIYVDKLNWFCSLPLDFLPVDLKFRVNSLEASDFKTAVHFIDPRSFPLKTVVTVPEASTFDNQVVTFAETFNLNIIIDHLLVTVENLKKLNNKTVVVKSSWDFRIDIIPLIEYHIETEKDICTTFVISTGDKGFIREKLREFKQAFGKYRRNLNGLNERFLPGVSRYSIPITDKCRINVYATDESKKGFYNLFVKPVLEL >CRE17404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:208226:209217:1 gene:WBGene00078211 transcript:CRE17404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17404 MPLPLSYPGLRCVLEHLEAVKRAHIIGRAPGLQKVDKSIPLCLESLSIDINEITFNNLIIFCDKDGIKFEMNWNTFKRQKLEGELEKMKKFTNFYICGRSTIRVDKFVWVHSLFSEDLAVDVKLSVNSLSAFFRGDFETARSFIDARSFPLKTLSTYPDTSNLDSQVVKTAETLILYLIDRTVTVEHLEKLNNKTVVFKYCTSSRVDMVPLIKYHIETKKDIRTTFVNSTCNKRFISDMLLKFEQAFGEYRSDLDGVNERFIPRLSKFSIPINDDSRIHVYAIKYEGLNKMILKPVSGL >CRE17425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:43161:44287:-1 gene:WBGene00078212 transcript:CRE17425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17425 MVMIQIIRLSGFSMSILAKVLQNFRVNKSPVEAFEKCFNVYLKNDDAVSNKTTVSIFDSVSGRLASDINVNKSFEEVFEKCFNVFLNGSNIQDVGLYHVPKFLCETDRSDGFKLNISNLITNCVIGDKFDSFIRFVNLDNLEHISLSFDDRRNQSEEQFMMLEKPAIINCKGLDLIIAPPVSPINYYTGLRNQTLVLDNDDFHVDELRMLIELQVTFPVEIPGGSTDKPGIGIRIDDKRDLVLYNGEYQVEGRAYPSLKMEVIASGSEKKNGDSEPDVSA >CRE17435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:109576:110585:-1 gene:WBGene00078213 transcript:CRE17435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17435 MPLPLSYPGLRCILENLEAVKRAYIVGHSPGLQKVDKLISLRLKDFLIHRNELNINNLMIICDKDEVRFNLNGKTFSRHVSESQEDKMKKLINFYIYGRSIIHLGSLDWGQSLLADFLPVGTKFRVNSLTAPPWQFDTLLPFIDSRSFPLKTMATISKPSIFDSHMATSAETLTLLSCFSVTITLEELKKLNNKRVVFERFRFSKIDIIPLIKYQMETKKATGTTFVIPTGDKDFISEKLSEFEQAFGVYRSDLYGVKERFIFGLSKFSIPINIDSKIQVYAIEDPEEDFPYKIIVKPVPAVLAL >CRE17456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:163215:164212:-1 gene:WBGene00078214 transcript:CRE17456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17456 MPLLLSYPGFRSILEHLEVVKRAHIIARAPGLQKIDKLIPLCLKDLCINSNNMTINKLWITCDMNGVNFAMNRKIFIRQSAETQEDKMKKLIHFYICGRSITRVDRLDWDTNFLPVDLKLRINSLSVFSHWEFETAIRFIDPRSFPLKTLDTLPDFSTYDNHIATSAETLILLLVVDPIVTVEELKKLNNKTVEFESDHSEIDIIPLIKYHIETKKDIRTTFVISTGDKDFFNETLREFKQAFRKYRNDLDGVNERFIPGLPKFSIPINNESRIQVYAIENPEKGGHWKIVIMPVSEVVGL >CRE17395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:181037:181384:1 gene:WBGene00078215 transcript:CRE17395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17395 MYISDQDKTPLRINKRKWVKQHNFTTQTLYDGLWALAKEMDVSKYIDRKPRNTAVDNIHSEGSQDLKLYEAGSSSNSLSDLSDSKESVDSFGRVERESCTKINLLKPVNPKMNKC >CRE17451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:155133:156101:-1 gene:WBGene00078216 transcript:CRE17451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17451 MPNSIKINKKLWDFGLNPPKTVSIFDSDSGKRTPKLRVNKSTDEAIEKCFNVYLKNGSNIQDLELYNVPKFLYETDRSDGLKLNISRIWMKASLDTKFNYFTRYINVDKLEFIYLSFTQSSNRNEEEFRMLTKPIINCKGLDLVVSASRSLINYYTGLRNRTLVLYDDNFQVNELRLLIENWKTSDRLIGTSFCLYSSIYDTNEVINSLDLQDTFPVEIQRDSTDYPGIGIKIDDNRDLVLYHGKHPIGGWYHLALKMEVIARGSLKKNGVP >CRE17388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:150710:151736:1 gene:WBGene00078217 transcript:CRE17388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17388 MPLPLSYPGLRCVLEHLEAVKRAHIIGRAPSLQKIDKLVPFCLGNLNIGLNFSNKKLIINKLSIECDQNNIQFKLDGKRFSRKISKSQEDKINKVVHFYFCNRSITNVDKLYLGPSASRDVRGLNLKLRTNSLNALVREKYETAFPFIDPRSYPLKTVTTMPDTAIFDNLNVTSAETLHLHLFSGLAVRLDNLKKLNNQTVLFGYCSSSSVEIIPFIEYYIETKKDIKTTFVISLIARGFLFEKLLEFKQAFGEYISDLDDVKERVVPGLPKFSIPINGDSKIHVYAIEVPDVNGRWKIVIKPVSAVLEI >CRE17385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:139442:140231:1 gene:WBGene00078218 transcript:CRE17385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17385 MPLPFSYPGLRCVLEHLEAVKRAHIIGRAPSLQKIDKLVPLCLGNLNIGLNFSNKELIINKLSIKCKKDEVKFKMNVKKFSRQISKSQEDKMNKVVHFYICNRSITNVDKLYLGPSARPDVKGLNLKLRINSLNAFVREKYETAFPFIDPRSYPLKTLTTMPYTAIFDNLHVTSAETLHLHFFSGLAVRLDNLKKLNNQTVSFGYCSSSSVEIVPFIEYYIETKKDIKTTKYDLPLQNIDIFAIQP >CRE17396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:181603:182058:1 gene:WBGene00078219 transcript:CRE17396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17396 MDEIVAVMKSIEQYVRFPCNPDSMLSEGILQDMVALYKRASLMEKGAAKSLELIRLFNLYKEYLKESRTDYKNETFVVKAQMDMVTKENNAVKNENIKLKQKLKEVGQSVGTDKNAESAKQALDRFNEEAAKIALQNKKRKVRTKPEDLFR >CRE17465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:207708:208017:-1 gene:WBGene00078220 transcript:CRE17465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17465 MPLPLSYPGLKCVLENLEAVKRAHIILRSIGLQKLDKLIPLRLKDFNIVREEMTINTLRIGYGFDEDKVKFEVNGENIQKTERREP >CRE17381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:114063:115052:1 gene:WBGene00078221 transcript:CRE17381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17381 MPLPLSYPGLKCILENLEAVKRAHIIGRSPGLQKIDKLIPLCLENFYVGYREIIINKLSIKFEKDVKFGMNRIAVSRKGLKSRNETMKKLINFFICERSKIHVNNLNWNKSLLPDFLPVDLKFRVNSLTFDTLLPFIDSRSFPLKTMVTYFRASLFDNLYVTSAETLHQYLPIDRIVTVEDLKKLNNKKVVFDYCSSSRVDMVPLIKYHFETKQDVRTIFVISTHIGVINKMLREFEHTFREYINALDDVNKRFIPGSPQFSIPINNESRIQVYAIEDPEDKFPYNLIVKPVSEVSGL >CRE17472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:230756:232219:-1 gene:WBGene00078222 transcript:CRE17472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17472 MENQEVLRSKPLSYEASKAVLKSLRLETRESINRRIPALRTINSRLPYILENVIIGDNTFKTNGREWIMRPIWVQSSENPLREVVDPENRNTKDYDYFIGFIDLDVLENVKIIDYVNSMMDLCDKPEIKTCKNLTFYSFYLLETNISVDQLLRLRNQHLQLEIYRLTLHDLQLLVQDWITAGRDIGTRFSWEPRSFDGVVDHLNRLKTHFGAVEAGSNLDYYFSNKKIHGNVITLKMGEDRELVMYCGESKMTDYLWTFEMEVVASTTATGTVPTSDV >CRE17439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:121781:122785:-1 gene:WBGene00078223 transcript:CRE17439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17439 MALPLSYPGLKCVLENLEAVKRVHIVGRSPGLRKIDKLIPLCLKYFYIMSNDMAINKLYITCDRDEVNFKMNRKIFIRQRVETQEDKMKKLINFFTCGRSIIHVGSLNWNKSSLPNFLPVGMKFRVNSLAAPPWQFDTANPFVDPRSFPLKTMVTIPHTSTFDSHMVTTAATLTLLSCFSVTITLEELKKLNNKRVEFERIRFSKIDIIPLIKYQIETKEATGTTFVVTTDEKLFINEKIREFEQAFGEYRSDLDDVNERFLPGSSRFSIPINNESRIQVYAIEDPEEDGRWKIFIKPVSDILGL >CRE17392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:173012:174018:1 gene:WBGene00078224 transcript:CRE17392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17392 MPFPLSYPGLLCVLEHLEAVKRANIIGRSPGHQKIDKLIPLCLENLHIGKSELVINKLWITCDKDDVNLKMKRKTFSRQISESLEDKIKKLARFHICGRSNIYVNRLNWHDNLPPGFLPVDLKIRVNSLETGSDFETAILFIDPQSFPLKTVFTIPEASTLFDNQVVKFAETLLLNLIHHRNVTVEDLKKLENKTVMIKSFWDFRIDIIPLIKYHIETKKDICTTFEISTGDKNCFSEMLREFEQAFGEYRNDLDFNERFLPGYSRYSIPINDETKIHVYTTRETTSVFRDIIVKPVSEVSGF >CRE17384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:131420:132438:1 gene:WBGene00078225 transcript:CRE17384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17384 MPLPLSYPGLKCILEHLETVKRAHIIGRSPGLQKIDKLIPRCFEHLHIGKWKLVINKLWITCNVDEVRFKMNRKKFIRQRAESHEDKMKKFIKFFTCGRSIIHVHRLYWHDNLPPDFLPIEQKFRVNSLYILLREEFETALSFIDSCSFPLKTLVTLPMPSTIDSHIAISAETIILFLAQTVVTLDNLKKIYNKKVVFQSLYPFRIEIVLLIKYQIETKKATGTTLVIAADGKGFIKKMLRKFGKAFGEYRSALDDVNERYVKCKRVKPIISFQIYSRIDSEFFKDDFPYNIIVKSISEISRI >CRE17402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:201107:202128:1 gene:WBGene00078226 transcript:CRE17402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17402 MPLPLSYPSLKCVLEHLEAVKRAHIIGRSPGLQKIDKLIPLCLKNLHIVKNELVINKLWITCEKDEVNLKMNRNIFSRQRAETQEDTMKKLAHFWISGRSKIYVDRLSWFCRLPPGFLPVDLKCRVNSLEAISDFETAILFIDPPSFPLKTVVTIPEASTFQVVKSAETLDLNIFIDRIVTVEDLKKLNNKTVMLTSFCDSRIDIIPLIKYHIETKKDIRTTFVISSYDEGFIRDMLRKFEQAFGEYKSDLDGVNERFLPGSSRFSIPINDETKIHVYATKCVFFTSVFDNIIVKPVSEVSGLICLRL >CRE17403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:202496:205185:1 gene:WBGene00078227 transcript:CRE17403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17403 MENSVFLRSKPLSYDASKSVLKSLSLEAREQIQTRIPSLRTINSLLPFHFDTVSIESDDLKINDRKWKFRPVWSQEQPDWLNLQTIPNICDVRMYSGGPYRFNKSPEEMYQQIFDSYLRKNTVIRGLLRVYEIPSFLKNRKDWTMKVVNLELRCRSMEDYECLVEMVDWKTVESVTIVVTTDEILEIFEKMEVMDKPPKIGSCDAQLVFSLDFEQFHKESFFILANRAGAYLASNSIL >CRE17383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:120049:121049:1 gene:WBGene00078228 transcript:CRE17383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17383 MPLPLSYPGLKCVLEHLETVKRAHIVGRSPGLQKVDKLISLRLKDFLIHRNKSIITKLMIICDEDEVKFIMNGKTFSRHVSESQEDKMKKLVHFYICGRSIIYVDRLDWGQSLLPDFLPVGTKFRVNTLTVLPWQFDTLLPFIDSRSFPLRTMATISKPSTFDSQMATTAETLTLLSCFSVTITFEELKKLNNKRVEFERFRFSKIDIIPLIKYQMETEKATGTTFVIATDAKYFISQILGNFKLAFDEFRSDLEGVNERFISESSRFSIPINNESRIQVYAIEEPEEYCPYKIIVKPVSGL >CRE17419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:306212:306496:1 gene:WBGene00078229 transcript:CRE17419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17419 MNFQKNRPNAAQKKKPQARVVQTYPHGTINDAIAPPMFATMKEMTEDFWITRKRKMEALGLEEMRTKSKNMSVPMARVKKIMKIDEDVHHVVRI >CRE17455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:161512:162516:-1 gene:WBGene00078230 transcript:CRE17455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17455 MPLSLSYPGLRCVLEHLEAVKRAHVIARAPGLQKIDKLIPLCLKNLCIDSDEMTINKLLIEYDKDEVKFEMKWKNFSRKQLASRKNKMKKLINFYIYGRSIIHVGNLTWNESSLPDFLPVGMKFRVNSLTALLWQFDAAIPFVDSCSFPLKTMATIPKPSTFDSQIVQLAETLILHVFTHRIVTVEDLKKLNNKTVAFECVNYSRIDIVPLIKYHVETKKDIGKTLVIATYDKDFLSEKLGEFEKAFGEYRSDLDGVNERFLPGSSKFCIPINNECRIQVYAIEDPEEDGRYKLIVKPVPEIS >CRE17478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:299479:301259:-1 gene:WBGene00078231 transcript:CRE17478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17478 MASTSTKSAVARITGIPYSDIDELETVRIPKEEQDAVLAEIARIQKAEKRKTEKKETKPAVTFAPPTTSSTHISKSPSPSEEAPPPPSSTSSGSSEDFARQLALFESGTPLKCVRIPKREQHHAKMVIYG >CRE17371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:31631:32868:1 gene:WBGene00078232 transcript:CRE17371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17371 MDNLNQPRSRPLGYDALKSVLKSMSVEKRQEVHNHLPTLRSINSLLPYTIENAIIWEDELHINRTKWDFRKNPEQSNSNDDDPNQTTILFLDLDSLKRCQEFRVNKTLDEAFEKCFNVYFKNGSSIQELDLCDIPKFLCERDKSDDLKWNISRLKTNNTNSLDQLDTFIRFVNLDKLERISLTVYDKLNGEPFGVLRMPAIINCKNLDLTVVLSFPESPINYLTGLRNQSLVFKINNFDVNDLRILIENWKTSDRPIGTCFCLLSFVDDMLRRLKLQNTFPVEIQRDSIEIQGIGIKMDDNRDLVLYNGEHQGEDRAHAALKMEVIARGSEKKNGDSEPHVSA >CRE17422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:34883:35700:-1 gene:WBGene00078233 transcript:CRE17422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17422 MRDKPNRDEPNRTETECETDRDEPSRAETGKSGILRVSSREATLYNEPGKQFEILEKPAIINCKNLDFFVSVPETPPINYITGLRNQNLILNVNNFQVNDLRMLIANWKTSDRPIGTSFCFFSHKNNTNEMFNSLELQDTFPVEIQHDSTDKPGIGIRIDDNRDLVLYHGRHRIGDFNHRALKMKVIASGSEKKNGDTEPDVSA >CRE17447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:143179:144344:-1 gene:WBGene00078234 transcript:CRE17447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17447 MPLPLSYPGLKCVLENLEAVKRQELLYHLPSLRTINSLLPYTIEFVRIMPNSIKINKKLWVFGPMTASIFDSDSGKRTPKFHVNKFPDEAIEKFFNVYLKNGSNIQDLELYNVPKFLYETDRSDGLKLNISRIWMKASLHTKFDYFTRYINLDKLEYIYLSFTQSSEGEFRMLTKPVIINCKGLDLVISASRSLINYYTGLKNQTLVLYDDNFQVNELRMLIENWKTSDRPMGTIFCLYSDENRTNELFNSLKLQRTFPVEIQRDSTDFPGIGIKIDDNRDLVLYHGKHQIGGWYHPALKMEVIASGSQKIGVP >CRE17437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:115707:116473:-1 gene:WBGene00078235 transcript:CRE17437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17437 MFLPLSYPRLKCVLENLEAVKRAHIMGRSSGLQKLDKLIPLHLEDFYFNRDEMTINRLIIKYDKDEVKFEMNGKTFGRQISVSREDRMKKFINLFTQDVDLKFRVNSLSTPYACFNSAISFIDPRSLPLKTLYTSIANPSTFDNQIIQFAETLNLNLFIDRIVIVEDLKKLNNKKVVFNYLDYTRVDMVSLIRYHVETKKDIRTTFVISTSDKGFINNALL >CRE17438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:116979:117697:-1 gene:WBGene00078236 transcript:CRE17438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17438 MKKQLEAVVLLKAVALFFEQFLRYILLPQHVLHIDLKLRLTFLRALSYEDFETVLPFIDPRSFPLKTVATFSDPSTFDSQIVKSAETLNLILFTDQIVTVEDLKKLNNEKVIFERIRYFRIDIIPFIQYHIETKKVVETTFIISTDTKTFIDMMLREFEQTFGEFQCDLDDVNERFLPGSSKFSIPINNESRIQVYVIEDPEEGD >CRE17475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:265165:265617:-1 gene:WBGene00078237 transcript:CRE17475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17475 MLINRKLLVVVVCACLFLQSAHANPFVIVGAFSYVLSSIVKVIDHSQKSTVIVENHGRHHAHLWCASKDDRIGDKDGVWVQPGQSLGWSFHKKKNTQFWCTMDWYGRRYGWDVFVANWGGQHGRWVIRDDGIYNGDNGPKRMELESNIPV >CRE17474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:241187:242043:-1 gene:WBGene00078238 transcript:CRE17474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17474 MENQEVLRSKLLSYEALKAVLKSLSLETREATNRRIPALRTINSRLPYILENVFITADTFRTSGRRWYTESTMEQSSENPLRFLVDPRKIVVIINVVNSWEGAKYSVNKSREEILEQLYDEYIRNGTVVTGLLYLRKIHEFLKRRRENEEDLKMKVKNLDWRLKQQRVMRILFASLIWMCWKNIWIFERYIHFGCMSENVSKASYETSRCHKSMLVGQFFVHFNGNSPRRTPESNIFKNFQEKNRKMNIFECISRTDEDNLY >CRE17373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:41703:42752:1 gene:WBGene00078239 transcript:CRE17373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17373 MVTELSYQGVRCVLEHLGASRRIHITARSPVLQKFEKSIPFRVSYLHLNSRFSSVKNVRIEVDYDNVGFKNNGEEVCKRKIPRKRKHQQEFGYPIKTMYKYFLGGRSCILVNRLEIFERVQNMDLSDNLKIQVNQLDTDCHEFSQYLPFIDSKSFPFKKLRTAINGPEDFDHPVCSIHLTALFIPNLPRFSIPIDGNAKIIIYGVEVSDRSEDRFELLVKVVSNDVSN >CRE17440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:124424:125946:-1 gene:WBGene00078240 transcript:CRE17440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17440 MQKEIFDMDNKKISNIQYASISAPPITQFVDGNHQNFPNPSQQEQFFANTPGHQNHIFHNQVTARPTPPPSAGPPPFSLKEELTNCVSGNSLNLYEAGDAIHKAYSMQMQYVSRDISEFVKKHCKTVTNLKNPDFFDASHYANQQALMHGYGLMARFSHEACLQQPLPTVQPQTSVENQDFNRLTGAAFNVKKDYFYPNAAPFSGKQIDLAILPNIEGKTHVRLKHFLFKLLSVSCTRQSDIQRAWLLPGEKVHNFLHLTPFPEELYNDLKEIFLVYFELDPPELEIKQFRAEFADLKFLSHYTDIEMKKKIFKQRSSARYSYVFGTFHKQIKAALRELKDSVYTAGPTPGSGNFQFRKVIISHIKIFYKTFFFQRGGSKLAMSGPAQKKKSTTPVDLNETVHEEDDLFNEGNDD >CRE17398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:195242:196243:1 gene:WBGene00078241 transcript:CRE17398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17398 MPHGLSYPGLKCVLENLEAVKRAHIIGRSRGLQKIDKLVPLCLESFYIANDRMTINKLWITCDNDEVYLKMNRKTFSRQISESLRRQYEKVGTFLDLWKVKDPCGQVEMVLQVNSLEASSDFETAVHFIDPRSFPLKTVVTVPEASIFDNQVVTFAETFNLNIIIDHLLVTVENLKKLNNKTVVVKSFWDFRIDIIPLIEYHIETEKDIRTTFVISTGDNGFIREKLREFKQAFGKYRRNLNGLNERFLPGVSRFSIPINDKCRINVYATDESKKGFYNLFVKPVLEL >CRE17390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:167919:168993:1 gene:WBGene00078242 transcript:CRE17390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17390 MVSDLPLSYSLSNLIIPFIPIRKCLSLFLIPAFNAFWSILEVVIAVGLVDLCCSTPYHVDFRAHIIGRSPGLQKIDKLIPLCLENLHIGRSKLLFNKLWITCDKDEVNLKLNRKTFSRQRAVTQEDKMKKLIRFYTCGRSIVNVDRLYWDDSLPPDILPVDLKFRVNSLEADSDFETAILFIDPQSFPLKTVIAINRSSTLFDNQVVKLAEIFTLNLIFHRNVTVEDLKKLKNKTVMLRSLWDARIDIVPLIKYHIETKKDICTTFVISTGDKDFFSDMLREFEQAFGECKSDLDGERFLPGSSRFSIPINDQTKIHVYATRETTSVFRDIIVKPMSAVFAL >CRE17470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:226023:226475:-1 gene:WBGene00078243 transcript:CRE17470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17470 MLTNRKLLVVVVCASLFLQSAHANPFVIVGAFSYVLSSIVKVIDHSQKSTVIVENHGRHHAHMWCASKDDRIGDKDGVWVQPGQSLGWSFHKKKSTQFWCTMDWYGREYGWDVFVANWGGRHGRWVIRNDGIYNGDNGPKRMDLESNIPV >CRE17408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:232681:233329:1 gene:WBGene00078244 transcript:CRE17408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17408 MENQEVLRNETLSYEASKAVLKSLSLETSQTFGDNGVTIRMKEEKDLVMFGGRVMRKDKEFDTSGLVFQMEVFETAPIIHERSDDWW >CRE17423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:36684:38087:-1 gene:WBGene00078245 transcript:CRE17423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17423 MEGSIPPRSKPLSYDALKSVIKSMSVEKSDDDDSNDDDSNDDDSDDDDSDDDDSDNGDSNQTTISISYSQKSTPDFHVKESVDEVFEKIFNVYLKNGSTIQYFDFCHVPKFLCDRDGSDGLKLNISTLIMDNGFDNFDSFIRLVNLDNLEQISISLHFRLNELECRLSRGNSVSVRPGPSCNQTQDGSNSLGSIWNDCILALLSK >CRE17400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:199723:199948:1 gene:WBGene00078246 transcript:CRE17400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17400 MPLPLSYPSFKCVLEHLEAVKRANIIGRAPGLQKIDKLVPLCLESFYIANDRMTINNL >CRE17415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:269830:271211:1 gene:WBGene00078247 transcript:CRE17415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17415 MDKPLSYDSLKSVLKHMSLKKREVINRQISELRTVNSRLPYVLENVRIGENYFGTNGRSWVAESEAVVDPEKSKVAIYQNNSHKKAEYCVNKSPEEIWEQLFDEYIRDGTVVRGSFYFRGIPELVKRKRENGKDLKMKVKNLELNTFEKEGYDSFIQFIDLDVLENVTFVAVKNTLAILSKPEIQTCKNLTVVVTYACDYPSVDQLRGLRNQHLQLEHLHFTLHDLQLFVQDWITSGREIGTRFSWAQKQSKDVADSLEHVKTHFGAVEAVSNKPYYGNGITLNMGEDRELVMFCDKSKPQSEKYIDYSWVFEMEVVAITPATGIVTTPDV >CRE17407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:229115:230013:1 gene:WBGene00078248 transcript:CRE17407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17407 MRNETVVKGSLCLWEVPEFLKRRKGNEVDLKMKVTNLELDIYETEDYDHFIRFIDLDVLENVQFFACGNSMAFLDKPVIKSCKNLTVIAVSFDFLSVDQFFSLRNQHLKLENHGLTLHDLQLFVQSWITTGRDIGTRFSWERLQFEYVADNLEYLKTHFGAVETWSNHEYYFSDKVLHGNVITLKMGDDRELVMFCAQIPSERHTYCQWTFEMEVVACTSATANP >CRE17453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:158303:159316:-1 gene:WBGene00078249 transcript:CRE17453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17453 MPLPLSYPGLRCVLEHLEAVKRAHIIARAPGLQKIDKLIPLCLENLFIDSDEMTVNKLLIEYEKDGVKFEMNRKNFSRKRFASRKDKMKKLINFFTCGRSIIHVDWLCWHDSSHPNFLDVDLKFRVNSMEALYSRHVETAHPFIDPRSFPLKTLITIPETSSFDNQIVQLAETLILHVFTHRTLTVEDLKKLNNKTVVFEYCISSRVDIIPLVRYQIETKKDIGTTFVISTDGNYFLSEKLREFEQTFGEYRSDLDGVNERFIPESSKFLIPINNESRIQVYAIEDPEEGGQWKIVIKPVSDILGL >CRE17427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig104:47720:49532:-1 gene:WBGene00078250 transcript:CRE17427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17427 MEGPIPPRSKLLSYVALKMDNLNLNPPRSRPLSYDALKSVIKSMSVEKRHEISTYLPSLRTINSLLPNTIDNVKIMRQEMKINKIHWTFYEDRHWPNQTAMWIVHRDTGKWSPYFHVNKSRDEAIEKCLNVYLKNGSNIKFLDIDHVPQFLCEREDSDGLKLNISRLQTHNEIFDKFDSFIRFVNLDKLEHIFLALYGSELGMLKMRFTIINSNNLDLTKSASTSPINYYTCLRNQTLVLYDNNFQGNELRMLIENWKTSDRLIGTSFYLYSYKNDTNKMFNSLELQDTFPVEIQRGSTKIRGIGIKMDKNRDLVVYHGQHLIGNIYRPALKMEMIASGSLNKNEDFVDWLIKPYSFCIICFACCFIKYLLF >CRE15116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1042:522:782:-1 gene:WBGene00078251 transcript:CRE15116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15116 MRAMHQLDNDTCVEEERDEDADVEEEPIGNGMDGEQEEEYDGDKVSGLMRITEKKLEIWLKIERFDQKNWKNRSFLAKKRAIYVKK >CRE15115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1042:1424:1862:1 gene:WBGene00078252 transcript:CRE15115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15115 MAWIGDPMIFEGKSKEYYNPIQNLNDIVFITGTIVFYGAYCFFMAKKQMGYKVSSGRNVFIQSTLLCSINCSSALVYASMMFIKPNEYIVLFGELAWSLVHGQFLKPDRTYIQFFLVAQLYLRTTE >CRE15117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1042:2531:3157:-1 gene:WBGene00078253 transcript:CRE15117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15117 MHPRHHTHRHFSLASGRRPPLNLELYEDGELSEDEVIHPDDVEEIEEIQTTKHMDPIEPKQRIQILKKSGVKLEKNGGAEAMKTLRTTRSICGCDCPNGACDPLTCQCSLDGINCQVDGSAQHPCTCQAHLCRNPEGRSFYDEEAVRGYRRNAIAMYYVSQKTGVQGSPRITKFVDSDEEAEQKGAEPPTMSRNKMVSFVLRKGAEPK >CRE30580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1048:11023:12055:-1 gene:WBGene00078254 transcript:CRE30580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30580 MGSEKMIEVKIDMEEGEPLGATPNDKLVITKIQSGTISEGKLRVGDQVKKVNDVDCKDANDFFRALRYAAPLARILVNRDEKKAEELEARVHIPEDRAKIIQRREGYVYEMATLVWVQNGPKLGLGIKHFQNRVLVSRVDPGSLAEKCLVLGDHLCDVDGVPVTDKDVARDLLVKNIQEKGKVSFVVERPDSIEAKQWAKNALAANILTPPSVTMADDVRSIAAKYRQALKGLKGPEKSAMTTGGGPGGRKVSIVEETATHEIGHDHEGKALRKVK >CRE16974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:278342:280259:-1 gene:WBGene00078255 transcript:CRE16974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16974 MNLFNASDDSSAIHNVYLSSFGSVLQVAFQIIIAGYLVIFPFYAYVYKVNRVREETTLFFPIISHFYELMKKIYVVFLYLMVSCFVQKLYGDHMKYTYVNSGIMIVTILSLLFLTTVVQVCHLLISLTALQKLLIYFFPAVEKPAIAVQKSLYNHITVVYVIFGIKESASGVWLFLSLFLDYSENATFLFNVVYLSIFLFLNILLMASSFLYIPIMLSIRKFSYLPSSQDNKPQKFILWQTVIILIFKFSFFPSVVHLLIIETPLWAIIFTLVCADIVLIPLIIQVSYLGCNKRNVNTLISSFSLIKFIRVLSDRKRQATVQPGVEFTFASSQAVI >CRE16948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:327489:328828:1 gene:WBGene00078256 transcript:CRE16948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16948 MEYESYFDLEKYTKYTGKYKNNLLTPDIRIMHYAAQHNIPDHRTGPDMSPDSFVRWEEFMSGVDITRSMLRSPHPPLPPGLTPDPLYSDLPTPTFDEYMHHLAKVYSNQEVPTANTPPVKCEPLFPFDIQGIPPIGPPTPGCHPFPVLYHDQRNPVTDEIFDKYFLYLKTCSSQFLPPRRRYSFPSFDVMNYDPSGSQYSSDGGFSQNFSGGHESGLRPDMRQYLQLQYNYSGGPQSSSAERHTQNAPGGHGQDRGFGTSDSATISHRKRKRSEPLTVDDIIEKLNRPLEDERIVPEDVIKKVKDFIIQNGATITKISKLVGKKISRLKRSNGAWQGEKTFL >CRE16980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:294983:296274:-1 gene:WBGene00078257 transcript:CRE16980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16980 MALLDQLTSKKLLEYVEEGYKWSYGICYIVLMLIFFGLHPFYVYVNKVNRVRDESIFIFPITNHFYEMVKKAYFLFLYLVVSSILFMTLALKYSNYCVPAFCTSCITCFALYIIVQVFHLLISLLAVQRLVIYFVPSMEKQTIWVQNKLYGNIWYIYIAFGLKESIGIVCLFVCEVAACSPSKQMTFRIFYTSTFFFLNAFLVTSALLYIPITLSIWKLTSYTMNQDKPQKYIFFQTVVILIFKAACVPALIAFIFCDYSINVSIVFVASMDIIIIPLIIQISYLGCNKRNINTLFHSFNLKRFAKVLFDFKVETTVDPQINLTHFRSPRHSDSQ >CRE16938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:225388:225639:1 gene:WBGene00078258 transcript:CRE16938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16938 MDPNPTLDYYTWATNAGARAFSGDDCLVLKAELDKPMVVDVQSCTSNTQFPAYTVLCGVEAWNYRTGK >CRE16984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:308099:309192:-1 gene:WBGene00078259 transcript:CRE16984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16984 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3N2E9] MNTTNGIKTAKDFEGYQEEATLKELVGKNGDIENVIEDSENIISSNFLDFFLNDEAVEQADNECTEKNLINMLDDICSESPPSDVSGTISNPEVIKIDESMLRENVVDKENKDPFGNGLGARWSYNVASFGLYPDQPENSAIRAIRSGEKKRILKAKKPYNIPAPVLVNPDISSQEVTHILDTPVSGVINTKEVMGDIKTWMKKAGINQTKFAEKVLEKTQGHFSVISRNPTPWEELLAPGKSVFVRMYNWMKLSEEEKNKILSAEKVSMKKDLQEKEKKTRFTFPKEQMEVLMGIYEVNDRPGRELIEDLAEKFSLSSNQIKDFFLNRRRRAKKYNL >CRE16986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:317134:318513:-1 gene:WBGene00078260 transcript:CRE16986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16986 MSNMHPRNVNDQPGQSGNNGPDPSQNPMPQSPSLWNPNSWNPWGVQQSGDQWVPPNVQQNVTPGYPIPPQNRPNPQFADVVGGPMISHAESGPMGHPHGQDPNYYQDIQQRNHYPPLQRLFSGEFNPLVDRNQPLGDLNPRGAFIPTQHSMYQNPWNAQQNPPMQYNYSNEPQRFLANPDPMQPIYDRNYYGLPAGIQAQNEISLGGAEFSQHPTSSRSQLDPGTVYSFIESHIKSAEFKREKALEIVKKLDKPLEEGEAIDRNELAAQFKKWQDNSKKRRKFRDTLGIDRGYLLATAISQYDYEDQRMACVRLHNWLKENEREAMLVYHEEVREAWVNEKKLIMSGEAEKRAFGKEEKRQ >CRE16978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:290294:291605:-1 gene:WBGene00078261 transcript:CRE16978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16978 MNITALLKNEEIHTSLSIVILFYIILCFTCVLLFFLLFPFYVYVNKVNRERDKTAIVFPITNHFYVIIKVIYILFFLSISWTVLMVIFANRNSPLCAIFFALILLTHLIIFIIVQTCTLLTSLLAIQRSAIYFFPSAEKTILAVQKKLHTNIWYLWVSVGFKDLFGIALLLYCLQSDKIETSCSSYQSFYLLTFAVFNFLLVFSAFLYIPIMIQVQKLSYLPSVQQSKPHKYILWQTVTILVLKSFFLPFIIVNQYFNPVSPIGVLFYFVITDTFILPLIIQVSYLGCNRRNLTTLLQSFHLETFVAVLLNMKMESTVTPQESLTFASGDSTRQ >CRE16972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:271577:272091:-1 gene:WBGene00078262 transcript:CRE16972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16972 MFIILFQLYVIVQVLHILISLLAIQRVLIYFFQLIEKHLVAVQNKILDNIRYLYIAFLGFDILGVFYGFKCVPCGFTPFVGMCFFCNILLLSSSFLYIPIMTSIRKYSYMPTAQKYRPELYIFLQTCFIVASKLVCLIKQTFLTRKKLQVYTPILI >CRE16940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:238458:240595:1 gene:WBGene00078263 transcript:CRE16940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16940 MSTFLFFLLLTDMTSTSDAITQKMVVVWGKPESLSWCVAKPFSSWKTCLGICLATESCVMAVLDNTETCYTCEFNESIPNVQQTMKELEVKVAFKVKVDESLVDKCPAGENPPTFDGVMAEGDASTTTFMGKIQNYTIAYTGTGWKFSVFSMERCTYGFAFHRRPSIDSCIAICTEYYNITYSQTSDVCQEYGSELSGVASSREVDGIVLQLKFVRENLNVTSLYYFANAQRTTECQVTPTTEKCMSIEGFNTTDKGVQNLDGYQFMTNASAGATTGKQCLVMMGDVVNDGKFDFVE >CRE16975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:281461:282643:-1 gene:WBGene00078264 transcript:CRE16975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16975 MNFTQFSTNSSFDIFFDNSVTMVSTAIEFGFQLLLLISYPFYIYVHKINYTRDQMTPLFPTTNHFYEVMRAVYGLFLCAYASYGLSFLLTGDNFVLIWLLWVILIVSVLLLYVIAEVCHLLVAFLAIQKLLIYFFPSIEKYSIFTQNSIYNNIRKVYLFFLMKDIVASLWYVSCTFHDYNKSVKWRINMIFGGAFIILNTVLIISSLLYVPIMLNGAIPSTMHLFLTDTPTWMVFLNTIFCDIFLVPLIIQISYLGCNKRNVNTFMSSFTLTKFIHVLLDIKRDSEVHPSIHFTDSSSPAFV >CRE16941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:241595:243728:1 gene:WBGene00078265 transcript:CRE16941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16941 MAVLDNTETCYTCGFSDGMSSVQQTKREDKVKVAFKVKVDESLENKCPVGENPPTFDGVMAEGDASTTTSDGMIQNFTISYIGTGWKFSVFSMKRCSNEFSFYRRPNIDWCIAVGYYNGINTSYTGSSDYCQKNNFKFSGVASAAEVERLVYQLNDLRKRLNVPILNAFVDAQRTMECQATPTTKKCMSIEEFTTTDKGVQNLDGYQFMTDASAGATTGKQCMVMLGDVVNDGKIDFVGCESNFPFPIWGMLCGHKAFS >CRE16943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:252884:253793:1 gene:WBGene00078266 transcript:CRE16943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16943 MIIFFVFAFLLLPTIQCSSDDHSPLAKNFTRNLYAAIINDARHEYSIGLQIANMQEIRYDIELEQEAKTFLKCEDIKHTSNYRVFFLEKRLQTQFLNNPDARLIMMNKTKLLENEDFKKNAEFLHPLQAGVGCVDLLSKCPFPGKQLLNEAGVVCLFGPKNTDPISEFKYGKPRSQCSNGKADSGLCRRPFTYN >CRE16936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:216399:218827:1 gene:WBGene00078267 transcript:CRE16936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16936 MATHIGVTSQQAADGCPTFNNTIWTGATTVKEFELVKSSLISKRKNFNLSDLVGFTFNDPSAATLSAYTWDTSPDARATSTSDCIVLIMNGNNPNVIVADVQSCNADTTLPA >CRE16960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:152560:158785:-1 gene:WBGene00078268 transcript:CRE16960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16960 MVRPPDQHDAEPTFTTLTKVKTVVTDRKLQAVQEARRCIEREMIFRNAGKRIRGTPYGSKDFPIYFAFQNENLEDYEIVSIGDPKSGEWSPLGANGNHEPNGNNLCHPLTNTPTSSSSPLSTSSFFISAQQANEMLSKPIPNEIEANTKKISLEMKIWFSLEICSQSYFAVKILNVDRGRLHSLMRDARDFNTLKSGKDLYTRMYNWLKLSSGERDELLKMDLFGNHQKVVTPVSGSVVTVDENVVSAAVVEVPDTHNEVDLYEDEYILPNDPPFLPKPDYIPIPSYSRSPPYSSPSPPLPPSINRISADTAYRLLNNPIDYVDTYKIAAEILDWLKTAPVSRDWFAGKILNRTKRTLSDIIKHPRDWKDLNHRTEYFIKMYNWLNMSEEQRLQIMHCYGARPSKSQYSFTFINR >CRE16982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:300510:301892:-1 gene:WBGene00078269 transcript:CRE16982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16982 MSTMNPPKNDETNSSKSVSSGDGDPDDQSIPSTSSQPQIPSISHQMTVSVPSTSGDSNLISETTFRSQESSRENRELILPFQPGFQPKFNFPEDISDMDSNVKEDPQRSENNGFSHQNPDRTPSTCNEWNQKTNTRNLIDAFREVERLDPSIVKSEFILSSPSDFQRPSTSETESFLQDSSNLYSSDYDPIFHILTPVNFSSDPLHYQEVLSRRSLTECDDEIMSEFSIEIFKPIASSGALQSPDTSLHHPETHFSDDDPDFAATKNAVERIDNFDVENPPHPIEIVEKYIKWKNQRCPGDANIKTIFGKSSRTISDYLVTPQPWDMCGKEKIYYLRMHNWFQIPEDEQEQIMQLKLNEYREKYCTEPEINYERPRLPDDKFREDEEKIR >CRE16953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:109098:109370:-1 gene:WBGene00078270 transcript:CRE16953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16953 MHSIRHRRLKSQLLLLYKFIAGASHFPFLNTIVRLSDSPRRPMALIYLSPLSDNFFSFTIPYWNAITYNVNTFLSPSQFAILLDSSITRF >CRE16945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:306279:307770:1 gene:WBGene00078271 transcript:CRE16945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16945 MSNTNPTYRPQKSKNGVASASSGDEDPYFQLIYSPTTSSRKQNPSTSDEELLLPLPVFVPSTSEDIPFPWYLMKHHGNTYSKLVQSGVDDTFPEDLLDDSPWSREMVRQGRDSKENQNEKGNVLNNSAGISEIDLSKLSVRDSKEKGKRKPLGEVHRKQVVDKKKPNKKKDQVLTESTSELKFPIPPMSAPGARLILTDDSFLSLPPSRHLIKQIEFWRYGDDLLSQHESLMDTKILGQPKGTYKNLVDNPFELLKRRDLYKKLYNWMITPESVKQEIIGLDLYGENHKPKFRVSIGKCNDWNYFEQQTILTEIFSQCPNPSEAVIEKISRHVQLPVKSVEAFLDNYRKNLKKDDDKM >CRE16933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:169109:169315:1 gene:WBGene00078272 transcript:CRE16933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16933 MLNWILSIFILTISWSITNAEELTENGRVAAWIIILGVCGGIAVSASIAGGVFMMRRRQQQNEIIVVR >CRE16944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:304342:305575:1 gene:WBGene00078273 transcript:CRE16944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16944 MYFNDPGNTYQKHLQSGVDSTFPEDFLDDSPWPRGRDSENHYQNENVRDGCEIDRINFNVFSIGGSPKRKRKPLEEVNRQGTLNKRNFLQKTHIPREKPPIQPILPQNTSKLKSTPIKIAHPTPPKSPFPKMSIQMAMMILTADVPEEWMLPDANRLLFENSDLYLKLYNFLKTPENMKQEIISLDVYGDEDNVIFNGEVIKKRKNWYSFEQQFVLTDIFHERQNPTEKFLENVSEQIHLPVECVKDFFHNSRRNLKEFYD >CRE16987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:319071:321682:-1 gene:WBGene00078274 transcript:CRE16987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16987 MDDMYPENLDNQPGEMGQNPPHFFPNPPPQHHQEPPFMNPNQPHNATGGLQPSEYERMNINHLAFARDYVMLGIQQNPVLPYHNPHQFIPLAQVPDDQSMRPEVGIHNPNYNQNIQERQPNFPAPEEDIFSDADKEMLEALQRDYPDEHQVEPTIDAYENIYNDQRDVPAERDAQSEEPLGNSEYDEEVLAGPSSTSQHSTSPPESSRQNQALDYVEQLNKMHKTLNTKKIARKFIQWDGKYPDNRIKLAMTIHTDLDYFNAQIKTPVDYDEMNNEQKVMYSRLNNLLRFSNEVIVTILELYEEMVEAWTQKTKKKSGKKYAQNEDASNDSGLDSGNSARPFDRTQSVSREEALKVSKQLDEPTESVDTRDVAKRVKHLISLYVVDKTPIATMIGVDLRDFKKHFIDIEDPKKYSEMKGAQKNIFRRLHNWLDCDDAERRNIMNMREELRNARGKDTRKWENRMKRKSQVQQNPGTDDNKSISLVKVSQTYLLPTAEPKTERILEIVKKLSKSLEDEESIDKNHLTEQFRRWTKQWHYSKLRDILGIGENYQFGAAITKCADKYQQQVCLRFHNWLKEEERMEMLDYHKEVRKVWETKGELIMIKKERGMEEQEPLAKKRKRNDTP >CRE16962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:172298:172682:-1 gene:WBGene00078275 transcript:CRE16962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16962 MSGAKDPRDPGPRRRNPPGDTPHGINGDGPSALPHYYGDTLPLPSAWHLGSTPRRPYGLPGGEPGVYVPSGVREHLPIDHQPATAVATAMISQWKDNDKTIVLCFQITFK >CRE16983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:302177:303360:-1 gene:WBGene00078276 transcript:CRE16983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16983 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3N2E6] MNTANGVKTAMDIEEEATIKELVGKEGSLEEIVEQAETGLLDGFLDYFLEDGSASEDPLCHLLEQICEKDAVEKENKENMDSIGKDGNLEEIIEQAETGLLDGFLDYFLDEESTGSQEDPLSHMLDQICTEDAVEKEIDENEDAFGNVFYGQQPSNNVSFGRYPNQQANSSFGSIRKTEKKRMVSKPYEIATPVHVAVNPDVTDRELKNVLDAPVSDAIDTKQTVGNVKNWLKLNRINQTKFAEMVLEKTQGHFSVISRNPAPWEELLAPGRAVFARMHNWMKLSDQEKNKILNTQKVSMKKDLQEKKKKARFTFSKEQMEVLMGIYEVNDRPGKELIEELAEKFSLSPNQIKDFFLNRRRRAKKSNL >CRE16991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:335149:340529:-1 gene:WBGene00078277 transcript:CRE16991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16991 MSDNDPPVEPNRNRKRKSDSSSDAPSSSSRPPQPVPISSGSSTQSLSPITVEDVIGKLRTPLGDGNLDEDKIAAKILKFTEKYGGKKCGDGKLKMKKPIMETIREEESHDSSNTPSSSSRPPRPVPISSGFSAQSTSSITVENVIRTLSKPLEGRNFNVDKIAEKVLKFTEEYGEKVAASIGKNWSTVRTEMEYRIHPFTHANRRNEMSEKEQIPYLRLSNWFEYYEESKEKEAVLDLHKKLYERWEVLALLDSNPPTEPNRNRKRKSDSSSDSPSSSSRPPQPVPISSGFSAPSSLSITVKDIILTLCTRLEGRNFNVDKIAEKVLTFTKEYGLKVAASIGQNWDIVREEMNLRLAVQYKDMEEEKQIMYLRLFNWLAYYEDSDEKEEVLNLHLELNERWLEIKYEKKWKDNLTIYGVWKKLRKPLGIRTIDVEDVIARVNRFTETYRKRMKRIAPSIGKSYATFTHQMAHPSGKRYEEMTPGLQELYVRLLNWLELYKEDWQRVSVLQMHKELWREWSEKEEEKQKERTKWIVKSSLNNFHDVHRFDLEEMSDSAPPTEPNRNRKRKSDSSSDTPSSSSRPPQPVPISSSSSTQSSSSVTVENVIETLRRPVEDGNLDEDKIAEKVLAFTDEYGEKVAASIGRIWVHICTEMKFRDEGAQYKDMKAEEQKIYLRLFNWFEYYEDSQVKEEVLDLHEELEKRWQDVDGRYYKTRGDIRREMEEESYDSSNTPSSSSSPPQPALIFYPYWKHGIPMETQRDNNRQLFDKTLQMQTESARKLEDQLHSVINAPISKKSIKIVHNHDIGITHYESLHYISPSLRNFNIVERHQGEDHQLKANQNMAAANTLPVQFKSLFPSDIQGIPPIGPSPPGCHPFPGLYHDQRNPVTDEIWNNYFLYLQDCLPQILAPPTNMAPARSYSVSNFLTNYGYSIASQYSSDGGFSQNVLGGHESGLRPDMRQYLQSQYNYSGGPQSSSAGRYTQNAPGSHGQARGFGTSDSATGSHRTQRRSNTHQGDTSSAQSEPLNVDDIFEKLNRPLGNEGINTENVIKEIKEFIKNNKAVRITISQSVGKSWDTFRNQIDEKKRRIDTYHELPNPNLKELYLRLFNWLKYYEDDEKRKAVLTFHKELNARWSQFEKTNK >CRE16956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:132749:133098:-1 gene:WBGene00078278 transcript:CRE16956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16956 MISFKKVGDQQPSDYTLTELTTVQYPFFTHGIHPMRQESITCSERKMLIEEKKFETRRQMKYESGQHPNSKTLLNVNASSNTYETETNRFQRIVDYESKK >CRE16979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:292495:294006:-1 gene:WBGene00078279 transcript:CRE16979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16979 MVKKSYFLFLYLVVSFILFLALGLNDSQYCALALLTSCLTCFALYIIVQVFHLLISLLAVQRLVIYFVPSMEKQTIWVQNKLYGNIWYIYIAFGLKEIIGIVCLCVCAFADCNPSKQTAFRIFYMVGVFYFYDISDNSKKLSSLEHLLKFGLNATIPSTFFFLNAFLVTSSLLYIPITLSIWKLTSLTMNQDKPQKYIFFQTVVILIFKSACVPAVLAFILLDCSINYSIGFVASMDIIIIPLIIQMSYLGCNKRNINTLFHSFNLTRFVRVLLDFEVETTVEPQITLTNFSSTRHSTRQ >CRE16969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig105:254040:254592:-1 gene:WBGene00078280 transcript:CRE16969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16969 MKHYLCSTAHLQSSIPILILTGTLIFFAMHFTILNPIHVQYLPSILFTTTTIISPISYFIFLPPQWNFCFGVPKRSKGQKESSMTATNNGIRTVSCAISVF >CRE03545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1050:5905:6258:1 gene:WBGene00078281 transcript:CRE03545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03545 MVSCLLVQRISFLHFQMFRYFMLFALVSAASAVTRAICENYCSSVNGRASYDNCSPWISFATQQNQTCYNECVYKCAVVYKGSCMTGNKYRCCLETFPAKKQPFKISGCNKLYNNLI >CRE03547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1050:8374:8784:-1 gene:WBGene00078282 transcript:CRE03547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03547 MMFRYFMLFALVSAASAVTRAICENYCSSVNGRASYDNCSPWISFATQQNQTCYNECVYKCAVVYKGSCMTGNKYRCCLETFPAKKQPFKISGCNKLYNNLI >CRE07927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1055:4722:6194:-1 gene:WBGene00078283 transcript:CRE07927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07927 MVQTSFGRDSESAYGSEVSSNATFKLQKDRFQIEESTAIKEIWYEWIRNRLRHYMILELFFSICLVLILWKQYHISSQNDKTLELISSIQSEFRNFKLDIESNRAPKPADPMNLDGGNKKFEELVEEVMKDINNPSIEINQKSKEYPKQVIPNEVNSSPNNSVFQMNAASLILGATVDSSRSSNSDNNPLFGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGIVPDGAPSRYDVLACLDYYCDNLEPLVSNCEYKATSDNKQEQFCSIPFNKNHYSIGKIQFHFRQNHGNVMKTCAHTIRVYGETKEVPKVKEMTLKQAETCSELTYDYHHKSWTYNIVCFLNIKPYNNLNYFQLDFKNCTVLYSNDCCTECPECCDECVIKDINADKVAYSILFIIISSPLIFIIGILIILIIAKLFCKSK >CRE12417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1056:18356:18727:1 gene:WBGene00078284 transcript:CRE12417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12417 MRIEAHHDPRSDCLRIQIGDKIFNTKREYVMRKEGKLKTIIETNSDTGRDDMSLVIPEGKPNYFQLILNYHRNGFVDLPQFVDLPHYKWKCRNSNLQSFDFTMVAHFIGFLPKTSIQSFRFAI >CRE12418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1056:12822:13103:-1 gene:WBGene00078285 transcript:CRE12418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12418 MTFKEKGQKVTVKFESSSSIKFRESSSAKVTKTMLTIEGAGCEKLKTTHYHWIDWPDRGVPTADNAILELLEKARVSK >CRE12416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1056:15528:18179:1 gene:WBGene00078286 transcript:CRE12416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12416 MRTSRNFQGESKKRLDAEHIDIKRVQTPQLRRSKRGNDLKKRTSRGNVATTEHKLPDDGLEISVSLIQNS >CRE16251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:116725:117231:1 gene:WBGene00078287 transcript:CRE16251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16251 MVIRQSYSNPELEEESYSNPLEEESYSNPLEEESYSNPELEEESYSNPLEEESYSNPELEEESYSNPLEEESYSNPLEEESYSNPELEEESYSNPELEEESYSNPELEEESYSNPELEEESYSNPELEEESYSNPELEEESYSNPELEEEPRTRWGAQEAPKIPRRSP >CRE16280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:101852:102442:-1 gene:WBGene00078288 transcript:CRE16280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16280 MNFMGMDLQCCIPTESEEFIICCAELEVEPVLRTIHNFFLSRIGSNIKYELNSYSPVPEYLCLIEWRGSNTEKVPVLAETQVLYYWTGGKGDTLLSNFKGRELYVYKGELNDSTVIQFLNDSKSNHGYLNLRVVNMIVNESCELHPP >CRE16282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:111911:112398:-1 gene:WBGene00078289 transcript:CRE16282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16282 MGRDKPMKHKYKTGKEASRAPKPKTSISPTTVIPSNPMPSRVHRTIHVDAWRTVSESAFDDVTTSEVSSPPSTETLSLSICKDLTLVIRLLIYRNPRY >CRE16257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:198021:199059:1 gene:WBGene00078290 transcript:CRE16257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16257 MMVSAGNVCGHLDVWIYRWTHFYFFFEFVNEFINESVDQSEQSRILIWISGIKYNLMKPKARKVQISLTELHGIPTSDFRLPGIFSFRLPTSVFGCLLPTSVIP >CRE16284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:114336:114947:-1 gene:WBGene00078291 transcript:CRE16284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16284 MIVERYTPKMKEFKNMNRTEKRRIQATERRQTQRTDSRKTKRTEKRIREIKTLPPSPDYLKYFSDVRQEIIDTLISSVKPQRYGPFMCAGYTKSEPSAVEKLRTDPAIRNRQRLLERDQKALRMNYLQ >CRE16249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:98654:100226:1 gene:WBGene00078292 transcript:CRE16249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16249 MSTSRQQHRRNNVLHVYQLIRTLLARKPGTVVSQLDLKDVSQAEHNFRSPDPIYKLIALNNSRSNWSQTGSIDSRKDNAAKINTSSCGIHRMGAPTSERIGCQASPSSNCIRRQSKNSNIDTLFQDEVHGFDNHQINRRTTTIGYGQTQNPGTREVEVNQRILLEDWGLPAEDLNEEEKKQAEGFYQPRQNYNHQRQSGTSYQPLQVKKSWTKVIEKLIDNGQKTKTHEQPTSPKKGNKKQAEKLPAAPQLQGKEDRSRSHLPRKAKICTSNNETQDTQPIGDNQSISPKVKMFGSSAPGVSRPSYGRPQPKNVSGRLSRYRCHQITTCNQPQSQCKLNQLTLTIPAGKGLTIDGTNEEERSRRKELPATRRNYNLNYRQRQCNDAPANTSCHVIIILAGALILVSLTNTISFL >CRE16286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:216957:217208:-1 gene:WBGene00078293 transcript:CRE16286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16286 MTTLSELTDPFIDEFVNKFREEIKLKPSMSRSPSASREKSEKSEEPSVIPEKISISKLPWTIETVFY >CRE16279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:90196:90501:-1 gene:WBGene00078294 transcript:CRE16279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16279 MSQCNFKTFDPMENLPIYHYKQRNKIHPIVFHSLQFSSQYYIVRESDGYVSSFKVQSNSIFFTAWNMNEKDFLEQHANNMFQ >CRE16292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:315563:316723:-1 gene:WBGene00078295 transcript:CRE16292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16292 MNLTTEQPPLFYVPIYLHPEFQSVSCNFDFVTVIVVATFICFIPTINSTVRMVLYRHPQKSLTDIHPYVYKSFICMQISEVASTVTDFGVVRIPLTTILTSYYSTSAPDSPLRLFVAAYFLLVYLSQLLIVLFCLMRLLTYRLIFWIWLITSVIFCAVIYIIHFSHGVVCLSLPSPFQYGAILVTSKLSYENRVQTAFDGIFSLVVTILVVVMTSLMLLKLKSLKQLSNTSSRNTNAERTLTITMLIILIPTVFSQLITIMCLVAIQYSAYFLLFRPIFLDCRVTIVSCYFYWTHPYFKKKTTVTDSLTMKPTIMT >CRE16242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:39241:40248:1 gene:WBGene00078296 transcript:CRE16242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16242 MANKNKKKTEQKKEKSEQWSPVTMKTIKWSITRELTRTRTLVSLANRALALERNTNNITVLDGYLAQLRNQLVLIEDLPENAIDLLKTNNKLCTQNVFEANRAQISDHLADRGHEGLVNQMITLIGDVTTTVNNFRSGRVSAIPEAPPPPPSDVLAPGNAHTTDGIAPRRAQPEQHIPERSVQQTINQSDQLDPWFESNSGRHLPSSTKACESTHSSDFSAHPRSNILNRGSSEASQDIAEFADDLAVRIGTIEHTQTLLLDSSATANRAVKNLQGNMQAIQESMQKMQEMMYQVVNRQPTWQTAPDKKQESEPATTSNHRPVYRKLPSFVKALQ >CRE16259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:210415:210561:1 gene:WBGene00078297 transcript:CRE16259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16259 MRDKPNRDEPNRTETECETNRDEPGPNSRGTRMNSRYSRDRVSCEATL >CRE16248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:95937:96863:1 gene:WBGene00078298 transcript:CRE16248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16248 MTQHRPVTASVMVVGSFAPEDQANEALERLRIESRLDHMPDHLEQYPRQQTVQNAKKKHNFKNRRSVKMETVRLPAQGHPLDTSRRLPPPSCFGNKLTIPAIVDDYLNLRREAGKEAIERILLKLEQDCGRKIGLEDQEDNQRVFGQPETSMSTTIDTIDLMSKCNQQNCSKQDGTSRKDHRRLQSTDRSIISQLSTTSLHVCARRCFKYDKRSYRRDKHIGAMEQKKEKKLSITTTTTPFRRLELPAAAQSSSISFGTMHPVDFNKSIAATTRISSSGYKPSSQFQFIYWRMLTIREISPREINDCL >CRE16275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig106:69848:71740:-1 gene:WBGene00078299 transcript:CRE16275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16275 MEDKGNKLLEEIYKSLYDSSIGPYLYDASLSKLSQITKQSEIEIRRWFRRTRWEQRNAKGSVPGKVASGTEPDDFVTIVINQEIDKVIKSETEADQNYNKVALEHIYKQLSDTPNGPYLYDADLELLGQRIGLSNHQIHDWFSAKRNIEKKQGVPVPEEVPGATSGSFVSKIIEEAIALMDSEPCDASTSTTQVMLSEKEADEFDPIKEIKEENDAPEESFESNPPAKSTEDVATPKDVPLPETSSGPVNATEPIIEDVAAHSAPESSNEAIPTPAPPLPPKLFCTLIRRYVQLDRTPPCLMEKSTNVLCESCQIIFDRRQQLYRELEQILMRPSGKKRTHHDGVAGPSSKVMPSE >CRE13197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1060:1548:5061:-1 gene:WBGene00078300 transcript:CRE13197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13197 MGRDHKEHKRHRSRSKERKRSRSRSPRDRRDRDRDSRRDRERQRDYDRRGDDKMRDREKQRDADNKQRQQETKKESKSAVFEMEESRNDIDDILGMKDVDLEKEMERRRRNVELWRAKKKKDELDQASEASDDKNRKKKAWNLDDEDDEDEFDVLSQEKSEKSTENGQNIEKIDKKPVKMEEEDEEEDPLDAFMAEISKKNAKKSGNSGQKSSGVVTIIQEEKPEKEKGQLLENEDNMDMVIDDFDIETAAASLCHKGRMLAATDHSKVYYRKFKKNFYIETEEIKRMSKAEVKAYRDELDSITVKGIDVPKPIKTWAQCGVNLKMMNVLKKYEYTKPTSIQAQAIPSIMSGRDVIGIAKTGSGKTLAFLLPMFRHILDQPELEEGDGPIAVILAPTRELAMQTYKEANKFAKVLGLRVACTYGGVGISEQIADLKRGAEIVVCTPGRMIDVLAANSGKVTNLRRVTYLVLDEADRMFDKGFEPQVRSLLTVSYGFREKVEEKKVFSRKIKKSG >CRE15083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1063:3244:3636:1 gene:WBGene00078303 transcript:CRE15083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15083 MEHKIAKAGAHPMVASARFVFGVVDKPFVCVQADYHNELDSSGRRCKVSIALFTLLNSNLVFQTIVIEQFVNPIVPIDRRVTFGEPLIETIHHFEIDDDLPFHNLKFKIFDLNQFMEQIDPISYKNVTLR >CRE15082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1063:2135:2732:1 gene:WBGene00078304 transcript:CRE15082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15082 MESFLQDDEEEKISQLSELELDELITTKFVETREDDKGKIEVARAVGSPMELDIYPGEDILLEDYACLSRPIGEINLDNVVMLLNRIQNSSEADLNKVKLWDVFERSKKSKNTTRLTEVALIDYIISSDHRPSFLHIFCRRLIESDIPNTLQKALVESKPPTKYRSLFRVTVKTEMPLKQFIG >CRE16533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1065:735:3410:-1 gene:WBGene00078305 transcript:CRE16533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16533 MACIQRDMVFEVHPLVGETEEMEVTCDSPPAKEPTTEPKVTPSLPAMKIASPEVTKKQTSKKKGKYGKKKQETKKAQPPKGEPTKKAQPKGEPAKLIEQVRTWFDRQMKSYQEQGSNIQTLTWIADSLTAAIFKANNGNKYLVDKITARCPPPLLNEGEMATQTSRRTEAVKPKDRFVKESNEPLRFQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTEEALNTVCSGIKKAEVDPSIEGPISSGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLAFLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISDCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCSWLDLTNAFGSVPHELIRRSLAAFGYPESVINIISDMYNGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQTRKTGYNCVGNDVRCLAFADDLAILTNNQDEMQNVLNQLDKDCRSVALIFKPKKCASLTIKKGSVDQYAKIKIQGMPIRTMSDGDTYKYLGVQTGNGGRASESESLTQIAAELQMVHDTDLAPNQKLDVLKAFILPRLQHMYRNATPKLTELKEFENTVMKSVKMYHNIPIKGSPLEYVQIPVKNGGLGVLSPRFTCLISFLASTLFKLWSDDEYISSIHKKALSRITAKVMGLKTQKATLQEQCEYLNTKKAITKGGYSLFSRMNEAIRTLSVNLGAPLKSMQFIPENGEIALEVQASENSQIKVFSKADSMKLVTKLKDLVKSAMLKNFLENKKVKSKVVQVLQHHPQSNKF >CRE16534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1065:5688:6743:-1 gene:WBGene00078306 transcript:CRE16534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16534 NVSPCILKNYGFTTSTFYVKFSEDFRLPNLPRCIKIKIVEHEGKLAILVQAIEDGPEAVYTESHVKKLQLLLKKEANTGILHRFITQKPVKSQVVQVVLQHPQSNSFVRNGGQMSFSAHKIVHKARLNMLVCNANTWDATSTKQCMRCVKEKETQMHILQVCTYNKSGLITERHNAVHNKVSELIKKGSKRNWKLVDDSVIAGPSVKRPDIMLRSPDGKEIILADVTCPYEYLEGIQRAWDYKVEKYTKAYKYLEARGIKVTVLPIVVGSLGTWWKPTTNSLLQLGIDRKTINEWIPKLCAATAEYSKNIYWRHIKGDRYQSIPMKFGLDKPPGNSWKRGKRRKPPKPAKN >CRE15818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1066:1700:5941:1 gene:WBGene00078307 transcript:CRE15818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15818 MTNEYFKPVNDNQTNKTGDNSRNTMSNSQCEMTWNPVARTYAQAASTNPADDKTVTVLGCKYNLLKLGNTPQTSKRSPPKPSRGGARISSVYTLTDELEITHREEGKITFAIDLPNKNNILCPLCRECTQTRGRGSSFTKHMKLHVKEKHQLDATFIYKCSMCNEYEPEKKCGTKWIQTHLQKVHNYKYDESAIVVPVPHNTRQQIANELNNAAPFVDIRKTKAAAVEEKKTENGALLKFLTTSKKDNQVKSPSEDIPDTESPEKETQALTIDPKGNNSPSKSSIKSSQSSASSICQEIQEIITLSEDEDPKGARPKPGINVWSLINETGKDAYIDTDIMMAFLKMRVENCDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKNRVVFPICADEHWTLLTISNGIAAFYDPTGSRMSSYIEELVNELGLIIPKEQDEQPRQRDSYNCGVFVMKMAEAFIQDTEWEMEEVEKDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKSFAQSRPTSRSSQCAVCPTCSRSATPMMDVGNMEVDPVPQQQETPKSREPEQDKGWKVVGNARKKGVVTERSPNISPEAKRQFTGPEIKVVSPGKFHPLAGETEEMEVTCDSPPTKEPTVTPSLPAMKIASPEVTKKQTSKKKGKYGKKKQQTKKAQPPKGEPTKKAQPKGEPAKLIEQVRTWFDKQMKSYQEQGSNIQTLTWIADSLTAAIFKANNGNKYLVDKITARCPPPLLNEGEMATQTSRRTEAVKPKDRFAKESNEPLRIQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTAEALNTVCSGIKKAEVDPSIEGPISSGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLALLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISECQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCSWLDLTNAFGSVPHELIRRSLAAFGYPESVINIISDMYNGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQTRKTGYNCVGNKVRCLAFADDLAILTNSQDEMQDVINQLDKDCRSVALIFKPKKCASLTIKKGSVDQYARIKIQGTPIRTMSDGDTYKYLGVQTGNGGRASESESLTQIAAELQMVHDTDLAPNQKLDVLKAFILPRLQHMYRNATPKLTELKEFENTVMKSVKMYHNIPIKGSPLEYVQIPVKNGGLGVMSPRFTCLITFLASTLFKLWSDDEYISSIHKKALSRITAKVMGLKTQKATLQEQCEYLNTKKAITKGGYSLFSRMNEAIRTLSANLGAPLKSMRFIPENDEIALEVQASENSQIKVFSKTDSMKLVTKLKDLVKSAMLKNFLENKKVKSKVVQVLQHHPQSNKF >CRE15187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1067:3378:3588:1 gene:WBGene00078308 transcript:CRE15187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15187 MILFFRFHLLIAGRPRNLNQKNQRASSIVSVPMKTSSITSSEEKLESTKRNY >CRE15188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1067:5317:5826:1 gene:WBGene00078309 transcript:CRE15188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15188 MHLCQERCNDDRQLKEMRHIENKTELLKTVLHSRKAFLIFFYEPENVVRVENFFNEGVFPATIAHLKKFIAEFESKFDFYYTAGGSEEGWSCVHYKDYNSTFIAAYSWSRDFLDDIWMILKDKEL >CRE15588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:30582:31519:1 gene:WBGene00078310 transcript:CRE15588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15588 MFFYMLLSPYLCVFGLYDVYYYNYLKLDNLGCSELQKSLVKWMINNFFVMLYFLNQFQPRHVFTHRNMLRQGSLGVALTSVWIPVVKDSAHLMIPLMLIHAFCLVIGPFHIIFHFFRGGFRQMNHVAHFMMSNLFAQWFGNRIFDRLAQKPSDPKTSLEFVYTECSMAMILFVATMLADYIDLLIEEARLEEEMERN >CRE15621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:249132:249976:-1 gene:WBGene00078311 transcript:CRE15621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15621 MQGAHMAIKIPLSPVSCKYTTEQLLDDKYYLPYNRILASVKRGFFRMRTTPKYYKFSAYAGICRHLKQSCSKLCQISCSRGNAPF >CRE15635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:292381:294363:-1 gene:WBGene00078312 transcript:CRE15635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15635 MSRRPPRKFSLLCLPRLALIEVVKCWNPIEIYLFSRVSRKTKKISKLVKIPYLKLWLNVSNCYYVNVGDPYCGLRSHWFFQLYPGLRNYERYRTERYLDIYSKNAGKSIGKLIDHCQEIFDCEFGGMSIIIDPVMKSSNLNVFMNWINKHEKLTKIPYLIAYSEKESDEFYLNWFMNNFKKDIGVLYLGRSHYVCKVNGKVDELSLYSREKLDLDHLIRMDCIYMRGGETSLTNRDLNVFMKNWKEMKANERIGFYLIRVKENLDWSIILDGLDGEIRDVRTVRREYTSPWDEEQVYKVNGGVDITRTDGKVATIGMTFHMIFQDEPLSREMIRDYQRLIQNMDEQEMDMNFVHDPEYKLTAELRKSFFVMVK >CRE15624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:266164:267722:-1 gene:WBGene00078313 transcript:CRE15624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15624 MKLYLKTLTNLSSPISPEASEDIVAFIDHYAKTVCHAWFPIVMIGLYALKLVKKQYFQFLTILKIKNNYKQEIPFSADICITNFYYIPFIWILTPYISYSGPVQSWLRRKTKKTEDSDFQMAHISIDY >CRE15634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:290435:292118:-1 gene:WBGene00078314 transcript:CRE15634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15634 MSRRPSRKFPLFRLPRLALIEVVKCWNPIEIYLFSRVSRKTKEISKLVKIPYLELYLRVFECYQVNLGASCSSSKHWFFRLYPGLRNYERYRTERNLDIYSKNAGKSIGKLIDHCLEIFKCEFGGMRVSIDPIMKSSNLNVFMNWINQHEKLTKIPYLYVGSEEDGDEFYLNWFMQNLKKDIGILDLGRCHYVWKVNRKVDNLTLYSREKLIDLDHLIRMDCVYMDVGGSLTNRDLNVFMKNWKEMKANERMGFYLIDAKDNLDWSIILEGLDGEIRDVRTVRREYTSPWEQVYKVNGGVDITRTDGKVATIGMTFHVIFGNEPLSREMIRDYQRFVLGQNMDGQEMDMNFVHDPEYKLTAELRKAFFVMVK >CRE15593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:36556:36962:1 gene:WBGene00078315 transcript:CRE15593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15593 MTVHLFLSLYLFYLGFCDIRKNYFDKLDDLGCSELQKSLVKWMINNFFVLLYFLNEFRRPWILNDGNLLKIGSIGIALATAWIPVVKNKNLFLNEKSEKN >CRE15589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:31783:33790:1 gene:WBGene00078316 transcript:CRE15589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15589 MILYMLFAPYLCYFGLYDIYYNNFDKLDNLGCSELQKSLVKWMINNFFVMLFFLNQFQPRHVFANRNMLRQGSLGVALTTVWIPVVKDSAHFLIPLMLIHAFCLVIGPLHTHYQFIRRILNRNQMYISLIQAYILFFNMYGRGIALHFLEKPFPVEIDCLDYYTAYLMYPRTPFEFVKIESYLAVILLVVNLPLQKMLFYMFFAPYLCYFGLYDIYYNNFDKLDNLGCSELQKSLVKWMINNFFVMLFFLHKFQPRHVFTNRNMLRQGSIGVALTTVWIPVVKDSAHLLIPLMLIHAFCLVIGPLHAYFQFLRRCLIRNNYYTIVFTFSSLTFNSYGKGIALHFLEKPFPENLDCVDYYFTYSMYPRTPFEFVKIESYLAVILLAITMVLPDFLDRI >CRE15590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:34055:34610:1 gene:WBGene00078317 transcript:CRE15590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15590 MSVFLGYLGFIDFYHNTNTKLDDLKCSELQKIVFYSAIKTILFSLYYINQNRVPWMVTNETMLTYGMCGYGLITVWLPMLKAYQFVSLFLMCLQTLFIVIGTLHTIKMALLYRNIPPVFLVWDWKLGLAHFIPLYMSRIYEFFKPETKETPLFYLCHHCCYCLFQMC >CRE15591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:34876:35519:1 gene:WBGene00078318 transcript:CRE15591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15591 MLFVPYLFYFGLYDIYYNTDTKLNDLESSEAQKWLVKWILKNILIIAYFRPGLPPQLVINDNTLLIYGSAGLALTTAWIPCVKSFPTLSTILMVLHVICFVIGPFNAFRRFLKGGYRQYTSLELNRYFCFFWVLGRGILFHFFAVKPLENPDNSFDRRFVNFFNLTFAPQTPIEFVRFESILSVFSLIIAILFPFA >CRE15592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig107:35702:36345:1 gene:WBGene00078319 transcript:CRE15592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15592 MPDPMFFTTYLLYFGLYDIYYNTDTKLDDLESSEAQKWLVKWILKNILIIAYFRPGLPPQLVINDNTLLIYGSAGLALTTVWIPCVKNSPMLTILLMILHVICFVIGPFNTFRRFLRGGYRQYSRIDLLNYLLLIIGVFGKQSSAHFFNESVTPIDFTESHSKQFTKFLGCCFVPQTAFEFVRVESVLSVIVFRNAIFS >CRE21653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1072:352:747:-1 gene:WBGene00078320 transcript:CRE21653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21653 MSVIFHENPGTSLGSVVTDTNTSLDRSIETSPEWIDDLFPNVSFIDSPTHQVIRGFCRDVFVYRLPGGFRLVYLLGYFSLQLRSTNAAVIRGPLACCIRGAQVLHESCTVAASVLPACCMRSLLLVSHQYN >CRE24321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1076:3354:3887:-1 gene:WBGene00078321 transcript:CRE24321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24321 MNKKEYNIWDDHSYEKTSKLNIEHIAKGNVYEDYKGEYENMDDGRSVNSSKLKIQNVRKGNGCEGYEDDYEILDDQSNVKSSRFNIQYIRKRNIGESNSKINENDENIESKLLMFPRSQVRVYLMQKIEKLKEALEDEDQKLQEIKRVLNKSAGKTRKLLEKFLRSIGCDKKTISDS >CRE24320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1076:22:1861:-1 gene:WBGene00078322 transcript:CRE24320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24320 MASTISFHAPIKSISFCGSSNIAALFENHVKLASTTTGTHFATFEMDQYSTDIHGCEDYLYVANRKQGMGVLDLRTSTMLPMNEISVDKRESMTSSCYDADNDILYASVWNPTTRQSRVDIIDRRNPQITSNLLTIKRSPKDQMIQKMVEIGGDSVLLEDRNGQCLLYDIRRMDKPRWTIVSKEPSFSINVTSQYVCLEQPKNTVRVVRICSGDEMPWISMRKNESFCGILEGNEKQKFYIVPRLFKEH >CRE20477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:77004:79059:-1 gene:WBGene00078323 transcript:CRE20477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20477 MGDILKTATTSKYMEAIIKSLCIRVEGMRIGFNGEKTVIILHNPSLIVCCGNNTIVLDRREKVLKRDLKPWFSETSSILENTRQVFLRICDLFQCGPFGLSIVAGGVNPTTKEILEIPEFRNFKDLNLTGIGFTKKELDDVMDFEREDQNLHIGVGKIPFTYSHPNVFKYTKVHYWDACWVRLEHLLTIKDKFKITLGLHGLPLTDINKFIKFWVNAEYDLFKYMQIYNENRQHICIKTLFDELDVLHVYRLGQWRNLIAVKSPETRKRQILSVNWSEERTYMSAWDINERVQVMGQDDEPYAPEFEILKMLERRRALKMELDTVGEDEIRKQELTVAIDQITTGITLKGVVFRNERPTLFR >CRE20490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:180708:180879:-1 gene:WBGene00078324 transcript:CRE20490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20490 MRNLRKREERKMYTKEDTAPICRDSYPGKNRKKRVSFRKASDGWN >CRE20478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:80763:82786:-1 gene:WBGene00078325 transcript:CRE20478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20478 MGDILKTATTSKYMEAIIKSLCIRVEGMRIGFNGEKTVIFFHNPNLIVCCGNNTIVLDKREKVLKKDLKPWFSETSSILENTRQVFLRICDLFQCGPFGLSIVAGGVNPTTKEILEIPEFRNFKDLNLTGIGFTKKELDDVMDFEREDQNLHIGVGKIPFTYSHPNVFKYTEVYYWDACWVRLEHLLTIKDKFMITLGLHGLPLTDINKFLKFWVNAEYDLFKYMQIYNENRQHICIKTLFDGLDVLHVYRLGQWRNLIAVKSPETRKRQILSVNWSEERTYMSAWDINERVQVMGQDDEPYAPEFEILKMLERRRALKMELDTVEEDEIRKQELTVAIDQITTGITLKGVVFRNERPTLFR >CRE20497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:246482:248846:-1 gene:WBGene00078326 transcript:CRE20497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20497 MEIQHLDGHIVKVQRDKVTWPGARLRKKDEGMPSLENNNKKGMLIVTFDVEFPKTELSDEQKAQIISILQQQEIKPKAYNGL >CRE20449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:23190:24337:1 gene:WBGene00078327 transcript:CRE20449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20449 MPLPFLKFPCVVRRKILSNLNYCDIFRLSMCSARTLKSIENRTRTPKKVIYVCRDNQLEVEIYDKHDCENVHSIISMHRVEPSLFYGEEIKINIGGKSIQCREWSDILSWRLRKMSYSLKYINPRQGKVLQALQAHINSLWPNLPLIKFEIDFYRGFCPSLIDSKVKDIRFAFSNMNKSMLESILTSHSNLERVSIAGQFNGTLGADSKLMRVPSVRCNVVNNSSLTEDLLEHFKGNRLTLSLASNCQKAVSQLIKDWMFDAKYPNLKTLIVHLKIGAHPFKNITKNLNGVKWDKYRWPTEEELGTNHDILGLFDWEKSYDIRQKDTGKMATVNCKHDAVIFFVRDCQNQ >CRE20488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:158032:161940:-1 gene:WBGene00078328 transcript:CRE20488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20488 MSQPLLNMVAKKVGNSIIKGEYSDVDFTLPENLSNVVYQAISQEWPSADSDETKKKLGVTSLKLFNKPLTDDEYTFINSQNLKNLELKRFDFAAPFRQRSRQDGSFNVNIVGLLQRLLNKESQKILTNLTINGKGANFPDNWIKDIRPLLPAIDFLNIANCTLSPQEYTNLCNAFITITKLDISKSKVQDLTGISKIPNLQYLNLNELVFDNREQMIELFELQQLRVLNIGASASSGFTNNFKYYSQSGRSLPELRILDCRGADINLEELHQLVKSHPLLNMVVLIETPLKRTPQLDLPNRTINLLTTENLACCLKSLKYCTEVVAAKIPVVTAILGEMDRCIKEQNCNVEDRKECLKVILAFIIKYNRFPKIQERGFRCLQSLWRKPEIFELNERQQVIGAVLKSMPRYEGPFQEEEEVENCHTAGWSVLSSDEIMDTMYSTPENTDTMCLLAAELVDHAETISEMAKIGFLVLSRLLTKLTPRGAEAFIGRKPWLRIQLTAFLRNHFDIVEEHCLNVILKIIYELTYLVRHNPMDPMVFSVNQGCLMSYVEILQQVTDDAMKERVLEIMEDFLKLIDVRTFDVFFQRDGISAFLPLLRDMNTGKQRAAISVLVTMLHCIENHENRAAPDRNKEGLVNDILTSISLFEEPHHFDRYDVLQWVGLNPRSVEAFEWARWLLGRCGVDIEEDAENVAPVHRRNV >CRE20470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:280219:280404:1 gene:WBGene00078329 transcript:CRE20470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20470 MATSIDLYYETVWKSKCSSNEKSFLASWQGLSLCSHSMLLVLLITILRSQNTNFEKVRVFT >CRE20492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:208638:208915:-1 gene:WBGene00078330 transcript:CRE20492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-53 MAPKVRLTNPNVRVKTEIRNDRRAPFFVTTLDDGQKLHISTENMSAMDVIMNFNRLTGQPELGKAGTRPKAKI >CRE20453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:100658:104750:1 gene:WBGene00078331 transcript:CRE20453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20453 MRPANKRKSLTKEELRRRLIESRQTYSTRPPPSARPEPRVSMAPLLGAARPKPPDVPRRIQKTSSFQKKVEEFDDEFRVDQSSMAMIESGNVVIPARNQTNYLSSAGRPSLFGTARPRNTIGGGRAQIMQPTKFRASIAVNEPRESLFPLGINIFSASESQRSEVEGFLKERIEAATPAKKGGGATMDPMKSQRVRFKEETPTKGAESDEKKENVSDDVSAGNATSSSSILMSPTTIRAARKKAHGFATTPIPANPRRRIDFDVDLTMDESKTVTSIDKENDEKCIQKKIATLQKIIQELATTTSSLSPTDWKSLESIQEQLGSLLASRKAVEVPKKPALGPICEDEDEEETEQILEQKMEKLVFKEPALPLHSSTVHNLQTN >CRE20448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:20722:22812:1 gene:WBGene00078332 transcript:CRE20448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20448 MNFRIANSSIYFFPIFLLNYQSDFNFFSFFLLIFELILYVIAGIMTVNGCLVIHKTRVFHQNMNICLCSFLMQWFELLVGKLLVMPYQKGWMVVPGNDPSRTFADLYTKNASRMAVITSFWDCPLFVIGMFLISHYIVCAILSLVVLTVERSFATYLLNDYETKTVPEIHRILLVAQQIIGALISWLSCTVMIPVEAWLILIVLVMALTFAGYTYIWYWNVRVHKIMDSRHIFSCTKYSLQARFQAKENARSLSFARTVFSLATVCISSQAIILAVQTPELLGNLAVPFFYLLELTHTAYPILFIPLILLTVPSWRKKFFDGCVTIRLIKWFLAKRSPEVGFMKPRSDSKLETDEYFKQLNRLWT >CRE20484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:132665:135291:-1 gene:WBGene00078333 transcript:CRE20484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20484 MPDTLYNLCIEKYSNSLCEGQLHLKRNDLDNKLGVAVYENLAQPKRNTPPEVFENFVRIYNFDRLKWNVRNNEEESYRTAPSTQILKELQLCTQDCIDGEKIETLLQKMVNETSRQTMTKLEIRCRVVDLALFKRGWMKSIAQFLPNLQFLSLYKVQLGKTEFAGLCKSLPTLRGFELRECRDWNIDGISLLSHLEHLCLRRKQFTLSEYYEEISQLPKLKSLDTNVGLFYQSDLSVRKEAFPALEQLDIYCSRVDISCFKNFVETHPKLKHVNLIYTDLSEHADFKNSNTKFLTTGSLKSCLASLEFNGKPERFPKIYEIIRQMQIYLDNYEQQSEDILRKCPEVMIRTCKKVNLQFQLLISTVRCLWLLLKDGRSEIFTFEEKQRFLKFLLHESNKKDPKNGKLCFKMVEEAFKVFSIPELIKNSRENVDSILKLAEQFWAQSIRGNRFPLNCLMAVSTCLEVVTPDKRGKLKYEFTTSIIRYAKQAVFDNDEVHLELLQAVRVLLVFEITEDNWNDKKLLKESLFGLLIDMDKYNNEVVQVQILEVLEICVQKVERKHRLCLFRKSVFFKLAKFLQRNEQVQKAAVCLYVTLMKMDDFLITGSEELKITILNCIQGYYRPDDPDDLAIFKWVKSVFSIPGVVVWANWVLEKFEEIEEPKAKIRRKE >CRE20473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:26263:27306:-1 gene:WBGene00078334 transcript:CRE20473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20473 MSMFSFEPHSVERELFNLKPRINTTPDGIPAIFLKNVCTAVALPLSIIFNASVLTGVLPKFWKTAIIKPIHKKGLRTDPNNFRPISLTSSICKTLERLIRRNLVNYLNSNNLMNPQQFGFRSRRGTESQLVEYQGNILSLCNKYKSCYSVYVDFRRAFDKVSFSKLIEKLKSYGIHSNLLNWLQSFLSGRSQSVKVENALSIPFEVKSGVPQGSVLGPLLLLLYINDINVNFQSQVLLYADDLKLFSNREDFIAHDLELLRIWCEKWQMDVAPEKCNIIKFSHLKSNSNPIANCAVFNGANIPPVTQIRDLGIIFSGNLVFMLILHHCGNAQEDQRSLTYEHALWNF >CRE20489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:172906:174673:-1 gene:WBGene00078335 transcript:CRE20489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20489 MFESDVPNEDMSRASVLVDSGKPIEENEDSNTKSTDEVKKPDVSGESSNNDTVVLFSEMLDEIKQWKNANMGRKTTIFTAVNFKDYSRDRYHLGISPFKKFLNTQQMDPQQNYHIHVARLPFKSDKFMSMIGLRSDKEITNIEKHLADKNWLVFFSHNSMSHDINFVCLAITPEDVTSLLLLATKEQIHPGQPYKYRSMRDDIRSKLDIVDAYKDYQTRTLVESLLHQNAVLKLNSDMRGLGFDSDSEDDEQCQDIANVANQKKRQEWLRNLKRERKILNSRQKEGEMVRNAEMLANTTNDCTIGEDSESEFEFS >CRE20485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:136285:136749:-1 gene:WBGene00078336 transcript:CRE20485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20485 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3N2U0] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEVSYSEIEGIPDPNSLHFRTLTTTVSPSSGPTLQMAQPSSSVVSGSKEMVWFVVRTSRSSTTLESGRTMPNS >CRE20491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig108:183173:183912:-1 gene:WBGene00078337 transcript:CRE20491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20491 MEAFIVFYVRQGMNGSAQFFSATKKFPDRLGGISESSQVHDKFLSHLFDSTMFKMQIILLILLLLVPTTVAFIFGDLRSFNFRSMFSNIDYMGVVDARLRLGLPMPSEKDNITVWYKKK >CRE27956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1084:6005:12034:-1 gene:WBGene00078338 transcript:CRE27956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27956 MNFTQFSTDSSFDIFFDNSVTMVSTAIEFGFQLLLFISYPFYIYVHKINYTRDQMTPLFPTTNHFYEVMRAVYGLFLCAYASYGLSFLLTGDNFVLIWLLWVILIVSVLLLYVIAEVCHLLVAFLAIQKLLIYFFPSIEKYSIFTQNSIYNNIRKVYLFFLMKDIVASLWYVSCAFHDYNKSVKWRINMIFGGAFIILNTVLIISSLLYVPIMLNGAIPSTMHLFLTDTPAWMVFLNTIFCDIFLVPLIIQISYLGCNKRNVNTFMSSFTLTKFIHVLLDIKRDSEVHPSIQFTDSSNPAFSIFLFLNILLMASSFLYIPIMLSIRKFSYLPSSQDNKPQKFILWQTVIILIFKFSFFPSVVHLSIIETPLWAIIFTLVCADIVLIPLIIQVSYLGCNKRSLLFTSKTHYQKELMTFFDEFDIQHLPELLLFAYIIGVIFVLICFCVLLPFYAYVYKINRERDKKSAVFPIVDHFFEMIKKFYYLVSCFIPCVIFVSLTSNDRFQTARNAVSTVMIFIIFLLHIIVEVLHLLISYLAIQRCLLFLFESYEKQLVVVQNKILSKIWYLYILFIAFDFINIMLDPMCAMNPCSERKIFQAKMFFLTCIPIVLAWSEWDIDITTMKFTFYIIDILVIPVIIQLSYLFCNKRNVTTLLSSFDIIQFLKVILNLRVEVPVQPMSFTQSSVHYVV >CRE27955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1084:3703:4553:-1 gene:WBGene00078339 transcript:CRE27955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27955 MAFFDKFDIQHWRELLLFAYIIGIIFVLICFCVLLPFYAYVYKINREQDEKIAVFPIFDHLYEMIKKFYYLVSCVIPCIIFVHYISNEGTTRDAVAIVMAFILFLLHIIVEVLHLLISYLAIQRCLLFLFDSFEKQLVAVQNKILSNIWYLYILFIAIDIINLIYVPLKNPSNERKIFQAKMFFLACFIAFCYY >CRE27954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1084:1381:1626:-1 gene:WBGene00078340 transcript:CRE27954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27954 MFIILFQLYVIVQVLHVLISLLAIQRVLMCFFQSIEKHLVAVQDKIFGNIRYLYIAFLGFDILGVFYGFKCVPCGFTVFVV >CRE26879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1085:12350:13320:-1 gene:WBGene00078341 transcript:CRE26879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26879 MSSVRFGLPHAGPTGHGIASLLINLDGSVQLSIGGTEMGQGLNQKMLQVCSQALKRPIKTITIVDTSTDKVTNAPETGGSQNADTNGLAVLACCEKIMSKLKPILDKNEGDWEKSVREAYGAFVPLQCTEYGFVERNKFGVGDMESPYNTTGACAVEVEIDTLTGYNKVLRVDIVMDVGESLNPAIDIGQIEGAFMQGYGLVTCEKITFNNTTGFLDQNSAGKYKIPKASDVPKDFRIKLLGINKANGAQVYSSKGIGEPPLMMSCGAVHSAIMYCVDDWRKENGIEEFVDTISPLSADKIQELCSK >CRE26876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1085:1019:1408:-1 gene:WBGene00078342 transcript:CRE26876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26876 MQYVWVWRRLPSTYYPAYLNEVVCDYADTSCLSGRTPITGSHKKKENTSFFPGYASCQTGTQQLNVLRNDSGKLVPVSVSAGINCECRLSVGSSLESLVLGKETSSAMPPVGGTSSTNAPPPTSTTSHP >CRE19893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:253448:259732:1 gene:WBGene00078343 transcript:CRE19893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19893 MAPSRSAPPRSARQRIGTIQSYVTNFDKQLDKIINGARLWQRDQKVIRDQEASEGCQQHAVDRVHAPRIAESLQRMLQQVGELPVLLGNKVSDAKSKAFESGSDPEEVESLGLALVSSYAPILNEKKAIIADLLTILTAYTVSYELNVSVPVSPEEILEEMERSFEESSLQEEEKELSPPLSSVSPTPSTSPPTHPNHTPQPETNTNEEYNSAHPQPHQIPETPILMTTGGNFHNQENTRTSGKISDNYFNANFRNPNVQQLFDNTRNYSTHLPHHAQRQPNNTEYSKLPEHSAYRGNSQDARKTQNPDNNPTRSKIHNTEYYSNQQQFPHNYQRFSNESNQQLDSEVNKNIEAAISQQRPATCELCEGRHHLSTCTVDKDTLRRYCVNTETADESEKEENNTSTPEPKTQENFSLLSLYDNYEETDDTVAINHIVETIQNKTFNPTKLPSSSQDIRLPFTLLSTTKDEKILALVDTGAAISLISERSAKRLGLQTVQNIQLSLAGVTGSSNSPCNIYQITFVGDSIEFNTYVQGIPNLPSTKYRKPNFSTADKQTLRNMKINYKHVTPNEKYDNTRMDMILGNDILPHFIRGSQRICLPSGKYIELGPFAAMTFPNAKHCPVMDHNMIPTEVSTLEDSHPPSINVLMSQKYGTDSDDELTNLILQLWQTENCGIESATMLESEYLTQEYLLQLFEKEIVTGEDGLLYVALPWNGKQDRMGNNKSLAYRRLTCLIEKLRRNPELLKAYNLIIEEQLEAGIIEIVTPEMKNQGPEYFAPQNAVFKENSTNTKVRIVGDSSSKQRDTLSLNDCLYEGPNMLKTAPGILLRHREKKYPAVGDIARALYCSNG >CRE19879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:6265:22623:1 gene:WBGene00078344 transcript:CRE19879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19879 MNPPYLCDYCGEKYTTVYNVKKHMEKCPHKILENDPKKKMSRKEQHKREKRNDEARRKPQWQDSIVIMKRRCNVSPGDYREEPSDVVTTQDTVAEMNSVAPQAFRVGENRVIPHAHQDSPHYSNDAPIDSSLVESDQLKYPRIKVEQSGNAAEGNQGYIRNSIRSRQQIRDMDCDDGRRMQGTVSDMNSVAPQAFRVGENRVIPHAHQNSTSNAPYESILAETTMRSLMNSRIKVEPSGNAAEYQCQLCGNKYLSEANLEAHLRSCNTKKDDYKGMKETDIKANDGGIQTMTTGINTKRNNSREQHRWTIDDRKKHLELIMVCIKCKKGFKSYENLQSHDCLLLSDDTFTTEIVVPLPEEWEDLMKVYKRKMRNLMRYGVFKCEYCQKDFTRLDNLKVHIRIQHKKKMSENTSGVVCDVCKTAFRSMKSVQHHKRRMHGAKKYECPHCDKKFVYASDLKAHIKTCVNRSK >CRE19912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:137689:139357:-1 gene:WBGene00078345 transcript:CRE19912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19912 MQLDLPSIVVSSHSDDTGSNSRNDRASPALLNPLMPLMMTQMAFSQRKCREDYLQTGPKRADSGRKYGKFL >CRE19929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:313622:314185:-1 gene:WBGene00078346 transcript:CRE19929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19929 MTIIFTKPSAQHLAKIVEQVPMEYPDFKKLDEDLVKFYQKMRLTPEMMAEREEYVQRLQCYLTLETALSHYLGENGVWIRSIVKYGSMATHCATRDSDLDICICASYSGAYQPSPAIILQAIYEDLQHNHHAKE >CRE19913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:149688:149894:-1 gene:WBGene00078347 transcript:CRE19913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19913 MNIFSQLLLLIILLLINYCVCQWYGGGLDNYPMFFGHQTGNSYGNSYLGNGLGGVYLFCNGIGCPGRG >CRE19923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:222102:222473:-1 gene:WBGene00078348 transcript:CRE19923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19923 MTTTHPTDASPEDDILKSIIYLTLAQKNHGELSVLWASMAKIVLNLQQQNKDLITEVGLLRKEIVDLKNAVDTSGTSNKKTFAEILSEGLAAPSAQVSFMSAAKLAQDADSRKCAVIVRNAQE >CRE19910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:93309:95013:-1 gene:WBGene00078349 transcript:CRE19910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19910 MVAKRNSPSPGISTGGSSSSSNNNNNNGNHPPIGDLVISVDEEPTTAPPTSKPPKKSGFFKSAKTKATGTLRRTLFGRSTKRRAKDAETINEVDGEEEEDEDFTQDNYDTVKSLPASGHYGKSRVGNTSHTKLQDLADEIRSRHLKMGSEPPAAPKPPVIIFRVPRKHANSMSSLRISPGAGNGVIKAPLSDPVFDFYTWHTSESGNK >CRE19898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:290377:292997:1 gene:WBGene00078350 transcript:CRE19898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19898 MKNCIILLFFSLLGSVSTGVIAKTDENCLNCVSRGKEWCSSMKSCDVLWCPSEHSVFNKINCPQEERDSKFEYDDDFVRKKVLITTAAVNSNDPQFCFDNQMPTMRVFNTYDVNCSSLPPAVPCFAYTAVDHTQKVIALSFRGTQNNLQLAEELITYFYNSQRKFSTHGNIFSFFYDAFFFIWDGGLHVDILNLQKEYPDYELWINGHSLGGALASVAASHLVDQKIFRAENIKLVTMGQPRTGDYDYALWHDQTFPYSFRIVHNRDIVPHIPPQLGPGYSLFHHRTEIWYPNDMEMGAPFQKCESADGYYCSATLDFNLSYEDHGFYFVKEMPAWGGAGCPTLWLK >CRE19922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:220374:220944:-1 gene:WBGene00078351 transcript:CRE19922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19922 MLSRFESFLDQNFPNWKSLSDDVLNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGQLVSIASYIQREWAVQSNHPSGPYVYIKKDESSLYPIDLIHYIDEETDEEIRERQQIEKKERWDALISVAKQITVLGRKHGCVKDLNFKNELYKKVEKLARRMIGITLNS >CRE19881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:78761:79362:1 gene:WBGene00078352 transcript:CRE19881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19881 MDMTNFMLVVPSTDSVERKTSGSTRMLIDMENVQMRVIMNGPSINLYYPTEATKRFLKTNFTKKVFQEQTPEKENFDLLFYSDGIQLDLTVSNFDVSFVNIDTEIMVLIDFIHKIRSKKKWFWAIFITKNREMYKIENAVLKLLLCI >CRE19918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:173541:174022:-1 gene:WBGene00078353 transcript:CRE19918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19918 MASPHGELEYSDADCEIRTIPPAKRSIDNDAIGGNRASCSEVKNRGTLSRNIMSPPAAIDYRKNQLLAGLSTRLYGSNMARKRNDTRESHLSTAQSTAFASPATLSHSENAAFLGHRREIEFGTGRIKEAARWKKTTK >CRE19914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:161284:161718:-1 gene:WBGene00078354 transcript:CRE19914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19914 MSSFPTSHHTLKSNDGREVLISTRAIQQLTTLNNSTETYIHFPNINGATLQLIAEWFETQEDTQKYIDFSEKIKGLDVYDLLIAANSMGVKTLIDYLFNFMIKKAVVVSEVVSEKMKTDGDKDAIERAIHESINNDNQFSVDII >CRE19882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:81885:82413:1 gene:WBGene00078355 transcript:CRE19882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19882 MQEDGGNGEDDEGDDFENEKDENEIEEMDQGNEDNYGEKNDANEDDGEENGMEEDQYEEKVEEVEGPVNENGFEDYDQDIGDEIESVTTTSTPVLQFTLPKDKLNRSPPRTISSSLSSSPTDPHFPSVPTKVRESRQERSLQRRNKLFCVVYFNHLFI >CRE19888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:200833:201345:1 gene:WBGene00078356 transcript:CRE19888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19888 MLMTALANEDETTIQMEYAPKTKCRSCDEEASYGNATAATHIEVQMSEDANFQDLFDDVYGMRSMDTRFSMFGEYYQFQSFAEYRSNDRGLSGHYQAWVRGENGMGCISDKDNKGEQHDVDLENFVVTI >CRE19890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:246424:246537:1 gene:WBGene00078357 transcript:CRE19890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19890 MFRSEFHSEIFFSEYSDRNFIPKFFFRNIPIGIPFRK >CRE19924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:264047:270656:-1 gene:WBGene00078358 transcript:CRE19924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19924 MRVPCHRLFTIAMPTPRELAVMYNKRNTSFNGFERLASYSSNVLENMRLLTSSLRGSTTGGSRSRTASEASLALAEQTRYGFAFSQDETSGVAQTELIRNVDSTREKPTGR >CRE19921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig109:204671:206341:-1 gene:WBGene00078359 transcript:CRE19921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19921 MSVKKNSVTETLYAKVFTNQHLLENILSYLSDDFSKNLDVRLVNKSINNTFLRLIRRNHRTMKIEYAYNVKDIIIRPKDYIYINYRKIKHQDVLPYFIFLNTAIGVKVQKITARKLWMLEDEFKRRLHGLIHSQLIGTNGTHIQTLIGLEEACDGCMKCSNIAQKCLEYGPVRFSTLQTMIYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDETILSTISCDKLAIWINECRVLPNEETGPNPNHRHMPREVIDTILRKWNVKSIKLSMLHITNEILCSVEWLQYDYFTRVRLNDPYSETKQSDLKFNHVEVSLSYSFYCVKNLGNRELIESEYRGYDNFIPNIRRIFPTDRITMDLSHWFAIADTEIEKKMSTILQVVTIEKPQKLNLDMKFFVKSGIVKKFNEETNKEELLGIAPRYVLQEKRLHCFKKSSPFNAEHGPEVFLDNKWIGRRFQVEHAENQFNFNLDVYIKEKELEEEFNKELLQIYQNSFVGTFFIKTI >CRE03550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1090:55:1377:-1 gene:WBGene00078360 transcript:CRE03550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03550 MLIKVLLCLIVLLNAVTGLSRHPKLLLISFDGFRYDLLEEGLVPNIHKWATMSTWFTSGVKSQYVTYTAPNHMSIATGMFEEEHGIVGNYFFDAETKKMFDYFNSTGKDGAVNASPSRFLVQIRFYLEGLLSFYWPDGRITIPRTFLHRPKIANFADPGLSVGDLKNLDAKMLMTLSMFFTREKRATFSSDHKHVMCVKNFVSGAGFEMGDHMIYPHSEEIGKQIYTNLTEAVKKYGYEVNIHWKEDVPERWHYKNSSRIGKIVFEPQVGSAISFSCTSEQMEK >CRE04430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1091:508:946:-1 gene:WBGene00078361 transcript:CRE04430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04430 MTCYRNSVKCMLLLFFIGLAALNTYSYWRDNLNVNMLNTSMHPEVTVEQRPSSTPFQCPFESWNQVHSDIVPNENLHLEWIQNNISRRDNILESQIRLLSSFVYPDHISITTNSQRSYG >CRE04428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1091:9250:10596:1 gene:WBGene00078362 transcript:CRE04428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04428 MPASRSSSSSSTGTVRSSSSGKAENSTTASTTSEASKVKTTGQQVKSQSDRGQRVIGNRQSGVGASSTKTIQSIKSRLYDILNELDDAEQQEIRQERINYLRKKKTHADVKEPAAPEEKTPDANNTGAASSRLQGRVEHPKAAHPVRPAHKVKRHAFVGGCIYCRKAGHAAEYCTIYRSVQARLDICRKRKYCVLCLYSNHQLDTCRSKKSKFACPYCSAYHHKSLCETRHGRMQSKVQKAVEQKQK >CRE04431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1091:2706:6301:-1 gene:WBGene00078363 transcript:CRE04431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04431 MREKYLLQPLLIFLLSTTVSTQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTEFIHNFFSTDGSRKPASVSGAHDGNANIGGTGSGDSLRGFGGVDPDSTITSFEKPDQPAPPTRADATWTPNSNSNLNLNPGSNSGDARVGGASRSSVETTTPTPEVPTTTLPTTSSGFPTLIPFSSESWSTVTQSSLAPSLESRPELPPTPNRIPSPTRQSTGFTGSFGEQLPVRIPSPTPIPFNPSPRRDSHRRRTTPDPRIIRPPESLVVIGDYDEDEPPSENAVVDSPQKNSEKKNVIEEEILDSALIRKRREIGEFENRISNNVSIEDNFEILEPMSSEMIDLNWEPQPSILHFHEFKSSTRAITTMHDSIEMPNDFGEKENVDLTIQTFQAFHQAWFRCSRRNQISTSSEGYCGISDQYKHQLFAVSQFLWG >CRE04429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1091:11441:14465:1 gene:WBGene00078364 transcript:CRE04429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04429 MSLNQNKKDISKGNKKIEFSPNRFNRILRQLSNYQQPPIMTKKNKQAKRAPIEMKTIKGNITRVFTKARTLVALIERALTLDRNEANVTVLDGYTNKLSDQLMLLQNLPDYAEELLMNNKKLCAQNVIEANRAEIKTHIEFRGLDSLINQVLALEGEVKLAINLFRNNATPPVTSANSSPAPRVVSTSSTSSTESNGHSAQIANTGHSVQKTGKNAEPTSGKAAKVPSNSTESESSELSPVAASSNVLGHGSSMSSQQLMEFAEIVTSRFNGLENSQRILLESNNSMQRKMNTMEAKMDMDQRAKGANNHNPPNMPKNNNPTPILTSFDYHTGRPVFETEPIHGSHQERVEFPRSKHNHIPENINNSCYQEKPSPPRSADTMQTVTNTVPTFSGNPEEYAVFKQLFDLFVHEDDAIPVTMKHALLLNLLTGEAKTMMRSTKISEADYYLLRDNLERQFNRESENKQYYIDKIDKFSFSEEDFKEIEKEMNEYRILVNALRNKGCAVDDQIFINNFIKKLPECIMATVFKKNHEYNRTFDELVGIAYRTLAEKRALQEAREKKKQMVRTSEVYSLNTDDRGKNTRKGKFGNKNFRHKFCRYCFSNEHSAIHCNLSLGQKLKIVENKKLCTNCLLANHKVEQCKSQHSCFKCQERHHTAHCTKVGGEQKNVDKKEKPNLEIMDIGPENNKEPLLIYVSRNIAEDNKLPYMTLKTPRGKTLLALVDCGASTSILSTQTAVNLNLQMTNQRNIAFAGFNSESRPDKSSYYDLEVVDLNGKHWIANMPSYDKMNIRFTSPKLTSQEVEDLKNLEIDMAPIRKLSKYNGMPIDLLLGNNVLGNINQELTTLSSGRMVTRTILGPIIFPPKDKNALVPSQNIYSILVIDDSEHFDVFMVDTQNHDMPQQKRVNISNQQLAKQVEQHWNLELMGMETT >CRE12420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1096:352:2706:-1 gene:WBGene00078365 transcript:CRE12420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12420 MSDDEEVEYEVEAVLKRKDFERLLEEALASNAKFTPASIEEGRSKHSKYAYLVHWKGFPIEERTWEPESNVKNCAALQKFKDEHSMPHGHQKFEKKYGSAVWRNLGLASEKVIGYKYLMTSEEVQEKKLKKQQLANRDEIEKEKSKEKPRERMVTPRGSRSNFEKEEEE >CRE10738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1098:334:1508:-1 gene:WBGene00078366 transcript:CRE10738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10738 MSSLSPTPAAAAPADNPLDIHVPDVAAPLPDDGPSTSDPAPRYPGSPASMPRDLDPLDVVEEDGSVDYRSESEISVELGGIDGIEDTQLMTQESVRKRDKKKMKKAADANRVAVKRQAESQDDREHRLKLKADATAVLRSQQTEAEKSCINRRKSQKAATDRSQESKEQKTSRCASVASRAASRRSEEPDDVVTKRRLSSRIRNAVSRAKETVRQRVLRNAADRVRKSTRQGALLGIAASGAQPDPHYIGRMDQVCPHCEALYFKAERKPQNQGVFTGVLVLLGAEFDLENQNRLPVLSSPSSYVTPDWKKDGE >CRE10737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1098:9029:9552:1 gene:WBGene00078367 transcript:CRE10737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10737 MVEMLGQRRRVIIDSGAVVSVMSTSAFEKLKSGCKNWKKEVEVLEEPTFTLLDASRSEMPVKEQIKVPMVVRGRKVGVVFQLVENEREVLLIGTNAFQSIGMELKWKAERAIARADEKLRIPPQCCARVAVKVNADLGGEMLLESAKEWMPTCLCSRDEQGKLTAVVSNWRNEP >CRE09914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1099:7958:9583:1 gene:WBGene00078368 transcript:CRE09914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09914 MLSFGPPNAKRTVATHFWERYGLITHNAEDRMVYVKTQQGNFYPVEHVQVMPVDEFATIVTTTIVTTTIVSQPPSSHNHHRLTTTIVSQPPPAVYNCEKRNLKKKFEKIEKNVNLKFGFEFVKNVLKTLKSGFSAVKRLKCKIFEAKSQNLLYFDDFQGRICQKKDIFIIKISHGRLCWSIAICRIGSGEGDYEKVRQEVENMKGYSPKFLVVFSQRNSQSKFLSVKVECVVGNGGSQYIQKRQFVSFHIQIF >CRE09913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1099:1171:1599:1 gene:WBGene00078369 transcript:CRE09913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09913 MIYFVLVILTSLLSLINAGYQNSGNYYGWNQQYQQPYQYQYPYQYQYPQQQYQGYNNYYNYQYQGYPYQQQQQYSNYYQGYNNYYSQNYCNQQPCQPKKPYYGNDRYIGNGIHVDSHGNGYIGDKKTGWYIFCSSRGCVGRG >CRE03710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:731370:732046:1 gene:WBGene00078370 transcript:CRE03710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03710 MWTTPLILLLLSFYGISSEFIPDDPGFVDHLFNGIVPPRAHFHGFLAQDNNREVVEKFLVRVTRSIESKDASIIGGLFQPGFIFKGCKGTYNKQQVIGMISQIPAGTNFHFVLKTVEDDGDSIKYSVSVSGFGPSPLDAEFTLNKVDQQLQCGRISACQKTHFHGFIAHDTIGNIPQRSQFHGNSFGRFGYFY >CRE03688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:599618:600612:1 gene:WBGene00078371 transcript:CRE03688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03688 MKNTTSSYDDQSYASGIITTQYTDTTHLEIAGLPKRASYEEDISKTEQNDLSMDKTQSAEESSRLESLKSSTAISISPLRISYEKPRVCSFIHFKTVTHIIAICLIPLILVDTVFIFQSTTFHEDLFRDRDHLCDLAGPVNSMALLFSLCHVAIWFYRRESTLRSTNLTFWVTTLTTGCLSISGFLLWTAPPHYMPRQQIIVPFQYVSFFGSIIALSVATIAVFFEWRASKYAITY >CRE03746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:956070:957263:1 gene:WBGene00078372 transcript:CRE03746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03746 MSDRMTVFLLNASSSHLSDGAPPSLSVTDRARSWYKQIDTSEKRPFVIKENESSGGKILYSDNTIKAIVQYSATVPYKFPTHVTSRLLQLQASLGI >CRE03703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:703798:705347:1 gene:WBGene00078373 transcript:CRE03703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03703 MKPTENVELDLKSKRIVYQMSQELEQTNVNHDFHNALLSLDIPDLDKKAGFDGYIQLQDDIKESLKNYMKNGKKSDLLSLLSGQQMKCVNQMLNTIKSKNPSDPMDSKKSENGEYSGEQIVAKNIQIVNVERDSNDIPRGPIPFGIPPLQVDQLYKDEEMEDSEYLDWPNSQPGRSSSRIPDIPMEPVPISQSIRISEPVKIVKFEEPKPTKKIISRSRPIEKLDAQIQTDEVEEEVQETERTEKITAEIGTNCRILITPRVEEDEEPVSRKSTPIMSPISTVPSDISEGEVVGFSSIRNVVTVRTDGSIVPTPRVLEDDDDVASDILFAKSSSPFGVAEVDMSISSAHSH >CRE03783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1281499:1284763:1 gene:WBGene00078374 transcript:CRE03783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rsd-6 description:CRE-RSD-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LY96] MEKSPDEELVDTIYSACLIDGPNSLGKVYTERELKKGTEDLIGKGEIDRILRDVQLTLHQLLDRCGKFVNLGPGQWRVIADNKDAGLLESIADKKNGNRSSRGGRSGGGGGGSARGRGGASASQRGNLGGGNRGFGAVRRGNQNQRSHGSAFRGAPPAANNRPPPAYNRAPPPPQNDYSNQRPLVRPAPAAPPDYERDRDSSYRDQPYNRGPSRNSYYDEPRYDLPRTPPYQRSPSPPPGLSHGNHNSHYDRNEPRGYYVGPPSNRHPDQYDRPNNDYDNTYDNSYNDDYNNDRRGYNDNRGYNDRRRSPSPPPAYSDYRDRSPPRGNGYGPSYDASPYRDDRASDSRSNYNGSNYDGPYRAPSNRSYSPPPPPPPRSPSPVYRNAPPMEPPGLGSRHVPSQKSFRGDPSPPRRPDSRASNVSEYSQFSTDTNVPPAPSTSRQRAKERAEQSSSAPLKMEDLAAKFNAKVVVSEPAVDKSSPEYQVAVKVAEALRGGRRLFDSNKLYTYEAFNYFSAKEIQLPTDKTILEKEAFLAKIWKEYPELFKDIQLDLANDEIKFIDSPVSSAPPVPKPAASIEAPSRNSDFDIEYERSKAHVEDENHFIIMRDIRSIIANKFSITKLIDRLCHELALYRSIITEKVTYVLFVTFEGKYRYADGSQEEVVVLKNTGLRNPDYFEAPLVHDVFGERRDFWKVDVCRFMKFEKFSVRPVEAIDVYENIDKEINSVENPKIDRPIGGWKPNYGCLVLHKRDNSGTLKWGRGIIIRDQSGSSSDENRLNYRVLLLDQGHWVMVSPTQMRVMPEKFKTIPPCAIQCRMETDDEEVLMEMNLRFIGKPWRDTIRTYSAKTYITFTGNVTRFDGITTYGVQLFVEKLDGEKGNITELF >CRE03980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1097281:1097702:-1 gene:WBGene00078375 transcript:CRE03980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03980 MEGEISKTPYNTIYAPLKNKNKEVVCCEHGKTCGKGKDENQYVNLCQSPPKEATVASKLVEKLNKLADKSEIISLKSIMEKEKSTKKGKNERKKKAVIDDSQSYVMLGPARYRVTVGGGARN >CRE03944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:876993:877423:-1 gene:WBGene00078376 transcript:CRE03944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03944 MTSRRSYQPRKCIPVLNDLKEERFSDETLFDDEKNVDCSIFTTIWKNPERVIRRLCYSNNTTTL >CRE03877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:495810:497126:-1 gene:WBGene00078377 transcript:CRE03877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03877 MEEKEKSKTEEDVPDKKTPESPALPQPEKEDSKKSSKKEDSKKENEKQNPESSTTVSPFLLKINPEKLIYSSFETMEISINNPTDKEIFVILHFDSFFFSLKSEDLKLFEMQENDTCHAKKGYKIMKPNESIVLKIEQMKHDDKTSPNEFYNYKTPEGYLEIFHQEKELVPPEFMKITTFDDFSDKLNYEKWAVRKEEIELKKLTESLRLLKEKFNDSTMNKSKKGEPKAKKEVETVSYIQRKKAREEKEKQEKEEERVREKEEREREREERRIERIKEIKEKEKERDEYDRKVERMKQMVIKQEQWQNEKNNDHSKMPTQNSSKKEKKTIKEPPPPQQKSHLSARETFNGGTDDEKKDEEKKEEEKKKKKNSCCTIL >CRE03854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:364871:365318:-1 gene:WBGene00078378 transcript:CRE03854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03854 MKMSLEDRIRNGLEFDDDTYYVLSHVYTNLVVQVHSVVVTQKNFQYLRVWRARSFGPAKFSEEREESTYRITQEIFKSYVVPPDPRIGKAIEYFGKEYLLEIAVYDNHQEVLKTTNSGDFLLLHNVHVGSKNKL >CRE03953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:930674:932581:-1 gene:WBGene00078379 transcript:CRE03953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-146 description:CRE-TAG-146 protein [Source:UniProtKB/TrEMBL;Acc:E3LY13] MEDDLPDDLPLIQESEPIFEEWAAESTSDGKQMMEDEVDEDIEKSSQPYKRKKGNPRQSTIVNCEVCGIELKYPSRIKEHMRTHTGEKPFQCTICGKRFSQHTPFANHFRGVHLNDYQFECSYFGCTKRFVNNARKNAHELTHMGVKRIGPPRPHLKPVKKLICPSADNVHLGTGLASANFIAPPSLSNGFKSPLDHQPSTSLNKRTPLYRSEGGEEISEKVRESNARIDDVISSVLARVLAPVEQPVPEEEPPKAKPKRGYSSSRVSTIAHCNICGLYLKHPSKILAHVRTHTGEKPYECGECGLCLTKASSLRVHILRMHTGERPFMCQWCPMTFVTESVRKEHEMAVHAEVKRYSCSVKNCNSNFARRVYLNRHVKNVHPEFYTPVFDVEEVMAEEAMDQNHEKDNYMYDDQVVHVMNMEDMMPRFDDEVFGEPEMIGGVEEIIDDGSKLI >CRE03794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1350516:1351445:1 gene:WBGene00078380 transcript:CRE03794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03794 MSKNWSFIRQMQHQNLRRSPKEAQMNGSRGAQHLMRRSPKKAQMRGSRGAQNLMRRSPKEAQMRGSRGAQNLMRRSPKEAQMRGSRGAQNLMRRCPKEAQMRGSRGAQKRGSRGAQNLMRRRGEHEEPRIREGKHEEPRIREGKHEEPRIREGEHEEPRITEEEHDEPRIREEEHEEPRITEEEHDEPRIREEEHEEPRIREGKHEEPRIREGKHEEPRIREGEHEEPRITEEEHDEPRIREEEHEEPRIREEEHEEPRIREEEHEESVGGVRG >CRE03648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:303626:304152:1 gene:WBGene00078381 transcript:CRE03648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03648 MGGSAFGSLDRIPLSYLRKFYRQKTTKRDENEFNDGPNDSQMAYRSISLWPLY >CRE03672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:501772:502598:1 gene:WBGene00078382 transcript:CRE03672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03672 MNMNSSTMSPGGMTTKMWQWYHIELNDVILFEEWKVRDSGTMVWSCFVVGFAGVLLEFLKYSSWMTSERMTSDMADVDRRTKYGGIVVPSKYRNKQCALFQIDRKYLFQIEIFRFWSSHIIQSFYHFWQTLLAFILMNIYMTFNIYICLSLCLGLSIGHFFFGSRIH >CRE03827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:137450:140740:-1 gene:WBGene00078383 transcript:CRE03827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03827 MDTNFKLSNYIGTVYRDGQVAFSKDGYSVISPIGNKLSIFDLKNNVSKTLDVDCKFNIKKLSISPSGFHLLAADERGDVHFIHLLSEVKVYTFRSNRTIGAVQWSPDATKIATCRENDLQIHDTGSWFKNKTFNPFSLARTYKLSSEQLRSVSWSDDSNLLVTGGDDRVVRVVGAKDFKNLFIHPLASHKGNIVNCQFLKGSYDMISVCKRGLANVWTCNIRPGELEEGIWKKDDEDAEDVEMDEDNKVEKIFFEKTKKYWLSESSGSGKSVDVTASNFHKETNILATAFNNGVIVLHEIPTFALIHNIRVSEMRIQTVAWNLSGDWLAIGCGKGSTAQLVVWEWQSESYVMKQQAHSLRITTAEYSPDGALIATGAEDGKVKIWNSRSSFCTVTFDEHTSGITAVKWTQSGRAILSASLDGTVRAHDLKRYRNFRTLVCPEPTQLATLAVDKAGDLVIAGAKEVFNIYIWSFETSHLLDILSGHESAISSIDIHGNHIVSGSWDRTIKVWTVVDSQAETVEVSHEALDVKFSPAGDTIAVLTSDGVITFFEAKEMLNLGTIDTKLDTDPARASRDTITRQSAAKTKTFTKIRFSPDGNLLLVGGESNNFCIYSVPDRLILKKWKITENRSLDGVVLDFNRRNFTEFGNMQLVDTSDEEDDEPDNKMTIKLPGTKNFDLGERRSRPEVNIYEVTYCPTGRRFAVCSTEGVAVFSLDTVSMFDPFQLDSQTNSNHIRRALWSNDYSTALMGSLRLNNSQYITDCLESTSITQIPLVASSLPLLYAERLLKWMVEGNVMSSTRHVHFYMIWLRAILQEHGMKLKGRADVATLTGIQQIVAHHQQHITKLANQNKFSLNWLVKVRQSKQSVKKEDEDEGVTSDDESDEEETEASDEEEVESDSDVQIMEGSDEE >CRE03770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1159304:1160635:1 gene:WBGene00078384 transcript:CRE03770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03770 MSRSFALFVLLCVLLSSFSTAIPLRSLFLRSYDDINQESVSRGYFAPQETVNEIDNSDSRAKRGLDLLKRRVEIIERNRCFFNPITCY >CRE03901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:615545:617934:-1 gene:WBGene00078386 transcript:CRE03901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-65 description:CRE-LIN-65 protein [Source:UniProtKB/TrEMBL;Acc:E3LXQ9] MSEILDDSILNSEDTPQNNIELGCAATGRIDLNEDQIAELLGDDRTNGENNEPAAEKVVNEVEMAEEEINYNPDASSPENTPNVDAEIVENNVAPAEEIMDEDALLAEIPREEDASDEGFEEVGNGVVDEDEQEEEVISADSTTENVEEVAVVENDVRVEILLDSDGDVVEEDVIHMETVDGNTSRKRNAEVSSPRFPSSKRQKNQIATAEPFITAREEAINLITRIYGSWDEQLSVPRLRILNDMKHKNFKHLVDFQTEQTNKLHSEVKKLKEELVQERVAHATTTEALRELTDEAIRMRKQITILKSTLTQPRTDQLIQHRAQQHASITQAAPPARYSIQHHPANIPNVSQHPHHVQQQMQQQMQHQQKLQQRHYHQQQQQQHHHRQQQQQMRYNLQAIAQRRSTGQNAPQFNGAPHGNVSIVRGNPIPSLMLRPPPQQEPFNLKWTPIPQPNGMGHTDTNGVRTGTFGPPLIDASKPNDNTLKLHVPYCPANNVISSGDSARLPTVPKCFETLHASPDLTVHIVSTPVDFRETWQIAGKINYEYLGMLDKTTIQVYVQVSSLKFAGMSGAPIPENPMNAIDWGISKKWPCKAKSHKFRVVFHQQQMLPVNDRLTVIAVATNPEAGTIQVSQPAFINLV >CRE03788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1321624:1322672:1 gene:WBGene00078387 transcript:CRE03788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03788 MNTIDVTKISGGTKFDGYTVDHLIGSFKDDIEYLVKGKDNKNEDKDFTLYICKTPERWHGDFNAIKLVSKIALPKHFAQFVNSGEHNVYQYMVFKNYQNDLRDMYKGRVISTGEIKNVMQQLIKGLNHLDLAGIIHRDIQPKNLKIEHDGNFDNFILKIANFGSAVKYKTRIVDHHRVALQYRSIELLLCTETPKQASDRWSAGCVMAELLKGAPLFDASHQLEMIHKVFTFLGKPDKVWPEYNNLTMKSYICLDGYNYVEKPDFEKEIPLAPKVEVGILRKLLTYAPDNRDRFNEEERNYLLN >CRE03658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:380884:382218:1 gene:WBGene00078388 transcript:CRE03658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03658 MLQYAGYQPQKNYNFNFEKFFSQFPGFNAPQRSPPSTFSSNPSMPSSSSSRIFNVYGQDAEAFGSAIGKSIGERIQQAFSQQDPTYDIQFTPPSSPTSSSSATSSASSYASMSPSTSSSSSYPSSYGSSIPSSPYPTSPSTYQPTSSWPSTHPPEDLPTVQQAFQAQQQSYGSTPSIPQSLVSNSNGFGGLPGLLPPPNNKNQNSDCPWCSQSGGYRGKRIPSGEEKKKDEKL >CRE03676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:521394:522308:1 gene:WBGene00078390 transcript:CRE03676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03676 MEKFMFVGISGSKKWLLEVNNFASKLLASAHSLVATIPRPATVIAAVAVSAVSLVANALSPPPPQRHSTTTRTV >CRE03846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:285301:285573:-1 gene:WBGene00078391 transcript:CRE03846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03846 MHSIRHRRLKSQLLLLYKFIAGASHFPFLNTIVRLSDSPRRPMALIYLSPLSDNFFSFTIPYWNAITYNVNTFLSPSQFAILLDSSITRF >CRE03774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1187220:1202881:1 gene:WBGene00078392 transcript:CRE03774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03774 MCFKSLTLLGLISLVALTGAKLRWKCELFDTSQETSTKTTFVEFVKDKSPEPSIPDTPNGSNPPRSPRSTTTKNGKCRPRPKNETDAISTETQTLKMQKNRGMIQSDMPVNVISGDTSLPNVRYQRKESNQLLDLNIGPGFEESNDPYGRRADELEMPVAEHLDENVMPDFQFDDEEAPPIEEDLPWCPEDSDDDCDTADLESLSIAELVDLKEKCETTVSTERKELIDKLIRTERNQPEVVPTDRTAVLPPTGETNCSMNPSDILDEKKREKYEKECPCEENTSKMSEEEFEIFKKQCLDPIEEPGEPRKLIRKNGRCGASQKKIKEMSEKEYELFVKECYVEPKNIVLKKILIVNDRPECKEVETKKMSKSEFSKFQKKCITSKNCEDVDKTKMTEKELSQFKKKCGSITRKTKSICDVKDIEELDDEQFEKYKKKCILDEEDDEDCDSADVESMSKSEYETYKSKCQDEPIDCESMHPNELSGAEYKMYQRECGHSDSPPHIDRDCKDTDVESLSGKELAAYNRRCGHEKSATECAKINPKDLSDEEYKKYEIDCLSKEVDCTTADPREMTEKQYKRYEKACLKKDSNCKNIEVKNLNEEEYEKFRRDCLDELDCSNNVDEMSEEEYSKYQRECPKQNRGNDFNCDISNPQKLSMKQLKRFKRECVKGIPDCQDVELEEMSEEKYEEYAKKCLEKKTKFDCSNVNPEDLSGDEVSGKLAKYQRECLNEESEDCQSMEPRKLTKLQLKKYQKDCIKPTEDCQSQNPKELSDEEYEKYLKDCLEEDLDCESVKPSDLSKKEYKKFMRNCVKKTSDCTTVEVDKMSDEEYEKYKIDCLKKKGTRSDCDSIRPEELSPEEVSREKISDSNIIFQFQKYQRDCSDSPHSTENCRNAKVEEMNSKEYQKYKKDCLSNNIKSKDCDDINPDTLSDKEYSKYKRNCLGPRKPSSCKNKNPDDMSRSEYKKYKRECLDSSSSPDCDDVNVDELSDEEFSTYKKRCSSVPGESPEEGLSPDCEDVNPDDLSDEEYKSYKKRCKPKFEGENCDNVRVDKLSDEKLRKYVEKCGLFCGIERKEALSKKHQKMYEEKCEGDDSELEKDCKDIAIEKLGERMYGAFAEKCLEPINACSMLKTRRLVRKVYNEYRLRCKPRLRPSENPECKEENTEKMTLEQYKEYKKKCSNVGKDDCDDVDVNELSEQEFTKYKKRCPVEEAHEDCEGIKSEELSKEEYKKYVKKCGRRPTSEESEDTDCDSVDWEGMSIQEFLAFSERCRHTPDSIQKYCDELDWQSLSRKEYKKMQASCSNNPSKERMSPPNGSNSQNCTSVDVKKMSDKEYMEYKRECETRKSPNPDCDDVDVDNLSDEEYEKFKRNCGRRNPGTGPDYPEKPNRKDCKNVKVEDLSSKEYLAYKKRCLSPDESPDSPESPVIKKTIVIKKPDGSSRAEDCSDIDVSTLSSEELDDYEKNCKPKRGTPDCSVKDTSELSEEEYRIYKKRCGDSETPRRGSPDVTVEKTVVVNPSRPGPDSDDCDSIDVSSLSDSEYKAYKKRCGTDRKTPESPESPESPESPDGESVTVKKTVVINGNPDTNPEPSATVKKTVEPKDSDDCDSIDVSSLSDSEYKAYKKRCGSERKTPKPESPDSPESPDSPESPDGESVTVKKTVVINGTPDTGSEPSVTVEKTVVVKPKDSDDCDSVDVSKLSDAEYEDYQKKCGQSQPERKTPRPDCDDVDVDNLSDEEYKDFKKRCGKRPSPEFGEHAPDDSVTIKKTVVINKPPRDGSGPPGGSEPQNLDDCSNVKIAKLSDEEYEEYKRRCRPTRKNSSPDCENVDVEKLSEEELEEYHKKCDMEGVPPARPGEIDCSDTNVEDLDKEEFIAYKKKCGSPTRPKPNGPSKPSDDCNDVQVEELNSEQYAAYKKRCLKNKPRRRPESPDENCANVDTEDLSEKEYLAFVRRCPESVTVKKTVVSNKECQNRNTDGMTKEEYRQYKKDCSGKPHVELTKTVKIINGPPTGNQEDDECQRDVIDMSDEEYAEHKKKCGKPRKTFQFNCNSDVSKMTDEEFKKFRRACSKKPFNCETADVDALSDSEYIRYEKECGKRTRYDCKNAKVERMTSEEYAEYKKKCKKTTTKSSNDCDDVKVEELSVEEYADYKERCLSTPPPEASTPPSKIRRRIDCSIVDESMMSEEELEDFKKHCKVKVTTDRYRPGENIILTKKVKIRTGKEKFSCYDQDVDNMSDEEYAEFEKKCLSNPHFRFDCRTVDTTNLSKDEYVKYQRECQPPAKSIVLIKKLVINKTDEPVTDGCYNRDVDEMSDEEYAEYEVKCLGREPTEIPKKVERKTSYDCHNVDLSKLSYPEIEEHIKRCRSRETATTPPSPPRRQSLKCSDVKTDDLDDEAYQKWVKECLAAPKTIKLEKKLIINPVTTTTPAPRGERVIVEKFEIHEHLRPDDDEGYLEGNENFGVRITTGIRKKVIKGRPDDDSESEAGVILVKKVIHKVPGRRRPKGTDDEDDESGVIEVKKMIMKTSQPEPETDDSEDVEEIMRRPRKRIGPKEEVVLLSVTKKHPHSYRTKAKPEEEEPTEVVKLVKNTKHPDAVLREVRIRKHFGTGYPEEEAVVQEIGGPDFKITRKTVMIPRQVRKLKPTTTTTTTPAEPEYYYDEEEYPEIITMVKVEKSSRPLRKHTRPDDEDDEYVDVKEDKPKEYIEFEGFVKVHAGPRKLRKRPMFTDSGEEPDIREVTRKVVQGSEEDDFKGVKLRSHRKRLILIRKIPEEAPEDVEHEVTVVKKLKVMKIGNEETENFDDDDNVEDDGKVIVRNRKRKYNVDEGRRRPGEGEEEEEFVEGRVAVRGKAPKGFRWTTHSHDSERKGSRPPSISEKNSEYYKIGGSEEDDRKKMVEGEEEDVQIERGGVEETNRVDNFSQMMSTRVGKGWSPGXXXPEYEEESEEIGRKSSKPGGLDEDVIIKKLYRIGKDQKPAPGENVVVTKKKVVKFGRKGSQPDDGNDESEEIKLHKKRKMYKIGPDEEEIPDDDEDQEIVKVSKKKVYRIGPNGQRELVDQPGADADEDEEIKLLKRKKFYRVGPDGKRELIRTEGDGPAVDEDGEVVKIRTKKVYRVGPDGKRELVDQEEDEPKRKIAHDLPEDESGEDVKVSKKIRKSSTPQNDLPDDQSGEEIQIKKKKRFYKIGPDGKRQLIGTEGEDVPEGEGEVVKTGKMGFSKPHRDQPTEDESGEAVKIMKKRKRFYRIGPDGKRELVRTEGGDDSENEPTEADGEDVKVGKKIRKSSQPQSDQPDDQSGEEIQMKKRKRFYKIGPDGKRELVRTEGEGEPNFSSRNEPTALFRRTIWRQLWRCCEDHEEKEPIDGEEIHKMKKRRFDKIRPDVKPQGVRSAGNGDGEVNEIRTKKIAREEEGDNSPENPKFTNTFARARTSQXXXXPVDGEEIHMKNKRRFYKIGPDGKRQLIRSEGSGDEFENDHPDNGMEESVMKKKKRRFYKIGPNGKRELIREEEGDSSPRMSASHRLLGQNKNTFSPELLNDGEMTHMKKKKKRFYKIGPDGKRQLIRTEGGDADSRTPPMKSDGHVKPPPGKKTKLVSSQRGFFKGGHLSVPDEFQDDDESNGKGNEVKDDDGYEYDVKPRVKRTYVLDGQGNRKLVDEKTGDEESNLDLGNLLKPRRRTTETETVDREHRESQYEQKRSNRKTRSSRKAEPLVGSTRGGGMQKYHKDSNLRSSGKSEEAIPDEE >CRE03931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:809178:810333:-1 gene:WBGene00078393 transcript:CRE03931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03931 MNDVVETCWTSIPVTVKQFLLKFLDYDSRCNLKLCSRDDKALVDSTRYVPDVISIGEEPERTGENSTIYLRYESLILTVTERNGITKVSTNSSIEYNTIKSRYDVASLWVEKIKNRGKIEANRIKILNFSMTPPDDWILKCDHLEIRMVPSQHLSSWLQKTIPKLKTLNVQMYKIDEIFGMDQVKNTSEMLKLSRNVSMTDEELETILAPSIAIVSDGKITENGAKKAFRKYVENSRPGDSFELRFPIFSYFDHRDLFDNKWIREEVLTEDVTMGEYIISDTASMEDLRTFMELIQYQMS >CRE03815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:35203:39390:-1 gene:WBGene00078394 transcript:CRE03815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03815 MRQIVELRTLLGGEPLKALDLFEKIVGECDDDTILEASQCVSNYIRHSSIYKQRVLYEKLRKCSLLSRLYVSLQFNAFTDVSFPKKFIFDGSSLQEYDTRRTDEVPAHRHYVTAVGSNRFFNLGLLSDGSPVSEPHIVNLPRVTQIEMSNSHTIFVTKNNRIYGCGKASSFLPDRIEEHDGGYVALPTLINIPNIDVTKIVDSVRTTEGGTQILIEDEWYFIGKHPDNTAETRRISSNCSVSVKKNENLKKTKLQTANVSFDRKVVQVHVGIGYDFATRCIMWDRTTKFDAPKKLHFVINGFSRNLCCSRFDDFQVLSDGTIYAVSCGLLKGKLELWKNKDDGFKVKNGTVLEHFDTKYSLVAVVHEVPGTIGTKFFKVSPDGQNLIMKKGYDSSTQYDRNSLESKKPHQFESVQIHRIMETRENQFDPVDIPKDLNLIFINSKTETKSRWLEKDTIYETEEWDYPKEEFQINRRLFEMIFPHYIEGIRENEEIYLYQKDMNCELFIDSDRPSTKSFVPPSSADQSSIWFFISIEKTKIPCHKNLILLHSRQITAMQRFNTNYGNFGAMELEEEKPVEIAMNVSADTIRNAINGMIDIRTLYEIKTLELIECINFYDYQLMEELFRDSMYILMDTITEYTLPFLYELFWSFENNVIEGIATRPEIFWSSISAIHPPKEFLLKFAKKLEHRYKNVSYKHVDTDELSSYDPSYVIRSLVNLDEDLEDVVWNSLRKSLDDPLTFWYSDAMKKKEEKRAERNRRNSHTCRQDSISMTSSPIPIGGHPKTESFSKSLNSPVARSPLTQSMSPLGKALPILKPRNDSISNSFEDFPEVGFSLSTPKSSSGISPGGRFAPKGTKFKKDFEIRKPATPTNPWKSMSPSTSSIREEIQVKQVVNFDEVVRKEEKLQKNIRTGFKKVQLLPHVELEELAVAQILEVFGQELHNEAIINVELVNDDNFDVENEQNVWGNMPGLVRR >CRE03816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:39598:40736:-1 gene:WBGene00078395 transcript:CRE03816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03816 MKSTTRAPKQGHRPRTTSGSVLKPREELLRDSIRCQVSYEPYVLMSKEETWEEAIRLATSLEKKPDVVRKAIYNRRHFINRKIEDGLVKFQVLDPRSPIINDIAEKAQTITINVVHFLETHYKKICAQINAKMEIQQTA >CRE03727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:794755:796331:1 gene:WBGene00078396 transcript:CRE03727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03727 MDLVPANMLTVSCLREEKFSLKTSCPICKEEFDPKLNIPKVLNCGHSICVNCLKRLLESVQVSYSGNGEVFTSLSCPICRASMETRQAKSFPNNFQLLDAIAPEDARIMTCPVCKLTGAESSFHICRECTIENYQFDIREILNEKPPIHPDNYTICSTCVLKEHNKEGHTVISYVPVRLDHQFKTNKKSVDVLKSQMTEKFSSVRVILTTIPQLVAKKEAEINRIVALMERAKSLQSLDKIFEKYKMEMNEIINILNLLIEGGGKLNEMVEIKLKNLEKANEEIDAKHCFAEKINLLEVLKIKPEEIEDAVVELEEPKTRIQKIKKLIWNTVFPIWNQINYNSLKILNEDIHLAHQKLFIAFIIFYGFFLFFKNILVLF >CRE03789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1322975:1324788:1 gene:WBGene00078397 transcript:CRE03789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03789 MDENSIGDYQLGDSLTSNDNLYSDCEVFEARHRTTQKQVIIKLARDVDNANREMEILNVLSHEFIVKLLESFQTENLRNVMVFERYPRDLQDVYTTESLESNRIQKFMRQLITGLEYIHGKNIIHRDIKPENILVDGNDTLKIGDFGLSRYADSNVIMTPEIITLWYRPIEVLLECSNHTTAVDIWSAGCVFAELYRRYPLFKGESQINMLNKIIKVLGKPTTEEWPTMNDLPIMQSIELDGSNLKRYEDAIPNVSEMSIDLIKNMIKYDPEQRFSASQILQSDYFQNEDTSERNRSRDPPDFP >CRE03844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:262015:264022:-1 gene:WBGene00078399 transcript:CRE03844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03844 MDRAKKKFASAIDGLRSLHNRTEINVDEKVQSEHCRFTRVVRHGLPEDPRCCAYDPVQRLIAIGTGRGHIRIIGDAGVDYLLKHESGEPVLHMQFLVNEGGLITSCGTDSIHLWNYRQKTAEIVHTVQLSKESVSCIHLPVAGKWLFIGTDKGNVYFLCLNSFLLSPYVINWNKAIDLSCRVHPGPVRQLSISPAEQTKLLIVYDKGIVVQWNLANKEVDRYPLDPPIKSVNWHFDGRQVLTGNVDGSISLYGNKKSSEPIQRTTPHGTGPCRPIQQVEWKHMSENESIIMFSGGMPTDDGLPMPALTILKGGKSATVLEMDWPIIQFIPLNQNIWNCIPQCPHSVAVLLKHDFMVLDLNQQGHPVIESPHSMNIHESPVTCMAYYSDCPLDLIGALTLVGTKQRNKEFSTRNKNRSRERKAPSKLLIN >CRE03639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:227892:231034:1 gene:WBGene00078400 transcript:CRE03639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-163 description:CRE-TAG-163 protein [Source:UniProtKB/TrEMBL;Acc:E3LXE5] MPVGPPVPPKPSTPILNGERLGEYGVDNVPERRGILPASLREKINQKKELEGRATPSIEPDLVGRDRYDKASRCFSYVPAKSMQEAFERPRRTSFSRAIEKRENSVENISHEEVARSTIPQSYQQQDINTPMKWDDQVEDAKQAALLEELARAPSAMQHNYWGGSGRDQDEIDNVQVVEQAQRAVITPTSTLQRKPKPPARSGSYRTLNDDAYCSDMDELCDPEYYLNFNSNTAPVPPPRHQHAGTRSVQLPRKKMNFDAVTDPLDDVLESTKRLGSAYSVGDVRQNGQDSQQKNNDFNFSNTLNNTPTDYRQHYRNRNCQSVTTPRNNHFSSAREQESDAADSWLSGKLKKVRSKRDIDPDIVRRRTQEKMLLEELKDSAANNDENQQQLPNGHYRGGNQNIDPLAEFRREEERLRNTRSPYGEERWRGRMRGKPPTPPPRESSASPVNSLARGTPSSHLENSRQRHNQSVPLPMHHRQFDEDFDVNSLLNFSHDPRQQSNTLERGGRSLSRGARIQDAYYASQQDLSANNRFYSGQERVAAAIYRAETPHRDIYASGTINRAETPGRYFPENSAVLERSSTPSFPVSRATPLPFHPLLYNNGERERGVGGPNGYSTMNNRSASPRHFGGSSTLSRRSSVNSVGKIFMNSWDWDSPKTVTKSQNF >CRE03677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:526466:528774:1 gene:WBGene00078401 transcript:CRE03677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03677 MHRAKKKQSRRSNADSSPEHSGSKVSLSNDKTVSKWVAGGAGSMTCATVRSALSTVHFSINQLSEHVGKTQFTPRGVWEVQEVETVQEGEHEPRREKVGQDLRDVWSDEVTQPLQEVGQLVPMFPQQPRFNNSHRDHYYSEAGHLTIVTTQWLGKTIKKYAEAVQAEIDLTEKVESFRRDLRSRENLIEVLKLKLISLLEQKYGPDQIEQLPELLLVKELLRLGEETEGQDRHRRLSTSSVASYHTSLVREDQEDNADPVSKIDSWAKEMESVHNQEESPSKELKCSGNSKKSTPKRKNTCFFCGKEGHFVKECREKAKLLATTPARRQGTAPRFPSKKEVNNFASKLLASAHSLVATIPRPATVIAAVAVSAVSLVANALSPPPPQRHSTTTRTV >CRE03737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:848154:848640:1 gene:WBGene00078402 transcript:CRE03737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03737 MNCFHILLAISAFFATASSSDISQRNVDFLKTQFGFLEKFIQYKDRDSINKLITKYFLFQTTCDGNEMGREQLIDAVFNMPSNASFHVEVLDAKYGNEQHKCIDNLNLKLKIHGFGEPVVVEGWWGIYDLETVATWVSGRPEGCRK >CRE03896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:591458:592197:-1 gene:WBGene00078403 transcript:CRE03896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03896 MLVTWEEKDGLFLRNALSDLFVIFLYYYCLYYMLGRYIAITRPTVNHLLYAKVFMILGFIKSFWFLYFYNLDFEITKKYFPFSIAYFIPPHVFILGLIQNRQPPSYYLRPNYFSSGFLRKLFYFGIFIASTISTYNNQPGKCPHQLISVEFCLSIYYIVAWLKVFVMLIFVSMVESAWIYKMAKHIDIYSYGVKVGELVWVDAEGARVERFHD >CRE03803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1416188:1419007:1 gene:WBGene00078404 transcript:CRE03803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03803 MTTIKLFSFPYTVQRTIFQTMGYDDLFLISLCSKNTKRFVKFIQKSSSKNIAYIKCYARFEGLSVTVVMRNNEFSPVLVLQPHSVPYFNSVGWQYIHQTEIAGRNFDVFLPVYGEFLRPTIFYDSDDGEEDVETIHSYICDLFGYDKEYRLEADGFFYTEAKLVSRLKNINITNFGFRAICDAEDIDSFFSASPNQKFVTGSIELCGELRENSEFYQTDIIDIYDNDSMTSGILKNFNGRKAFLSVKTIETSDITQLINRWKSNEEFQNLEFLTVQIRNLDASFDIDEIKSFCDVKELDPSNEPLVYKGEIEFFGYSLLSWKLTEFSSRGYVVRDSDQHVASVSIHEKQFVIAVWNITKKEFLENKLIAEGKITFKKPVKRTVDADSADEEAEKKKKKSTPTPTVKKGLLSFGDDEEEEDC >CRE03663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:407258:408393:1 gene:WBGene00078405 transcript:CRE03663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03663 MTEQERHQYIPEHPVPLTLETIANSKTERFEFGKVSVVRVQVIAIVESIEIKDNSLVVLVTDEKRQNRVMVQKVISSSFPRNRAEELLVGDFVDIVGKMRVMENGTSFLNALGLDIVGRAQHDCYVSLCQISKVFYEKNLPSLPSGTQTKVPTKLGLKKKVGSLDRFPMEMLEESSSVENNMGVWPKEIEELAAGASTSGMEVDPFRTESSDSDDDSEDSDEDEEESEEESDTEDSFDAGPPKTEPVEQVKSSTVQESQMETDDSFEAGPALIIPVKEPKIESGKVGTEIKEENIEVEFDSFENI >CRE03809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1440007:1442532:1 gene:WBGene00078406 transcript:CRE03809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03809 MPVAVAKEDSWAFQPIGSPFPEAPIRVPNQNNQYVALWYKHGKPIHGRAWNNDGVVECSFPYNKAELKGKQDLGGQIQILQYKGDYNSLGYWYEWLPLKQRHENNEGVREIVRCGNSVPVLAKLKDGTDKLGYLDLNTEIALFSNGGTTEKFEGGATANFMTIFRNLRPPPTGLKVYDDLWYDLRYGDTFPSNAVPADGRALNTETGPHMQYVALWYKHGDPVFGRAYPNSAGKTNAHFGKNNQESAGPEVGSPQL >CRE03793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1337820:1338266:1 gene:WBGene00078407 transcript:CRE03793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03793 MDQPLSFDQIRITNRKSNERINGPSFILILLQVHLFTQHRYIPVLTIYEGDQIVHISKIDYTSFITVTAYHGNELNQFKTNTNPYATGSRQDRRQKREAGEQFSICEENEKGVRTIHI >CRE03611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:2078:3007:1 gene:WBGene00078408 transcript:CRE03611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03611 MNSDQDNASQDGKAPSLPPASPSSGNTAQLDADIPGFEYELEENVVNTSSVESTSSSAPDFESGRHPNAKLFVIIFALLGNSVIIYQACRDIPREYRSFFCLIFSFDTCILELDRGIKLIELFEFLLHITLELLVPLSTIITFFITQLLISLIPIMSYRLRNPVPMLAKIIFLTMFLHCLANILKSLSMLSYLGTTDQKEFWQEYLIVSGLGLFWMYQLLNMSTFSRLTTLNYYRAQETVRLRNNPIDDI >CRE04000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1303012:1305541:-1 gene:WBGene00078409 transcript:CRE04000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mys-4 description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:E3LYA0] MGKRIDRAVTKPEVKPEIKNRKSSQINTIEIQTDNAPTPRRKLARKHNIEDTPLNGEPAAKRNRLENSTDRRRKTSVAEKETGEEENTRRRRTLKHGEVAPPQCSFCKEEQKSEDEELLSCTTCKAYYHPGKCLRYKDELKTNIRKLKEWFCPRCVLCSSCERFISDPSNVECSVCCRAWHGTCAPKGHYPSIDFDSAWYCGACCRSRNFRIYESPPPTPSRPGPKGRKSRAPPVEDSLRFDCDVDELTDLINRRDSTMDALNIENGLSKSATPSPLSSTQNNKQDEKPRMNGKTDNSCKKKNANIPLVLKEDSDLYDGSRKMAYSSKPSSSVVPTGQLLHFGTGKVCKAIYASAYEEPLHSAPNLYSCKFCLHTTHLKENLVIHWDHCTAKHPPGNEIYRDDGLAFFEVDGAVQKKYCQDLCLISKLFIASKTLYAEVETFIFYVLCEITTEGYVIVGYFSKEKNPSKNNNLSCLLVLPMVQKMGYGRLLIDMSYELSRIELRVGHPEHPLSDLGILAYRGYWRSSLLCYLREHRKFDRVNIKDISLATRITPVDIVNQLMFDKLITLKGGVYTLKTGKRALKFPLSQCRRRFVDPKKIIWRARPTKEYLDPTKCNSYVSV >CRE03969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1043292:1044296:-1 gene:WBGene00078410 transcript:CRE03969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03969 MFLRVFIFYHLIFEVLSITKIISINGEVESGPVPTSVDSDTDCPTSCYFNSDCMLASLATSGQCSLYLFSALTTGLKIAKSTETTESIVYFKFQVTSSDDTCSPELNNTNLIFASENGYTYKWEYTKEGFSFPRCRDGWKQFDRSSGVSVCMTAVGLGNTNKVNAQSACQKLSGMLIGLETQVEAQWMWDQIKIQKGYEGGNYWLAGERINNPGASGCKTTDFLIKWSDDGMTKGVAITKNTNVSNLSCTDGKYNEDCFNIINVRGVTILNDVLCSSSSANGAICGYKL >CRE03848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:324511:325410:-1 gene:WBGene00078411 transcript:CRE03848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03848 MLRWLTLLSCILLLHTNGDSVEYDEDLTGPQRKPPPPPFPFNVIVILPKKESIYDNFGMTLQKAMPVIDIAVKDIVKAEKLPPGWINLTYWDSKLYEDILLAERHATVGVIQAYCEHRLDAILGFADNYGLATVTKVTAGLNGGIPILTTSGMPSLLNSKKEYPFLTRMQGSYRLLADSMYQLIAYHDEDSVSQSNSSLNYLNLIFFYHDKRRAVNRVIAQDESQETGATSSHCYFSLYAIKRYFTEKSKTFKREWALNTPQFPFDEDLVIERETFKQWLREISLQSNGEFLFECVDWR >CRE03924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:743013:743267:-1 gene:WBGene00078412 transcript:CRE03924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03924 MQQLLRFNSLSFQSIRWNCPWHRNSFRPCYFPYKMQEKLNVDTVEKRTETRGGRLMLMRRILREQKFLGYVTKPTDSTKISYPL >CRE03875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:472053:472337:-1 gene:WBGene00078413 transcript:CRE03875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03875 MLSKLPKPPDYNEEADVEAEQQKTNFLLTVGFNLHYVCKIVHMSPEARIPLIHRVTNENIPTNERPRNEDIVQFFKTHPEWKLDTDLFSLMDID >CRE03897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:594241:596267:-1 gene:WBGene00078414 transcript:CRE03897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03897 MSQFAIYNLNSTIKLSKHQIILQKVYIYMLFVFLHYLFIYRIFRKFIQGKNLTWKILLYIKKKKKNLICFAYLIFDIWTWRTYFDVKLAFPSNILISWATFPPLCILLFCFIQQSTAYRIQRDSSMDTRIKMLLLIVNLSIHKYYPPSYGRCPKSVSIELCHVQSLWIGRLTSVVYYYFMWLITDLWLFWKAKVLNILSRGRKIGILRKTRNGNWEEISVVAMVTGATYIFLLLFFPFLVLSMPSERSIQNEQEGEVQKFIEKQLKLSVICAVISFHLATWSLFRKYMKLKKLDRGKLIVMKLCAVCSILMINIITYIWQMMFDLMFSNPICHFITFILIPTLVISFQAICQQNSQYRLQLESPPNTLAKTLIIALFLYILNDMFRVEMECSTSEYADKCYFVNKYINYSVIGLKFVNFWVTEDFFEYFNAKSLDIVSNGKIIGKMIVADGKWKREAAPKKE >CRE03670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:494836:495621:1 gene:WBGene00078415 transcript:CRE03670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03670 MIPMNNNYKFLLLISTIIVFIFLLQRSDNISFNNETVFQVQDFTPKFYSTWISCGERKFLNTNVNANKFWDDFKGNSNECDKEADISKNMKALPFQNRDEMKYAILPVQVSLFEISDTHSIF >CRE03802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1409634:1410752:1 gene:WBGene00078417 transcript:CRE03802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03802 MTSPLPLLSIPYVPLNKIIDFMEPSSLVSISLCSQKSRSVIKTHRKIPIDGRLHISYNDKRFRISFNTFLKQFPVLGVFNLSKMPSSVREECIKLNGKQVPVRLNSQRGFLLTYWEEEVEGLKTLTDFITSLFSVDVLEITFTKKSIWMIDWVNSRQLTPIATAFCKHGKDILTEEEMLHILKECPASLETVIYPSPPPNFQFRENFRKIDCLILSHGLWVTIENLLNMDGIEILLKTSNLSCIDINMLLKHWLSGGCPRLKYFMANVNDEGFDSIFTDLWDDVVIVEDFRQYRSPFGCVEHLNYGYDLQRADGVTATVCHQENGDMIIVVWPESVYEHV >CRE03934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:822791:826390:-1 gene:WBGene00078418 transcript:CRE03934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-otub-2 MTTCFPCSIFSISHHFSSFIRGFFNISPKNQLNCFQTSPNTLLDDRLVLKITQQRIEMYPDGLTESEKLKVKELCTMVNISDEDAFKLLKENDWDIAISSRRVSKQEDKSLVPGTSREEIGGDLDRLSSRLRVHGLAFYLPDFGGFPNEFRTFLEKDLIETQTQKRLEASRHLNWWHQFGQKLYPLSTTGDGNCLLHAASLGMWGVHDRQLSLRETLYELLTNGARKEAIRRRWKWIEHHLNQSNDLALTLSEAEWQLEWDVVLGLSSPLPRKQEDNGSNSTDQIYESLEAIHVFALAHVLKRPIVVVSDTILRNAKGEELSPVAFGGIYLPLECPPSQCHRSPLVLCYDSAHFSPLVLMRTETNNKQIIPIIDVNRNLLPVHFSVDPGENFDWSKLDNNSGFTPADMSQIDKLALISQYMDIIRLDVRRGSIRSTRRVRSAHAQLTESRDNHHNRNPAHPRDTSANNSGDEASHQQPSNSAKGMTLASSGIAAGRHEKWRLINEIRSHVLRTLRITVSTNRGPNKEKLIDTDDCIARMNSTCVLASELLPTHHQYMDKIINEYMKSAKQRFQQNQRTQTDSRKRISRSFSASSLMLTCIGCDSEFKPAAQVTNIMCDQCFAWQKMSVLTSNCDQFMGNSGPPCKSSTLPSFGSDGSPSDKENIVEVLNVVPKDGTKALTSMRAVEDENGVVHYYMDDDVTDSIDQ >CRE03821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:91620:91907:-1 gene:WBGene00078419 transcript:CRE03821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03821 MGLIQLYSSFSLFFSILFLLVAFFVIYDIFDEINEFYEMIERDMKKFKFYSSAASRTIAGLDKQRNLFGGAVSF >CRE03696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:645049:645541:1 gene:WBGene00078420 transcript:CRE03696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03696 MLKAEKKPIHVIFIVTLSLFLLLTGYSLHKPLPEGFTVTQWDRTVMHVVEPALRVAYYYPSQMFSKASNMVYWTRGVLNILSKTLGLLVYTQGQIDIEWQNWNGTPVKIYRPINN >CRE03673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:502861:503561:1 gene:WBGene00078421 transcript:CRE03673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03673 MKMSGPPYMHMWFHTKPEDTVLFKTWNVTDAGTMAWVCAIVVVAGIFLEAMKYMRWKIEKWQKKKEEVVSRGYFSRLFDPIHMAQSILFMIQLSFSYILMLLFMTFSVWLGIAVVVGLGIGYLIFGSRTLSSG >CRE03903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:639448:640446:-1 gene:WBGene00078422 transcript:CRE03903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03903 MSKRVTAKKNERLNRLKGNGSCEKWRNRYTEQKKLSAKKTIETNLQQRNEINSISSERNKLSKSIEVMEDEQKQRIPELGKYTALQSAHLQRKAVLKCEKYMKSGASTVVDYNHLLQKIIGKADSSGQSPFRLSPNQTFVLRSSLHLSDGDLKTAKKIFRQYLGFDVLSSRNSVNDIKKELNICENYDIKIV >CRE03694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:625487:625720:1 gene:WBGene00078423 transcript:CRE03694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03694 MKIVRRQYEEATVYTPSDLDYVIAIANYLSDLFKTSFGEIVIDIQEKEISEVQCVIDVIKQCERLILVSHRKQHSNQ >CRE03791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:1334132:1334452:1 gene:WBGene00078424 transcript:CRE03791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03791 MTSDISVSLTGDKDVLWKSCFEMDHEMMITVPGRRIFPLLEYEVKGLDPLKIYSMSAHFELVDEMKYRFVSGNWTQSPSTEDKGDPRIVFHRNGPQLGQNWMSGFA >CRE03951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:913122:913328:-1 gene:WBGene00078425 transcript:CRE03951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03951 MLKCYKVFIRPIMEYGSTLYSPTLKCLIRKVESVQKSFLYRCSKKFNFEYQSYFDTLEAYGLESFLLP >CRE18117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:204277:204679:1 gene:WBGene00078426 transcript:CRE18117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18117 MQRMMSAMCPGSCSGRGGCYASPTGPECQCREGFAGKMCEIVVEKTTSAVVAAAEDTSDFWSILLVSLLVLFSLLGCCGAIVWYFRSKNGDRIGGLDEISSKAKVAPKKIKEYLENLV >CRE18137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:141731:142734:-1 gene:WBGene00078427 transcript:CRE18137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18137 MPLPLSYPGLKCVLENLEAVKRAHVIARAPGLQKINKLIPLCLENFFIDYNELSINTLSIICYTEKVKYLMNGKTLSRQISESEEEKMKKLIKYYICGRSIIHVDSLDWCDSFQPNVNGVNLKFRVNSLKALFPKDFETAIPLIDPRSFPLKTLTTFPNTSTFDNHVVKLAETLKLNLMIDQIVPVEDLKKLNNQTVVFDGYNPSSIDIISLIKYHVETKQDIRTTFVILLYTKNLLGEMLREFESAFDEFQCDLDGVNDRFVKYKKVKPIISFQIYSRIFRIQVYAIEVPEKYCPYKIIVKPVSRL >CRE18096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:11118:13209:1 gene:WBGene00078428 transcript:CRE18096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18096 MALKSLDSRLNAFSKSKKLQRTCVCPFPPCYRIVDAIDGLKGKWQRVKRVPFLCCCPPFCCLIQRSAFWPPRREYYFFKPPPNDISFQVNEESEAILMRDRKKKLKKLKRAKACIPGEMYRFGLSHPCAEDVK >CRE18148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:324534:326077:-1 gene:WBGene00078430 transcript:CRE18148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18148 MKIAGGERLVSGSDDFTLFMWNPKETKQSVNRMTGHMQLVNQVVFSPDTRYIASASFDKSVKLWCGRTGKYIASLRGHVGPVYQVAWSADSRLLVSGSADSTLKVFELKTKSLYYDLPGHGDEVYTVDWSPEGTKXXXXKLSNIDRRLCIERDYFLLILYECCA >CRE18109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:143048:143539:1 gene:WBGene00078431 transcript:CRE18109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18109 MVFDDASWDTLFNDSFDKFVQGLEKEFRENAEYRKEVLRYERKRELKKLKKRRKDQRKKVMKIRRQTWIAFRIFVMIFRSDIVTLDTLDIEFKNYKHANPSPISLEKTFVPRNKKKKNLHLCYEYRKLEHG >CRE18108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:133224:133601:1 gene:WBGene00078432 transcript:CRE18108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18108 MSSSSTVVKELNFDSERSDDKYLSQILYHIDAWDTFNIDIKTSYRFECLRYFKSENFELKSGGWFTFYNLQLVDCAFIKIMGTQLTNCNMKEYLMQWIDGNFENLRSLDVEMREVRDEEYLLDGM >CRE18114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:164173:165215:1 gene:WBGene00078433 transcript:CRE18114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18114 MPLSYPALKCVLENVEAVKRVNSLNPTINRYFESALPLIHSDSFPLKTLKTTVIGLDTYAHPVIMHAETLILIINTFNEAISLSNIKNLANKIVIVDEQCNTSREYNIFELVKCLRNGHKPIGTTYIFSSYFADIKHLLSKMVMAWRRFSDQLNDMEESRFIPDAPRFSIPMDDVEDSRRIIAYGVEAETERAGQQWKVVIKVVSSI >CRE18125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:326981:327826:1 gene:WBGene00078434 transcript:CRE18125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18125 MIKRXXXXPPLIFERGRRGAREQCLAWQPMHKDAMCRLLASSGKDGNIFIWDTVKGAVVRCLSGHTASVTCLRWGGEGLIYSGSQDRTVKMWRAEDGVMCRNMTGHAHWINTLALNTDYALRTSCFEPSKRCVKPDSVEEVENYIFSLKIAEKRLNLSHWRACDAFFS >CRE18113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:162586:163664:1 gene:WBGene00078435 transcript:CRE18113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18113 MPPKPLSYPALKCVLENLEAVKRFHITARSPGLQKIDKLVPIRLDNLCMARYELIFNELSIEFWPDVVFNNMRTGKSICYSVRSENSMKKMIDYYLVGRSQILLNQLDSPINNKRKADYAANLKLRVNCLNIRDNNHSDEAIHLIHPDCFPLKTIKTTVIGPETSGHPIFQSARTLILSGGNYDMPPQLEDIKKLHNETIVFEEDPFSSRNLGIFKFIRYLKESVPPGRTFILLQSDGYVKTLLSYVKMGCSRYMDPLDSVQERFIPGAPRFLIPINDTSSIQIYGIEEIKNGRKADKIVFKVVPTDCCKLQEDHDANRLSRFKKYNSY >CRE18112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:160768:161820:1 gene:WBGene00078436 transcript:CRE18112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18112 MPPNHLSYPSLKCVLENLEAIKRFHITARTPSLQKIDKLVPIRFENLYMTGTELTINQLSIVFQPDMKIIDYYLVSRSKILLNQLDSNDGRQGLSFNLKLRVNCLHIRNKNHLEEAIQLIHPDSFPLKAVKTALNTATTSDHPIIKSARTLILSTTRPPFYRPPQLEDIEKLHNETIIFEEDPFSSENLGIFEFIRYLKESVPPGRTFIIMQSDGYVTNHLSNVKMGYSRYMNPLDSVQEKFIPGAPRFLIPINDTSSIQVYGIGKETNERGMGWCEIETDFERRRQRQKVVIKVVSII >CRE18110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:156613:157608:1 gene:WBGene00078437 transcript:CRE18110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18110 MTSPLTYPALKCILENLEATRRLHITARTPSLQKINNQIPLRFDSISFNSDSLKINGLSIEFRWSYMYFSQMEYLLKRNISGSPDEKMEKLLNKYFCGRSNLHVDTLDLGPGTVPAMNIKLRVNSLKPSVDHYFEPALFLLHSDSFPLKTLKTTVIGAETYEHPVVKSAETLILSIGPDEPPIPLETIKKLTNDTVVFHYLQYPMTKFDVIGLTKYLRANPSEHSTIYNITSDHFLYVHNLLFQLSIAFNEFGSELTGVQGRFIPATFRLLIPTDEAKTQIQVYGLVETDQWRLVIKVVN >CRE14406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1102:1530:3346:-1 gene:WBGene00078438 transcript:CRE14406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14406 MRNLIFVAVLATTVSSLSFWEKAQGYISGGASANASANVGGSGSVSGSNFLSGLQNSSFGQAVMGGIDMYNQAKNGSGSNSWQTALANSSFGNFYQQGVDFFSQGGNGNGSFIDAAVIQQTFGNSSFGEVVQSGIEFYNNLKNGNSPFAGDASSIIGNFVPFLANASTEAKTEFYALLPNIGNMTIAEMETAMDAWAAKYGLTEEVQAFNQRSENATAVAEEHANSLIMNLPNVVNNLKAINDDKNQTLIEKHTRLMNYVNSLDEDTKDIVFVLFKSFLPPQFTKPKCIGSGGGFGEIYQQALEFFSGNGSSLGMGHGSGSGSGNGKVGGGGMFGNIGQFFSSFNGNGNGNNGSGNGKPHPMIGVLSNFMNKNNISESEASAVMSGAQHDGGFASIQILPAVELNVGDASLASDAQIGLAVIDETHTTKKNKKQQQANKNKKKTTTVAPLAADANVALEVHAQVL >CRE14403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1102:4296:4780:1 gene:WBGene00078439 transcript:CRE14403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14403 MNHLYLVLILPAVLAVQLHNHVGFIRFTQPVPDFLSTLSLKAQYDYKVILENETIPLNTKSADFKKWANTYNVMTQYTQYEIQQNSTKVQMEKNVTQLISQLSLVNSQISKILENGSLSIKEQREAVNELAEQQYPKVIDLS >CRE15089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1103:14989:15258:-1 gene:WBGene00078440 transcript:CRE15089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15089 MGLSSLAGKVFVTAGLGGMSGAQPKAAKIAGCIGVIAEISETALLKRHQQGWLDVYSKDLEEIVNWIKEYREKKAAISIGYLGNVVDLW >CRE15088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1103:685:4767:-1 gene:WBGene00078441 transcript:CRE15088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15088 MHIPSLFVDPFSPLLKHPTEQCLKNVAHAPKRPCNLTTVEKQLAVRNALRYIPKEHQALLAKEFAEELNTYGHIYGYRFMPNFDLYAPPVSEIGPNCEKASAIILMILNNLDKRVAQFPQELVTYGGNGQVFSNWIQFRLVLRYLFTMTDHQTLVLYSGHPLGLFPSTPDSPRMTVTNGMMIPSYSTKELYDKYFALGVTQYGQMTAGSFCYIGPQGIVHGTTITVLNAGRRMGLSSLAGKVFVTAGLGGMSGAQPKAAKIAGCIGVIAEISETALLKRHQQGWLDVYSKDLEEIVNWIKEYREKKAAISIGYLGNVVDLWERLAEEPECLVELGSDQTSLHNPFLGGFYPAGLTFEESNELMTSDPAKFKKLVQDSLIRQIAAIDKIAAKGMYFWDYGNAFLLECHRAGANLLREDAQDDKSFRYPSYMQDIMGDIFSMGFGPFRWVCTSGKPEDLRLTDQTACKIIDELKETNVPEYVKQQYLDNKNWIEEAENNELVVGSQARILYSDRAGRVALAAAFNELVRTGKVSAPIVISRDHHDVSGTDSPFRETSNVYDGSAFTADMAVQNCIGDSFRGATWVALHNGGGVGWGDVINGGFGLVLDGSPDASRRAEGMLNWDVPNGVARRSWSGNAKAQEAIRRAEQQVDGMKVTIPVEADEELLKTLKF >CRE17680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1104:1629:2301:1 gene:WBGene00078442 transcript:CRE17680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17680 MLMWFVDEIICKSSEDFNATLRNSKKIEQLLSTSTADVTPYFQVLIREIDNPSGNLLDGTPCTPFGFTGFGCNTLLTGGVAVGSDITTTLSGTALNTHGETKISNLNLILKDVSANAATEFTFHSDIKPILGKI >CRE15822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1106:10965:11925:-1 gene:WBGene00078443 transcript:CRE15822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15822 MNPSKPFPILRLPFLAIEEVFKAMHPFEIINLSMISKRAKGIAKQMRFSQKYSIDLYINKTLVIMIYGTKDLVSCTYVMTSDKKMNGKSDDEEHNGYIIRKVFKYSKDPVEEWKLLFKYVREIFKKQSIDAVSMQMDAFPEQNVSIIDFFKANVKSVSECWLSQKDKSINVEKHTAYFLNNITINSVLFSDVYINSVNFDGRIPKNLKELYIINSEWIGFKRLLEIDSKTVTLKKYKISNEQWNLFFKKWIAMDTHLNLEYLELDYRNIEQFRALVLHDIPHEVVDLGVKRVLKM >CRE15820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1106:7054:7473:-1 gene:WBGene00078444 transcript:CRE15820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15820 MAFYSKYSVQLCVDKKMGISINGTDELVSCLYVTTSDKRMDGKSADEKDDGYIIERTKETIDVLLMTMDAFVDQNVSIIDFFKANVKSVNECNLFQWNEGKDVDEHCLSPEKYHN >CRE19576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1109:2075:2424:-1 gene:WBGene00078445 transcript:CRE19576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19576 MATLHILLLVLLSYGFSILLATEACAVPTADLSPAKHADVPIGGGDVVLPKKNPTATETTPPVGNDVEQSEDKSEENSNSRYQPITIFSAILTLILCKMV >CRE22592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:146817:151667:-1 gene:WBGene00078446 transcript:CRE22592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22592 MTERVRSRHKTRVPHTSEDVMAFEPDVDFSTAGGHFPRVYLPQEERKYSDGEEEYDDDDDYQHLLPDPNVDPNSNWLKRTHDTLKGDISSILLLLFLYLLQGVPLGLIGAIPLLLSSKHVSYGSQAIFSFAYWPFSLKLLWAPIVDSVWSKRLGRRKSWMVPCQYLIGLFMLFLSFKVNDIMGGNDGSPNVIFLMLIFLPLNFLAATQDIAVDGWALTMLSKKNVGYASTCNAVGQTAGYFLGNIVFLALESSTFCNDFLRSKENHSDTGIVDLAVYWTSGHTDTQIHLEDI >CRE22573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:171213:171484:1 gene:WBGene00078447 transcript:CRE22573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22573 MKSVILVLFCILLLNRSVFATYDLSDGELPLERFQIVHSGNGNGVKNSETKTDHHIPDSANFPPRNYYHYRSK >CRE22598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:229174:230113:-1 gene:WBGene00078448 transcript:CRE22598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22598 MSVERFLVFGSVIAWVLLTYCSRFWIEAVDGYLIGNRRRVIAMWRIECILTLLNVFAYGQLMKWFDDDIVKEKVQDRRKMQLEEWEICTNN >CRE22600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig111:241836:242378:-1 gene:WBGene00078449 transcript:CRE22600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22600 MFKQLLAEKTSLTPSDDEDIAVPNANPIASPGTGYGSSVPSQNFRTPSGTGYGSSFPSQNFRTPSGTGYGSSFPSQNFRTPSGTGYGSSFPSQNFRTPSGTGYGSSFPSQNYSAPSGSGSKIPKRKSKPKKNQAKRRDESGAFLSTGVTAEETICRDCGTKITNTRSQARHLTVCPATKP >CRE22217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1111:6074:7218:1 gene:WBGene00078450 transcript:CRE22217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22217 MAKNYPSFIVDAFTTQSFAGNPAAVCLIPQKLKDEEYLKISSEFNLSETAFPVPIGPLDFKQCSQFSLRWFTPKTEVPLCGHATLATSHVLFNEIGNVNEEIKFDTQSGVLIVKRGDSGNVEMDFPEYDLTSMKFNDTPNPLHGILSELFQFEAPSFLLNVIKRAVPAEMSIESVVYSSKSKKLIIVVDPETTKFELESVKIDSSKMLELHDGSFVRGLAITFSPSNPSSQGFKDPSNEPYDYVCRYFAPWVGIDEDPATGSAQCVMGPFWSIMLGKHELYALQAFPGRGAQFRIRLRDDRVVLNGPSVTILRGEIALKHS >CRE21654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1112:3185:5811:1 gene:WBGene00078451 transcript:CRE21654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21654 MENMSSKMSFTEPGVRLSINLRERCRMHDLNEALDDLRAVIPYAHGGSVRKLSKIATLLLAKNHIIMQAKAIEELTVLVSQLKKKTSSENSEPAECVSTESSLSKASLSSEESSNSDNY >CRE21639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1113:3195:3649:1 gene:WBGene00078452 transcript:CRE21639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21639 MDKSEKGSLESFPIHSLFLFPSDDGRLRHLDLRKPGECIWEGVAHDGPIGGLTMSAITRGLLVTVGEDEMMNVWKVEDSNGCIEKVHSEKLTIGELHCAQFNPDVAAVLSVGGTTADLIRVIDLTKYEPVVKAFSE >CRE21061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1114:6928:8145:-1 gene:WBGene00078453 transcript:CRE21061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21061 MLNYLRVVEIPSESRDFIARILSVDVSIIVWMFIIRKEYLLFFICAYYAFNVYVSENPPLEEIRSVGYYKRKIRIAIIGSILYIISHVIILNLLDLSGWTYYTIYCLLNVFYPVFYIRYTWKVVVFSGFNLKYGYGIGPVIFGIIAIVVLFYQNPQGGQQIHICALLHCIYDFCLTFKYFCIELPGPEETLLQRIKTE >CRE21060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1114:5496:6693:-1 gene:WBGene00078454 transcript:CRE21060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21060 MFKKIFDHFDNAQFLSRIFSIDIILSVMLFMPSEKVIDGYGIYSLWLVFAYFGINGYVTFSIEESAIRPVNYYKRNVRVAIIGTIIYLISHFIILYSLDSDGWTHYTIFCLLNVFYLLFYVRYTWKVKDISGFNLRYGYGIGLAVIGIISYALYCYQNFKTIHALQFYTLLHCIYDFCLTFKYFCVELPDPEETLLQRIKTE >CRE21058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1114:1337:3637:-1 gene:WBGene00078455 transcript:CRE21058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21058 MEPILSEWDDSQSIVKSKITNRASIRILERYPLKETDVETLFEAEEMAGGEPEELYKLCRQLLNVWKYAIFFQPKPENFVELLQEKNYPPPVIKGLLEAYTSETTAEVVERLATASHSAIPRVLSTDWSCRTVTRRNDVATSDREAILTFSTVDGVKRIELSGRDLEKLYWSVNRVQTSLDSLLER >CRE21062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1114:8312:9685:-1 gene:WBGene00078456 transcript:CRE21062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21062 MLNAYLEPTESFIARIVSLDASMIAGILITGNPKEEHVFCLALFMYAYVGLNMYVSENPPLEDIRSVGYYKRKIRIAIIGSIAYIISHIIILNLLDLSGWTYYTIFCLLNVFFVVFYIRYTWKIMDFSGFNLKYGYFIGPSFFAFVSILVRWYQNPPGEHIVLLYALLHCIYDFCLTFKYFCIELPGPEETLLQRIKTE >CRE21059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1114:3924:5266:-1 gene:WBGene00078457 transcript:CRE21059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21059 MLYVVEKPSEYRDFIARVGSLDVIYAIWTVNTACGNHEIMGYLPFLVLGYLGFNLNLSIKMSLDTIRSVDYYKGKMRVAIIGTIIYLIGHFIVLYSLDLDGWTHYTIFNLLNVFYALFYIRYTWKIVDIIGFNLKYGYGIGLAVCALVTVIVCWYQNLRKMRIYEVYALLHCIYDFCLTFKYFCIEIPGPEETLLQRIKTE >CRE23805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1117:1995:3227:1 gene:WBGene00078458 transcript:CRE23805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23805 MDSPPAWPLYIYYVMSVPSLPLYIIVLICLLRLRCYSKTYKTTFYTILLQHCIADIIAMIVFITIWGIRMVPGLKEFYFRYQEYYIAAWTYNSIYFFLYIRCAGIVFLSIHRYLVISVPHHSITMKIQEASTWQIVIVYWVVPTLISIVVLKDTDFHYDALETMEVVAPRPVITRNTLMALIIVAMTCLVCVVSYLALWRFLRKHTAGISKSLQREKHLAFQVLALLCAFFVMFAYYIFQNYFSQTQNTGPIYTMRALYPIANGILSYINPFCILLLNRDFSRQFLRTLKCESVRISEIQVSTMNSHSVQRKLF >CRE23808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1117:7792:8638:1 gene:WBGene00078459 transcript:CRE23808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23808 MMGEKSEELSEFSKEVHALSSGAKPVATWLGVESLGEARKACGGHGFLHMSRLNTLRDDNDPSQTFEGENFMILQQTSNILLGKVSSIGTINTPMSTMSFLNSNPSKFSSWSSNPVDDALSAYRYLTYHLLQTTSSEAKKLKGAGKNSFEVRNEIQVHRAVNLSVAYTEHTMIDWVQKFVEEVEDVTVKTVLQKVLNLFSLFLLERHLATLYITGYASGGKFGEDLREKLRHAVAELKPESMALVDSIAPDDFILHSALGASDGR >CRE23237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1118:4670:5334:-1 gene:WBGene00078460 transcript:CRE23237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23237 MVHRYYYRASDPNRCIGPEYGASVQIWCIGYNIGLSAQLWCIGPIMVNRTRIWCIGPEYGASVLLWRIDPNMVHQPNYSASAQLWCIGPEYGASVQIWCIGKNIGLSAQLWCIRPNMVHRPRKWSICSIMAHRTRKCRKPASSVFCRFQNRHIIQLPVARYPHNTVVLRVLTINTQRHSAKASRAYRNLSASVHVDTCTPRGNK >CRE23236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1118:7407:9501:1 gene:WBGene00078461 transcript:CRE23236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23236 MNSRGLILMLGIVIAVVLAQQDAGEMEKRKSAYMRFGRSDGGNPMEKRKSAYMRFGKRSGDLEEVDETGNDMQMMEKRKSAYMRFGKRSALPEEDVMSAEKRKSAYMRNVSRFGKRSAVEMDEGEVQDAHDLFKRKSAYMRFGKRSADMDEEEQQDHDMEKRKSAYMRFGR >CRE29273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1119:1460:2078:1 gene:WBGene00078463 transcript:CRE29273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29273 MKFLAIAFLLVQTVHGLTMTRVDCADGINDARAKHAKQAQWADVNKLSYSINLEKTLWEFLDRTDGCPQGNIGGPYEVVLKTLSYHDRTENGLEYLRSRGPYGMRTSTMVACTLTTCLKDGEQILSIITDNVQYPPIPPPQGPPGSKCYLSGRLANSEGLCVLKSNKKKFVRKGVLQQVGDAMDHTFGWG >CRE22017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:71015:71320:-1 gene:WBGene00078464 transcript:CRE22017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22017 MCYYWFTLEWLLACTCTTISLEDSPLRNKDLDVILKNWTIGGFPNLEYLKICGQRITNNITTVLGMNLIELNGKIIPTDDGSKTATINTDYGSIEMSMTPF >CRE22021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:82733:83041:-1 gene:WBGene00078465 transcript:CRE22021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22021 MDSYWFTLESLLACTCTTILLWESDLENKDLDEVLKKFKTGGFPNLELLKIQSRNIKNNRTKILGMNLRELNEMVIQTDDGLKKATIRHRYGRIEMSVNSSE >CRE22059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:222609:223493:-1 gene:WBGene00078466 transcript:CRE22059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22059 MDVLPVLRLPGVVLCEVIKSLNIERSLTVSVIFILKGIKTFWNNHREGFLSLILITTYNCYSYQSTLSELFDVQVVEFKTLTIELKGSKDEKLLWKQIISNFWQVEDLRIFSVANSGFIPVFTSWPQKINIRNSYWFTLETILACTSTTILLGRSRLENKDLDVILRKWKAGGFLTLKRLTIDSRNFTGDGEQI >CRE22051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:178682:179255:-1 gene:WBGene00078467 transcript:CRE22051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22051 MSSPFHLLRLPRLVLCEIFKSLNIGEKVNLSLCSKKTSAQINNDRLYSQKVNVHLDMANEKIDMISEDFKDWFQIGIHLDKEIDSLTIQSFSIGRCSLPMSKTIKTYWKNLVEGFLCLTRQLLKMFYCNISTDRDCWRSDLFQPIIFELVDLQVEFKRLTIRLKGGLNSTLIFS >CRE22004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:216702:217681:1 gene:WBGene00078468 transcript:CRE22004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22004 MPPFPLLRLPRLVLGEVFKSLSIGEKIQLSFCSKKVSTQINNWRLYSQKVRVYLDMYSHKIEVSQNGEDKFKIFNRCDSTDPDMQQLQIEGCTVPVICFTNEIEIFWKNHQEGFLSVIRHLLKMFKCKFSTTISYYNSDLSQPTISMLFDLQQEFKILNIHPYGSEDYNFLWNKISRSFELVDDFRILSCFDDFRPVFNSWPQKITIHSSYWFTLDYLFACPCTNITIFNSLLNNKDLDEILRKWKAGGFPNLEYLEIHSDLITNNETTILGMNIRELDRKVIQTDDGSKKATIDTGIGRIDIAVTPFE >CRE22010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:40807:41508:-1 gene:WBGene00078469 transcript:CRE22010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22010 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3N3C7] MFLFFVFFSIFFEIHGKGVSYPFSDNKLPRVVVLPQDNEKEPNTFIKEIDLEIGSEIQVVAYYSNRFELETLCSNVLACRPLHISIRPQEDAFVFNSMFQNGLFDIEERRKLVISKLSLFRFNIHIRENGYEVVLNDYWYKFYSHRMDFKLGTQFRVIGFVDVTEYAFFKTPE >CRE21982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:74473:75289:1 gene:WBGene00078470 transcript:CRE21982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21982 MYSQKVRVVLDILYQEIRVYHENNKDAFKIFNYPDSEKILNSNIQQFSITCCTTFTIALNGSRDNNWMFNQISDKFGLVKDLEIISRANSNVRPIFASWPQNVDIPNSAWFTLEYLFACTCTTIKLDKSHLGNKDLNDILKNWKAGGFPSLERLKVYSHNIKNNGTTILGMNLMELNGMIIQTNDESKNATINTGFRYGCIEMCVTPFD >CRE22052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:180622:181618:-1 gene:WBGene00078471 transcript:CRE22052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22052 MNPFPLLRLPGVVMCNVFKSLSIGEKIKLSFCSKKTLAQINNARLYSQKVDVELNCLRQKIRVYSENNKEPFEISIYQDSWKRHNSKTHQCSIAYCSVGVIFFSKGVQTLWKNHQEGYISIIKHLSKMFQCNISTKSSCYASDLFQPTISKLFDRQLEFKTITIKLDGSENQKLLWNQISNKFGLVEDLRISFVTDLGFRPVFTSWPQKIYIWRSYWFNLESVLTCTCSRITLELSNLGNKDLDEILKNWKAGRFPNLELLDIYSDNIKTTGTTILGMNLEELNRKVIRTDDESKKANIRIGTGFMGMSVTPFQ >CRE21974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:54157:54429:1 gene:WBGene00078472 transcript:CRE21974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21974 MVCPCSFINLEDSHLKNKDLDIILKKWKAGGFPNLERLKIDGQNITNNGTTILGMSLLELRGKVIHSDDGLKKATIDTGYCRIEMSVTPF >CRE21966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:34219:38787:1 gene:WBGene00078473 transcript:CRE21966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21966 MDVRQDQDVEDGFDWGEEEYDPENLDNQQILDQGLLEDEQEFPVDDEIGQQNNITMKQRKGEMYPVIDQNQRTIDIPIVSGNPFGPDSDSEEEPPIDRYRDGTDNYDDYVSQELDNVDMDREREVELEEDRLKEREESVRGSREEEDEGEEDENDELELDAVCYGDEHDAEPLALARRNDGVLVKNIGQRLNVSRAEHANFLFQDREEIPNRYQGESRTLGQLVVIDYGARMIEGRMNALLVHRSEFHRFAGRSQVFKFQEQMVNEKFNGVRKLGQLATLPSSVPGTVKYQRELVMTGVTLANKLGKPHLFITYTGNPKWPEIQRETQSRGVNWTDIPTFVNTVFWTRFEIFIEEELLGPKKKISAQGGKIVREGGNFGVVKWFLYSVEFQQRGMPHVHLIVCLEKPITTAAEVDDIISAEVPEMPKRNDPEYEEKLRYYNLVKDMMVHFPCENDPTAYCREGAKLHWKQCVKSFPKKMSDFTVLTDNQYPDYKRTKTNKFVLYRKGKAYVAGSEYVVSHNKPSLMKHECHINVEVITTLHTLKYIFKYLFKGPDRMLLEVCENMEKGNPDKTAMTLRGNVFAPANLPEGKLRARQRQADKMMDAAGVTIPKDKRLSMNECTAVLDMAAMTANEAAWKLASRPMHGCSHIVFKGYVHEENNELMYFKRGLSAASAKKLLEQKVAGQMAAWFNENKNPKKLKNGMMTTDLTLPEMFRFYMFSMKTQKFILRKRDLSGKIFGRIQAPQPRNLELTAVRLLAHHVCGPTSWQDLRTYKNVVYPTCLQAARARRLMNGEQEWNDLLAEIAGYESPIESRRMFASILLHCAPANPKDLWENHWKTLVSNKTSWSDSQKKAHALRHINFLLQRHGMNLDKFELEGDYKKSDLPLIDPAEDFDNPDFVNLSRNEHESKGRTLYRDLNTEQKNFVDRILEMDDETEVPRMAFVGGAGGTGKTYCYNTIYHVLNARGKQVGTVSHSGIAASLLPNGCTAHRKFSIPLEVCDSMVCSIDLISAEATALRALSAVIWDEVCMSDRRIVSAVDTLFQELHESTLPFGGVLFIMGGDWRQILPIVQGVRDQGVIEYTLKNWGDNWNQVEKFHLTINQRAIDDPDYARLILEIGDGSNYVHEKRQMVSIPDKLIQTGTDTDLVDWVFPDVNTYELVESSAVLTVDNRTALRINEYILDKLNGEMREFVSIDTADKDNALNVDPAIFATETPPGMPPHRLRLKVGAQIVLLRNLSVEAGLCNGTRLTIVSFGEDIIYCHRNTDPKKPKQMVFLHRILMSPSGKGGKSCGFRRRQFPIRLAYACTINKSQGQTLTRCGLLLHSPVFSHGQLYVAMSRVRRSEDFRMWHYTRGTPDDNYIHGGILVRNVVYREVLQLGN >CRE22015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:65342:65870:-1 gene:WBGene00078474 transcript:CRE22015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22015 MSSPFPLLRLPGLVLYESHLENMDLDAILKTWKAGKLPNLNFLWLDSLRMTDNGATILGMNSRELNGVVIQTDDGSKKAIINTGVRCIEMSVTPSE >CRE21967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:40185:40265:1 gene:WBGene00078475 transcript:CRE21967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21967 MPPFPLLRLPGVVLCEVFKWLSIGEK >CRE21986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:92800:93497:1 gene:WBGene00078476 transcript:CRE21986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21986 MSSPFPLLRLPGVVLCEVFKSLSIGEKIKLSLCSKRISSQINNARLYSQKVIVDLDILRKKIRVHSKNDEDSFEIFAITDSGNTLNSKSDQFLVTCDVVRDTSISIGTTFLSVIRHLLKMFQCKFSTSIDFNYMRFYQSTTSELFDSQLTFKTLTIPINGSTDQKMVFNQISNKFGLVENLRILFFPDPGFRPVFPSWPQNISIWSSSWFTWNIF >CRE21981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:71478:72224:1 gene:WBGene00078477 transcript:CRE21981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21981 MESKKDPRKETLPTSGGKFRFFFRFTIFTAELCGSKIFEKGRRKKEGKRKEKGRKKEGRRKEEGRKKEGKRKEEGRKKEGKRKEEGRKKEGKRKEEGRKKEGRRKEEGRKKKEEGRKKEGRRKEEGRKKEEGRKKEGRRKEEGRKKEGRRKEEGKRKEEGRKKEGKRKEEGRKKEGRRKEERRKKEGRRKEEGRKKEGRRKEEGRKKEGRRKEEGRKKEERKKLGSEPGNRKLILKMTNVRKLFSSHL >CRE22033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:115540:115887:-1 gene:WBGene00078478 transcript:CRE22033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22033 MKPTSSEVQQRIESLVRSNQVMFSQDNEINFKKYCTEYGRKSINELIMEINALPFKTPQLIATNSQDPQMCVLKYLESKGINAGRTPQEPVVVQSQLLS >CRE21976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:60496:61483:1 gene:WBGene00078479 transcript:CRE21976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21976 MSSAFPLLRLPRLVLFDVFKSLSIGEKIKLSLCSMKISAQINNCRLYSQKVHVHLDMDSHKIEVSETGKDKFNIFNWSDSGINTNRQPYQIEGRTVTVISYPEDITAFWKNDREGFLSAIRHLSKMFQCKFSTDISHYHRNSYKLKISELFNLQLEFKRLTIHLNVSKDQNWLWNQISSNFGLVEYLRIFPIVNPTFTPVFTSWPQKISITSSAWFTQEHLLACTSTTITLLQSHLENKDFDEILQKWKAGGFPTLKCLTIFSQNITSFGATILGMNLRELNEMIIQTDDGSKKATIKTYAQSLEMYVTPFE >CRE22053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:198727:199719:-1 gene:WBGene00078480 transcript:CRE22053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22053 MPSPFPLLRLPRLVLFEVFKSLNIEEKIKLSLCSKKTVTQINIARLYSQKVIVVLDILSRKIEVQSTNGKERIHIFNSSGTRTNIDRNVRQYQIGGRTVPVVFSFKSIQIFWKNNREGFLNVTQHLLKIFQCKISTESNCYYSDLYRPIIFELFDQKLEFETITIPLNGSEDETLWNQLSSNLGLVENLKIVSVDNLGFRPVFTSWPQNIIIFGSAWFTLEYLLACTCTIIILGASHLENKDLEETLKKWKTGGFPNLEYLSVYSRGITNNGTTILGMNPSELQGMVIRTDDGSKKATINTDYGRLEIFVTPF >CRE22029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:105490:106487:-1 gene:WBGene00078481 transcript:CRE22029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22029 MFSPFPLLRLPRLVLCEVFKSLNIGEKIKLSLCSKKVSSQINNARLYSQKVIIDVDCLNHKIRVHSENNKVIFEISTYSDPCKSQNSNVQQFSIAGCSVRVIHIPTRIKTFWKNYREGFLSVIRHLLKMFQCKISISISCYDNDLYQPTMSMLFDLQQEFKRLGIKLEGSEDRQLLWNQISNNLGLVENLSISSVSDPGFSAVFTSWPQKIGIRSSAWITLEHLLECTSTRIILKRSQLENKDLDVILKNWKAGGLPNLEYLEIHSHNITNNGATILGMAPLELRGKVIQSDDGSKRAFIRMSNQSIEMSVTPIE >CRE22040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:138351:139320:-1 gene:WBGene00078482 transcript:CRE22040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22040 MSSPFPLLRLPRLVLFDVFKSLRIGEKIKLSLCSKRISTQIYNARLYSQKVIVDLDCLTHKIRVCSEDHRDAFKVFTYPDPYLESHNSDIQQLPIACRAVRHTSIYIGITFLSAIRHLLKMFQCKFSTSIRSYIGGSYQPTLPKLFDLQMEFKTLTIEFYEFKDLNFLWNKMFSKFGLVEDLSLSSSFYPDFRPVFTSWPQTINILNSDWFTVEHLLKCTCSRITLFNSTLGNKDLDVVLRKWKTGGFPNLKCMKISSQNITNKGTTILGMHLRELSKKVIQTDDETKKAIIKNGFSRIEVYVIQF >CRE21972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:51410:52463:1 gene:WBGene00078483 transcript:CRE21972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21972 MPPLPLLRLPQLVLCEVLKLLSIGEKISQNLDAQQFYIAGCNVPVIFSRIRMTTFWKNRQEGFLSVIRHLLKIFRCKFLIDNDYNSDSYSCFKTISDLLNLQVEFKTLTIYLKGSQDEHLWWNQISNKLGLVEDLSILSVADPEISNTFGLVEDLGILTSDLGFNPDFTSWPQELIYIPSSAWFTLEHFLACTSTTLRLFQSHLENKDLDVILTSWKAGKLPNLNSLWLDSLGITDTGATILGMNLLELNGTVIQTDDGSKKAIINSGIRIVMHVTPSE >CRE21987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:101369:102489:1 gene:WBGene00078484 transcript:CRE21987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21987 MPPFPLLRLPGVVLGEVFKSLNIGEKFNLSFCSKKVSTQINSARLYSQKVNVELNCLSHKIEVHSENRKDTFEIFNYPDSEKILNSNIQQFSIACSTARSTSISIGIEIFWKNHREGFLSVIQHLLKIFQCKISTSIIDCFWCVSLQPALFDLFDLQLKFKTFTIALNGSRDKNLFNQISDKFGLVENLRILSHANPNVTPGFVSWPQNIDIFSSAWFTLEYLLTCTCTAITLKGSSLDNKDLDEVLRKWKAGGFPNLERLKIYSHNITNNETTILGMNLSELNGKIIRTDDGSKKATINTDYGSIEMSVTPFQ >CRE21995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:148966:149960:1 gene:WBGene00078485 transcript:CRE21995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21995 MPPLPLLRLPRLILCEVFKSLSISEKIKLSFCSKKTSAEINNARLYSQKVIVDLDILHQEIRVLAENSNETFEIFNCYDNGESNKPYIKQYRIAGCTVPVICFTDEIEIFWKNHREGFLSVTQQLFEMFQCKISTYIECYLSVSLQPALFDLFDLQLEFKTLIIFLNGKKGQNVLFNQISSNFGLVKYLSISCVPDPGFQPVFTSWPQKIIIWNSAWFTLQYLLACTCTTITLEESHLGNKDLNEILKNWKAGEFPNLERLKIISHNITNNGTTILGMNLMELVGMVIQTNDGSKKATLRVRGLGIEMSVTPFD >CRE21994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:143075:144063:1 gene:WBGene00078486 transcript:CRE21994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21994 MPSPFPLLRLPRLVLFDVFKSLRIDEKIKLSLCSKKISTQINNARLYSQKVIMCLDLGHEIRVYSENYNDSFEISICPDSWKRYNSNAQQFSMASCTVSAIFVSIGVKTFWKNLQEGCLSVIRHLLNMFQCKISSNISYYNSDLYQPTILMLFDLQVEFKTLTIQINGSEDENLLNQIFSNFKLIEDLIISHRCLSDFTPVFTSWPQKITITNSAWFTLEHLLACTCTRITLGWSPLENTDLDVILRKWKAGGFPNLEYLWVNKYYISNDRMTILTLNPLEVRGNVIQTDDGSKKATFKRYPHCIEMCVTPF >CRE22007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:11192:11653:-1 gene:WBGene00078487 transcript:CRE22007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22007 MLFDLQLEFEKLTISLNSKSDKFLFNQISNKFGLVEDLRISPSWHPGFSPVFTSWPQKIIIMNSAWFTLESLLACPCTRIKLGCSPLGDKDVDEILQKWKAGGFPYLEYLFVEGESISNRWNRWMVIQTDDVSKKATIHTDYKRIEISVTPFD >CRE21992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:136316:137331:1 gene:WBGene00078488 transcript:CRE21992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21992 MSSPFPLLRLPELVLCEVFKSLNIGEKIKLSICSKKISTQINNTRLYSQKVIIVLDMLHQGIRVHTENNKNSFEIFTYPDSEKTGNSDIKQFSIACCSVPALTIPTGIKIFWKNHREGFLTVIHHLLKIFPCKISTKSSCCDSDLFQPTISMLFDLQLEFKMLTISLNGSKDENLFWNQISNKLGLVKSLSISSIPDPGFRPVFTSWPLEINIGSSAWFTLESLLACTCTRIILEESLLKNKWLDLILRKWKAGGYPNLTYLRIQGQNISINGTRILGINLWELDYNVIETEDESKEATIRMIGQSMEIYVIHFHRYYILK >CRE21973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:53458:53894:1 gene:WBGene00078489 transcript:CRE21973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21973 MPPLPLFRLPGLVLFEVFKSLSIGEKINLSLCSKKISTQINNARLCSQKVIVNLDMLDHGMRVRTENNRDTFDIFISLYSRRRNDPDMQQCQIDGHTVPLFSFTREIDTFWKNYYSTSIKDVSMQDFN >CRE22038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:133066:134053:-1 gene:WBGene00078490 transcript:CRE22038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22038 MSSPFPLLRLPRLVLFDVFKSLDVDEKIKLSLCSKKISTQINNAQFYSQKVIVDLDILDQNIKVHSENDQDIFEIFTYPDSGVSQNSDKQQFYIAGCSVTVNSTPTGIKIFWKNHQERTLESYLVPGFKPVFISWPQKITNWSSYFFTLEHLLACTCTTITLWNSHLGDKDVDEILRKWKAGRFPYLEYLSIEGESISNRWILGMYQVQLQGMVIQTDDGSKKATINTGCERIEICVTPFD >CRE22045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:156683:157676:-1 gene:WBGene00078491 transcript:CRE22045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22045 MSSPFPLFRLPQLVLCEVFKSLSVGEKIKLSFCSKKIFTQIKNDRLYSQKVIVDLDLSNQNIEVYSENDDKKDAFEIINCSFRSKAISKLQQYRIEEGNIPVIPYSIGINTFWNNYPKGFLSVIRYLLKIFRCKFSVINEKDSGSLPPIISELLNLQVEFKKLTIYFNGVKDENLFNQISNKFGLVEDLIISSSFSPSFRPVFISWPQKINIINSSWFPLEYLLACTSSTITVRRSPLRNEDLDEVLRKWKAGEFPNLNYLIVFGLGITIYEPILGMNLRELAPMIIQTDDGSKKATIKLRDQGMEMSVTAFD >CRE22011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:47153:48047:-1 gene:WBGene00078492 transcript:CRE22011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22011 MSSPLPLLRLPGVVLCEVFKSLSIGEKVKLSLCSKKVSIQINIARLYSQKVIVDLDWSGHNIRVSSENYRDSFIISIYHDIWKHHNFLSAIEHLLKMFQCKISITIDHHNSDLYRPTISMLFDLQVEFKMLSIVLNGSEDRILLWNQISKKLELVEDLVIFSGRNPFTPVFASWPRNINIFSFVVFTLESIFECNCTRITLYWSHLGNKDLEVILNNWKTGKLPNLTFLRVDSEITDNGATILGMSLSELNGTDIQTDDRTKKATINTRYNRFKMSVTPFD >CRE21984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:89784:90179:1 gene:WBGene00078493 transcript:CRE21984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21984 MPPIPLLRLPRLVLFDVFKSLNIGEKIKLSLCSKKVSTQINNARLYSQKVIVVLDILYQEIRVYCEDNKDAFKIFNYPDSEKILNSNIQQFSIACCTVNVNSISKGVRIFWKNH >CRE21983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:77310:78210:1 gene:WBGene00078494 transcript:CRE21983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21983 MSPFPLLRLPRLVLREVFKLLSISGKIKLSFCSKKVFALINNDRLYSPEVIVGLDILYQNIEVYSGNDEDSFKVLTCCEREMSSASDIQQYRIEGRTVRVTSSSTRIKTFWKNYSEGFLSVIRFILKIFRCKISTGFNCHFSDLPVGSRRLTATKPPSQHHPSSESSFLLAVTSSGFSDRMAAAREEIVELLYQLP >CRE22032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:112792:114168:-1 gene:WBGene00078495 transcript:CRE22032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22032 MSSPFPLLRLPRLVLFDVFKLLSIGEKIKLSLCSKKISTQINNCRLYSQKVMVSLDMLYQGIRVYSENNNDRFGIFNRYNSATINNPDMQQYQIEGRTVLVISFPRRIETFWKNHQEGFLSVIQHLLKMFQCKISTSYKNEFIIEYLYVKTQNYTNDGAMILGMNLMELRGQVIQTDNGSKKATFRTDSRSIEMSVTSFV >CRE22005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:219824:220802:1 gene:WBGene00078496 transcript:CRE22005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22005 MPPFPLLRFPGVVLCEVFKSLSIGEKFKLSFCSKKISTQINNARLYSQKVIVVLDSLHQGIKFRSENNKEPFEISIRQDPRKTFNSKAQQFFIACYTNGITIYWKNYREGYLSVIRHMSKMFHCKISISNDSPSVLYQPVVSELYDLQQEFKTFTIEFDGSEDQKLLWNQISSKFELVENLRILSIPYFDFRHFRPVFTSWPQNITIMNSDWFTLESLLTCTCTRITLDRSRVENKDLDGALKKWKSGGFPNLERLTINDLWSTKNGEQILGMNWRDLNGVVLLTDDGSKKATINFRHGSFEMSVIPFK >CRE21977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:66751:67529:1 gene:WBGene00078497 transcript:CRE21977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21977 MAWSQHETKALLQSPDYIYTSHYSVSFLLILPHPSLPMPPFPLLRLPRLVLFEVFKSLSVGEKIKLSICSKKISIQINNARLHSQEVIIDLDIYNRKIRVRSENNSNTFDIFNCVYIGISNDPDIQHFQIGGRTVPVVPFIKGSRKHWKSQREGFLSAIRHLLKMFYCKISTDSSIYNSDLFQPIISELFDLQLEFKMLTIRPNGLKNQNFLWNQTFSKYGLVEDLCISSIVDPDFTPVFTS >CRE22050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:176655:176960:-1 gene:WBGene00078498 transcript:CRE22050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22050 MSSDWFTLESLLACTCNTITLLQSNLGNNDLNEVLKKWKAGGFPSLKYLSIHSQCITYNRTTILRMNSKELAGIVMQTVDGAKKATLKIIGRSFEMCVTPF >CRE21979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:67743:67853:1 gene:WBGene00078499 transcript:CRE21979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21979 MKPSELREMVIQTDDGSKKATIRFDTGFMEMSITPF >CRE22035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:122179:122509:-1 gene:WBGene00078500 transcript:CRE22035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22035 MATRNLYPEFRLSHLDNKDLDVIFRSWKAGKLPNLKCLWLDSLGITDNGATILGMKFSEFDKKVILTDDGSKKATLTIKDQRVVMSVTSFE >CRE22043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:151001:153204:-1 gene:WBGene00078501 transcript:CRE22043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22043 MPPLPLLRLPQLILREVFKSLSIIEKIKLSLCSKKMSRINISRLHSQKVIVVLDILKQSIRVLSENNKDIFEIFTYPDSGIGQNSNISRGVQMFSKNHQEGFLSVTRNLLKMFRCKVTTNISCYNGDLYQPMVSMLLNLQVEFKKLTISLKRSEDELLLNHISNKPGLVEDLRILLSWLPGFRPVFTSWPKKITIMNSAWFTLEHLLACPCTTIRLCQSYLRNKDLNEILGWCISTNQLYFSLCEQLAFKKFHDENCPKKERNLCTSLILRKWKAGGFQNLEYLRVDKYSIKNSKTRSNLLALRGIVIQSDDRRKKATIDTRCERIEISVTPF >CRE22046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:158727:159691:-1 gene:WBGene00078503 transcript:CRE22046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22046 MPPLPLLRLPQLVLCEVFKSFSIVEKIKLSLCSKKMSRINISRLHSQKVIVVLDILNRNIRVHSENDEHIFDIFTYPHSGKSCISNTQQSFIACSAFISRGVQVFSKNHQERFRSIARNLLKMFQCKVTTNLSWYNSDLHQPMVSMLLDLQVKYKKLTISLHGSKDEILFNQISNKLELVEDLRISPTWLPGFSPVFTSWPKKITIMNSSWFTLEHLVSCTCTTITLGCSHLGNKDLDEILRKWKAGGFPNLEYLHVDRNYIKNNKTRLNPLELRGKVVQSDDGTKKATINTGNGRIEMSVTPF >CRE21970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:48420:48716:1 gene:WBGene00078504 transcript:CRE21970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21970 MLHHLYLVRSALLGTCFYLPLFEGKIRPLVESRFIFPEEELMTFVKPKGTPEISNDGKNVRQIILECTIDVINWLIDHNPDDVKSIQEAVSIFKSMSL >CRE21988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:117483:117806:1 gene:WBGene00078505 transcript:CRE21988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21988 MFDVISSPWFTLKSLLACTSTTISLWNSHLENKDLNEVLRKWKAGELPNLKRLRLDSLRFTNNETTILGMNWGELDGMVIQGDDGTKKATIIKIDSQIIEISVAPFK >CRE21990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:128390:130335:1 gene:WBGene00078506 transcript:CRE21990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21990 MLSFCSKKVSIQIKYCLSFYSQKVQVCLDMSNQMNRYFPLRISGRXLFQNCYLIFYRKINNPRIPVLFSSSDGCTVPTSKNINTYWKNLQEGFLTVTQHLLKMFHCTISADISYNNFDLYPSTISKLFDLQAKFKTLSILLLGSTHQTLLLNQISNKFGLFEDLRIVSVANPQFRPVFNSWPQEINIFSSAWFSLDYLLACTSSIITLGWSHLENKDLDEILRKWKTGGFPNLKCLKINSQSIETTGTTILGMYLLELDGKVIQNNGGSKKATINIANEFYNKHLHSIFIAFFKKSQKSQKKKKFPLLRLPKLVLLDVFKLLNIEEKIKLSLCSKKVSIQINNARLYSQKVIVVLNCLSYKIRVHSENINNTFDIFNYYNGGTINNPYIHQYQIEGHTVPVFSFSEGITIFWKNYREGYLSVIRYLLKIFQCKFSIGNNYNSDLYKTTSSELFDRQVEFKRLAIRPNGSKDENLLWNQIASNLGLVEDLIILSVNQPDVTPVFTSWPQKINIGSAAWFTLESLLACPCTSILLEGSHFENKDLEVILRKWKTGGFPNLEYLYVNSQGITNNRTTILGMSLLELVGKVIQTDDGLKKATIDTGHCRIEMSVTSF >CRE21965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:28519:34135:1 gene:WBGene00078507 transcript:CRE21965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21965 MVRETKAQADKKAVDVERKRANRKQEHLNSGGDSQEPQKKRTEMSQEELRVYWADQKRIKREKQRSLQSSQLSACSQETQLSETRKKRSEMSQEELREYKAQNKRSNREKQKSLQSSQLTACSQETQLSEGRKKIKDMNPEELSNYRRQNREACRKKGRTSTTSDMELSQRTSTSDFNFQHNSQFDMESLHSEMSDVFDQPTSSNAAARIGRKRMMSPQEEEEEDDDDEMPSSQMSTYMPNWSAKEKEEFKRVKVRYNTRSKKALMSQSSYGSPDDHQSSVATCDVAEPQELPEQQFNCKIRFALVDLLQVETDCIVFPFCGDLDLTKRTKIYSQFWRKMCREVDDQEEYKEFLEDQCQELEEGDIAGFIWQRLGRSKTCFHIREPVSEKNKYTTVYEAQLRAAIFKCLYQADRNGHSSIAFPIFGQVENRLKATAITLQTIWSYFQVVRKSNLKLVYLTTKIAPLYDFIGKCMSYIREIDLSNWSRQHFFQFEQMLFDKIKSEVIYSTVPGTDMAIRAFKFSLESKKVKDQKEKLKNLHAEMCSMTGLPASDFVLTRDSGRRLPHQYISDVTVSDDESPELISLYFPMENVCGSTSVLRKLWVVSYYYMYYSDRLGDSFDFAENSLEHKTRKEMFNKLKNLHREVLLQWKRTMRNVCYKCKCQKPDGYHENLSYFNTQMSHPDIVFDQWILFDKCILVDGEDVMNLQNLSIQTSPQKSEEKEKVMLKLASEQEEVVKEKVLEWFKNVKEYTRNRTRNFRAIIEHLDLSDIRTGIDDDPAVFDDLEVYDEYLDRRGDGGEENDALTEAEVIYRMAYGMEEIQKAAEEVNRCLRDVALKRYVEHEINEGHLEKSLRHSNNFFRVVGLLITQFSGMVRKNKYTLSPQVVELWKTENNRTCTVDEFIAAEMKEMGKKELDLPQHIDTTLDDTFRMFSRYPKPIDLGRRTDKCYHCGALSFPRERLKSCCKNGRFWIKPVKKIPPEITQMFKEKFRGCLISANAAFSMASVNYNRQQQKAHGVQSMKVQGVVTFLPSAIHPRETAKPRYANFIVLEHDNETIASMRFESLRVKNPQLKKMFRDIQMYLDSNNSLYKCFKSMAQLEKEELERRGLSQSDASNEFIRFTILSPTELDHQDKLVAHPGVYAQKKRMPKHHVAVAISMNPEDTSARPRGLTIYPKNPSRGKPQQAISIYSDLCDTMGYPLLFPDAQGGYALHKYPRRTAKDPKPSYEQNIRNHIEELLSNEENPEDYYNLGPEFNEMLESLNQPSTSASGMEVDDSDL >CRE22037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:126931:128268:-1 gene:WBGene00078508 transcript:CRE22037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22037 MGKTLGPRDGRRWNEFKLSLCSKKISTQINNACLYSQKVVVNLDMLYQNIEVYTENNKDTFYIFNFYECRARNNPGVQQCGIEARTVPVFSSGIGIRLFWKNVRDGFLSVIQHLLKIFQCKFSMNNIHNRYSFEKTISELLDLQLKFKKLIICFNQLKNQHLLWNQISNKLGLVEDLELLSCLDPGCRPLFTSWPQNIRIKSSDWFTLESLLTCTCTTISLGGSLLENKDLDETFRKWKTGGFPNLKYLYVNSQHIANNGTTILGMHLFELDEMVVQTDDGSKKATIHTDHGRIEISVTSF >CRE22001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:197641:198321:1 gene:WBGene00078509 transcript:CRE22001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22001 MSPLHLLRLPFLPLQNIIQNWNIYEMYHFAKVSKRTKIVIRSAVRKRLDISLTNGECFLIKTSFAGNSGIVPRRPETWLFELRDISERDNAESDVYNEKHVYHTLSLFSDNPLLLFLETLKFLFEVFDCSIHMVYWTSWKSEDMREVIDWMNGNDKLTRIETVHFSLNVNNRMTLALFLETWFQLNGKTKSQFKLMMNQRLLRFTFWETIS >CRE21985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:90360:90788:1 gene:WBGene00078510 transcript:CRE21985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21985 MFNQISDKFGLVKDLEIISLANPNVTPVFASWPQNVDIPCSAWFTLEYLFACTCTTIKLDKSHLGNKDLDKVLRKWKAGGFPNLERLKVYSHNIKNNETTILGMNLRELNGMVIQTDDESKKATINTGYGYGCIEMCVTPFD >CRE21980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:68422:68867:1 gene:WBGene00078511 transcript:CRE21980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21980 MDQKINTCSGTRYPVNLDWLSISGSYHPVFASWPQKIYIMNSAWFTLEHLLGCTCTTITLGCSHLENKDMDVILRKWKAGGFPNLEYLQVDSQFITNNGTMILGMSLLELQEKVLHTDDGLKKATLRMRSQSIEMSVIRYE >CRE22055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:203426:204385:-1 gene:WBGene00078512 transcript:CRE22055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22055 MSSPFPLLRLPRLVLFEVFKSLSIREKIKLSLCSKKVSTQINNARLYSQKVIVDLDLLYKEISVHSENNKDTLEIFIRPDPRNTLNSSTRHFFTACYAKRITIFWKNNRDGYLSVIRNILKMFQCKISISDNYHNNGTTILGMNLSDLAGMVIQTDDESKKATINTSFRYGRIEMSVTPF >CRE22024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig112:91396:92388:-1 gene:WBGene00078513 transcript:CRE22024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22024 MSSAFPLLRLPRLVLFDVFKSLSIGEKIKLSFCSKKVSTQINNCRLYSQKVHVHLDMYSHKIEVFENGENKFKIFNLSDRGTNTDPYKQQYQIGGNFGLVEYLTIFSIVNPAFTPVFTSWPQNISIMDSNWFTLKYLLACTSTTITLKESRLENKDMDEVLRKWKTGGFPNLQRLEIQSRNITSFGATIFGMNLRELNELVIHSDDGSKKATIKTYHGHIEISVTSF >CRE01473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1120:11122:12265:-1 gene:WBGene00078514 transcript:CRE01473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01473 MVTYGARFTTMASVRFDTKQEEDIEYHTNWISFNASVLSHQPDPSLGYGDTTTGSNLYNVLKKFLNNGKISLCGSIVLIAVKRYPDESDVSDIISQLRANHVFVYIAVDTIPSGGSNSATLYEMAYQTNGYCAFATGSDLSNDFNYMPNILRYPYQFFAQNFVVSGSGRIEIPAFKTPVPSGYQEACFFAITVQNHPLDNFFVSMNYTIEGSDGWDVYAFPTDNDLPLYGTAQSDWFTVFNGSLSYKWTIDYNYNTDTPQIIQLRMYSEYYHDFLPLPDF >CRE01472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1120:7254:9925:-1 gene:WBGene00078515 transcript:CRE01472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01472 MTVTSDQTHAKIVESAGSPAACLPSYNVTVLYAYSTDIDYDTYFAGASNIVYYAVGYTTLANVRFDTKQEEEIEYHTNYTSLDASLNSHKPDPSLGYGDKTTGSNLYSVLKKFLYNGNVSLCGAQVYIAVKRYPDESDVSDLITQLQSNHVMVYITVDSVPLGGSNSATLYEMSYETNGYCGFAAGSALQYVFNWMTNTLSWPYQFFAQNFVVSGSGRIEIPAFKTPIPIGLSRTLDNSFGSVNYTVESTDGFVVMKFSSDYVADFNGTAQSFWSILNGSLSYKWTVDHHYKTNAPQIIQLRMYSEYYHDFLPLPDF >CRE02732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1121:3:2136:1 gene:WBGene00078516 transcript:CRE02732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02732 LLVWKYSNNPVKEWKHLCIYVLEIFKKQTIRVFSITLDAYVDQNVSIIDFLKTNVKSVDNCHLYHLEEKNDVDEHAAYVLENIQITFELLLCLHIKSNDFILKIPKELMELTMIKSQWVGYDKLLEIDFKSVILKENEISDEQWNLFFKKWISMETHLDLEHLELDYRDIEEFRALVLHDIPHEVVDRGVKRILKTYCDVKIEVSGGIDIRRIDGKTATFFFVSWAFQTVNFAMSIH >CRE02738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1121:9927:10711:-1 gene:WBGene00078517 transcript:CRE02738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02738 MIRNRKNNEFPILRLPFLAIEEIFKTMDRIEICNLFQSDEKNDVDEHTAYLLENLKVNDELNLHLHIKNYNFDGKFPRNSKKLYHPNSHWIGYEKLLDIDCKHVVLRNDRITNEEWNSFIKKWMTMETNQNLEYLELDHRDLDIFRDRVLYDIPHEMVDGGVKRILKIRFNQTQAISGGIDIRRIDGKTATFFVNLTSPIQFFAMSIH >CRE02735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1121:5544:6234:-1 gene:WBGene00078518 transcript:CRE02735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02735 MQMDAFVDQNVSIIDFLKTNEISVNDCYLYQWEQNNVDENVAYLLNTITIRYNLETWLHIKTYFFDGKIPKNLEGLYFMSSEWIGFEKLLEIDCKSVILGRNWISVEEWNSFFKKWIAMETNQNLECLQLSHKHLETFRALVLHDIPHEVVGEGVKRILKTVRNRSTEINGGIDIRRIDGKTATFFVYREFWTESLAMSIH >CRE02734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1121:2350:4178:-1 gene:WBGene00078519 transcript:CRE02734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02734 MNPPKPFPILRLPFLAIEEVFKAMDPIEIINFSMISKRTKTVTKKMTFSSKYAIDLGIYETMEIAINGTNGLVSCIYLLTSNERMDGKVGEYENNGFIERKVYNYSKDPVEEWKQVCKYILDIFKRQAIDVLSMTMDVFVDQNVSIIDFLNTNVKSVNGCNVLQLEEENDVDEHAAYLLENIKVNNKFQSNLDTKNVNFDMKIPKNLKEFYIKKADWIGYDKLLEIDSVRTHSMIDESFEKMYNFYLGTKDLNDEVE >CRE02736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1121:6365:6830:-1 gene:WBGene00078520 transcript:CRE02736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02736 MKPLKQLPILRLPFRAMEEVSKRMHSIMKKMISNRRNNEFPILRLPFLAIEEIFKTMDPIEIINFSMISKRTRTVAKLIRFYTKYSIDLYIHNAPEIRLDGRKDVVSYVMTSDKKNEWKM >CRE18326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1123:2987:3970:1 gene:WBGene00078521 transcript:CRE18326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18326 MKRTAIREKFDIEKERLERRKVQTRRKTQKKFGEKNVIEEEILDSALIRKRREIGEFENRISNNVSIEDNFEILEPMSSEMIDLHWEPQPSILHFHEFKSSTRAITTMHDSIEEPDDFGEKEKVFHQAWFRCSRRNQISTSSEGYCGISDQYKHQLFANRKFCHLHYRWDDVKAMRQRRREAGLDVENYTIQDVMRMARRSASDSPIDDDMNPYPINEN >CRE27957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1124:30:1935:1 gene:WBGene00078522 transcript:CRE27957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27957 MPFVLKFGSVSSATSTSSTDRSSRSEDVGGDSGKKPSLAALAQSAFPSRYDPNAFVDISGATIAAPTITGACKRCGYPGHLYFQCRNHIEVRPNQSTKAYEVSSTSSESSDEEDTPLIALEKERKRAKKLKKKERREKKKVKKMEKKEKKERKRSRKDSDDSDSDSEEERKKKKRSKKSKKEKKKRRHSDSDDSDSDDGDRHRDSKRRRRD >CRE21449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:195066:195957:1 gene:WBGene00078524 transcript:CRE21449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21449 MMNQMNLKLFVLFAFCLCVHTARIPDPCDDESAKLRECLKGVFETAKNTCRDPKTDCNQKFDCVAKELLSQKSCTNKDVNKFKNMKDVMLKICQRENGEK >CRE21453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:253611:254931:1 gene:WBGene00078525 transcript:CRE21453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21453 MMAMEHVTNCISTYDNRLCGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE21444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:176088:177106:1 gene:WBGene00078526 transcript:CRE21444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21444 MNITCGNSAIEINLQLAEKTEDVSADTENDSVHTDNFLIGIDWNDEEGDDVFHQETVDKKQCGPEVGSYCSGMRMCTCDLDYGSLLDTHSSGLRRLTLPKSNLSASELGNLGTYETSSAESGCTVVGDEGLKENTTPFSFKSSLEKRAKTAILNMETKRAVSIQRRRLHARISRKRETVHSVAHQLEEMIKFEAENPENDGDNQHGGQPMYSPLFLYPVHFQEANV >CRE21448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:193876:194888:1 gene:WBGene00078527 transcript:CRE21448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21448 MFQNGPSESGANKIDFGPVQPVTRLVLAPRNLDRVHSPETFEALKVKLNKFFNCLPTNITCDKNNEMLNGFKFKIALVEKVSKVYSCVGEGNYSAATRACFKLPSGGNKCQNEEYYDCVRTELMKSNKCSSLEEQFFREMAKDMMEGCRMESYFMNKYYPAS >CRE21454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:29908:30388:-1 gene:WBGene00078528 transcript:CRE21454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21454 MPPHLLRLKKGAIVMLLRNLDVKNSLCNGTRLVVHDMGARVLQCKFINGPRQGQMVFIPKIKLNYEKGLPFIMSRLQFPIRLSFAMTINKSQGQTFEKIGLKVDEPIFSHGQLYVALSRTTSKDGIRIESASGIVNNIVYEDVLQ >CRE21442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:160610:161503:1 gene:WBGene00078529 transcript:CRE21442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21442 MKNNKLFEVGSPEMHNCSNGSEDGKNFADDVEKPKTGENSKLESQYDALLVPDTADYSSDDDDDDDDDVFNDESTCTIGKHKCGPGFLTSCWNEATCMCDIGHQQLPEIASSSYEIGNADSVKKENCADGIKIGGPIIRSKKVFRDIGTPYSAKSAVERRSKSKLYKLELPEAVDIYYRRLTDRICRKREPQFHVTQQEEMMRVFEMEMEELEENDEVFEGWSLPSSPVYPEHWQYSNN >CRE21431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:5952:6637:1 gene:WBGene00078530 transcript:CRE21431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21431 MTATGHFAIGHFETGHFETGHFETGRFETGHFETGRFGTGHFETGLAKRPVAKRPVAKRPVAKRPVAKCPVAKRPVAKRPVAKRPVAKRPVAKRPVAKRPVAKRPVAKRPVAKRPVAKCPVSKCPVSKCPVSKCPVSKCPVSKCPVLKCPVSKCPVSKCPVSKCPVSKGPVSKCPVSKCPVSKCPVSKCPVSKCPVMCYQFQKNSGENR >CRE21443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:166355:167428:1 gene:WBGene00078531 transcript:CRE21443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21443 MSETHKGSSKKSIRARVELSENNNAVRDEHDIDSSGNYYFEINVGTENCCRGWTPRVITSHSGNDVSHFKDQSSIAKISRYSKEETRSHSFQSAIEKRSKAKLYKMKTKEAVNVYLRRLEARIERKREHIVSVTKDLEIMMAFERETHDYTPVPEQNLYSPLFAYPEHI >CRE21447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:189258:189874:1 gene:WBGene00078532 transcript:CRE21447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21447 MLQQLFVPVLFLVAVSGNPSEKECELEKQAAENCTSEANMTWNTVNRDWNNYESEIPKFEKWVKCVGEPVCPLNAKYFEGTKIMFNIFVRTAREPRPCLDKSEITSCRPEGEVECGDLSFYDCVTDIMKQSDACTQKDVNTYISFIPDTVRFCKVRKEIKELLGIPPTRIN >CRE21471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig113:271728:280311:-1 gene:WBGene00078533 transcript:CRE21471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21471 MMMICYRNGIKCMLLLFFMGLAALNTYSYWRDSLNVNTLNTSMHPEVIVEQSPSIIPFQCPYESWNQLHSDIVPNENLHLEWIQSNISRRDNILESQIRLLSSFVYPDHISIITNSQRSYGHYRTTEKNILGSGWLTDPNYKNFSIVPEETKFAEKLKENVLKKIKYVYDQRVLNCEEIAEIPYEEYKEFGHDIFNCTFRNATGNTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRYRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE05314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1132:12339:13027:1 gene:WBGene00078534 transcript:CRE05314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05314 EQNVSIIDFLKANVKSVDGCWLFQTDIKINVYKHTAYFLDNIKINSVLFSNVYVNNVNFDGRIPKNLKELYIINSEWIGFKRLLEIDSKTVTLKNYKISDEQWNLFFKIWIEMKTHVNLEYLELDYRNIEQFRALVLHDIPHEVVDLGVKRVLNISFNDTQEVTGGIDIRRIDGKTATFFDHYTGFSMSVH >CRE05310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1132:1128:2323:1 gene:WBGene00078535 transcript:CRE05310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05310 MISDRRKKKFPILRLPFLAIEEVFKAMNPFEIINFSMISKRAKAITKNMTFYSKYAIDLGIYETMEIAINGTNGLISCIYLMISNEKMDGKVECENNGFIQRKVYKYSKDPVEEWKQVCKYVLDIFKRQTIDVLGVVLDVFVDQNVAIIDFLRTNVKSVNACNVLQWEEENDVDEHAAYLLENIKINNELRSDLDTKNVNFDMKIPKNLKELCIKKADWIGYEKLLEIDSAQVSFGTNRISNKELNLFFKKWMAMEIHLNLELLAFEFKSLEDLRLFVLPDIPHEVVDEEVKRTLITYDDETEEISGGIDIRRIDGRTATFFAQYDGFLMSVH >CRE05315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1132:14092:14523:1 gene:WBGene00078536 transcript:CRE05315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05315 MTFSKISKRTKAVTKNMAFFSKYDIHFCVDKILWIYIRGTNGLVSCNYIMTWNEKLDGKIEEEKCLGRISRRAYKYSENPIEEWKQLCIYVLDIFKKETINFLQMRMDAFVDQNVSIINFLKSNVKSVDGCYLLQWYPIQGRR >CRE05316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1132:5727:6873:-1 gene:WBGene00078537 transcript:CRE05316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05316 MDPPRLFPILRLPFLAMEEVFKTMHPIEIINFSMISKRAKTITKKKTFYLEYAIHFCVQKPLEIKIHGTNNLVSSHYLMTSDKQKDGKTLEDECDGFITRMVFKYSKDPVDEWKQLCKYVLEIFNKQTIDVLTVCMDVFVDQNVSIIDFLKTNVKSVNECNLFQLGENNNVDEHAKYLLENIKITNKVISWLHIKNGDFAGKFPKNLKELYLLHSEWMGYEKLLEIDSVQVILGGNGISNMGWNSFIKKWIAMETHLNLELLDIDFKSLEQFRALVLYDIPHEVVDGSVKRVLKTTENETEEISGGVDIRRIDGKTATFVARDFEFLMSVH >CRE06218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1133:177:695:1 gene:WBGene00078538 transcript:CRE06218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06218 MEVFIRYYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTFIPSVKSLRRHPFYLRCNIKNSNKSSSQFLTKRTLNCWNSLPVSSFPVKSSSRSFKTNLKHVDLSKYLTLSPLNY >CRE06220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1133:9618:10117:-1 gene:WBGene00078539 transcript:CRE06220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06220 MTKFLAGRNEPKPTKVQRKMPNGLKLRSNNTSSKSLKVWKNEATIQIGAEKLMKYSKELVKSHPDLSIEQALAIEKQRQNDKKRRAEEMVVDPYKSCRIEEDFENYMKEAVSYKDDEVKENEKIVEYEIKEIVEDVEGMIIEDKENF >CRE07928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1135:2624:4266:-1 gene:WBGene00078540 transcript:CRE07928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07928 MIKRYRLIEMDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQMSEAKPPVDEKYYDEANIAEMDDTQKSYTSYEDESDSDMSTTLSPAIPTPEQPSTDTPLSSPIATKPITRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVVVALEKIISKFKSPPIYVYSDFGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVDVLSKVVDGINRSVNRSIKKAPIDVKNGDFSFRRRGRRVRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEVIDGKFYYHEMIRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSAEFDSWVNESEILDIQQ >CRE07929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1135:4591:5217:-1 gene:WBGene00078541 transcript:CRE07929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07929 MTPFQFRFPSQTTVIGATQSGKTSLVRKILENLDSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQSVMSKPYNAMMINNDPNADPCFRIMENFLHEFPIVYK >CRE12422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1136:25:207:1 gene:WBGene00078542 transcript:CRE12422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12422 KKKTKKPAAAKPKKAAGEKKAKAPKKVSKPVAKKAAKSPAKKAAPKKAAAAKPAAAAKKA >CRE11588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1137:8704:9272:1 gene:WBGene00078543 transcript:CRE11588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11588 MFVKRRKETPKENKGKKNVKNKPGYARAEHLHRCAIFLSKLGVKNNDGFSKTSRHVSRLCRQVMDTEMVHLDREQKQKFCKNCREVLVGNYEKTEISVKQRGSITEKCGNCHKERNYMTKDGYGKQLKEKMDSKC >CRE11587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1137:1015:1454:1 gene:WBGene00078544 transcript:CRE11587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11587 MGASIKRIVHPSDNSDRKKLEGDILLIEEGTLKVKEYGTKPELTHEEKLGLLAAQCVSNYFEKAGELRRCSNQKETQINGRIDIKPLTVGSSPEATLLEQRSEFLKKGKYF >CRE20915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:211895:212359:-1 gene:WBGene00078545 transcript:CRE20915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20915 MVESSDFFNSIVVSAREAIIDCLKQELPGFIASFLVKDWERKEAVREERRNIKCRIRSQKEKWESEQRKRQENRKRKMKSEKKARRICKKKMKAANSRNWLKFRELKYNLVACKQVILLSSVCTAPLGTYRVWCLLLSFETFFNARNKKKKYSA >CRE20909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:11685:12890:-1 gene:WBGene00078546 transcript:CRE20909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20909 MTKTNESNIPVDENAPPQVDSDVEEEKKTPESSDTAANSPAVNHSKNGKGKVPPSTVHQKQRPRKSINSEDNLSEGSNEAGKLQKHVPAVVTSNPEDVIILDAIVGPKDKQDDKESKDIKSIKTTVEAIMKVLSSPPDCSQSCKLLSRDMRQMSKLLNDLIVSNNSVVNVLHDLANRKDTNEAREQQLLDNSKRNTDTIEEVRKMISTYGTSIGRVEGMLTREYLPAVLQPVPPVQGKQDNPSKGPSLNVSYRESLERYPKTDNFQKGCMLCDQQINLCMKCLEIIPAADGGHHRDCPNENTECRNCRDIVNDPAASNHHTTFCGLKMQAKEKVPAAQQPSRPHKRPAQKHNQAGPEKFPRFF >CRE20914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:176053:176643:-1 gene:WBGene00078547 transcript:CRE20914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20914 MSHTLLPKPHVIDDLPGVKPLTYSSPGGFKPASTEVNFSPDKYRLLQRQARSV >CRE20911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:63074:63488:-1 gene:WBGene00078549 transcript:CRE20911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20911 MGFYKQCVWLYLIFVMERIFFYVVSLSVESMSVQLRENICSQVICSKYPKSTILAVRLYNSTNSLITTQSFHGRIQPSTLIVLGPYWLLTFKGFILSLFYSNYRI >CRE20905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:245563:245928:1 gene:WBGene00078550 transcript:CRE20905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20905 MLLERSPQGPPVFQNEKLWRLLCDGVWWVLQWKEVEVSIYYDSCDFSHLSSNALEDHRSFFRNKRRSHTFQQDNASIHRSNFTMAWLAANKIKTLDWPAISPDLNPIKNLWGIMVRSEPGR >CRE20896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:38567:38764:1 gene:WBGene00078551 transcript:CRE20896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20896 MTREELNVLFAIRKEVYDANAKAGIIKFAVHDLKIVELKTPRPLPQAKPLPPASSTTPAATASHA >CRE20913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:138385:139112:-1 gene:WBGene00078552 transcript:CRE20913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20913 MTRASIDLLIEIENFDVEKSRKISGNSAVNKRNGAEFEWNNAVKGATKLYRTLNIPISGVQERSNQKISAVSVPDSNLFL >CRE20910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig114:13093:14919:-1 gene:WBGene00078553 transcript:CRE20910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20910 MWPDNNAPFPQSNYSKLVVPPSRMSQTIEISMGPAGGRFSRARNQVPPMSSRRHRQFQDPILDDAEEEPLDFVDPDGSDEDFHAIDDDYRRAPVASESRMSRRITGVHERSGTMEQRLRGFQNERPAVQSPPAATIPPTPKEIAEAVREELHGHLSTIDQLTQKVSSLQMRMGRIDNRVTLNTTPHRTGIHISGYTKWSPARRFLGAHVIVTMSSPAANHSHTASYLVFNSYAKQTVYYSARTAVAAERKAKMMRKQEAWMKEPEKAQLIRESVNLPPVVEGPKVIPPYNCQCIAGMLYSTVLHDNGLQCASRRLFQTLAIEIHHQLTMHTLQELKSLKFDWIPPMEQAEGGEARR >CRE17686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1144:8168:8824:1 gene:WBGene00078554 transcript:CRE17686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17686 MFSKLSSEQISDFLPFFKSKPKFALFANAAKFQVEERIPNHPCDFYYLETSNSKYFYVFRHDNIPDICRPILMIGSDHSVNENDVIHGLEQIKSVEPDLGNIDMLIAPTAVSLPARKFFVHHYNREDYNNPCSNFHIPSTARQEIQENVDKITLPSDFSIGSTRPSDSEVVNSTWKFATPETVLQMK >CRE17685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1144:6452:7120:1 gene:WBGene00078555 transcript:CRE17685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17685 MFYKLSTQQLKEVLPFFKSKPKFALFANAATFEIEKRLPNHPCDFYCLEINDSKYFYVFRHDFIPDTSRPILMIGSDQSVNENDVIHGLEQIKSVEPDLGNIELLVATSALSAPGRKFFIKHFTRSEDYNVECNNFCLPLSAQAEIQKKIGGMTLPSDFSIGSTRLSDSEIVNSTWKFASPETILQTK >CRE17687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1144:12512:13281:1 gene:WBGene00078556 transcript:CRE17687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17687 MPLQKTVVVAPSQQQEQVLNTAQVLTKVMPASAVLDEHVVEPAVASPNNIVSSFNSQTSYGDEHQTSVQTHQTQPQQQVLHRPAASSSSSSSSSASSSAEHHATHQVRVPSASSSIESNEHRVVPESIIVKEIVQSFETTTPYPKQVALQTIAPFVPQPVQPVQPSQPEHIETVQPQISQPRVSQPHPIEHVNYLAPHVPSVPQTQTVVETVQPVHTVQPIRPAPVQQLPLQP >CRE15189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1147:4542:4949:-1 gene:WBGene00078558 transcript:CRE15189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15189 MLFQPNHPFPSKHPILVNISFKQPSQRSVLEAENFREERKSKQKVLDWNKTQEATTNGPTTTGTGDEPTNEEFVRQARHKSTEVFTQPYRLSVSNIVCRQWSSDNSSGQSAHPQLAQQTSPASGQNAPLGQPDAT >CRE24659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:152290:152787:1 gene:WBGene00078559 transcript:CRE24659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24659 MVFDDASWDTLINDSVDKFVQRLEKEFREDAEFRKEVLRHERWRVTEKREQIKRNVSEQKQEAEKQAYAKLQRMRELKKLKKRRKDQRKKVMKIRRQTWIAFRIFVMIFRSEIVTLDTLDIEFTNYKHANSSPISLEKRSFQEIRKRKSCICATNIGNWNTAKLN >CRE24668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:220399:224250:1 gene:WBGene00078560 transcript:CRE24668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24668 MVRKNQCAALIELYEEKIIKCLEEIYKDKEKIDNFKDLLSRMKVERLIENSETAEEFAEKLFKKLKASELVKTDNERLYKEIMTYLNKYMKNSGIHKQLRCSDETTRKSMFGLILSNIDGFLQFTDPTVVMNYLRALPVYDDVVRRLDCGIEIIDEVNREEVDDDEKRRERELRKKKLILRSVPRLGPFAALDILFTIYESSSPNSNEEARHFVDSLFNFQDGQFSDHFRTVKEEQPIIVCDIDVLASEMMMHLGLDDPPNGLRSINYRFDRIADYSTRRIVNSSVNPSLQNENSINLRNYQVELCRLAVQGINTIVTAPTGTGKTVVAANIVKNHFEVRAREEKTFKALFLAPNTTILQQQADRLNHFLAHSYDLKICQGSDNSPTRQAVLSNDIIVATPQMIVNLCNEHEDELSEFSNEKFYLSTFSIILFDECHSTVNNSPYANIMREYHTLKNMGTMPDNHELPQIVGLTASLGTGDANNVEKAIQHIASMCALLDVEKLSTVCEYADELQMFSPIIPEKIYSFEKNKDGSSGRFAELVRQMMDNITMMLETAFVSREEYSKVTNGEKTPAKDHVAYLNWLSCLKRSVAEQNFQGNRNNINEALSMLDICYRSQSFNSNFNPRTAFKYFDEKVKEQERFLTERMKEVLHQYYPRLEQLGSDVPIENPMILKIEDLLTENHRENQNSRAIIFVQTRYDAITLKNILCDNENLLSQNIRTDYILGLNKTTEGSEDSAISRSDQVEKLKQFASGGIRVLVSTSVAEEGLDISECNLVIKYNYATNVIAHVQRRGRGRANDSRSILITNDPSLEKQERANKDKEKMSKAALERINENLDNFLRLVSQENRLIWPQIMNESTKKRELDNSLILKNSTYKIVCKKCDVQLCTSRDIRSRQDNQYLVCNPEFWRIVKIELYDDDEYSNGSIKHMTENCGAQLGKLVAAPGFSEMPVLSAKHIVLIDEADGKRCTVKQWKQIRTQFFKPKPISALDVATMRNASPSNRTLTFEVHQPNGHVEIVEASRTSSNNE >CRE24658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:147400:148068:1 gene:WBGene00078561 transcript:CRE24658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24658 MKKFLLVAAVLLCLTLVIAQEKEDDDEKVSGKVDEKEEERKSCVERENNRRLIYATQQNIGNMRKLEYDKEMEKLKPMEGFECPFGWEPRKYKGDVWAINGVEGTKENPLATKLACIQHPKCGKLYLTDTHSGHGDVIKGKPGSECGGKAEEGLCIGSSAASFGLLFLLVIMSSFYF >CRE24664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:186432:188118:1 gene:WBGene00078562 transcript:CRE24664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24664 MSEEWEELLIELKKMPRGVESAPQYLRHIMKMFVADFEMSVSKRLDMKYWSKLKKMMDEMRKASENDRVVDLNVQNLAMGFMTELSLLVDCHYEIPSFGQDISPHMRWNSPVYSDRKPVKSKKNSRVFMAYILLRIGDLMRYKDCLPKARELYEQSCRINPADGAVWNQLGLISVLSAQYLESVYFHTRALHASLEFPSATGSLTTIFKKFANRDISKSMPVNELYLSCLAKIHFLLEIENSETLLQTISEKLATSKEIIVSLMSVYEHLEDGTELEQRAVGYISTIWTISYRILLETLANRIEEAEDVLEISNLLHLLTFFHSAPKLLEEIEESETSGDVRTVVDWLMTSGSSDDVISDTDGFRYFHCLEERQYPLKKSHLIEIFKGTIVDESEGTEFNTSTEQASSNSSSPLKSTSRSPVKAQRREDPESSDEEILQRGRRRGRAPRLNESNDTDDELF >CRE24724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:235367:236320:-1 gene:WBGene00078563 transcript:CRE24724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24724 MTNTYCLHCIYGNVSSITRRNSSQHIALKVRFNISKLGSLNKIFEMSGGFTDLVKVSYQSILDFSLQYLENSAVCPSGSMEFKTCDVGYKMFLRGINWYCLKVNSCYNHSKTARISSFKVIYSSENMDQPTAKSLCINQKASISGLENMNETNYVKSSVYSEMVKNYTEYYGGWGIWIDGEKKYECRAENTTSLPGPCNGVNGFNFLDKTLQKKGGYDWDGRNPDGYRGYQNCIMMMISRSYKNGKFDDLICSDKQDFYKRGVLCGLLAK >CRE24681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:11:1942:-1 gene:WBGene00078564 transcript:CRE24681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24681 MKLLIEHQKLDNIVEDVFIEPQILYIPSEEPLSPRRIQMIKRRKVMLIFIILLIIFLFYNSFKAMNETIHFETIESDPDLSNEEELETPLKPGILIDPTRKLTRKLPVSHVYVTSAYYYPISKSLGSNAIAMAMVVDSINFNVDNATYNVVGSNGTHTISSIATSQTEGVPTCRYTPAMAKTKTVENITKLEMESNGVRVEIPFKMARYTAPKPVIICISPQFVAEQWQIFLMHVHVANRWVLFLGSFKNTSYRFGGHLHIYLTSIIESFFELMKEYEREGYLTLDFWLRMKFEHTTTPYFEPNENIEWRNQAGAQTDCLLQYKEAAEYIAFFDMDDILFPKNYPTYLEEFSAEWALQPDATSVFYGRREHEFVKVYFPAETLSQFSFIELVRSLRSSPTVKRGKVVVKPDRYNSTWIHFSNNESEGTRYDIVSKFPINLNCFRRTIDNPTLIHVQRPLQKHGNNNITKVWKMKFGPLNETIRDHDVKGIEEDIERIRRISKVSEIAPRLPSSDFYLPIVFKCYYDAFYDNAFDHRKGKHGCPNADTCALPQREEYKCVHSHAKYFSGPHMKPFTFHFAKNSFWSKNI >CRE24672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:238164:238455:1 gene:WBGene00078565 transcript:CRE24672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24672 MFQKSLIVLAISLFCLASSQIVYTPEVVSSPYYYPSAAAYPYSYTYSSPAVAYPNDFYGWGSNKGQQSPSAAPTQKLTNNQ >CRE24731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:279165:282429:-1 gene:WBGene00078566 transcript:CRE24731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24731 MSRVKKEKKEKVFGSAGPVSYKRSILAAFEKQSNGRICPLCKVKFSLASYKSHMNECNVAEDDDEIEVLATYTREEAVLLRAGPEIVLGEESFSEVTSNPKKRRRTEKIEELESTSAPPNNVDLGTPRPTTSNENLETSLQSSEVTENIANHVRNIKTSPDSENHRRKSTRVLRSESQQVPVKKESATIAEVIDAIDNFESRTANTPLPYYVKCTIRILKRVISTMKTDGTFYSDVFWLPGDIITFYRFVNELSDGAKCLLVRLFIRKPVWYHLEKLEQKYPEVQSINEVVVELTKMEFVDDDSSLKSLEEALKISDVSVLKNIAKKFKIDSNKNRQETIQSIKSFAQSQQSIFGGTGSVEASVLKALKKELGTCVKIRDGFVDLFKCLFTVYCPVTTNSANVIDNPSATNVYQDLLFMMLSVENGTVQFPALNPCPTITSFYKDRRMLMEYVIAKSLETTLVLNMSNGNHETALDLAIDAKEFLDQMPEEHKRYYDSLEVHERKFTPIWVHTRCCGHATTLLEKQKKYGMAVEWQRDLLITNKDVQLYCIDSRGLWWDRMLLNLDSHLKEKQECAKMIQLAINDPSVLEKELLSIQDRALKLKEMPPEFRPPLNIGIPKKRVISARTIAKSLGDGRLNRFVIRDDEKEEDVECSVEEAARRWYLENEGYTIGVHDEGATWHTLFGLIFYDIIFSTEEDVASTWHSEVQDCPSDLSNTLYLKRKEKFEDRFEWLAEVDQEVIEDNIRKIWTLKQNETNRECSWKHFPSGVEDCVGLFQCIPRTALISIFRRLAENYRNSRSGFPDLTLWNPEKKTVAVIEVKGPGDRLSTKQRLWLSVFADNGIESEVCHVEAQNSRLLV >CRE24646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:49389:50332:1 gene:WBGene00078567 transcript:CRE24646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24646 MASSLLKIAEVCFPEFKDTELLYSITKSFEKGKLTNPPYSLMDATIECLMKDALEDEEVLQQIGNILRNYPLQLSSLYLLQGNPEMLKIAFDWSFSRDNGPLSIDIQNYTCILTVKKYIIAEFAIKQGFDYPKAIVLSAVQKGCMKLRVSVTECPSDNETSQQKIERFVRDLQLEPKLAGQPCTIDCYIRREFHFQSLRGSHIYFTKFTGSDGICRHDANMSLKDL >CRE24732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:282763:283447:-1 gene:WBGene00078568 transcript:CRE24732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24732 MILTPKMPKGSRQRPELHRPRIRTQEVTPMRMSQFSRAMPSLESQISIGKQLDRQVSVSMRSPPRSKRFFVPECTSTPALPECVEEVEMNEDPPMVPIVAEVHSHPSDAEVELILNSEPIGQLSPEPRPSSAPLNNRSISRLPPRPRTAEPVEPRRACSPTRKRTARKCTMAMTPHCARPTISWRYKCSKEGAFL >CRE24686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:19945:20151:-1 gene:WBGene00078569 transcript:CRE24686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24686 MSNLVPVHKSLESSLVDVPLLSKEVDDSDVFEVYEESAMSTRSVILIIITVSLVVLLYLNFAFDKSDS >CRE24673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:239011:239735:1 gene:WBGene00078570 transcript:CRE24673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24673 MTAFELPVIFNNDQDPVYLRERELFKMIPVLVRSVEGSHPNWENQDTIVSEKVSVPFKKEVAEFIFSHLREYDVIDDSKLAIEKFVDADQKGLYELKEILECANYFECQPFMNCIGFVIAKKLDTKSIEEIAEFFGVKAEPEGKWFDEDDGWLHPPAEVFQG >CRE24694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:46194:46554:-1 gene:WBGene00078571 transcript:CRE24694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24694 MKISELLWKFRFIVDFAAIGTLCFMAIMTCVVIHLTNNIIDEIDEVRDVFMRKKHTFEMYANDAENLMLSGNHIDGFSDLFNTMIQVPRRSSNTCN >CRE24647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:50611:51583:1 gene:WBGene00078572 transcript:CRE24647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24647 MTTNCSAMSRIVNACIPGIKTSFVLWSITHTISTKKSDKTSPDSLMRIASSVALIKALENSEISDLVEEILKTDILEVCSFHYLEKHPKLLSTALYFSLQKDSGHVGIEIFNQSCKLTVGNEIVATVSIDDFQWPIYFTTLLIIKKIPEFQFSIMECYSGIETKVSKCRKFLNTLIHTLKDLNYESSCNINCYSRREFYYDGPFGSHVYFTRAISATDGLCRHERIAGND >CRE24698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:74193:74486:-1 gene:WBGene00078573 transcript:CRE24698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24698 MIWSATFILAFIRLWHKSHRDLTEERNKWEEQQRVPSNRVRERRIIRRRRRRRRRTSSTTESSAAVTPSDSRESTGSDGDISRDVLHPETQKLLKNA >CRE24660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:152977:153468:1 gene:WBGene00078574 transcript:CRE24660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24660 MVFDDASWDTLINDSVDKFVQRLEKEFREDAEFRKEVLRHERWRVTEKREQIKRNVSEQKQEWAEKQAYAKLQRMRELKKLKKCRKDQRKKVMKIRRQTWIAFRIFVMIFRSEIVTLDTLDIEFNNYKHANSSPISLEKKFVPRNKKKKKLQLCYEYRKLEHG >CRE24666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:214495:216663:1 gene:WBGene00078575 transcript:CRE24666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24666 MKLKTSLICLLPLFIRFSKSVIITTSYGKLNGERVGEYHSFKHVPFAKPPIGELRFQKPETADKWKGIRQAKKYGPACMSNSTETSSPQEWVDEDCLHVNIFTSDKCLKESKGCAVAVYIHGGDILYDSAVMFNDTFLMDSFVKNDVILVIPGFRLGIFSHFAVDDQSIAPNNVAIYDILKSLDYVQTEIHNFGGNNKKVTMFGHSYGGTIVALLTFSTEINKDLGLFQRAILMSAHQHYDQLEDHIEKTQLFAKHANCLIDSKVIKKMTRSEQDRHTMKCLQAKSGMELLRIQRSMEETGHAYGGLIYRGPLFPNVKQSEFLNSPKEIPILTGCIRTEFDFDPEKIPLAASLDFENPDECDAEYRNDLRVGKFDRDNHTDRTQLIMVPTKIRVNKLLDKNIPVSEICKLTVNTFFQAYLYEFTYPKHARHTDDLYYIMGVHPFQEDENEINLKKVYQNMFINFIKYGHPGDGFDRSNAEKSSYFDVYWNETTGERSQMRNDFEKKVMDYWLKDMMEYDRKITEEKNKRMKKPNLKFNKRDLVSSETYQASISSLFSFIIIVIFLAGYIVGKCCSSRRDLYIRLDGSNFENVKQFE >CRE24662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:155894:156562:1 gene:WBGene00078576 transcript:CRE24662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24662 MKKFLLVAAVLLCLTLVIAQEKEEDDEKVSGKVDEKEEERKSCVERENNRRLIYATQQNIGNMRKLEYDKEMEKLKPMEGFECPFGWEPRKYKGDVWAINGVEGTKENPLATKLACIQHPKCGKLYLTDTHSGHGDVIKGKPGSECGGEAEEGLCIGSSAASFGLLFLLVIMSSFYF >CRE24725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:237390:237681:-1 gene:WBGene00078577 transcript:CRE24725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24725 MFQKSLIVLAISLFCLASSQIVYTPEVVSSPYYYPSAAAYPYSYTYSSPAVAYPNAFYGWGSNKGQQSPSAAPTQMLTNNQ >CRE24723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:233882:235182:-1 gene:WBGene00078578 transcript:CRE24723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24723 MTFLVLFLLLIILIQPVFMANSSSFPTREDKEKIFRMMFEAQNKFRQGEILEDIQDVMNDFPSDNYKKTRRNFYKNWQSCDEKYRWPACCLWSEKKKYKRTTSDCEHIKGDVILENNVDAISFQSLLDIREIQGSLVLRNTTILEFGLPLLERIGFDDKSRSKASLIIENNKYLTHLRIRKLVEIVKHSSQKHAIVENNPNLKIDAKQYEIFKKAANGSLDFEHFNPPWRRYIRGITYELWPYFLFGFMIIVVFTHHFIMLEVNEFRMKRKDKEMIDCLNKMRDSTIAAELLIQTPMDVTLAFDMDVLHLCTDQTELIKKIEKLLNENMPAFKGASSEVVSNKE >CRE24642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:27200:27933:1 gene:WBGene00078579 transcript:CRE24642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24642 MSISKQDNLKNNVNRLYKRAAEIVEKYRFVEMNNLLNFLFSVFLLATVAAVPVSKSPSSSGNEQLIGHFPSSSKPEEFFHISDAPQELVHKLPTFAPGAFTYKWTLPPLGKKTFAPLDLAVTKKPHTHKTKGPNKEELSHHVTFPHGAFTQKFTVSPIGKHTFAPVELAGVDEKSLISWAPADFAKVSKKPHITPPPH >CRE24643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:30233:31107:1 gene:WBGene00078580 transcript:CRE24643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24643 MNSSVFLLLIWTTVLGASITKGPEIVRFTRRHGPWITRGTRRPPSEVSSLHQKREAFLFQNIGHFPSSTDPFEVVHFTKVNNPPEVESFKFSFPPKTRRTIGPYESFASKKELVHKLPTFAPGAFTHKWTLPPLGKKTFAPLDLAVTKKPHTHETKGPNKEELSHHVTFPHGAFTQKFTVSPIGKHTFAPVELAGVDEKSLISWAPADFAKVSKKPHNTPPTH >CRE24699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:85111:87289:-1 gene:WBGene00078581 transcript:CRE24699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24699 MDLLSKVSNENVGTLEQWDLFGIYFLLHNKRNEIRPLRLWCLFRFYEHQIINFDTSKCRKHIKNKKKKNKKEKKNKKNK >CRE24661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:154777:155364:1 gene:WBGene00078582 transcript:CRE24661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24661 MHLGSSVNYALAQSTPSNTTPDPKDKERQGCLKDENQNRRGYAKMNKIGNMVKLEYDKSMELNPIEGFECPNQLQAQKYKEGVWAFSKTGDGTSDSPLASKFACVQHEKCGFLLLINKGPKHADQARGKEAGTACSGKVDDGLCIGSAASFGFFFLISFISFFNL >CRE24722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:232732:233023:-1 gene:WBGene00078583 transcript:CRE24722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24722 MFQKSLIVLAISLFCLASSQIVYTQEVVSSPYYYPSAAAYPYSYTYSSPAVAYPNAFYGWGSNKGQQSSSAAPTQKLTNNQ >CRE24671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:233506:233797:1 gene:WBGene00078584 transcript:CRE24671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24671 MFQKSLIVLAISLFCLASSQIVYTPEVVSSPYYYPSAAAYPYSYTYSSPAVAYPNAFYGWGSNKGQQSPSAAPTQMLTNNQ >CRE24657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:146208:146862:1 gene:WBGene00078585 transcript:CRE24657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24657 MKNALLVAVIFLCRSSVNYALAQSTPSNTTPDPKDKERQGCLKDENQNRRGYAKMNKIGNMVKLEYDKSMELNPIEGFECPNQLQAQKYKEGVWAFSKTGDGTSDSPLASKFACVQHEKCGFLLLINKGPKHADQAKGKEAGTACSGKVDDGLCIGSAASFGFFFLISFISFFNL >CRE24665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:212088:214248:1 gene:WBGene00078586 transcript:CRE24665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24665 MKNLRFILLLAFIKVSISIIISTSYGKLNGKHVGEYHSFKHVPFAKPPIGELRFQKPETVELWNDVREAVDYGPACMSNSTWTKSPQKWVDEDCLHINIFTSNKCLKSKNCPVAVYIHGGELSFDSAVMFNDTFMFDTFVKRDVVLLVPAFRLGIFSHFVVEDQSIAPTNLALYDILKSFEFVKSEVHNFGGDNKKITVFGHSYGGTISSMLTFSTEINQDLSLFQKSVSMSGHQYFETLELHMERAQRFAKHANCLVDPKQAETMTRSEQDRLTMKCLQAKSGMELLRVQRSLEEAGYSDLKGVVLREPIFPKVKPMELWNSPNKIPMLTGCTKIEFDHEDEVIPLATVFGFDNPKECDEKYRKDLKEGTVGEQSSLKNYSKLVFADLVNHTDKTMAITVPTKIRVNKLLENGIPVYLYEFTYPKHAKHADDLYYIMGVHPFQEDENEIHLKKVYQDMFINFIKYGHPGDGFDRSNLEKSSYFDVYWNETTGERPQMRNDFEKKVMDYWLKDMMEFDRKITEEKKKSLEKPSLRYTKLDLSGSGMDQSSHLLFLIFMVLAVFIAGFFVGKCCSSRKDNLYVRLDGRNFETVKHFE >CRE24706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:122930:123279:-1 gene:WBGene00078587 transcript:CRE24706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24706 MATLHILVLVLLSYGFSILLASEACAVPTADLSPAKLADVPIGGANVVLPKKNPTATETTPPVGNDIEQSGGKSEENSNSRYQPITIFSAILTLILCKMV >CRE24656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig115:144789:145512:1 gene:WBGene00078588 transcript:CRE24656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24656 MNTSLLFVVILMCVRCGFAQVNDVDPKECLKDENQNRRGYAKMNKIGNMVKLEYDKSMELNPIEGFECPNQLQAQKYKEGVWAFSKTGDGTSDSPLASKFACVQHEKCGFLLLINKGPKHADQAKGKEAGTACSGKVDDGLCDQSVASVKSAPPRSPVTESSVDSTPVASNASTWPHASLQLFFISSIMIILSYL >CRE17741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1150:1236:6046:-1 gene:WBGene00078589 transcript:CRE17741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17741 MRSSSIACLVAATCLFSVSVGALPIEYFYGEDGQLQQEEPIEETEDNADNFQQDREIVALFDPSKPIGQVSGLAINKNGHIVAFHRSGRVWDEKSFNSDETFNKDLGPINNNTFAIIDREKKVVDEFGAGMFYMPHGLTIDSNGDYWVTDVGSHQVHKIDAKTQKIVMSLGEKLVPGEDQTHFCKPTDVAVAKNGHIFVADGYCNSRILKFDSKGRLMAQINAAIEDGQPSEFAIPHSLALIEDMNIVCVADRENQRVQCFSAGLSEGDRTLPTGIPITSATDIGRVFAIREREHYLIGVTGKSEDVEAQMFSINMKTGKTETFAKGLRDTHALAVAADGVMYVSQLEPSRILEVRLL >CRE22223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1151:3664:4227:-1 gene:WBGene00078590 transcript:CRE22223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22223 MKTVAILISFVVVGVAAKWFTPMAPLQDGCKKNEERVKCGYNCEAQCGFEPTVCSLECIPNVCECKDGFVRNTLGECVHRLECTPETSRCPEDEEFQVCGAVCQPSCEDPYPTFCQYTDCARNVCRCLPGLVRNGVICTELSMCRNVPSRPLELFTL >CRE21656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1152:1640:1967:-1 gene:WBGene00078591 transcript:CRE21656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21656 MNDIANSMGILRASHALVESSETPVEGLFDMGKMQTMTESVDDITKKIQSLVEPKYRKEHEVYLEKVKDDQKKYVDACREQAINKMRSMAANR >CRE24325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1156:3832:4583:1 gene:WBGene00078592 transcript:CRE24325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24325 MGVTGLCPSSKVSSDDGPADDVPPTNQPNQQGNAPGPSAVVPVAPAPVEEAQEPVEEVAAPVEEVPVEEVHEPVDQDAAQPVEEHVPEDPVPRQPPVAPAQRVVREAQYFHALFPVGHPPLRVDYVQAAFEMVHPQGNPNYVAQYRVPINNSHDEDPPQEGDRH >CRE24128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:20297:20969:1 gene:WBGene00078594 transcript:CRE24128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24128 MTPYTPDQCRHLVRLVLNTGVTNFKKNVPLPVFRRPEFRERFPERTPEMYAKMTFVGE >CRE24127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:17290:18015:1 gene:WBGene00078595 transcript:CRE24127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24127 MKYTWSLSLRAVTETELLEDFRIVKEGVDGQYHYAYMPPEDRELDLEEHDDAEHEEKKPEPIRVKPTLRTFFFLLAQMVAQLGDDNDKPKNKNDKPKNDDNVLADLSNKIAAINVNELGEKAMTDMISGFLTRGVGDQQ >CRE24168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:177084:177592:-1 gene:WBGene00078596 transcript:CRE24168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24168 MSRFRIPRSPFWLPPCKDDEIDFFLKDAITHNGHHLENLSPSITEKYTSFLNQARILQVWDAITYETVPLPIIIHDYIATYTRMSNNRRSVAEVYLEDYGYTLTFPFSPVIADVLGRYHPIEVCAVRIQRPSVNSDID >CRE24153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:85505:86710:-1 gene:WBGene00078597 transcript:CRE24153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24153 MSSIKLLSFPFLIFSKIVRSMGIMELFELSQVSRRVLNLLKLSRIPVARSVNVLIGKMNSIFFYEFGSSTKIDFAIDFVEKPQSITGQMKINNICIDVSKNTEKRIIYCKSSQFEVALVPLLTHLDKIFFQIKWAIGIELNVLNTMREVLSHSVFKKCRYLQIRSETEALSNEDCEYLLERTHPTFGITIRSNLDLNFNYKNILHFSRLSVHNLGKMPLEDLKELDSEIAYLKNHQFTETDINQFLHHWIKGNNKKLRHLHLDGFKEAPDWDILLKDIVYTECNARERERYYKSKYTNEMETIDCENGRDFRNKDGQLATVVHYSKFLVFLVWNDRFPE >CRE24130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:25136:25853:1 gene:WBGene00078598 transcript:CRE24130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24130 MKYTWEEERKLVKLTLNAVNEYDPDSLVPERVFDVQEFRDAFPGRTPLGLCANVKEGVDGQYNYEFMPDEDRELDLEEHDEAEHEEQQQPDPQQPEPIRVRPTLRTFFFLLAQMVAQLGDDNDEHEEDNNVLADLSNQIAAINVNELDEGAMTDMISGFLTRGLQL >CRE24148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:15052:15444:-1 gene:WBGene00078599 transcript:CRE24148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24148 MVPPEEVRIQNTTEEAGRLAFTESSTVDSETDDTTSSAPGTDKDDQTTVATPTVDTDTTMKSDTETTMMAEIVTEGMATTEAEMEMVTTADIGDLGRD >CRE24137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:127902:128234:1 gene:WBGene00078600 transcript:CRE24137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24137 MLQEVTRPRKQKDMCQQDAECLHHEPEKNRRDRGSLHPKMRNLPEVARSRYLKLRNLAEIVRDRHLKPRHLPGDARSPRHGYATVPKDVRLKEPNRPITLRFVLEKDKKM >CRE24155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:91125:92045:-1 gene:WBGene00078601 transcript:CRE24155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24155 MKVNNVHINICEKSTRQKVIHCNSNQFGYGLVHVLTHFDKIFHRIDIAFGIELNTLNAMRGLLCHPIFRKSCYLQFRGENETLSNEDCEYLLEKTQPTTGITIFSKLSPDFDYKRILHFSRLRVPNLGKMPLEDLKALDSEIANLGNHQFTETDINEFLHHWIKGNNRRLRRLKLDGFKEAPDWDILLKDIVYTEWNPNERGRYFKSKYTDEVETIDCENGKDFKNKDGQLATVVHHSEFLDFLVWHEKFPE >CRE24131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:27685:28128:1 gene:WBGene00078602 transcript:CRE24131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24131 MAKLKKEPKSVEERRLKTVEMLNLVVEIVGEMCTNVEMTSNLNEKATAINAVVDVLDTILHAESLFSDFRVSQSENWPDIPLNDSKFEVLRQKIEEEKRYESTQRRYEDRPK >CRE24140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:179758:180595:1 gene:WBGene00078603 transcript:CRE24140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24140 MYNHSYHSYQKFDRDADNVNLIGNKTEVKFWGKTAQRVDKTNTSIVIDPAKFYRILYDKTVEIQDLRAINDTLVVKHQKRAECLESLRTSAMHIAAMTTSHARPHLYGLMEKVGPDNLVYTDTDSLIYTVPDGEEDPLKDD >CRE24167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:176442:177012:-1 gene:WBGene00078604 transcript:CRE24167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24167 MLSRFESFLDQNFPNWKSLSDDVLNQILDDFVGNIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIASYIQREWAVQSNHPSGPYVYIKKDEGSLYPIDLVHHIEEETDEEIQERQQLEKKERWDALISVSKQITVLGKKHRFVKDLKFKNELSKKVEKLARRMIGITLNS >CRE24126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:12899:13569:1 gene:WBGene00078605 transcript:CRE24126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24126 MNRRNMPTVAELNSSAVIPRQRLIPDVRQILQSPDHSNLVQDVVDRGTSPINFDAPRRDRGTSPLTVRHVVGLLEAVQRNVPELRRFTRLALRETRKRPRRQQQNGEGSSSSNVAPREIDDERNNLLNNTEERLMIKRRRERFENFRQRMRLDMLRSEYKKTYGEVPIPDHNTSLKDHIDNLEREWEREREEAAAAPPRDVPVENRLE >CRE24165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:164945:166763:-1 gene:WBGene00078606 transcript:CRE24165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24165 MFAMPRAESPKENPEATPSGETSVAESEEEDGGKNQTHPQMKEEEDEERDTSSGAETEESSSKKVSPDRPCTSSQNAPGADESRSPSAETEQTTTTPEFNSSNSTTSSRQSESPEAMEQEEEVSMTCRKSGRPGPKLFPISARPDPARDKSGYSNVTCKARSSELPCTSNREYL >CRE24129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:21736:23264:1 gene:WBGene00078607 transcript:CRE24129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24129 MGDRQQPRTRIPFEVEESRALVNYVLNRGGRYITRHVPLYVFKGAKDELNSNRTPAVLYSKYNFDLAVKKKTKEELRVEFGDDPVTPPADVFKPSAKTEKKKKKKHHRQLQLEYEDDQVTSTSAAGDVVVPAEAENQDNVDPGAEELQNEQSDSDGEEEPLVDFFADLEEITRNLGARGMRYIQRMEEVNLDNESADEDTVEEKIRVFLEGGLRAIGHWNV >CRE24157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:96638:97855:-1 gene:WBGene00078608 transcript:CRE24157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24157 MSSIKLLSFPLLIFSEIVRSMGFVEILELSQASRRMLNFINLARISVEPINIVNGSADKITIYDESCTERKILIEFLKTPQPVVGQMKVNNIRIDVCSKDATQQIINCNSHQFGYGLVHILTHMDKIFYRMEYAIGIELSTLNSMRGILCHSVFRKCDYMQIRGRKETLSNEDCEYLLEKTQPTTGISIFSKLSSDFDYKKVSRFLRDSNIQTLFQILHFSRLRVVNLGKMPLEDLKALDSEFAILGNHQFTETDINEFLHQWIEGNNRKLRCFQLDGFKVAPDWDILLKEIVHTDWNPRERERYYKSKYTEEVETIDCENGRDFRNKNGQLATVVHHSEFLDFLVCSDRIPE >CRE24141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig116:180689:181013:1 gene:WBGene00078609 transcript:CRE24141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24141 MESGDEKISLKGFTMTSVADKIVTFDNMKTTVEEVLEKVTPMTIQKVPQFPMRRDREHNVYARDIEKQMKYTFNKRRVMADGSTLPFGYRHK >CRE01474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1160:5801:7281:1 gene:WBGene00078610 transcript:CRE01474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01474 MLLLLLLLVPTAHGAATWHFSGNLSCPMDAFTYRIQVWEDDWFTDDLLTSQDEIISYFPHSYKIDAQDTDDGFDLGGMFEIYLAIYHNCNPQGNWKVVWHDCGSYPINVGDVTQTQNVELYNQGEIVLQLPK >CRE02741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1161:39158:40658:1 gene:WBGene00078611 transcript:CRE02741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02741 MNFDRIETSTSIQYILESFTEARSTSEANEAANFAAMSEASCSMSGGGALSPWDFEVMPNQLFVDQVRVFEMPGSSQINPCSACNSEGTIHCSFCSRNEKSEHYTECEIPEKLLLQAEGKRIFKEEQDNIILISKYPQEDVNNMSKLFCTQHLQKCMGVCRVIRQRHYMNAIPISKVHFSLGNEKGIFSFFSNIFN >CRE31597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1167:7507:7710:-1 gene:WBGene00078612 transcript:CRE31597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31597 MGLCCTEKYLKKSEISPTTVNIPSPWVADKKEKHFFVDRSSPSKFFKYPTGNNKSFLHPANVSSFFK >CRE30585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1168:35:3457:-1 gene:WBGene00078613 transcript:CRE30585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30585 MVKSGERVVVKKLNLEKVIQNLARINSTLVSNLGNQIFCVVPRIKDSTNKEQGYRKEKQLKFLVSFRSIKSQVPPYLRGGGDVMEDTEIRGIRKLEPEAQLDSSKPLICRVLYPTQGYMYKCFYPKCKGHSNGSTDLRSLKKHMVDKHFTNIEFAYKCATCMFLTTGKSATALKSIKAHMASHHKVTMEPGKKSLVQKLNARLEEAAPSLPMPRNRSKVIQLTPEKSISELEKKKQTRSVAKQLSTLKESAQKKEEEVKIAEVKKREPRLSIIPESNVRRSLAAGLEQCINPEQSVAQRIREKREEYAKASREAAAKRRSSLAMKPARLPDKENEITLQETKKIDDPIVIDLEKEGILTTVLKVPRNQFNSWCLEHETTIDAWLTDEVIHMYMCTITENRKYFMAIDPVLWPVYVRNGAEDLLRRTSCPGTFFFPICESNHWVLLVIEHDVYWYLDPKGEEPKGNVEILLESMKRKRQYYEFPPPSQRDNVNCGVHVCLMAKSIVDECGYNWYSEEDVRSFRTNMKDILKSKGYELCPEPYNRQNLLKTEKQKEVILEEMIDSFVVEDDMTFTVHRDSDHGDDEVEHLKTIEQEPENEISEIENVEGSVDSVIPKLMEMRVQTPPVINEKRGKKRVSAKEKPRKQKEKEQKVPTGKPDELVKRVRVWFEKEFKSYVEDGKSFPRLEWLTDVLTAAIQKASAGDEKAVELIEKRCPPLEIEEGEMFTQTEKKKKPKSGKGNGGQESMKSLMASYSENRAKTYNRIIGKHSKQCEIPIAKVQKFFEGTTAETNVPKETLKEMCSRLPKVEVGMWIEGEFSESEVTEALKKTKDTAPGVDGLRYHHLKWFDPELKMLSQIYNECREHRKIPKHWKEAETILLYKGGDESKPDNWRPISLMPTIYKLYSSLWNRRIRAVKGVMSKCQRGFQEREGCNESIGILRTAIDVAKGKKRNIAVAWLDLTNAFGSVPHELIKETLESYRFPEIVVDVVEDMYREASIRVTTRTEKSDQIMIKSGVKQGDPISPTLFNMCLESVIRRHLDRSVGHRCLKTKIKVLAFADDMAVLAENSEQLQKELTAMDADCSALNLLFKPAKCASLILEKGTVNRLNEVVLRGKPIRNLMENETYKYLGVQTGTETRFP >CRE30303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1169:9697:10623:1 gene:WBGene00078614 transcript:CRE30303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30303 MFSAALDPFTVSFLFPCHTISLQLALHLNFSLDSSKSSGISHGPLGTHFNCFIFISPKNQYTEVTIYLFSRSEVNRKRRTFLPCHTTIYGLYFYFFFLQMNPQKSSSFEDFAGQVVIYTEIGNAKCAKGRDLLHRECIPYTDVSLDSFPQHTQEIFDRTGTDVLPQIFFNNIYIGHETDLERVIFEKNKWESLIEHVRREKCTNGPIVPHPMNAIGFEEYDTENNNKCCEEVLWVPDEYSKLVRDMKNAQLIKNNRVSDLQ >CRE23641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:80918:81322:1 gene:WBGene00078615 transcript:CRE23641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23641 MAYLTSSYLSMMTKRVEEAPVVLKFIRRCTTRQIVNSRPPYTGFKSNIAAVGGSTANEIQAMKTLVKPMNQKFLGSDENTIREKVFRWNRKKSDKKSKFWRINFGVRRVF >CRE23656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:243920:247609:1 gene:WBGene00078616 transcript:CRE23656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23656 MSANATQVVVKPEVQSPKPDAQSAAVRSESEQHSTAPSNTGSSPVNNIVVGVEPELEEILSSFVKPHSIRSNGSDGGVYPSGRIRRIPYDSTIKELFIKIYGKEPRPSSQLKTRLADVTGLTTTKVGQWFNNKRSQMRVSNTQLSFSPQPSVPSSEEPENAQDGGVSSGNLDSAAQNTGPASSISQAVSSSYAPPTWAPPAGYLSSHGAHFNHFGVQGNRQQQHPSFPGFTPPLYQVPTAPFPGFAGNFPGRHFNNPAPLHFPTNFSSHDAPLPLNMSSSFYFFPGGPSVPLKLRQQYLPNLHAALPNLAPAPTNLLSPTLSSVGQPNVIEENSSTINSSDQVAQTTHAPQNGSKRRLKVKKEIKSDIQVIDLSDDDDDEGENEDNDEDSEEWAAAREELKNEPNRDVCLPKNGSLRFETWKQGEVAEIAEKLKLGPGVVKRMKNRLKIEQHAENLKNESQALYRELNLKKNFQIGNVISINDFLAFCQNFKKVVELGKNCNF >CRE23635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:37185:37673:1 gene:WBGene00078617 transcript:CRE23635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23635 description:GATA transcription factor MED7 [Source:UniProtKB/TrEMBL;Acc:Q2PZG5] MDPLSYPLSKFNVDYSATHYYSGYYPNTYYWNWSNYYYQNEFNYDQPTSSGYSFTTTSLMTISSTSSGSYSTATPLFSHSMTPSMPTMTPPTTTTPHRHKDSTRHCSNCFATETCQWKNVRSENGILCNACFIYQRKYKKTRPVTAMEKYNSKKAVRQSNSN >CRE23651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:161078:161558:1 gene:WBGene00078618 transcript:CRE23651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23651 MEQNRPEQKSDENTTSGGHVETSSVPAEHFEERAVKVAISSSASVAAGEEALDSDKIALLDRVSQFLTSHPEKAEMFLDLLKKMNLYIEANFVPGKTFDTAKMFLYLQEAAISARREKLDMEKENHKS >CRE23662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:15304:16940:-1 gene:WBGene00078619 transcript:CRE23662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23662 MTIRMFINLPRIGSLGPTIKAEILREFGENVQGNLFNPGQVTFRLQETFMDFEGFPDLGGSVESQDEQVTNRGVQNTPNFDFGNIWVEIPPENWRIMRRKMERTKTMRILPQKKKKEEKDDKDDDGEDDEDSESEMEVDDDLEEQNQEFRNDWNLIILLTRNVLSKMF >CRE23685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:224010:224616:-1 gene:WBGene00078620 transcript:CRE23685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23685 MAANNESFPLELFETLIRQNYSYGGVLKKMKISANSDVKELYTRNVDRMICMEKDPMYATITIKNQDQFLSELRELWEQLKKRQEDKAERKRHGDCKIDRMTRRMKQLKVRKIKALQEAIHIPKGRALTLEEIKAWRAALANRKKGGMVDGKEERQCKRCHLVIRF >CRE23652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:165163:169061:1 gene:WBGene00078621 transcript:CRE23652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23652 MEKPQSESMDAEVDQSDISGNTNSKTQTASPPPRSHEPFQRVEERPGSVQAFSNALFMGSPELASLVQLESEFRPMLCQILAKYFNDPSIVQDEQLCQPFKFISDSIEIGLKTFLQDVDKDLATVVMENVRQKCEIDRLRIGKALVETQYAELNSRCEQLEDCLRLMQVEQMKMEQDSSQRVEPMVGKKRKCEELGREIVKPLADGEGDNNVKENVELEDFENRLETRSDAFFARFEWLKKFVTNQHVDLQIAQRNNSIKTNQLQTLFVLYDEFLKSEGKSIFGNEFEESRFPDFAEQRQKIEMLDKRGKEREQNVVAEHNDDTHENLDMLHIEHGPFFFEFLKELIEQHPSCFFSHEEIRERYNAKCYTELPMKFFTQEFQMLETHPMLEYSGEYGKLVYLCMTQTPISEGFKNKIRELPDYVTVKVDDNNKVVDLKFDQASMYFNRKWKQEELELNKMNTGDKTP >CRE23683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:211728:212488:-1 gene:WBGene00078622 transcript:CRE23683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23683 MMDSIRTEEAEDYIARNPFPLIEIQQYADKILDCSAFIDFMQTRSVPVFRELYKRNAEYMICLKSELRTKPNTRGIRNKMFDELQILWKSHEFQQMMRTSQKQTRKKILSEIILVNGVRKAEEQMDKWKKDDKESQEQYFQLARKGAHQPKEKILNKKQIIEFADARIAIYRVGRRHLRCARCSALLGSVNNDWADPILLSKHRFRTRFSLINNVLHAQYYY >CRE23663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:17656:17947:-1 gene:WBGene00078623 transcript:CRE23663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23663 MHNTASLGCDMGTLLSNTDTYSESLDSEVEEGFDALDLAFDADQKQFITLIGSTYFHRNNMENRHHCNARKSRSQRRRRP >CRE23647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:127602:127874:1 gene:WBGene00078624 transcript:CRE23647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23647 MHSIRHRRLKSQLLLLYKFIAGASHFPFLNTIVRLSDSPRRPMALIYLSPLSDNFFSFTIPYWNAITYNVNTFLSPSQFAILLDSSITRF >CRE23658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:266609:267325:1 gene:WBGene00078625 transcript:CRE23658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23658 MLRWILLTQLVVHVNSSPNHVLLGSSHAHDSVVVCEIDELQLNMQEHNNSLKNMENLICKEMSENLFWNTTTTCKYSLTYKNLNFCNETDTQCSTMVTVCDSKKTFKRIFYIGIASIFLVCLGALTVFWLFQKYYHTKSLKLPQTEEELTKARQKYYKKIGNQNQSSYTLENI >CRE23675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:126557:127143:-1 gene:WBGene00078626 transcript:CRE23675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23675 MSIQGYSPQNDRCAWQCLHLPRIGYRNETKDNSNTHLISEMMNDVLIEKLRYIEMNTLKAIGPVEFDMQTECRVSEKRCIDLLDVFSK >CRE23650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:159049:159520:1 gene:WBGene00078627 transcript:CRE23650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23650 MEGNGPEQQSRENNETGVPIDAPSVPTTDQSIAVDAVAANSLSGGNETAGEDLELDRIVIRDSIYALLINHPERAEFHIKGLAKMVAYMDNPDVSLLAPEDIFRNLKEAERAAERERLEMEKENQ >CRE23649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:141062:143519:1 gene:WBGene00078628 transcript:CRE23649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23649 MTTSSSGQEVTGISPPAPAAVEQPASAAAAERIRLEKQINEGNYLDVNLHIVWVHGKVKYLRTKCDGFHRFDKYYMRLHMINLLMGMTCPKIRKRLSIPRMTGNNQSAVSTVRRYDRRHRPPTKIIHRNYAYEVVNDPLLQFPLPEIALRAPIDPIDNASNRDDVETTGLNEKIQRINLRSKSLDNIHIAIPDNVSPTLPQVSTEVLNEFLQALLTLAENSEEQAAILIGEVESFVERRRLGLRLALEHPVWRREGIPAILPVTPPSIATEHFTNYLKALLRFAEDSEEQAELVSEIFQIQKHIDYFQIIGEVGSFEERKGLQRREVHKSTESPVSGSSTAPVARGALHEHESEVSSDLSDEELILDNESEEDDTVRLIASDGRGALDEGEGEGSPDLSDEELDDSEEETDEDSEEGEEWETDMDEEADAEFEEDEEEE >CRE23674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:121490:124256:-1 gene:WBGene00078629 transcript:CRE23674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23674 MPDVITTTVSALETPQVPSKYQTARNASKVYSDSISRRTQYSSKCTCTSPYHTNESFYHSQFKTYRNQVRWVMGGFVVFRQPLYLNNSKPRFQHALKCIFYRPSLNHSSRLFRPSQLWVYQNPIPNFMTSIGGGLKRTSIWMNSLTTIARSC >CRE23659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:271049:271833:1 gene:WBGene00078630 transcript:CRE23659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23659 MAPSFLLPEIILEPPPTIMRGQFLLLLLFISVTVGCFAENNLEDHKSDNVILCEIEGKVGKIDQRLDGNLVNCRSSGPKCSIDPNDGFNTTKKNVKCRESNGNMFWEVVKHCSFRVHYKRIAVCISETSDCSNILFTCSSITTFKPIFFISIGIIVGSSLLAFLLHYIYQYCYRVENYTLPLTENQLRKARRHCMDKLEKKMVLQHRYVKI >CRE23673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:108754:110420:-1 gene:WBGene00078631 transcript:CRE23673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23673 MDNSTLKRSRESSSGRQSPVSSRRCVGSSERSTTSEVSYDILKMKKRLTYFQYGLPDMKGNDQRIDDDIEKNESREVSSGSDREDGNDERKEDEDQEVSKKKDERDEDDSVSDENDEITVPRRFPWLPKESDDEDDEEEEEGKEEEEEEQMKQDEYYNKLVETIDEEELNEDRVKAIWKFVKGGNKRFAHIIGLTLYETKRQSFDHYLCEEMVERAVQFWNEHGDLSRGDDEQSVDDMKEALKKLEEPTEKGEENTPSDVREEFLKSITYSSKKLRFKMLDGLIEADKVKKDIDEKKWFLKELSEWKGGYEKVIKQRADRAWEIVRTFGSGVNYSIGAAFYFTARFEIDLKFLEEVLEKAFIQFGWMTRYMEYNQICMPAKPSPRSFRLHPRDSEMHPTPPTSYRFKMY >CRE23646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:117200:119848:1 gene:WBGene00078632 transcript:CRE23646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23646 MESDLIESHASHSSNRYPDRISPTSLLIFFMDIRIVSPEFHRKKEKIFDLVIFLVFVARQYLGDIVWKSAISLISFILFNIQYSLLNDVISKWESTEDLNDFILHTNT >CRE23676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:129884:130276:-1 gene:WBGene00078633 transcript:CRE23676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23676 MSEGIEGIRSVLSIVNSKIWQTRFGVKNCLPMRISIHSTDTNVEGIEIKAADLGVEKLLLECMSILLKTEEINVSSIIECRSPVAKPISCTKHRLSTAEGDQRHRSTTSVQVSIF >CRE23661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:13304:13592:-1 gene:WBGene00078634 transcript:CRE23661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23661 MESIDLYYITDHGAVSYALEVVEKEQKKKKHIEILNVTENGNWNAYGVAAIKNAILRDLGWLGYYKAVEDRNPGVVFVKFK >CRE23639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:59117:59608:1 gene:WBGene00078635 transcript:CRE23639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23639 MQKMRYNCTLEATIQSFTSSCELLNHIGHMPTYAILDKKNRHSHGYPGRLCIDGYSIAEIKGNGRIHGTPGSKCLEGQKDGFCVSKNSCVCNNFYYEF >CRE23644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:111363:112831:1 gene:WBGene00078636 transcript:CRE23644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23644 MFAFSVNSVQVKTIPTGLQVIKSIFTQEKIISQKDSSEEMEGLTLKRLRRDCPVCGFGSKKKSKKSATSANIPSIEIGSEEKDEENFSRNFNESDSDKDEEQNALKKLPWKVSDNNDDDDESIEKNVFYDLFELEIDEHYEFDEKKARAVWKMVEQCTSRLLAIIIAYTLYGTGRETFDHFLCEELVEQTVRFWRRHNRDYGGDDYLKAVKRWEEGEQRRMKSNMEKNEESLETVTLRPDSTNSVSRVPKKNLHFKMLDALIKKDRRDTTNEEYWLLEKIKGYGNFNLQRACQAWEIVSKLDTKSQIIIGEVFYLTEREEVGIELMLELVKRMWDSLEEFGTPVDWQDAFVRENFRSSIQKY >CRE23678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:162285:162844:-1 gene:WBGene00078637 transcript:CRE23678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23678 MLFYRILLPCLLFLVLVESDPTHMRLKFLCRPNITWCGKLLVYESDILEHDVKSINDFCSSSESIEYKSVIYAKGDGSPNYEWSYQLTHNCTSDGRIICTRPDENIVTPVEGTSYVDADVDVYDQGMHFRCLHPNTKRP >CRE23655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:216431:217483:1 gene:WBGene00078638 transcript:CRE23655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23655 MHGTEDSKKGKGDADGLWADGKKNWSLIIPSCQLCKRSTRQTRRGQEEKRPRTENWCFNQFNVKIDEEMERFMMEGNEDENDKENLVIQNDAYQVSITHKVHKMDLSNGEGPRDKNRTMNLSTGFVIFPGLFIRSSPIFFRSSGRAFILLHVFPIFLTASMVSYVFSFFPCLIVFKPNAEFWCIRIIQLFYVTPFFRDKSFRVQ >CRE23638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig117:47289:47831:1 gene:WBGene00078639 transcript:CRE23638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23638 MVAIRPAVSSSSQFKGWLIFNTLVVLTSIAIWFISVEETNVLRTRVRGFLIGSTAIEWKLYACSQPNHHFTICCLEK >CRE07137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1174:1738:5253:1 gene:WBGene00078640 transcript:CRE07137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07137 MKLHVKEKHQLDATFIYKCSMCNEYEPEKKCGTKWIQTHLQKVHNYKYDESAIVVPVPHNTRQQIANELNNAAPFVDIRKPKAAAVEEKKTENGALLKFLTKSNKDEQEHSQSNDSPNVESPEKANQALTIDPKGNNSPSKSSMRSSQSSASSICQEIQEIITLSEDEEPKAARPKPGINVWSLINETGKDAYIDTDIMMAFLKMRVENCDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKKRVVFPICADEHWTLLTISNGIAAFYDPTGSRMSSYIEELVNELGLIIPKEQDEQPRQRDSYNCGVFVMKMAEAFIQDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIIYYNSPGKSFAQSRPTSRSSQCAVCPTCSRPATPMMDVGNMEVDPVPQQQETPKSREPEQDEGWKVVKKARKRGVVTERSPNISPEAKRQFTGPEIKVVSPGKFHPLVGETEEMEVTCDSPPTKEPNTEPKVTPSLPAMKIASPEVTKKQTSKKKGKYGKKKQETKKAQPPKGEPTKKAQPKGEPAKLIEQVRTWFDKQIKSYQEQGSNIQTLTWIADSLTAAIFKANSGNKYLVDKITARCPPPLLNEGEMATQTSRRTEAVKPKDRFVKESNEPLRFQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTEEALNTVCSGIKKANVDPSIEGPISSGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLAFLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISDCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCAWLDLTNAFGSVPHELIRRSLASFGYPASVINIISDMYDGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQARKIGYNCVGNDVRCLAFADDLAILTNNQDEMQNVLNQLDKDCRSVALIFKPKKCASLTIKKGSVDQYARIKIHGMPIRTMSDGDTYKYLGVQTGNGGRASESESLTQIAAELQKVHDTDLAPNQKLDVLKAFILPRLQHMFRNATPKLTELKEFENTVMKSVKMYHNIPIKGSPLEYVQIPVKNGGLGVMSPRFTCLITFLASTLFKLWSDDEYISSIHKKALSRITAKVMGLNTQKAHASGTSVIT >CRE12423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1176:4074:4682:1 gene:WBGene00078641 transcript:CRE12423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12423 MSAKNDNSIVWAFFNKTNQEKDVECSKCGKSYEFCNSTSGMIAHIRSAHSEELNDTIMSRASRKRSSSKPPSSYSAANDAFILLVKNFFSFCDEFISELSAHPMFFPICEKQMSFQEKKEDASVSESDDDSEEECDSSADVSSLTSSDFNGQAGSTVPIIDPTNQEYGDS >CRE11595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1177:6503:10565:1 gene:WBGene00078642 transcript:CRE11595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11595 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3NQT0] MAESVRVAVRCRPFNQREKDLNTKGVESIPAQRGVIPRAFDHIFTATATTENVKFLVHCSYLEIYNEEVRDLLGADNKQKLEIKEQPDRGVYVAGLSMHVCHDVPACKELMTRGFNNRHVGATLMNKDSSRSHSIFTVYVEGMTESGSIRMGKLNLVDLAGSERQSKTGATGDRLKEATKINLSLSALGNVISALVDGKSKHIPYRDSKLTRLLQDSLGGNTKTIMIACVSPSSDNYDETLSTLRYANRAKNIKNKPTINEDPKDALLREYQEEIARLKAMVQPGAAGPAVPDASLIEEERKKLREEFEQAMTDLRGEYEREQTSKAELQKDLEELKAEYERANANLDNLNPEEAAKKIQQLQDQFIGGEEAGNTQLKQKRMKQLKEAETKTQKLAAALNVHKDDPLLQVYSTTQEKLDAVTSQLEKEVKKSKGYEREIEDLHGEFELDRLDYLDTIRKQDQQLKLLMQIMDKIHPIIKKDTNYSNIDRIKKEAIWNEDESRWILPEMS >CRE10740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1178:6741:7716:1 gene:WBGene00078643 transcript:CRE10740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10740 MLAAAIIFSPFFLLFVESCLKINYSTPPKCECKSLALDSSNMQQNIGTSSFYPNISLHSVQSPIISIDDCALAAHCNNENYDLVGFDPLKATMFGKYNAEGFCDPYTQKWLIDDGDGSGLTTYPHFFGICCRGVQIALLSIYITVMEQRIQSASLQRISTLRILTKVFFRRHIIDGCVVEEIWCVRVDDLVCEAVGITAKTDSGTYQIEDLIGVSGVSAMSILVCGSNGMHSHYDM >CRE22994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:64975:65832:1 gene:WBGene00078644 transcript:CRE22994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22994 MDRLPNSINLFPLYQTPRQALINHNRRELHQLLAVYPPEEVESSEGQDENLFVFVCQPPDNRPLSAMFPSGRTVNEDSSAIALAINDLVQAIQCAEQDKEWEEIEKEKGVVYWEWIGRGRETRDIRELGKIF >CRE23036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:330482:330786:-1 gene:WBGene00078645 transcript:CRE23036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23036 MPQSASMAHLKAQREIQKHIDHDHGFYCARPEKNGKKEEVGVSTVKPLMEVTIGKINNKECAKKNEEAQSARIEKPKTGSVDP >CRE23024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:169513:172716:-1 gene:WBGene00078646 transcript:CRE23024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23024 MPKSDLSYTGPLRPARSVSLGLLAYLWMALNSTPLPAQNQEDVGTLTHGKDAPPMASEEIMEFIPDYGNGVIYLDDDLKQMGIAILIFN >CRE23005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:198936:200071:1 gene:WBGene00078647 transcript:CRE23005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23005 MIQKNIDSFCTILQKLEERGNYSTLKGRKEQFSCYSIGENWILTGSIDKSVILQVTDDSHDDLYCRMRKWSRHFRYFRDVEEQTEEQKRIVCLEHRQENLTLSEQIGEGPFGAVFIGQTSANTTKVAVLNPRKEFQKYAQLMETRIHMLCNNKNFQTDQHFHIVMPFYVNGSLSTYTDKEDSIDRIECAKISYEILTALEYLHSKKVIHRDLKPKNILIGEGGEMRVSDFGLAEFQKRVKGKCGALNYMAPEELKSQQQNYQVDIWSFGCIVYELLSGK >CRE22993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:47946:48833:1 gene:WBGene00078648 transcript:CRE22993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22993 MANMKPDRNGVKWDVFVREAKGGVISAEDFFMPLISIGLFQIFG >CRE23021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:125228:126911:-1 gene:WBGene00078649 transcript:CRE23021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23021 MMFEECDSDPSNSYSFNVSGLKPETQYIVGLRFNAEPKEAYTFHHEMGSLVPYDMVHPDHVSTMEVRMRLQYRGDLENRSIDFGGLRFAGCYSGLKTSKGSNVILLAIHRRWTPTITLYTEESEVIREFQFHEMEFISKFPYHQYQVFARSRENLEEDNRKYKEEKFRRLVIDIRELERLSKIVAQWEKEQEKQPQCPGKPFNMFPKPREDGTIIISIGNYVYNFEDKEKSFS >CRE23039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:347123:350422:-1 gene:WBGene00078650 transcript:CRE23039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23039 MVLNGFIFHMSILLQDVAIGKILTAIHRMYLKSEAMCSLPTKSYFKYLRVTPPLNTCLFPTIKHIHLETTSVYCSVVHLLRDRLSFFLINDPILLSVLKNATNKYLLIIYLQVQIFDSEHSIASLLAPTVLQANHQTVTSQPVINGYSIAALLAPTVPHVNNQLVNCQQVVNDISERNQESDEQFYERMRSRCLAILAARDYDLSGLDWPPGLTQQLFLVQLIAGAHKNEVMKNEKLETENQVSEAQKYRCVYCGGEFNDQKRFSRHLRKHTDIRPFLCEICPSTFRNNYDLTKHRKSHIARQHHCVACKFRFKTSSELESHMNAYKDLHGTKNPITKRFDCKRCGRSFTRLSSLKYHMQHSRVCREESVKGVLNTVNIAT >CRE23007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:241232:241771:1 gene:WBGene00078651 transcript:CRE23007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23007 MLVFKQQTDEEARSLVNTAVSNKMIVEDVKTTNCGRKIQIFSKNVKSSKWKKAKAAKQRHEMFLVHMLRRMEDEPASMKRKLRSISTQHLSIIL >CRE23022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:134782:135042:-1 gene:WBGene00078652 transcript:CRE23022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23022 MSGLQPETRYVVGLRFEADIVETYEFDEATDALLPKDLFFCRRLNSMEVRLKETYGSYLEKCQIDFTGLMFGLVILLILLIFAILF >CRE23028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:207517:216310:-1 gene:WBGene00078653 transcript:CRE23028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23028 MLSAVLAHGTPIPIPNKVNKNFYNFKNFKNFQEKACSEDASTVLAHGTPIPIPNKLNKNFYNFKNFKNFQEKACSEDASAVLAHGTPIPIPIKVNKNFYNFKNFKNFQEKACSEDASTVLAHGTPIPIPIKVNKNFYNFKNFKNFQEKACSEDASTVLAHGTPIPIPNKVNKNFYNFKNFKNFQEKACSEDASAVLAHGTPIPIPIKVNKNFYNFKNFKNFQEKACSEDASTVLAHGTPIPIPIKVNKNFYNFKNFKNFQEKACSEDASTVLAHGTPIPIPNKVNKNFYNFKNFKNFQEKACSEDASTVLAHGTPIPIPNKVNKNFWNFKNFKNFEEKACSEDASTVLAHGTPIPIPNKVNKNFWNFKNFKNFEEKACSEDASAVLAHGTPIPIPNKKTIMENVITTINFWAIHNVVQTVLANQERRESNKIEAVKRVGREGLGTSHSSPGWKRIGLQAGDDQEACSQTGVEGTGSGPSDQTDEEIKLLKKALEKAKEVNQAPSGSPPTDQDLEIDIDAPQEEEKVEEQEEDEGAEMEQEQFTDRGEMSPASKRKRTLKELEWNESRCLHTRIEERAHQPRHQEPPPRDLFIIYFILTN >CRE23025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:174606:177371:-1 gene:WBGene00078654 transcript:CRE23025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23025 MSAVFKLFQTKSLAAKNSDSPTRNLYLNFSNNNSQQSTAIDANGNTLEPKEFTFHCLICDYKSPVVQLKHRQECINSVDNRECPFCHHKRRNYLIEDHIEQCARATGKSTIETHKAIFTIRFSSQEIDDVLAQERNRILNEYKIQLVANYLLQVTPCLVCETSKLDENIYIHTVEGETFRRNAGNYLKTKIIPHYVSFLEIQKEEILQQKEALFVTHWRQNHPEDPVSERERASFMAKFGDMCEKSNEESVNKKMTEMDNIINEHAKILCKEMITVKKYLIFVCTCRCKPESD >CRE23026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:181402:182058:-1 gene:WBGene00078655 transcript:CRE23026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23026 MEYTIGLFREYPHGCYPDSAKRDNKNGGLKSDIDQFTEMEKTSVELDVIVRSNMFRCFYNVMWKYADEVLLMNLKNPRLAFGTYIIANDLHKCLESLDLDKEMEKEFDWYKEGEGGYSGRDE >CRE23008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:243651:244254:1 gene:WBGene00078656 transcript:CRE23008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23008 MGYCLAELIIEQSYLIEDEEKEELVKKQKKVKRSHPISDGMEPVKQKNLNLEDQQIVPYLSDESMEPEEESASTCLSVTTPIFSDSRVQEKMMEAADVRNFLL >CRE22992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:45958:46987:1 gene:WBGene00078657 transcript:CRE22992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22992 MAPAAGETARRVFKEEAQEIILIILTKQKPDEGKRKLEERLNNYRGGSKSHKYIHQTMDLNEFIAILEEHKVPKDQKTMNDYENYSGTRATATSTVI >CRE23019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:79220:82827:-1 gene:WBGene00078658 transcript:CRE23019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23019 MSLRFPVPYLLVYLFENERRFSRPRSLRTYFWIQPDLKSSGSKYHPDTERKLIDFLMEEKMKTCEFTLDESCRRFVEENELGADPKFLDALFIHFLKNDPDAIIWFTEYGLDNVAFFYMALGLPCSYQFLKRCDEQRILYALQDSLLVCYHSEQRNLIGECRVDAHKNSRTNSAGSSGSAAVNGAPTASPRVPSTTNNRQNGSAQNRVVSNGAEARSGPSREKGARSLDGPSGPVVATHQRSSVSVNETPPIPVATNSPRPVRSQQKGTSSSNVVGPSTSAAVPDVPQSSRAPEVRSS >CRE23009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:278928:279619:1 gene:WBGene00078659 transcript:CRE23009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23009 MLVEDYSLFWLILSSCFNDPEYKDRKKKLKKDRLCIKCLLPHEEDIPCTSNKKCHHCWKKTHHSSMSPEDIEIKWEESDVQSNIFSVHQLKLW >CRE23027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:202651:206249:-1 gene:WBGene00078660 transcript:CRE23027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23027 MQEDCNAKKVISVFYGGSKIPPEFTLNMRGIQIMSRIRSVQLNADQLHYIDQILKWHPIIIGNGPFGSGKSMTMATAAVLAARKVPSRCHLLEVTALPHLEEQPEQLEVVQKEPTEENDDMAPLQNEDQEDSVENDQEMDDEEPQVLQIMGCRLKHGVIQFNTLFVGDTKPMWENMQNFDDLEKGNDWRKHWHVKQYKAKSGTKQTKRYRFQRDETVKGILNIADMYDTPPVHKKCEQFLIKKSEKSLKIKLQMSTRYKMKKLQNFWLAKINTKQDIRLVMPGDLSELERLVASHALARFNR >CRE23000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:167882:168362:1 gene:WBGene00078661 transcript:CRE23000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23000 MWSTTSVVFIFAIFGATASLANGYRYQKPSANTVVTSFQKKFLDTFIAGDQEALSEMFMDAYFAHNCEGLIKKDEAVREMRKAREKYAETEEKLKFPIGDTHDNGNFIIFLHKSPVSKRLTAWALDRKTMQLQSSVELCGIY >CRE23010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:281477:281901:1 gene:WBGene00078662 transcript:CRE23010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23010 MECFIGNCFPREKLSPPTTILLHYKRVHYLHDNARPNTAKTTKSLLATFHWTVLAQPPYSADLAVLDYHLFRDMHRSLEGQDFKTKSEVEKWLKKYFDSKQPEFWRKGIESLPTKWQTVVDKGARYV >CRE23023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:136441:138091:-1 gene:WBGene00078663 transcript:CRE23023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23023 MRYFKKSRSLTLFLKQREEGLTRKTFTGRGFQQDNRRLGKYRSPSLELLVRRDLMWKGRGLEDIRFSENSPKLDPNRQHLWKKLKHTYSQYRIFGKNRAALPEFVKELHNKDYQKHVRDCPDLKKCLRIARQWETGAVPQPDDMELPFRRRRIFKTKTNKEDMRGGGSKT >CRE23017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:54497:55022:-1 gene:WBGene00078664 transcript:CRE23017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23017 MFGAPTYAHMVIQLNSTIQCVLQLLWLTIQILRSQDNHPNQPVPFGTPFFGHPGIQADMRKAEFFRPIMNEVFQILRSPAILGNGEKFRTRFVEFIHLFNTLSIGAQTLLV >CRE23004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:195674:196262:1 gene:WBGene00078665 transcript:CRE23004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23004 MKIIRNILLLLCLLASFAIGKNPFFIHLRFYCGPQVGPWCGTLFVYVWNFLGDHKLKVSDRFCTTGDIKKLDYDIYYEGKTEYMRYNWNYMLGHNCSSDGQKYCLHPNKTVSSPMKPLSDVYFEAEVLDAEHLDNECDDPSKYPSSD >CRE23006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:228246:228743:1 gene:WBGene00078666 transcript:CRE23006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23006 MKFEITNYSLGHTPCKTQTTVKKFLFCPAILYSISHEFAVHYADSSTMDLPPSRITTPARVGPHPFQRKNTSPTSQLLPSFTNGSDHRMLRANVHINAKQAKFEQVKRKKPPRRVLDPTAALLATENLDSCEDQDIDKEYDTLVHALKKAQDAAVTIPTHHSRID >CRE23020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:85578:85816:-1 gene:WBGene00078667 transcript:CRE23020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23020 MQNGNFFHPYRMQQQPGQGDWNAFHQRQMQMVAYPPVPDFEAQRRQKKWTHTFHREELNNF >CRE23012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:331870:332551:1 gene:WBGene00078668 transcript:CRE23012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23012 MFLPVMNLSIIVFIFFLQFAKTEEYQRTVPENCKNRNCQPWDPLPEFSFGEEGYIEYSTSCDSSRIQCNTNSSLNCNSIQIYGKLENDTLITLGVDGLNNFTVNLECVDSKWKFEENIILKVNCRFDCRDKLATESSFVDINLIPSRQLVIPVMFLEKSANNTEDGETVQESHHCKAGNPV >CRE23043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:357803:358177:-1 gene:WBGene00078669 transcript:CRE23043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23043 MRSFRINFSMISKRAKAVTKQITFYSTYSIQLSIDDQFELWVVVPRYMTSCFYKFTSNVEMNGKVKKIDWNDRNELFTWKYSDKPGEEWKQLCTGCGRITRTKVWRHKARRLTQFWRAYYLNFC >CRE22998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:146774:155918:1 gene:WBGene00078670 transcript:CRE22998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22998 MGILSEEDWITTGREIGTHFSCEKNRCKDVVDILKHLRAHFDAVEAGSTLEYYFSDKIIYAITNETCPTFTTEIGNSGFYKLHCGHSVCRLCVNVMIKNEEMDIVLGDSRKIRDFDTMKLEELVMKMKNAVINNSHLNLKPCPTPDCLGFISESNEASEEPQQCNNRGIRKTLVPKIVQIKTTWSTKRTVAITSSASARLTCKSYPPPSSVHTLQIKRQKETKIWTLCEPRQQCGVCHTTVSSNTIGEPPHGWNIPRPRPDQEQYLVDKEEQPVGVKEEIEPRAKKHPCKRRHLL >CRE22991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:23524:23876:1 gene:WBGene00078671 transcript:CRE22991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22991 MLVRGRPRRQRMAQALAPAAPALSSSEPVSRTTTECWLPRGPPIKVVVNHLGLQNQTLRVLLDLSYVWFQTLNLYNALVFPVYCKLSFFVVYLTNFKRF >CRE23038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:341312:341613:-1 gene:WBGene00078672 transcript:CRE23038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23038 MGAASKRKATPQLVWTTKNGARVARRETLGYAPIPPKISKTEKAASAKKAAPKKPAKAPKTAAKKPPKPTKIAKAVKKGIK >CRE23016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:30290:33714:-1 gene:WBGene00078673 transcript:CRE23016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23016 MHPNYNQRGNQYTGNYQQGPEFRRAYHDHRGGRNGGMMSSFNHQNGMDGAYQRPSSDYRDVIRIHSTSGPREDTIKVPRIETAIRTSTIAKRNSNIKMDTKLKDAKKIHSAVSNLLKAHRSPRRSKKYLKILGRADQKIELHTSLVLHQDLIQLKSDADLVEIRPHREPCQPVAKVPPDQGTIEDLTRSQIGALRDVPGPSTPSQHSSNVQRDNAPNATQEQKDTLTTLGKSTIMVGIVRIMPHQKVDNQAKKEEYEKIDEEIRRISKEIDAMKNRINYFMLPFI >CRE22990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:16212:16981:1 gene:WBGene00078674 transcript:CRE22990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22990 MENLLSRMNTFPWKMTADQFIEHAKKLMEENENAKKADSASSSQTNSIPSLPPVPLNSLIVEYSSVLGPLQCSTSSYPGVPMNSPIAALPSIPTPSINYSLLLEAMEYCNTRQIYLDQQIIHQWRPGKREKHGILQAEWTLRYKILQVEQYDQDKEDIF >CRE23032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:259835:261030:-1 gene:WBGene00078675 transcript:CRE23032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23032 MAAAYPDSKDIKIVDMDKEGDGMNGKLMDSGDHSKDSEKKMKAEIVEKNEENRQNWRKGAVKLESQVSKDVFADSDDEEDSESPIPEATDSEEEKKAQEHLKIMNIEFMDEIFKVAATFQSTKDRIEMIKELGDKYYEDVKVRHAPQVLCFPATPFTGEVVSRHVQKTYIDPMPLFNVVEFKKRKGGTIDCNSSKIPKTDE >CRE23014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:18092:18505:-1 gene:WBGene00078676 transcript:CRE23014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23014 MGQVVARLPRGSKDEKEKNALDVWFSDELLEESEKRKRWITAEEANNLFYKNLDELYEIETEQEIGDGKKLKKRRLTYHQ >CRE23018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:75611:77939:-1 gene:WBGene00078677 transcript:CRE23018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23018 MTYIDIKCTLATGYPPPSPEGSIGDLQVEDHDQNGWLDFFFWKDLKFCICRIRRTPD >CRE23030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig118:225941:227310:-1 gene:WBGene00078678 transcript:CRE23030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23030 MCNSDKNEEERMNHMKREILEQEKTWMDQYSKMEATVGDLDVKKDESFQTLARQLRAENEKLKDELAQKNRRTILHMISS >CRE13199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1180:2249:4880:-1 gene:WBGene00078679 transcript:CRE13199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13199 MGPGGSRRPRNSQHATASSASAASRDGQQQNKDTEHEFDIVAYRTTLWRTFFFYALSFGTCGIFRLILHWCPKRLIQFRAKRCSVECADLVLVVDNHARHDICKVYHRNRSGTEHTVVANTDGTLSELEDLRWFKYRKLQYTWIDGEWSTPSRAYSHITPEALAKSAPASGLKSDDVALRRTYFGMNVMPVKLSPFYELVYKEVLSPFYIFQIISVTVWYVDDYVWYAALIIVMSLYSVIMTLRQTRSQQRRLQSMVVEHDEVEVIRENGRVCKMDSSEIVPGDVLVIPPQGCMMYCDCVLMNGTVIVNESMLTGESIPITKSAIADDGHEKVFSMEKHGKNIIFNGTKVLQTKYYKGQHVKALVIRTAYSTTKGQLIRSIMYPKEADFKFLTQLIKFVCVLAGVAACGFIYTSIILFYRGSSFFKVFIRALDLVTIVVPPALPAVMGIGIFYAQRRLRQKSIYCISPTTINTCGAIDVVCFDKTGTLTEDGLDFYALRVIENAKIGENIVQISTNDTCQNVVRAIATCHTLSKINHELHGDPLDVIMFEQTRYSLEEDDSESHESIESIQPILIRPPKDSTLSDCQIVKQFTFSSGLQRQSVIVTDEDSMKAYCKGSPEMIMSLCRPETVPENFHDIVEEYSQHGYRLIAVAEKDLVIGSEVQKTSRQSIECDLTLIGLVALENRLKPVTTEVIQKLNE >CRE15090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1183:803:2676:-1 gene:WBGene00078680 transcript:CRE15090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15090 MSFSLEMPQMLPPAPRDCYAVPGKPGNSDPLTVVGWEHMDLKLFYPSALFSTWSIRTALYPLAVLRSQLQLQKQNTVYRSTFHAYTEISKREGFRGLYRGFWITVPQIGCSFIYSTIFEKCRSILHENGVKSIGGAAAVAGGLASFATQSIFVPTDIIAQYMMIYKNTDKLTAGHDKAVIEKVNLCESGNGLGTSVMKSIYQADGVLGFYRGFWASAAVYIPQMLTFWPSYYCMLGLFSKLNPSTDRSLLIDQAIAATLGGSISTIATNPMELFRVRLQVHRSSYSKTLETMLRDEKTAIFTKGLTPRIIANSIYGGMVVVGYEIVKRLCAKEEYKHRIKW >CRE20129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1188:2541:2912:1 gene:WBGene00078681 transcript:CRE20129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20129 MINYRKGDYDSMNGILSRIDWTLLLAYLPIYDMYSLFIGHLKKLIYNYVPILEIDDSKVRHSPAIVKLQKRKLRIWKKEGNSLLYKSICVSIKRLLLEEQPVVVLLEFTPRACFFCQIFLPVV >CRE20128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1188:1554:2075:1 gene:WBGene00078682 transcript:CRE20128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20128 MCGSTPFTLLLLLNIFCLVHSTNYYFIFEGKFHCDILNRQFQYNVQFFDEDYMWLVLIYLYFNLQYFRWNDDDPITEPYKDISPPGDSYFRSKGMLDGDEWMVEAFDIKMVLYHTCTQTGQENRVDMRFRPSAQNSYNLDENKYYRYDFATNITELSGEKIQMARLYKSGQNK >CRE20130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1188:4185:4747:1 gene:WBGene00078683 transcript:CRE20130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20130 MSKSTIFTLCLILNSFCAVLSTNYHFTFHVKLRCRTSNRKFGFKAQFFDKDVMWWNGDDPITEEYIDISPPGDITFKSEGILTGDEWMSEYFAVKMVLYHNCNSMRKEVRVDMDIFPLAFISYNLEDNKYYDYKFTANITELSGDTWQHASLLQN >CRE20132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1188:7131:8185:-1 gene:WBGene00078684 transcript:CRE20132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20132 MVGTFVQSVSLPDLVDLKLNQKDSGDGECSECKKYNEKLRVCVECAMRSELLTKSKDGDFEFSSETKDGELLETKMLLVRSFALCANCVLDGNKHDGSDGKQHKLIPMVNIKGMSETSYNLRAISIVSFALHNIKKELSECKHMTLAPTVCAWVSEGWKTIEEYEQKIAEGNGVDHASNSPAVITMINAVMEILNGASWVYKQVVEKCLADLSVEMNNAESVDEKRELKKTLEKLESLRNFYGSRKKFDLRTSKIVDLFYMYQSGTLGKTFANDNRIDKSSIEEAVREGLNVTNDVRRIIKLPPRYEKYANFAGSVLQLFMGEKSNQLEEPDLD >CRE29107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:111483:112718:1 gene:WBGene00078685 transcript:CRE29107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29107 MIWNNLIFNAFVDFKNAEEWRKSHEFIIQIGINKPGTDNYISHRNVQFDTSMPIQRGYWTENIS >CRE29137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:125530:126224:-1 gene:WBGene00078686 transcript:CRE29137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29137 MHRIFENRRHPVAVKLLITNVEILRLTPGLWMQIEEMNIFAEADRVFSEMAPYIEESSYPLEKLHVFVYDSVIFKHLKLTSAKHIVFDRLDDDFDEVDGILSVDDFMILIKRWISSEKQEGASFSFALFSPETYDEIEMDEFHKNVFKKIKKTFKKSISGHRYAQIPTNHGTMLKVSVEPGGEEENPWNIVMQILS >CRE29114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:179226:179628:1 gene:WBGene00078687 transcript:CRE29114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29114 MRSSPEFGPNIPERAYTRFDDYKAMRYLLRLSVRISPVSSEEDTAGQSKYDLDANKLDYVTEEKPQWTDSLITLFEEQSEQGYNYTQISKFFHEAVPGTEPESYIEYSVVNDLLYID >CRE29136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:123801:124184:-1 gene:WBGene00078688 transcript:CRE29136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29136 MAKQNNNGNRQRRLNEDSDDEIVFLKEVRVVYISDSEDDDGDKRIENEDVNDWNEEPVEEEELMEDENVVEEPEVPVEQNRPAPEREAPVLFEDRIIELEVIFGEEPKEEQVEEEEEEEVVEMLEIM >CRE29121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:247530:249266:1 gene:WBGene00078689 transcript:CRE29121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29121 MCDIYKRGGAKLLSCEGFQNGKEKTACMSQFHSECIKNYNDGEFFFQYAALPECQNKLLCPLHWCYSCNKSGHRQSAAIEKVERTLEERDDGGKMCPRVHHQNRVFVKRIDKEMADKNQCDICRENRVIRVNSPVLAKVNFHFWLGITRKWDDYPNKKESIVPLNKVVHLTTKYFKMPRKEEEKKLWEDAVAKFKSRGEFPKEMQQPNSSKRQMLWKNEGWSSAEEKMMLTKGKKIKCSAFRGDM >CRE29103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:52735:53043:1 gene:WBGene00078690 transcript:CRE29103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29103 MEEKSCGACEKEEGWSFTNGTAELPCYFESNHHPGLSPSSKARFCKPQTIARQKEKLWAWPTYPFVKPFRTKKMEKKMRSANLEF >CRE29102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:50908:51312:1 gene:WBGene00078691 transcript:CRE29102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29102 MPIKQEKARGPTQDGNSSSQPNGGGVTLPIPCSVRLTMMNPFGCVICSLSFSQVKDLHAHMSNAHKNAFRCNFCLEEFQQLHHLERHFNMTHIKDE >CRE29125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:298776:300084:1 gene:WBGene00078692 transcript:CRE29125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29125 MGIKNRKKDHFELATGERQATSFWLEVNTMYYILDFCEAWDFLHLVFEGHQGNGYGSKLESAFPRLLAFEMERQELEDLDLIIL >CRE29128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:318538:318879:1 gene:WBGene00078693 transcript:CRE29128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29128 MSRLHEKLKKAKKCNESRSPWNRYDLHYLTVNVAVRYVLETVEKEKTKKKHKDILHVTGNENRSVDGVAAIKNAVLRDFNTI >CRE29099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:13278:13895:1 gene:WBGene00078694 transcript:CRE29099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29099 MAPNRRRNENRNHRAIKKAVVASRLRTPRHVRHYFQTADLARNNSSNQTNNSSTPTRPAPKSFLMTGAERSRARPHGRPVSRRHLSESDSSTGSLDGPSAPDETPRRKDQIPRPASSKEHSQHYSPASVAEQKTETASRKCNVETESVVKKTEK >CRE29109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:121336:122328:1 gene:WBGene00078695 transcript:CRE29109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29109 MNFIHLLAVITIIPLCMSWSSFFPTQSGQPGDLEYGKGNTEFLGNFTCSLNKVWCIHVEYVELDLTCSNYKYSLDLVELGTQREEILYYHAGDYQKVVREWIMQHVTKVPLPGLENAVRPPWNSLPTKFPTSLCLGEMDDEIPYTETLQYYEALYFNSTTVDTTTPLNSSSSTILSPEMSSSSDESSIDVSNSTMT >CRE29097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:4145:4549:1 gene:WBGene00078696 transcript:CRE29097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29097 MPIKQEKARGPTQDGNSSSQPNGGGVTLPIPCSVRLTMMNPFGCVICSLSFSQVKDLHAHMSNAHKNAFRCNFCLEEFQQLHHLERHFNMTHIKDE >CRE29111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:163284:163908:1 gene:WBGene00078697 transcript:CRE29111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29111 MNFLFNIVLHFLLSPMVQSDPVHMHLKFDCPSDIGTWCGDLIVYEEDYIEHDIMKNESFCGDGGQEFRYEINSTGLFRSSGDLSPKYEFIYQIVHNCTSGQEDHLCFKPNKAKDVSVYEVGYVNFAANLFNSGTDVERCDDPTSWKSKIEYWRQIFSFE >CRE29145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:176109:176822:-1 gene:WBGene00078698 transcript:CRE29145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29145 MSRIIRHTGTCMEVAKTEIAFPIWKLPYPPLKKVIGFLMALELFHLSLTSSASQNKIKQFRFQCLNKGRIKMNNTRPFAKRFVFIDPEERLYRDFGSLRIHASEAPKHERGKRNIVVDDVVEREGGTENYISAMKYFVETFGCIFGLFFIDLGDRDLQLYEPELAIVDEWQDVKLCDHGPEWSSVSNEEIYKFCEKLRNVWI >CRE29138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:127424:127995:-1 gene:WBGene00078699 transcript:CRE29138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29138 MLAFRILLLSILFMSMIQSDPIHMDMQIRCDPSIKNWCAEFLVYEVDTIPYSHDHLLSQKFCSNQPGVNFKWTQQLGGDTSAHYEINYMLQHNCTIMGSFRCVNENENEVRVSVNGEQHVKFEVAAFNRGTYERCPFHVVADK >CRE29131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:74693:74952:-1 gene:WBGene00078700 transcript:CRE29131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29131 MYLKSGRNLPNLETADFSMNKIEEKVVRRFVTADTTCKSISICKTGIEKAAYPGVEVFHCNGLGALVKRM >CRE29140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:141207:141489:-1 gene:WBGene00078701 transcript:CRE29140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29140 MELVSLEQYESETNFANFMKKEDDELTKYLLIQHIWSKLRQPRRAIRNTSKISMSKDEVVDKKMIPETEKMMKL >CRE29151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:282634:285455:-1 gene:WBGene00078702 transcript:CRE29151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29151 MGHLKDLFFQFYYFSLSYYSTIHYNELITPKASVQTLFEAPQEPVRRRMLSGERTAADPEIYEKEAKAKGNPGSREKNVFNPRKSRRRKLTSKSTTELQILRLLINFSFFISFVFYSFVE >CRE29126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:304002:304842:1 gene:WBGene00078703 transcript:CRE29126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29126 MPSIVRFLDVLFEEITVARWLKPKGLEEWLVNVLYHNFDLILEDDCIKNNNEPGLQQFMSKHPCNEELEKLKRKSVHGTKNEKEDDGDMESPVDEGSRGPQRDSSNFWNFVCLGSSIGNSLWILRSLPIGFLY >CRE29119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:236408:237066:1 gene:WBGene00078704 transcript:CRE29119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29119 MRFLSSLPLLLTLLGVDSATYFTATGTLSCDMHQPWCYYISMVEKDTIGIFDDKIDSSGVHCVSRKDAEYKLAGWQLSDGDVIENRYYEIELNLTHNCSCTQNEKRKIVRPVVSESVYQFRIHYDWSLNVTQDGDVVSEYW >CRE29134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:93479:94959:-1 gene:WBGene00078705 transcript:CRE29134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29134 MGPNKPKSNNGKKTKNRGRQQVGIANTEQTPSETRFKEFHCPTTEQCEIWGQQIELTGTQVYNFI >CRE29127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:312761:314361:1 gene:WBGene00078706 transcript:CRE29127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29127 MGVPRIEPTEFRPTPVEQNDEYKNSANYDLAESADERNDLLRRISVEQLHPIRNENAKKIKMAFNFEFSVFIGSLSFFCLPLITLPIPEEPRIKPAVNGK >CRE29133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:79245:79562:-1 gene:WBGene00078707 transcript:CRE29133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29133 MEKTLKISNAWKELEESRREGEEKDRKIEELNNNIFCFIKHYDAIAKLCMELQASDGQNGFEGFLKAMENVQHLKGKELFDLKKTVNQHKFTIKTLEGHLEKKED >CRE29118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:233134:233378:1 gene:WBGene00078708 transcript:CRE29118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29118 MCFLEFEYDGDRIPKVLKCGHTFCWGCIQKLAKTKYIRCPNDGKMFFLKKNDNLSHLKKNFKAMNNQ >CRE29120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:240789:241257:1 gene:WBGene00078709 transcript:CRE29120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29120 MISRLQENWSKNKVLQNKWMVGPNIGKGLFGEVFEAKSVGEQVYNKVVKVQGLQTKEKFETEVVILKKCSSKSSFPQHCGSFSLDNLFFIVMSREGESIEDIVRRNHRGSSEMLLVLIPKRGAT >CRE29122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:254492:256998:1 gene:WBGene00078710 transcript:CRE29122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29122 METSVNENWRDHWYRLVWKVTTTAGSIATLVESQLIAEMNHDQPKIENQEIESFKIPALPSPNVELKAKQDLFDSCGWDIIRSHEESCHGRSKESG >CRE29112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:168335:169456:1 gene:WBGene00078711 transcript:CRE29112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29112 MFLLFIILKVWNSGLETYHNIPGSTLYTKFTSSDVVPIEPPLPTEVVDNGMDVEVTTKFVFEHDHFEKEWSRGLSNWEERMEGVQTNVFFYNAYLGKVRIPSDLAIHIIKLVENLQKDCYERLKTDPINVIVKVRLLKDFVEMCIMHPDNEMYVVKTIVDVEYVE >CRE29142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:155666:158097:-1 gene:WBGene00078712 transcript:CRE29142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29142 MFGTSQEIWNHTNPRSPHRRRPRSSRSHPRRGGQRSDDESNGDNKIEASGGDDAPRIKSIRQTHRTQGDIEDQIARESLMFSSYPNRQLTGLSSSGPSSSPLKRKKKKNDLSTRDVHVFKRI >CRE29110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:146807:147502:1 gene:WBGene00078713 transcript:CRE29110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29110 MASKTTFSKNERRNKRTVTSNELIYHLLNPSVCVGVAIFWAPVYLKWEKPREYCQLSKWNTNLVLTFVDFQFELSGSDAATNTTQWVNGYHSHFVDVDTNDWLNGKNGTKSLKNYLFVKKTDEEAWKERTAYQMKKFGNQFRPADTSD >CRE29132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:77535:78122:-1 gene:WBGene00078714 transcript:CRE29132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29132 MSSRNRSSKYYYCSKLFTDFPILPIPFVSGWTTNTVRNTLAQVGIKAMIIELKSSNLRDRLMKSRRFDNKCQRRQCRVCPFIGNGGCGKKGVIYRIDCDCGDFYIGETGRPLAERFNEHSPSYKTTIWSKHSFEKHQGSPLSFKLSILETERNTTRRRILEGIYIKTVNPSLNTKEELSDMVADLGFGITLTRKL >CRE29124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:295102:295952:1 gene:WBGene00078715 transcript:CRE29124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29124 MTHSAAVLLTSFVISLGKVVEIDETAVLKAKYQRESILNRPTIWVFGLLERKTEKIAMFQVVKCDATTLLLIIQRSVALGTTIVSDGWTAYRRISKLRKSHGVINHKLNFVDPRDSSVHT >CRE29129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:19076:19679:-1 gene:WBGene00078716 transcript:CRE29129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29129 MGDLDVKVELWRMDDFCRDKRIVAVPTRAGKKPSDRMGSRSSAQNHIREIHDFSDMTELDEISSQIVSDVSGNCPEDKDSEESETSPDSEESQTSPDSEESETSPVSTPLETTLFSSDVVVGEQLNTMSTESTFSPQFMSTRIDDSSSSVSSRRNRKQRVRYSPTPSTSDFY >CRE29143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig119:159886:161030:-1 gene:WBGene00078717 transcript:CRE29143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29143 MLLIIPLLSLCVFTAQGAVMPKSQTAVEYTIYIECLFAKPYCFLISFYDRSVSTTKEMFKLPLHCTFTHDVNYNTTVTFTELDGHLDTLFEPELHFYHNCTNKKNDVVGKTIAHLERVDPKNKKITVERVVSLIEINYLDHIVAPANLTADEKNFIDGKIVSFDYSKKSKYFTNFDADEENDGDNDSDNDD >CRE22229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1191:4386:5665:-1 gene:WBGene00078718 transcript:CRE22229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22229 MTQVFWIIALVALVGPSVALDCVQIPKDQIIPRHFVTIPAGSNDTVEIPPNYSCTYLVTVPPMVYAHVRLENGLEGNNDMITVIDQQATRTLVASRSSKILNFYVFPNTTTTFQVVTKSVNMHSKFRLVIFYQNSTFFHKCHINSSISVLNPNVTYLGNSDLKYFILNDLQVNSYKNPQTMIGTEQISMAIAHSGWDADIFDNYFVIDGDFENPKYVYRMSRFQYSNYISTGNKLTVVGLDNRVSESSVVFTPLSQAQQFDSLTAFGTYFEANQLDINGKDGNKKRSAVNVIGMKDYTRILSVEKSSDPNCVLKAVEAPPSSSSEVYLDFSTVTSFPRNITHQSFSIIAENCSASFKLISLEY >CRE21659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1192:7586:8108:1 gene:WBGene00078719 transcript:CRE21659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21659 MRGSTPFTLLLLLNIFCLVHSTNYYFSFYVTLHCKIPNRQFGYNAQFFDKDVAWFNGDDAITDAYINYSLPGDAFFKSEGMLTGDEWLSKFFDLKMVLFHNCNLANKEVRVDMNLLPLLKIPQTLPDNKYYQFELSVDITEMSGEITHTGKLVQNR >CRE21660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1192:5914:6639:-1 gene:WBGene00078720 transcript:CRE21660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21660 MVFSVITAVRIITIIGMTQFFYIEKKPFLPWEINTINTLVVGVYSPILENSHATITVLLGMIQSGFICNILNILHVQAEWNLYYARIMSMIFSIYAAIRMVWYQTILLPKLPLRINWKSMIFITLFFALTGYFLFPYCTASNVYIHVYLYLLSIETSIWYYTKEFRLFSRYPRTGFMDYARAYHTTVHRYYTSDLHFQQ >CRE20626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1194:6971:7247:1 gene:WBGene00078721 transcript:CRE20626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20626 MLLHTSYVVPEEDRLVVRACKINGCDCSTEACPNAARKLECPKHCKRGCQNQNFRRNICVDLFVAAAPNTEMAG >CRE04654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1207910:1208899:1 gene:WBGene00078722 transcript:CRE04654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04654 MLDTSSENGREATPSGLPLCCLLGSHFLDCCRVLCKFLFETFPDKFKKRTFQLCITLPLVYNYVDGIKTQINHEIKFCKHSARDIFAEVNHIRGSPKNASRFARQAGYGTDEAVDQGNHGSQGGSCSGCCLPGSAGPAGTPGKPGRPGRPGAAGLPGNPGRPPAQPCEPITPPPCKPCPQGPAGAPGAPGPQGDAGAPGAPGQGSGAGAPGPAGPKGAPGAPGNPGQAGAPGQPGSDAQSESSPGAPGPAGPQGPPGPAGSPGAPGGPGQPGAPGPKGPSGAPGQPGSDGNPGAPGQPGQSGGSGEKGICPKYCAIDGGVFFEDGTRRK >CRE04784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:672115:673462:-1 gene:WBGene00078723 transcript:CRE04784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04784 MASPTEHPPNAYLPIDTYPDYQNFSYHFDYVSIITVATFICFIPTVITTTNMIIFYQKNLSRNFSKEIHPYVFKSFLYMQSSNIIYTTLDFFLVRIPSTSLVTSYFYSMKPDSIIRYVVAAFYGYEYLSQLFTVLFCFIRVLVLFNPRKRLEMYDFIFMIWLFLSLVLSFVASFPHIKHNSMGMQLDVPFQYGAMFLTTTFAYGNSIQTIGNGIFSIIVTIAIVVMTSLMVSKLKTLKLMNNSSNRKRKAEKTLTITMFIILIPAIFTQVLAISSLFATSFSCYIILARPIVLDCRVTIVSCYFFLTHPYFKKKFVSQTITIRPLSNC >CRE04755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:475281:477340:-1 gene:WBGene00078724 transcript:CRE04755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04755 MEMLETMETDNSMSIRELNEKMSNDPDLSAVNLDVLTSNGFEPFVLEFPNAEKRSVWEATFKDAKITLAKHLTAAPSWSLKTIIAHQTRPGLQLCTATVVPGKRVDSTPFLWVCASDKFSGQVAVMALDSGEITIETCSAIGNAAVTAMCTVPPPTRPRKRKIKSQKSLEQLQKESIMDINSSGSDTESSSDEGTSTAGQTTVWIGNDDGEVFVVNSTERIRSRTRDRLARLRNSVTSMCAANGNVLVATSYSNQVQLLLFRPASDGGWDLENPQTVGHVCQAPITNMQQIGKRVIIASGNSLHAFFMDTGKFQPPVEILPSSDVITLMNVTGQYVFLSGRKSTEIYVVDVFELSVLNHFNVTSFVRSQLSGREHILREHKMGCLRVSCLTVARHHLWIGTSAGFVLSTSIQAAKSNPTPDLRGLRSRNVKLINEMVSVCEIGHSGPCRILLPVQTPTHSNNPSRKQKRSSLNVPTQQSSQLMLVSCGEGLDDGTATQDPSTDAINHLIFWKFS >CRE04648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1172984:1174215:1 gene:WBGene00078725 transcript:CRE04648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04648 MNQLHCQKNAEYYPAERGKTAAPFLENGMIIGVKRSFRVEKMVGGGGFGQIYRAVDLESKLVVAVKVEPKSSESGRIVLELNILVQLAHSSHIPKVYYSGEVGIYNFIIMQLLGSNIADLRKFQRSRSFSVETTSRVGIQCLECLKTIHKLGYIHRDIKPSNICVGIGEHKRVLYIVDFGMARQIRFSDGTFRPERPYASFRGTTRYVSLTAHERKEQGFVDDIWCLFFSLLELVEGLPWKNIVDQDQVCNAKRLMLNNFQSRKMGRNFEMFPQILERTKRIETPDYERLIGILKSSCPSFNELEEFEWDDHDEQYQ >CRE04634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1082100:1084181:1 gene:WBGene00078726 transcript:CRE04634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-com-1 description:CRE-COM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LYF3] MSGYYRNSSNSNYPRVDTSFDPFSSPQYSGYTNYQNVQQSVDVFAETQKRRSSGEVFLLNLNVFPIRKNLIQTNGISRAIRNALDPEYQCMQSSMDIFNPSTSFDYNDDASILAAVSSAHSSQEMELELPSTSIQKTKKNEKSKAKANFAPIFNTASKKDYDDQTLQEDFLSPDKIRDYYNKTGKQRPKQVRMDDFLFKARRVDKGAREFGLHTTQEPKRGSKKKRDSIEQLEVDKSVFERVKLKKKEEVIKKNKSEVEQLDFSLAFGTPSPARNKSKNLKLTKFFEKNETQKNVSNSTYFQSPKCSITVNDPSMYTSETVLEDTIYSTPNKTVLSETISLFTPSPQRTSQRNGKKPNMVQRSILECVKPNPIKRKDPEYDTLHKDRQPLVQAKRQKCLNNNKKPEFDYSSSPITLNDDEEDINVRRKSPERPTLREEEAQRKKFRRNVSEREQIMRELVDQRFKQERENGGLQTRRAELKDGEVIRNKEMRKNLMHGAACKCCRGYYDGLNMEEQEKKDYINKISRHRYVHQPLPDTPERYWDLTLGQRDEDGREPLMTQERNWEEAAKKNALKSENQNGIHNWN >CRE04745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:407807:408704:-1 gene:WBGene00078727 transcript:CRE04745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04745 MLSILLLLLVYQVTVILSSQWTSSQFPDLRGPSVNQCVAVLPRNKQQLYVCDPDHMLNNTQAMQLNIQLQELAVGTPCHCQRRSQCTTGIEGSGGKEGLHGFIVSIAIVKNLYMHMHSPSDT >CRE04878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1353060:1353401:-1 gene:WBGene00078728 transcript:CRE04878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04878 MLYFGLKGYETMKGLMKVTSVSQKYKNLQSQLFNALVFQTIIPVFLMHIPATTIYFSIFINSSTEILGELLNISIAMYPALNPLPTIFIVKSYKQAVTGKYENLWFVVVEQDE >CRE04504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:59499:59992:1 gene:WBGene00078729 transcript:CRE04504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04504 MAHAPLTLSNIGINEKYIDLAYEWHYFNPPAIYIWKGSRYWKLDEKMDHRRVDERYPKPIDLNWGRVPKGVNSAFTYQKEIHFIRRDQVYRMNSSRSVFDVADGYPQPFSSFFAFCPRNEKLTLNSSHYSKNYFSAVVLLTITILTFYF >CRE04510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:97411:98404:1 gene:WBGene00078730 transcript:CRE04510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04510 MPSHARNLRLYISIALLIFLFFARQRSLNVIFEEREMSQFVNETDKLLSPFDLEVAKWKTCMQNNMEKDQVTWFLTRFAVTKCRNETQFSKVPVAKTMTKNEIMKGVPSYNMFINSTKEPYTVVTIGNISREETKKQLVDNLPKDSKFFGTHWNSSQIFSEYQKLEEYLNSSNNTNIIHLSDYLETLSGVKIIDLVGASLSKTILNSVFFQLSIIYEISNFELFRELSNDGEIEREGLLICQIKLRVDYPDALKKEELIEVISTIILDARYLILSIHNLEIFMVNFDTPVCREKYLGPYII >CRE04859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1230718:1232306:-1 gene:WBGene00078731 transcript:CRE04859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04859 MMRNGATRKEKIERRRDRAHKIQEYNRAVERQKAEIELAERRERVRRAQEANKKAAEEEAKRQAENEDYDFDDNFPGGMPGGFPGAGGMPGGFPGAGGMPGGFPGAGGGPGAGGPGLDSLFSDPDILAAFQDPEVMPALMDIMKNPSNIMKYASNPKIATLISKLQAKGAGMPGMFGGAPPGATGGCDDADCGGPCGGGSAPPPRRAPEPDLD >CRE04669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1316343:1318252:1 gene:WBGene00078732 transcript:CRE04669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04669 MSHQATHFGVKKKWKANGSAGGGGGGLSAFSRMKPALDPSADRIWTIGQIIYQRHQDFERNAAIKRQDNGSFDYIDMVSQNSLILDRCVLILGFEKFST >CRE04828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:959713:962164:-1 gene:WBGene00078733 transcript:CRE04828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04828 MGTNTGMVIAGVAGATALVITAAAVPFVAPALRRVCIPYVPATTEQLSNVSRALSLATKNSKGTLIDLGSGDGRVMKFGEQLASHLTPEWRKQYIDYERLKNLLYDDMMEVPADDDRREEHISRLDEKFFNECDQELTKINLFFSQKIAEGQGKHHELQTELQVFKDVLGSRSEPSGIRRRFGGKDRFHKETTRNEQQLKLAFSEFYLSLVLVQNYQQLNATGFRKILKKHDKLTGNERGLDWRINKVEKSSFFLNREIETLITNVETSVINDLEGGNRQAGMKRLKVPPLSEKQKPLTTFSLGLFIGASIVLLLAILLTWMASPARPQEPKWVAVRLFRGPLLLFLSIFLCGVNMAGWAAAGVNHVLIFEVDPRNHLSYQTLMQIASFMIMLWSFAVLAYLYAHMLHIPPFAPPLVLMIICLVLLLNPIAKPDSVFHRNSRFWLLKHCYKCFTSPFHFVTFTDFWLGDQMNSLTTAFLDFQYFVCFYATEVDYSNGWIEVKGINSTTGSVPWGSVELSNGKDQCASAAGLRSLMSIIPAMIRFLQCLRRYRDTKRVHPHLVNAGKYSTTFFVVACGALNKYYEASDPNSTSIFFYIWILSYIMSFTYTFLWDIFMDWGLIDPRAPKEARFLREEMIYGSKWYYYLAIAQDFVLRLAWVLNVSLGEAWTLDSDFLTTVTAPFEVFRRFIWNYFRLENEHVNNCGQFRAVRDISVKPIRKGDLESLLSKMDQMDGVTHRGHDLMERVKKQKKSAKASRQLLRKNRFNRMIAAAPVVTTTFIDTTNTNT >CRE04803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:780749:781090:-1 gene:WBGene00078735 transcript:CRE04803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04803 MGKLEDICDRYLSRREEQKKVIEAVERCLKKGYDFEKLRERVQDVVEDSHKATKVISAVCDHYPQLRKRTRNDRDEERDRDRKRDRGENRSDNRKDRGTEKEKDREXXXXTQM >CRE04655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1210173:1211133:1 gene:WBGene00078736 transcript:CRE04655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04655 MEEQQRLHAYRFVAYSAVTFSVVAVFSLCITLPMVYNYVHGIKTQINHEIQFCKHSARDIFAEVNHIRGSPKNASRFARQAGYGTDEAVDQGNHGSQGGSCSGCCLPGSAGPAGTPGKPGRPGRPGAAGLPGNPGRPPAQPCEPITPPPCKPCPQGPAGAPGAPGPQGDAGAPGAPGQGSGAGAPGPAGPKGAPGAPGNPGQAGAPGQPGSDAQSESSPGAPGPAGPQGPPGPAGSPGAPGGPGQPGAPGPKGPSGAPGQPGSDGNPGAPGQPGQAGGSGEKGICPKYCAIDGGVFFEDGTRRK >CRE04638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1097564:1100988:1 gene:WBGene00078737 transcript:CRE04638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vab-7 description:CRE-VAB-7 protein [Source:UniProtKB/TrEMBL;Acc:E3LYF7] MQSFDIESLIGASKVPSLVVAEMVAASQASRSSSFSPSSFEHHDPMGAAAVVAAAAAGRHHPYDNRDDGQMRRYRTAFSREQIGRLEREFAKENYVSRKTRGELAAELNLPEGTIKVWFQNRRMKDKRQRIGGIGWPFPPQMAAYMLNPFAYEMWVKTAAASQFGASGTPGTYPSGSNNSSASQLASAFLPQLGFPPFLQSNSTIPASPSSPHSDDSSKSKNTSSDDDDSKPVNFSNSPSSSSPSPYSTD >CRE04782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:661588:662708:-1 gene:WBGene00078738 transcript:CRE04782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04782 MPSQKELVETGKVRAVEVKIGQKVFQKSVNHLIPLEIPAEERQDQDAPAAGTPSDLHKQITPAKAPPQRTRPYLPRRAKENKVTIGHDQQLGSPSNQPLASA >CRE04865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1261201:1261606:-1 gene:WBGene00078739 transcript:CRE04865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04865 MCSPADRVKELLTNAIKPQKLNVVDESGGCEGFKFRILIISEAFGGKRTLQSHRMVQAALAPIMGETHALTICAYTPEKWSQMTSDDRLNAGCLDLADDN >CRE04617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:940654:941510:1 gene:WBGene00078740 transcript:CRE04617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04617 MSRHLLSGGNNTLHSEQIRAEIQRFESVHPCIYQIYDLLNLLPDGCHAISEQIREQIVSVEGMFSILLLE >CRE04663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1257436:1257774:1 gene:WBGene00078741 transcript:CRE04663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04663 MTSPKDDIFSAHPLTAAELAAQKRKAYSEEAWRRYQEYQKRWKKFRQTQKTPAPGASISGASTSKQSGASPEGGGQAGTGPSTRSQSVTSVASGNISPSTFSCKIHRKAEVA >CRE04597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:773547:776308:1 gene:WBGene00078742 transcript:CRE04597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ymel-1 description:CRE-YMEL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LZB4] MQAVNLNIGGLLQNTGLLRSRNGISRKPLDIDKTATSLCRIYYSVWRQFSTATPSEISKMSQNLRDSMLTRRMARKSEIFLNYDDAMIRIVPSTSSFYVQRRGFRTRKQTFGVGNPGKTQEEEVKSPLTYISELLVGKKVKTSEGGVEKWNQYESDLKKLPEGQQRTYTDGFVKGLLSNGTSGAGKDVKKSSTLTRFYIFLVFCIFFGYLTGRIRVRVGDRQIGSLFFSNPQEVNPEDVQVTFDDVRGMDEAKLEVEEIVDYLKDPEKYSRLGGRLPKGVLLVGPPGTGKTLLARAIAGEAQVPFFHTSGSEFDEVLVGQGARRVRDLFDKAKARAPCIIFIDEIDSVGSKRVSNSIHPYANQTINQLLSEMDGFTRNEGIIVIAATNRVEDLDKALLRPGRFDVRVTVPKPDLAGRVDIFNFYLSKIVHNGAIDPNILAKGSTGFTGADIENMVNQAALKAATDNAVEVTMAYLDEARDRVLMGPARTGGRIPDEEANRNTAYHEAGHTLVSLYTKDATPLHKVTIIPRGQSLGHTAMLPDKDSYQLTKAQMLATLDVMMGGRVAEELIFGEDKVTTGAADDLSKATQLAIQMVKVFGMSDKVGLRDFTAEDRDSSLVKVSDLSPQTAELIDGEINRVLQESYKRAKVILETKKVYIRVSDIYLFFNSFQKEHQLLAEALLEYETLSADEVRRVISGQKIKRPTPAAVKKSNEMRKNQPSLVLHLFEEEGRGKQ >CRE04562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:495354:496001:1 gene:WBGene00078743 transcript:CRE04562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04562 MDTLMGRPPGGKKGNQPPVNKQPGATPPNGPPGKATPGTPNGTSPGAGPPGTPNQPPPAAAAAPNVPKGQAPPPAPVKAPVGGPAPAPAATTAAAAAAPAAAPVAAPPAQAVAAGAPAVAAGAPAVAAAPAVAAAPAVAAAPAVAAAPVVAAAPAVAAAPVVAAAPVVAATPMITPMVYGAPMMIAQPMVAAPAPVIIL >CRE04632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1070339:1072113:1 gene:WBGene00078744 transcript:CRE04632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04632 MSLRNQKGGLPTVNDPVFNDYSPPAHIPFSGAKLNTNNNNNNGSNNKKNHQNLKSGKSKYCTPKRDNNRSPGGTPVNNHNAMRPFQLVSPLPFAMNSVPIRGIRNDEGVVKYPLLLCSQEGTLMISMAAGVIVELCLDRSFRVVCNTDFMAYVNSNGSVSSILHKYAKIVHGKEHVHCKFVNSNDRVAVLGPEGILFSMDSLSEAYLLSGANESGPSAIALEKPEFPIQNMDYTIQQMYGESDIGVDVRDADKKKMVMVFQNMEKCKTVMQKAQYEKYADGTLVVHINGMLLKSNSQTGDVTIEAKPIKLSVNPINLTAQLRSTHIDMAIQDKDKCYVKRGDKRIHTSRSGMVVSDGTCTISMDQLGRILACS >CRE04656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1212342:1213303:1 gene:WBGene00078745 transcript:CRE04656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04656 MDEKQRLQAYRFVAYSAVTFSVVAVFSLCITLPLVYNYVDGIKTQINHEIKFCKHSARDIFAEVNHIRGSPKNASRFARQAGYGTDEAVDQGNHGSQGGSCSGCCLPGSAGPAGTPGKPGRPGRPGAAGLPGNPGRPPAQPCEPITPPPCKPCPQGPAGAPGAPGPQGDAGAPGAPGQGSGAGAPGPAGPKGAPGAPGNPGQAGAPGQPGSDAQSESSPGAPGQAGPQGPPGPAGSPGAPGGPGQPGAPGPKGPSGAPGQPGSDGNPGAPGQPGQSGGSGEKGICPKYCAIDGGVFFEDGTRRK >CRE04659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1232553:1233564:1 gene:WBGene00078746 transcript:CRE04659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04659 MPSFYPIFIFLLFLSSCLAGPTIIITGPAENNEAKIEYLGRYLPQAVLKNVASTESDILIYNRVGNRDTLIEDSNKVQQLGGVFLEKNVSTVVFIRDKYEYLNAEELFVLEHLLRTDRSLSVVHFEEKAESSPIWLEHSDCFATGTVDSKIGNTVYFCNKETDSVLNEILNERIPIDKVVSLPSSSFFFANAASKKYRIDKKKVCKEETDL >CRE04499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:36683:38513:1 gene:WBGene00078747 transcript:CRE04499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04499 description:Folylpolyglutamate synthase [Source:UniProtKB/TrEMBL;Acc:E3LYQ3] MMRSFPQTNRLLRPSTYPIACCVNQFRMTSGSATSSSVSRYEESVRLLNSLQSNAATIKKLREQRENLQAMNLPQCRKYLESLKVSEKNLESLNIIHVSGTKGKGSTCAYTEAILRKQGLKTGLYTSPHLVHVRERIRINGEPVDEKTFAEEFFHGEVRDFRNGLQRIILFSVYDIISQEHSENMPAYFKFLTLLAFRIFIKLGVQVVILEVGIGGEYDCTNVIEHPKVCGVTTLDYDHISILGSKLSEIAWHKAGIFKKDVPAIYSPTNPEAEEVLISRAKSKSTPLAEAPPVSAYHFSRPISPGIRGLHQFSNISLSFQLVKTWAEKCHFPLPGVSLSTKIGKGFDIPSWMCDAIESCRWPGRSQVISTDKKITYLLDGAHTPKSMEACAEWASEEITLLKKENVKKIVLFQCTADRCPSTLIQYLKVRFQKKTSKKFHFQPLGIDQIVSCPTQLYSSVDKSADSTNLNASRDEQMEKALQCVESWKESMDDPNRITKDKMKVFDCISAAFDYIETESSSQEILVLVTGSLHLVGGVLNLISTKTHQTVI >CRE04639a pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1102741:1103861:1 gene:WBGene00078748 transcript:CRE04639a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04639 MVSCNLTAPEVQVGATIKIETNNGLIAEGIVISLDALRKVLVLDTKETTGSKPVVRIFNSEHLNSITVVTAALEEGQKHAINRCEQFRANNPVNGAKTAERLQKTLGDLRPNLMKSSNVSIKGQQAYIQLKRTIAETYWSGEDIRVLGLVLVQKPYDVDNVTKDAKATGFDETRANNALLQVKKILSKPATGYTSRAPLDFTIGAVVTN >CRE04639b pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1102741:1103745:1 gene:WBGene00078748 transcript:CRE04639b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04639 MVSCNLTAPEVQVGATIKIETNNGLIAEGIVISLDALRKFQVLVLDTKETTGSKPVVRIFNSEHLNSITVVTAALEEGQKHAINRCEQFRANNPVNGAKTAERLQKTLGDLRPNLMKSSNVSIKGQQAYIQLKRTIAETYWSGEDIRVLGLVLVQKPYDVDNVTKDAKATGFDETRANNALLQVKKILSKPATGYTSRAPLDFTIGAVVTN >CRE04873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1305977:1306117:-1 gene:WBGene00078749 transcript:CRE04873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04873 MNVYSVFVFAVLAISSVSGIFNPGGKKCGGSNGYGSGGVIIGSSRD >CRE04885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1420857:1421533:-1 gene:WBGene00078750 transcript:CRE04885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04885 MKKKLLPQLDNIGKVMRTLSAILFVAVSLSSAQLMPSAKHNQFIELNLNRYNNDDHIIRSDISVPDVVVNRHHISSEDIVEKLKEHVKEAAEHFLTLDDTEKLHASIDLPQAIHSSQWTNNNGKRSVNEGVDYEATAELYKQALSFLDKYDVEMRLN >CRE04722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:261283:264004:-1 gene:WBGene00078751 transcript:CRE04722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04722 MTNQHASIFSNCSVHYDLEVNFEICVEATDPCYSIEQIETAVTISFVDYCSSVTLFPLAGFMNIYCLLVILPIYHRLQNNSKKKYIFLITRCIAGLLAVTAWLLLQCVYLRSMTPNTGDSAGYVLALSLYIGSTYVLLGSYVGMAGVLYLGVMNPVGFNQYLTLRLTYIAVCVIFIFALILSIPLAILQAAIAIPISIKCRDKTCAPVVTLINFILVSGSLIITTITLSFVLISLLNHRNAFKRLDTMSNASLNSGIRLLIWTLFVVILITIAEIIPFTFMEIRRSEGTITGCYWFYHAGKVIVEAIFALIESSIWSVALIVDPLTNLILDRTVSRQAASQVKWIQRSCERLVIFVIGKFSRRKKIWEEDEEEEKQIETAVTIAYVDYYSSVTLFSVAGLMNIYCLLVIIPLYRRMQNDSKKKYIFLITRCIAGLLAVVSWLLIQCIYLRFIAPTAGNFPYYVLALTLYIGSTYVLLGSYVGMAGILYLGVLNPISFHQHITLRLVYAAVCIFFLVALSLSIPLATFEAAMAIPVTIKCTDKSCAPLVTLLNFVLVLGSLITAATTILFVLISLLRHRKEFKKQDTVSNTNLNSAIRLLTWTLFALFLISIAEIIPFVFMEVKKHGKQF >CRE04856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1213345:1217071:-1 gene:WBGene00078752 transcript:CRE04856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04856 MIIVTDEEDYAWLHKTKALFSTFIGIAMPPSTKFQDQKPLVQSLIAANFTAKALSQGAESEQAPGRPYFKVITTSSVQGQGAESEQAPGRPYFKVITTSSVQGQGAESEQATGRPYFKVITTSSVQGQGAESEQATGRPYFKVITTSRALSQGAESEQATGCPYFKVITTSSVQGQGAESEQATGRPYFKVITTSRALSQGAESEQATGCPVLRSFSSEYHHHLESFSSCIVEIKNLSSMALATRLAKSFFFAFPDNCPDPNALLRLFIHESARVVGNAIDPSHRSIFAQEFEALIDQNFSTTQQAVLKHIAQISDNEEEDEEQEGEEKRASTTIDMFDLIYSEVDAHDVVDGLSYEPVVDRVQFQRSIENFLFEHHRNHPKDRIRLFVDWETSGWVQGVMRVIRQASEHMVLTAPPNSGRTQVVKAACVACNATMTHMQVDATCYDTFISRWEYALSRAINIIANTNQHVVILVHLDFCYEKVEPRWMEQIKLWIECPNTQHLISDEQLHTMGEQLIECEKNLATQMQTIGLRLPGQRMCKYLPVETLKEPQALRKVLEARIFDALHVMFLVDPQFKSDFSWCTIFHVPAIEKSHLLSKVSKIISDCKVDDAAQIIVSTFFISKIKKYLQAIGIALPGTVFSQLFEVADCFQIVFRQQKK >CRE04879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1353535:1354287:-1 gene:WBGene00078753 transcript:CRE04879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04879 MRSDAKLINKIKTWTLDISAFFAISINILLIVLIITKSPKSIGAYKHLMIYIAFFELTYAISYVAEKPDIFTKGSAFLIITNTKESVFPVTLSIWLDVVFIGFYGLSIALLVIHFIYRYLAISNSELLDSFRNWKLVLWLLFPIINAGIWIYAAAVIFASTEDSDRFLKFNKSQIIPYQDILSENFICPRKIMKQGSKIYIMEDRFII >CRE04673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1344315:1347976:1 gene:WBGene00078754 transcript:CRE04673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04673 MIIQYNANFKIVDASSKQEVRIEEIRDRVAKISRLLMKIEINKSDVLFVKVKRTIDTVLIIIACIQLRITFTFCEDNSKESSHESNQKFRTTLVYDGKQIFRISENNGNDSTIDDICYVIYTSGTSGNIRKQVAVPVSCIEKNVEYFCSIFHVEPSDSILFSTSLQFDPSIIELFMAFHVGCQLVLTPDNFRNEPHRLQNAIEEFRPTIAQFTPTVFEMLPSPDSLLSATSSLRILLLGGSNFPLSFINSVRSPDNQTRVFNVYGVTEVSCWATSFEVEVDCPEVLIGTEITGTTLEIDSNDQLVLGGSRQCYVNGRKGKKHETGDRVRRTENGAIKLVGRVDRMIKHRGIRICLDDLSELATRENSSIQSVHFIHFNNRHIVQFISGPDSTSSTDTSHTKIMKLDDSNSIIVFVIHVASLPINSSGKVDENELRRISNEHFIKVCRDTSSIIQEFLKRRRGIDLTNVLDQSFVSIGINSLMAAELSISFGEIQEVAMREILNDKITIRSFLNQFVSEKLEELDHEESETIKIKVSIRRQPRMNWAVDLCKCIDGSILVLKLNLIICASHSGIVVAVNPLTGDCLWRTNCGVRFECKPISVKNFIVIGSKKSGLYFLRADSGELFKTQKYEEDFGIRSQCASDGKLIYCTTENGYFHAIDSETHLSIFRQLIDENGGGTSIGPVISSDGSIFVTTTSGCLTRILVKYDSQKHPVFNISFFKRFGPIFSEIVFLDANSILISSVHGVLTILNSKTGEEKESISLENENCFCAPLKTGNKLIVATQSGKIITLNISAKLTVSKIFQFDVPGISFVKTPKLIDPWNSLDNSPKLMMISKNGMLLFGRFENLETLEEVSAFPISNKEVFTSPEFFNYYDQKFILIAGRDDYLRSWKFDQF >CRE04495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:67:816:1 gene:WBGene00078755 transcript:CRE04495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04495 MFISLKQPRWDAPNSFLYFRFCGIRSLCFLDRPTDSFPSSVISNSQLIIQVIKSQSNCIFQILEQQRVNEQQRAHAQSDPLPPLPPISNLPVPSSPNLKKPLNNNNNPFIGDTSIPSSSTSSSPSHVTKITVGTIGGGVESTSPSTSSYIPRPVVSGSSSFSHPPPLPAHQSSSKIPRMSSASEARKNSQPPQPAVRMQHNFEDSRKFSQNGCAPPSRIVPPMQHQRRIVTDF >CRE04734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:328167:328829:-1 gene:WBGene00078756 transcript:CRE04734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04734 MSASSTSSSTSCSQEDGGVPSGCCKDGDEGDSTLKKRMQQYGSVGAYANSTISTLDRSQYQSLPLNGTRRVTVQFGHMKIVVPWKESDLTVGQLAEAALTRYKKAKGLVSFHFN >CRE04801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:776763:777907:-1 gene:WBGene00078757 transcript:CRE04801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04801 MSEQETKKLEGLVKQLKTEINAKKAILEEVLRTVDKDQDKSSSVKKRVYIREILNCEVSIPCSINEIFCADLIDYFRFETHFVARFKIRNTSEGDFSHQSAVLCVSASFSAFFDDEKISLSIHGKIIQAQSQIFNSPFRLLIECNQTTAAPPEACISELISIAEATEDLDLKAIEYMDEKSAVRIVQSLFVVYSHLEIQSSLKFSIFRRNFPTFVENDFETWRLYTGSDRFEGILVYTQIDGDVMKSRVIANNIACCQKFLKIINEKCF >CRE04650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1183329:1183877:1 gene:WBGene00078758 transcript:CRE04650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04650 MAPPPLMEEVQDVFHFHDTVGDGKIAANQLPAALRAMMLNPTEALLEELIKKRTGGARISVEEFIPIYKKVETACGRNTTLKEFQTLLSHFDRDGNGQIPIVELKSMLQNGGEKMTNQEVESLLFGVEVVDGKININHFLNNHLQMGLQEAEK >CRE01224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:6145:6764:-1 gene:WBGene00078759 transcript:CRE01224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01224 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3N4P1] MNTNILLIFLCIFFSSTFSDDVSTTSDNPSTSSDSPTASTVSPCGAPNQTQEIVELSFNPNEIFYFNAPFDIQFMDYFRINHAFSQRVAYRIQLEMSKKSRILVPKKNGILEKEETKRKVVIGFSGFKFDEKKFRNDSITIEWVNVPEDALPGVNEECFKIWFAEDVTPKNHTLEIIYNP >CRE01245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:124699:124995:-1 gene:WBGene00078760 transcript:CRE01245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01245 MFIYLRIRRRPPKEPRIQMTCRFQWISIWFVRRIRKRRKVVKGRQRAEEFFRETRQKGLISSPAIAKFRINYLSSSKCIYS >CRE01238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:101786:103096:-1 gene:WBGene00078761 transcript:CRE01238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01238 MAEKSDHFMMEFKGPAKQFVALVGDVGGAIRYELNKKMKKINDEKDSYYLETYYYSAKYGLKTFSALRDVTREFDAHNTSLMCMFVGYKSIDHLKDAKKVADAIKADTRVVVIDSLELCPEAEKVEEFASKNEFEVVYLHPNQQQRLDAESVSEKVGVDRLIETMEVCNWPWRVVNAFSRGPRSSFIDKQEGDILELNVKEDYVIEGATADSLMRNYKQWFNRTSDARIPAPQHAERVHLSPDSPTTTEGTTNPDDVSISVDIDLVCTKNQEEKKSCEGKAKKQKSASAKHVKKD >CRE01227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:12960:13633:-1 gene:WBGene00078762 transcript:CRE01227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01227 MRTQNESDQEKGINHEEPREHAGFEMAAEPDKTCCLCSPRFHVLAQCIVILIMSLIYSIIGYKDLLIWSAVGLFMIILVIAIPKFFANFYSFAIQFLFWIAFCLYTLTYLIMIVVMKIKSDKESENRTKGDKGGAAVSAFIINFIFIPFIAIYSIILLFSMLATFLVFRMTLFAYKNR >CRE01225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:10444:11136:-1 gene:WBGene00078763 transcript:CRE01225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01225 MRTQNESDLEKGTDNEEPRKAAGFEVLTADRNEVIILFPTKYYMLGISAVILIVSIVCWILTKIIYEYFVWSVFSMLSIGLIIFLPEYFSNIINFAIHLCYWIAFSLYVFVKILIVIGNKQDTIEKIKAAKPNPAEQKAVIAELETLVSYVLGCSFVILILAILVTLLIFRFLFFYYKNR >CRE01226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:11452:12107:-1 gene:WBGene00078764 transcript:CRE01226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01226 MRTQNESDREKGTDNEEPRKAAGFEVLAAERDEVIILFPTKFFMLGISVLIMIISIICWIGTYWAWEFFVWSVFSMLSIGLIIFLPEYFSNIINVVIHLCYWIAFSIFVLVRLITIIIKKGEVQDALKKMPPAQREAEQAIADRVFPYWIGCTCAILPLAILVTFLMFRFFFFFYKNR >CRE01243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:119526:121177:-1 gene:WBGene00078765 transcript:CRE01243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01243 MSITSSLSKWITSLFKLRKKKVQFKKEKSSTFPLGKLPFLALQEVIRNMDIQEGFSLALTSRKMRLNVKYSRLSGGSPIARIHDELSNAASVLHANKCHVFKNEVLNDLSELLIELPVNNDKILESTNKFYTIIPHNFGMKVPEPIDSIHKVKEKNNMLNALLDIKFAYDQTCGGENPTMGTLGVDPVDTNYLKLKCAMTPLDKHCPDYEMIHDYLKNTQGSTHEIKVDLIDVRLFILQLNRENESTKFKAKIGNRRLLWHGSGRMNFAGILGQGLRIAPPEAPVSGYMFGKGVYFADMFSKSFFYCRANYHEEAYLLLCDVALGEMVTKMQATTMSKSTLPKGTHSVKGIGRECPEEIGDYLHPDGYIIPRGKKHFQLQGTHHTDFHLLYNEYIVYDVDQIQMKYLVRVKMHHARHR >CRE01232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:82694:83460:-1 gene:WBGene00078766 transcript:CRE01232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01232 MPLSNKVLFTCFIPQDWETYVFEYCPETYEESLSNTLKDMPLSNKVLFTCFIPQDWETYVFEYCPETYEESLSNTLKDMPLSNKVLFNCFIPQDWETYVFEYCPETYERNQSDMFDSKLKELMTYRGESDFDGGFTWNQSRLVSMLSSSWQWNRYLESGNVACTLK >CRE01237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:100089:100307:-1 gene:WBGene00078767 transcript:CRE01237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01237 MNEDRQEEDEPYEPEFEILKVLEKKKDLEETMRIEENNERKLEIEKELEELDLQLLEKGVRMEQGRHVFGSV >CRE01247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig120:128905:129821:-1 gene:WBGene00078768 transcript:CRE01247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01247 description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:E3N4S6] MSKVSRESLNEAIAEVLKGSSESHASSVRPSSSKSVLRTTIHRRTSVSADPSGSYELLKRIPRPSMKVCVFGDQHHLDEAAAENIPAMSADDLKKLNKQKKLIKKLAKSYDAFIASESLIKQIPRILGPGLNKAGKFPSVVTHGESLQSKSDEIRATVKFQMKKVLCLSVAVGHVDLTQEELVSNISLSINFLVSLLKKNWQNVRSLNIKSTMGKPQRVY >CRE02743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1201:7:1734:-1 gene:WBGene00078769 transcript:CRE02743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02743 MSDEEFDSFEAEDGDRDDVQPIDDDVIADRHRPRDRCDLSMAMTQKLFGNPGGSNVNHVEYEESAYVEPRNRFEEILKEENVRIINEQEDGMMDLDVGEKCVVFCGNLNHEELIRNLERRMETDRGELFREFEIACEESQETLQLYLSPSKSPLGLQDTIFRAFLQIRSTQTKSFDLLLQKLNILAKKTEEPDLFLAQTCVAHMRHLNRLFEPQAVFNTIFEFEWRFWSPNVRNDLIAALPEVGILKIARILSFSFQIFTDIALQQHTALRLNKEIAETQGIADLPSFQLQIVETLRLLRMDQAVSRQIRMNLCNSCMELDVHCLPQIIAFSLASLLNSGGKTDDDEMNFHEMLRQLSRLLKIETLKKKTNKSDTIVTEIFAHFLKFLQLDKRYWKYIISWISRKAKNLTIKDEPGEDEATEEEPPEDPATWLTTFEAFLIFSLLSNFDSCPHGFVAAVNTKFLTVPTAFTTKFLKIVDLMISFKRFS >CRE18333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1203:6925:7677:-1 gene:WBGene00078770 transcript:CRE18333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18333 MAFTKLIIAVLLLAVAVESRTITIYNKCPFTIWPGILGPGNPAGGGFKLDGGQSRNIEVDDAWTAGRVWARTGCDGNFNCETGFCRNSEQCNGAGGVPPASLAEFTLKAWGGQDFYDVSLVDGYNLPVLIDPHGGSGCKRAGGCVKDINAECPAALAVKGHNGNTVACKSGCLGYNTDQECCRGAYGTPDKCHRSATAQMFKDACPTAYSYAYDDGSSTFTCQATATYVVQFC >CRE18334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1203:10496:11008:-1 gene:WBGene00078771 transcript:CRE18334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18334 MSESEKNSKIVERKGCFRCGGRHVPERCWTEKKECYQCGKRGHIAKRCQSEKMFWNGGNEKMRGRLNHEEKEKFGRREANGENFHGVCPLKVNIPGSAWNNRSAAGNRSWRDTGYGVGRMRPRGPSMRNNYWLPSHDNDGWFYMSGRKLRWILEEKSNRANFSYCGGGVV >CRE18331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1203:3994:4644:1 gene:WBGene00078772 transcript:CRE18331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18331 MKSKLAFILIFLSLVMLSLADHSSESSDDDHSSKEDHSGDDPEDGKTDEDSGKNKEKEKNKKEDKNKDKHEEANYDDDEDSKLNTWDIDAAFVGTEPTKKPEEVDNSEKTTVEPLGGLFTPGPSSFWNEVFGNGGSGGKSDSSATSAPAETTETPKDDSENDNGGSPQGHEGTHGTVSGNPFYPYPRPVDVWDRLIVLAAFPICFFALMLKAEMFR >CRE18330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1203:1811:3163:1 gene:WBGene00078773 transcript:CRE18330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18330 MQDIDWTPTSFPDLDLIDGSMVTFKCDEENRKRNRKKNEEIEFIEWFVNGKRIQPSWFDWRVSVSMDGKLGIWPIGVGDSGHFECLSNGQLRASVTVNVVSVSSVLIKGLMNYLFVCAVFSIVTISLGCLLGNRNQEIKDVEVDRMEEFLSENVFKTDQMAKEKVAKIIEQQNVVDERQLLENKAKSNRSTIMILLQNPKRENLKKKKTEADSNNPTASTGTITEGVVTTEEGTATNGSSDTNVITTNTTATTTNKTNETDVGTTVTKTEEEEDVKDGKEEQGGDSDEDDDDVKTDTTSKGSTATGKASKGKGKKKKTTKGNKNTKKNKKKNTGKGKKNKKGSKGSKTKGTGKGPNKNTKNKAVAKPAKKKK >CRE31598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1207:3548:4147:-1 gene:WBGene00078774 transcript:CRE31598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31598 MSDRMRKKKSDSGGSSETKSKHEAKKAKVTVISADFEEKVFQLLEKGRFKKNIQLRLEDFLGERIGKIGKRTGGEMSQAEDFGGDKSSGREGEVIEGAEQKKSEDPGEMEKRRSVVVAGVLEYGGNDRMRWSWDNRCVGGKLFHFLDIRSPPASIYRLGKAVPGRSRLLKIVMPRSWDQ >CRE02566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:148235:148882:1 gene:WBGene00078775 transcript:CRE02566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02566 MCNRRREREMRRVVRRRRFFMVGNLGIRVENTKPSGKTAEKSKEIALDTVTEEKKEGMKPKKTVIRESKILITPRIGVKGKSIFEYRKSALNTWKNRFGFANVESIVFLLELTEDEETNQKLGDLVRKLAEEGKEITIIPYKMDCAKSGLVKSWITADNVKWSDSAASAGEKFKTWEQLLEFLEARTTENMVVAQLRKESVTSEPRKKENKWSHQ >CRE02588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:295502:299536:-1 gene:WBGene00078776 transcript:CRE02588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02588 MFYEKLKNSYTSPEFLSFSKSALIEQWISISMKESLKAVEISSFHCKRGETKSTLFTVKSDVRLLQLVWKEVKKEKKIIPMTSFWKGMKEENHGTQRHDDLRNDSGSIYLNLSKNLECCLCRRRKHSSITAHPQLAYQSLRKKNKVSSIHSKIRCTHYAVGLERSEKTKENNTHDFLLEKNEGRKQLGTKPRQFEKPIPDPRGSIHREDGNAFCGRACTLRTLDVYNLLSRF >CRE02562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:63312:66076:1 gene:WBGene00078777 transcript:CRE02562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02562 MNMACTSTNGRPNGRHGNGYRDESMTALTRKVAHKRTRKLFLSTVKLIMVQQELLHHHGFKTNRLGPRNFSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLFNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFLNCNTYLECLNY >CRE02564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:123144:123828:1 gene:WBGene00078778 transcript:CRE02564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02564 MPLRYLLTVLYLFPIHLLIQLRNLNKLHILMSHFQCYQDRASPFVPPVPIVPIPFQETPNPSKTSFTTFRLFCDPTCKRPLATPGYRHPFMIGERCCSKCYTHYFLRKQRNVPYGPFKPCANPSCKRLTEKKALCRFCYKIGLTRVCSWFVEKFKAGRSNFSCSYEVSPLFTFSSIFIHLRYEIKLNNLKVVA >CRE02575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:27475:27935:-1 gene:WBGene00078779 transcript:CRE02575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02575 MSFTCVNDNVRTAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTEMMSLNKKAKAYCRTANVDTPPYSLGNLFRNMLANGAVLSK >CRE02581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig121:133251:133537:-1 gene:WBGene00078780 transcript:CRE02581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02581 MMMNQLNPPLHSISTIWKQKPVTKPKPGQYNYSDDSTDGYSGGTGYTDFSDFADVPGGSSELPMESIPPVHWDEINTKRFHD >CRE03558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1210:12039:12303:-1 gene:WBGene00078781 transcript:CRE03558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03558 MRYVKKRFSLIKCKKCQFFDISHVFIENDKYLTFDRDQMLSYVDNSIHLTGPGIKMCEPVFQKVAREVMDTI >CRE03557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1210:9243:11628:-1 gene:WBGene00078782 transcript:CRE03557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03557 MRLDIQCLRGIAIILVFLFHLFPNIFVNGFLGVDIFFVISGYLMAKNLTRNELKNLNNFTQFYYRRFRRILPLYYLVIFMTLILVHFYLGDYLWDSNNRYSLASLFLVTNQLIIHDQGDYFNEASSINAFLHLWSLSVEMQFYLLVPFIFLGLQILSHDYLKLIVVSSITFFGFIAFALVLPKFAFNFMFLRLWQFSAGFIALYVLKLKRYKTDEAYEPIFKIPFSKDDFLIISLSIIALCFLPTEINVLFLRPLVTLAAACAINCETENNIILSSKILGYIGDISYSMYLVHWPLIAIFVPYTVKNYIFLIQTIFLSSIVFHHIFERKYQKMHWKTLAPLCFVLILANTLLQINIRSESKFWSIKYPAELREIVEMNKAQKSWVRESKRNECVEEKNPPYGSYGYGSCKGGNGSLSVMMIGNSYVLNFREAIREKFNYNYSSFRFSSVSEGVGFYADSPQSRAALEFSRQQVEKYKPDVLFIVARYLESVRAPIQEDDELVQQMNENIRFYEHFVKKIYILGSHPLYKLNFMNIFLQTIMNRPEDLESLHLDRREADRLMRNVKKRFSMFFNLSHVFLENDKYLTFDRDEMLSYVDNTIHLTSAGLKLCEPALKKVVKEVMDGL >CRE03555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1210:2363:3546:-1 gene:WBGene00078783 transcript:CRE03555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03555 MWHDDENPLKFSNLYFPPASQIRNYVMQQSPADGGAFYESLQVPPMSTNTHPIQSIGEISTGEDEDNVFQSISEDSEGIQGNPESFSNVLTHMPARSESRFSRRFNNTVDRLGGMAEELMGFQMENPAVARPPAVARSPAGQGTTQGQSSRRSILISQRL >CRE05319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1212:4087:5986:1 gene:WBGene00078784 transcript:CRE05319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05319 MVAPSKFPFLHLPHLARNEVLRQLTPFEIIILSLCSKSANKLCKSIQKRAINGGCCGNGNRIELKISSKNEITLKFRDPRLTTWSFYFDDSIDNRSFKVMKDLYTSSWTPSEDQVKYIILWNERTRLDHNLRLFTTGPDDIEVIERWILYLADLFNASLDKLHLNSEYFGIEENKRIINTFGTEGSMTTFVLENGNVKGKEDEELIRWILENQPARRHLTINFLPNEGFRFDFNTFKYYFWNITIENSKWIPLEQTFDINSMAIELLGSSFTNNEFKIIMNKWKNGSNPNWSSMKIEFSETLDIENFVNEHLFENEGDPDLKPFVFSNIFRLLPGNENTIVYNVTRSDNTVITIRIKENIADFFLYNLR >CRE05318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1212:2252:3092:1 gene:WBGene00078785 transcript:CRE05318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05318 MTKMNRYTVEDKEYYLNLMRSSPAKKPPEIYRDEIKKNNKCPDVKTLRRWQQVHLGSYEIPKRKTGKIRSKASKPEETLSAPVPPVDNNPLLTQMFFLYLIAQIQPNLPIEFLLFPGPVRQAVLAASMNNLTQNALNPNNQNPLPNN >CRE05321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1212:10174:10969:1 gene:WBGene00078786 transcript:CRE05321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05321 MTDQKRLKFEVKMKVGRKYEHRSLELRCRFDESGKQEWILYIIKYADYTSYDYFSLSDSFIIQLFDETKNSKCTLVLVEDVLRFKMNSLSDKWRFVEFIRANLDSKGKI >CRE07141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1214:6003:7134:1 gene:WBGene00078787 transcript:CRE07141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07141 MPGSKRGSSLEARAGSMEKPFDADMVKRPLYLPPGRDTDMRKWSKQDVYRWLSCFLKPANYQDFFVTVRKLEIDGDVLLTILERRPSHLTFGIPEGVFEMIIKNADSVQRKHNGEDIGIYIKPEPLDDDGPYF >CRE07143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1214:8789:9219:-1 gene:WBGene00078788 transcript:CRE07143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07143 MNQDFDLESLDFRPVQSNPKLPMKSIILTAIILPLSVFFMLIGSFTIYDCSLEPRLPIWMILLGSFLAIDRGLTWIFELNMYCFQRNNTRPDEEIKVLREWEFKKAGLQLRISNYAPLTFCGLLFL >CRE07139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1214:3810:4362:1 gene:WBGene00078789 transcript:CRE07139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07139 MEHHMSNDMDMDMNKGPFMWMWFHTKPQDTVLFSTWNITSAGTMVWACCLVAFAGVLLELIKFTRRVIQKNQPTSKKASYLTRLFSTMHIVQTLLFFFQLGFSYCLMLIFMTFSIWLGLAVVIGLSIGFLIFGGKSD >CRE07142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1214:1185:1912:-1 gene:WBGene00078790 transcript:CRE07142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07142 MNFSSTQNLTSEVVTVKPYQDFSGTYIGDINSYIAGIVSLFSNLTLIYATSQVKTFTSSVRFTQYSISILRLIFSFSIMLTCPSIEYELETESLYIIKNGFYLPVYLGEILLAVFIVSIVMSCNGPAVQYLQVAVMLSSSSRQQSKWSISIVPILVAVPTAILVYFGYVPQFYDAQISKYFLDRISQQGITSLLIVTVHLVCLQEFQEKYQ >CRE07138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1214:2604:3511:1 gene:WBGene00078791 transcript:CRE07138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07138 MNMNMSMTTGHHGMNMSMSTGHHGMMDMTTMGGPVAKRHRMWMWYHVDVEDTVLFKSWTVFDAGTMVWTCFVIAAAGIVLEALKYARWATDEHMKSHQENVDSRTKYGGIEIPGRSDRNDFWKRHIIDSFYHFWQLLLAYILMNVYMVFSVYICLSLCLGLAIGHFIFASRTGV >CRE10743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1218:4956:5488:-1 gene:WBGene00078792 transcript:CRE10743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10743 MAQLSERIKNFNTQKKATDRRVRRKKTTLERKIKKSGKNDTHEATVTLSNLAPSATSSGLRVQLSEFQVKDVSINCNQHGKSIGAGSLTISKNQATRLIQKCSGMVLTREVLEVSSLKQLKNLAPGTFEAVDSESEIKFC >CRE10742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1218:2494:4063:-1 gene:WBGene00078793 transcript:CRE10742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10742 MIGKLEDMFGFGIYSLESTRGQILELFERGHFEYFPYSESTASKVPGAKFLSCLREDTSSTSLVKGKELGFKLIEKPKIAKRVRFNDENASFPSKNILAAPRKSSPALNNTEKFVKKLSARNLSQEVLSFTFSNLSV >CRE10744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1218:7963:8709:-1 gene:WBGene00078794 transcript:CRE10744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10744 MAPIIDVYNQRIEKIKRINHQDWKQKKTSQNGKSVGSARSKPRIMMSASDIGNPDVRVLLSNMCLSVAAGFLRILFSGFYVKTLTTNHDKNGNPVGTGIVVLSKQNAIRLIRQLQDVVIGSSDIQFKLIAASNIEKRVRFADKLDENESAGSKRTPSKLQDERIPQENKHEQLEPKRSCLYIRQFVYLTLFVLFMPCFSFNKTVKYFKCYFKLRLRISGFRW >CRE10745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1218:10062:10695:-1 gene:WBGene00078795 transcript:CRE10745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10745 MAPSPDFRAQLTERTRRLSQDWKQKRTAQKGRRLGSDSPGNTTLRSQDVRILLSNICPSVTTNSLQQMFSEFNLKTVLVNSDEHKKDVGNGTIILPKDKAMRLIQQFTGKLIGSTEMKFRLIAISNIEKRVRFSEGPEEIESVSPSTKLKVNEKTKEFLNKMGNNNFNHNALLSTFSNLSI >CRE09717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:123247:126634:1 gene:WBGene00078796 transcript:CRE09717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09717 MTTRPASGKTPNKPVKARKTEAERLREGLDADWFTRTGRRAGYVDYTQFFGDSSTKEFNKRRPRRSATRSKNSKKTPSTAPNNSTQSVALPVVPTPNNSPPPSPIPPAQVSTTNHGPPSPQLSPIASGQDINVDFDQIRTVKEEEMNIEPIPTSSGSKRISQKEKDNIDVILKTISDTTLFTLLLRLAPLLDFLPSYRDEALGLSQMFGDRYWIPLLHHSFKTKAFLALQNLREAFVNGQYIPPEINGAWAMGCSMFDINNIFKLLVDQKLAEIFWKLNRRQNAAYSFPILDDISRRLQELNVVNTLPRSPQVLGLDKIAVSEFMEFTMVLALLKETIGRTLNEYAMSEARVDVVEPIPMKFVLDVRLIGEIETIQNRSKASIAAMKPEIAMEEDSKQDVK >CRE09741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:230195:230606:-1 gene:WBGene00078797 transcript:CRE09741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09741 MTNETFCKTGGTKEFNYEISKGGDEFPKYRWWYQLSHDCSSTLGTRCLSPRGTYDTPVEGLGRVEIDEDVFNRGYTGGPCLVPSEPRTMISMNGSISDEEVIFKR >CRE09718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:146726:147338:1 gene:WBGene00078798 transcript:CRE09718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09718 MWRRRHSTYILQRLRPITKLRLFLEKAKFYKVPEEPLQKFKMENKDCGCSDDCVESCAYDVRKLECPPHCQKVRNCSNRFVSEGRCSKKIRLVKTDTKGYGVKAIQYGRRLGAQSLHQSLV >CRE09742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:239030:239419:-1 gene:WBGene00078799 transcript:CRE09742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09742 MLNVLVVLLVILDILLVILDDLLVVREILLVVLEFLLVVLEVFLVVLLIVLEFLVILEFLLMALGVLLVVLGVLVFLEFFLVFLEVLVILEVPPPLMVLGILVLLEFLLMVLEFLLFVLGVLVVLEVPS >CRE09748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:267997:271441:-1 gene:WBGene00078800 transcript:CRE09748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09748 MKKNETDEQSNHGAGGPQKCAEFEWIRVRKAPESLHIDSTTTGKLEDCSTDINSSFRDSTTIDVIDSIPNIESIIWFSILRRFYRLGFRSPHHALHQAEILPTEIDSSHYATGSPPLLNVESYRQQLLQNLSQHSHPTANTISSSGDFTSSAVSAGKPIHNCRSNTTMPTTVANHDPFDETTGSYYGKFSTLDGEFSTLEGKFSAGENKDFKGHRKFNSSDRGLTIGKVMKSKITNNSQAWSKITFNDDIPDRNRIIKRFSVNSSPPWLTAIMTISD >CRE09738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:186761:188372:-1 gene:WBGene00078801 transcript:CRE09738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09738 MRRRTIVIRIILCHVTPILLYLLGSYAPNRFLNYDRSEEEKVKDYISTTQLRETIIQESEEEDVEDIERITASTMKVPEEWTTTTTIEPTSTTTGSFTTTTVAPLPLRSTSSN >CRE09726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:234229:236385:1 gene:WBGene00078802 transcript:CRE09726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09726 MLVANTQDAGIPGSSGLNKVPRREEFPGETLVCQESQEEDPGSN >CRE09744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:242932:243424:-1 gene:WBGene00078803 transcript:CRE09744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09744 MEMFIRCDPSIPIWCAKFRIYEMDLIIDTIIAGKSFCTHKRERNFLLTNATLGGDKGTNHYEFNYMIEHNCTSSGSTRCLFDGNNEVSVSVSRRHYVEFHQALFNRGDDNDHCHF >CRE09746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:253028:253936:-1 gene:WBGene00078804 transcript:CRE09746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09746 MKLQLLLLALALLIEPVHPYRARTIESNNRVFKKPDGAQQAEFLGSLSCPLNVVWCVQLMYIEEDIYIHNVVKHLPFVCSNGSRTLTSYAFLDYYGTDSLTFDDSFEPAVILWHDCSKNNNTYRYHHKHWRQSHHFNCTSYKYDLNLFELGEATDLDDVYNKRWNERNRRRTIYGKTVWAPPGFYEMPAPWGMSPAKFPTERCLKMDSDIRVRRQTYETALKNDFDGSSTSDVSENFSSPGTSPTPANSTTPF >CRE09730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:290752:291714:1 gene:WBGene00078805 transcript:CRE09730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09730 MKFQLLHALALFLIVAVDAQPLFFLSTNVGSTKDKPKGAQKVEFFGRVSCPLDIIWCVDVYYKEEDTYFHDTVKSLGFICSNGSRSLENYVFLNYFKTDTWSDEFEPYVLIQHDCSPNNVKYRYEHKYPPQSNEINCTSFEYDLNLLELGRGNLTTLVDSVNGFDLWHQRYQQKKINGTWIWSPPGFEDMPAPWGVLPPNGEFPTERCLKMSTTETSVPLNVSTHEVQLKNDSDYDEITPLLSDGSASSDAPETDSSPGNVSTPTNSTNPL >CRE09722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:191242:194608:1 gene:WBGene00078806 transcript:CRE09722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09722 MCNMAYVMQLTLSTDNIQFDENQERFKRGKIVRGTVSFNAPRDFPVTAIIARFKGEARTRWNGVPRAGYWRYFDKEVYLFHRRMKFRHVTVYQRYTLNTFFDGLNVSKDVPWHSIGF >CRE09750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:298887:299921:-1 gene:WBGene00078807 transcript:CRE09750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09750 MDVHIRCDPSIKNWCAEFLVYEVDTIPYSHDHLLSQKFCSNQPGVNFKWTQQLGGDTSASCAEVKFLKREEGRRKKEHYEINYMLQHNCTIMGSFRCVNEDENEVRVSVDGEQHVKFEVAAFNRGTYERCPFHVVADK >CRE09743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:239583:240698:-1 gene:WBGene00078808 transcript:CRE09743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09743 MFSTPRVPLSQGCRIRGSTSTRPSYPPGASRPSRLSSTTQSQEGETCPNPYCQDSMMVTGCPGCPGFPGGPGYPGPGYPGPGYPGLGYPACIECPGYPGVPRYPARPGYPRGPGYPGGPGFPGYSACPGCPGCPGCHSSAGCPACPYCPGCLDVLDVLRMLDVLVFLGFLLVVLGILVILEVLLMVLEVLLFVLGVLAILESLLVVLGILLVVHRVLVILRIMVILEVLLMVLGVLLDFLEYLLVVMGILVILEVLLMVLEFLLVVLRVLVILGILVILEVPLMVLEVLLDFLECLLVILGILVILEVLLMVLGVLLMVLGVLLVVLRVLVILRILVILEVLLGFLECLLGCRPNPGYPGFPSCAGCPGGA >CRE09725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:227409:228001:1 gene:WBGene00078809 transcript:CRE09725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09725 MKIFRNILLLLCLLAICKTDTSEVHLRFHCDTQAGTWWCGTLLVTVQNPRNGFNMTYRPSIFCKIGRDDTLKFDASLYNTGDQFPYKWYYHLIHNCSCYGIHHCLELKDTENAPKEGLSAAEFKTEIYDAGYLTQECGDDEHPIFIRTI >CRE09728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:240970:241885:1 gene:WBGene00078810 transcript:CRE09728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09728 MFSTEIRFKFSFFARKTQLLEHQEPPNQLTEADWFFSSTLKSQRCKDVLRVKPSFGTVKSRLWKRWILPPKNLHLVMLVLRFQSATYVFKNEKSYWLSSPGLCLKAFRATAFIKCNDARRSPFWLTTIDNDSEFKESESNTIKSGNLRTSVSRFQVRVKSTDGRH >CRE09714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig122:96685:96900:1 gene:WBGene00078811 transcript:CRE09714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09714 MHSIDCDVTFDWNNVGTRDVKPGIQQLSGGLLQKIQERRRICVKTLHEDLDVQRWNINNMLAPNSFKSGNC >CRE16539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1225:6130:11378:-1 gene:WBGene00078812 transcript:CRE16539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16539 MGAPLPITVLCDWEKIRQHNSKSSHISKKIHTAQGSTNASLVMFSDASKDHYATCAYLRFECQDNTTQVQLLFSKTRIKPMNNEHLTIPRMELLGVLTAVNAASTILTEVNITLSSITFFLRQHRGTQLGSTQKFIRQMADIASRGATLQQIKESNLWQHGPEFLLQDQAHWPKSLEQSPEDPKEFHFFTLDTSAPPFPPHRGLPSEYPPHQYESIVPYDNTNSLVKLTTIVQKVMRWIHIVVRKRNERYTQSPYLWQSQTLKTFALARMSKNEVQQRIVAHKYIIQDHYADSKSQLNIEIPKSQQIQKTEDGLYLYHNTYVNKQHLNMPKSLIYIIHKHRLARLIALDSHKSLLHQGPKDMATDIQQKYWIKRITSLTRSVRKGCITCKRRHGLPYTYPFATSLPTVRTQSCRPFQHIGLDYFGPIGYKTETGQTGKLWSMLTTCLVTRAVHIEVVPDNTTASFLLAMRRFIGRRGSPKTIISDNAPAFTLGYTMINADINTLINSSQTLTIFTLELESNTAT >CRE15827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1226:14755:16690:-1 gene:WBGene00078813 transcript:CRE15827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15827 MKSDTKCSSDKESEKELVDHEMETKDLCEGQSVAASVFKKDMVIGVDRVPIKSINSIEFSVMNSDEMLRSGDTKDDGLQTSCTKHAHTKDNNGGVNREFTEASSQKNGNCDEEQKSERDQILCSISLTKTKKQSVQQKKVSDVISSFGDLKRDQKEHNVQKNNVNYDSMKSSMSSEDGDGKKKRNTVDQKAVDNVIDTSPGSMDSDNDNQLDSYQAWKKSVPKMFKSQVVPRPLKDPPVSTNQSTWSDTQFEPAKESPRPLKDPPDSWMEDVKWRRMQQEYRPRKDPPSPYCQHGHRNLLGCLQYTQFLPTPMSTVSS >CRE20134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1228:2780:4553:1 gene:WBGene00078814 transcript:CRE20134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20134 MMKAIVFLAFIATTLAFRLPFQVANAVTNITKGGQTLEQTGTFFVANLTLGTPGQLFTVVIDTKTSDIVISDVSCSSGVNCYNKRRFNQNNSSSYYAYGQQYTYKNNLGTFKGFVGKDTAVIGDRATDLITIPGVKILQATDIGIGIEGLNADGILGLAQTGASQIGGNSPFVQGVQSGDISGSFFSIWLEHFNQTDDLGTHGVIYYGGLDPVHCAPNPSYVYLSSGYTYQVTMTNFKSAGTSATNSNKKAVQAVFDTTTAQIILPTMFVSQLLDSIGLNINTVTVFPPIVPCDTKVTLTLGFASGTSITITERDLVVSFFGTCRLQVVPSATGDTILGIPTFRGRCTYFDPIKHNIGFTPALLQN >CRE20135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1228:6255:7150:-1 gene:WBGene00078815 transcript:CRE20135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20135 MKSSISFIGLVTLCLLSTAQSDNQNIPKTVIVDKLAILEIVNNDRKAYAKERGITNMHKLIWDENLAQLARNRQFSSNKKTMREARIDGDEYTEKQAQKYRNHYKNETTLQELLQDYNHYHMIGMETLIPGQKKIGCAPKHDKSSEGLITNTLCFLGPEGSPGSKCSNGYKNEDGLCALPTENNKKTENTAEAARLIGTTEEPVDSGSNQYFSFGFLVFSVVFVVLGGM >CRE19585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1229:6730:7801:-1 gene:WBGene00078816 transcript:CRE19585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19585 MTLKWYLGMTSFSLSLFAIFLNLLIFMPVFQLAFIAKKSSIYVIAFFNVISDLMQLSITCFYLAASIIADRYVITGHRTNVLAIVFGWIFINAWYMECLVQIVMAVNRFTVITLKQKNVFTFKTTMIIFVFLILFTVGSAICTQYIFPCCVFIADHNVMSFMFIDIDGLYSYSNFMLVSYDIVCTSTSTLCYISVFRSIRNHNKTSNVQKNKSNQDVKYLLQFVFISIFYIFTWVLFELLPHIVPTNQPEWYSVVPVLVTLNCSSNSIIYLSINIEVQKSLQIPWLRKKLAGANASSTVQVIQVRAAKVV >CRE19342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:100504:103272:1 gene:WBGene00078817 transcript:CRE19342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19342 MSFIHNPVRLCGFPPISTGIKKLSLTFGLTILLSTLMLMIYMVYLVRYNVRTIIRWSGVPVVGDQASISTEEISSTTKGRWSLAASWLIPDLTLFLKQLKLLCPEIERLRRDTLQLSTTNFNTIVSFLYLFSQGFHIYLKHYKPIIGEPLPCDHLSSFIYFPLTSKFSFLIRDVFPEECSLIFIFIFICWVNYYSNSVEDPSRSTKTFIVHLLRKVTIPYFLFLIFYPLYIRDIELQQPRAMEDVEEDVEENEYRREYGPWRRRG >CRE19345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:108020:108534:1 gene:WBGene00078818 transcript:CRE19345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19345 MYTYLPYFLVILDGCFARRSHKVRYDTTDFRAYLFGSSDIWSKYFEPGAAFHSYTIKEPSTPVQFDNLNYYWHGFYEKTSETTKKICKYGITEADGELQNVRFENGTSPKAISFGCSKWDECCGFKCCNNIQGFLVMMGCIAFMFLVVLGVFYFG >CRE19359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:154741:155404:1 gene:WBGene00078819 transcript:CRE19359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19359 MDQVILGLFGMILSTWVMYGCLIAWRFEFYKTAAIFIYHIFTLAMYFSYISFCNFLTNLYIRLPSENKPFSGFKLYVFLFGVFHTMVGVATVYITKIWPVCILLLIASFVFCIDAYSCFFTDTYMLCEHRTFKYEMKTELPIDGIICHVVVRRNVEKSKELPEGWQYEDELKLDNKWYQEEIWNVDNV >CRE19353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:137645:138658:1 gene:WBGene00078820 transcript:CRE19353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19353 MLLKLFLLYLLLSLYTINAKGTIGGSRGSSGSRGSSSGGSLFGGSSYSSSSYHYYGSSDGGGAGGISAGAILLILITAIIGTCIACICKKKCCNYDPERGERESAYATVVPPNIQSMNSESKV >CRE19351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:134395:135372:1 gene:WBGene00078821 transcript:CRE19351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19351 MLLKLFLAYLLLSLYTINAKGTIGGSRGSSGSRGSSSGGSYSGGSSYSSSSNHYYGSSGGGGVGGISVGAILLILITAIIGTWIACICKKKYCNYDPERGERESAYATGVPPNIQSMSSESNV >CRE19370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:307039:309198:1 gene:WBGene00078822 transcript:CRE19370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19370 MNVSALLPNKPKSLIPRLFEDLLSKQHRSRNHQEIVVEYTKLLIEQNDKIRGCSAPNEEELVELENIRNVLLGEWNVAKFRNANASMPLGKMFMLCIVMMVCINVTFDILLIFKINLESMWHPCIYSFEFFIFMVFQFVLYCCHRNKTEVYIENEDIENGNDKKIVLFSKDAEEKTNPSSYTKKVLELVEFYSKEIMPLQQKRSLLDTQDFLWIILGFSILTVSLYAHFGYFLIEFIKNPSIGSYILSSLMLFLASVLTMFILYSLLEFINNGVVF >CRE19404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:287498:289243:-1 gene:WBGene00078823 transcript:CRE19404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19404 MNTKGCTETFVSVSPTHYNLTVQSGPLVISLYIFSTISTIGVSSKSMYDYRRFSLSSLSSTVSPTETSVISVFPTPTEKDFLLFRLENSNKRLIEQWKQCKKEVGEKIVDLWSYKQCVAMWMLFTCFMATIEVYQCIEIHGMDEALRNSAFVVETLIFLFPIGYLYWQERQEKSKMRANNKNVENAEEIKEVVFDLEKAENEEYLEFYKDQLEWFNSVKLKEISSLEEEIRRMDNRGFRWVSLFRAFFKTII >CRE19407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:295227:297077:-1 gene:WBGene00078824 transcript:CRE19407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19407 MFDYHRLSVLDLQPLVHLDPKKLTPMRIKIDRLESSNDKLVKDWKRCKKEVGEKMLDFSLLKIFMAVMLLYTCIVVTGEVYLWIKISRPLMQAALVAEILIFLFPIVYLILRRRQVKAAIKAKNENIEHEGDISRVTFDSEREDDEQYMMFYKRQLKEFNSVKLKEVKILEEEIRLLRKRNFLWETTGMAMCVLSFLFHVIHLVVIAVHTGKEEDILEVRRNAPMLFPMSLLWIVIIINHRSHGYFL >CRE19357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:147601:148093:1 gene:WBGene00078825 transcript:CRE19357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19357 MRSKSVAQHRPPQMLIWTQMPHRYRPGTVALREIRRYQKSTNLLIRKAPFQRLVREIIQDYFPTIRTQQNSSPKKKEDQQKKEKKIFHKDDNNKPERKRTCQFCKDSGHWGFECNKITSVKDRTDILNTEGRCLKCTRKGHKLSECPGKP >CRE19360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:199499:200072:1 gene:WBGene00078826 transcript:CRE19360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19360 MTNTVRLRPLLFSFYREQQKCNFQFPPVLEAMASDPPPPQLTERWANVALENKSGHIFKLEVMHQYTGHEVQSSGWHILKPNEKLTVLKVNFNTGVFTTGTDNWKVHGLKKKEKDSQDFTESWRSGTGAAGTDWKKHTLRSEDDGEVTEIKVFESEIQFVSKSGTSTTSFYRHDE >CRE19405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:289887:291585:-1 gene:WBGene00078827 transcript:CRE19405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19405 MHDHQRLSSDVSETEKPQNHKELTPREVEIKKLEESSDGYIKEWKELKKEVGKMTVDFWAFKACFTFCMMFVCCMATKEVSLFIPMKPPLLGNLLVGEFVISLFPFVHFLIRRRQVKAEIKAKNEDIECEGDIGKIVFDSEKEGNEEYLEFYMDQLKKFNDVKSKEVSILEEEIRLLKEKNIRWEITVYTICAITFWICFIHTTLIAFHTGKQEDIRDAKGLVLGTIMITFMFLIRVVPYISCAPCSLFSACCRSIRGYWTRRNRIAHKLQNL >CRE19358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:148384:148680:1 gene:WBGene00078828 transcript:CRE19358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19358 MGPGSVALRDIRRYQKSTELLIRKAPFQRLVRQIAEQWVDYRFQSASILALQEASEAYLVEIFELTNLCAIHAKRVTILPKDMQLARRIRGYHLLIKY >CRE19393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:190450:191392:-1 gene:WBGene00078829 transcript:CRE19393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19393 MEKVLVTLSTGAASIAVLAVLFTIPSLYNTINEVHDEVLDGVSVFRVETDSAWTEMMDIQITVTPPTKPRVNPFNSIFRQKRQTFSGLPAWCLCEPTKPTCPPGPPGPPGQPGAPGTPGAPGPKGEDNTATYAPITCAPVSQDCVKCPEGPAGPAGPAGPAGPAGPDGQPGNPGAAGNPGADGQPGAPGDNGQDGTPGSDGQPGAPGQDGQRGSGAPGGPGAPGNAGPAGPAGQDGAPGQDGQPGPAGPAGQDGAPGNAGSDGQPGAPGGPGLPGNDAAYCACPPRSAVFVSRH >CRE19350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:125572:125896:1 gene:WBGene00078830 transcript:CRE19350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19350 MTTILTIFLLLTLSSRSANAFHAFMQNRGSSMASKGPPRSVSECLIVTFICFSAIGIAIALKCLWKKIKDRFCRVGSEKKLETPERSAKYIA >CRE19369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:298419:299534:1 gene:WBGene00078831 transcript:CRE19369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19369 MYDYRRLSNDSLYENPLNSVFWTPTEKEIIRLEDSNKELIEKWRQYKNELEDEIVEFRNDKISWSFIMFFTCFIAVEQCIGLDGSIKAAFCVLYFMFLFQMSYFEWRRLKKKLERKANDKDIEIGEEIKEVVFNLEKSKSENYLELYKEQLKQFNDAKSKEVSILKEEIRMIDNQKYLWEKTATGISLLFVWFALIHSVIIAVFRGANGREVELMIPTLIITCISIPDDRQNPKVHKLEYV >CRE19349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:117891:118841:1 gene:WBGene00078832 transcript:CRE19349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19349 MVFQTPIFFLITFLVLPVLAISETVKWDIIYKLSWCFGCCIGACIGLCIRKAIQDCKNEEAQRMQTTQTIQYKDFHYLTKSTAIPKNSTILIYLLISWIQFVNAAVFVPVMGGSQSKIMFFFCLVLGIIYWIGYCTKKEIRDEWKCNRATPEERDSQPKV >CRE19338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:70532:71086:1 gene:WBGene00078833 transcript:CRE19338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19338 MAHSFSSVYFHRDWRAICLTRPQFTESSDIKVVCAILLTISVSIGVTDVLVFFIGCHVHFIAHPGCMALGCMAGPCFVKYSLINRTILFSLTFLCALIYAVLICFCETKRERKKRIVEVCSFFHTCKPVRNSLKTQDYELKNIPKCRSQQVLCL >CRE19408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:303945:304154:-1 gene:WBGene00078834 transcript:CRE19408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19408 MAIKALKEVRDIANSNIDSWRDMEDNDLMSNRKDILGARSIMESKLGTLDTAMDKFLMEADKVDDSDFF >CRE19406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:292262:293591:-1 gene:WBGene00078835 transcript:CRE19406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19406 MSDYQRLSSDVSETEKPPNSEELTPRKKEIKKLEESSDEYIKKWKEHKKEVGKMTVDFWGAKAYVSVCMMGFCCIATKEVSLFVTMSSQLRSSLFGIWMVIFLVPFVYFLIRRQRVKTENKARNEDIECEGDIGKVIFDSEKKGNEEYVVFYMDQLMKFNDVKSKEVSILEEEVRLMREKNIRWEITAISILAASCWICSIHMALIALHTGKEKDIKDAESQHVATAQVSLLALQKVMPYISYICCAPCSLFSACSRSIHRCWTRRNQIIHKLQHV >CRE19364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:243230:245718:1 gene:WBGene00078836 transcript:CRE19364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19364 MEQFQNTMDLATIEGFAETLLAPPNVVTSESREQATFFFEDLKKKITISECLILLRESKNPFVLFQIGQAVGEIVLRDWSLIEPNDVQVAYKTLLEFVATSLRVSGGFRSRCYFFTSAKKWEPFEI >CRE19356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig123:146605:146991:1 gene:WBGene00078837 transcript:CRE19356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19356 MRSKSVAQHRHPLYAKAARICAPCSGGVKPPHRYRPGTVALREIRRYQKSTELLIRKAPFQRLVREIVQDYMTVIRFQTSAFLAMQEASEAYLVEIFKLSNLCALHAKRVTIMPKDIQLARRIKGERF >CRE20628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1234:5238:6113:-1 gene:WBGene00078838 transcript:CRE20628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20628 MSSPFPLLRLPRVVLFEVFKSLKIREKIRLSRCSKKISIQIDCARLYSQKVKVHLDCLKRKITVCSENDKDVYRAIKNRKGFLSFIQHILKMFPCKILTSDRCYQSDLFQPTISYLLDQQVEFSALTIRLAQILLFEQISNNFGLIENLKIISVANFGFRPVSSSWPQQISIKSSYWFTLESLLACTCTSITLEESRLENKDLDEIYRKWRAGELPNLKYLKIHSLSFTDNGDHVLGRNLMELDGMIIQTDDGSKKATIKLQLFWMIEMNVTPLE >CRE24894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1235:14682:14810:-1 gene:WBGene00078839 transcript:CRE24894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24894 MERIPEEGGDNSPYSSDVRTNLMTFPDRFQVEDPASRQKPNT >CRE24893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1235:13908:14317:-1 gene:WBGene00078840 transcript:CRE24893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24893 MHALDAELYALGAEMYAFETERMNGTNEKNEPKEHHKLGVHDFSEKETAEKPEEEEDEKKYMDEEDFEDEEYDDYNEEKVREAMGNSTRNEQKEKTGSDVENVAETPTKPYTSTDQKISK >CRE24892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1235:11535:13197:-1 gene:WBGene00078841 transcript:CRE24892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24892 MKIKIKDRDVESAKDSDVADALIVSENNHSVKRATRFYAFPLLELQKWFIGTILLFIAIILFLNTWELTTLHSDIQEFSYNIASRLNKMESRAEFISMLKSKNEEDKEVENPIFFHIETRLIQHDSGIQKNGEEEKEIGKHSFEDYFGFENGTDSKEGDYYDDRIIFHKVLPSESEEEMMNTSTNSTNRINAANSLFGAFIDERLSSPPVSPGDGFMDKVWDFFGAVDGGYVLLDREELPVNKSWCSDEEDSILTIQLSQDISPISISYQHSKWNGTVPNGAPKSYFVMGCLDTQCENRVVLGPRCEYKSDNQSTQEQECQVKPQWRVSHIKAVQIQIRENHGNVEKTCAYLFRVYGISDSTQKELKPVSRIQDISIRDEMCSYAASEYYSLPSFFYNAMNFNCTKLYSNDCCSYCPECCTECNMSLTNESVFVFAVIIFGFFGLVLLMEFLLIRAAKFLWVSEDSH >CRE23244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1238:3677:5297:1 gene:WBGene00078842 transcript:CRE23244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23244 MSMSKSSYSQYNRKNWEDSDFPILCETCLGNNPYMRMMKDKHGRECKICERPFTTFRWQPGKGARYKNTELCQTCAKVKNVCQTCMFDLEYGLPVQVRDHELQIADNIPKQGANRDFFLQNVERTLGQGDGTQPIAQIANNMDQAAHDRLRRMARSQPYYKRNAPHICSFFVKGECKRGEECPYRHEKPTDPDDPLSRQNIRDRYYGSNDPVAEKILNRAAAAPTLSPPADTTITTLYIGNLGPSGAQQVTEKDLNDFFYQYGDIRCLRVLTEKGCAFIEFTTREAAERAAERSFNKTFIKGRRLTIRWGEPQAKRAADNSNYVTPVPSVPILPIPDGLAPSTSSQQRFTGSMPRPPAPPTFAAPTRLVVPNVRPVKAGEPSSSSSSIYYPSQDPTRLGAKGDVIE >CRE23245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1238:5599:6081:1 gene:WBGene00078843 transcript:CRE23245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23245 MALLNIQAVSAQMDANFNAKILNFRMVEEGKYTVYRIQLTVDTYTWTIERRYSDFDAYDVQRFTDRKKSFLPPKKRIGNKDLEFIEERRIELEKYVRALLELEVWYQKQKNVHSLPLISAKFFDFHQYVSSEKNN >CRE28930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:37299:37502:1 gene:WBGene00078844 transcript:CRE28930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28930 MNRHQAIRQQRANIRRQNRRAHEYIESIMCALIAILIIGILFKNLIWRWATDDSDVWAVYGGNPLYY >CRE28958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:186740:187521:1 gene:WBGene00078845 transcript:CRE28958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28958 MTTPFPLLRLPRLALIPVFNYMDPLDIISFSLLSERTNKLSKSLRKISINSISAFVRSDYPRITVALKNDMSVGLHLYTEILPGEVNVMLRNGMISWEKGSITVAKLVERILGVTSCESLEYVILRGPLQLEVCDTLAQLPKLQKLVIDDSCSDSFAKKALEIILPVTTEISLYRIPFESREEFHTFLKSNLNELYIHSQFSTFTLDDFLVTNALKVELRQVLFSVTDICQFLTNWFQTQLST >CRE28960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:212691:213410:1 gene:WBGene00078846 transcript:CRE28960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28960 MEFYDDWMLGIDWFEYEIMYPDERRSPYINRFMEINMLQMVLEKGLQKMEPFSLNDFWETTVEQEKWNGRWETFRNHFKDNIGKQVETLIFIPIKYRALILYVTSMRVSEEFKIKKLRSNDKPKCEKKKEEEEESEQQVKTIGPFTIR >CRE28948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:111031:111330:1 gene:WBGene00078847 transcript:CRE28948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28948 MSLRDLNRFFSCWLNKTSNHRLEHLSVQSLKNINEDVLLKGLNATRFTEQQAIHFQSIRVVCHPEFTRGFEVRRIDGKLAAITFYTTFGTTYINFDVWS >CRE29007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:229258:230605:-1 gene:WBGene00078848 transcript:CRE29007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29007 MLYDISQRKTMRESIENHRFLCKHLGKKAISYDEYECRFNRCLNENYHSAIAKRDLPIPDIHVCILSDVIDRKTAEKSIDDLCDAFKNHNIDKEDHKCCFKRFRNGHASQVTFSDLPEDVLAEIIDRCDIQSYLNLRNVSHGLRTVIDQLAPPCTDIDFICRVGEIMVFLNGIRIASSELFDESQLRSELFRSLKTLELLLRNPKLRLESFEFITAYCFQVTEVPPRNHKQDFFDFLNSLNHKIHVEKCVMEVECEKEVIGVLKCLKPGKLEKLNIDMEHLNRKIDEVVKMDQWKLAKHLKIHTFELPPIENFFHFSTFELSFPRISMEDVVKLCENAFKSNSFEYCDVQIPKEFKLETIKRVLNLQPTASSKIYSIPNTNVFIKLIGYWGIERLKIYKK >CRE28993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:159789:161356:-1 gene:WBGene00078849 transcript:CRE28993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28993 MYYCEEYAWFKIKNKSLLKFVCFLADNFDDLVLRLHNSCIHISVFCIIVNLFHVKVLSSKSLRTSPIYIILMAIVIMDIISSFIALIRTLVIKYPMDPRFEILSKPRAAFYVILATLILCAPINMLDVYKHDIGYTEGYKCTQHPVFNNLWYGSGISYLFQKNNRKIQRIYQAVDATISKIIPCILFPIVTALLVREIRKANTKRLNMKSSTPNNSKNTSKLVLFLTLPFFIAELPLGIAFAISPFSIFSIYDVRGVAGFIFLREASENFFSFILTASTATHMIICVLMSSQYREVAYSVIRCGYVLEVGFVKRSGIN >CRE28936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:77368:78405:1 gene:WBGene00078850 transcript:CRE28936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28936 MTTPFPLFHLPRLALIPVFQEMESIDVLAFSLLSKKAHNLSKIFRKLSSYSVHLIVESDHLDVRVGFDLRRKMGLKYYFNTKNVPILANAMFQKRAFTHENSGLTASQWLKRVLDVINCKSICQLDLRGSPQVDVCDTFGKLQNIYKLSIFKNCNNIFAKKSLEILSTVSSEITLFKMPFETREEFQTFLKSNLNYLNINTNTFPNFKFTLEDLLVTNALKLNLNDGKLNLEEINQFFQNWMENKSDTRLGHLEICTFEKVDEKNLLKGLKPVSFPRNRKRAFHYSKPLDSSSESFSGGYDIRRADGKKATITFRDVWKMTFISFYAWP >CRE28986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:114733:115167:-1 gene:WBGene00078851 transcript:CRE28986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28986 MPNQKMFSLNNLRMTNIKTLEVVGAAVKVEDVNQYFKLWMKKKCNIRLEYLQVATRTWAKSDIKNRLLKGLNAVQIPIRTERTFRVLGNIKQFIPENSYEKIAAEFDITRIDGRQATIRISNYGGVLFYVWPESTNNRTSLEPN >CRE28981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:80573:81592:-1 gene:WBGene00078852 transcript:CRE28981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28981 MTTPFPLFRLPRLALFPVFEQMEEREIITFSLLSKRAHNLSKILRKLSARFIELTVQKDSHHLTACFKYRGSVGPPFYSNLTTKYMVFKNETISHEKIELSLSEWIERVLDVTNCESLKRVDINGVPRLDMCNALSSLKNIREIFIGVCCPDSFAKKSLGILSPVTTEITIWKTPFENREEFQTFLKSNLNFLNIHYRYVSRNTLDDLLVTNALKLKLGTAMLSVTAIKRFLTKWFHSKRNSRLEHLSFCTLEGVNETCLPRVLNAVPFPRDQERTFFYSKELDTVSESFSGGYDIERTDGKKATILFVPGHRLTFIDFFVWP >CRE28994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:173629:174312:-1 gene:WBGene00078853 transcript:CRE28994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28994 MATSDGFQKSDDGKECVEDVKKIRSEREELIAKCEELFPETFAHSYEKFPEKLSQELVEYKESDVVSVAAIRSQLEEVEILIDEFEMSIDNVEDEIAGRGIPWDSEYLTRVDENKNMKASRDVKTIGKPGNYSLSRFQLLAVFSLALFSALFVIFDLQSLIF >CRE28978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:51704:52728:-1 gene:WBGene00078854 transcript:CRE28978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28978 MTTPFPLLRLPRLALIAVFEHMKPIDLIALSLLSNKAKNLVKMLCKISAGSINIAVCSDYPRIKVALIDAISVGLYLSTERVADVDNMMFQNETISCKKGFIPVAKLVERIVSVTSFESLEFVVLRGPLQLEVCDTLAQLTNLGKLSVMDDCLDSFAKKALEIVSKVTTEISLYRIPFENKEEFQTFLKSNLNYLNINSDFSKVTLDDLLVTNAFKVTLREVMLSATDISQFLTNWFHSKCNSRLEHLKLCTLGGINETCLPEVLKAVPFPRDRKRAFHYSKQLDTLSESFSGGYDIRRADGKKATIVFVTFIGRSFIDFYFWR >CRE28992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:155320:156275:-1 gene:WBGene00078855 transcript:CRE28992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28992 MTTAFPLLRLPYLVLMPILKQMDFFERIALSIFSRRARIFLKLLQKKSKRITLKLKYGTIEMKVLLDNWEELKLELYPSGYVKLRYGQDVFLWNTKGVPPMDYAVSIMDVMHCKSIYQFKIAEISQCDILPLLVNLPKIDSVVVYSDLTDVCPVESRLQKILRIVLPVSSGVTICHHFQNLKYLREILKGSFDVVTMENYWDMSLPYYDPPIRKVKFSLNDLRMANAKTLELYNVILNAEDMNRFFKLWMKKNWNPRLEYLEVRINGNINKDLLLKGLKTVPVPVETMRTFQVLGNVKQPG >CRE28933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:72566:73599:1 gene:WBGene00078856 transcript:CRE28933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28933 MTTLFPLLRLPRLALIPVFQQMVPIDVIAFSLISQKARNLSKIFCKLSASSVDMTVKSDHLYICVEFEMWIQIGLEYYFYTESAPQLVNAMFQKRAFTHKNSGLSASQWVKRVLDVTNCDSIDQLDLKGSPQVDVCDTFGKLQNIYKLSIFKDCTTSFAKKALEIILPVTREITFFRIQFETREEFQTFLKSNLNYLTINACYFSMFTFDDLLVSNILKLKLREVKLSSTDFSQFLTKWFHSKDNSRLEHLTLCTLGDINETCLPEVLNAIPFPVNEDRMFCYSKQLDTSTNTFRGGYDIRRADGKKATIKFVSLFGRTYIDFYVWP >CRE29006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:228003:228756:-1 gene:WBGene00078857 transcript:CRE29006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29006 MGIDESTFARSDKTDVLSYHSDYFDTLFNGDFMEKSMPEISIEDVNFEDFAAVLSLILKNPISPTEENAEKLLELSDRFLLPAAKRHVEFFLISTGFDAFKKLETASKYDLDTLLSHALSLFKTKEELIPSEEFSEFPEKVKAKILDRLIELNKLGLTGPSEFESSSFVGFRSVIGDRLNSQARSRASWSLRDSTSEREFEEDW >CRE28943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:90054:90982:1 gene:WBGene00078858 transcript:CRE28943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28943 MTTTFPLLRLPYLVLMHALKQMEFLDRIAFSILSRRTRKYVKLLKMNSKNVNLKWNGNRIDMIVFCDFTRVLEANMYINEYQQSTFMNQYKPVYSWRDSSLLPADYVLSIMDVMHCKSIDKFIFVKISEHDCIPIVAKLPKIDEVVVEHHWPDVTSYETYFQKERQLLKVLNIVLPVSSAVTITYQFQNRNHLREILNGHFDAVILKRSGNWITLNDLWITNAKILEIDTTKINMKDLNRYFKLWTKKICNDRLEYLEVRIYDKPVWISFWTDSTLFLFQLKHRENSGFWGM >CRE28985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:107006:107335:-1 gene:WBGene00078859 transcript:CRE28985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28985 METRDNVDNDLLLNGLNAVQMPIRTDRTFRVLGNVKQLGLWEKINFEFDITRVDGRTATIRISKYTKVCFYVWPKSTNDATNNEPNQSSFTRKLSRLSSFYNSCIERFK >CRE28947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:105011:106359:1 gene:WBGene00078860 transcript:CRE28947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28947 MTTTFPLLRLPYLVLMPVLEHMEFMDRIALSILSKRARMYVKLLKMKSKSINLILKDNKIEMIVFFDISKELRVDMYIDVLQRSNFIGYQPCVSWCDGSLLPVDYVLSIMDVTHCKSINHFVVSEISEHDCIPIAAKLPKIDEVVVEYDWSSKDLSYETLFQKERRLLRILRTVLPASSAVTISYRFHNHNHLREILKGNFDAVILKCSDNWITLNDLWITSAKTLKLHTETVNVRDLNRYFKLWTKKICNDRLEYLKVKIYGNINMNLLLEGLNAVPVPIETKRELLVLGNVKQVRWYDEITSEFDITRADGRQATIRLKRRVYDYIQFYVWPESTKDTTHVEPIQSSLISTFYNSCIDPFDRLFLCFLLSCQSFVISFICSFLSISFYLLSSLFIFFSTSFNWIRDYDVLFIYLVLLTVLRACCFIYLLL >CRE29004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:225759:226231:-1 gene:WBGene00078861 transcript:CRE29004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29004 MVDLPLNSPIDIRAHVLYDAYQRYSTKKSYKNYKKLCIKFGKQAIIFEEYEYWFSQYLQEDERELPDIRGCILSDVTNGKSAETSFDDLCDAFKNQKIDEEDHGYWFNRFENGHLFNRVTFSDFPEDVISEIVERCDIKS >CRE28955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:170365:172220:1 gene:WBGene00078862 transcript:CRE28955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28955 MGGVLSTVIYHQLFFSQSLRKVSHTIRNRVDFICEDPGIQKINISNKEHGKILVAYDDSKQIIYEKYGFGCSIGQQYIPQDYRSVFLNDFEIMLRNQGSIIKTACLNFSKDPSFMEMLKDYMKSKDQLLKVESLELEVLGQYEVLCILQVINPPTLKTLNLQASGNYPLQIDIDEVMKLEQWNNLETLVLSSLIISTPLQEISFGNLVNVEILVECISMDDLFYLKENLLNSTRMNKLKIRFNFFSDSNNQNEQWPDFDQDETGTWTFRIPNENQYLSVLYLPFQSVTFSLQEMPPEMDFMEMEV >CRE29003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:223804:224199:-1 gene:WBGene00078863 transcript:CRE29003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29003 MDQWKQAKHLVLKGYCFQSIDHLLYFSTIEFRDYSNSLENVIKFCEVILKNISNWINFKHLKIGTGYILDTKTIKRVLNLQPTASPQIHSIPNTNLVIQFKRGNRHSNSLEITKN >CRE28970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:40016:40213:-1 gene:WBGene00078864 transcript:CRE28970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28970 MPSQVSPSVDHHTTVQIEEEQSTNVEESKSFCIGILALVFAVIILGILFLFFGMPGFWSSGLYSG >CRE28976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:48765:49802:-1 gene:WBGene00078865 transcript:CRE28976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28976 MTTPFPLLRLPRLALIPVFQQMESIDVIAISMLSKKANNVSKIFRKLSVCSVNMIVDNDHLDVSVRFEWCTETGLKYYFNTENAPNLVNGMFQEKMFNHENTGLSPSQWLERVFDVTNCESLEEVDLCGSPQLEMCDTLALLTKVCNLNITKECSDSFAKKSLEIVSPVTTEISLYRIPFETREEFQTFLKSNSNYLYIYSHFSTFTLNDFLVTNALKVELRQVEWSARDISQFLTNWFHSKHNSRLEHLSLRIFDVFNETCLPRVLKAVPFPRDPERTFFYSKPLNTLSESFRGGYDIRRTDGKKATILFGTFYEQMSFDFYLWPCFV >CRE28944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:94141:95301:1 gene:WBGene00078866 transcript:CRE28944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28944 MTTPFPLLRLPHLVLMPILEQMDFMERIALSINSKRARMFLKLLKMKCEHINLRLEDNRVEMKVFFDNSEELKVDMYTDRYKINLRYGNDHIFWWSGTLSPIDYVLPIMDVTHCKSIKELTFPRVSDYDPKYDAHIPLLTKLKKIDEVIVENTTSWCFSPHSPLLKVLRIIFPVSSAVTISDQVGKPKYLREVFKGNFDAVSVSLPWEIEGFSLNDWRIANAKTLKLDLRMTNVWSFMGMANVKSLGGSDFKVEDVNRYFKLWMKKKCNPRLENLIVRTRENVTKDLLLKGLNAVQVPIRTDVKLLRSDEEITFEFDITRADGRRATIRISNLQIVYFYVWPICQNQSSFMRRFSSFSSFYNSCIEHFK >CRE28991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:127955:128586:-1 gene:WBGene00078867 transcript:CRE28991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28991 MTTAFPLLRLPYLVLMPILEQMEFMERIALLILSKRARMFLKLFKMKCEHINLRLQGDRVVMIVFFENSKELTLDMYVNEHPQISLHYNYSIAWWPGPLSPIDYVLPIMDVTHCNSIKQLTVGRVSEYDTLPLLAKLPKINEVIVEDFTLYSLPPDSLLQEALRIVLPVSSAVTFSAYANTPKCLRENFQRES >CRE28971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:40576:41619:-1 gene:WBGene00078868 transcript:CRE28971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28971 MTTPFPLLRLPRLALIPVFQHMERIDVIAFSFLSKRTHNLLKYLRKKLSFRYIDLGINNNCLSMTIAPTNGSPLTLYFYTDVLTTVEVFQRRLYDGRSVYKKIQWNNIGLSTEQWVERLLDVTKCPLRSRLTLDGIPKFDVFSVFDVIPKVAELDICYNCCNAVAKRAVEVLSPVTSSITLFKVPFSNREEFQRFWMGNVKCLNIYGHALSKFQFNIDDLLASNAVRLEMSGVSLSLRDLNRFFSCWLNKTSNRRLKHLTVQSLGNFDEDVLLEGLNATRFTEEQQRQFRSNYSLVVFTGGFEMRRIDGQLATITFGGSDFITFIHFDS >CRE28946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:101645:102941:1 gene:WBGene00078869 transcript:CRE28946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28946 MTTAFPLLRLPYLVLMPVLEQMEITERISLSILSKRVRKFVKLLKMKWKYVNLRLDDNRIEMKVFSDNSEELAVVKHIFNDQFNYLFYQYQGYFTGCTGSPFPVDYVLSIMDVMHCKSINQFIVAEHSELDSIPIIAKLPKIDEIVVENRWSSNVLFDKTLFQKEKRLLKVLRTVLPVSSAVTISCCFQNRNHLREILKGNFDTVILKYFNKLITLNDLWITNTKVLELHKVTLNIRDLKRYFKLWMKKLCNDRLEYLEVRIYGYPSMDLLLNGLDAVPVLIETKREFRVLGNVKRFVPEGLYEKIILEFDITRGDGRTATIRVSNYGTVCFYVWPESTNNTRNIEPNQFSIISTIYNSCVELFERLFLCVLLSCQSFFLFYSASFNWNPDCDVLFICLSFLIVLLPYCFINLLL >CRE28935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:75837:76823:1 gene:WBGene00078870 transcript:CRE28935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28935 MTTPFPLFHLPRLALIPVFQQMESVDVYVFLFLFFLFLILNYYRIAVSLLSKKANNVSKIFRKLSSCSVHLTVESDHVYICVEFGIWRKKVLKYYFNTESAPQSVNSMTRKRRFTHKNSGLSAFKWVERVLDVTNCKSIGQLDLKGSPQVDVCDTTFDKLQNIRKLYIFKECSDSFAKKALEIVSPVTREIILFKIPFETRDEFQTFLKNNLNYLNVHTNTFPNFKFTLEDLMVSNALKLKLNNGKLNVKEINQYFKNWMENKCDPRLEHLELSTYDRKRAFHYSKQLDTSLQSFSGGYDIRRADRKKATITFGDVWGETFIDFYAWP >CRE28983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:99555:100680:-1 gene:WBGene00078871 transcript:CRE28983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28983 MTTAFPLLRLPYLVLMPVLEQMEFMERIVLSILSKRARMFLKLLKMKCEYIKFDGCLSIKKLIFPEVSEYDPGYDTTIALLAKLPKIDEVIVEDFTSYCFSSGSWLQNALRIVLPVSPAVTIPYHALKPEEIREIIRGNFESVTVRKYRADHMPNHDMKFSLNDLKMTNVRSLKLTGPAFTLDDLIRYFKLWEKKKSNPRLKYLQVAIRKSERSEIMRTFLKELNVVLICPTRTKDIKPFISEIEDECFPTECYISRADGRGATVRIGTEGTVCFYVCPESTNNTTNFEPNRSSFMRKFSFISNFYNSCVERFK >CRE29002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:222109:223621:-1 gene:WBGene00078872 transcript:CRE29002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29002 MNSLNSPTNLRRLIVYDISQWKTIDKSFKIYEKLCKVLGNEAISYENYEYWFNRYLKENYYSPYISNAPWVPDLEVCILADFIDGKSIENSYRDLCEAFGNNKIYKEYHADCYEHYGVEAHRHSLIMAERSVNTTLPDTYNDHDLTFSNFPFDVIAEIVDKCDLKSYLNLRNVSQSLRTIVDKRPPPCTDIEIICRNDYIQINADNDILVDSRPIELIHSRTCSLDYIEKRVFRELEVLLKNPKLRLKSFRVDFQNHSMFFDMNAVPRYYKENCWKLLNSLNHEILVERCIIKMEDEKCERRILRCLKPGTLKKLEINNWLSVKEVNRIARMDQWKQAKHVKFHGFIALPIEHFFHFSTFEVNFESISTNDLVRLCDVNLTYFYAIAFFFFQNLSKSINFVSCTIGNMRLLDNETIKNALNLQPSDTPNKYYIPNSNLEVQFSIDYENEISIRKL >CRE28975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:47267:47533:-1 gene:WBGene00078873 transcript:CRE28975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28975 MENKCDPRLEHLIVSTSEKVNVGNLLEGLKWDPFPRDRRRWFTYSKQLDTLSESFSGGYDIKRADGKKATIRVVDGQTQTFINFHVWP >CRE28987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:117609:117991:-1 gene:WBGene00078874 transcript:CRE28987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28987 MTTGFPLLRLPYLVLMPVLEQMHFVERIALSVLSKRARMFLKLLKMKCEYTNFQLKYGTIEAKVFLDNFEVIKLEMYMSGHVEFKYRQDVLLWNTMGVNGLCRFNYGRHA >CRE28951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:120175:121463:1 gene:WBGene00078875 transcript:CRE28951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28951 MTTAFPLLRLPYLVLMPVLEQMEFLDRIALSILSKRTRKYVKLLKMKSKNVKLKWNSNRIEMIVFCDFTIVLEANMYIDEYQQSFFMNRYKPVYSWRDSSLLPADYVLSIMDVVHCKSIDKFIIVRISEHDCIPIVAKLPKIDQVVVEHIPSDSYYSYEAIFHKEKKLLKVLRTVFPVSSAVTISSRFQNHNHLREILKGNFDAVILNHFNKLITLNDLWITNAKILELYYGTINVRDLNRYFKLWMKKICNDRLEYLKMGIYDKKTVDLLLDGLNAVPVLIETQREFRVLGNVKQLCSHERIFFEFDITRVDGRTATIRISNYGNVIFYVWPESTNLVPNLVPNQTSLISPFSNSCIEHLERLFLSFLLVCESFFLFYSTNFNWNPDCDVLSICLSLLIVFLVCCFLNLLL >CRE28969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:38648:38966:-1 gene:WBGene00078876 transcript:CRE28969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28969 MDPSDSGYNSNERVPLQHEPQQDELQRHGGILEYRGVWYISYGRRSLASEQIDETGFMGFCGRIWETIRGWCCGGRGVDDNE >CRE28950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:118289:119371:1 gene:WBGene00078877 transcript:CRE28950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28950 MPILEQMDFMEKIALSIYSKRARMYVRLLKMKCNHIDLRLEDNRVEMKVFFDNSEELKVDMDTNRYKVDLRYGNDHFFWWPGTLSPIDYVLSIMDVTHCKSIKKLTFSRVSDYDPKYDALIPLLTKLPKIDELIVEHTTSWSFSPESPLLKVLRIIFPVTSAVTISDQVRIPKYLREIFQGNFDAVSLRLPWNVKGFSLNDWEFTNAKTLKLAGPAVEIEDLNRYFKLWMKKKSNPRLENLVVQTHGNITKDLLLKGLNAVQVPIRTDRSLEVLGSIKQYHSDEEITFEFDITRADGRRATISISNHGLVCFYVWPFRQNQSSFMRRFASFSSFYNSCIEHFK >CRE28939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:83400:84431:1 gene:WBGene00078878 transcript:CRE28939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28939 MTTPFPLLCLPRLALIPVFQCMELIEVIAFSLLSQRSYNFSKYLRKKTSFRYIDLGIENNCVCMRIALTNVSHLALYFYTDVSTTVEVIFSLSTEQWVERVLDVTKCPSLRNLKLDAVPKFNVFSVFDVIPKVTELEICPNFCNALAKRALQVLSPVTSSINMLKAPFSNQEEFQTFWMSNVDCLSIYNDHLSRFQFNLSYLLISNAVKLELREVSLKLKDLNRFFSCWLNKTSNHRLEHLSVKSFTRFNEDILLKGLNATRFSENRTREFLSTKTFYQLRYFTGGSDVRRKDGKLAAITFGDTFWRTNINFDVWR >CRE28988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:122004:123193:-1 gene:WBGene00078879 transcript:CRE28988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28988 MTTAFPLLRLPYLVLMPVLEQMEFLDRIALSILSKRVRMFLKLLKMKCEYINLILKDNTIEMKVLFDNRKELKVDISMIGYHQGLKFTISYHALKPEELRDIIRGNFDSVTVRKYSADFMPNRDMKFSLNDLMMTNVRSLELAGPAFKVEDLNRYFKLWMKKKCNPRLEYLQVATRMWERREELNLLLKGLKVGLVCSIRTDQTFRVLGNIKQFISEIEGEWLLDSFCIRRVDGRRATVRIGTRGTVCFYVWPESTNNTTHLEPNRSSFMRKFPFISNFYNSCIERFK >CRE29000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:210277:211074:-1 gene:WBGene00078880 transcript:CRE29000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29000 MYLNTTNFLYDVEYLIKYPNLILSIFGVVTNIIHIYFLSQTFKKHPIFVCFMVIGIADFFQFFSIFVLETVVVINYIDNRNCIGYINLADLVFKLFTQFLYQCGTTTARWTIIFVAIIQGQDLKFHNFWTKNLAATVSKVTVIATTILKSFVLFSAVLIFCQLEYVVCSEKNLMQIYIETHLNWVTNIFGLTQFLENANMFFNGISTLISPFYLIKRGKKCVNEKKKRCSRLIIYLLFSFLISHFGSFLFERILVGRNWDELPTQ >CRE28953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:162184:163884:1 gene:WBGene00078881 transcript:CRE28953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28953 MYYCEEYAWFKIKNKSLLKFVCFLADNFDDLVLRLHNSCIHISVFCIIVNLFHVTVLSSKSLRTSPIYIILMAIAIMDIISSSRCFTWLSFSIALIRTLVIKYPMDPRFEILSKPRAAFYVILATLILCAPINMLDVYKHDIGYTEGYKCTQHPDFNNLWYGSGISYLLQKNNRKIQRIYQAVDATISKIIPCILFPIVTALLVREIRKANTKRLNMKSSTPNNSKNTSKLVLFLTLPFFIAELPLGIAFAISPFSIFSIYDVRGVAGFIFLREASENFFSFILTASTATHMIICVLMSSQYREVAYSIIRCGYVLEKKKNDKILERTLTVCNL >CRE28959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:188319:189363:1 gene:WBGene00078882 transcript:CRE28959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28959 MTTLFPLLRLPRLALISVFQQMEPIDLIAFSLLSNRARLLSKTSCLTATSINIVAKTHTLDIDIVLRDGKRLFILLCAENHTDFMGVLVDNKTAVWRILGLSTAELIHRILDVTNRESIQEVQFYEPESFDALPILATLPHIEQIYISRDCNEVFVHKIFEMLSKMTSNIDMYQDGFENLEEFQKVLMLNMNSITINVLMVRDPTRFRLSLDDLIICNAVHLHLLGVRVDVKTLNRFFKLWKKNKSNPRLEHLNFITFEEVSPDVLLKGLSVIKMPPTTTRTFRVADYPNSRCKEKVMTGGLDVIRSDGTRATLEVEAMPGISFVEFYVWM >CRE28949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:112890:113836:1 gene:WBGene00078883 transcript:CRE28949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28949 MTTTFPLLRLPYLVFMPVLEQMEFLDRIAFSIFSKRTRKYLKLLKMKSKNVNLKWNGNRIDMIVFCDFTRVLEVNMYTCKYQRSTFMNQYKPVYSWRDSSLLPADYVLSIMDVMHCKSIDKFIFVKISEHDCIPIVAKLPKIDEVVVEHDWPDVTSYEASFQKERQLLRVLRTVLPVSSAVFIKYQFQNRNHLREILKGNFDAVILKHFDNRITLNDLWITNAKILEIYTVKLDVRHLNRYFKLWRKKICNDRLEYLEVRIYDKPSMDLLLDGLNAVPVPIETKREFRVLGNVKQFFL >CRE28934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:74104:74906:1 gene:WBGene00078884 transcript:CRE28934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28934 MQQRKNGVKKFQLQGHLFSYMFRRFHNRKYLLNRLLIEMAAYRKQVNPINFPPTTPSPRPDCVCEDEEDEEESDEEEEEEEEEEEEEDTGTRDANDGQEDKRDEFEEDSDNESMQYNRHGNNDDPSDDETDNTQEVQSPNRNNFFVDSNNAQAPDVETSTVTIRTTWRQALLNQQEELTTSSAVTRKKKTTKKSTSRTTKSKKTTRAPSFTTIFDSVVVFGIWGIRIDNISSMKYPLQNNSRTFDIPKLTY >CRE28973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:44237:45266:-1 gene:WBGene00078885 transcript:CRE28973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28973 MTTPFPLLRLPRLALIPVFQEMEPIDVIAVSLLSKKAYNVSKIFRKLSFSSMNLLVTRDDLCIAVDLRDEKSVALCFDTKAHVISRYRWFTHKNTGLTATQWLERILDVTNCESIDHLDLCGDPQLEMCDTYGQETKLNLNTDFGCFYNFAKIPLEIVSPVTTEITLFTIPFETREEFQTFLKSNLNYLNIETSTFPKFKFTLDDVLITNALKLNLKHAKLTLKELNLFFKNWMRKKCDSRLEHLIVSTSEKVNARNLLGGLKWDPFSRDRRRRFNYSKQLDFLSVSFSGGYDIIRRADGKKATIFFRDASVIERLTVIHFFAWP >CRE28974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:45743:46787:-1 gene:WBGene00078886 transcript:CRE28974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28974 MTIPFPLLRLPRLALIPVFQQMESIDVIAVSLLSKKVYNVSKIFRIFCSSSMNLRVESDYLYITVDLKDEKSVALCFYTEGVKNHVMTQNRSFTHENTGLTATEYVERILDVTNCESLGKVCLYGSPQLEMCDIFARVTKIHKLIIVSGCSYNFAKKSLEILSAVTTEISLYIIPFENREEFQTFLKSNLNYLNIETNTFPKFKFTLDDVLITNALKLNLKHAELNLKELNLFFKNWMRKKCDSRLEHLIVSTSEKVNARNLLGGLKWDPFPRDRVIWFNYSKPLDSLSGFFFGGYDIIRRADGKKATILIEDRDRWRQRPTFISFLVWP >CRE28941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:86684:87642:1 gene:WBGene00078887 transcript:CRE28941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28941 MTTPFPFLRLPRLALIPVFQHMEIIEIIAFSLISKRTHSLSKYHRKTVCSRYIDLRIENYYLCMRIPLTNRSLLLLYFNACTSKTVEVFYPYQTTQWKNVELSTGQWIERLLDVTKCPSLRKVILNGTPGCDVFSVFNVVPIVSNLTLSQLPFSNREEFQRFWMGNVRRLSIHNDDLSRFQFSLNDLLASNAIKLELCEVPMSLRDLNRFFSCWLNKTSNRRLKHLSVQSHGHFDEDVLLKGLGGTRFDERRASEFPTSDTFPHFTTFTGGFDVRRIDGKLAAITFRENVTKKFINFNAGL >CRE28972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig124:42269:42466:-1 gene:WBGene00078888 transcript:CRE28972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28972 MPSQVSPSVGQRTTVQIEGEQSTNVEESQPMCISILALVFAVLALGVFFFFFGLPGFWSGYVHSV >CRE02745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1241:1141:1573:-1 gene:WBGene00078889 transcript:CRE02745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02745 MVAEVNQPLVPITLFYLFCAIVSCTGNSIMIIKERNFHSPCHYMITFCCLADLMHLCGHFVFNYHVFADVTDSQANCYWMLFFTSIGKCMANPLRLMTGIDRLIACKSPVV >CRE27968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1244:14161:16000:-1 gene:WBGene00078890 transcript:CRE27968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27968 MDGYTSCQTVACTSGTPFQVDSSGNGNWYSAGYNLNSPRSSIVPTNANCVYNLNGTFQTDSTFSLACLTSTNVNLIFSSSDGSFSQCIRRSAVQPVDSVTPVPSPTRYRNESESTFDWKDEDESPLMSPETVKINKANEQIANELFGTQEELDKPVMFPFEQISQAARKHQQELLQHPHVSVSSDSSSSSSSTGNSPQRTQLHPRIISPESLASSAPRDVFSRGILNHSFDSASGHGSIRNHNIDHDRDEGSPEIDDDYSMSPPESPVSTVEVPQISLPHPVHMIKKEIDDHISKLKLRVPTATIEKGKKPATSDDEDEVQEVSPQTRKPLRLKLNLKDVKREEPSPDHDTSKKSLMSRIATPAAMLSRAETSVMSTSNAKSLEKTSLKIQSTPSTSMKNVSETTPVFKTPLHAPIKTTPADSRKRRSEKIDDTPHEKRKLEVPTSSSFVTPKNSITREEEGAEIGRFLRTMTDGRKIVMKIGKIPRNINHFVTPRRDSKGNIHKNLTATEDTR >CRE27967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1244:12552:13202:-1 gene:WBGene00078891 transcript:CRE27967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27967 MKTTPIVPKITVTSVAVVAGNLVKPEPPVEVNSKTSSMLPWMFDKAESSEQTPKTTKIQPVSAFVSSAYPSTSRDLLQVKTEPSEAVMVPSQVIVSVWFHNSQFSCFQQESPRASSSLSMSFFEDDRGFSFLRSPNRTNEPLPVVEFSDDEEDEQVHKTFSHATDHLLGTSNMNNVNGAAPILPWTTDP >CRE31601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1247:7769:7858:-1 gene:WBGene00078892 transcript:CRE31601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31601 MDSSSPFPILRLPFLAIQEVFKAMDSFEM >CRE27821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:110879:113608:-1 gene:WBGene00078893 transcript:CRE27821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27821 MKVFLLFLLFSPSLSDPIDFEVFIRCDRSIPYWCADLTVYEADDYRSAVLITQMFCTSDASKNFVYDPIYPHDGVSLQYEFNYQLRHNCTSNGKRECIQPEIAIDASVDGRHSVPFYIKAYNTGSSKCRNVGMGPEQDA >CRE27793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:56862:57122:1 gene:WBGene00078894 transcript:CRE27793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27793 MNIVEGKSRNFERVNINGHLVPIDRSRKHHVWNTYWNDAIQGIPAVYEYLSELLELKCAPEVVVCRYTLWLLSYIEKRQGDNYQLV >CRE27820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:109392:110517:-1 gene:WBGene00078895 transcript:CRE27820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27820 MSIRCSPTNSVYCGVLYVYEVDYGASHDVIKKEEFCVDPALYKKDFNWDYSGGDASPQYEITYQLSHNCTSKGVYRCINPEPVDVWVFGE >CRE27794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:57214:57661:1 gene:WBGene00078896 transcript:CRE27794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27794 MNSIESLFVGSQLSITLNDLLNMNCVELNLIGNPFTVSEMERILQHWAIGGFKRLKYLCLSVRGFNMEDVLGELTHTRMTEKREYKCNTVPPITFSDRLISRNDGVVASFQYDQRFGVVHFGVWPDSDGNVY >CRE27788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:36504:36584:1 gene:WBGene00078897 transcript:CRE27788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27788 MPALPILKLPIIVLMKILRSIDIVTV >CRE27817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:63155:64922:-1 gene:WBGene00078898 transcript:CRE27817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27817 MNYLLFFLLVTVTICQDEYGCEDDCECSDILDFFWMDRESILYTEEAGCVRNITCVNTGQTFVQFFYNESEIARPVDSNKDYGHAMSLNPEALTSDINIFEFFGMVCENKEWYITKYPRGILYQIATGEEIVIGANGVFDGKKSKIHDYWWLIV >CRE27824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:118122:119198:-1 gene:WBGene00078899 transcript:CRE27824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27824 MIYLPALLFLTVILADPVHVTVKIKCEVEGTPEYLYCGKLVIFEVDKWSNHDILKTEEYCAPEGEKEFKFDLYPDGDTSPSYELNYQLTHNCTIDDLTRCIRPDNPKDVSASGESWVEFKFNAWNFGEARACKDPNAKIIDF >CRE27825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:119343:120548:-1 gene:WBGene00078900 transcript:CRE27825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27825 MEETPEYLWCGKIILFGQKKWNKNDILGEGEFCGVPEGVKEMNFDFSGKGYLSRDYKINYQLTHNCTIDNLTRCIRPDYFTQFDPRIMSKMEFKFNAWNYGEARACIHPYNLKKFNDWNDICEKIFE >CRE27822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:114222:115017:-1 gene:WBGene00078901 transcript:CRE27822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27822 MLIYRTLLVFLFLIYQIKSDPVNIEVFLRCEPTVRYWCGELTVFEDDLVPSTRDILKTEKFCTPNWYQEYEYPRLRPDGDWSSDYEFNYSLQHNCTSDGSIRCYTPYFSTDILTKRDTLWQGVQSWGGQNVLMMIID >CRE27813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:38847:40188:-1 gene:WBGene00078902 transcript:CRE27813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27813 MTEVSTAMCSKVVNSVTQSEQVFPTLKLPMLVLRKILKTIDIETVILISLCSRKMYHLVKNFQDKSVKLRIKIYGEDSRVEVVTPKDYCHQVKVLEGKSRNLERVNINGHLVPIDRSRKQCGWKTYWNDRVKGLQSIMEYLSDLFGIKKVTSILVTPDTMRLLDVLKERQGNDYELGTHTHLSEEESHFILENYPAKVLRISGLSSNFPIGKYLQTVDSLYVGSKVLITLDDLLNMNCVELVLVSNHFTGTEIKRILQHWAIGGLRRLKYLSLWVSDLNMEDVLGELTHTRMTEKREYKCNIGRSVSFSDRLITRNDGVVASFQYDQEYSIVKFGVWPDSEGNKY >CRE27818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:67630:68201:-1 gene:WBGene00078903 transcript:CRE27818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27818 MNYFLFFLLVTVTILSQGCIEVCECPDLLDQLKWPKKNETLYTEEAGCFRNITCQTHEWSWVRFNYNESEVPRPADTDEWGAAETIDTTKPAEPQKSIVNLFEFFGMICENNEWYITKYPYGFSYAQFNETGTYIFLMKNNNGELDGKKSKIWQFAW >CRE27803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig125:212189:212788:1 gene:WBGene00078904 transcript:CRE27803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27803 MNYLLFFLLISLVNCKGCKDQCECPDLLDRLDWPERGNVSYAEGAGCFRNITCGTSYYYTLVTFNFTESEIYRNDYFNSPAIALSINYEVQTGPNINIFQFFGMICENNEWYITKYPYGINYFTTMAEELEIGANGEFDGKKSKILRFKCELPSK >CRE06222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1253:981:5147:1 gene:WBGene00078905 transcript:CRE06222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06222 MADTTTGDQIKQLTEQINALVGVVSTLAKNQAQLQNSGNTEASTTTTNDTKMFDSICARIPMFVYDAEEEKTFDNWYTRYEEVIIKDGASLAEDLKTRIILSKLCQKDYALYTNRCLPKLPNEISYTDTIKSLKTLFKSTTSIFRKRQEFLRTEFGGGSLEEYTGTVLRRFATSEFKKMTDEQTCAMVWIAGMRDPSYQDIRARALQVLEQKPNLTLLELESDVKRLLDIRADARAVGGSVTTTSDVNAVQKFQKSKKNTPEKKDSKQPPSACYRCGGNHWVRDCEHKKVTCSYCKKPGHLEKCCRSKNRENKSPKVKTVYIGAASIDGAERIYRQVQINGHYVKMLLDTGADITLLSRNDWTTLGRPRLEKPAIKVKSATHEPVKIFGSLHCKYMMNGRQESGVAFVSNTDTLLGRDWISKDKELWKFLQNSEKINRVSVTEPACNYLGGTRERLIEAIGTKYKEIMKPGLGKCTKTKATLTLKPNARAIFRKARPVTYSALPMVSTEIERLNQTGVISPVDHSEWAAPVVAVKKKNGSIRLCADFSTGLNDAIESNNHPLPTADDIFAKLNGGNFFTQIDLAEAYLQVEMDPDSQKLLVINTHLGLFTYNRLPFGVKSAPGIFQQIMDTMLNGLEGVSTYLDDIIICGSTIEEHNERVLKVFGRIQEYGFRIKMEKCSFLMEEIKFLGFIINKQGRRPDPEKVRHIKNMPEPTNVSQVKSFLGLIQFYGQFVKQLFRLRQPLDNLTAKDTDFKWNRECQKSFDTIKEILQSDLLLTHYNPNLPIIVAADASQYGIGATISHRFPDGTEKTIYHISKTLSKTQRNYSQIEKEGFGLITAVTKFHKFIHGRKFTLRTDHKPLLTIFGGKKGVPVYTANRLQRWATILLNYDFDIEYINTKDFGQVDALSRLIDQQNAEKAPEDFVIAQVELDPVDQLSQNLEFLPITAKTISFQTGKDTILTDVLNSLKSGKWPKSEKGTEMWNMCNRKDEFSIVNDCIVLGERVVIPTVLRQKVLKTLHRAHPGIVRMKMLARSYVYWPGIDKDIEKLVKSCDECASAAKNPVKNLLYSWPIPKKPFERVHVDFAGPVDGMYYLVFVDSLSKWPEVYATTSTTTAATIKILAKVFGQFGNPETLVTDNGPQFTSQTFQEFTASNGITHVRTPPYHPQSNGQAERFVDTLKRALCKLRGEGNTETALQTFLQVYRSTPCASVPNNQSPAEAFIGRKMRTVLNLLLPHKPTPNLERNLAMETQFNLHHGARDRIFEINDQVYVIDRRSPNSSQWVSGVIERKLGQTVYKVRVGSQRWTRHANQLRQRTSPPSHYDWSDFLEIEDPAEEMPKPDKADTTTIPVLSPSTSIPPTTPVPLRRSTRNIKPVQPFQIQPKQKRY >CRE07145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1254:4780:5375:1 gene:WBGene00078906 transcript:CRE07145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07145 MKDFMRDYENEIIPQEKFSRDHGSQKGLQFLRVANDLPNAGEQPEQLEAAQEEPMEEDDDIAPMQNKDQQDNMENNEEMDAEEAEVQPAGGLRRNAPKKKPVSLMKLRHEKKNIRFHSLRKSTKSQRRTSAV >CRE12426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1256:7489:9759:1 gene:WBGene00078907 transcript:CRE12426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12426 MQIFKLLISYYFINYARGSSKTSAETGNDIEKFLLENNSVKELSPKNTEMSASNNKKPDKFMEDLIEVQRTYRSLKEKWQRMMEEKNRVIQMASSTTPPTTTSATSSEVQVIDVDVFEAPEKFQDISSDSEFDDESFMDISVTSSTSSPSSPISTTTTVSQLDQIQTAIREAFGGAGAKEPLQKNQKISQPSLFEIIGPSSSTTTTTSTTTKPVTQQQMDATITTIKMDESPPPRPTVAAGVSTVTLDTVARNQQVPELTMLNVPRPRHRPTSNVVPQDHPGPSTMDGTQIMAGVNSGATAQSAPAMSQVVPAATEAPPPPTSTIPQSCTPWRHPAPTMDSLDTANDPFDTTTRATPVPTTSESPTTSTTLPLHILPTSSFHSSGSHPDAQPATNLFRYPDQVKIPFFGAKTEEDSGDTTVHPMRPTTPEPFRSEEIQNLEEVEKEDGNMTLAEDLASDSDDVNPSDSETESELEENGEIEKSEFDGDEEIDEITASTTPRGIEQNEDYVEETFSQEKSSEASNLKIPESFGIGKEPGPPMDLSPGSNVFGSPPGSQKPRAKAFGTLPS >CRE25760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:134554:135886:1 gene:WBGene00078908 transcript:CRE25760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpn-7 description:CRE-RPN-7 protein [Source:UniProtKB/TrEMBL;Acc:E3N5P5] MSEAPKQAKKPVDDGNFDKEVISKWPDLDLAQTRFMLNHPEVDASVKEAKLAKLQEAIKEFDMAPFYELVCADFKIAVDTAQLSAMKAANAKRVADITAEVEDAEKNLGESEVRQGLLRKFEYFCQIGDKENAQKAYTATYEKTVGMGYRIDLVFAMIRVGLFFLDHHLINKYITKAKELMEQGGDWERKNRLRSYEALYRMSVRDFAGAADLFLEAVPTFGSYELMTYENLILYTVITTTFALDRPDLRTKVIRCNEVQEQLTGGGLNGTLIPVREYLESYYDCHYDRFFIQLAALESERFKFDRYLSPHFNYYSRGMRHRAYEQFLTPYKTVRIDMMAKDFGVSRAFIDRELHRLIATGQLQCRIDAVNGVIEVNHRDSKNHLYKSVIKDGDILLNRIQKLARVINA >CRE25761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:140379:141546:1 gene:WBGene00078909 transcript:CRE25761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25761 MLNHPEVDASVKEAKLAKLQEAIKEFDMTPFYELVCADFKIAVDTAQLSAMKAANAKRVADITAEVEDAEKNLGESEVRQGLLRKFEYFCQIGDKENAQKAYTATYEKTVGMGYRIDLVFAMIRVGLFFLDHHLINKYITKAKELMEQGGDWERKNRLRSYEALYRMSVRDFAGAADLFLEAVPTFGSYELMTYENLILYTVITTTFALDRPDLRTKVIRCNEVQEQLTGGGLNGTLIPVREYLESYYDCHYDRFFIQLAALESERFKFDRYLSPHFNYYSRGMRHRAYEQFLTPYKTVRIDMMAKDFGVSRAFIDRELHRLIATGQLQCRIDAVNGVIEVNHRDSKESSVQVCHQGWRHSSQSYSETRQSH >CRE25781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:27178:27784:-1 gene:WBGene00078910 transcript:CRE25781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25781 MDKQKPSKKSATMSSRNKTQKKTKQRPSSSNSSNNNPSSKKKSSTNNRKNAPKSAPPNTCPMSSPKENNEKKDPTDREKKKEEKKDKVTPTKKKPELPEKKLDRTQEDDNKETESGLSLVVKEGNVPVKMDDGYEDFGPGANTQ >CRE25803.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:146782:147582:-1 gene:WBGene00078911 transcript:CRE25803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25803 MGKICPLMGPKMSAFCMVMSVWGVIFLGLLGVFFYIQAVTLFPDLHFSENEQGAGKVPTSQIESKYNEKATQCWIAAGLYAVTLIAVFWQNKYNTTQIF >CRE25803.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:146785:148087:-1 gene:WBGene00078911 transcript:CRE25803.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25803 MGKICPLMGPKMSAFCMVMSVWGVIFLGLLGVFFYIQAVTLFPDLHFSENEQGAGKVPTSQIESKYNEKATQCWIAAGLYAVTLIAVFWQNKYNTTQIF >CRE25774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:219693:223861:1 gene:WBGene00078912 transcript:CRE25774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25774 MSDKTSSKQSTSKVDDNRMIVVETQMSVKERRKKVKNFTKLIASSVKVEDETRLQLGELTTKCSETEVDAILEPMRSFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREASSAIRDESVDGVLEGGKGDARGANPGNPERKGNARGQIRKSQREKEVAEAQVSRLEKALKQLRKTQELKERKPKGLWDEVQGSRSWYEKVVNWDSESTNEGSRKKGGEDAVSRKTLSRSGISEVNEMVQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTESDDELVAILEEKFLKGAAKSLFKTLPKRYERSIQSLFEEFEHKLRKRQGDSKIEALNEFEGLEKRSDQKMWEYLVEVEKWSRMAFPEVKQETLSQMRTTKLMKAARADETLSLKNWKNCAYNLPLISVPQAPTPSSRVRGGRIGSGVQPDSATQHNVLIQFITVALVFLLL >CRE25765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:161508:162359:1 gene:WBGene00078913 transcript:CRE25765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25765 MRTTSRALMYGLYDEFQISLKCPHAPPNSSFSICHAQAAFLSGVCEAMLCPLERVQVLLQTTKFHDKFKNTLHAFSRLREYGYREYYRGFSVILVRNSLSNTLFFTLRDPLKQRIVDLPQTSRLPVSLQHWIGDFIAGSLLGATISTAFFPLGVIKNHMQAKVGVKYDSSIQVFRDVWQLRNRSLRGLYLGVHLNFTRSLVAWGIINSMYGILRRALAPFEK >CRE25807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:156306:157563:-1 gene:WBGene00078914 transcript:CRE25807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25807 MHSVALTLLVLVGASQAALLLPYTLPDDVIQLMAFREQPQNAAISTCNDVQFNYCQTTFNKFFGMDDSISWRNGTYIFNTVQKYLMMNVTELVKVCNTRTQFYQCLGTSYYPCMNLYTLLNKPQPDFPNAFDYVRTFRGLEWMCVGGFSEVVAQWGCLGTFPTTNAYLNCIANFNQTVAANNFCPAVQQTGACLNDAYNAACGAPGSGYFGCENFRITFDNSCWGLRCMVDSQ >CRE25754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:73858:75275:1 gene:WBGene00078915 transcript:CRE25754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25754 MLLKRICNEFRYRCSEQMDIIFPGLCVMFLLCLLISRLLPGYCRSLFRIQFFRMIILKFPLLIQEQIYKSMDIEDKLALSFCSKRTKESIKIFKFDVKEVVFSFKEKHIGIQFNNKNEFIFPLDPGASFICSFEENKNRVYEFHFNRKEKGLEFYLELHNHIIDLFSKSINWKLTVSMEEINNYRQLPSVRSAKLINVRDKIITDFVSCNPDLTKLSIVGDQNIYFTEMGLINIRNVEFIVTKRSCTQYLKYFRATNAVFFSSTSPIEVALFIRDWFNNVYSENLRFVRILQTRTYERFPNNLLYGYEMKEWDQSRMPKKFPFSKEDQEDLHLNEELFSCDGAKYFEGPNGKLASIRFDSKQFLFYVWDKQDVFASKEDL >CRE25775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:1:1522:-1 gene:WBGene00078916 transcript:CRE25775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25775 MSVDSVLVDSFVQLTNHFATFFSDTESISSMTDLWEKQGGFIAKIMKCDTKEFRNSDLFYEVLHRKMNMVNGSLVEALDPKAAAKGNLLEICKFYALFLEFLRKDHSELLTNVLEKLATEIGFNSTVLLDMFAYFDKLYSQDSVDDWWSFLIRPENVEVLSGMRTPPRNNFINSTFVTPTATARRLRTASSTARRSPIADAVDSPTMKFMRIEQQLKQAQRQMLEAEQRVEELEEENGKLKTIDSLKQEVAGKRDNAELAEERVQKMTAEMESKQLEVEAVTKQLAESRTTLRSEQRHLEELEKEKEKLASKLATTTESLEKCMKEMRKLRDTNEMEFQGYQKKESDLEEQLRSVLEENRSMADHLTSLEELKTNLHGENKRLTSTVESLSLESARNRQEADNAKTEMSEQRERFQAQLEKCQQDHTERAKMAHSKVERLRNELKAAKSDKNGMEKVLAELKEQ >CRE25784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:65144:66194:-1 gene:WBGene00078917 transcript:CRE25784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25784 MSTFPLLSLPSLVIWNVIDNLNTEETLDLSMCSRKSRFLVRACSKNRYELKIEICYENKLVLYSKQNPADKVTFAFENYSVRCDYLSVAFEPHVRYIPYHRQSGTFSLEKEFIEYFLGTFQCVIDEIELSRLYNLPIYFRDIIDYFSSLQPSVREFYLEGRVKEDDLNYFLNTSTISEALQLEIVTDHKAGTDFYIDLYSIILWGGGWMNLNHFLKMNFTACFYDAEISLITPTDWLLLMNSWINGWNLRMKMFQFQSHEFDFELTETMFEQVPGQRIDKNVVRFFESPDFIEVGEVLEIYGGYDIRRAEDGVVATVVRHPICPVRFVFLVWN >CRE25766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:168858:169355:1 gene:WBGene00078918 transcript:CRE25766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25766 MKFPMVFFLILPVVATYELHIYERLRNLTSPEYQDQFQFIIEDLSVSEEETNRKLIELATSFDQQVFRSFSKLFKILFFQNLFRRELVANKILRNMLYADFLSATQRIKEAKRQAQAILANRNRTAEEQYEAAVKLDKHFPMVRKKTGKMSLHVRRRLRKKQTIR >CRE25795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:119609:120330:-1 gene:WBGene00078919 transcript:CRE25795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25795 MLLPSRFTPLARAIPYRCFSIDFSHEKPAPHQLEHVTTRLVETVPLMFRHRMDYTFYRKDVLCDDQIFSVQKRGIEQLMSHFGMIGTLGQVFLPHVEMEVLSAVPVIDEGTVRFRWRVKYVSFLRLLTNPRLLRFDYRVQNLSWFDGYSVLTVDGNGDVFKVTLQKVCFQVFPQFIIFVPDATRRAEEFDNLDNREVEGEACAEDC >CRE25787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig126:73238:73660:-1 gene:WBGene00078920 transcript:CRE25787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25787 MKLHDSVKLRNEEREYAMKNRVIERGFQIKFIESLISSLLKEPDVKETFAILRKDRAKSVQALPAGSSEVQTPNPGDSTGPSPMTSPLPSPLTPSTSTAPSLVSPISPMTPPTHSSKIIDLTLSMPPPVSKPSFQFPSNE >CRE13823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1261:3614:4243:-1 gene:WBGene00078921 transcript:CRE13823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13823 MTPPFQFRFPSQTTVIGATQSGKTSLVRKILENLDSSFSANIDNIFWFYGVDNDGIPKHLPHITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGDTWRDALQAYKSVMREPYNAMMINNDPNADPCFRIMENFLHEFPIVYK >CRE15196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1267:1067:1354:1 gene:WBGene00078922 transcript:CRE15196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15196 MIPMKRRFTQGSFTMGLGKRGGRGKASTWDSENDQLINKFSLSIDVSSYPAQLTITQREVKIVVPQYYNSFFSISLSLFLIFILFDSAASSTLVL >CRE31420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:71438:72062:-1 gene:WBGene00078923 transcript:CRE31420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31420 MGNFFSALIKPKPKKRSKWQINYDMHINMQGTMKMDSDDVSLWIECTGKLDSVLASIRNLQRLCAQQEWYIWRGPMNEKLRIAMVYAMLALSTEYRAFFKKNKIDPKRNKRCTEILRKSYFRLYDMKGTMADLKDSYCRTKLHNMYILDLMLKDHIKAVNKLIELCPQATVKKS >CRE31397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:110692:110986:1 gene:WBGene00078924 transcript:CRE31397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31397 MEPHRKKKVDYYPTIDEILHDPPVKCNDCGQDFRNSSACRFHQLKMHPNAGCQEPNDQRIVLPKLSTVPTPTV >CRE31412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:32456:32828:-1 gene:WBGene00078925 transcript:CRE31412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31412 MFYKVVFAVVVSFALTSALPIPGDIGGSLPSPLGDILKQLTNLLDSLKAELPVPLPELPEVPVPSVPELPILPEVPTLPEVPTFPEIPTVPEIPPIPTVPEIPEISSA >CRE31434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:103277:104603:-1 gene:WBGene00078926 transcript:CRE31434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31434 MPSLLLFFSLILGVATTPQVLYLKKYSSTTVVQGVEGGANLYLASNDDWMYLKNIKITTGAMTYTLDKLLLPNDDSSPASIPIAGDLSVSTTNNETVTAKLSGFFYVTTALQAKDPTFHVFVVQPTQSVSVTGMKSTVVILNTLLRTFTDGDQPTMTSYVTKINQSPNTAIYFQWDIPSADWKTVTNNTFFRNPIVLTNQTATVQKFFDNVEPLQIGLDYWYFTVMGPVNMYIENKYVSNHDYKTTATSTTGVIINNFLYLEHVVNFLPDETRDGASGYILKISNILIQTSAFMDNDSDLDITLQYATSSSQKVYIGSSNIGVLSWDNKQAYKLTVNTNSTIPGTFYCQYFSFAGDVLPPPQTSTMTPSTVSTATSTTTVATTTKGCSVVFAVEFFALVLMASLFS >CRE31404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:141730:142778:1 gene:WBGene00078927 transcript:CRE31404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31404 MLLKQLVLTFTISIGLASSACFDNGDKEIQGGCYKFVPQKLTWDDARNWCHYQNPVTASYLAYVPNQFTSNFLASYARSAFGTDDGNFWIGLSRSSNGSWTWDNGFPVGWTNFGPQNGQNYGAESIVNAKWNAYGSSDKYFFVCSYDPAAPPTFPPPQSTTTSSPVAVSTQAVPTTTV >CRE31416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:65852:66538:-1 gene:WBGene00078928 transcript:CRE31416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31416 MGQCLSLFKSETSSEEGIEMVPIIKFPIVPPPPRPPQHVVYPPSMAELIRVPAGRLPLIPDDDYVLVEYTRAAREIFANANRLTEKLNKLEECHKESNNEIGNAFTILCIFIDRLAKHYEDFLRLSAFNVDQFAQSRKDMASEGYKALYVMKKEVEVLLKKKKPWFNEIRRKIKAQIKHGNGLWEHVQKAKFRVAIE >CRE31421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:73219:74012:-1 gene:WBGene00078929 transcript:CRE31421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31421 MGLSFSRFQKKHDLEEMKVSKYLLPLVKRNGRRWARCEGTIRGISEELWKLRSVQTALEKKEAKGKGSLAELWEEIAQQTADVACEYQTFFYNNECYLFEMSPYKKRLNQLINLELRKILELVDVGKKEKIRKRKKEEKKKESEESENTDEEVENKDENKEKKEEEKTAVEKMSDSIGCLEIMMRDFRIEHTAKPFGEEAFYL >CRE31403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:140603:141388:1 gene:WBGene00078930 transcript:CRE31403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-80 description:CRE-CLEC-80 protein [Source:UniProtKB/TrEMBL;Acc:E3N5X4] MKHLYFLSTLLLAIATTAQNCADSSDHLIKGRCFKLVNKQLSYQDARNWCRYSNPVGFTYLATVADQYMDNFLASYARTTFNSNEGNFWIGLTRTSGKWQWEDETPVAWTNFRVQNSQNYAAESITDGKWTGYSPDTKMNFVCTYWPGVTPGPTPTPEVGTSDTPTWTTVSEAYPTPTGIFLVQFIGGNLIN >CRE31401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:136855:137540:1 gene:WBGene00078931 transcript:CRE31401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-172 description:CRE-CLEC-172 protein [Source:UniProtKB/TrEMBL;Acc:E3N5X2] MIFHLILVYFLISFVQAQNKCINNNDDRYIDGACFTFFNIPLNFTAAQEYCQHHAPSAWSILAKETSDTQAIWLAKIAVTEFEMNSGYFWIGVYRETTYDNFKTMDGFYLRYQRFAVMNPSMNYVAARTSDGKWYTLPEERRLPFVCSYYPTNVVQAAANAPRVLKSLKELFYL >CRE31431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:98206:99556:-1 gene:WBGene00078932 transcript:CRE31431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31431 MKSLPLCLIFTFIELCSSASLTLQLYNYKSATPTKVNNVEDGSKVYLSSNDKALMLSRITLSSNGQTKNLQQLLGDFDANGYSNGFPVNSDLTIATTNTEAETETLNGILFISNAAMAKDPNFLVYLVSDQVKTIDRSTTNETTLLLLNKRVERTATDPVNKPLYNARMESIIQPKSTSFRMYQGVPSDGYTDPTYQETIRKMIFSNPVSLFPTGNNDADTAFFDNIEPIQFSMRIWYIRATGGGITLKVSSEWRDLSKYETTKETTTGYTMTQYTSDNSTTNFRNTPGHEGMFGYMMTTADRQTGINVTSCNEIDCVTEPFTMQVFADKFQYNRNDTVMYVDYANQKYGYFYLQYFRIEKGISSPTSSSTTTTVKPPETTTKSTFRLLPILAALVTTMCTLLL >CRE31392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:33368:33686:1 gene:WBGene00078933 transcript:CRE31392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31392 MLYKVVFAVVVSFALTSALPIPGDIGGSLPSPLGDILKQLTELLDSLKAELPVPPPELPEVPVPSVPELPILPEVPTLPEVPTVPEIPSA >CRE31428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:93201:94776:-1 gene:WBGene00078934 transcript:CRE31428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31428 MHHLLLLLVVLLATPTLSIIRFSNITADKGELSLAVWAGANLYVASNDNITLLRNVKITNNGEIKTAWDLSQLGTNPDGSEIGWKANGTITISSTNVENDAMWMTGFLYFTTFTQAQDPNFHVYLVNRKISITSNSDDVTLVFLNLNLGLRNQTSPLYIPDKSSQVSSVSSLPTSSFAIFWNEPMETYKDPVADASRQQIIFSNPLRIDAVWYFANVEPFQVFNDVWYIRSNGFLKFDVQQGWDDPNGGTTSAVTTTGLLMSSYAPENVTIHMISNAENSAISGISVIYNLLYSVSFQMSGLEETINTNNDFRNAREEVWVPKLSNYFSVQSNHPIAGRYAVQYFQIEGLTLPTTPSSLVTTTKGAISNKSGILGIILLAFTYIIY >CRE31430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:96654:98028:-1 gene:WBGene00078935 transcript:CRE31430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31430 MWIPLFVTFFGFSHAELRQLRDYSLTDPVRIAYVEDGSNLYLCSSDDPATLNAITISSNGLTVSLDQLLTNFDANGFLLGFPVNSDLIISTQNSADVTNSLNGVLFISSRDMARDPNFLVYSVIDKTLIVNRPTNSNTTLVLLNPLVSRLPSDPEYKALYNTRIENIVQPKTTKLWFYKDIPENGYDDPDYQVTADKSIFTNPVNYWPAETPSTYFFDNIEPLQYSLRAWHIRAIGGIQLTISQQWKDPSESITTSPTTTGFVMSQFHTVDTVVNYVFHEYHVCVFGLLVSTQLFADVNATMCSNIECETLRMHQTPPMISKIFEWNLNTTSLYIKPSRLDSGVFYMQYFRLDINDDTNRTPGSTSTTSRSISTRTTEGRSSIETTTKFTSPISLIITSLITVLLTY >CRE31432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:100254:101297:-1 gene:WBGene00078936 transcript:CRE31432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31432 MRFSYLLLTVFLFVNLVSADPVVVSLRDFLNGTTSNIAGVEDGGNLYLASNDDPNNLKNIQVVTGGHTYLLSDLAKVNPDGTPNKITLNGGLTLTTTNPNAVSYTLTGYLYATTAQQAQDASFSVYVITGSHHINLSGVAATTVILNTQLTAQSGESDQPGKSTYLTKLMMTQAENVYFQYGVPANNYKSVTSNQFFMNPFNYTTIDNNGNPVSTTRLFFDHVEPLQIGLDYWYITSYGPVTMDMQNNYVANHNTTTTSANTTGLLINSNFIYSNHIVNFQSDPTRGRSVGTMVSCSDFFLRIWSYHQKCIFRSQRT >CRE31445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:187965:188479:-1 gene:WBGene00078937 transcript:CRE31445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-xbx-4 description:CRE-XBX-4 protein [Source:UniProtKB/TrEMBL;Acc:E3N5Y2] MLTWSTSTTSLNPNGNRNGKSETRVGEEFLKTWDDAVFDGKHPLPVSQSLSTMNHSKSADYVREKISNGSTTAHLKSTLPKIVDSKRLLRK >CRE31418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:68509:69104:-1 gene:WBGene00078938 transcript:CRE31418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31418 MGQCLCKSSTASDPYHPLDEQLFTTKTIELMRASAGQIVPEESSGIKFLYIGAINDLFNTVNGLYVKLEEVDKLQKDGKSIEKPIRAFLKALKVIGKFYDTFLRLSDFKIDEFVQVRKDEACGGYIHWFVMKEEMKTLEKEKPFDVEGLKGSVDHQFGVAQKLFHKL >CRE31435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig127:104741:106205:-1 gene:WBGene00078939 transcript:CRE31435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31435 MFKLFLFLLALVSTVRAEPKFIPLNKLSPLVISTITNVEGGANVYLASKDDDKYLKNIFLKSGDNTYTLDQLNESNDNGTPKSIQAAVDLTISTTNEYDTTTRLTGFIYVTTKVQALDPNFLVYVIKTSNSISVSGTKATAVILNTKLNSETADADEPLKTSYVTEITQSPSTNINFQWGLPSDDWQDGTNNTFFQNPIQYANFDTTYVVIHSSFWKKFKVFRFFNHIEPLQIGLDLWYFTVTGTVKMRIENKYVSNHDYTTTAVSTTGLILGNNVYQEHVVNFKRDDTLSGTSGLIVSFPKTSNKGISSDYRLPPSSKHLISLLSCNITQIASKLNTIMKLLLLTISSTSLLPGTFYCQYFTMTGGLLPTTTPQTTTLGPSTTSGLTSTVPSSTTTVATTTKGYPVILRLECILALLILFLYFELGAMQ >CRE17743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1270:6690:7754:-1 gene:WBGene00078940 transcript:CRE17743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17743 MNNNVGNKPIRARVELSENNNAVRDEHDIDSSGNYYFEINVGTENCCRGWTPRVITSHSGNDVSHFKDQSSIAKISRYSKEETRSHSFQSAIEKRSKAKLYKMKTKEAVNVYLRRLQARIERKKEHIVSATKDLEVMMSFERETHDYTPVSEQNLYSPLFAYPEHI >CRE21663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1272:5348:6050:-1 gene:WBGene00078941 transcript:CRE21663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21663 MIRSLIILVLFYTSVAAGLESKHWQWRLITCKAGDSPVQKKDASSCKVSLLETENDPNPRPAPFDPCFEEENDGKPRNYCNIVCPGADTAYLIKRIPQNHRSCFGHFTYKIEKRSPNFFIWRDAKCRSSSVEFLIRCEFLSPRSAFRADEDIFEEANRIATDLRQ >CRE21071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1273:6680:7163:-1 gene:WBGene00078942 transcript:CRE21071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21071 MGKFFIEKHKPIPTKIQKKDSNALKTRINNSFNRSLKQCGKEASTHIESDKLMKFAKELMKSHPELSMEQALRIEKQRQNDRKKRAEEVVVDPYKIIRIEDEFEKYMKEAKSDKNEEMKENREIRENFKKIVEDMEEED >CRE24327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1276:8762:9022:-1 gene:WBGene00078943 transcript:CRE24327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24327 DDNVRTAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTEMMSLNKKAKSYCRNANVDTPPYSLGNLFGNMLANGAVLSK >CRE23818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1277:122:515:-1 gene:WBGene00078944 transcript:CRE23818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23818 MRAKRLRRAIEESMLPTYELVLDSKEETRAMTKTLENIEKGSQLMLKCTMAQEHFENLVFRANNIEVGQGNGLSKTLTIDSFSRTHIGMYECGATRKTDGKYHSRQMRVKQKRD >CRE23248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1278:5725:6137:-1 gene:WBGene00078945 transcript:CRE23248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23248 MSVDSVLVDSFVQLTNHFATFFSDTESISSMTDLWEKQGGFIAKLISVLRSVRRLIQKPLPKEISSKSASSTLCSSSFSARPLGIADKRPRKTCNRDRIQQHRSSRHVRLFRQTLFTRQR >CRE30391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:284831:285412:-1 gene:WBGene00078946 transcript:CRE30391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30391 RRSGRRAAEEVKKEVEERLKPKKTVIREPEILIGPRMGIKGKGLLEMREANADGWVDKYDFEQVQTAVFLLTLTTDEEKNKRTGDVIDKLAREVKELVVCPFRMDCTFAEVTLVTETWKRTLMTSANAIWIEPMKSVGAKQMPMITTAPERFKTAKELADFLEAVMPSGGIVEMLRKDLEKEPPSKRSRPSHQ >CRE30383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:49766:50191:-1 gene:WBGene00078947 transcript:CRE30383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30383 MRQFAGRYIPLFSRRFKDTLRSRLKTTIVTKKMAPADINPVRWEWIKKQQIKFFRTEKQYFGISMRRKVEKDNDMDIIRKAFPEEKSVERLRFYRDSGKREESVVSPSSTSRCLSYPITGRKMFHSKLLSSARTKKETRHL >CRE30367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:96219:100430:1 gene:WBGene00078948 transcript:CRE30367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30367 MGEAESQNTDLTIYFLHVFYTQFFSEDKISKILSNRYIRRRKSIDGRIPLFMRNTSTMASRWVIFIILLMACSIQFGCSAPILSKRETSEEDTKTTIVSINDWRSMVAHGKNIANMNELVWDKELERKASKMTCHRMVTGPDYTVAVIPSEQALATLGSSYEILLGFCAPTQTKIGCFDFHPPCVGTNGANNAGVCLIGPKNKISQADTKEGEPGSACPGEKRHDGLCVTQVEHVEEVKEAPKSVEAKMVGADVTPSESNAYFVSFYLIFLCAAILAYASQ >CRE30380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:35863:36229:-1 gene:WBGene00078949 transcript:CRE30380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30380 MTKLFFLLALSFSLTHALYQMRFSEEIASRLRSDGMSGKSVDALVKIYKDFRSREAQINAGTLRTTINDEVLVYLKQRDALLTSVSGKERKIFWDRVSSRNP >CRE30361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:21422:25685:1 gene:WBGene00078950 transcript:CRE30361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30361 MNFFLLLFSIGLVSSLPTNESVPSKRMKTEKPEEKLNQHDSTTPDLSQSSSSFNVPSQSKSSSCYFSKVRKSESEQKERTSFTKVTTNCGGNLVKWSSLLDSFKGKMFGAHNEMDLLAARGAAEYHDYNNPDVNEEVGNRMVCDQHWKELVTEWPTTKNPNHIRKEYDSGKAQLKCGMPSDVSPHSTLTIPKVSHDVLTRDEAQRVLHDYHSHVHIGTPLCKFHKDFVSVAQCIDLFESSVSMDAPVSKRLRSAVEKYSTDPQSCTSDQVMDVDETDDTNSQSPAENPEHNDGVCDTKFFEWAKSLGIERIVSRKPFSQLSRRSKLIKASAMNKVVSEALELLAPGNSKELKTFLLDLEGNQWTKVRSELFEELMSSIVLEHSKLATRKERRSVLSLVTSTLPYSTVLKYFPELTRYEYTKSRRYSFLLNRTPYTSPLRQKFVKEDVVSFIDFITSPLVRSDLPYGRRTLTKSDGTKLDISNSLRNVRAIEIVEMYIKMKTEKGEKDQLMSRSTLFKILEKCKATTREALECVDYFISDALDSFENLSEILEKMKVAGYIDGATEKNFHKRLHDSKTYLQTDFKLHLKFESKISDHCIKFALSDPIEKKHASICLEGKNSHDHDKDMCERCQAIRDVFDDLITTVSNLISSAKLKTLWSSDEKNVNSETELTVFLDDVKTAERNVIELKKHQGMWKKIRSQKVIPKQAVEPQSDYFGKSGISVHVTNALNIDKDGNLRQHNFVHITKHEIQVRLFECVVTPVIFLQDSTTVLLILKHVLQELKHVGILRVHLRSDNAGELAKTMSDKHFSKGCYHSTRTLTSLPAISKKVGVEILSFTFSEAQGGKGAADRAAAEYKTKLRTWLGSGKNAETPDQLFLALTEGRFPKAMSSYLCSIDFSTVKNENIEIRGIQNMYDFQFNNSNSEMISRKFGLIGDGTKHDSRKFIAVKGTMTIETSGGYGISDHETFWKSSKCSKKCSEEDIEVQTAADEIIPFNDVDVDQGEHTPKPMESAVFECPEEGCTAVFTKYGNLERHLALGKHQLVPEKETLLDFAMERFAETIEGLREHSTPNTLKDALTTLPAGVLPFSNQKGWAIPSKKVYKKYNKDVVQFVMKKFEDSSKKKLKIYPKLIAKELREQKKDGTLQFAPDTWLNYKQISNLYQTFGRKSRELEEKKKKEKKTSKADQSTGTQVPPTIPSSIRTPQNRASATRKPKTTSTGEESKKRSRRETTEELVDLVLEELNQREYDDSEEIYDENSDENQDFYLLIEEIEKEEKEIFDS >CRE30385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:65008:68850:-1 gene:WBGene00078951 transcript:CRE30385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-map-2 description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:E3N600] MPPANGKKGKKGGATKAAAPEEDFDAILAEMALADKQTAAKEPKAGNAKAGAKKGANGAAAPEVSKASWEAEIAAMKPIDEQFPDGKYPHSKDETPYYLKGKDGRVATDRESNEEKKALDASYEETWQDYRRSAEAHRQVRQYVKSWIKPGMTMIEICERLETTSRRLIKEQGLEAGLAFPTGCSLNHCAAHYTPNAGDTTVLQYGDVCKIDYGIHVRGRLIDSAFTVHFDPKFDPLVEAVREATNAGIKESGIDVRLCDVGEIVEEVMTSHEVELDGKTYVVKPIRNLNGHSIAQYRIHAGKTVPIVKGGEQTKMEENEIYAIETFGSTGKGYVHDDMETSHYMKNFELADEKIPLRLQKSKGLLGLIDKNFSTLAFCRRWIDRLGETKYLMALKDLCDKGIVDPYPPLCDVKGCYTAQWEHTILMRPTVKEVVSRGDDY >CRE30390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:127403:128370:-1 gene:WBGene00078952 transcript:CRE30390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30390 MSATNPSKIDEIVFTSRPLRVNTTPREFISSARQKEAMSTTSTSSSSTRGPLSFLEQIRDRCEPFTRAIMGTPQLDDHSTADSSTSADLKEIKNLLKSLIIDIETLKEMRSARQSSHLHNQWNQRREATLLLARDSSATRLTLPTVFTSIH >CRE30388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:93560:94182:-1 gene:WBGene00078953 transcript:CRE30388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30388 MASCWVIFITLLMACSIRFGCSAPILSKREASKEFIESSVVQVNDWRSSFAETAEIANMNELVWDKELERKASKMTCHRMVTGPDYSVAVIPTEQSVLSSIRYLESFLNLFTPTQTKMGCFEFQPPCAGAMGVCLLGPKKKSKNQNDIIKGEPGSACPGETRTDGLCVMDGADVTP >CRE30364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:77785:82524:1 gene:WBGene00078954 transcript:CRE30364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30364 MEMNEGVQKIIEIFQKINTEAPPKTREALDEIGRLDALAKEQSDQVQNLKVQFLANFDKMTTEQKSNAFSHLQLQMEKMLEYSDQKVEISRRMTANLTEMKSQFDAASTNFIENYPTSSHRNSEANRTPETPKTSSSNRKKNETPDEKRRGRKKKEVKKEEEGEDIKQEELDDGNGEILNEMLDEDDEDRTWCW >CRE30377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig128:5739:5940:-1 gene:WBGene00078955 transcript:CRE30377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30377 MKQMMLRHLKNEEANTTVNKMAMKRGSNILDGIETIIEKKRLRPVMDKLCK >CRE18338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1283:1598:4776:1 gene:WBGene00078956 transcript:CRE18338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18338 MTDSAQNIATTYDPTEIEKKWYKTWEEKGYFQPSRKGDSFCIMIPPPNVTGSLHMGHGFNNAIMDALTRYNRMSERFAARKKLVAQAEAEGWLDQIQPYTLKPPRGDRSGVIIEPLLTDQWYVKIAPLAEPAIKAVKDGDIKFVPEQYSNMYMAWMNNIQDWCISRQLWWGHRIPAWYDAEGNIYVGRSEEEVRAKNNIAADIELNQDEDVLDTWFSSGLWTFSTLGWTGDEAKDKENYFLNTFHPTDVLVTGFDIIFFWVARMIMMTMHFMKNEDGTPQVPFKTVYVHGLVRDGEGQKMSKSKGNVLDPLDLIDGVDLETLVQKRTTGLMNPKDAPKIEKSTRKEFPEGIQAYGTDAVRFTFCALANTGRDIKFDMKRVEGYRNFANKIWNATRFVMMNCEQQPIGQEIRQDLWELPEQWIVSRLQKAEAAVQQAFATYRLDLAAQAIYEFIWNEYCDWYVELTKPVLNDENVSTERKAEVRRVLLSVMEASLRLAHPIMPFLTEEIWQTLAPMIGIGGETIMLAPYPVPNPERINEQAEADMLGLQGLIGAVRNIRGEMGLGNARLLPVLLQNATDAEKAQIARIEPLFKALAKVESITFLTDAEQPPLSSSSVVGHVSVFVPMKGLIDPKAELARLQKDLDKVQKQHDQIASKLANEGFVAKAPAAVVEGKKVKLAEFVDQLAKIKANMEQIAAL >CRE18339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1283:9816:10298:-1 gene:WBGene00078957 transcript:CRE18339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18339 description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:E3NRB3] MTNIYQFEAELLEGENKSFSDYEGKVLLIVNTASKCGFTPQFAGLEKVYEKYKDQGLEVLGFPCNQFGGQDPGTNEQIGAYCQRNYGVSFPMFAKVNVKGPEAHVIFRYLTNNSKGILGSGIKWNFTKFLINKKGEVINRYAPTTKPEDIEQDIEKALAD >CRE31604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1287:3639:5000:1 gene:WBGene00078958 transcript:CRE31604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31604 MNPLKQLPILRLPFRAMEEVSKGMHSIIKMISDRRKKKFPILCLPLLAIEEIFKTMHPIEIINFSMISKRAKAITKNMTFYSKYAIDLGIYETMEIAINGTNDLVSCIYLMISNEKMDGKVEECENNGFIQRKVYKYSKDPVEEWKQVCKYVLDIFKRQTIDVLGVVLDVFVDQNVAIIDFLRTNVKSVNACNVLQWEEENDVDEHAAYLLENIKINNELRSDLDTKNVNFDMKIPKNLKELYIKKADWIGYEKLLEIDSAQVTFGTNRISNKELNSFFKKWMAMETHLNLELLAFELKSLEDLRLFVLHDIPHEVVDEGVKRTLITYDDETEEISGGIDIKRIDGRTATFFAQYDGFLMSVH >CRE31606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1287:9477:9647:1 gene:WBGene00078959 transcript:CRE31606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31606 MISKRTRTVAKLMRFYSKYSIDLYIHETLEIWFYGTKEMVLCTYVMTSDKKNEWKK >CRE31603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1287:1127:2829:1 gene:WBGene00078960 transcript:CRE31603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31603 MKPLKQLPILRLPFQAMEEVSKRMHSIMKKMISNRRSNEFPILRLPFLAVEEIFKAMDPIEITHVLDIFKKQTINFLSMQKDAFRNSKRFNYDFLKTNEISVNDCYLFQLELNNVDKNVAYLLNNITIKDNLKTYLHINKYFFDGKIPKNLKGLYITDSRWIGYERLLEIDSKNVILENDEISNKEWNLFLKKWIAMETNVNLEYLQLSRKELETFRALVLYDIPHEVVDGGVKRLLKTRCNETQEISGGIDIRRIDGKTATFFVYREYWTESIAMSIH >CRE30125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:114552:115034:-1 gene:WBGene00078961 transcript:CRE30125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30125 MKTKRRKREEPRPRTDPPSRSMTYVKDEIIAGEVSVIVACEENATRSHQSTSNQSAWSETKSKRVEVEPRPRKDPPPQSILLNWSDTKTGRIKEEPRPRKDPPQSWIMFDDTWRRTKEEHQPRKDPPMSHCQHYGHHGNLFGCRNQGNRLQDTTRNLISC >CRE30122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:166511:167098:1 gene:WBGene00078962 transcript:CRE30122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30122 MNLLTLIGICLLIGLGQGKTFLTIDGQIDMANSLRHMVANFAQIPNMANLSYDPELERKAKSITCEHHPTGPFTFFVGPSEKEWQKISNPQYLQNQVNLRSLAEKYPKFFDPDQTKIGCTELKLKCFDFVCLLGPKSDEQDSEAMNKKKR >CRE30131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:199962:200336:-1 gene:WBGene00078963 transcript:CRE30131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30131 MFFLSWSIALLFSAFPPHLISLDFWNPPSEYTLEKLSSVATSISLPTRNVWNSSQCTPLDTVDSNPNYSYFTNSLLAHLTSPSLTPMSDSQILPEDPWLLSIYLLYLITFSLLLFRFGMPLPTM >CRE30118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:10758:11249:1 gene:WBGene00078964 transcript:CRE30118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30118 MVFDDASWDTLINDSVDKFVQRLEKEFREDAEFRKEVLRHERWRVAKKREQIKRNVSEQKQEWAEKQAYATLQRKRELKKLKKRRKDQRKKVMKIRRRTWIAFRIFVMIFRSEIVTLDTLDIEFKNYKHANSSPISLEKTFVPRNKKKKKLKLCYEYRKLEHG >CRE30130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig129:196118:196652:-1 gene:WBGene00078965 transcript:CRE30130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30130 MSAKNDNSIVWAFFNKINQEKDVACSKCGKSYKFCNSTSGMIAHIRSAHSEELNDTIMSRASRKRSSSKPPSSYSAANDAFILLVKNFFSFCDEFISELSAHPMFFPICEKQMSLQEKKEDSSVSESDDDSEEECDSSADVSSLTS >CRE05332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1292:6905:8049:-1 gene:WBGene00078966 transcript:CRE05332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05332 MKPMAADKKFFFLAARREITNNGTDLVLVDPWTGERYLRNETELRNNYRIELGDFVHACVDPQKILYNISKTKHTGNIWTKVVGNEAFVENVLSKLNNVQGRLVFQNKLFGDSLCSGELPLGEYKIKISLLPEPVKLLTGRLVHFQATDLVAKQTNPIVGAGFAVAPKKDDKIVGAGFQVAAKKDDTSKMRAVVLSSVEKPIGTHFYLWNLDSKTEGLFVSKNHSLAQGHHFEGIFKKNPDGRWTCQKYENPIEGLLTGGINPNNKIYFTVKIDKYQPAKGNTKYGHATAKYIGDVLEGDSENTKLSAECNGKMVNIQRRGIGDKDFVWMVTQIL >CRE05329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1292:4699:4960:1 gene:WBGene00078967 transcript:CRE05329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05329 MKFQSAELTRDPNGLLVFQTKAFGPVPSFNQYLSPGSYEIIIKASDSYPIRLIAEAKSILPPNLFNPVNTS >CRE05327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1292:1253:2252:1 gene:WBGene00078968 transcript:CRE05327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05327 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3NRC9] MNDSKKKIDQVENSQLKYFLFAGRRIEYLGKKCLILIKPHSGRHFYVEEKKLNNSYVYLGGFLFARRTTRRVLYDFEPSDKPKKFNVKVEGDVAFIENLQCELVEEEGLKLFKSKTLGGILCKEPSELGQYFLRVHLNLFESCRREISVSSFSSNDVEPTGEIETQPSSKLVVNAPFDRMNTYYFKIINTGEHRIGVGIKTNNRNRFEVEPKFGVIDPNESILVSMKCSPFPQDSEEESVDKITIGWINAPVGAGREFKREWFRGDTVVQNRSLFIEYNK >CRE05328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1292:2510:4191:1 gene:WBGene00078969 transcript:CRE05328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05328 MGESDPILFFAARRGFYTDGVSFIVIVGLIPHEDKFYRVTERKLTEKGIQLGQFLMGTVVSTEIVKDFQLTNYPLDVEIDGNVASVSFGKRTKTHKYHIQITLQSDSLVPSINGTHGFKTKPFGIVRALDKSIQPGSYTIKITAKSSSFSKGSPLCAAVVLTTDSQLPSETSSYVNYPHASARSSSTNYSTISMQSRNHLNDSENGNQPSSNSQDPDSMDDLIARLPKLKPPLPLPRTVKPIINNAPSNTNSENKIVGSGFAVKVPELPKRNMTAFVANIHDASKSRLYFLWICDVQEEGMFFSNRHELDRGHFFEGTFVKQEKGKQEWKCIRYLRKADELVQGSVVRDKIELTLPVLSYELPSDPLGKPHVYAEHIGKIVDRNNRLPANCIGRNITVQRSKNNQNVFEWIVTGMLENENCS >CRE07149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1294:9171:9641:-1 gene:WBGene00078970 transcript:CRE07149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07149 MRGSTPFTLLLLLNIFCLVHSTNYYFSFYVTLHCKIPNRQFGYNAQFFDKDVAWFNGDDAITDPYINYSLPGDAFFKSEGMLTGDEWLSKFFDLKMVLYHNCNLANEEVRVDMNLLPLFKIPQTLPDNKYYQFELSVDITEMSGEITNTGKLVQNR >CRE07934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1295:6433:10524:1 gene:WBGene00078971 transcript:CRE07934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07934 MKRWFLLFSTIFILGKFGNSQPQQPQQLQVCLKDIVEDVCRQREGYDPECDKSCVASYPMRIGSGCRSRPGQLLSFFGIQSFVCTCHLSPIACANPQFAVHPYHTLVVQQHSHLTVIPQLPQSCDSPKPPSLSCRDPSVCSTWQYSREFEWYFGRPNGLPRPSESPIDEFVAGTSQQGATWATISTCDGICSSSSVNVTARVWRPPTVIAELCFKEKQTTMCAPIQAKDGALIIEVIPETNHFQVMIRFSNVTAGEMILLDDLNVYYQPCQKKVLNLSQTIHSTNVSTIPLKSGGLPISLINPNKGDSRMVQHRMCQDGECEHKPHHSTFHSSTAKMCVGRPGLAYCRQKCRALDASESSAKCLCQRENPLIKKCICHVRRSPVKRIEGGIVDQPTTVSREVEMNRVLADDVTSMVLLHASTNFPPSTTTVTEMPSTTTKFVMMDIENEEKTEDETAKVIVLNRPRNKFKCEETNCNFEKDTKCGWADLRMLSRHFNNISVAMKRGDENRYGISRLDAKSYSGLLYKASLIGPIQMSIDVYPSHEIDVRICVQNLRKCQTQTIGPRSWNRISARIKVQSTEKVSYLNYLPILTHLISD >CRE11599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1297:6799:8747:1 gene:WBGene00078973 transcript:CRE11599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11599 MKQRNFELLCAAMLGFGQLCIMVGYDSESFILESVIHSIHERNPEKVSQYAGYYGQAVIFAAYMITCLFAPSILHISTSKSLLIFSAVSYTLFPLGFLFFNIYFYFFSAVLLGIGTAFYYLGMGNYLSQHSTRETIESNVSISWSVGCCCLMIGAGILAGITSFTVPTIPTVTQNSTLQESFHQHERRYSDNEIRMLSAAFSGMSALAIVTFALMPSKSVENSLESAEKKHGFVESLKLTCSTVISPKLVQLLPLTILGGFNVSFWLAIFPTAMNFTKSNASLIYIPAIYSLGAGLGEVLSELGFVTRIASALYMLAHSSISLFSVGLLISALSKRIKGFGLKPTMVIGALSVCIYCGLVHISTPFEAPMRPTSQDSIWIQQGYPLIFIISFFCGISDCCINGVRSVICALVLPQRRAQSYAVTRMYHAAACMTCFFFSPIVPLYIYTFVLPVLSICSTFLFFRVVDNTVTMERKMTEQMNIVKMEEVVQ >CRE05489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:577184:578072:1 gene:WBGene00078974 transcript:CRE05489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05489 MKKERTCRVIKLQKSTKVSMEVMRIEEIETTDKKIKRTWSYEKKDVLKDIESEKSQASPCVKDRVESEINLMKNNSYISKVSSPLAHRTKQKRIDQLEKLHMN >CRE05699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:619729:620858:-1 gene:WBGene00078975 transcript:CRE05699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05699 MSISMSSSPVGEINNFHFETWRLFDPIYLCFLIPVTIHYILVLKVISKLQESNSFLFIFAILGVSDVIYCFSMLACELLHHTQLLPQFVFVCCVVTSYYGVFFNLLSNGLLSLNRFCATFVWYTTYFNNACIKIYFLIISVVALVATLPGGILMFIYLFKDYSLAAQYGKTGLESFNTHRSIIISMMICDGLVGCVTSLSTMYRLRKYKINYDKSLLLVTTLHIFPDTLVTLFNLDMIFQVTGESKYMNELSRMFLVFLVSFNSLTIVCTNRQIRQEYFNLLLSLRQIFWCSSSVRNTLTNLTTVSKERVTVF >CRE05536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:860664:860735:1 gene:WBGene00078976 transcript:CRE05536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05536 MDMKEKILQEAEAEEDPLMPPVV >CRE05669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:421749:424571:-1 gene:WBGene00078977 transcript:CRE05669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05669 MVRPKLLQNSKNDKSAVSKVDLRSISSKPFRVSPTTTEDEERDMWDFLLQKVRGVDDGTIRKLDMTSQHEIWEEFRLTNNGMRSHQEYEHRFRTKMANSLCATSYGTKTKTELYYGLSIPVEKKFLEYLRDFGRVTLDDAGCILTFQENNTNGFRLGDLFSQDDGETNFQEGLEMGSNVTSDDRSVHKQEETNDFRGPSKKRRKRETSQSSSEQTLNNFKEEDIMQFWIFLYQKIHTPETEIVKKVKPSSMKNLCHDFTVERNRKIDSVHYERLFYHEMAPFLHRTKLDTNIKIKLYYGLNIIVEKEFLEVLHQKAVVETDESGRIQYYKEKCPDGLYLFEDRVVEKQEKIETFSKPKTKSSVFSINEETDMFMFLLHNVRNPMTGKSERFRISSNLDLWTKFKRYSDGERSPSEYMTRFDLKLAYSLHLTQFTRQMKLEIYYGMNLHVDEKFLKELKATAYVEVDSNGCIVKYRDKHERGFSLGYDEIQEVENASIVKPNEAAKKSKVTVPEESKKSEVHLKINSETQVLAALTAREEFGFDFQQESFSNIASEYDENEDSTRYDTSEDDFSDEYHPHMYNKKKKTENMRLKKKNKTSIKRNIIIERYEGESNFTTERDEEQEKLSTGSDEELEATCVENDEKADEETLENEKNLENSENDTPRVVVRANPARMPRRARKKNHGKVEVEDKGSFFETNVYLENLKNALTIMDSPDLDSFHTVIDEHLNSNEENKKEISFNIAFRLIESAVSIAMV >CRE05596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1198610:1199834:1 gene:WBGene00078978 transcript:CRE05596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05596 MIKKVILLCLIGLAIAKINFIREFKPVCTRRHAKYVDYGCLLSIRNITKTARETRLTSTIAQEFKSTCKDIERCFFPLSCSPVKSEVETSRWVHIFCGHLIYLTTDFLTCGAKLQLAESQCYKTWNLQPDALKHEKDEIKRGDQIKKSCDNFFGAENCMEQEVTEFCGKEKWIQFRDHFIELNPIMNNCSLSENQIFQAIPTTTETPYLFDFKFENE >CRE05746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:910514:910876:-1 gene:WBGene00078979 transcript:CRE05746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05746 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3LZV8] MPPKPSAKGAKKAAKTVTKPKDGKKRKHARKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGSKAMFICLK >CRE05588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1186311:1186786:1 gene:WBGene00078980 transcript:CRE05588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05588 MSWLSILVPFLFFTLTTDGKPTSSCVYDIFGLKISKCRFVSVTLGHCKTVKFLYKDFSSCTQKLENRNSTCAQDYNPFPGINPEDFPSILVNDRNESCDDLFGDKDCLKTEITELCGKDEYDKFREMQVDLAKSFRICKAKV >CRE05774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1072596:1074062:-1 gene:WBGene00078981 transcript:CRE05774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05774 MLRILIFFLSNLISHINGNHFTFDAVSLITSSDNWLNEEIPCIGDRIRFDNSMPVVAFMDHNVDVESIHLPNNGLIVFTDNGANFGDDVHWQCAKDNLEEPKDVFFTRGNGRDISFYNPKNWIPDRKLFLHMNQVPSEVDDVTVSSMSSAQIYLDLPVKVNTFRFYKREVSLIFHY >CRE05712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:686577:687426:-1 gene:WBGene00078982 transcript:CRE05712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05712 MIRGEDFFTSAENKLTCLYCNDGIEIDVDKLLVHLKTCTFTGNQDLQKIKRGVMNTHIAWKDNFENVVRVHEAIDKGENPWDCIRLCPTRRYQAIDGWEKLSRESLYDAATRAQYVLLWDNFKDYNIGKEELEEKQRHVLGIAQTEYNKDVCWKKFEKGFHRMQSDHRRIHQLFDQQMAEIKGSVIAHS >CRE05701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:626904:628945:-1 gene:WBGene00078983 transcript:CRE05701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05701 MTLCDYPSHDPECDNDDSPIVEEVLGKLEDVPPGTKKTFEVRDRKVLVINDDGKLFAINGLCSHYNFSLENGTYAKGRIRCPLHGACFNVRSGDIEDYPGFDSLHSYSVRFRRYHFLQQKYYFQVSVQNGDIVIKTTEKKLGSDRRIRHLPKMKECNDRPVVVIGGGVSTATFIEHSRLNGLITPIIVISEESFPPYDRVLLSKKPSATGEEIRLRKDDAFYEERNVKFQLKTSVTSVNSSKREVHLSNGETILYSKLVIATGGNVRKLQVPGADLKNICYVRKVEDANHVSNLHAGKHVVCVGSSFIGMEMASSLAANAASVTVISNTPEPLPVFGADIGKGIRLKFEEKGVRFELSANVVALRGNDSGEVSKVILQDGKELDVDLLVCGIGVTPATEFLNGSGIKLDKRGFIEVDEKFRTNISYIFAIGDAVTAPLPLWDIESINIQHFQTAQTHGQYLGYTIVGKPQPGPIVPYFWTLFFFAFGLKFSGCSQGFTKEYTNGDPEAGSFVRYFLKKDKVIAVAAGGPSQAASQFAEIFKKGIEVTLKDLKNSKDHTWGHLLL >CRE05803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1252906:1254226:-1 gene:WBGene00078984 transcript:CRE05803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-115 description:CRE-SRX-115 protein [Source:UniProtKB/TrEMBL;Acc:E3M071] MIEFRYFAATVMLLMSIGAIVLNSLVVRRMFREREGFHKICVNKAVANILIGHAFLIWAAPCSYLNFLYLPHQFNIFFGQIVGWAPYLMSGPFTQLCLAFNRAVAISYPYWFNKQNRFPWTKILLAGLWAISIVLSLPAMIDGCSYIFFVESVSWSPMDTVCSRTLSEYVTNAVLVMAIVSFSVNISSIFKIVKSAIGLSAVMDANMSEARRRKRRKMFIQCVIQDCTHTTDCMLNTYIYTFYSAQWFQFLCGAVSALTVITLDGFLMSVLHQRSTTPSQTREPPSKSHTHDTHQFRNRALSRVSATIMTTTTL >CRE05575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1133555:1134492:1 gene:WBGene00078985 transcript:CRE05575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05575 MKGGGCFLIWLCLAMITIPFYVFRAQSYIFHGKLGCSAVFYEANGLIENELNDTKWLLKIPDYYSNISKSCLEADKCSHVIRNSVEHSGLTLSGVCPFYVYYNGPFSKCADRLIYNFGQNVSCIHVIFGTGFEISDKMCEEWSNLQNCLLDEIQSTCQDVLTTNSTEPTKYFNMLKTEFCGNLGNSSLVIEQKDSDTSEELLEEQEVEVIV >CRE05496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:613294:614574:1 gene:WBGene00078986 transcript:CRE05496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05496 MSQILHSTTDFGLNLLRQHNLNESLVFSPLSLALALSLVHLAARGETRNEIRETIASGSTEEQYEQHFSNISTSLSANNEKIEIKIANHIFQRLGFSIKPPYLASVQNLYNGGTTELDFSNKEKSAGIINEYVRETTKGSIKRIIEPHQISGGLVAVLINALYFKAKWRNPFYPQSTSKRTFYSSAASKREIDFMHARKVFRKYSENNQFEILTLPYQDETFTLSIFLPINIFDLTNLLKKLDSVTFQKLLSNSTNTFVNVAIPKFKIETESKLNEALMSLGIKTAFSNSADLGNMGNGIYVNEVFHKALIEVNESGTTAAATTNVNILVSGLRMSNRTKKPIEFIADHPFLFVLSKDNNPIFLGIYN >CRE05440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:308020:309220:1 gene:WBGene00078987 transcript:CRE05440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05440 MIPFLFIIYSSVLVFSLLFLVFFFYTIKSYKQKGSIPTIYIYLMVFCNFVEIWMELCNDVMPVFLTKNSYDCDLKLVPMYVTLVDNLVYSYPLFLTILMVCERIYVLIFPFGRVFANKKLWWYCCRIATWTAIFVMTPFWKGCPVIFDYYSLTYVTECEHFVSFMILPLVRKTSRKHFQTEYLALSESTQRAIYYTRDSLVGLTCFFIYFVGTPAIRKIIFDRAFFVTTGRNKFKTVSVSAMI >CRE05394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:89753:90195:1 gene:WBGene00078988 transcript:CRE05394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05394 MAKFGATSGATSGGGFGSTNAARGGFGSTSTGGFGAPRSDETNGASNDETAPAVVGGGFGAPKAPTGFGAADSEVANEAANEEENKPQGFGSKAAQEAKAAAETLGSTTFGSSADDKKNDGGEEEDDDW >CRE05732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:812987:819739:-1 gene:WBGene00078989 transcript:CRE05732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05732 MGANESANLHSETGTTVPVRRRAHTSYTRSPPDYFGSPHVNKNHQTTNNNNNNNDEKQKNSNIILTEFDVKQMMARSRAGTVCVSSMSRRQSSEETEKSILETVRQATDEESTSSGMSKKGSISSRKSTGSHDSGVGTHPNSPIASSLHLPTTSSSSRGDEETLPVVPKITVSLAEDTNETEEKKKQRNLKRAIMKKVFKKKSAKVIEMRTRSKSLGSPNAMYSNGEKSTPLFGSSLLNREWELVTVSEMCRRLSLDQELELPIPDGANTSQILDELMIRQVMDILPPRAEGYPWVNIYNSEKHGFSLATMYRKMAEFDEDLSPVLLIIRDTKEHVFGAVVSSAIRPSDHFFGTGDSCLLWRFTGEVPHTRELRQYNWTGDNQYFVNAAKDCLSIGAGSGRYGLWFDADLNHGRSQKCETFDNEPLCGENEDFIIQFVEAYGFRM >CRE05495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:610120:610290:1 gene:WBGene00078990 transcript:CRE05495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05495 MQVDEAGTTAAAATVVLMMRKSAKPQMKSSIDFQADHPFLFVLSQNSHPLFIGIHN >CRE05698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:618450:619390:-1 gene:WBGene00078991 transcript:CRE05698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05698 MGISDVVYCCSVLISEFIHYTQSFPKFIFVCFVVIPYYGVFFNHLANMLLSINRFCATCVWYNTHFDAVSIKKYFLVIAIISLIFTLPGGILMFVYLFMDWGTQAQYSRTGISSFNLHRTIIISLTIIDTSIGISASIATVYRLKKYKFSYDKVRNISLSICSPSCFQSLLLITTLHIFPNLLVQFFNLDMMFQFSGNSLLSNELSRTFLIFLVSFNSLTMFGTNRKIRQEYIQMIMFWKERESVTTTSIRKISTATGAHATERVTVF >CRE05591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1190267:1190910:1 gene:WBGene00078992 transcript:CRE05591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05591 MFFLFVFLISFVFGADENVCQNFDRPKAIVCYNTLKEIQSTVKALANQTDETGKINKLCIDFTKCSPLLRCANDTDVDELIDKMLTFCEINEFSDSFDLDECDAKLRAKKSACLKEWNPLPAKVDDLVKMAELQEKACRNFFGAGNCLEKEIKEYCGLEMWEKFKKHYTDLAKMMGKCDIH >CRE05721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:723966:724805:-1 gene:WBGene00078993 transcript:CRE05721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05721 MPFSVLEVMFSGTIDRCLQLPFSSIVTDSEDGDRVAACVLSSVWKRTDTNEDADYAFKNFPENAQLFVNLLNSMHSNFWDLAPPNVQAVLHREIVSVGTPYMRKGISSRLVAEQLNKTLIKKHNIGGIISEATSLANQRLEEKHGFRCLLEKSYAIVVDSKGNCVLQLDDGTTHIKLNFKEIGGFENLPE >CRE05390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:55146:56629:1 gene:WBGene00078994 transcript:CRE05390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05390 MASQDFGEATYVVGRHRKNPVLMYTSRTDRNKVYEFMIACRYKIPGLVSWKCVSCSKVKNGYRNLGAELKRKVPLILVDNDIIKEDPEKPLNAEHFCNGKDAVDAVLDRARLEFVHRHGNEEANSSKMRETIHHFAREAAACAPIPLNLKEKRQVQRQLDAKCLPLLHGVNKRRRNKRNKEIKGSVSVEKGFPHNAAELPERRNMPSSSDHHPHEHPEAIFPINRQAGGIKYDPEVTDTPRKKARTNKQAPQYPVSSQPRPVRPIPPYTYRQPAPSDVHQPYHTTAHPEQIEYYEEIIEEIEPVFNSDDLISGDQQQVAYSIEL >CRE05810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1290889:1291465:-1 gene:WBGene00078995 transcript:CRE05810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05810 MNTIEMEITTPVEENLPKEFSFNEVLQDLRLSSSEKSYIAPQLPDDTIMKYEEELDNLVELTTKNSIQENNLEDMKENNSSDCEEESSNTLYFSFENSSKEESDVDETETPDPRVDFKKLFEEQKERHVKELQEIRKKREQLRANKIQMENRMHPQKENK >CRE05795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1182851:1183618:-1 gene:WBGene00078996 transcript:CRE05795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05795 MNIFLHFVLVGWMLISSVNGASVASALPGINCSVADGYSALTCTLRLQDFGSKIRELVDNDRESDEFIKSCDAIDTCLNSLRNCKEYSENLTIRAGDMVKAYCDVVNYLSHDFSSCGSKLEANKSECYEKWEPFLDGSELKDKKKMEESCENFFGKDECLKNEIIENCSQDEWEQLRDVSLNQDLKFSQSLDNFQHFIQLDENLMKQCNLKKMLEFKSSEYNV >CRE05480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:546355:546432:1 gene:WBGene00078997 transcript:CRE05480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05480 MSTTGVAMRRGGSRGAFLDEDFTEE >CRE05806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1274512:1276711:-1 gene:WBGene00078998 transcript:CRE05806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05806 MSLTFSNWDRFYWKYRAEADFIISEARKQNVFDFSIEYASGLYENFTTRDEAASFYNRKEEKVEMNTEDVRNARLMIQQKTRETEVDISNGNKRNTGFSATHSSISYRKSPNVYRSRQNGVTEISIDDQAVEETSSNPQTIYQPASVHVFQVNGDSNTTFNSSEQTLPQQNYSNPRMIDSRSTHTGMRHSNVSSSENSHYNFRSSRECFDEHANVLPGLSNQAEQNSARKIISENYSPTNYFNKQWDNLQNERKVKSSGITLTEPMMNLRPKETTYEEPYDLNQILFEYNGELKDVTHKTPFAKNTNQSPPQLFQENSFSCDSPGIYNSSFEKEFEEIKKKQAEELEERKKKRKERQKELEEEIRKMKAETKKRFDILWSCIIAKRRFEEEEEHWKVWIRGSRRNITNLSKRCFEIVDEIETNHGGLRRLDEVDMHELQIETCEFLSYVMGSYNTLQYDFEKLESLEKKRPDAIFIRVLMKCLVDVAARVLVIYYSTESLGADVVKFKNLKTEIDNLRSDLIYSTTQLREICRHEDSIGKYENVVFPVLKQNLIIKKVQ >CRE05584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1168061:1168695:1 gene:WBGene00078999 transcript:CRE05584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05584 MRFSGSLILASFIFESVYGVLEEESSQNCGAFQLAREDLKCLFKQFSFAKDVVMLAVFHAEPTRVQKLRQACNSLQDCYASLKCRKDDMEYVKLANDTKSICAGLLYLSTDFSECKKKIDTVEGYCQFGNTCETIFGENNCGKLKISENCGVEEWIRFKEVMINFHKSRFSHCNFDQYKDL >CRE05594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1194422:1195057:1 gene:WBGene00079000 transcript:CRE05594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05594 MILLTIFLIGSVFGYDESKCNPSDLPIAMKCILNHREIREQAVSLDLNDNKNVVKLNNICIEFLKCAVPMKCGGEGKDVENIDKAISYCDAVAFHVSAEYSVCAEIVDTKNSTCVQGWNPFPDIEDSPAEQEKRQKEACENFFGKDGCLEQEITDNCSLETWKNFKKHYLALNKIIEACDFE >CRE05460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:396842:397792:1 gene:WBGene00079001 transcript:CRE05460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05460 MMIDVVKFLISHGLLVFLTSISMIVCSRKQPRKNVKPSIEDQQEIPSDINYDETQDNVQTVELQEDPLANVRLLTPTLPGGCTDENAAKEAATRHKTNQYFPKLEIQSRRIVNRTSKEELRRLVEELPMHPPQRYRTEIREPALEKRVEQWKDIHVHWHDVGLVFLVRNHDTCRSKDDSGGDTVDSPNKEDEESTKVSNFEKENSQNDIFQINSEIPISNRTPNKSKRKTPAPVKQSSKKSMKEKKLAATQEETMKSENKTPHGMTPKPPGMPSNKSGPESVKSTNL >CRE05419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:235206:235843:1 gene:WBGene00079002 transcript:CRE05419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-131 description:CRE-SRX-131 protein [Source:UniProtKB/TrEMBL;Acc:E3M0G1] MYVSGNRMGIVFSSRYLRDNKIKQLSDTDGCACVYDPNIFLWRNEISECTDMIAPYIPFFVFGTTIITNILNVTTITRLLMEKMTGVNVEESKRRRRRWMIMFMQVCISVIQDCLQLIDIINSYYLWKLNEDLWFQFMTVTFSFLTVTAIDGFVMFICQSDIHPRWMKRLSKNNIGGSSVAVLKSGGNLSSTNFH >CRE05689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:517671:518976:-1 gene:WBGene00079003 transcript:CRE05689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05689 MAVPFYLHICQYCAYFIATVTNCLLLHLIQRRAGSTFGRYRVLMISFSIYAIVYANIEILTLPVMYVQGAGMIFYVDSVLKYHQDAGLLIAIVYCGCLALCISLLTTHFIFRYIAVCRQENIHIFKGNKLYLLFLPPFFLFIIWAISIYVNYRPNQLKKDFFRKVISELYEEDIDKIAFIAPLYYTHQEDGTFIFRFSDLSGCLLSCGIMGLFFSTCIFCAYKTYHKLHDSSLQMSKRTRKLSEQLFWSLGLQTLLPCFTQYIPVGLVFILPLFEIEVGKYINAVGVFCSLYPGLDPLIAIFIIDRFRNYLLRREKTTESKISQISVYKSQRDSSLA >CRE05453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:353437:354559:1 gene:WBGene00079004 transcript:CRE05453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05453 MRNLRFNAMQKLLKEGVFFSDAKMREREPYLFDAMIGKFLNEEERIAHVRPTVSRDKSELTWSVLMDRLEDSSEIAERRNLQEMEWEGPRKDDGGEDHISRFMSHVSSHTDFVPEDEGDDDRKDEVEDEIEIMRKEMERLSKIEADQYDELGEDDTQQLLRQEFEAFMQQKFLAGKDAQ >CRE05769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1015887:1016244:-1 gene:WBGene00079005 transcript:CRE05769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnc-5 description:CRE-CNC-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LZZ4] MLRFILVLLVALFAMSSAQYGGYYGNYGGYGGGYPMYGGYGMNGGYGMGMGGMGGGLLGMLLGKK >CRE05567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1116386:1117589:1 gene:WBGene00079006 transcript:CRE05567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05567 MPTSCPLESESWLNSPDTFMIFSHAMAIVVTPLHLVGFYCILRKTPGSMHSVKWTLLHFHFWNVLVDLMLNVFIIPFPLFPSPSGFLLGLFSTAGIKQTIQLWLLLTSICFVCVSTAMIFENRFRLLNHKNTRWKQVQPFWVLGNFLFCLIFQIPTILQVPDQDAAREVVFTTLPCIPVFLYSTEIVVPSLDQSIIIITSLLFIIVVFGQLITFAILIMVQLSTNFGARMLSENTRRLQKNLMKALIWQTGIPVLYLVFPASYAMWAISQEVFNRSMNNIIVAVTSLHGLVSTSSMILIHHPYRSTVVFWFKKRNTENIRAINNYPMFTTNTAVDNYTIS >CRE05511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:731674:732113:1 gene:WBGene00079007 transcript:CRE05511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05511 MNFILNILLLSGFIALCQAACNVCNCNAPPTIFDEAQFNAEVKNFIEMENRTFIEATNHKITDCVVTLDCDFGDSYEENRIVYLTADGQESLSLNLNNMVCVDGSKWTADGKEVTAIGCYGKLTIEFDYST >CRE05647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:280391:281788:-1 gene:WBGene00079008 transcript:CRE05647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05647 MKFSSLLAVCLLLSAVNANESTGEDVGNSSTNQWNELFDIVHVVNEVKNLLDKGTRSFKITWDDSLSLYATERSRECPSKDSLTTGDLLIRHGDVNLLQNLKSSEFSSLDPFPTVATIHNKSARIVLDMGSRIGCAPLNCSLGYDYFCVSDTRKHALTRLEKYDCVVDKMYSNLCEEQPINYWNMEKEEFKWSMMRWNDQRRAVANKFNYEGMYELNWSEDAAKYAEVLGFSCDPAHAGFLGTHYHMHPRTLDPLGSLWVFTHDTIDGSFLHGNTTIEMFVPERKVFGCVPLDPACCERYSYICVLGPDWSSPNPPNFSTGKVCSDCPDNCEDGLCQTLKANENIVTEDNQNLTIATSTSMDFTWEDTGKAIALLVCFIGATGISLLLVYTLVNTDYHI >CRE05398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:118682:119234:1 gene:WBGene00079009 transcript:CRE05398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05398 MLHILLLFAVSGTTWKAVYGQLNLFSISTFSYSGLCEAEGSCRHCADSRYSYYRCRMQDDCFIGEVCDNGFCCPNTLPTFSLQREPTSPKPKTTNSTFDPPMVEKMFKRRGLYTPRRTLYRRKMLQK >CRE05442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:311409:311673:1 gene:WBGene00079010 transcript:CRE05442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05442 MILCNFVQLSMEVIQDVVPVFLSKEGYNFVRHNYGKYITFIHDIRITNIFNSFDGYRKILFNIFPGRTGMLK >CRE05808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1284689:1286533:-1 gene:WBGene00079011 transcript:CRE05808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05808 MASQSAFQLEEHDYKSIGHLITKMVFIFFNNTNKWIGSDKVKMSDFRNLNDKNWEIFYNQHEQFFKLVCSEILENGYIFVTNETHNISELPVPIELRLPNNTTQQDLDGRPVDNKHEQRANIEPQEEEDEVFELLEMEELYKSPQNLEIATKESCEEVPIEDRISPASNTSSDSGAFFLDALDSLKKKESTDDGSNFKKAKYDFSNTLIEEIDHVCDELDKSQQEIREDLHSKVEYGVWMKSGKSSPTEAENMESAEIDSDFKKKENEQKNRNEKKLEEARRKREQKQEEHRKELEEMRRQQKLCFATLLNCIQLRNRFEEKEQEVSDWIKKCYKQPVSSFIRRFLDFESFANQLKQFKKTPQESLWDVTQEVLNLNKSASRLNDSLENSFNQLEDLFQINSDAKFLRILQISQFQSSIANHALILHRVMDKLEEANLTNFWYSELENLSIQFQSVIIPSTEMLRQTCKNDFCPEWESMKFAKREMKSSVQIEEVFENF >CRE05558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1015386:1015625:1 gene:WBGene00079012 transcript:CRE05558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cnc-11 description:CRE-CNC-11 protein [Source:UniProtKB/TrEMBL;Acc:E3LZZ3] MLRFILILLVGPHRLLFSSMGFVCYGYGPYGGYGAYPHNPGYGAYGGGLLGLLIGKK >CRE05797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1185167:1185903:-1 gene:WBGene00079013 transcript:CRE05797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05797 MTKRFFHFLFILYAILGVSVIAEKADEKCAAADEVDVSSCKLLAQRYVENVKQLSESDLKDKNWNPFLQKNETNDENKKKEVCRNYFGSNGCMRKEMIQMCGREEWIKFRAILINLNNLVFKQCDLKIIVWK >CRE05640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:212387:213767:-1 gene:WBGene00079014 transcript:CRE05640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05640 MTEFNGGQLFLLKDLKVVRMSEERFKRRIILRAFAINFLVLIYYYGFTEQVKQYRVLEKNLTSDFILPYIKIRSDLFIAPKYGILSCGIRKSMSQLLINIMCLLHNETEFQNQNRSLNDTWMSERVCSHKDTHFHIPQNNVEGIPNLTKFAFIRDPFDRFISFYLHICKNDNGCWNCGDDLRCVVKNVYKSLKSYEKNPDESTSSLVDRHAAPISWNCNFQETLSQYHLIKIGVNYDQRQSAINELTEILKSNGVSDVLITKISNESMFGETFHGTHKTSARRKAEKQVEEDTIVRDYLHKIYFFDYLIFKFDTSHLDMKYQKLIQMMQKFKYNHSGFI >CRE05697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:616216:618149:-1 gene:WBGene00079015 transcript:CRE05697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05697 MKSKSLPFLNDEKQETALKLTYVRSGSKKMILVFLVFLFCFYLIHSFTKNSKVRFTRQDSENSVDILEGTTAPPISEYLLSKESERLTRKLSVSQAFVVSAYFYPTSKSLGENAIALNMVVDSINFDSSNYEYSFVGSNETHSESGLAVSQTQSNNKCRYASSVATGNSLDNLTKLELESNGTRVEVVRVHSSPCILFFQIPFKIARYTAPKPVIICVSPQFVAEQWPIFIMHAHTAHRFGGHMHIYVTSIIHEYFDLMKEYERQGYVTMEMWLRMKFEQPGSKFFEPNLNTELRNQAGAASDCLLQYKEAAEYIAFFDMNDLLFPVHYPTYLEEFNAIWAQKPNATSLVYKQVEHEFLNRKLNILQECFRGAYQTFSASNLSEYSFHELVASLRTSSARSFRVVVKPVLHNSIGIHGSDWEDPNTRSPISISAFEFISHISRIHVASPHIIHVKNPVWKNKTKEFEHIRNPRFWIFNETIHQNDVIAIHDDILRLKTNKKISRVGPSLPSEDFYSPIIFQCFVSQFYGKPLEECPNAEKCTLPQRKNTKCMHTNAEYISGPPTHPFTFHFFRNPIWSSEYGCYQ >CRE05572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1124474:1125602:1 gene:WBGene00079016 transcript:CRE05572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05572 MNSTCTPDISYFDTFDFLSVSLHFTSTISVPIHCLGIYCLLFKASTQMSSAKCPLVVLHVWIVLFDYTFGVMVIPFFLFPEFALCSLGFLEILHVSTLFQALLFQCAPYLKTVFILFSKHLRGKFYSNINRLKNHQQLPCLPQYLYETPVFVIADSFVYHALIVNIFVLFIANEITVFNISLVWNVHLQLKNGRMSQKTFDMQKKLFTALLIQMSVPLLTFLVPFLYAGISMIGNIYNQTFSNFAIIAISMHGLISTLVMIHIHTPYRAAAWSLFGKKTVQSGENSKKVMLVSRKSIIQAN >CRE05809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1286696:1290203:-1 gene:WBGene00079017 transcript:CRE05809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05809 MVAEIIISMDMITCFKSCVRQQNYKPFFDKYRSYLYQSLDYLNSVYFENADTIFIAQNWRYILRYLETFDSRYPGSFVKGQYGLPSSGIYEDMKTAILEMNWDPFFLKYIRTIDFIIEEARKQQIIHIYIAHDDCCNPNFESRSMLPNFVSTSTTSTGILGTSPPRLYDNSKRGHPYEVKNSGEMLVPNIFTISNFQNISSLRGRYLPPDVSNHYQNHHVSSFVKNDSINERGAFHSPSRESTDRIRQHSRTESQSKPKTTTDGQILSFSSLSEPCCDCNKQQSNHCKQTTELSLCQTGASGYSSNCRAFYSTKPAFTPCTCSECHSKSMLSTNYNDCESITRKVGNTPYSDNSRDKESKLISSSDCSSTRKEAHRLEHNEISPINQAYYTMASETTPRVGYKNPVSLKKDYLNNDHRSTQNQSEFDVIQEKNSMAEEQKTTTQGLETIGSIENVTVPEHIEHLNSVSRNSKNEQFSPAGLLCIPESLIRLHSETGGTDDHSKQITKDSTPQIAFEGAELIKLPLEQSEMVLENSEESPKPVLDSLSHNDGFTMITGAYSSNGFPNEPAYEEHNLSHFEHSDSLPKEEQLLPNQDSEHFHDKLFDSLRRHSLTEKQICELSLLFTGNGIFLISADHLYDNDFFSYKMEIQNASEIERGNEIWEATGSTENDTSHILGDASFHAELEDDNSSFIKYFEEIQSKQREELEEKRSERSKKQQNLEDEVRELREESKKRFQILMNCIALRRHFEEQEDNWKIWIQNCRNHIVSLHRFFSIFEQDYGTILRNSKKLDSDDLKDLQSEKLRVSSFVFKTFYELEEDFEQLKELEHHCQEVIFLRILQKCVADVANKLLEIIELLVQQEIDRDIFMRLEESIANLMSADLIYSTKKLRELCKTEERSENFQNVQFPKLKSHVHVEEVF >CRE05566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1114978:1116207:1 gene:WBGene00079018 transcript:CRE05566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05566 MTEPFFNFFCFSNLSLHHLMLFPCPADTETWLSSPDSVIILSYIKTGIAVPLHVLCTYYIVKKTPGNMQSVKLSLLHFHFWNVVVDLIFNVFSVPISLLPMPATVLIGLLTTLGLKQTIQLLILMTSVSFVCLSTTMIFENRFYLLNSKKKLWKKCRPFWMFGNILFCLLYQIPIILQVPDVDFAKEFVLNALPCVPEFLFSTEIVVPTLEETVIIISLTVFIVVVFGQLVAFAAIIIGQLSSNFGANMLSGSTRRLQKNLLKALIWQTGIPFMYLVLPSCYTTFAFSADYFNMSNRTEITLNNIVVSTASLHGLVNTLSIILIHQPYRSTVAFWFRKRKTENSRTVNHPNIFSTNSVF >CRE05792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1173084:1174620:-1 gene:WBGene00079019 transcript:CRE05792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05792 MIQANHKSLIFIILFVAVAVIISVFLVVPLSSSLEKHIKQRSECSSLASAVDSRLENFKKVFDKLDIPSHKQYLYNTCELLTDYYIALQSCNNINKEQLKEKTQTLNECCYSRKYQYASKEKRINLEKRTIEFGEKLKHLDFDENDEVKEFKRSYDALNDCTRTLGNFEAMQDEETKKAFASYIYSLRM >CRE05757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:977558:979445:-1 gene:WBGene00079020 transcript:CRE05757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05757 MIGVWNSIYFRRFENDPARDETTTAEGDPMTTETGNLALETYLGSVRQ >CRE05593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1193470:1194138:1 gene:WBGene00079021 transcript:CRE05593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05593 MTVAFRLYILTIFFVSRIFGSDDVVCVPAEGGNEQCIESFNGVRENILLLNQTIKENIGKLNKHCQEFEKCSETLKCGAEKELIDLVDDVNAFCEASIFRHTPLFEKCDEKLIARNSTCVNDWNPLPALGDDSLINEDLRKTACNEFFGKDNCLEKEMSEACGVEVWNGFKKNQLALIRITGSCEFD >CRE05564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1103856:1105332:1 gene:WBGene00079022 transcript:CRE05564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05564 MGRRKEKHGGTKQVESFAKSPTVMRFAIANLEKFNDKLFVCSAEKFNWTAHFYRGRFLNEEYVVFDIYCHCHIPNWSCDAKVDVRIWDNTLYSIEHHYELRRNCMSCPAFLKWDDFIDPTKGYIRDGRVVVEIHIFEMPKKIQKNSAVIVKEYPGIRNFTISVGKSRFYVNRELLAHTSEFFHREYDYGRLDTAEPYFLDDISPIDFKLYLDLTYHPKQYFSAYHAKDMLIIAKRFLNVEVVTTCQNVILEDLKENKLSTKDKARLAEAYDLDTVRELFNPETLLRPSICPRFMPIERYKEDERPSPVYDEVTAVLAELDHYRQIVISRGANRQEKEEEHLCEQSGEIDDDVVIIENVVEKENEEDVGEEGAREEEEDFDISVPSTSGCYGY >CRE05583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1167115:1167751:1 gene:WBGene00079023 transcript:CRE05583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05583 MTNLRVPLVTLSIFFAFTTGTSVDFGKFSNCGILSLREDFKCLLKLVSFNKESPQIDWKNENKLQGIQKSCDFLQSCYESMECRKNDKDTVEIANRMKTYCSSLLHLQKDFSECYRKVERLDSTCRFGDSCNNIFGKNNCARKLILENCGENEWIGFKDNMMRLVKVKSPKCKADQFYYL >CRE05768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1014528:1014769:-1 gene:WBGene00079024 transcript:CRE05768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05768 MIRFLLVLLVALIAISSAQWGYGPYGGYGGYPMYGGYGMYGGYRPGLLGMILGKKK >CRE05404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:160626:161288:1 gene:WBGene00079025 transcript:CRE05404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05404 MFIIDSVFYAPANIAKVFLTTQTNTSSFHFTWQYTDVTNFGQIQHSTGSIIPLNLTQNTNYRFNSPKNQVVFHTGSIIGDNDRSRYRIYVYDGENLNAKFLGNLVVFTDNSQGAVPVFVHPPSPSGKEVGMTVYCLDCQESYINNIRFLNPNVTGQEVRVKPMGPSDGIQKHNSQLYSLQI >CRE05485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:557293:559471:1 gene:WBGene00079026 transcript:CRE05485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05485 MHLFHILRFTFLTCFTYASVQVSFSNRLKTIEEVYNFKNEVKINVDLKNDTEVNDPSTTIPPHVNFHNSHLNTEEDPIFTYNSSYCPIEEWNNVRTDSIPYADRHKLWAELNIGQAKYLYHESFALLAAFAHSDQIIVTMTSENQFNKTVYCRYYDCRRKEIPDQFETVVYPESTVFCARRPGAKYISISETLEEKPEYSVPIVPRVEKPPHYFTVCMATLYGDEPKFLQIVDFIEYYKLQGATFFHIYLRNVTDYDRVLLDDYVRTGDIEIIKMHDPYWRDDFMWHHVQINDCHHRSKYFSKWTALIDIDERMEMRSDSYKTVTSFLDTIHDPNVVNLHFKIQWIIKEFNTPERYENDEQLIEEMIFHKYQNLSRVGTFWTQPKCIIRPEKIGMMTIHAPLAIYSGIRRSLVNHEIAVVRHYRNVEQRVFRGAYQKMMNHAPFNISPIAEWIDRKLTSSILNRVKWVYDILDVNCDQKQSIYQRHGKLNASCWVEQQQLNDLDPSLGGTNASLFTEEEMTFASQATSEVSPPTETSEPSETSSVNTFSTENDKNAFKAPESSEKRSEQFRPSTPTQDTANTIENNQINPENDKNWVLP >CRE05676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:459295:461532:-1 gene:WBGene00079027 transcript:CRE05676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05676 MYKGLTDFKRKVTLQTNKGASEAAEAEVFLNELRDTATILEIVRRREAVLLKRDLIASFENEAETMLREDHVMNETEATVREFGREMREHMEKHRCKDIADQLTEYAERLQLLMEVRESEVVRRRAVSANDGAPPLYDLPSNQGESSNQGPTFGMEQGPPPYDDIAERAMPPSTVVRRPTVTTQPLFPRRQEEESTRNGNVLGSAQSMDITNARRIEDHATDTRFTAIESTQQSLMETLNVIRDTITALNDNATRTRSATEERLQQIQQVLRGIGQNPAQSERDRCNIPLARSQVDLIPQLPTQPTMRGQTHREAISQMNGARRDLVTQPQYTEPSSRGSYTSNSLHVMGSNPEEIKLNIKLDQMKASATTAVLATMKPFSGEVYEYTTFIGQFECLVQNNRSIDYPMKQAILIKLLPDHLALEHQTPQMNEDNYWTIRQNLDRQFNRQGNQVVAILQKIEETTFPQHDLDLLKGSLNSFANQASKLRPFGFNTNDPYFMFNFVRKLPEKIRRVTQTVLQRGNTTFNELMNIAQEWVSTEQSLSFGGGRRLGGYDQSYTAAINQVSSSGGPGQQQLQDNNEHRAMQGRTRSESCGDDFNTAVNQVSSSRGNGQQPHQRPESGKHTVSKYKRWTRFNPPSKTKPCRYCDDASHSASECIVPVEEKTKAVIAKSLCHNCLSEKHRIMSCRSKYSCFNCNKRHFTGHCPHLKKDDVMINQVGLLCNYEDDEELEKQLFRGDGAETPEF >CRE05445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:315870:316808:1 gene:WBGene00079028 transcript:CRE05445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05445 MPPRSLITTGANRGIGFWIIVKNFYRMTRLRSSLHLKRTTLRTVLENKKTADPSPGSGNFHFRKRGSSKRVMSGPAHKKTSSTSMELSYTVDEEDDHFDERNE >CRE05646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:275583:280156:-1 gene:WBGene00079029 transcript:CRE05646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05646 MKDMIEFLNEKRKQISIEMNLDIFELTIDDSLTILSQDEMEDCYSKNAEDEEHSNDAIKFKLYTDINERQLEIDIFNEDEIENFRNETQPADKPMTSLQKLFYPEYTRIGCAFKVCTYGKDNDIFNNLCFLGFKKTETLLPSASKCSGFCKETPLTQTSRTFKSDILNVYNKRRRAFSETVSAGNMYRMVWDNSLESKASKLVKSCGKISPNSTNHDHIFLEPDLLPIIPSEQGKQIARKYISSLGKFQIQKDYDIGLYLPYRWNHTKIGCAHSPAPCNRVICIVESDKTILFLFAQCAADEEYTMVTKAPSEDEMMGEVLSKLNDLRRSVVYDTNASNLHWLKWDLSLAWMAQKATDTCENTEKDVDGFIKMRLRLSNQKAAPDHLLIHDIWINIKNFAEHIKEYGADIDETIDEFSKFYDLFRSDWTRIGCAFQVCKDLMTGEDTNLVCLLGPKTARSGPIFEMKKSEDDLCTDCECEELMCKEPVFDVSKLTDEEFQKSILDDLNGARSKFAQRANASYMNKLVWDEKLAASAHDSGSQCPDKLTHGSNYREVPTSSFWNMAAGLSPLGDLYGVSTVEESYKEVYDWSVMYTILWPEMRKVGCSRTPNCPSEAWCHIGEIAQLDGKMYEKGALCSKCKEGQSCGDGLYFYEFGRTEFTFVVKDLEKLDGAGVNSPLYATGDIDWHLNVCVNSNPKGKFLSAFLFCSPVDVANAWETFGQFRIVLENSDKPKQSIARQMDFSFESEEAENRGWNTFTKMDEVLDESNGFLENGELTFRVIVGVKKVEGFETAKYFNFLEKGEYSDGSISVEGYKFYVNKMIISVHSPVISKLFANTDDINIKDVDRLEFNDFLQFLYGVPVRIEKFNVDMILRLATRFDTYGLKVSCEQYLKYAMNTKIVDPEFAIKLADAHGLKSLLTNTMDTAKTADELKGQFKSYEHLSAETLKEMIKKTFKFV >CRE05708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:666344:666454:-1 gene:WBGene00079030 transcript:CRE05708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05708 MWVLCSLTEDCMSPPGSKQPCAFTSNRFTEYANCFR >CRE05599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1215185:1217480:1 gene:WBGene00079031 transcript:CRE05599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05599 MAPPSPHRSSILKLFKGGVVSVDIIKRLGVPSRTVYDSISRFKKLGTFLDRRGRRRKATVVTPDRIKAVKERIRRNAHRSIRKMAEGMRISRRSLGRIVKDKLKLTCYRRNFGPARPGPIFDKSDPASVMVFGAVCAYGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQTHFNGRHWLFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKKTLLKEWDALSPDYLRATIDAYPRRLRAVIQKRGGRMEQN >CRE05812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1295065:1296878:-1 gene:WBGene00079032 transcript:CRE05812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05812 MDQFQRGHPYFSKNSGEMLKVKPDPPRIQSSVPSLERQIHSRQINEISSDAPNRTSTHDVLPLNRVRSDFETTFKLDTPITLSNRFPPKEDVTNNGNIASLESSSMISAKDSLKPPFDCERTSIQTMERISGNSVPFVDNPVDDGLDMTGVSENVCKESASTGSIVHHCTTELAENEDSLTEEYKKYIHDACLIPEASPDNSELTDCFVSEKERKCDGIWSELSTKLKEKENEKHVEELISEERVDFIPEDEIIEKSGRGLGNQPLEESLVKQNSICLNYTGQTVDSIVTEYKNDEDKPEMNNKDKEIQFVHEQQTYLIETSDTPISQEPEEDSDHAPQIISTDSYSSERQESSIKEHFENFENPFTLTKHETELIDENNSFFESGQDSVLPDTREVMSWSQMVSEHQITTPPVTRTPRISESDNTVNINEFDFLMGDFEIINEANIEEDGEVSETM >CRE05750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:939745:940441:-1 gene:WBGene00079033 transcript:CRE05750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05750 MSVEQHIPNDQFLQKLTAFYRDSKIRGPRSVYVTMKPYDGRTKATPKANTFKEGDEVSCIFRAKWGSKKIATEVKAKEVNKFHTQYSAIIIAQMVNLEKRKKTEDEKKKTGATKA >CRE05586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1170358:1170982:1 gene:WBGene00079034 transcript:CRE05586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05586 MTSLQLLAILCSLSITTVIGGGRPSRRSSDFRTFREHNRCLYKEILLATDIANMQLSDRNDLKKLQKYCVDLQTCYTTINHQSLEATEKSTKLKLACESLEFILEGFEDCAKKTESMREKCKPKTSCDNMLGDKNCAKDGIEKKCSKEEWIGFRDSMINLMTIADPQCDLDRYRKL >CRE05754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:967869:968972:-1 gene:WBGene00079035 transcript:CRE05754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-229 description:CRE-SRH-229 protein [Source:UniProtKB/TrEMBL;Acc:E3LZX5] MNTSYLSTPEFISETLHKWAFIEIPVLIFGTYCILFQTPKSMNSVKWPMLNLHCWSILMDIVFSILICPFMIIPAIAGFPIGLFNVIKVPPILQFYLIITLFATVGLSIISIMENRYYLLFAKESWWRHVRYPFLVSNYALVFTFFIPPLFQIPDQSFARDFLKKEFSNIPISRYETSLFVITLDNYYIFCSVFLTGFSIVVQVCVFNFLLLFNMRRISKLGTLSPSTYQLQKKFLISLAIQILSHMAFLLIPALYYEFSILSYYYNQSFNNICVLLISFHGFTSTVVMLFVHEPYRNFCFKVLCVCSPPKRIASVRTHFR >CRE05443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:312968:313871:1 gene:WBGene00079036 transcript:CRE05443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-11 description:CRE-SRXA-11 protein [Source:UniProtKB/TrEMBL;Acc:E3M0J5] MINYSGRYFSLLDDFVYYFPMSLTVLMVVQRIYAVLNTLGNAFANGKLIVYCIVLSLILFSLMLFPFFSECASHYDNYLLEYVSGCAPNVHIITHLFSTYNWIVPVVSMLFNILIILHFSYKRKRTMRITSNARQSQEKILVVQSVATTTFILSFEITSYFNDLFYTEYMSLAELDRRIISYSRSSLVTLTCFFIYFIGTPGIRKILLEKMRTSTGNRKNTTTIVAARFSDSNKF >CRE05418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:233978:234160:1 gene:WBGene00079037 transcript:CRE05418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05418 MNYFRGIIFISNKEMQEVLKKGKSMIRVLKQINVGCFCVKLIPILSLFPPKQN >CRE05794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1182249:1182578:-1 gene:WBGene00079038 transcript:CRE05794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05794 MFQNPLKIQIVVLLIGVTITIPAPCSRIFLYVAVSCDRHQQSFSSKFGTNSFNNEYEMKEFKTSCDYVDTCYNTFGPCKHLSSIPEKTWNMKLL >CRE05707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:661218:665663:-1 gene:WBGene00079039 transcript:CRE05707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05707 MLSLYLYSFSSLVFLLLFVLSDVDAATVFSSSLKTCQSQCEERNLAYPLDSGEVHWTGLAEFNYSSRISSCRHGCEDVDERESKCNVKCSEEGIVTNSCKQGCRAVLVSFLAQAQALLIQTRVNMEVLETAMKLKWEFSETLAEELKEIANADIFWFSQTRPLNGVLGWRWTSLPQNSFRNSSLVSEVHVPFEHGEHVEVRLALSYRNQVLVSRTTTYHLPLSKAGTTLEVIGQLQLSDDRVAVCYRTNQPTPKFKLTVMTMDDSTINTEESISRCHLFSNLPRDNCCKASISAIDEHGATTAFVEIKLDFFVNQVEIELVSAASSRLIFSNGTHLLENEELDQYALGDSATVIPFPLPTDDIITAIAGISDTTIAIGSSKGSLWTFQMSANQTDEDQPSSVIQLKTVGEMDTKITQIEIDHIQRTLYAVQHDKGIIRCKLRTLESEESPTCVLIVNNDALNPPKQITLDPVNGHVYSLNVDSKVYRTEMIAFNASGIETVASLQFLKDMSPSNGIFFDVSKFLFYSALQNGTMMTLNPVTDQAHIFKESGYSDIQHFRIKSDLIYWMKKKCGETDADENCIFTENLQRSEEDIPNKFTYSSALMSYSFLEEILLKPRITAVSSIALLTSDKVGRVTWDEVATLPFQAQGTSWRNLTYFLKITAPEITDFEPVEIYTLNTDVKIEVKPGNQYNAQVQVCSDDFCSTPSSTSNTALPDLGGADDIISLDMLGNVVVADESVKAVEKMQQPHVLDNTTRTVYLAGDHSVFRIRKQLFNKSFQMGIFKKDLDDAAGIPKPFKDGLFVEMMSIMPSRSKILIASSYKITSYRLPTTFDFEYYSCEEPLEDCAEVMGISSDDTTGMVHFLTQSRNGTVILWESDPENMTPRDIATAPSIVPFRRFLIIHDKMILVTKNNHIVQTDKSLKVVNVASELERVDRILPLRYAAISHKIEFTDEIKFMEGSKTDLQWTLSPPLEAGTVIFKVSIFREKMGGPDPPIITIQSDTNFTIPAEVLEAWSSAQRFDVSVQAITPWATAILNRTGLTAPVKPPTSPTQLRIFATQQKTVDGPRALISFFWGPPTEWNGTPYQYIVNCTKDDGSWIGGPVTTSQSHYSFAVKSGKVSCQAAAANEPTNIGSFSEVITIDSSGELESIYFRKLVLEFQN >CRE05524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:785401:789701:1 gene:WBGene00079040 transcript:CRE05524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05524 MSSSTCTGPFCSIKMKEDEKKRKVEEEQTKKEEKSKADNEQYLKEAEERNREREKQERRKDEPITGVSSSAFSEVSNEEVMDSIAASNYQGTTDEVKEQSNEQRATCSLSQSQRNLPVSSNLQTKQSNSSTPSTPPVIILGLSTLPEEPPFNLPQLSAEKAAKIDALNLAAKRQEAENSKRERSSEWSTELGSGHSSVDLQDPESSKTDEQSIIFVQPTAQSTDENEDEEQRQQPDPIDLNAAPFVPPTPPPAESAQPGAEPVTGHVNVRMSTPSDEIKKKPFKTTKVLSPRAQRIRAETLARANQENSSFSLPGTSFNIRKKPQPEVESLTPPPATRRFSNQINELSHLRGPPLQTFGDQPESSTLPIDLRKSSGNDKLGSSNEMKAPIPRETTPPTPPTTREQTEPLSESSNQINRDHRRISQNLLVNRLLRQNQKINRNRNVSSPKSLK >CRE05747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:911531:911842:-1 gene:WBGene00079041 transcript:CRE05747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-31 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE05793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1177316:1178906:-1 gene:WBGene00079042 transcript:CRE05793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05793 MSDNVAEHYRSCVRDGILRKYFRRFNEQSSTKKDSLYWKSKHRLHNFTLGTRNERKQVKWSQKPRIF >CRE05744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:905480:906817:-1 gene:WBGene00079043 transcript:CRE05744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05744 MNEIIIYLKHKDRILWIFVALKRAVKFGWFDSKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE05385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:18430:18673:1 gene:WBGene00079044 transcript:CRE05385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05385 MRFFGFFILFFAVFAPSLAIFRSSSRGMTSIDENSGDVIWVPIPEERLFEDGGRRKCLFCRGGRR >CRE05681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:484993:485784:-1 gene:WBGene00079045 transcript:CRE05681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05681 MHIVRESKRSRKGRVICILFTVLFIAILLCFSFTLQISDELTENSGSDDFLDPDADKFLECPIDSWNAVETEKVPFGSFDVIQKWKKQRVNKFRPTVLNALLFDKYLMVLIDTKQMSGRHVVCRYFDCLRREIPSQFESKIYPESVVYCPRRIGVHYISITGNILEKPTKPIAIENASLTTDNYTNINKSGEQLETQINK >CRE05762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:997864:999108:-1 gene:WBGene00079046 transcript:CRE05762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05762 MNSTYNISDPLNLTASAVILLLGIFGIGCNSLVVYVFVKVRVERTSFNFICVCRAVCNMFILIWGFLGTFLPITFLNNILFSYEYHTIVIGSCNSVYTSLQYSGLLIAANRFCAMFFPVLYSKIFGFKFTIVISFFVFGYEIAKVIYEFLDFVIRLKCYLIYFPEELAWVPKIPKECHDGIDANLDTTAIFLGLVVALNVATFTKIFLFYKSTDMDSDEIKTKLRKNRALFLQTMIQDAIILADMFFTLRISRLSNARAWSFFCGTVIWECVHSLDGLIMLLFNERWSLLKGHLFNISHPKSPSSRTTQSRMFGSEK >CRE05602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1261784:1262919:1 gene:WBGene00079047 transcript:CRE05602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05602 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M073] MEVLCLIYGIPSLILTTFFVPFLNRNEFKYSFYKILQCNLVLNIFCYLNGWFIRFCSWSFSVPMMLVVYENCIIAFHFTSCSVNFYYNAQAIGVILLTIHRLTSSKYITANQFWNKFYHSVYVLVITLSVSSLGLALFVYLNGYILPKHFDYTTEMFLATPVDPIKYAMLSKIFFIESTIYFSSILILNISTIIAIRQRFIINSTSKKTQKLMRNLTTTALINSSLFFIVFVWQLLGANVFGVQFFMGSMYILSDALSLSLPYILLSFDQNGRSTLAKIIGGQAAILAKNIGGKPQRTTSVVSII >CRE05556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:1003687:1005548:1 gene:WBGene00079048 transcript:CRE05556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05556 MIEKPVSRQNGSSHSKNSKIWLYCAYFSACLEDRTWSFCVSLCMDLLGGMRILSIEQFCEGIIQMFLSGHLGKYFDNLSRKRAISTVVPLNNLTICAAAALIITCLSMNTSSALFITFMVIAMFLCAVNRLFINAERFIIGRDWVMKIAEKGELSKLNATLLTLDQFTNVIGPLITGVSFCLIHFKRRFTRRILLNTNTEIFKMKVKPLVQALVTCIGLRETVGILGAVSLVSMISKAFFLRLIYMSVPSLQMKDDKLEEVSNRRSSNVIYTYWRQESFAAALGEALLYMTVMAFGGLAVGYGSSAGLPEFILGAFRSYGSVTAIFGAFSYAIFEKQFGVLISGLIGLLVQQVFAILAVSSVFLPGSPMNIKSYMENITMGTWWHAMVHSFDGKNSTGVSPQVDWASFTSDGVSLASIMAFLVAIASARYGKQSECEHSFFLSGLWCMDLAVTQIMQMTIPETERNTVFGTHNALCNMFSVLKDILVIILPLPSTFAICIFISYGFVTAGHLSYIYYMLKTKRFSRSERRRQSEVGPAEEMDELNPFKE >CRE05507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:700108:701443:1 gene:WBGene00079049 transcript:CRE05507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05507 MKRSFIALVALLGLCSAAVVQHKLVYRESRKAEMMRRGEWGAYIQHKAALRDANPAVYASLPQNVNDYGDIEYLGNITIGTPPQPFLVVLDTGSSNLWVPGTTCGGTCKGKKKFDFTKSTTFVSNNQSWVIQYESGSAKGFLGQDTVAFGAATEQQLPVPKTTFGIATQISADFKNDAADGILGLAFTSLAVDHVVPPLINAINQGLLDQPLFTVWLEHMGTATNVGGGIFTYGAIDTTNCGPVIAYQPLSSATYYQFVASGFKLGSYSVTKNYQVISDTGTSFIGGPQSVLDGIAKSLGATYNANYGSYLLPCGTNKGTLDITIGANVYSIEPVNYVIDVGMGDGTCVFAVFPFNNFGFGPSWILGDPFVRQYCNIHDIGQQRMGFAKSLQSSS >CRE05527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig13:804323:804673:1 gene:WBGene00079050 transcript:CRE05527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05527 MTQINIENDKSDSRERRRRLGRKRRKRRRLCDQIGEDKWIKRVIMRERKERITDCLMVFAPLPIGFIVANWLCCLLVHSANCNGKRTVEGEEDDEEAEAVTSDRSGKITELFSDLM >CRE03393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:165895:167679:-1 gene:WBGene00079051 transcript:CRE03393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03393 MSSSNTIGRSGYVDEGYQTARNRTTRLEGTERHADDGSTARKLQIMDNARNKIIRLVSGEEETQQQQDKHFKGEIRKFVSGTGFSTLMESAGKTYEEMNSMIQSISTEEERWIREKLDVYQTTIKPGKDIFKEAVLWIGSSKAADTKVYVAQAIKETAKIIGTKRIPLAGPDRCVICYKEGHTKDSCSDTTIEDIKRTMLSWMRLRCLQTDHTKAIHNVEIYLERFKPWNIIPCRYCEDSTHSAESCGIPVDAKQMAVIVKKMCNQCLSDKHASKYCNQTIGCKYCKQAHYYRHCDQAPTNPEQNEQSSESRTGGKVRDPEMKSGDQTATKQQTIKTGGIVQSERQGNKRNERPVVKLAELTLNDPTADRHPYMVVTWFTHEHGLQNLEAYIHHQQQDLNTMRKLFSITKLKRNDTISKDFDTCMIQIIQNLKEMIEEIGGSPTDVVIVHQIPRGQTNKPKNRDLIHTELQGIQIWMWKWSRVPDHRNREGCKLNFAKKISQRWIEANDKKTAKEQEKNSKSAGAKGQRCRGPQQIRSTCNKSDGQSTSQRRNDNWQRNHDQVGPQQSTSLLSVDFPAPRVSWIYTHDISPTFI >CRE03385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:117417:124159:-1 gene:WBGene00079052 transcript:CRE03385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03385 MNKGNCNVAVKVAPRGVFEFPMKDASFVATTSKMYSIINATGTFLAEMPSSGQMLVRLPTSTMLDIGGVHIRGRSDVLELKPVFVADRLSGLKHSDVERVKEEMRNLKRTVDFSLFDAAHDEIGYQMDVDSFKHYPIQYTLNVLAEFRIRANFHWFTCMGAMRYVKEIVDKMNSGSPHPYHRSVDIQMLTGLGIHSANSASIMRQALQKEYGLQIKRDAGNPGVLEKLKKANTSEVPKIEMEMYEKGEKFNKCRSPWNRYDLHYLTVYVAVRYALDIVETEKKEKKHNEILLVTGNGNRSVNGVASIKTAIWDG >CRE03365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:98947:99427:1 gene:WBGene00079053 transcript:CRE03365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03365 MLTQLQSLKSSKIIFNRSRRFRVVVKESIAPGSNDKAAVQEILNTEELMAGESVSDENKTEKAAPVQLSSADQPEIAEATVVTDAAIGSTPVADIDRRIRSCSRRYSKKDFVCQKPTGPPKKRLKKN >CRE03370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:153926:154987:1 gene:WBGene00079054 transcript:CRE03370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03370 MILWRNLGLHLLSFEYKDYFVTLRVVLELIYYAPFFMITKFHGKNRISLVEVHKFSGKVIVLTKKQTIRTSHLECIVRRNSLTKSTSGQQCEHTRDTSKNNCI >CRE03377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:34927:35641:-1 gene:WBGene00079055 transcript:CRE03377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03377 MNLRVLLIEEVSMVSSVILAAISVNLSAVRNDARPFGGVLVIVFGEFFHLEPVQHSPSYAVAEAITIHKAQGLTFDGVIIVPSMDNVQMMYTALSRARSLDLCRIVKYV >CRE03362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:70057:70143:1 gene:WBGene00079056 transcript:CRE03362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03362 MSTPSFPIFRLPTEATKFVIQCMEYIEM >CRE03376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:24648:25087:-1 gene:WBGene00079057 transcript:CRE03376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03376 MSYIPEAVDKEEDPEWAAGRGKTLADQERIRQRKAERAKREMEERDEAADVERDEPLPSSSTPDLQKTSKESDDLVAPVEEMMEREATPASSSPPETDCSFSLAFVLEAPSESSQHDEAEDSDGAGTIQY >CRE03390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:158092:158508:-1 gene:WBGene00079058 transcript:CRE03390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03390 MNALEKRVGILVEENNELKRVRTKLKITKSRGSALERRIHYMSQDNVLLKRRFDALQAEVV >CRE03373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:8144:8555:-1 gene:WBGene00079059 transcript:CRE03373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03373 MNLHSWYKQLQGLEKEFICPTHPKICGALVQGTFAENKLDFWTTKQYLQKYHLFWSMIMQLHNQRNQTIKENYGQDKNLVRERNNSLDCLLSSRKLLFDLHGFTVKGALCQRYQISYGEQ >CRE03375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:20147:20604:-1 gene:WBGene00079060 transcript:CRE03375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03375 MVYVISFTKTKTVDLVEDRPHYQKKEFQRVNGRKPMEHTERVIYVFQDTDVLCFSMVTHEYPNHCGKSYCLIDTLDSIPYFDIHKRLSLG >CRE03388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:135458:136620:-1 gene:WBGene00079061 transcript:CRE03388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03388 MSFHINRSPFLVQIEVLKQLEINEIFLMTLCSRKMKKLVQSIRLRPKKIRYSLYDNETQVSVGFTESDENLHHMAKVKHVSHIPVDEMTSQELGGERIECRCSKNSSTEIFTFTLEHLEENDKNVLALLQNHCAYMFSNKPRIQLEIYSVATLMLSGIINHVKDTSFICEKLNTSDIRRYLRMHSNHESVQVRSRCHGRVFTRKSKLLKMEGLSILRTGAMTPTIMHNFSGRFLLLQNSVLCYQQWSEVIRKWKNKEAYHKLHALIANNPEPLPNVLNWIPLLREFNALQWDGSRRPQYFKLDPKTIHFPQCDPEDIDCTDWLDIEQDGGNKWASIQMTAAQIRFFVWN >CRE03383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:103356:106842:-1 gene:WBGene00079062 transcript:CRE03383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03383 MVRALISCIGQVAGNGVAENENLPMEQLPGAGALALGAATQHQQDENRLMEQPPRALPFKDPHLEEANDEATVPHFSNYQEFSKLEEECNELLASAKMILEWHGRQFGENGVDQIKKITETRAPVTAINESQGYDS >CRE03361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:67260:67853:1 gene:WBGene00079063 transcript:CRE03361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03361 MSSDFPLLRLPEKALNLVIQCMEYIEIVGFSLASNKTKETVKALNLQITTLYLTTEEIIKVHVEGPSRMFWNFYPGEENAGPEPIPVYMPARVTAMPGNTREILEYLNPGYSIQKWIDTVQYIFSHPVIDFLIFKRETCKFDMSSLVKTIGTATVKRSCFMIMWRKVRKDGVKKLSNTKLWL >CRE03366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:139896:140913:1 gene:WBGene00079064 transcript:CRE03366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03366 MEKQKSLGEQLGFYFETMLKMYSTAENSAEKKALKSKIHSMAGELPIEPEMFTEVAVLIGTIDEEIAAGCRKSIHLKS >CRE03380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:61981:62232:-1 gene:WBGene00079065 transcript:CRE03380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03380 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3N647] MIAITIISFGINITSLTIIRSIKFQHRSKVEFNFILIRTITSFVKFLEYVLSSGRVAFSENSLAPSLAGTLPFISDVLSLMQS >CRE03379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:58968:59456:-1 gene:WBGene00079066 transcript:CRE03379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03379 MDPLSYPLSTFNVDYSATHYYSGYYPNTYYWNWSNYYYQNEFNYDQPTSSGYSFTTTSLMTISSTSSGSYSTATPLFSHSMTPSMPTMTPPTTTTPHRHKDSTRHCSNCFATETCQWKNVRSENGILCNACFIYQRKYKKTRPVTAMEKYNSKKAVRQSNSN >CRE03371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:176814:177461:1 gene:WBGene00079067 transcript:CRE03371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03371 MFDQKTKMTPFQFRFPSQTTVIGATQSGKTSLVRKILENLDSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQSVMSKPYNAMMINNDPNADPCFRIMENFLHEFPIVYK >CRE03374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:10681:13821:-1 gene:WBGene00079068 transcript:CRE03374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03374 MYVFKDVTAKMVNKSFGTVGAIDQLQSLQDKYRQNGKYDFISPMLAKSHPSFGRFAQHPSRPSEVRSPLVHLYGSHKI >CRE03367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:143403:143757:1 gene:WBGene00079069 transcript:CRE03367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03367 MEETHQTETEKLKSDHKDQVADLQRQIEARDTKIREANEKNERERAEQDRKNLLAQKALKEDYEKKIQNKEQKKSTMSWRNREWQTSTMRVNREREDIDAIL >CRE03368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:147146:148984:1 gene:WBGene00079070 transcript:CRE03368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03368 MRSQELMRVLKTKAIKHLEVRHHATTQYGTSLPGSRGYYEPPTPPPATNQLFQPMPKQNFVAPQPRDAQNYEEQDAGDADGEGAAENGEDPPGDAETEWIDSDSKDDTGAEPTLDFLIVTVIIGGLSEDCQIVKEWMPEGYGPPHRTPQGMSVPAVPEITNASDETEYAAIGCRATILDRREPSGVCGPEDATESATVASRDSSQFRIINELGHPMMASQQLHGICDQFVSSTRVINNSPHATIIRHQQQHPQAMYKGPQ >CRE03386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:126440:127307:-1 gene:WBGene00079071 transcript:CRE03386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03386 MQETLYTFPISFEINIISKFEVFLIQKSNCLPPIHGISITSATITAGSTIIGVAVKFTLDWQRSVQTHAEMRKSKEIIAKNSNNTLGLTKQQEHHNEEMSSKRLASKSAPNDDESDFPEFETLALCLICTAHFVRPRKFYEYDVVLMKKKLENQSK >CRE03392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig130:163857:165624:-1 gene:WBGene00079072 transcript:CRE03392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03392 MQTRPIDTPPRFEFKRQLHYCSLHVFTQRCYTVVINSCRQCIASTKQLLLIFINIIGGEGSTSSCQPRNHHLEPPKQLTKLLPLARKIHLGNPQYIDVLVGTLKSGKQGPEDGPEKASEASSDNTSHDEVLVGTLKSGKQGPENTDAQTQDSTSESKTSSGNSEDTPHDKPLVGALTGGEQGFEDGPERDSDNTSDANSLVKALKGGQDSEILAFLLTFILLTIWEKKERDQIVEALKSAETGGVKENDEKKEDGNDVLKKILEKHFVELEKLHGVDSSLQEAIRKMADNQTTFLETFQNVNNPNTLNSIVSKMSDDQSAFLKALSEKEDSSVVKKAIDALKSQLINNSKYEYKKLIGAIEESRLDELTSLRSAMKPKNVPFIGNLINFKVENDVLKVQFKDSNVLFIEFDKECAYFLGFHNCIVKNNETASSSIDFFGNISTLYVYCDVVDQTIVGNSKSSLLTVIPCKGKYGEMVQHTFPVPRYLPLMNGTIDSIKVQILSEFGDRIHFNWGSTIITLHFRKIA >CRE13824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1301:884:1479:1 gene:WBGene00079073 transcript:CRE13824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13824 MSTLSEGPVARLLREKLSASFQPKHLEVECESHLHNVPKGAEMHFRVQVVSDAFEGKRVIERHRLVNTCLAEELATSVHALRIDAIPTSKWDGQKQEESPTCRGGFGK >CRE14414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1302:3385:7344:1 gene:WBGene00079074 transcript:CRE14414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14414 MVSEPEDYADFRILATRCPSGSKKNSMAFDATNGMARYRFSLGEVLSWNIDLDVIVGNGWTRITLREIPRFLVDALDRVMAKGLDVDGIFRKEGSSVRLNKPEILEIYRGMRPIPDDFTVWDVCTMVKRFLKDLKPTLLNSDNVRMNILRKAKMARETGEFELSHLEMVEIFESTGRHLSPSHLGTLGYVMRLLSRIAKHSDTHKMTTDNLAVVLVGSVFGDFMTSGGTESRKKMQQVKKCTEADLMAKKEDMGVQVAAVKLLIVNANLIGLPHGHYVSSNRLHLNNHHLNVRSTSAMPTVRCTSLSDNEQTPTSSNTPKVFNMAKASMMHQIHPNSDSQKTSRNQLTRRDSDLTHMKAMKPREPVGTKRSSSFLPIPSLRGLRDRVSNQFLKRNKSPSPDKLRRQLFKPQTSADTPHMNPTSSANLPPPPLPPDHSTPIARRHASGVDSDVDDNRRAGDANRSIQKQGSTSSGRKSRTHTKKGNINSAMKGNQFDSDSQKSFQMSPNPMEKRTSISSTSSTITNRSLRRISQSDQNSFTRGDATPPKKKKSGSGTALTRRNTADGLRKDHNHHNRDRRPTRRPTYWGVDTITEEKENRRSVITIDDLQSEEEDPKEKSMIEGTFENEESVLELMNSQARLRRVGVAERRQRRIANANSGPSDSMMVLNGSMAAFEKLPPTPQSMKVTIGKKGAPGPIIGKSPSKSQREYPIVFETPIRGKEELRVKSMTSAKSKIGQQLEREMKQRLDVVATPMLSRRTASESVAHRVQITQQEPPTAIPLRKLDSIGVRLIILSRTQTLFFEVHQHGHAWVGKSQTVVSSPIQRNQVEKTKEAEKVSPILARSPLFRSPSAATPTNSEGRASPFFGFRSKSHLTEDRIFSNQEYAALATPPRERRIQSRPARLPQSNLIYSSPKMKPTDHLTSTSPLLTRSSSMMTSCSPCIMTGNRQQAHMALSPLVQSNNTDDSQIFKCPFLPPMKSHESKERKKNTSTSSSINRHSSTPRTPRISAQTADSSTELGFGDFNEIIKNSTEDTKFPLLHQPVEARPSVTALRSSACGLVQSRINHFQTIERTSISNRRVSTDMSTSGGRPSGVSTTSLKSIDTTTSSSGKL >CRE15093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1303:5375:6998:1 gene:WBGene00079075 transcript:CRE15093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15093 MIYSQPTIFIIFLLCFLIINIVICSLIFRKEKQFEAEKLIDIYNPNALKDLRAKYNLKADKYSLELSQLARGADHKRFFGKVKLEAFCAIKERIGDIDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITIQENYERINGQLFVGMIPNEISISSMLKKAERREVELMKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQTMAKYNFRIFNVEPNPYCVNCCEYSLIQDSCMDQYGVYPLVPIVPKVEF >CRE15092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1303:2922:4667:1 gene:WBGene00079076 transcript:CRE15092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15092 MIDSPSTVIISLLSCFLIISTLTCFSVSRLEQKFEADELIDIYNPNALKDLRAKYNLKADKYSLELSQVARGADHKRFFGKVKLEAFCAIKERIGDVDDGGKYVCNPRAVKKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITIQEDYERINGQLFVGMIPNEISISSMLKKTERREVELLKIDIEGGEHEGLEPFIREYRVCQVRPFQHLRNLKLIFIEVHGTPSEHLKMLQTMAKYNFRIFNVEPNPYCFNCCEYSLIQDSCMDQYGVYPLVPIVPKVEF >CRE15094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1303:7714:9351:1 gene:WBGene00079077 transcript:CRE15094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15094 MIYSQPTIFIIFLLCFLIINIVICSLIFRKEKQFEAEKLIDIYNPNALKDLRAEYNLKADKYSLELSQLARGADHKRFFGKVKLEAFCAIKERIGDVDDGGKYVCNPRAVRKDNCTLISLGLNNAISYDQHIQNVTGGHCRILGADKDPQNITIQEDYERINGQLFVGMIPNEISISRMLKKAERREVELLKIDIEGGEHEGLEPFIREYRVCQIFIEVHGTPSEHLKMLQTMAKYNFRIFNVEPNPYCFNCCEYSLIQDNCMDQYGVYPLVPIVPKVEF >CRE17691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1304:96:3295:1 gene:WBGene00079078 transcript:CRE17691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17691 MEATSSDFRIPAQRRLWDVVRTILDQLRGLGQEVDNEMLKNMVLKKFNFSIQEAVYRDKMDLGDDTKWSMEQMSRDIESTIKRNEYLAMQMGREEKRGSERDESKAKQRSPKQNEPWKRQKIECILCGKPHFSSMCRTITDVHERLKIIQQKKACKGCYRIEPAHGKNECEGNFLCRECNDKHHYTLCVKKKQTSSQGQNAAKKTEDSRHASSSKKHMNVTTIRKESEGTNDIEKGDQRQYVMSTASETSYLATLKTEAYNQRSEKWDFITILIDCGASQTFIDEELMESFQLPVLKKKNLSLQTFGDEEPEEKTYAKTKIKIKLPSEIIEVKAIVAKTLAGSMQKAPLSDEDKTFIMMNGLRLNEDSLGTKVKPNMILGTDFLKRIWQGKMVELPSGLNLLKTALGYATIGRADTELIETSEENEKHSIFVAVQSRKNSYVSDVEKAFLQVHLHEDDRDATRVLWLKDYRQPPTPDNIVVYRFTRVLFGLNVSPFLLGATIEHHFESHPNRELAQEISTNLYVDNLILTTDGDLTTALQLYRESKDAFSDMKMNLREFLSNSEEFNESIDEKDRAKDLSTKVLGIEWNAETDEMRYAIKIEKSIVNSRRTVASTIAGIFDPLGKLVPLILPMKLFQRNLWNETYGWDTPLTEEDDKEWNQSIEAVNQFVKTLPRHVINKSGPNRLVTFTDASKEAIACCTYVITERGVELILAKSKVKPLKENWTIPKLETQAITLGVQMTKKTLKAMTEGKIEVESIHILSDSEIALAWLKSLPTRQEVGTLISNRLKTIQEGVMEMSQKCPIIFGYVDTKSNPADLGTRGIDSEAARSSIWWNGPSFLKEPIEQWPSSKGFFQLMTENIFMTVAKVTEIEKSPVFDLDCNIISCTDLILNMYKN >CRE15200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1307:17124:17345:-1 gene:WBGene00079079 transcript:CRE15200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15200 MLVFKGKKLVFVCKTPQKLPELWSIHIENLEKLSDLMTEGIEIRDLPITMNQMKRETSEYVQIPSAYSVYTDF >CRE04254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:151571:154092:1 gene:WBGene00079080 transcript:CRE04254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04254 MTKSKCAYCYETQIQENMTRVPRNKNLLAVWCETLGNDFEKKVMEAKSRLFICREHVRHSSKRHSKSRIPYETAEKQGTSTESGNIVYSDDDMFLMDDDFVIVNEEEVSDKDIEYIPEEGEEDEEEAQENNMFEEEEVKPVDYVIVDHSILLKAVLFCRICHSDDVGFDQEVIRGACVSKFSTCFDTLRIPVLSETEHYKNIKKHVNSVVTEKYNKLQKHVLEAVINEAEKLSIAESSKSLEPKSLDVVLERFVKNVENMKRNITVSSLTTDRDPTVGKLMAKKFPKVKQMYDGWHFARNLQKLLWKKQDQVQMKPAKRWIEPLRNHLYWSIEKSAGNGKLAVERFLSFFYHCQNIHDNFKEIGDYRFSSVFRCDHGVLNGSGYFDLTNPQHKKAWQILHEMATEKKRLKDLEHVSPFFSTSQVESFNNVALTYHPKNTFFQEKSFAMRVKLSVIHWNSLKLEERMGIRKVTGKKAHFNKSLKKTTYRNVLTPGTFSWRREILELVRNNIVDSNVDEEEDENEEISIDFFNHFNADTYLWERREDSEFSDEFNDSYDEDNINFS >CRE04252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:8487:8918:1 gene:WBGene00079081 transcript:CRE04252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04252 MSSSILILSFILSVLEEDQAKKPKLERKDQKLANHILALLQQHEDGELEVSQESMLTNDGEEPDNDWNAASDESPNEKMFNGIDTPNVVIFGNVLVTIEQVWEAVQWFSLPSTARNGKNPTSRYWDFPPVQENDKNHNGIRPT >CRE04258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:138961:139407:-1 gene:WBGene00079082 transcript:CRE04258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04258 MSLDYLEGWILTQDSDIRHLMSLDALEGWILTQDSGIRHLMSLDYLEGWILTQDSDIRHLMSLDALEGWILTQDSGIRHLMSLDALEGWILTQDSGIRHLMSLDTLEGWIPTRDLTFPPYRHMCPHRVVDLQFCRGDTQMCPHRVIAH >CRE04255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig131:38486:38794:-1 gene:WBGene00079083 transcript:CRE04255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04255 MEQKKSVKKVADAPAAWEPGVKLPQRVVNRRPLRVPGFPSSQKPGDCIMLSSDDEDEPRVVKMIPKTITLSDEEDEVRVVQVIPVSKAKKPKNKMSNGKENK >CRE17745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1310:8072:11981:1 gene:WBGene00079084 transcript:CRE17745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17745 MIFTVLASMMSSGEDEEQAATDEQERSDLWQQTLQAAVAASSSQDATKKRPAQRKPLRQTNVVERSERSLLCLSLNNPIRKLCISIVEWKPFEFLILFMICANCIALAIYQPYPAQDSDYKNTALDFCQRYPTDLIIFQETIEYVFIVVFTIECVLKIVAMGFLFHPSAYLRNAWNILDFIIVVIGNPIIFRLVSTILSKMSIQGFDVKALRAFRVLRPLRLVSGVPSLQVVLNAILRAMIPLLHIALLVLFVILIYAIIGLELFCGKLHSTCIDPATGQLAQKDPTPCGTDGSAFKCRPSDSL >CRE22232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1311:2105:2714:-1 gene:WBGene00079085 transcript:CRE22232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22232 MARHSTVKKVAKKRSDDKKSQVNTRALCKNQKPNNGQHGLRNGSTRSNGIDNNEKLINLAKQLVSHPELSFHKALQIESQRQDAKKKRDEDGTVAVWNHITIDYDLEEYINESEQKDNRNVQEMKDYVEEIMEIDISGDNKENCQDDSKKRQRTLKIRNANSSNKKK >CRE21667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1312:5025:8288:-1 gene:WBGene00079086 transcript:CRE21667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21667 MTLLIGISHLLAKSSTVLYFSKISSLPFSFKFPVNYFKLFQNNEKMDTISDRHHRCLFGWVHVSTTIRLILAYFVLFNVGLGLLGLPWFSIYIGLSGLCLCFFTCFHVYKKNDRMMFPFYLYVWNYQQPALHLVMNRNPTFFDLQMHKFPFLSP >CRE24895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1315:9785:10754:1 gene:WBGene00079087 transcript:CRE24895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24895 MGSTHSAVERGLGALGKLKKKGRWIPHKLSNFDLERQVEMSLQLLTLHPNFNWLDHLSWIKVVTMFDFCNILALNNFDFNNVRKLMGQPNITLWKGNLKNADFKCIISFCPRGLVFEENEVKVWCDRRLLPFLTHFQKMFAVGK >CRE05164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:264453:265047:-1 gene:WBGene00079089 transcript:CRE05164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05164 MKPLLKSFLQQIQGFRLNKEDMTRFVGETKIKLSDLHELPIEPDDLMKQTNLLRGNAVSTADRDEMMAKIYAYFMTSAYEKNVLLAKAIPPPEGIHDGFDNVMQVIEDMDRELQMRNEKDLDTQAELIFLLEEDILQKIRPEDDDLTLLSTQLQALCSDDRADELFANTVAI >CRE05156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:201226:202029:-1 gene:WBGene00079090 transcript:CRE05156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05156 MSHAQFYSQQVTLVLDIMKRKIIVHDKRNLDRFEISIEYASYVKQMRGYLYRICECEVSGVFSDDKWKVYCSSEWLYGESLQRGYLFVSAHLSRIFNCMISTKRAQYTGYFQTTFAGFFDLQFKFEKLSIDLKNIQSEMLKQVCGNLQLVEHLTIQSLPSSIPIFSTWPMRISIRDASWVTLTTLLTSTSFRIELEDSQLEYKDLEEILENWKTAGRFQNLEYLKISYKKIDVEADETDEIIQTNDGEKKAMISWKTSCFEMKVEKK >CRE05126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:149454:151160:1 gene:WBGene00079091 transcript:CRE05126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05126 MSIRFSILCKGYRNPLGIPRSHRTLYPVTHHRHPIRILPGLCPGHQTDQAVCRHRSERGPQSPRSRKPVGRNIEGLMPAEHLRSRRCPFEVTSSAMFYQNRLTSIRSPRERSRFLDLVAFNNGYPLQIIDTTRFAAQQTSGTSLFNPTEASIALAITSRVLAREEKTELDDTPAFVGTIDASQGQEFDLVIIFTSRSKSFHSSDRAGERNTTPDGTATADPDFIESPERLNVAITRTKSLCLVLVDVAAAGRSKLWSNLFCKIPPGAFHNNPSHLMRHLQTLH >CRE05140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:64452:66998:-1 gene:WBGene00079092 transcript:CRE05140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05140 MQQTAGANQFLGESRCHNKARRIFVEVKSEALVTMELIQICQVILEVNGDVAMSNAMKRQPGEGNCIFMYDGLKKRTAGEDKSSGQELVFPVGKGKETSGGSQKNSVDGKKTVEALKVSEFLKSLQLLDDLLTSKKPVICSFSNGLMNRLEQLSFHFFHSLFYYSNIHYNELEISKTLFTPTCQKISLNPALYPARCHPWTRNHSCLQHLFKIDEIDYPDIDFWYYRFSSGEYELEYDRSRDPKPLVFLDLNLDAVYLIFTELQPQYR >CRE05166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:266570:267909:-1 gene:WBGene00079093 transcript:CRE05166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05166 MKWRRGKMGSTSWSIFDVLERVAPETGSASSLNKLKAKLGEVKEATQSEYNQIVMSNKKMSKKWNEMRKKLRDRLKEAEQAVLKCGVFEESMNDLERWVDKELQRYEQAEHRPVFGDIDKVRQLVDEERRRVAERTTKKNGVKKADALFALGVDEKDSIAHSKERLVENAGGINVVDGMKEIVAGSDGTAVGSRQSEGAFFRRDEAVEGMEMGGLEGEICEVECSCESESFRSIPLNGYTSY >CRE05134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:27981:28355:-1 gene:WBGene00079094 transcript:CRE05134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05134 MMKKIVAVESKSKLIPHPRTSTKKTNVTLDTPPPTMNVDIWHQKRDQRYIIQKKSGFITIKNQKKMEREVHTRKKTNNMNEQLASEEKKNKISKMFVNSSNNISLKSKQQKKSKNLWSRLSLHK >CRE05121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:102916:103205:1 gene:WBGene00079095 transcript:CRE05121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05121 MVLTSDFPLACNATFDLLFTHPVNPTPSVIIPNVHQSASYLRSVQSSSSQLLLPNSEIKKCTKYTSELNKKLQKRYIEK >CRE05115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:51486:52164:1 gene:WBGene00079096 transcript:CRE05115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05115 MLCFFLFEFLVFIYDTKLLRDSTVVPFLSCLSISSTPLIKEKLRDEAAAEERESPVVLFIFLPDGECFNLRRLKHLMALRGRSIFPKGEGCHGAKI >CRE05168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:278397:278726:-1 gene:WBGene00079097 transcript:CRE05168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05168 MFSSGSLNHDKIKFFSTPPLSYLFKLMDFWSPAVADVTRRLGTRLTFLMTVLRFLILKNSLNPRFNKVSKPKYSLKMMFLAFVISSLMSLFYWGRFEIVEDIIWIPPIE >CRE05137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:47615:49302:-1 gene:WBGene00079098 transcript:CRE05137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05137 MRFFQNILLLLCLLALCKSDPSRIHLKFHCNPQVGTWCGTLIVYEHDVFSEHDIMATDSFCETSDTKELFYEFSPGGDGSPGYEWSYLLSHNCSSHGHNHCLHPKGTANVPVEGLSIAELEAEVFESGYKAKTLPLYVDPTVPPIRNLGDFCQQVTSCAVDRLLSILNVDKNRFQFLGTTCLMIAAKFEEVFPPEIREFSIITDNTYEVDEILQMEKFILAQLDFDVALPTAAWFAASFGKRMKFNERMTKTMRYMVDLSLLDVHFLRYRPSDIAAAAACFANVQWGKEAWPKEMIEDTGIDTDDFVDALKDLHHMYITAPTSEYKSIFNKYCETDEMNVALFSHQSTNSLFSTIPVQ >CRE05144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:107283:108001:-1 gene:WBGene00079099 transcript:CRE05144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05144 MYILAEKIVSYEICIPGIKSRVHFTLPKGSNLCKPIRLGGKTQRDDPSHEDVAKGYRVEAIKDVQDGKNLAEYSGEIISVAEKAERVSIINMADDLEPNCYLMNIDIDWYIDSARRSNITRYINHSLIQTGLVKLEKKEIPYRPAFIKEGGENILHFKMNNLMEICLCESNNCSGKKIITTSFLLSAI >CRE05138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:54178:58655:-1 gene:WBGene00079100 transcript:CRE05138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05138 MAPGPPAPPPRTARQRIGTIQSYVTSFDKQLDKVIDGARLWQREQKIIRDQEASEGCQQHAVDRVLAPRIAESLQRMLQQVGELPVLLGNKVTKAKSEAFESGSDPEEVESLGLALVASYVPILKEKKVIIADLLTILTDYTVSYELNVSVPSSPEEILEEMERSFEESSLQEEEKELSPPLSSVSPTPSTSPPTHHITSPPTHPNHTPNTETSTHEEYSSAHPQPHHIPETSILSATGGNLHDQGNTRASEKISDTYFNSNFRNPKVQQLFDIPELHYPSTQHTEETLRTLTCQKISLNPALYPARCHPWTRNHSCLQHLFKIDEIDYPDIDFWYYRFSSGEYELEYDRSRDPKPLVFLDLNLDAVYLIFTELQPQYR >CRE05162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:255110:256778:-1 gene:WBGene00079101 transcript:CRE05162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05162 MTHQVLKQLQSLLQRRNNFTIPSPPHPALPHPKPKNVFVDLSPLSVFLAISKLHPKIGYSTDRINFYIIKKCTNSISVPLSLLFTESLSARKFPDCWKTATVIPLHKKGSTLDPSNFRPISLTHPLARLFERLILKPIKSELAAQLSKKQYGFLSNRSCPLALIDATSQYHLTLSKPKAFMDVILIDFRKAFDSVPHDLLLIKLMHFGLDSSLCDWFRSFLSNRESRVKIDDYISDNSFNNVSGVLQGTVTGPFLFLIYINDLIQSLPSDVYSIAFADDLKIYSENPASLQETLNVISDWCDQWKLQLAENKTVVLHLGVSNPHKDYFIGNAKLASANKNIE >CRE05113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:34934:35338:1 gene:WBGene00079102 transcript:CRE05113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05113 MGEVNCDWFADEQHTKTELFFSRRTELTTCGTDVVDHVDYAGFIGLAYLLNFANPYLFLH >CRE05132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:239693:241803:1 gene:WBGene00079103 transcript:CRE05132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05132 MASNGVNGTHEADGNLQDNNVQIFKTQLKPIFRSLLAPPLVNDSKNTQITLKECLKKFQENEESFKTVAGPILIEYLADLVTQLITQNETRVNYIGTPAALAFEQEWTEKMSNLRTTQLIHNALYCKATLMEFSVKFKSFSVEDQHSLREFMYREIAVLASDLIHTIDIEKNKVIAHYEVIGNRQHAEIKKMAENSQATIAAFIKRVAERQKRICELEQEKNVLSLEMEGLKQRNASFEKEVKEKNLRIEHLNQKLKKMTEDLEKKTREEANLRSAAESHRDEIEEDNAKLGEKIAQCTMESQEFKSRLDELEKETKDNRNAAECLERQKTENDALRKQVEELTNRMETHQIKILAVLDDAKKTESTRESNEQIRRLIQAICINEDNSKTPNTASNQTGVMLN >CRE05161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:229267:232598:-1 gene:WBGene00079104 transcript:CRE05161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05161 MKAIHFLEITAQEIFYDGTGIGGTICQNIDPSYLTAAEKEKMAELRRSQEIPEEVFQGYDPEALVKVKNLMELEEIKIEKQENAKKKAQENLVAERKITNFLLHRHLQHFYQMLATEMKGRGGVLWHLDVLQEEIGKLEKRNEDRSKRKKKIAVMIRASYGWRSAIVV >CRE05125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:142257:143452:1 gene:WBGene00079105 transcript:CRE05125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05125 MYSSLYRISSLFPYFVVCNASPSFLVKLKSHVVPVKLFFIGSLLFRSLSFPVFISICFPFSEKNEPTGTASPQQPWNSGPKKKYWSSYCSTNTTKTTFRAMIIDDWPRFVLDRRNPASACQRRQATQRMPIELINTRRGPKPGYYVSTSIWNELEDKTAKETGGPSEDSSTKHIITSVLEYVTVRN >CRE05112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:24731:27895:1 gene:WBGene00079106 transcript:CRE05112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05112 MQWSKSKQKTLSMQFPKTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRQTDRLTDRQTDRQTDRQTDRQTDGRTDRQTD >CRE05157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:203120:204556:-1 gene:WBGene00079107 transcript:CRE05157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05157 MLVRNPSKDDNGCIFVDRTPRHFDSVLNHMRDGNVVLPDCRQKRQQLLQETRYYLLSPTPKTTMVVISTCMGPRRDLKGIFQVLNANEIPGLVKFIYDQNEVKIKQAIRCHRPTSQVLRRRKP >CRE05110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:10923:11226:1 gene:WBGene00079108 transcript:CRE05110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05110 MSQSASKVHLKAQREIQKHIDHDHEFYCAFFEKNEKKEEVCVSTVESLVEVTIGKMDKKENIKTIEDGQSARIEKPKTESVDS >CRE05165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:265373:266065:-1 gene:WBGene00079109 transcript:CRE05165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05165 MLENACLNLRRNLSKPQAEVDKLQGDADEIVVALIMDKKKKQSVDAKVAKAQLHAYEVLSEHVEDAKPSVDGFETMIEKMVKGGAEGSDYKRSALLNKNQQIDDRYKELLNSAVD >CRE05139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig132:59849:60523:-1 gene:WBGene00079110 transcript:CRE05139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05139 MPSESSPAKMATTTSEQKENKPNINRFVHRFQPDDEVTVTKQITSTAPSSYSNL >CRE02751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1321:1843:2118:-1 gene:WBGene00079111 transcript:CRE02751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02751 MLRRVKNKNPRETSHSFRLYSFIGALPILVWCFVVALLLQDIKDSMRMRAAAKIFHQEKYSSFEKELQAINGLVDLPRTSTTLSMDGTGKE >CRE02752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1321:3168:3542:-1 gene:WBGene00079112 transcript:CRE02752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02752 MKYKDHISYLLYLTLALISSVTLSAIKKSAPLPEIHRIKSLKFEFTDSIGFIERDLDLAQVAEKGSNYSCLFNPVTKKISALESKSLIQLDIHATEPIRFAIKKCKNIEMQECVIFQTLSGSSL >CRE15131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1322:9299:9571:1 gene:WBGene00079113 transcript:CRE15131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15131 MEEKAAEEERDGYSIRIVFKYAKEIFKKLTTNTLTMIMSVFVDFFFIALCSPFWSIDRSFKKHPQKSLPILRLRFLAIQEVFKAMGPIEM >CRE15129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1322:3941:5109:1 gene:WBGene00079114 transcript:CRE15129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15129 MDPPKSFPILRLPFLAIEEVFKTMNPFEIINFSIISKRTKGIAKQMSFYPRYAIELFINETLEIRLHGTKNVVSFFYVMTSDEKMNGKIEEKQWGRFITRREFKYDSVTIDLLSMTIDVFIGQNVSIIDFLKANVKSVDRCILSQIDNDIHVDKHIAYFLNNMKINSELCSDVYIKSINFNGKFPSILKELYINNSQWIGFEKSLNIDCKSVIFMKNQTRNVNLRNNHIFGEQWNSFLKKWIAMETHVNLEYLELEYRDFVDFNADVLYDIPHEVVDKGVKRVLKTRRNKTKKIRGMIDIKRIDGKTATIFVYRVLSEKRFAMSIH >CRE18341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1323:7526:8105:-1 gene:WBGene00079115 transcript:CRE18341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18341 MPFPQNSPVSSSPSQWNNDDFNAILAQLLLSAQLELTEEHINQYIRERSNQNLQVIGFSRETFWNKAAILNGLDTVKAVNQIFKVADFDQRITTEMFLPKVSNNGDIKIEDSFDFTRNDGTKVSIDISRRTVRVYIWSQNQYQDKEKIQKKITMLATGRTGPI >CRE27972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1324:34621:35836:1 gene:WBGene00079116 transcript:CRE27972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27972 MNLYPEEKTFPILKLPFLPLSNVLHNFNYLEMLDFSFVSKKCQFVIQLIKSDFEICLNFQRNRSPKKLIAIIFYRRKKSIFEFLIDELNRRKKYLKRNDESTQSMDDKHMIWVDSAKEWTDYICGLFQKKHNYIYLHSDGSIQEMSDISEWINNRQNALDYCEFSGDETNSDSIELFFKKAQFSIHNLSFALDQPYLRKPLPIEALDLTELSFSSKTWKKPITWITVNDIINLNYRRIVMGVCQFSENDINRIIKRWLDGNNPQVEFFTVALKPLNFHLVLDGIEFERRDRMDKIIDFMGREECHIFEGGYDIRGKDGTLATIQQIRSFPSDTSKFWFAMAVWPYNKFPYKGEERSKL >CRE31610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1327:4218:4520:-1 gene:WBGene00079117 transcript:CRE31610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31610 MKAEITLTVTMLIISIPAVLNQLIMILVMYAKGFVGYAILVRPILMDFRVNTVSCYFYWTHPYYKKKTTNMHHSINAWSPSN >CRE06031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:95975:96461:-1 gene:WBGene00079118 transcript:CRE06031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06031 MLASLSLCKKTPDLWEQLCLLSIDRGACGGRQTRYAYNRQTNQCVPFEYTGCGGNLNNFVSMQDCMATCGNVGFR >CRE06047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:237207:239437:-1 gene:WBGene00079119 transcript:CRE06047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06047 MEKPAPTRSNRKVQFADQQQGNSRKRKASGDMEADENTNGDNMEELAGPSRSGISGMTTARADRKKIAKEGSLPLSSCSSSEADNSCLGMITPAMGNHDAATSTTDVLPKNAFLISKLESGLELKTGKQKNHVTEVDGFKKNKIESRTDKRSDLQGSPEGSASTSKTSMKNFKETPETEKAEAPRTKNIFRRLSLPGPSSYQRDTIKFNKLYESVKSCLHIIVLQHKRGSVSVHEMLTFLYSIVIHIRSSFLSGLVNDIQETLKQYNAYGVSPKMSLESARSVIDILLLACSQL >CRE06021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:245775:246214:1 gene:WBGene00079120 transcript:CRE06021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06021 MFTKLKFFLIFILQIHLTYSQAPCSPGQTSPCLGSTPPAAADPATTGGYLKESYDAAWSAIENGQVSAQAVMKSLQDQIQNAPESMRKLLEVLQMELARWMKAIKKKMT >CRE06008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:77462:78626:1 gene:WBGene00079121 transcript:CRE06008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06008 MDSENGTSQLDYCDNVGGNDYYSCIFQNDFAKFIWLISFVLFLPFVSHLVFLLYSYVYNLNRERDRVTAVFQIIDHFHFILKMYYVFYYASILGAIVRDLLGEFTSLITLWFGFFFLFIIPITYEVNQILLSLLAIQRFLIYFFPRTEKYLNFSEVTMKRLVRTLYGLATSFMLLVWTLKYINESVANNLQLAYFLFLNVLVVFSSLLYVPIVISIRKFTNLASAQLNQPQRYVAWQLVAVVAEKMIVYSVIFTKYMFTNNNIDNALFYCKYSDEVSLPLVIQLTYLGCNRRNLQVLFTSLKSNNFPKTIRSICFFSRQVEPVADGMVQSQIISTVAN >CRE06013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:103054:104128:1 gene:WBGene00079122 transcript:CRE06013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06013 MYKIYVFSLFLTYSALSSISDEFQFDGQEFWDDESRNLCDDVELDTTWQWEKAVCFEYFWNYQAVKMEVIAWRPGLVIYRDLFTGKQVEDYLRLMEEQEFEEQQVVDDDGTEFYSKVRKANGTQIIAKDFPAALSIFNTVKNLMPNLDFKYAEDIVALSYHPGGHYATHHDYLEYPSEKEWDAWMRNYGNRFGTLIM >CRE06010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:89345:90580:1 gene:WBGene00079123 transcript:CRE06010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06010 MSFSRNHRLPKVLSHQLKMPICSCTIRCDCIISSLTEETLHAAPDYLKKDAIRWNSAAHLYDELRIGHALFGNRFHDIEKRDRREKCRSQYHSPEHSPKLHRRRLSHSHLFFGGNDQARRA >CRE06018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:223793:227420:1 gene:WBGene00079124 transcript:CRE06018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06018 MAMEPPEIVRPIPTRPVVQTIPIRMPRPNSMESVMRCIMGDDIQNESQQIPIPPIEEPIPHVEPPSLSSLIQNVLGRAEFYNMLFPQIPVAPLLQPNPIIESGNQEELPLNTSPPPPIEPTSNPLESYPIQEILFRYADGLSKANLRLVNRAFHQIANRSPLGITKIKVVEYEQKIKLEVFDGEWIRKDFSQVKEFMSEFLWILNHPSLQLEMLHFDVMGNSFADNKEWIPYQFLYVAAKRLEGKISCHEFLITVDECASETGEKAELLLEMLDASTIKEMKIQMKRRRIGPRLNDHWRTDFEHPIMRTNHWENVETCTFQVSDYQIPPRYLYGFQEVNIETMNCSALKEMIYTYICNDSRDHDIEINFNVDFEKDYLLERLFDQPREPERYVGIFDDGKVFYGHVAGTGVNIEIFEPDLMLSMDIFENEGSIILREEVMELEEQLEDDEEEDSDDE >CRE06040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig133:167958:168451:-1 gene:WBGene00079125 transcript:CRE06040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06040 MDPPDFSEVRGKAIVESLAITFGLNLPSSVTLNDVEKRWRDRIALMIRCAETGNISIFALLIAKIRILVLGELASEMEETIQSQQQKNHRIH >CRE06224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1333:310:2115:-1 gene:WBGene00079127 transcript:CRE06224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06224 MATIVQTQKLNLHDVAIVQALVYSSESNCAELRRTFQLDLAAGYNEVKIQNLPFDLVQDSIRVSGAGEAVIHDVSVKNQEGADFVIPERVLAIKEIFEEKERAKDKINDSRTAVQKRIEGLDNLITEIAKHGKDGSFHFDGRTIESLNALHDYHQEKTVTLRAQIRTLDQEFRRAEEEFQRASQDYDNTGYRWRNSAQYASIVVESEGGSVELTITYQVNNVAWFPFYDIRVTSGEAAEMHITYFGKVRQFSGEDWKNVPIVLSTAKPAHGVKTLPKLGALEASIVVPEPEHQMRGGYVQMMENISYDAAPMMGRAMFKTASATVKSSNIASEFSIGRPATIDDRTEEYKVNIGQFTLPTKLSNVTVPSRNAAAFLVANSVNSSDYPLVAGQASIFLEELSSIKENSRCSRLSENKVEMLRRSTLKSPRRQLSLLNLSSQTPGPGLESPRKQREVA >CRE10750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1338:20880:21209:-1 gene:WBGene00079129 transcript:CRE10750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10750 MLTLRTKDMKKKDETVSNYRYKYTLIRVRLPGNLLMQGVFGCYEPFSAVRQFVASVLSDSLAVSEFNLRDATGHLWEDETTNLAQLSLAPAALHLLRSLITYWTRRSRC >CRE10752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1338:24370:24988:-1 gene:WBGene00079130 transcript:CRE10752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10752 FEEALTLESVNPEVFGNGRIQNIKWAFDYSNKTKATKEAISGIPGIICHADMNVTNMLWKKDSPNNEIGAIIDYQMLFIGSVAFDIIRILTLGMTRELRREKTESYLEYYHKTLAEFFDGQAPFSMEELHRQYNLIYPFASNFTLFGIALYIKMYSDGTLGNIEKKEENCEELVDRARGIVEDIEALKANF >CRE06970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:219872:220984:-1 gene:WBGene00079131 transcript:CRE06970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06970 MSSFVQVPEFATYQEYINEINKIFAQITNADDMSDDLSRQVIMLISLSQGAKQKAGNENKDKKEMDDIMAMIGSLQIKSTQNPK >CRE06966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:190685:191228:-1 gene:WBGene00079132 transcript:CRE06966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06966 MNCYQYKIVCQVKFEVLTLTNHIQVLTLQNLQKGAQATDFSAQYTEKLRFLQDLLISNNIRPENFNLTDFAAECLRNADIQMHSYINSCNALVPGNVQQQ >CRE06951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:82013:83391:-1 gene:WBGene00079133 transcript:CRE06951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06951 MTSSNPIKYPEKKPKKFPSSIILDDLLFLVSTSVSRKEAVKCARRIIHNLELCQKWRDISSDEISELREIENYLLAVKSYYRIRGDEARVRLFTEKNTVFMNWIMLSVGTVAFSVYSHYYGLPTSYQLFLALLFLVSLAWIVKAFSYLECLSYVVPTPKEESSVRNEGKWMKLKDTPTHSSFILKKEQLALFDLSEEEVFPLKNEFDRLETELKETKLHRAAPTYLIIFLCMLCFLIRSCGEENLTEHISCFILTLVGITPAFYPLFFR >CRE06931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:148663:149360:1 gene:WBGene00079134 transcript:CRE06931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06931 MLVIALVGNLLYENVSNDYKSWVHSDEIIIPDSMILLIMTASVVKVYSKMMYPVAVGMTFLMVLLFMIQNKLMDLYEDFKTMITRKKIKEYPNDILNQKLKIIDLLLEDDPPIWNHREALDQLNRKYLLLGLIPLLMVLVIPVTVNIVISFRFITTMDSQEFLALIASFVTISLILICFPFFYYCDSLSLRIYRGEK >CRE06920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:39273:40431:1 gene:WBGene00079135 transcript:CRE06920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06920 MVFILKTSESCIPTQNVEPVDPFPCQTCSKIYDATCQGVNLPSPSSYCLKDTDVPVVFSIQPSPSHFGDQNPMCATYLNCPGATTEQFDVLRGYGYLTIPGNADNTPTFVFCHESGPKAGMWFADVNVHEEEMNSMRCSS >CRE06932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:152496:153457:1 gene:WBGene00079136 transcript:CRE06932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06932 MRPKRSQPKSKKLKDRTRYFTRPVIVQPGTVTERKHGLQQSVETMREYNGSIEKNFIFTREHYEEEAARLKTKIALSILVMIMVCFCNALIFRVFNTIEIPPDNWLPETPVLALIFPAVGSVEIIGVLFAFVVKVRFLEEIYRIQKKIMALYEKLKIKCKPKTVTTGSNEILSQQFKIIDITFEDNPPSWEHDVALKKLKTKYFNSIIIIQMLILLLPFFAQTVFISRFILYRDVDQLAGLGVSFMIVPFIIGVFVMSFDRETFSDDL >CRE06958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:109026:109903:-1 gene:WBGene00079137 transcript:CRE06958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06958 MLCVQYSLQESFFDYSENIRGIYISPPKKTSCSINTLPDDITPRQATLWWMRQSEVDPAQMTSPSPPNWKKRDDRSGHSRQPVALKRKDLFFKEDQFPNSERPSSHQHHHGPQATNASSSKKEIGWQTSQVPVEYSRIRHRDCIDQDVSTQWLMNCHVQLENTSTAITSDEVKESQRDTDWMIYHGCNYRQTIAVVKQKSRESSSISMMKFFARNFKMGHLLP >CRE06965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:186148:187595:-1 gene:WBGene00079138 transcript:CRE06965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06965 MATTVRVNEPPHYEHCRPVPVEEPKSCWAVICDAWKKTYSPSVLTLLIFLLATAIIIVAMIFDDITIPRLLTGIVGLLVYIVTIPSIWMDFRRDCEPQPDRNQELLLTQVKKVLFAKVRYHSIITWLAIVMVISTPICDDDVLFFMLLVDCGLMIAVVVLGVNTTQNGPLGVRV >CRE06941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:222510:225324:1 gene:WBGene00079139 transcript:CRE06941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06941 MAFYNVQLQIYPGIDATLTTEEVVNYIEHLKLQLDYNFDNTLEEQQQLMRLFHAATL >CRE06954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:91781:92289:-1 gene:WBGene00079140 transcript:CRE06954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06954 MIKERYEQKGASALASSSPIDQTAILNENIDFIMNALDLDSFSIRHTDEEGVDPNFVETTVPLVPMMSFLPQRVTKRESHKRPNLQCNVTLWRYKDSVWGDRKVISCNSPFEENIQLADGDLFHLEADNKITVTSGSEKIDIGQTIVYKANVPE >CRE06959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:110069:110479:-1 gene:WBGene00079141 transcript:CRE06959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06959 MLNLNDLIFDVTMLQNSRRAQQWPIFDHTKESFASFIRALQQVNLPVHSYAKKIEELINCAYSGNEDVRNAIRLSSFLSGLRPEKRKEIRNPTLENFEETVRAARNMENTQSLESAEQGNIVAAVQQLTETFRHWN >CRE06929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:133498:134247:1 gene:WBGene00079142 transcript:CRE06929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06929 MTTLGSLDENTKERAAEKYFVTYIIKIKFIAVSYGMLSSFSHFLLQMNEPFSMYKAKDYRVGVEAFQLFALYSFLLEFILSGDTPVTPPDISKLTSLSYLIVNESHCILFISLITNFIPFGEYLKHLPFYDFFMYVALFLHMTLSCIIFVFFVFRSEHVQKLDDIRGQTRGKADKIRKTCTRNSKRR >CRE06928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:131655:132801:1 gene:WBGene00079143 transcript:CRE06928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06928 MTDLCKLYNSLHDSTPKRTAEKHFVTDQIKINARTATLGMISSFCHIMAQLDGPFPLTKHEFFKVMLFIQIFAVYCYTSDYKYIFFRDTPATPSDVSKLTSLSYFIVNNSHYFFWISFISNMIPLREFSNFNYFDVIMYAALCIHILISCIIVFAFLHRRSHAKKLEVLREKTQDRIGDENTSNHVPIIYIDDYQDDKEYSSFLRRKSI >CRE06950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:80727:81633:-1 gene:WBGene00079144 transcript:CRE06950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06950 MTSIRIDYPEIKPKKFANSEIIADLIFLVSHSKEKKETEKCVERIINNFQICQKERKISSDEIYELRVVETFILDAKRFFKYYGDQFRFRLYLAKNAEFNNWMMFLVGLLMYSIFYFNYGPPTSGQLIIFIVFLMIMLWICEVGKDLEGLSYEIPPPKEESNVRNEGKWLRLKYTPIHSAQSMEKEQLALLDLHRDEVVPVNREYFRLEAERKNRVSGGNKNAFLSNYSVRDMYLPPS >CRE06927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:85167:86685:1 gene:WBGene00079145 transcript:CRE06927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06927 MVYIPIEYPVVKPQKLVSSVILSDLIFLNAYSEDEKEGDKCVRRIINKSQICQKERKISSDEISELRVIETFLLDVKRYYRIYGDRKRFRLYLAKNAEFNNWMMFLVGLLMYSVFYFNYEPPTSFQLLFVMLFLAILLLMLESGKLFERLFYTVPPPIEESNVRNEGKWLRLKYTPVHSAQSMEKEQLALIDLRREEVLPVKEEYHQLNTEVTKMLRTSFRSVPTKLVRGFG >CRE06952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:88750:91123:-1 gene:WBGene00079146 transcript:CRE06952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06952 MVTTSCNKCSEIIEEGEEINHLTSCDQSLFSCKTCKQLIQVLSIGRHQCIPQIVIEDVDGNGVQEEMEPVDYNVPQALQQQQGVPDQEIRFRGPVGEVERHIVQDQGNEAAPDESAPKPLNDRPLNNDDYTPPFITMFLMLWSGAVPVVEDWFNWGGIIWSGWDHLSSAVYLVTIASIVVPRFLSHKCSSSCGVLVSAFLSVLFNQSSRICFATTFCCFCATSSSSLVTSFRKLVWDRETLDYVPRVWLAVALVFLLWEFIRRFVEYSKSESRVHPVLLRVLNA >CRE06961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:146816:147303:-1 gene:WBGene00079147 transcript:CRE06961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06961 MNSNDIPLVLHDENLCPCHSSERKNRKRLPSLYKSARKEFLLRLILSILFLVIVCGLLYLFGIIYDFLASNYPNFCLFMAITCKRVEWKNVLYSSTGVEE >CRE06949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:78880:79982:-1 gene:WBGene00079148 transcript:CRE06949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06949 MDFIPIEYPVVKPHKCCSTAILKDLIHLAAISRDKKEGEKCVQRIINNSQICQKERKISPVEISELRVIETFLLDVKRYYRIWIDRARFRLYSAKNAEFNNWMMFLVGSLMFSVFYFNYGPPTSLQMFLFFVLLMLMLWMFEVGKSMESLSYYIPPPEEESNVRNEGKWLRLKYTPIHSAQSMEKEQLALLDLRREEVVPVKNEYFQLAAEGKNRRLRSIAPICLFLLIYLCSFIVLAHTTGDKTFMGYCFVTVILIIVGILPVFLSMVEE >CRE06957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:108223:108914:-1 gene:WBGene00079149 transcript:CRE06957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06957 MKLDIIDMIRHCVNANNRKPRLIERRSQWDQPNSSIIQHNADTPVTSDHYQSQPTETNSSCFEILAETTAEELIKLIVSVHGSSESITTDCGPAFKAQLLEKTMQSLGIKQQKSMAFHLQSYGMIGRSNRTLEECLSTYVNRTQSDCDDFLPLVTFAINTAPSSATKISPFEAVFGLYQKTTCYLLIHCLGSSTRQNVNFVSRIFGTSLFINWMTN >CRE06919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig134:30779:35198:1 gene:WBGene00079150 transcript:CRE06919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06919 MKITFGKTMMNEKSKDFERIDDLETLIKKECEEVLKNPLEKKPAIQCLKNLTTIRETFDGNTRLPYYALGYAHRTEGAIFSKKVRLMDDLVDMEKTYKSLKRWLLFVLIPIVFITVYSLIHIIIGRAISQWFYLLTSGPVTFLIGFFVVMPFLLCDTSEEENEDICTAESILSSSDDDEEIKIRKTLNDLIDFQIDVIDTLFEIEDLNQKERRTQFREFLLISDIINRPTMSTSMIIPLPYWNPQSGCPRGLHKTLVISS >CRE14416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1342:2865:3320:-1 gene:WBGene00079151 transcript:CRE14416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14416 MKRDQPAQPPKAGETGWLQYIIIVYCGIRMHLVMRKEFSKSSIPNKKLQKQFFRALIVQIVVPTILFVFPAAFVLLSPLFNIKISLQTGWIYTALSLYPPIDTIAFMLLVSEYRKVTKGTVIQVCNKTDYFRSIFRAHKTGFSKETTTSKI >CRE19590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1349:1645:5447:-1 gene:WBGene00079152 transcript:CRE19590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19590 MREKHLLQLLLLFLLSTTVSTQLSCHRIPTSFCCTTRIRDQLFSTDGSRKPASVSGAHDGNANIGGTGSGDSQRGFGGVASHNPGSNSGDAKVGGASRSSFETTTPTAEVLTTTLPTTSSGFPTLIPFSSESWSTVTQAPLAPSLESRPELPPIPSRIPSPTGQSSGFTGSFGEQLPVRIPAPTPIPFNPSPRRDSHRRRTTPDPRIIRPPESLVVIGDYDEDEPPSENAVVDSPQPLVPSQPSFFSQTPPPPTPPAPSVISNSGDVFARSRKEISATPDFEQQSGTAATLSGSSHEWKVSIIPRGQNNKPTGVNSIPPFTIDGKADERFNKFYRTIDSGSTKDLSVESSAVVIVPRTTVAPGVPNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRGAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGLQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE07750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:107612:109569:1 gene:WBGene00079153 transcript:CRE07750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07750 MIRVQNRPLNRTIMLVIAFVVLTISSGALLRFILSNAGVPERNNRIMVYTFYGFCIGHRSIDFIPSEIKLLQLRSLRAMVFFQWIACYSDLQDVNFQFWKVKGYIKFIFDTITAFVVFASSENQIMANQN >CRE07762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:67725:72470:-1 gene:WBGene00079154 transcript:CRE07762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07762 MQTYSYKPPDADSDVDWDLHIMSDGLPRYYMKKPRGGPQEYARPLPADEMLDMIRAQKEQEWKKTWRYWREVVCRVILRLLDLSTTWFLYRMSCCFIRLTVGIFCVFTCKRFIRSVIRAEKKGCKFPMTVYLLNLIYHLFIPTTALRIFFWFDDRIEGPMFSSPEVQKYYLWLKFVSTQLMDVPTILLAIILKPFGSYGTTISEIFNLKVLPK >CRE07751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:111382:112317:1 gene:WBGene00079155 transcript:CRE07751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07751 MPRQRAAAAEDRREWRKIVFWFLYFPGLILLNFFMGRLQIPEKMQFSITLAIQGYLAGHPSVDFISRRTKRKVLMVLLCILAFFTLCNFITIFSSSIPGLGLKSNVDEANQLKL >CRE07746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:87355:88602:1 gene:WBGene00079156 transcript:CRE07746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07746 MPRTKNVPARNPQSKSAKLKKLEKELEKVKADLIAEKQKGVKIKKKIKKLRSIQRRIQDEALQKKADFLLEIKQKKLIKKKIREEIRLSKFELKVLTDEGTQDEQLEKAKETKQKLEERHKRLTDALEKGLDVKPWKECPVCLQEFGEEGHNIPKVLDCGHTFCLSCTKKIAKPGYIKCPFDGVILIFKRKKDLEGHPKNYKCYAM >CRE07752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:117576:119070:1 gene:WBGene00079157 transcript:CRE07752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07752 MAFLSNLRDLFNSEECMIITQKPYYRDPKGLKSTMMAHIAVSFAIYGLQQYYGVIGSYAPEEIPFWIMASGNMLVSIFGSVRGFDIVIMAELLVALITAVVFQFPLFFPVIIIMIIQTCQRLEKTLGYEFPAADEQLEGEKEEPAIRNRRHQAYPTIFE >CRE07749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig135:106429:106943:1 gene:WBGene00079158 transcript:CRE07749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07749 MFRYPVKKERHPKSASPGSLTLGVAKLKMQITLFFPESARVETGSDTGNETKVIIERLPLSKDDPNQEKYDIEFIQGLTTKYHLPVPLHLHRNFTNGYFHLKFVSAEDATNFRIKFRKIVVTDPILKEMRPQMIIRCAFQQST >CRE17746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1350:29:211:1 gene:WBGene00079159 transcript:CRE17746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17746 LSYYLFYGTNSLLSYSIVLRPFGNELQIVMVAWIFYCTHPVFKKAVVNTEMSFERREHTK >CRE17748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1350:8349:8552:1 gene:WBGene00079160 transcript:CRE17748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17748 MSNNSSLYPNTIHFNQSYIDYQFDWINFSVPLAVVPWIYIIPSFIIICKIFRIFLKSSDTKLETDVN >CRE22233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1351:5767:6457:-1 gene:WBGene00079161 transcript:CRE22233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22233 MLLHTSYVVPEEDRLVVRACKIKGCNCSTEACPNAARKLECPKHWKRGCKNQNFRRNIRKLRDDLVCKDRTITHWQIQLAAKDDKLEEWRRRALAVEAEVAEWEVWWEVEGEAEDGGFERARILEISFLHRSNKKREHRKVCQSQLQTKYGGHHFKGKPKTNEPNNVLLKLS >CRE23252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1358:3605:3868:1 gene:WBGene00079162 transcript:CRE23252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23252 MLSEWCNIWQMNVAPKKCELITFFKSRKKNVKSNPLLNISLNGLRLPKCEIIRDLGVIFSSDLSFDSHINSVIRKDSLSNQYVIKCS >CRE23254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1358:11089:11709:-1 gene:WBGene00079163 transcript:CRE23254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23254 MKFLAIAFLLVQSVHGMTLTRVECADIVNNGRAEIAEQRQWGNVNKLLYNISLEKTLSEFLHQYNGCPGPIHIGGSEYLVTLNIREYYLRGEGGRLESEIEKGAVITDAVKFSVIDGPPGSNCSSSGRLANSKGLCYLGSDQKKFVRKGVLQQVGDVIDNKLFGWG >CRE08490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:199316:199920:1 gene:WBGene00079164 transcript:CRE08490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08490 MFAPKLMIFFVVVVLLAIPSSINAYLSTFQFEGQFECNKPSFHYRIVVYENDNIFDDAIGHTDRMYSREPHMYNVSASDTGDGNNFYEIYFQIVHDCDDRNLVREYYHDMGEYKVKDGHTRNITNILLTNKGKLTKDYI >CRE08505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:83192:83565:-1 gene:WBGene00079165 transcript:CRE08505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08505 MPRRSIFGKGKARGFQKKTNEFKSKSGKNVIVVRRRARKNHRRNYNEVLNQIKSMEDYSNAQINKIYNEYKEIRRAWWFVEEKNRPYIFLIGSIFLIVLLAITNGAMS >CRE08508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:112079:113022:-1 gene:WBGene00079166 transcript:CRE08508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08508 MLLLFWCSSFGLFTLIFLAFQEPCTKNEITALFFFDTGSGCHPPIFTQNLTINISDVGNQDYQPMIENDETFQDISDAVKEWMYNGKGGGRSKVENKFSMNLFTMS >CRE08513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:184789:186816:-1 gene:WBGene00079167 transcript:CRE08513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08513 MASSTRLSQTYTSPPAVKQQILKPKDQTTTKFPLTEEQRELLITSGCIPNLREIEAGKAAYIMSDMCSVPPKQQEEMKKVIEEKAYRDARKGKHPIPSRCSNYVYHNKNKILEISGCDDISIGSQVYANKYRYVKIKGSPESIIKARQTLDDCCFCHFEEEYDYNVNHRRTNDKVDFFLYVPKECLRKFNSNEGIRAAQSNALFYSTRVQHQMISVHEDCNPPIIMIGPGDKMREAKASVVELFAEFSEEKASISIPKNNGFYGHIIGTKGSTIRELSRTTGADIWIDKDEGVAMIEGTKKSTAAAQVKIQQMVKDYQDQSNRYDAGPSSNKRSTRMSSSLTSRKRRRRDSDCDDVRDYRQSGF >CRE08521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:233190:236262:-1 gene:WBGene00079168 transcript:CRE08521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08521 MHQPDTINDKRRKTSPRPIDQSVTSSHFWYSLWCALLFVAASSPAFLPLSVRLYSITCAHMWAGPLALYTSAFLSGDSPR >CRE08527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:280074:280393:-1 gene:WBGene00079169 transcript:CRE08527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08527 MSAFMLTALPSAPLRETIRQIILNFTNVINLELLNLCGTEASQDHELATTEWRPLQFGTNTVMNTLKFTFIMPIGSMQNIR >CRE08507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:93527:95446:-1 gene:WBGene00079170 transcript:CRE08507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08507 MDTSSSISTSTTEIIASPKRESTGLWASAKKTFNKCTRGYEAKVINVVGYSILQIVLDLTVFQMMLRYMVSEWRGETASLDMNQEIEPTPERVKTLVFFFFLTLIGSIVAVYQHPIGTMMLIFTQVCATIATIYHLTVPFMRISIEELWEAKNNYVYKGDYYMAIYHSFTTFCHCIVYIFPTFFNIWIIYCTFVVMLVFFGYKIFDIKKDTETTESVISDSTTSEVSTPIPPPVQFAPAKTYYYSDTSSTSD >CRE08482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:63613:64144:1 gene:WBGene00079171 transcript:CRE08482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08482 MIKFSAAKFMEKVEEPHRILMLDFLFDSIGNKTLEDFTTEKLSNTTSLIKQNCDNVFGSRKTFRKTNYFEKYMWISPYFKLRHFMLIVIRNPKGAILNNDDGSWSSESLCVVLFLDRMDDKIEYRRLIDGDNIPRKILQLSSEERENVGSL >CRE08523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:243142:243848:-1 gene:WBGene00079172 transcript:CRE08523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08523 MLVTHQECVDPQEEAPVLFLSAAVRSCFSRGPDPFYLPSTTDNDSRSITISSSRPTIPTILITTWMFIVVPTTTSYHQGFKPVHFQSDLPSTFTQSPFRYLGFTKKCSAWELMNVFEKDPWIHMAGQLMKRQAQKEEERLTIVVTDGDEMFFDEHI >CRE08514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:189994:190776:-1 gene:WBGene00079173 transcript:CRE08514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08514 MVHLRFCPLSSGTLLFLVSLKTDDLRRPLSFIHISVRCFQLDSGLLFPNRQYKFVIWKMKLLRFILFLICFEVYHEQVIYMSSSEISYNVTFSCKFGHFCLSGLLSEDDSRCVESTLTEDITSRLFYQCSTRPSNNVNIAINSNFDEKESFVDYFQKKYYEPTLLVVHNCTVLDGVLHSTHRLPSVLIQRK >CRE08511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:156892:158780:-1 gene:WBGene00079174 transcript:CRE08511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08511 MPIFVRHAIVLKHCQQTGIVWCFETNVQRMHKFVSHQICPIRYIASFRVLDVLPNGLDSIENLSFRAPTISDGIRITSNGPVMSTVVWHSRNQTDLSHHSNMTLYSKQYGLVFCKNNVNPMLTFKVEICVNDLDYIWNGTNLFIVTGGVHSVALCDNCLEDTIHEVNRCEKYCRINGTRTKRQLVPYRKEHHPQDFDSSLDRYIDRLEVLNPSSNESRSALLALYGLPSVPH >CRE08512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:161035:166723:-1 gene:WBGene00079175 transcript:CRE08512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08512 MDTKPKKPFRLMSLPFVAFRNSLYQTDFYDIMNLTLVSKKTQQKVKACALQAKDVELSLKSNYEKELNVMFAGEKYTWRAIETVTTNDGRYVADHHDNVQFDLTCSEIFNILNKKSVDTFRFDRNIKLADISKNWELIKEAINIYTATERLMRDVLDMVLNKFERINTLFVDTNLPIPYEGFSKKKIKNLYLGGENTVYSSKDFMDIETEVLLIQSSSMDWMVLKEFLLEWTKLPRNPEKLEPQVIRIFNIKTDASILQGIHTHPWNPHYRSQNYIIHLDNGVVAVDCSEGQDLIRPDGKIATVLITESSFDFFVWERTFHKIPGNADDVIIKEPLSPNHYHYLANQSLAKTVLADYIHQNKGVMAVEISGKIAKVYADKFLIIETGIGERFGNVLDFCQLAADEKFVAFRISIIYASGGYKHRRNTLRKFLRTLDNQYDLANYSCSIPCYLRKKYHFNDEKGSIVYFHRICGEKCIKKFPWCYCT >CRE08518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:215814:217164:-1 gene:WBGene00079176 transcript:CRE08518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08518 MAKDPKRNKNRETKTVCKAVIKKINTVKEKERNARQEAENARLAQLNAPRELTKGMKQRTTDPVTEVYNNITNLKEWKRSLLSPVNQSSIDFVSSCSSIDLDSNLLHPSYPKQLDTNSPCRENKKTQISKEQAVKLYKKKFD >CRE08493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:240758:241932:1 gene:WBGene00079177 transcript:CRE08493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08493 MSRDAVTQPAAFGIVYKPPGTVRPVKYTIVANDQGWSKNEMIHLTSK >CRE08506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:84844:85779:-1 gene:WBGene00079178 transcript:CRE08506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08506 MGSYRRVDSFEDIHFSDSSPSSESSPERSPSPSSSPPDSPPSGASSAEDDDGIYVRDEDRNKKVVYQEKNSEDETDQEDESEAEQEDEVSLGQAGIDTENIIRNNTYLIRLKLDQQLKIKQLNHPWLLHDMSTTTP >CRE08492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig136:228653:229417:1 gene:WBGene00079179 transcript:CRE08492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08492 MLNSLGPGNLVKAIEFLARVTLEGKAPSTARDYFSGCDSGHTEERSADEAKPKAVSWSTLQIVAGTKSNDEKGERDTLILLLSYQALLRAEEAANLKWSDLTQNLGNPSAKSKERSTCTRSKHVHSLSGRFEFGLSSQAMESLRIFTIEKERGAANDLQRQGFSKEEIKARGRWRSDAGLKSGEVGAEEETDGPPVLVRQPEG >CRE01484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1360:10602:10700:1 gene:WBGene00079180 transcript:CRE01484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01484 MILSLSLFILGFIGLSETATCRYPHEKFIGKR >CRE02754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1361:3485:4269:-1 gene:WBGene00079181 transcript:CRE02754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02754 MFVELSYFQFPRNRRHSLFTRVITPDASRLRNFYGIILSFLSISVFPLRFPSSPIVFVVFFFSYSHYQSLFRFYMEDHRFTDTILRTTNVQSAIQRFEKRSPAPPPPFREPVEPPLLNSLDAPLYVNTNIVYPVEENSFFPQITPPREHVTYGATNEGFMDPPMENMTLNRFETGFKPSNFGKTIQGIYNSTEHPQQQSPVPPSLAVNLNVMSPEMSPPKPAERRKFTAKPIEDNSYFFSQKSLFSYS >CRE02753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1361:9999:12987:1 gene:WBGene00079182 transcript:CRE02753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02753 MLHNENSDFGLLLTNNDDEEAEHEMAQSVQPVGALKETQMLLSAGNPNIEALLNSPEWKLVFSMLQERLSQTTTNLSTLPPTTPALATPPPGFDLLCFKVFSTTSPPLSSGSSSAESGVETPARLKKKDHVKRPLNAFMIWSRQERRKITDSYPDCHNSTISKLLGQRWKEMSDDQKKPYFDEQEHLKELHKQEYPDYRYKPSRRRISIDGKKVPLSRLQKGSQSWDQDSPVNLQAPMSVTELTSRVLLLSNQQPELQKSNE >CRE26888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1365:1397:4043:1 gene:WBGene00079183 transcript:CRE26888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26888 MLLPPNLTTSTMMTSSSSESYDADNPILPPEPILGDYVEMFTLVLNFIVGAPLNLAAYTQLSERPTSTRLDLLKRSLNYSDLLVLFIYVPSRACWLLTYDWRGGDALCKIVKMFHTFAFQSSSNVIVCIAVDRLLSVLSPSHHSPNKALRRTKMMLIVAWIVALVISCPQLFVWRAYLALPQYNWSQCLQIWEIARMENFGKPQVVSSFDAEFWYSILHISLVFWIPCIIIMLSYIIVISWVWINSRPSIRHTSSFSFHTGCDTVDTVLTRASEWNPLKTFSRHVNIKEPEKPMTTPRIVVNDETEVPLTQRPSISPSEASAVMRTGVHTSTSYNANLNRSRALRVSFLLVLAYIICWLPYNLISLIQFLDRDFFASYLKHVHFCQQLIIFNSVVNPYLYGFFGPHRPSHSGGVANRH >CRE26002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1366:5169:6516:1 gene:WBGene00079184 transcript:CRE26002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26002 MIIIEFYLVLIFFIFISLQSPHWIVQVSREPYVWRATLQLFVYLRDLFSPTTVHFDPDLSTKIKGNHALRLLDTGMVSNTTQETFDATCSKTSTVPTSQMFARGSHRSTFV >CRE12255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:137069:138195:-1 gene:WBGene00079185 transcript:CRE12255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12255 MAFEFGPETPPLTTPAEETNDLATTSKTTDKKTVVGGANGTVPAGPSQEYTVIAASDFPLSGVFIELQKPTWRCKVVYHMVEKCSHCDPPVQDVLSTLEESESFDRRFEVFGNSPFSSS >CRE12237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:152733:153137:1 gene:WBGene00079186 transcript:CRE12237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12237 MVYFDTFVTDLSNPGLAPHTNNYYPGDALYVTELVTRQERIVEEKPVSFADIHNAKNHRKIKTCYLLHRTIQEDVVAVEVNFSRTRSGKDLCVAAGSNTLVTARVDMFKAFDKTIYRLIESMQDLPQTTSQSKM >CRE12246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:14573:15552:-1 gene:WBGene00079187 transcript:CRE12246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12246 MAGRFSCRNIINLSVRIPLATFLIHYFSHWLFSKERAIQLIKFFTANPSFQFAGRAARDLSTIQKMTRFWPPHQGYGLGEFSLVYTICLILFMR >CRE12264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:203411:207746:-1 gene:WBGene00079189 transcript:CRE12264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12264 MSDRYFRILQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRILQKMYSENVRPTFSSTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRVLRNMYSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRILQKMYSENVRPTFSSTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRVLRNMYSENVRQTFSNTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLRNMYSENVRQTFSNTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRILQKMYSENVRPTFSSTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRVLRNMYSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRILQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFEKCIQKMSDRHFRILRKMYSENVRQTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLRNMYSENVRQTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRMINTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRVLRNMYSENVRQTFSSTSKNVFRKCPTDIFEYFEKCIQKMSDRHFRVLQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRVLRNMYSENVRQIFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRYFRILQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRVLRNMYSENVRQIFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRYFRILQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLRNMYSENVRQTFSNTSKNVFRKCPTDIFEYLKKCIQKMSDRHFRVLQKMYSENVRQTFSSTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRQTFSSTSKNVFRKCPTDIFMLSRQILLRSLHSIQEARSPYFDD >CRE12242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:209422:210750:1 gene:WBGene00079190 transcript:CRE12242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12242 MNSSDEKPQIYDPRPRSEIMSSDNYEVPQIVKPAVAVSPLRNPLMWQRDATRFPIELSEAADRNLLDSVSIIATDGFISASRGNLIISKILKVGPAKDTTSETEDARRIPIDSKGFDATIDRPAAASTLHPLPNQPISWHKGKILAENQTKETKIRFSRNEPKPYEQSTTGHCELMDKLMAPEAKTSQVPTPVTHDPRLHSASKHPVQLRHYVEHTSHEVTPIRG >CRE12248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:47908:48221:-1 gene:WBGene00079191 transcript:CRE12248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12248 MPTRPAYQHHHYSTGSNNGHQQAIQQQSAPGGLTYRSTNTTSQQMMGQRYGVANYKPHRKEFLVVKVAKIAENWVGYPER >CRE12235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:135471:135866:1 gene:WBGene00079192 transcript:CRE12235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12235 MPSVKTTSKKAMTVVKNVNIRRLQANLEENWYNEPNKETESAAEKMTEKSKKQVSKPRRKRAADSSSSPKNDKNKTVPVPPKVPKIKKIINVTVYPVSQKETDSAVQGLLEAEEREERIVANYGEYIPKRW >CRE12227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:13599:13802:1 gene:WBGene00079193 transcript:CRE12227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12227 MFCPYLNIALLFTLLHLLAFYLKNLKNHSDYSLEKFYNDATFLILLTSTVSKFSIFTQSAIADLDLN >CRE12252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:107537:109045:-1 gene:WBGene00079194 transcript:CRE12252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zhit-2 MSTTTTNPSTSSTRFQSCGICGIEKREPYKCPRCSLLYCTIKCYRNEKHSECSETFYQEQVKRELSGKQADMSHKGEEYREKMQKFLDGDWSGIEEGEPLDSDDETEEEMNEWQKREDEAMKKTIEGTIDDYELDDGEIERRMTTCGLSDDVDQLLNTLTPEEREVFKQLAAEMQNEELGLDSSCFSGKKR >CRE12261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:187620:188891:-1 gene:WBGene00079195 transcript:CRE12261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12261 MNFIILLSRLIILTVGCIPTVPSSHPIVSSTYYEETTTPTAPTSTTPESTTCPTTTFFSSTTVPSTPSTTTIPTTSTTTTVPTTTPLGCCGYPLGVTSINSGDPSGPMWNTWANCSNVFIQCQITNPLNGTFVLVSIVGNYSASFTPFDFQNNAVVFSTATASETINATVECDRNLKLWHVNNSSRYFSSFDCFIQYSNGSYLPSG >CRE12251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:105302:107117:-1 gene:WBGene00079196 transcript:CRE12251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-21 MLSRRLLIVSQSLLRRSSPLVTSSVSTSSEAQVIDTDRIQKEVFKSISEEVAENQRQRLFAVVYVNGRQWKVSDGDLINLEGNLPLNVGDEIKLEKVLMVGGANFSLFGRPLLDSNTVSVDAVVVEKKTTNPELKYVHLNHHQTKFLNWKSDEATVLRIKSVTAKAVQQ >CRE12263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:200580:201103:-1 gene:WBGene00079197 transcript:CRE12263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12263 MRVLLVIIPVLAVVAITGVAVSRITYQDLSFIRNASVIGLSVAMLPMLGRISVAGIFAFITLTMSGLGFGYFDLATLINYGDMNGVTTVYIINVFILFGAVFGSSRGRPGLVFGPVGGYVGGFVGSIFVKIAQMIPYFNFLQ >CRE12245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig137:11954:13116:-1 gene:WBGene00079198 transcript:CRE12245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12245 MTEKVRSLRKASNQEILIDMPTVTQSVVGTRYNSRIFNFIATPIYTSIIPFVLLLPMMRLSEMHIFVLALNFLHILGSCYIVYSVWYFLKSDKTEVEQEKKKVE >CRE06231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1373:12773:15071:-1 gene:WBGene00079199 transcript:CRE06231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06231 MLAVARYKLNVDEKVIQAGKFDQRSTGAERKLMLEKIIQADEEEDEEEVVPDDETVNQMVARSEDEFNQFQSMDIDRRREEANQLHRKPRLLEEQEIPEDIVKLSFDFEELEKAKEEGREIVEQTPNQRRRRKEVDYSSDLLSDEQFMKQVEEVEDENERFVAEKKKQRKRKMAGLDENDDTMDDVVLQHKKKKTDPELLEKINEILALILDIKDEEGDPICEPFQTLPTRKELPEYYQVISKPMDFDRINKKIETGRYNEMSELNDDMVLLVNNAQIFNERTVSLCKVRRLLRGRGRSNMKSRSTETRHPHALSEETPSTSSSRPSTSGTPSVTDRQRLQQQAQLAQQAQQQAFLAQIAKLPMAQQQQFIAMQMLAAQQQQTGAAAVNTAAAQQQIMMQMQTMMQMQQLAAMAATQGTPKKEAKKEEAKKEETPVKKEDEPSTSAASDKKKKPAEKEKEEAEPMEEDEEEEEIIGKKKVTDSEFYRYLLTFYFQEPTSGRRKSRPTRRYSNEDYDDEDEDDE >CRE11403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:108464:113673:-1 gene:WBGene00079200 transcript:CRE11403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11403 MLRILIILFCFLGTAISLHCLFCDSIEKCKNSFDVECPPGTKCYTVKKRGVVTDRGCAHSCEHVINLRSSAICKDCQHDYCNRENVLPHNVDIEHHQNENGEIKTLKEGEGSLTDKGCGHCEAITLNPSSFCKDRRQTTDYKMILKMQLGKIDINTEDFFTTNTFTKTRSNNTFHWKAGKTKTRRNFFIHRTLSRVAISSDRPPISPN >CRE11405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:121056:121849:-1 gene:WBGene00079201 transcript:CRE11405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11405 MSVPPPPPKNDREAVQRLIGEIRDLYKEIIKLRANDRELYRQLSDRVNAIEQDKSKRFMKNRRKYKLEEKNKLKNASANPGNAPKKTPSKNSKIDSASNEPDEKGAEKEE >CRE11391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:3033:8005:-1 gene:WBGene00079202 transcript:CRE11391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11391 MRSLLSVFILVLIVSCCTIASARRINLIVKKTNGWHDSATIQSVDYEKLGRKSWDELTEVERYLLETEHALRGLNTDSVSKDYVYQEGEYDDNEASIDYEENSVKDSDEGDEENSVSDEAIEEGEGKISPNETDDGLNTTQVVEGVNQKDSYNEEDLLGGDNRQMDWNDNEEVSIEMVKRTRETQFKLARDDVYKQLKTYVKGNVSTYNAIIKALIEFAEQIMHEANEDREAKMNVEKKSKLQLRESSTPVVEPEALKQQADLSTSRNIDSMEEVNRILEKAEELEDAVKMRNHQLQAAQQKNMKLEAEAWADKKELAELRQKIQMQTMRQQEKEEAWRLVEANSLSNSYSRYGEQVPNIAQNEQRSSQTGGNQYTPSRSLVNSRTPGPSMSGEHQSQRSRVKSANEKEDKDLHDAIETARGGYEYESETERDDEKVGNGRYFTKWDTGKKKELSQLEIMSRLMVEQNLPDPPKFSAEEGSVKLESFKKSFALKFDAFSEDHQITLLETKYLSGRALRIFKGLPDHEKRSIQEIMNAIANRLRISPEDETRRAKSRWETLRKKSDQSIEDFCLSMDEIARVAFKRINASELSSLKTAKLLDAIAENETLSCLIDNRLLGVPERDHYDTCRSLVTRYEQGIRDRNQRIRNHNGDKRKSPSQQNNSVLSSNNSSEQSNHNAQRNISNSQNKTDNIENPAIQNWRQRTGNNANNNGNSVGFQECSECKLIGCHEPSCSRAPGNSRASNRPPVTCYRCQEQGHIATYCTKQNQNKVTETKTKDKDNTVSAVEKKQVAVIPSTLSEEKWETVEKPGVKIEKGRIGKAEVELMLDSGACISIISHKIWKEIANENGEEWVKKVRKGKPELLQVFTANNQPLKLLFSVEVETSMQTRTRLIKYYIADIDRDNIILGMDQFDKLGVEVRIEEQPRAITMCKDIRLAPSASRVVQVEVEGIVLEGKNHCLITSMHECIAPSICEVEVNGRACVTISNFSDKPVLLRKGPVCAFEIFISKNSDFERSFERFFERSFENLSKKFRKTSNLSKIFRKNFERFRIFRKNFEKISKDFESFENLSKDLSKDLSKDFPKETESCFKNNFR >CRE11378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:87857:88198:1 gene:WBGene00079203 transcript:CRE11378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11378 MTTTGKCFVLKHVFKNVSNMKEDEYHYSEAEEYYGVEWRMKACRTKEHLQFYFNCVKTAEVGKWTIEAQRKQVLLSKSTENRFKEGSATFGTTNTFHGT >CRE11408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:161901:162596:-1 gene:WBGene00079204 transcript:CRE11408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11408 MFALKHDMIIMLVFACTFSQISVSLLPCVLLPTLTIFLIIELQKARNHQRTLNSSNTNLTTTVTEKTTGLVVFMTVSSFIIELPGGIIRVLQFFYTDLGYWRLATSVGQILNAMFSLNSALHGIIFYLMSTQYQKSVSRVFRRKVSLVIGSIRMHLVFSLRG >CRE11381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:164949:165981:1 gene:WBGene00079205 transcript:CRE11381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11381 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNAHRSIRKMAKGMKISSRSMGRIVKDKLKLTCYRQPFSRKLQRRNGSKGQKSLQRTRNGEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYFIWGVLQNKVNAKPHSSIEALKKTLVKEWDALSPEYLRATIDAYPRCLRAVIEKRGGRMEQD >CRE11411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:234119:234319:-1 gene:WBGene00079206 transcript:CRE11411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11411 MTPTTSDIFRVEKAHRCQKSGDLYCRVHFKLMEENQNRKMMSVENNNNNEMDGQVKNQEQEQVSDI >CRE11413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:240101:240769:-1 gene:WBGene00079207 transcript:CRE11413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11413 MQKHCLNDLCFNYVISRVIETGDYLGQPYQIRFTIFFNNVFSSDRSVLSGVPQGSVLGPLLFLVFINDIGDAFESNFLLYAEDLKLFSTNADCIKNDLVRLSVWCDNWQMGVAPEKCEVISFNHSRKHYNSASLNFSINDAIISQTKIIRDLGIIFNSDLNFSNHLDVTLRKAHQRVNICIFQCFSVMLTSKFSSNVLKFMFVPFCNMGRLFSPPHLKNKLG >CRE11393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:19034:19822:-1 gene:WBGene00079208 transcript:CRE11393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11393 MRSLLPVFILFLSFYSIANARRINVIVKKTNGWHDSATIQSIDYEKLGRKSWEELTEVERYLLETEHALRGLNLDSVSEEYDYQEGEYDEISHDSKEKDNEEATDSEDNCIEDSGKDDTPEDDEVNSVSDEAIEEGEGIDDDNNVNDVDDSRNENQSKEEDDESEDSEEDSAEDSEEDESTETDESLPEEDASQKPSETSHHYNDRRRIGGRAARVWNTRQYQYYHLSVHYLINVYVKLRSKIDI >CRE11410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig138:223190:224427:-1 gene:WBGene00079209 transcript:CRE11410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11410 MKSKHSSRSDMGSNQLTVVNVAKLARSDAATQPPDPCGQEKWRPGREMARTERSSNSVEVDDLISFK >CRE14421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1382:5221:6247:1 gene:WBGene00079211 transcript:CRE14421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14421 MAEVLAKDRHALKGCFLLGCLPGLSAMETYRNIRETLVSFRPRLDIDDDISDVLEDEPRSSVREVSSHTGPSFATIFRHQKESGRTAEYGQVISHELTDSQLKLSCDLSQSLLSRKRNFDWILDIVTGNEKWSLYVYHTGVLDDDEPLTDQKREMHEKKVMLSVWWDRNGVICYKLLPDRATITVNLYCQQLREMIQFHRSLRPEIDHSVLLHYNARSHSATESRNFLQAQGVKVLPHPPYSSDLPPTEYHLFKSLQNSFAGQKFDDRMQVKSYLDDFFTSQPAEFYAAGIAQLPQCWQDVTSTQGQYITY >CRE15095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1383:262:647:1 gene:WBGene00079212 transcript:CRE15095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15095 MIALELIPLGIILLIVTSIGIVGNTIMVVAFFKFKKLKSYCHLFIMLTCLADCFHNYGQLIFTVHLFGDFQTPQFICSLVNIPTLIGVISGSCWMLALGLDRFFACKWPIR >CRE16545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1385:4186:5337:-1 gene:WBGene00079213 transcript:CRE16545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16545 MINFFQTTIFFSDRQSLGFSSVCVCVCSSKERMEQLQCHVKQYAWGKYGEESEVARLFADGHDGFQIDNKKPYAELKIFILEVFVMYRDVKICINTQPMYTPEI >CRE15204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1387:7561:7892:1 gene:WBGene00079214 transcript:CRE15204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15204 MSRDSNPSSLTILLLLVILVISISNYFTFILVISISNYFTFSKIVKSISNQMFTQFYLLQNTTSSHQPIQILPTSSVSTNQNPSKNCGKINC >CRE10553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:41402:42452:1 gene:WBGene00079215 transcript:CRE10553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10553 MPSEFPLFLLPMLVLNRILKLVTPIELVAISLCSKKSKTLCSSARSQLQCKKSTKEFMLKFSLNKEIRLKFDYYPKVEWIFKIDYFSIIDNISPRKNVLQKFLSLFRRNKQENLKPNYMRVMNELFLTSWIPTENESLSEFSLQFYTSEEYHILIMNLFISHLSDIFNAPLATIDLYFQNFTQEDNERIIDFYCLDREKRQAVHSLTLTGKHWNTAEDDQVVDSLLRRQKVKKMLKLLFKPTSEFKFRTEYVRNNPNLFESRHAHWIHFNQFLEIASFAVFLYSSLLTSNHFKLLIEKWNNGWIPKWQMVTIEFCENVDVDECVNDLIITER >CRE10564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:181852:182485:1 gene:WBGene00079216 transcript:CRE10564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10564 MNLGLKTKSITGLIIGLVGSCLTSIIARLLFTHVYTGIEHALIAAITLNSPLVLILFQYFFIFQAKLNCRVVSPRNSKVSWAIVLLNFLIFGMTIYLYIEDFVIIMCLPVAYEFGHTLITMSTLVELVRVLRGKIELREAGVSNQNFEEENIVELGELVNLENGENEVRAEDLIAS >CRE10547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:8409:9686:1 gene:WBGene00079217 transcript:CRE10547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10547 MEEEDIYYWRKHSRPSPNQDHIRHSLAEYVLHPLKIRASILKSIYIPIPSDHLQSEYSDFQPNILYSLNRKRRWEAEDSIGVDLLEELTQLNKGWRVTVVERKQARNYEFPLCFAAAFYLYSHYFEDLYPVLKFCYLNNNKEYKEKSARKQMYKHFLGQMNNFEESDDDPIAAYNFLRPPANIVTLMKKRFQSRDYNSRGWQRDGYWNRLDRKKDRYIQLRYEELENLDGGVWNDGLDNNVEEVKVKYYNLEDYLVEKFVMVKKRRSGKKRLSN >CRE10549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:26383:27667:1 gene:WBGene00079218 transcript:CRE10549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10549 MSSEFPLFLLPMLVLNRVLKLVTPFELVAISLCSKKSKMLCKSARSQKECKYGTNNFLLNFSSNKEIRLKFDYHMEIQWVFKIEWASKRNQILPRKSVLNRILSIFRKTPQEINTTTFSQLGTNFIVPVWIPTEDTSEVSLKLYTSRDSGYDDVNTFILYLSDVFNVRLVNFELHYQDFNREDNEKIVDFYCRNSENKKSVPSLSLFTDFKLLIEKWNSGWTPKWRVIMVESSEEVNIDECVSELVALGRFSEVQVERSEVKDEFSDGGVSERITYKIHRSDKAVGQIIVENSSMFWLYVKIDSEKNSRFYPPSFLS >CRE10574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:43993:44808:-1 gene:WBGene00079219 transcript:CRE10574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10574 MDPAETPGKNRWKFASTLRDLVFGTKKKNEEYQSTAEEIDSSKTLISSSHRPLGFNQSESQNIVKGKQRVDYTEQMIISEKYGRSIQHLSSCRRPLGFTDASGDTSSDKTDITVKTVDMFDKKIDKKMEEKSGAALEMVRNDLIHETIISEYLPASAVGEILKNDNNA >CRE10561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:170507:172437:1 gene:WBGene00079220 transcript:CRE10561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10561 MSKHLTRERAREVFRTQVHASCFCDQHFRGMTDLDFWNRKGSSGGQQLFILNRENKCLIVLTLLVGYSTSQYAQQQRGGVSQQTVQNVQAAQPEAIDQIPAWYQMSKPVASSRVQQLPQASPSYYERIEPTYEQRRGEQPTFERPGIQTNQYANYPSYQNRQPYENLNALTSGASLSNLQANRRYPATNGGAGGNDVSRLRHTVLHAAHHTPPTPSPASYTRTRHHHPGRVVWYTSKRSSPYNVIRTTLAPPQLPPSLSQELRGVKKLIAMTPYDQTRDQLSKWYDQMRAFYYINQFVDWGTFKNMFLN >CRE10582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:162829:164548:-1 gene:WBGene00079221 transcript:CRE10582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10582 MGCIVSQCEDDGNIEETVEKKSEEDGFPEEIALIRLRAKSVHFATEATLHFIPFKWQEDMTQEIELEDTESEGSITNCG >CRE10590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:221457:221678:-1 gene:WBGene00079222 transcript:CRE10590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10590 MFCLSSLEPRRTLIDHKFVSKMLVGKVDIDTSKFFKLDTNNKTRTQTKFVWPKCKTRLRRHFFTNRALTTINK >CRE10558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:159255:160692:1 gene:WBGene00079223 transcript:CRE10558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10558 MCPTEISCFATDDFYLTALHVLTAIQIPVHLFGAYIVIFKTPKKMSSIKNSMLLLHSMSAFLDFYLSFLTSPVLSIPSSAGYPLGLSKWLEVPTSVQVYLGFSFVSAVSVSIVLLFEGRYHVLIHGANSIYSWRRKLQCILLYILSFLFFSPGYLNIPDQSQGKLALLQKLPCFPQELLNRPGFFVLAVDATICLICVSIMAVFLMSQGLFYAISIAWKLSQSSPKSRTVTKMQKQLMIALSIQVTIPISVFFGPVTIFFYLIWNNLFNQGKLLLLLHISSYIHIQIYIPAATNIAVLMVALHGVTSTITMLIVHRPYREASLDVFYCFISKQRINVDKSKIWVTVAGGQHVLKSVVS >CRE10573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:39143:40428:-1 gene:WBGene00079224 transcript:CRE10573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10573 MSSEFPLFLLPMLVLNRILKLMTPFELVAISLCSKKSKMLCKSARSQMECRTGTNMFLLKFSSNKEIRLEFIYHMKIQWVFKIEWAPKRNQILPRKSLLRRFLSIFQKTPQEVHTTTFSQLGTNFIVPDWIPTEDTSEVSLKVFTSRDSGFADVNTFILYLSDVFNTRLTDFELHYHDFNREDNEKIVDFYFRNSENKKSLSSLSLVGAHPNASEDDELIDLILCRQEADCRLKLSMEHTSNFQFKTEYFRNKPILVELHDSSWISFDQFLEMESYTIALYRSIFKFTDFKLLIEKWNSGWTPKWRVIMVESSEEVNIDECVSELVVLGRFSEVQVERSEVKDEYSDGGVSQRIIYKIHRSDKAVGQIFVENSFMFSLYVMIDSEKNSRLYPQVFLS >CRE10550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:30427:31689:1 gene:WBGene00079225 transcript:CRE10550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10550 MPSEFSLFLLPMLVFNRVLKLVTPFELVAISLCSKKSKTLCSSARSQLQCKNSTKEFTLMFSLNKEIRLMFDYYPEVLWIFKIDYFPIINNIPPRKNVLQKFLSIFQRKRQGTLEPTYTRVMNELFLTSWIPTEDESISEYSLQFYTSNEDHILNMNLFISHLSDIFNVSLANLDLHFQNFTQEDNERIIDFYCMKREKRQGIQSLALTAQYGNTTEGDQVVDSLLRRQHANNTLKLNMEPTSEFKFKSEYFRNKIRIVDISLSHWVSFSEFLEIDAIGVILSNSSFTSNQFKLLVEKWSSGWIPKFKLAIIEFSETVGIVECVTELRTAGGIKNLNVYQEVQNINRYYLRRMDGRVAEITLEAEKTIHFHVFLNAESNRIHSPHLFR >CRE10584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig139:174551:175006:-1 gene:WBGene00079226 transcript:CRE10584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10584 MVESSDDYTSYIKRVLADFVEQKLRGIVKSILAEDGEREEAVSDERRNIKRRIRSQKEKWESVQRKLQENRKRKMKSEKKARRICKKKMKAANSRNWLKFRELKYNFLARKQVILMSSVYTVPLGTYRVWCLLLSFETFFNARNKKRKYSA >CRE22235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1391:8699:9966:1 gene:WBGene00079227 transcript:CRE22235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22235 MYADDIEKERSSGGYKKSFSGSRGSGIDGKWKRDMFQTANRSPKTSFVTKKRQQTSFMSKAGAAAIGGGAVSETSHCGDSRQNDFKPKMRVVVERRSRVVKKGGRGGF >CRE20629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1394:7041:7561:1 gene:WBGene00079228 transcript:CRE20629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20629 MTVENLTALFDKLKVTESLELCEDFSAVRNFPFTVKSIRIFVFSWITSNHLNLMKDCVVIQLRGSTLTDQDVTSFLDKWKSGDYPNLQYLYIGSDNLSQDFKVFGLPTLHNFSGSPFEKQILGQTRVIRCAADVEQNGRVVAKIKFTTSSKVIELLVL >CRE20630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1394:9675:10402:-1 gene:WBGene00079229 transcript:CRE20630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20630 AKWLAPEVCKTWTFSTKSDTWAFGVCIWEIYHNGAEPVYTVRKLPPKAAAATKTCKRKKAAVPASVKREKSKENAAQHLKITENVDYLPKLFEPMFDRMFSMKTRDRIELAAMADEVEKKILPALPKMVADEVRVHVEKRPPFDPKFRVQVMSSSDESRVSTPKSATARTSNTATAKRNSASDNSSRNGASPNEKPEEKGAEKKVDKKVEKQKTARRKPKKEKE >CRE06657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1099451:1099909:-1 gene:WBGene00079230 transcript:CRE06657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06657 MRSPTILLLLLASFVGLSTSTIYWKNHVKTVQTQANLILFALRHKDVSLFYSLMPSAQEVEDFLVDHEAGIISVQVQTAESIGNVINGVLTVEEQRGLQVTYDVQVELTPNVSSPTGYIITKGHFCHFGDCKYHNVKF >CRE06663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1161542:1161884:-1 gene:WBGene00079231 transcript:CRE06663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06663 MFKVLAISALLFVLATEAANPACKKSADPAIGGACPDGSSLVAGGQNCYADSYFCRDGNSLGPAFGGICPDGLLLVAGDECCDVADFLAKQK >CRE06334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:462083:462792:1 gene:WBGene00079232 transcript:CRE06334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06334 MTDSEEEKKLKQQLEESKAREEVLKETEEDLRTEVGALRDERTRAFHTIHFLRNQVVDKRERLEDVEEENAVLREQIELKDAIIEHLHRQLERGNAGNN >CRE06615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:830341:830918:-1 gene:WBGene00079233 transcript:CRE06615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06615 MLKNLQRTVSAANFKMYQSAVTSLLFQFATSAILMVPLTCFVIVVWAGLDNTQLFIEVTLAIGALHPIVNAVVVTLTTSLYREYIFRKLATRRFRVFAVNLVGDPKESKLIENILNLFQF >CRE06304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:134944:135991:1 gene:WBGene00079234 transcript:CRE06304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06304 MESKNSVSPDSRSPEQLRQPKEEPCELPESSIPTDYEAFKQQQWEQEKKKRTNMCMEQWSNYQSFPTQRWEGERVEPQLVGNGKKSLNDKNNNFEVPQPTTQPTYFLDSNFGNPLNDLKGCLTSVYQPLLASNPRANEFDPRYPFGQYLYCPPSRSDAETSTVSVTAESSNQYLCFHENPTDEEFEKLVIKLFNCHTSVVFSSESLQLTTVRSRQRSIPGSQPSVETSENVQPIHGETLQEERRNNRRGLQKLF >CRE06380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:934698:935329:1 gene:WBGene00079235 transcript:CRE06380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06380 MSYFVSFIEAENEMWESLKTSNDVLHDYYHKFGVQWIHDNKNRLMNLTGKSITHIENVLRLYRNEDRATGQTIKAFSYTPEQRERLFEAFNLNLSISPVEIGELSDKTGLTRSQITSWFSKQRKIQNGTVVKKKRRARPAYFSIENILSDKFPEKKKKKMMKFI >CRE06705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1425733:1426438:-1 gene:WBGene00079236 transcript:CRE06705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06705 MITCMAFLECFIYSYRVQTDLTDIRWGLFGMFISNSIFLVSVVLTDQREKLFMGCLLPFTPIIYCFAMFTSIRRMRYVQIPAEFKPYSKLNAYVVVIGMLHILGAIFFLNQSIRWPLECLLLLISSFVFSVDLYLSLTLDTFILVNHPKEDDESIQKYIDRQEQFRLSCEFLAKMDNYIVVKVRADNYE >CRE06486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1260:2166:-1 gene:WBGene00079237 transcript:CRE06486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06486 MQKNRKKTKKAIGNSNNQNNQTKEVKGIVAHVESLNDLISLLPLPPSHQSESEDVVVFGVKCEEQNNEVSIIVSDDDDTNEKSSLIEQISELQLANETLEKEKQVLIEQMEVHKTEELKKNASMEELQQIVSTLRSKNETFERSLNDKNQLIKTKKKEVAELNSKISSQAKEKKQVVSILYP >CRE06531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:322137:323941:-1 gene:WBGene00079238 transcript:CRE06531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06531 MLDRLGECVINTETYNISVFSFSIYVVFNPLLSITLSLMYLCNLQFQTIFSFQTRFKKMMGPDGIYTSLFTKQNAGVPQCPEVAERKPKPEVDTEAMALIQAQNSVSGNLLGVGNRGASVTSDQGVAVDQQPSNVNAAPPAPAINQMLPQPAPNAASVADGMLSFLRAYENMQAENVQLKGGLEEARNVEEQLKEAWNTQEDTIKTLKKRLEEEREKAEKEKEDLKNKMCVDFEKKMEARVKVVENQKNSEISMLKNDLDLAKNESIKAKELKIETERKFEELESDLKNTKHALAAEKEDKASSRGAIIDLEDRIKLQREKANEKMKNQQDAVKNLITKSATEKRIFSEKEAGWSTKKAEMEAEIIKKSSEISELSGKLEKMRAELQDLKNESEAINPATKKILKFMAENNFAITEVGDSGNRVPGHQEVEKEEEEVADSSHKKDSIKRSNKEDEPGPSEKKQKL >CRE06561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:488137:488651:-1 gene:WBGene00079239 transcript:CRE06561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06561 MTRPTKEERERLQERIRRLYFTKCMLIEERDILTEFLWYMRRRFGNLSGRFVDLADIFIGMTTARDYRIEIVRERLTEARQLAEDYRGREEIHQRFDELATMIRSLYIR >CRE06374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:857270:858755:1 gene:WBGene00079240 transcript:CRE06374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06374 MTVSFETPEWLVVYYHIIGTLSIFLCSGTILLVIFKSEKIDNFRHALLMFQITCTITDIHLSFLMQPLPLFPVMAGYSVGYLARYLDVWTHYLIAFIVSAIVAQLESLTFCFVKKHQTIASITKQHVVPEKVHNFLAVCLPFAPLTFFLSFCEAGMKRERQMEYISKNYPQYLPGFSTLPNFAIYELNYWFLFVIFMAFCGGIVCALVFTLSTIDMFQMLRKVRRKISLCNFRRHRSTVKILLAQFAASSLLLVPLFCFVIVIMLDIEHAQIIIQITLAVFSLRSSVNAVVLIFTTPPYRNFVLRYCRDKKVPTFFTDACLRXXXXIVQTEPMHQNCNLSPCHAVNIVTSE >CRE06577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:601976:602278:-1 gene:WBGene00079241 transcript:CRE06577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06577 MEFSKEFDFLVLGVPLALGYTYIMVPKALIHDVADYVTKKKQPEVIEISPAALLQMSQEAVQKAPERDGTAPGPSSVTSSDYSEDFDAADFVELCDEDLD >CRE06287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:5212:5633:1 gene:WBGene00079242 transcript:CRE06287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06287 MKTKPNYEFERKRIRKQVTEATEVLKRSIRYLKDVKHIVPRSIIYECATEYIKYLEKCLQPNGQVGNFHEFVMVKVYGIEWRQSKPRLDKYYRGRVSLRDGYA >CRE06598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:750995:751354:-1 gene:WBGene00079243 transcript:CRE06598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06598 MENSSLENGGLPFFTTADILDWLQKFHQDRDLTDIVVQKGINGSHLYRCFLDRASSMAWCQEAKISPGTAMKIRMTLGRVHNIICGYEQYDGN >CRE06426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1252963:1255343:1 gene:WBGene00079244 transcript:CRE06426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06426 MSSNSSSSSVQPTIPPRLIANAARAAAARGVYLEGFTQGQIKIKDEKGEEKDAPIPLYKLGKWKPPLPDRIRGLSMTLQQYRDLPAHKFHELSTVSEKEAKEIRNLLFDLIFQNVELWTKRGVKVFKKHWEEIAVDMYCRKAILLKVEVLRTMWKTAKDSLRKMLKECIKDKRMHETSTETHLDQNFPGYLHIRFYRTTLQPYEDQLRAKYNGLEADEEEIRAFENDEDVIIEYEDVGHQTESLAVVKTEPEESTGNQESSNFAPPPPSFHPPPTEQSGPSFPSEPLFSPPLPPTFFFTNPCPTYPTYFMPPTSDSFHVPEQEMKVHQLSQMQHLQYGSRSSINPVETSSPRKSANNPIRLEDTHQAQPVDGPEAIAENNPLENGSTKDTEHMIEVAEVFTDLILHRFQRNPRARRGYRQIFHEFCSFGDEVPYKKLDNMFEDCERASRTKRRITDNRLKNEEASETKRPRQT >CRE06479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1573655:1574734:1 gene:WBGene00079245 transcript:CRE06479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06479 MEGIEFDCFIVRRILKFTLNGLSLPTTSTIRDLGVYFSQDLTFSRHIEITIRKCHMRINILFRILTHSSFEVILKCFLIYVRPIIEYGTVVFSPITKVMIRKLESLQKSFLHRCYKKFNMSYISYFDCLDTWKLESLEYRRLINDLLCIHKSLKSKEMCSYDNLRTYYPDVANYEKNKKVVGKFKDELNGLIMEKLVALKPKQYGYKIAQETRENFIEYYNRKHQEEMRNPKLPRTQKPGDESKKSKGIKKNVVKNELTVDDFKSCLFGKTIVRKMQYCIRSVKHQIFTQCQNKVVLNNESGDHKRYILKDSHSTMAFGNCHIKSGVLSFLES >CRE06506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:179146:179939:-1 gene:WBGene00079246 transcript:CRE06506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06506 MDVLDKMSVEDYNKKYPRTCTSFIIGASKQSAFDTTPYGLALQIRAELNLDKENGGAKEKVVEKPKVPKKSALDLFKKLGSVRLSKTSQTDLDEQNTRIRSYETITTNTDRLALMTALHLRI >CRE06361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:735878:736617:1 gene:WBGene00079247 transcript:CRE06361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06361 MRLLFPLLFIFLFSTISSQPTSAQPDRNQSMKDAREWLKFQMDWFTYMLQSGDREGMKQYYFFINPNDKAEVNALFDEFSNHTLESKEAWYLSRYRIDGYVEKVLPREGNTVGLYYDAVMLKNAWSPTDWKLALKSTGVYHTKTD >CRE06324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:274537:276730:1 gene:WBGene00079248 transcript:CRE06324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06324 MILFCASSEKITERAPWIAQLRKYTVDDSFSTAILISPRHAIALSTELIDYQWEQHTKYNSNKWAYEYNFDHFVNNEKEPYDARECKNNVIEFSKKHIFNLYIRLYCPGCNYFNEVFSNVTSVHFFGVCKPGKRPQFLALLEMSTSSVDGDKHQMPSSPMPICLAQSDVKAGEAVEEHYTGKGRSGAYHLEPHIATLKESNSSKLVTVGCQNPSYEYMSVCVYSNCSFNKGGFVKKIDGKHTLLGMNSDPAGLPRTCDLPDNAVSVAFFKDKICEYSGVCKGAMEEPAKEESTDNDASTAIPRSIIPILVILISILIFRF >CRE06708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1464847:1465581:-1 gene:WBGene00079249 transcript:CRE06708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06708 MQVFLYFLLFLFPVCYCCNNTNTWVILENIDDSSTLPAFDYQNDTFCVSTKDMTNGMALYMTTKLTDPKEKGSFEQLGYNSFAGANSTCTFSDKTLLGCLSHCTDKNLEKIMIQSAISSYKTDVIVQQISQNIGSKSPKVIISARVDPSDPNQLISYDYFNDVNFCSVFVNVKRPSMFPYVIFATAQFVV >CRE06401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1062308:1062694:1 gene:WBGene00079250 transcript:CRE06401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06401 MENSDEEEDGKDVDDQEMAESLGGQKLAALSKKAQTKRERRQDNEKNVEATGTKFNFKDIRKRRNNEEMEGEPGKMKKSFEYPKYWFVFFSDGGLVYAQFGKVLNSLFKKKR >CRE06328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:306784:307989:1 gene:WBGene00079251 transcript:CRE06328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06328 MQQNNIIAPYLASFLAPIAAPGLAQIPIGGVPGTGDSNYYPAPQNQQQSNNRLPNGPSISMKQTEGQMKVLNEMQEFIDQEMKKDRDSWQKSLRIEELEAINANLLNQFNILKQDFDKLKIENIQQKKYIKVKNVEDSNQKFKIRELEEDVAKKQRKIDKQYEKIEELKKPENERKEKLKVKAREEEEALREESRGNPSSSGSSTHVLTEIGLVEREVANYFPPEMFKYCLNFVKRPIQEVYRIPQTREFFNICNRLLPIEDQRTEYGSEDIYKCSKLRHFIKEKQKKELDQNMVMIREPVVRRPSRRKRSIQECAPSFENIEQAHMEFQKKFHGKND >CRE06358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:728726:728998:1 gene:WBGene00079252 transcript:CRE06358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06358 MEDREGGSDDSDYYSDDDDEFRPLPPFRQNRPPIELEQLIIDLQAIHDELETIARDMPRLENFRRLVEGVQRFGADYVPDGVGDEEDDNN >CRE06525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:301810:303207:-1 gene:WBGene00079253 transcript:CRE06525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06525 MEDKNFLNLEVEGDSHQPVIPEAIPAQILIEEQVGHHGAHSSSPDMGAQGAPVLSSTAHDATVLGESALGFPDPMIPLQDVVAYAQPFLDLITEQNRLNQAVINANNALMETVKKLMSERNGGKRKEDTSLIDSLFLPTPVSTQFSPSGLSHFEIAMKVWSAWEHKDEQLPPLDLSVFLFNPNGNKQIPQSVRKVSNRFRLFQLHRKSLDINDNSLNAWNFLNDAGKDEWGRMAYIIGTWQEEQEKLGLIQLITVGSHPRTAKEK >CRE06316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:229893:230218:1 gene:WBGene00079254 transcript:CRE06316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06316 MKLFQRASRKRSSSKPPSSYSAANDAFILLVKNFFSFCDEFISELSAHPMFFPICEKQMSLQEKKEDSSVSESDDDSEEECDSSADVSSLTS >CRE06404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1100468:1101079:1 gene:WBGene00079255 transcript:CRE06404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06404 MFRFLRILLLLAGVVRVDARVFSGTVGGLRAQQVLEHHLEIFQTAVKNENKAQVKSMIPLDQQTDELVDRLIAAHKSIIMEVHDAAYPKPGFVTGMVFYSHADGGNKMLVNMVIEKDTVSPSGYRFVSVIQHPDYSRKRNFPTCLVGFIWCYLYLIDYLPGSVEEVFGG >CRE06379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:933411:933875:1 gene:WBGene00079256 transcript:CRE06379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06379 MWGSSFSLSVVDNFVFGEIVDNIIFAVTCGIIVFIVFCIILVNIFRMLNILKHKISRSNYRKHRTAIWSLLGQFATSAVCFIPPLLVVFVIIVGMDDAQLIVQLILVVSCLHSSLNGMVLVVTFPPYRKFVLSLLWRGK >CRE06410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1141890:1143986:1 gene:WBGene00079257 transcript:CRE06410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06410 MSSLHYCSFFCKHDFFIDIFSTVQKIRSDKTEMVQFILMYAIDFSEPAWLINYYHFIGTISILLNSFGIYLLMFQCRSLDSFRYYLLVFQNLREYSEGFRSIKHFEVYIKTLSIILLFIGSFLGGVTLILLFLIFMVDIFKMMYQLKPIISKYNYEKHIEAIRTLTIQFATASFCPIGPPCMIAILAVSEVDQAQFLTELCVAWFTAYSSENTISMLIFFLPFRKFVLTKLRCRQLKLRREIRVASSVMI >CRE06718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1567558:1567968:-1 gene:WBGene00079258 transcript:CRE06718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06718 MLTFASSSDLLISMPSSHYDFVKPFYSNKNMLFSDFPTSSDHAVTSSEFADDSEFFDSSETSHYIHEISQEIGTKLAAMCDDFDAKMMSYSRSGSTSRSLLGRFLDFFAF >CRE06300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:116399:117220:1 gene:WBGene00079259 transcript:CRE06300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06300 MASTLLRTPDWMQLEKKKEKNTTSTIRSCPDFYQLAVRIDNATNRLSTDEHSRNKETDGKRKKRKEEREKDSRVLRYDTVFPMKKVDLHWS >CRE06409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1140267:1140600:1 gene:WBGene00079260 transcript:CRE06409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06409 MCFLLDFHLTCLMQLIPLFPILAGYTVGILAEWFDIPAHFSVIITMFITAAQLESLIFCFEKKHQAIATALNVHIIPKSIELFCYFLCIVSDGPILG >CRE06441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1332013:1332354:1 gene:WBGene00079261 transcript:CRE06441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06441 MTGFLFLILSIIVSTDARLSYCEAFAQIGEVTALYECPPGYVATSIGMCCDEKNVLYTICADKVNSEGVNECTELTDYCNHSLFKNTMIANCAKTCGFCT >CRE06298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:98128:99544:1 gene:WBGene00079262 transcript:CRE06298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06298 MNPANAQDQTAAAVIQKELEKRMEIELLWRVAHKKNEVVKLHDDGPSAFKPVASKEKPAAQADLLDMFELVGCNEKPAPCPSTFEEPVRQEAELSPSWSYSISSPSSEDNGIKKRI >CRE06500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:144409:146052:-1 gene:WBGene00079263 transcript:CRE06500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06500 MSQSTNSNVDTGIIIIGEVPAAPSAQGTFAVPIGPIRSNIARGRGNQVVRSRQYAHTGSPRTRGTGMAPYNYAAIQAAQTCQAQEAALRRQCEEDTAHYKQEILKLKPQIEEVQGRVDEYNEKFVEDVEKDKVLLGNYKKRLEDHSEKLEEFIKKEYLELNVKVAETSNQEGLKNDLKELERLQKLRKMLAENPHEPVPDSNSHDVKQIRQTLNKFGFGTKMTQDLEELRKAIATKAFFSKTGEQKEVENETATSFEQGVEICGSLGHKLGGIDLTPWLFNVKGLNVIPQIVMTAPKHGEKAYETLRKEKSPWTDLTSRVKCEWNRRAGYIQAFQAEQEVRGLVLPMSAVVKEMGETMAEKMREEEEEEETMDTV >CRE06642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:994640:995224:-1 gene:WBGene00079264 transcript:CRE06642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06642 MAPTLSSLQSTAILTVKNQEQKRYSCSQYVRTHTEMLVIGINRTHALQKLYDIKCPMSVYEACETEQVIEKYCSRLYECQYLIELLSKKFEDLRRKEAREAEEKRAKHGYLEGKILFTPMYIKMKEEEMANTEQQEINKLFTNAIKTTSVAKKAVVKPDYSKFKIKKRSSLTSSTSPSSSTSTPSPSSGRRNGY >CRE06504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:166123:166483:-1 gene:WBGene00079265 transcript:CRE06504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06504 MPAEVKKFNHILQQPKAPIVQHHQKYGSVIRPSNDYFFRYIAFSKYQQHVLSLHIGNSAPQHYNYNNSRFTGQPIYLPPGEFSLRVFK >CRE06510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:213803:215173:-1 gene:WBGene00079266 transcript:CRE06510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06510 MSQSTNSNADHDVMVIGEVPAASSAQETFAAPFGLIRHNIGRGRFNPAPQGRPSPYPLPRARGSTNSQNRGASQNLRTPQIIGAKSSTPVNRETPGMAPYNYAAIQAAQTRQAQEAERKRQCEEGKAHCSQEILKLQPKIAEVRGRVNAYNEKFVKDIEKDKFLRRNYNKILEAHSEKLEESIKKECKELDGKFAETANQEELKRELKELERLQKLRKMMAENPHFEEPAPESKAHDAKQIRQTLNKFGFGTKMTQDLEELRKAIAAFSKTEEQNVEVYDISYPSKLSYPCLTRSPRPLLLFLMSASQSPSGRSLSEGTGGTCNKRDLYSPNSPPSYYFAHIYLL >CRE06683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1318290:1319857:-1 gene:WBGene00079267 transcript:CRE06683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06683 MAFHNVIVVTLCFAAFILSFLVLSQAMKSSVKAIHEEKKRRNMKRRKRGARKKQQRKFVSLPDILKNDGQTETTNREQWPVAVVTGPIYNNGSIEEELEQYFQEKENIEVNGKEGDKENVQVNKKNEKGCEEKKLFGTQKKINKI >CRE06474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1544649:1545394:1 gene:WBGene00079268 transcript:CRE06474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06474 MKPRGGVYAADLEFHVKKRHLAGFDYTRERPDIIMIQKDGPEVLLADVTVPYENGVVAIEAAWDWKMQKYSHFIDYFARLGKRAVILPLVVGSLGTYWPDTSNSLRMLGLSDGQIRNLIPDISMIALESSKQIYWRHIFGDSYRIVSDLYCRKDQQEIRFGDEPMENVQVSDRFQPFKTREREKKSEEEKKRRSKSKKGKTWRGSKKQTDSRQSGKSNQNQGFQRSVGQGVSR >CRE06603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:786756:787846:-1 gene:WBGene00079269 transcript:CRE06603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06603 MLVIDFSTPQWLINYYHTIGTISLFFNSFGLYMLMFQNNKLDKIRYFMMAYQIACFCTDVHLTLFMQPVPLYPLFGGFIVGILAEWFDISTHVSMLFIILLVVVQLEFLVYCFEKKHQAIANTLNIHVLPYWFSRFCYFLSVVCPIVVTIWFHTVRLGKEEQWDFIKTNFPQYLDYFRSLSHFDIYMKTPSFIILLLLTICGGLVLVTLFLLFIIDIFRMMIHLKLKISSVTYRKHKEAIQNLMIQFATSSFCVAPPCCLAIVIMLELDQAQLLTELCICWFATHSSVNTISLLIFFSPYRNFILKQLRALVYQ >CRE06451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1410605:1412841:1 gene:WBGene00079270 transcript:CRE06451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06451 MIFLANSTYHLWVPIYIFNGGEYKSPFMVTLIIFEFLISVFCVLYTLKLCELIWKIRVFHVNMSILAVAYLIQYIECFVGKWLMMGHQTGLIGVEGVPSNRTYMNWWTSDQFSIPRVQNPSELLPLLIGGCLIWHYLFSMIGFIFSFCAERAIATLLSEDYEKHQRRYISSAILIISHVVTIYLSYQTFTEKVEFVPTVMGCMICVSTSCVTFVIIMFVNLKINKLQSSSGGLIFYTLAFRFQVKENIRALKLAYRVLVCIGVYIFVLCIVLFTLFFDVFPSFNQFLIFLLENCIYLNPLVICSVVFYSIEPWQKALIRECPIFKSRAFVCIFSSSKHGAVSSKSSFGYQQTTDLYFTQLQTSWLKK >CRE06541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:383035:385898:-1 gene:WBGene00079271 transcript:CRE06541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06541 MASNGVNGTHEADGNLQGSSPCSSSRVSPRPETLTGTLDNKVQAFKAQVKLIFRTLLIPPLVHDSEKCKITLKQCLKMFDENEESFKTVARPILIRYLADLITELILLNENRVKYTGTPATAEFKRVWFEKITNLQKAPQIQNLNFVREVLMEFSTAFKAYSEEDQHFLREFMIREIAIFANALTHRIDIEKNQLIGHFHALLEKQHAELQKLANNSNAAMAAMQQEKNVLISKMEELKQRNASFEKEIGQMNLKMKKMAEDLEEKTRKEQNGKSADEFLTFEAEEEIANLEEELAQLKTENQELKSQLENGGKIEKPTEGNTSIEHLENELRKLSLDREKQATEMNEMRQKIAVQQLTIDELKKEDNRDAVESLERKKSENSALRKQIEELTSRMEEHKIKILAVLDEDKEENDERIRSQIQAIFTKEDDSTAPITELDGSPAKLKGFTSSNSDESSATSEASDDSVSNRPKRKTGEKNYCDNKKNKK >CRE06363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:760679:761846:1 gene:WBGene00079273 transcript:CRE06363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06363 MIIPAESPISSLSSPMSQLTLREQSPVLARLLDEYEEPQSNSLVESSTSPNFQDDPSPVDWEVCRPVKIEPTVETIVLPRGQGFDYNRWSESDIRKFLFCLIRSKSIIDVIMSHEVTGSSLFSCFLHEETSEVFRRMVGLTEGQALKIRMTLGRVHNVLYGLD >CRE06385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:967251:967373:1 gene:WBGene00079274 transcript:CRE06385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06385 MENASAQKESDKVSLITSVQNSLLCSLCGKTLPKFICSHM >CRE06710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1509537:1511118:-1 gene:WBGene00079275 transcript:CRE06710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06710 MLNGPKFPDCLSMTGSLPGSFNGSTAMDTGRVTSFSIPTSYSSKIGDFTRMATASNQSSWGTGWGPKKSPGRPKSLKSPGRPKSLKSPGRPKSLKSPGRPKSLKSPGRPKSLKSPGRPKSLKSPGRPKSLKSPPKSLKSPGRPKSLKSPGRPESLKSPGRPKSLKSPGRPKSLKSPPKSLKSPGRPKSLKSPGRPESLKSPPKSLKSPPKSLKSPGRPESLKSPPKSLKSPPKSLKSPGRPKSLKSPGRPKSLKSSPKSLKSPGRPKSLKSLGRPKSLKSPGRPKSLKSPGRPKSLKSPPKSLKSPGRPKSLKSPPKSLKSPGRPESLKSPGRPKSLKSPGRPKSLKSPGRPKSLKSPGRPKSLKSPGRPKSLKSPGRPKSLKSRKTGPKKIARFKKIEL >CRE06357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:727871:728171:1 gene:WBGene00079276 transcript:CRE06357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06357 MGSQKGDGSKDVGHRHAVIREKLRLLREGSDCARQRSLERQAEVLGRIHTQIRELHQETVEIVRIVSKVDAAIKEWAAGKNNK >CRE06312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:194242:195487:1 gene:WBGene00079277 transcript:CRE06312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06312 MESKNSKSPDSGSPEQLRQPKEEPCELPESSIPTDYETFKQQQWEQKKQKMANMCMEQWSNYQSFPTQRWEGERVEPQLASQPTTQPTYFLDPNFGNPLTGLRGCLASVYQPLLAQNPRANEFDPRYPFGQYLYCPPSRSDAETSTVSMTGESSNQYLCFYENPTDEEFEKLKVFNSPLFGVNNDQFPDRNHKWKRRRMFNQFMEKLYKKNAETIDVIAIKYHESPDDQTKSHRVN >CRE06381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:935841:936892:1 gene:WBGene00079278 transcript:CRE06381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06381 MSTACLDHRATTRMWEHLKTSKHVLWEYYHVFGQQWVHIYKDQLMALTGKSERAINDILQSYRSEDHANGVKIKQQKYSPDQVTVLFKAFDESQFADSAKITEIADKTGLMRNQISTWFSRERKIRAGQIRRRHRKLKKKETVKYFSIENILSDDFPKKK >CRE06528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:310888:311657:-1 gene:WBGene00079279 transcript:CRE06528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06528 MMNSTFAQMNPAREVYAQENQYQALWNAFMVLAGDHVNLNDRLQQALKENRELKKANWNLKPEDHATQHDVETMEESIHFMSKRMDLQDETIKDLQSQLAAQQTKENKLIDENLQLNENLENSVAQNATLREEVLALHKNDMINDKTAANLHKLMSEAVQTLSASRIECGYQPLDGEKKTLTRQPRKTDHKKLESEETPKVTTRSRQSTSDASGPANKRRKM >CRE06686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1330047:1331060:-1 gene:WBGene00079280 transcript:CRE06686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06686 MAVGVLVLLYMAMTIFMVQVCSKKKKVKETAKVRGDVPLSGFGTTTTTDVHAADGAAVPSSLMKKPDEVKPENGKSKEDEAKEGEKKEDEKKEDEKKKDSNEKEDGKKEEEGDGGKKKKKDSDEKDKKEEEGKKEDEKKKEESKKKVEKSGKSVKTEEKKEENVEKKEEEKEKKEESKKEKKPEKVEEKGANVPKTIPLTKKEAAIAAGLTVDKKAYQTMNDVESDWDSIKKKKTKGTKEEEPDKKDEKKEEKKEGDGEKKEEKKDV >CRE06532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:326646:328495:-1 gene:WBGene00079281 transcript:CRE06532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06532 MPTQQLPSTASDDTDIKFAYIKNKEVEIWLSPDQKSTIVMPNISPNMMTQKELETYKIIESGRGFEKGVVEEPEQNVEEMSREKYDKALHVNKELGKANFALREELRSLRVDNKKLRLYVKTIKNQHDEDWRAKNEWMDERDKKQKEKIRKLEEKIEKLKEQPKEADQDLPVAASSSTDSSARSTPAHLIPPTPISFSPEIEEYLRKHGGNIQFCKSFVALLCQEVRAVPMPSELFNAFKGKRKDIRYDEEAQGVFHRFRATLKDEQNKQLATGQVTLKEKKTRTKRIASSGGIGEPSQKEPKFDRDESEDDKEAEELLDDM >CRE06367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:794026:794723:1 gene:WBGene00079282 transcript:CRE06367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06367 MVFSVITAVPIITLIGMTQYSYIEKKTRVPWEMNTINTLWVGVLTPVLENSHVTINVLFGMIQSGFICNILNILHVQAEWNLYYARIMSMIFSIYAAIRMVWYQTILLPKLPLRIHWKSIILITLFFALTGYFLWPYCTSSNVYIHVYLYLLSIETFIWYYTKEFRLFSRYPRTGFTDYARVYHTTVHRYYSSDVNFQQ >CRE06455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1419715:1420932:1 gene:WBGene00079283 transcript:CRE06455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06455 MLIRVIRSQNTTSYFWLPLFFYDEPYWAQVVHSFIEIFLYIFVGYVVSVSIRIMLKVRLFHDNLVYIGTPIFALWSTLISAKLIVIAYRLKFLKVDYEIGEHITLWTDNPDKMLTVNSTEGLEPLMLGGFWVWHFGFSVMFGSFAVVVERVIASMLIDNYELSTDLYIPIILNTVYQLSTISISIALVFNKLGEIVLNASWITCFTISLSMYFYIKRINKRWLQEMEDPNRKRVFTVSQRFQVRENLRALAFGKRIVFTVMGSLIFCGIGMVALSHDIVPTFLLHIGENLLFCHPLFVCVVTMYGHPSWKSRFKRSFPRIHFLRKPPNRVVSVEIMEDNVKKLSLETDIYFKQLKDSWI >CRE06610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:808504:809360:-1 gene:WBGene00079284 transcript:CRE06610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06610 MCFLLDFHLTCLMQPVPLVPIIAGYTVGILAEWFDISAHFSVLITMFITAAQMECLILCFEKKHQAIATALNVHVLPKSLEMFCYFLCMICPVIICFWFNTIHISKEEQWNYIETYPQNLREYSEGFRRIPNFVVYMNTLNIVLILICVISGGVTLILLFLIFMVDIFKMMYQLKPRISKHNYEKHIEAIRTLTVQLATSSLCLGPPCILMIIVLCGVDQTQFLTELCIACITAHSSANTISLLIFFPPFRKFVLTNLRW >CRE06593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:719530:720388:-1 gene:WBGene00079285 transcript:CRE06593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06593 MRFDGLLSNHIIYPGFCIIVFGLYFLLVGIFYLRETEDEWSSICVPIYFTILLVLIAIVVRILFRIFKNSKFFEYSVSDYKENQPKIEKYDKWNLLVGYYGLAIISTIPQFIVWWVEYDGFVVVFLFVLYSILAITLLAIFIYPHIWKYELDYSENFGLFVIIRIFLQTFITWIFLKESRFILSHWYYNIWLFIAINDIRTVQSDHVQLPPEQRINEQESNKEALVLPTELPREQRRRYVMLYPHGLYQVYQ >CRE06467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1467808:1468847:1 gene:WBGene00079286 transcript:CRE06467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06467 MIILIENDRSTIWLPIYTINGKLDNLYLLLGIFEMISYCITAVLVLKTCRIVYKCKNFHINMNILFIGLLIQWFEAFLAKILVIPYQVGFLKIDDSKTVYISWWTSEIDEMILGWSLLNAFKQVRIIPLQVINSRYIALKMCKRSSGLIQKHE >CRE06544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:397986:398561:-1 gene:WBGene00079287 transcript:CRE06544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06544 MIWTQNKFEEEREKFEKEKRRIMKVLEEEREKSNELADQLKAATRAIKKLEKKNEELQKKNEELEKTILEGLENEEEEEDGTVDFDFDVFVRQPAVRQPVAPKPMAPVTMEKTQPPQKSWFWTKITTFFSGEKKNGGIKRSAEIQENEEEEAEPQPKRSRGPEVQEVQEDDKI >CRE06321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:241468:242199:1 gene:WBGene00079288 transcript:CRE06321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06321 MGIDLVESWASDNSLPLAHSKTALLRLGPRNFSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSYRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFKSLLVNNIARF >CRE06630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:939421:941033:-1 gene:WBGene00079289 transcript:CRE06630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06630 MSEENDNIAPLNKDKNDLMPDDQKVEQVNTENDEKNPIDALNSSVQSMHKICQELLQKQKNLEKTNMEIIEKLRSAEEKIEKQSSELQQILQEIQLKSTETNEESDDSTVPLENDNSLNTASSDFTKTTIPREIMPTTGKYFVLRQIFKNVSNMKDNDIRWSEIEEHFGVAWSMSMCRKKDFLSFCCLQSTDTGKWMIEVRQQLVLISNRIEKVREGTATFTNIGKKCNTSGWSSFMNWAKSQKDFLLDDQLTVEVHVKIKKTTGIYKDNLRSFDETMEEFSDVVLVVNEEKFYVLKKFLAVHSSYFKSLFLGQFQESKRSEITLTGIDADDFQNYLELLYGDNPIDEITVEGILLVADMYDTPLVIRKCEEFLLKESKKTLKKKFQMSMKYHLEALKEQCLDKIKSVADIRSVLPEDIHELDPSIMPELLKKSLALHDPQ >CRE06612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:818797:820416:-1 gene:WBGene00079290 transcript:CRE06612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06612 MIDIDFTEPEWLLTYYDCIGLLSSILNLLGIYLLIFKSGRLGTFRFHFLTFQILSVLTDIHLTILMKPIPLTPLFAGYTVGIMPKYFNISAHHCAMLTGFVAVVQLESLVLCFAKMHQAIAVVLNTHVVPRFLEYFCYSLCILCPIGLSGCIEYFHISQDEQWMYLLQVYPELVPGFQTLTHFVLYIKTRHLNQLLVTMILSGMSLFLIFVLFLFDIFNLMVDLKIRRSTSYYQKHKEAMHSLMVQFVTSSICLVPPFMLVIVVFFKVPHGQFLSEIFIAWFVSHSSINMISLIVFFPPYQNFFLRFLKRKNSKHTTPVAVVPAAMSSGM >CRE06692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1351634:1353043:-1 gene:WBGene00079291 transcript:CRE06692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06692 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M0Z9] MNSSSSNDLIPFQCLDPTDPGLDIVLYIFCFFYYSIGLFLHGSILRMIFFTDRTTLKDNSFFQIYAMDSIMVGVSGQTFNVCSPFQSIFLISVELFFNRLFLFISPFCPIVGPFFWDTSIIMKLVYLILHHSRFSKSVAQIIMVLNRMSCVLAPSNYDRLWRIFTPVSRVLVVILPFGGTWNLIISRVFGQSIRGGFSINYKRAVQWVSAALSMFQSIYISTALFFTIICTSITVYKLYILPDRIKTAERALCITSFFTSLTFLFVAGTQLTFLYCTTCNRDFLFIFQSFAFDTFTVGSAVILIASNKNLRTSLFSKKDDGSKRIVTVTPISK >CRE06535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:340448:342627:-1 gene:WBGene00079292 transcript:CRE06535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06535 MSAHPDCVPTYVSERNQETFYDETGIGGTICQNIDPSFLTAEEREKMAELRRKHGISEPDRVPEAQPRRCDSPVLEEVAVVPVPEEVWQGYDPEALVQVKNLIELEQINTEIGRESTALRINNRELSRYIEKLKLENKSIQEFYVGQHKADMAAKDANIEKQEMAKKRAQEKLRAERKNNEIFVQQKFKTMSDDHLQHLFQIISSEMRSRGMHVVPMESSSGNMCSIQTLTPTSQLSTSTTPDVVVIQKRPQYSIDAILNQPNKLTIFNDNAHQGTSQELQTSALGSQESSLQALSRLQFMVANPAGPNVVPTEPPMSRKRGPQKPRAPRKPRAIETKPRATRRSKESRPEFQSKMARNQSFTAVELTHEENAKKFLQFHGIKL >CRE06292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:53303:53953:1 gene:WBGene00079293 transcript:CRE06292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06292 MSTVQKEAATQTSGKGMEEIIKRMKSMAPYKCLEKAVPGRSKQPKMPPKPLAKQPPNAATVPKTLEKTDKKMSLKMIKRKRVTFQDDSQ >CRE06368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:810700:811202:1 gene:WBGene00079294 transcript:CRE06368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06368 MQPIPLYPILGGFCTGFLAVYLDVWAHYLMAFIVAAIVAQIGSLAFCFFKKHQTIGKLMNRHVFPEGLYDIVVWGLPMVPVTVFVLFLQTGMRRSKQMNYINKTMFTRKKLTISDLSILLIKDTIKCSQQNGVLFGQVRHLGT >CRE06565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:518464:519555:-1 gene:WBGene00079295 transcript:CRE06565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-72 description:CRE-COL-72 protein [Source:UniProtKB/TrEMBL;Acc:E3M1K0] MGWLTDFVGYSSILTSVLVITCTLAYIPYMLNRMDAITEALKVHNDEFLVLEAEARSQLGELRSDYPRIRRLENVFFGFFFQNKHLFTISECGGENVCPGGPKGQPGRNGEDGNPGQPGSPGEPGSNGITPPSEYANEKSCRQCPPGPRGQPGYGGPPGPPGLPGQPGHNGNSGRPGNNGPMGQQGESGREGQPGKAGGPGSPGRDGIRGSKGSTGDKGPNGPPGQNGPPGWAGRDGNVGPQGIPGPRGEPGGPGTDGFPGFSGNPGPDGQPGTDSGYCKCPSRGALARAASSKRN >CRE06584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:671746:674080:-1 gene:WBGene00079296 transcript:CRE06584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06584 MRFLKFPFLVHLNIQKYLEPSELLLLSFCSLRTRALVSSMRHAPTYSVFVLDRPEVMYYSLINLPEKEKTVIIWTWKSEQMGDVKTERVKSKYIDLECKITFNKNSNTPILWCSFEDGPSRKRFATALHSHMCEVFRVEPEMQFKLSLEYMNDLPYTNTVRDVTLLDTFVNYEVVDEFFKKYHVKRAVVSLSFKDSPLKGSFQFLQVNNVIMKSAFWLKRSQFLKFDCENLVTGPSELKKRDLVAFVKQWLKGNNTKLKTLHVLSTYCVDVHTIMNKFDLSRWDPQVDKVEYNEPIYDYANQIVLTQHYLKLGQNGIVKRKSDGLRALATTFDGQFHFHVFHNEFELK >CRE06306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:142555:143124:1 gene:WBGene00079297 transcript:CRE06306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06306 MSFRYRRFVMRKKIRQMNQTNQIPTASSCQVLPSSTQPPLQFPIPHALPTQMANQIPSHPFPSLKQPLPTCHSGLANQMPVFPNLPVYPPVASFQMVNFPLQQLLIIQQLQQVLASHIPGLPPVANQLPEGLNEQNQQMQ >CRE06618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:862257:862480:-1 gene:WBGene00079298 transcript:CRE06618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06618 MVRILLAVFSLHSVINAIVLISTTKPYRNFVLRIPIRQGQQVTVSTATRVSFH >CRE06511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:215665:219640:-1 gene:WBGene00079299 transcript:CRE06511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06511 MPTEEDSSMDSGIKKPRLDDSGSLFDDETFILHPSPRFFPESVPLRLMSQEDCLWELAVMNTKVAEVYKEPKLNIWDPKTKRFNPPGCLFNPNKPKKIPQTVLNPTDGNRYYRKWKRTQGVSANELSNEEVEEARRLYTKVIEEFGKQARLGFIEAIPMTKQIELKKMERAGKNWPNMADEPKDPGSLDTKTPQSSHVVDLKTPDSEELGSGNNSAKAPFPLTSSEPFPPASSDSVLDFNYFQNQFHYDRYVSSFFPADFATPQYLKRLLSGVSNAPQQSFLIDFDLNSSSHYQKPTLSNESQFYFPSTIPRINVPNIEVKSLSDLLKTLPSSMEFMTLEEYGTSSDFPPRCDNVSRESRPYPEVVKTIKTQKPSKKPSSAPEEHWEGFQSYLLKYNERVLTELCYPPGVSFIEHKPLPPLTKLGKDEVDPPTKVMTKDEIYWELAKINAGQEEVYLNPKLSLLDKTTGKRISHPCLFNIEGPRQFPQFVPRYPKGYKLLRAWKKSIGDRNIYSGLETITGREKIEFISLAHKLAIEHRKQRKRGWIETIPWIEWKMERKKQQKSRKPLIREADSTMEVDEDSPGTSSDMA >CRE06594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:722754:726222:-1 gene:WBGene00079300 transcript:CRE06594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06594 MLPETIHDITRTDHKPSRWTRIRQFFNNYFRFLLTTTLIASILSMEAYKEGEPKISLYETMKGTVSNVGYPTLLGLAIGFVLESLKAHIFDRFESPSTRHAHCYIAIFFWLGSVGCSLGYEESVAWWFRTISLGVLCFKYVEVIVPRFGRWNVTVQFYCAVATLVVIVSLFYFIENSIPSITHFEGNWRFLKPKTIFIIFKNVFLLLATLSQHLLTTESFDLEQITEEEQRRKEIARETMIRNRTLKEIEDSRRQAEEQAAEQQREIQDPIQPGVASPREEQDGGLRQRRVVSQ >CRE06654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1068863:1069588:-1 gene:WBGene00079301 transcript:CRE06654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06654 MTVSSHLKDLLLATSLLDIFTFMFQSQLHKMLNLSSLAFVFIFCAVFIHCENLLDAVSGGGGVIGRPGQPGSDGQSSLSSTLIPPTGASVSTSSATSTPSSTLISITNRKPKYTKMIKFLGKVTQPAIDQSIVGEGNASVAECIQGCYKSGTCVIAYVDAKQQCRFFNYKPGITIIVEEAGEEVVAFKVRLTPKGFNSIYFQADLDVSSCPPLYKELSSDMMTGFSTMKWTKVDNGWVINM >CRE06658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1101810:1102271:-1 gene:WBGene00079302 transcript:CRE06658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06658 MRSPTILLLLLASFVGLSTSTIYWKNHVKTVQTQANLILFALRHKDAPLFYSLVPSSKEIEEFFANHGADIVSVEAQEAHESFENVIYGVITVKEKFRAYHVQVELTFNAGSPTGYIITKGHVCKTENCKYDNVRY >CRE06501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:146293:149979:-1 gene:WBGene00079303 transcript:CRE06501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06501 MDSEIKKPRLDDSGSLFDEDMTNSTSSSGTLTPPQPSFQSYETFILHPPPRFFPESVPVRLMSQEDCLWELAVMNTKVAEVYKEPKLNIWDPKTKRFNPPGCLFNANKPKKIPQTVLNPTDGNRYYRKWKRTQGVSANELSNEEVEEARRLYTKVIEEFGKQARLGFIEAIPMTKQIELKKMERAGKNWPNMADEPKDPGSLDTKTLQSSHVVDLKTPDSEELGSGNNSAKAPFPLTSSEPFPPASSDSVLDFNYFQNHLDSNCSSLQPTLSDESQFYFPRTIPRINVPNIEVNSLSDLLKTLPSSMEFMTLEEYGTSSDSPPRCDNVSSESRPIPEVVKTIKTQEPTKKSSSAPEEHWEGFQSYLLKYNERVLTELCYPPGVSFIEHIPLPPLTKLGKDEVDPPTKVMTKDEINWELAKINAGQEEVYLNPKLSLETIIGREKIEFISLAHKLAVEHRKQRKRGWIETIPSMEWKIERKKQQKSRKPLIREADSTMQVDEDSPGTSSDMT >CRE06666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1174237:1178456:-1 gene:WBGene00079304 transcript:CRE06666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06666 MLIFFFFISFSLLFSLTHQNVPPPEPTDLELVTEDFLTLARITNAIFLQASLIRKNLDTRETIAELLKIDQTDLLGILNIDTQSALSKVEELKKKSSNIWKARMTPDSNFGEIISDLKRVWYVLKYIRVSPLIANISTDELNAAVISVAENISEFSNACKIAELRSLRSRTYKYSEQSLDVDEDDASEDLRKFGKYLKCFKKCFDFVNSFSKSLQDASFWDIYKMYELTYKASRMFFETNSLNKYIPKLINDLAITKELREYWKNSGNSGSEILKSLGSYEDHDSKLEPTPPVLTVAFRTPEEMLQINKDLENPWFQKHFIRGSKAIDNLKKSLEPLRPISESVQNLSKLWESFDVLMKSGPAKLRVKKVASILTTLELMVKNQSLLTHDDFLATSSKILIDCTIKPDDGFTRLQKNFEKHEKPLKKVREELRNLQDRFDLFGKTINTRKANFDIIDSCLNALEITVQSSRKGSTKKMTALQNAIRSFSNCTASRQMTLKLIDLIAIFREYLDSFNNFETAYTKFQIEMNRRETLSNSGEIVQFSEVLEKSKVNETLNCLMLKNFEPEKLMQSITFARTFSDFPNQEKLDTAKTFLETLQNIQASLKTVENNFNLTGNRTKRAAVPSNPVLTLNNSRFHSEDMGICAIALSNMVDVQAKRGDLLKIKKFTGRVGEKIDSGGVVLKNFKNPEASIRTLLEQVDEVNEMAKKLRNKVPSKEAEIFNTVAGIDGIIGNREILWKMWKENKGKQEFINAEKKINTLISLNLDFQTYQSRLLDGRFTVITLKKYFDEIFGHVKKSNPNEKTKVVVEKHTPIVLIILIVVGVLLLLIIGVIVIYGLSKKGREKYKNLYLFYFGKPDEFEKRWRYSVRGLQDGAHLSSDLQSFMDKVNGENALLSSIHEINKTNMLIALKRGVYINAYNKFGNTALHSATKGGHPELVDALIRHGADRTLLNVENRTPEQMIPFKFQILYPERAERYEQIQNIYKKYQKKKYKIRVPEVFPLTSYRIWIEDRTDDKLTNQFMDVFQSIHQGVLYDTVLQWSEAMAKGDVPYLYGVQVAIAMKACSNIVTLSALITNHGGILLDQFPDKSNYNSGSHPYMHSHLGPLFVLHDGETDLSKFKNDKMFTLFTEDEFIAFMLRRDIKKDSSENPICVLREQE >CRE06460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1443887:1445857:1 gene:WBGene00079305 transcript:CRE06460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06460 MIIRLRKPSNNDSCFLIPVYLYDEQYSIFISIAELIFYILCSYVAFFNLRTFLRIRMFHQNFIILAIPMFGLWYEVIIGKLITMAYQLIIIVPNVKVNKFYALWSNDPDEMMAVDSLTGLELLILAGFIQWHYLFSIIFGAIAHCIERAIASLLIDNYETNSQLYIPISLTLFTQFMAVSISYAVLFDKLGIVILNILWISTCVISIVLFFWLKHTNEKWLQEMESPRRKRSFTVSQRFQVKENIRALKLGKRLVFCVLGTMVVSGTGIILVIQEWIPQFLCHFVENCVFLNPLWICPVFMYSLPAWKEQFKKAFPSLAWIKRERKLGVVNVEPVEDVKKKRISMETDVHFIQLNEFWT >CRE06627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:913718:914542:-1 gene:WBGene00079306 transcript:CRE06627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06627 MEYTVLHRRIESSRLPHEASEAILGHPRFPLAKPIAHKTYDINGQNPERDGQIRSAIDSSPRELPAPAVLFSPDMKYVPELFSSKHSPDIAECENHTNPNFNVNKSNNINLPLNLNTDHLENNPVSGDTDDDFDIIEKRLGNTDTSQDPQRVLPAEAADDDFSELPAGRVRTYLSRKAKELPINYVHHADSQETAGTLPPGMLSTISPKTKLSTTERLNDEPHMVFPAKLGSSSPY >CRE06496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:110493:110853:-1 gene:WBGene00079307 transcript:CRE06496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06496 MPVEVKKFNHVIPQPKPPTVQHHQKYGSIIRPSNDYFFRYIAFSNYQQHVLSLHIGNSAPQHYNYNNSRFTGQPIYLPPGEFSLRVFK >CRE06637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:970316:970649:-1 gene:WBGene00079308 transcript:CRE06637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06637 MGQAHSTRFTKYWIDKEIEPTEKAPDTHDFHFKTMSTAATENPEFEYEHEWTVNFKWDQMDQFYHIDKLTMGCEKEYKDGEATAANYMIFNFGG >CRE06720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1589351:1590416:-1 gene:WBGene00079310 transcript:CRE06720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06720 MNSTIFHATFLYFLVIFSLMIPTNSEALASPIYEYLKDLCPTGQLPLTSSKTVKTCANLCPLGAMCYKGICCVPPPQCRHPSYRRYWW >CRE06545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:400651:401380:-1 gene:WBGene00079311 transcript:CRE06545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06545 MSTQPEIAPTQEEVQEEAAIPPIVEKKTRGPATQPNKATLRFQLKEAQDLLEIRDEEMRILADQLVDREADVEQFEVNLSNTFKINKGLRNLIFDGAEVVAHMKKAAKTAAKKERIMKRKLVEAKAEATLLKKDKRKLKKEKKAMQEKIRELEKGSMEGKEDPTNVLQPKENSKAKQMEA >CRE06690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1348341:1349656:-1 gene:WBGene00079312 transcript:CRE06690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06690 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M0Z7] MYNSSTIPFKCKDDYSLILQLSKYFGNAGYLGIGLFLHVSILRIILFVERKNFSKSSFFQIYIMDSIASVYLLSADIFYVRLFMYIPPLCPIVSPFFWDPSFISKFIYATMNHCRFAKSLAQIFMVLNRMSCVLIPADYEIMWKKLTPISRFIIALLPFSGTWNIWISRVYSFRTPSGGFAMDYIKNVSWAALSLFQSIFVLTALGFTIICTSITLFKLIVLPDRIKSAEKSLCFTSIFISCCFLIVGATQVAFVTCTVCRTFEYLYFMYMLSYWTFDVFNVGTAVVMILTNKQLRDSIFHFKEKDTNGTASVSAAPQNENKADNIWEQRT >CRE06600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:757064:757475:-1 gene:WBGene00079313 transcript:CRE06600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06600 MSITAQQNAFFEAYFAMKPAPCIKDIVALRALTGIRVNDISIWFRRRQLLERQQRHMREQRTSESELEEQSMEQLQREHEALWARIAESHRAIVNRMGIEEQ >CRE06366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:780161:780986:1 gene:WBGene00079315 transcript:CRE06366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06366 MSSFLDKLIKENSKKKEAAFHTPIARITPKRPAKNVTFRKAPCETMVTEHGETIKEEIATDELHLNQSGFDSEEDLFGTQLFDNNQQVEKSMKPKAICPFEIGEKLMHFPMEQLPTYRRQLYNSMFPRLSFNYDTVNYIANNGDFSDCKTLALGMKGLMEMAEILATLDPSSFLTQEHVEVESLNGTGGYVVRSVALY >CRE06676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1294284:1296030:-1 gene:WBGene00079316 transcript:CRE06676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06676 MHPAKSVVPISPTEAPLDITTAAMIQNATVSSNQTKEAPEAPNMIANEGTTLEPTTKTVKNDKKRDSAEVTKEDKESTRNETSTEPSHTVGTKDEKVDLKPANTTVKPTEKATSATAVKSETDTYSINMEQYDHFYSPQHCFVYFPIIPRRNSPARKELDAYSRYMMDLMIQFHGLPVIQRSPREQRNLARVMTFYEHELSYLHQFDAKNYNFEQEEEKKIENIDTNQVETKEANSAELANPESEIPFDNVFAKLINSGLNKQVDAESQDTKPGNSGGDESELTVSTEHVKAFDTEPKTSDNRTNSYSLLSSLLEESFEASWVTNSEDNWSKPSLKTHLVPNPAFCPHSDMAKDD >CRE06299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:114758:115532:1 gene:WBGene00079317 transcript:CRE06299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06299 MVSLPYNPFLIEKMKATLPGEPSGFQKIVSQEIKRMEEKTFNVPIHPFSMDALLGSQIVENGRLGQVVADRKIGKIRRMRRAPKSEALHILAAIAKIGEMEENPLALEASARLEIPGLTPRTESNNWKSGARTIPYDGPPIRRGWNRLTTDEKDRRIKKFLESRRLMKKLEMAAGEVDGEKKEIICITLE >CRE06512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:219778:221433:-1 gene:WBGene00079318 transcript:CRE06512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06512 MCSNNNEKLSLQREDNESISLNIGTDEVDITLKQGNLDQNESTFLPRCDSPEISFGNTVNEWPMVSTLLVETRSTMASSTQPDPSPLSDIPTTAYQSCALFSPLLNVSNITKTLVLLNYNAMILKKQGHQSTNPNELLPSFIMHPPLPSVDNLQRLMKKPTETEKWEAAVENSRTNELYSNQKLCCLNVSTGLRKVPISLINPDGDKMMPQVVYCPQGYNVLLRNWKASLGIKSSTSTEEKNIEFMVYASQIRRERQRQLKMGWIKVVQKYKVEAAAREERKRKRILARTKIGNE >CRE06435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1309272:1309682:1 gene:WBGene00079319 transcript:CRE06435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06435 MTTITNSNTQLQKIVDENEDCIKQKSDKKSEMPSRIQEVDSSDEDDFDERVEKLFNELDDFERKILEAKESYTHWEEDRKREEEEYNTDDYTDDEEDEEKK >CRE06497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:118370:118513:-1 gene:WBGene00079320 transcript:CRE06497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06497 MGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA >CRE06454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1416230:1416964:1 gene:WBGene00079321 transcript:CRE06454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06454 MDFKYLCPTTFLSIPTLLTKTLSEGLYIEWIFXXXXFLSLPGDIVFLNPYLISFVIMYSHPSWKEKFKNALPSFKVSRRPSKIDVASVEPFDDFKKKSIQETDMYFKQLNDSWI >CRE06713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1543181:1544147:-1 gene:WBGene00079322 transcript:CRE06713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06713 MLHDVDAAIDLQRIKENKKAFKRKRRYNNVVAESVAEKTGTDEAAWKDAKFEQRLLTRDFREQKRNVVTGLHRAGVDAFMTAFAVVYQQRRTLMTENSVDLQYLNRIPLSAKDQPLHLRRRQIVPIAKKEENEEDAHLEAMKEINKQREHVKKNALNT >CRE06291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:26346:27263:1 gene:WBGene00079323 transcript:CRE06291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06291 MLLLAVSLFIFSFNSIFAMTSHTHTQVSVILTRKVFGDTAYKLSINLVIVLSLRKYLGLNKDYQKLIDQEKERHREEIEAPPAEADGPPMGVEEVIDPEFDVPDIDVPPCPAPPPNNESFFDF >CRE06629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:930816:931625:-1 gene:WBGene00079324 transcript:CRE06629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06629 MSSSDEKLRMYDPRPPSELMSPDNYEIPHEVKRSVSVSPPKMFKKAVFEPKVDKIHRFKTKSKKYSEIEPSTPKSGTKNRPAASDNPTEESAVVIIESKFLDSQSIPIDRMRKKRKSGSQLVHWPLAKKVHK >CRE06685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1323070:1325108:-1 gene:WBGene00079325 transcript:CRE06685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06685 MGSSWSRKKTVQRTVDNETEKKRVTTNERGSQYNVTAGGNVYFLGNSPNFSTSPELKKRPCPLEDVEHSTDSPQPKRRRFEASEKRGNGVPDDFHTRTGANVPKTIPLTKKEAAIAAGLTVDKKAYQTMNDVESDWDSIKKKKTKGTKEEEPDKKDEKKEEKKEGEGEKKEEKKDE >CRE06505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:174329:175305:-1 gene:WBGene00079326 transcript:CRE06505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06505 MSHEQPQIDDQVTETPQIPGLPSLKAQLKAKQEVFDSLLKQATKQMLQSCVDGSSFEDMRKFVQKTQENLVNMLVFKQQADEEACSLVDTGVRNKMIVEDEKKLILAEYDKLREEHTGIIPSSYFIPHELHIFQHLLKNVTPWKRKPASSLRTLRTEFSVFGPSSWRPVRKTLQQRTNAMRSWRQHSKNAGRHAQEKRMNQRPRRQRRRNKHLSSEVPVASPPRKFVPKLSSFNAHPPNQSCLQCSLFLQRRGK >CRE06621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:872024:872707:-1 gene:WBGene00079327 transcript:CRE06621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06621 MFEFEVQMVVESILDMRSNLRLFNFYDPYHEEKMFSFCSDKPPKQLHTREQMIMFHSPVIKSFIGTGFRKMSIIRHPYYCQLLLQLVHGTQLQLSFRRLLPVANRYKVYAVVRKCEFRLLCLMKNDASSETIQEYFNLALWYNLKTLWTVLLKHVTSLEELKVYTSQMNVGTMPGEAMKKVAQRIFSFA >CRE06527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:308542:309898:-1 gene:WBGene00079328 transcript:CRE06527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06527 MMMSASEDKTATGVNQVPNKLPNNQLNMSSGIFPSQEVLQNFWNQLVPTTNFARSYGQTNDSDDFGYQAPNTKMPNRGNAHEMENERLKKELEESQRKGEEKDRKIEELKNNIFCFSKHYDATAKLCMELQASAGQNGFEEFLKEMENVQHLKGKELFDLKKTVNSQKFTIKALEGRLRKREKTIGKMKEKIQYLDQDSTTLAPTTSSESIPKPKEPEAIPEEIQKMFTKENLEFCSKYLRRKVQVVSRIPYSKQLFDIFNANLPVGQRKVYGAVERYQCAKLRNILLEEQKKQIDKGWITVRHYNCPSISIGKRCAEFEMPEDPSPSYQATLDAHELIAKKRRQKNEEMNRQSSSASLDTTQLSIAPFQ >CRE06301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:120153:121493:1 gene:WBGene00079329 transcript:CRE06301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06301 MLICSTTPDLFLKENDLFNCARERTRCNRGKGNNPPESDRKMHERFNREARTRKIIVLPVSHSGVAVNLLPNGCTAHRKFGTPIEVSDVRKFLISPDSAEDVNDLNLTESAQLLFVLTTKPSIGWMEIT >CRE06632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:943059:947446:-1 gene:WBGene00079330 transcript:CRE06632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06632 MTKRNNKRPPPPKRRRIEAENDQNAENNANDQHHLTLQKMYDTCQEVLDKQKNLEKVHENMFKEVLEKQKDFETSLVDKLRSVESELQLIRDDLKPKTVIAAVPEETDNENETAVNARNKNATMVTTGNYFVLKHVFMNVSSLKHADTMYSEKEEHFGVPWRFVIQRSEEHFRIFLICAAELNDRKMSFDVVYEITIMSTNARKWSKKARNVFQNSRPGNVMGSELIEWQVLEKYFLVDGQLTAEIHVKIKKATGIYKDNLRSFNETMEEFSDVVLLVNEEKFFVSKLYLAAHSPYFKALFLGSFNDSKKSEIKLTGIDADDFQKYLELLYGENPIDEYTCEGLLLVADMLNTPMVIWKCEQFILKESKKTLKKKLELSTRYSLKTLKKKCLAEIKSIADLQAVLPENVQDLDKSIMGELLEKSISLRN >CRE06498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:121907:122697:-1 gene:WBGene00079331 transcript:CRE06498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06498 MDVLDKMSVEDYNKKYPRSSSFIIGASKQSAFDTTPYGLALQIRAELNLDKENGGAKEKVVEKPKVPKKSALDLFKKLGSVRLSKTSQTDLDEQNTRIRSYETMTTNTDRLVVMAALHLRI >CRE06377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:912675:913097:1 gene:WBGene00079332 transcript:CRE06377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06377 MCILIACLIFQIESMIFCFIQKHQGISKTLQKFTMPNSLVGLLITVFALYTFAVVCLYYRNCLAQDENMAYLKKNFPEYISGFQSLPNFTICEADRYFMNVVICGGGP >CRE06359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:729441:730663:1 gene:WBGene00079333 transcript:CRE06359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06359 MSTSGNADKKVIRVRKSPVNLLLKLKTITEEAEKLSINLNTLQKCLMESVDVEENDDFACFSNPYRHPNYLWSFAIRVWYRAGFVTPSESENIILTQEMIERLLKVTMEVSFEAFSGPYGLRDIHSKIMRDREYGNMKLCQILTIVEKFTEVMMVKKEKDRMTSATVATQTADEAEISDDSELSEFVDCFKKFFVSTSQE >CRE06314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:223958:224316:1 gene:WBGene00079334 transcript:CRE06314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06314 MSHRPITTLQEKYYRDPTRPEPRRTQCKCCNKLFVRQAGQKPGINITAAIQWIGERMAERGRLAHMKAKFLFNRMERGNVSENSKELLRLLDRCSLEK >CRE06698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1369228:1370751:-1 gene:WBGene00079335 transcript:CRE06698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06698 MYRMLSESVNRLDDKLNQLSELLEEKRSEKEEEEEAKISTDDFRRIEAEALENLKNWVLKQEANDEIILKELKSTNEKVFTALVLCCYLLFQFNIEKSAQRTLIRSLFMEMKDTREECNAFGTQVFNELNSLRDDINELKNLLVTSKEKGCSENQNGESVKSEATDTQCTSTESETVDEYPLLPDGLNQRYSNFYIFKNCPNCTRLVNKADDSNHVKCSCGAFFCWVCMFQASESGSIYAHMKEEHGGTGGNYDIPEFEDGNIDVQRAIAENLRFQPDDEFDQEEEDRLNRLLNLAEQQQAPQLENSNDGPAEERQVSEDSYLSDEENDEEEQKRLHEEQDFTAELTQKLTLVKRQQDNRSLPPASKEQLFDSVVGFPQTTPTIPNPPQIAQRFQKHLNEFPSVLLEKYPVYYKSKRRRASLLKWINESDSQDQHNHRRRELFRYAENNIDLTV >CRE06453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1414921:1415953:1 gene:WBGene00079336 transcript:CRE06453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06453 MIIKIVNPSSDPYYICLPVYFYKDPDEISKLVISIELLLYTFCFYIVTMKLRIYLKIRRFHRNFLILSAPIFGLWYEAIIAKLITMAYQLKLFSTGYDIGEHVAMWTRNPKKMLTLESLDGLEMLLIAGFMEWHYLFSVIFGGLSVCIERVIASMLIKNYEKNTKLCIPIFLTVITQLLTIFFTLHVFYDRLNIIAANIIWIVSCILASTMHFMIKKTNKDWQRKMKNPMRKDIFTVSQQFQVKENLRAVALSQKLLYSLFGVIAVGGLGIVVLILELVPPFFCHFLENIVFL >CRE06388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:974764:975589:1 gene:WBGene00079337 transcript:CRE06388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06388 MGSSWSRKKTVQRTVDIETEKKRVTTNERGSQYNVNAGGNVYFYGNSPNFSTSPEFKKRPCPLEEQYSTDSPEQKVRFKLLYKRRRYEASEERESGAVPDWRRNPRTSDGDPIEFTFRNFQELKGVPFPIEYRSNGWPQMQNFDVLGIGLPTIPSHTGLLLEETNCPETKYMTKVNVGGLETVSHC >CRE06665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1173030:1173713:-1 gene:WBGene00079338 transcript:CRE06665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06665 MIKSLLTILVLSVCCSDAVYQFCRGVTTSAVGGTCPSGYVVIYGNKCCTTNDYYEMSSLACKGNWNPANYGGCPNGQSIFNEHYCCDNKDIYDTTTASCKAGGVIGLAVNQLCGTNYYVTKGNLCCRKEDIYCRLITLKCSLIQFFQSRVVKFDFEIIQIIDK >CRE06517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:260885:261653:-1 gene:WBGene00079339 transcript:CRE06517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06517 MNSYSFPSSPSELPSLASSPEPAGQDTAGYQLQNHYIRKIQKLTEENQLLNNQLTSSNQCLHVSREIGRVLIQANNDVYKQYEEQKKRADQLEKQLNALKNYQILRKVETESEKEMKRNSKRKQSRRKRGRRTVKEETKRAKKY >CRE06514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:249994:250224:-1 gene:WBGene00079340 transcript:CRE06514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06514 MVRNHVRVLVYYGYTDMACNFIMGQQFVDQLGLRRTLKKTPWKFDRQIAGFKTLFDWLTWNVLRLTPHNLRVYWLI >CRE06502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:157337:158617:-1 gene:WBGene00079341 transcript:CRE06502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06502 MQNTGDVVFKSPLAPPANNPTAESLHKKIENLTNHYYTHVVTIQEMSEENHKLKNENLSLRTYIDNSYRAWNAEKAELNMKVLFYQNSCNMIANDLKNRDSRIKELEMEVKLLKKGNYYFESENSANSFPGPPRNPFVPLVHQQARIKSEPVDYDDTSSPVATIAPGPSQSRLSLLLEPSKPNATNRHSSIQPFRIKTEPLDVPETEQIAPEAVREEIIGEENEDKENRPPPITTMKEAIEFCSRNNVELGDLKGLNLKPFLFNPFVPNGPPQVVMNSRNPYCFYMNQIKGTENPAQWSALSHSEQESWAGKWRKMRCIQTKQAWHGLIKFEPPKKRKRSAGCNMSF >CRE06508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:203579:203910:-1 gene:WBGene00079342 transcript:CRE06508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06508 MTAPKNGEEAYETLRKDRPEKSPWTDLTNRVRAEWNRRAAYIQAFQAEQEVRGLVLPMSAVVKEMGETMAEKMRKEEDEEGNEEEEEEETMYTV >CRE06635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:955805:957178:-1 gene:WBGene00079343 transcript:CRE06635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06635 MAHILQNSPHIFRSLILYEALDQTPVFFAYQNFARKVGQDSMSYQDFEFWYMRFLRREYDMDYDRSQDPKTRSLMQMPLEIMEQITDELDIRQRQVSLVTIMVFRKVCRNLRTIIDMKPSTFQKIRVIFESEKTRLWLDDGTRDPHMLPLFGFGYFRLQQRRDQEFDSHAVTFMSKTDNRNINLEDGDCLIRRGFRNKIVSNAKHWEMAMNDMGFAMKNPNQVLEELVIENKSLDSFEEFEPKLRGLTQKIRVKKLKIVTNYSNEETMILPYIDLETIERVEVEMVDSKVGMQVGEEQKRIRTIVESDQWKRAVTPILYCHSKNVFPIGTLMDCPRFTLLFHHYNGVNEFLTLVQQLLTSPVLQCAKIGFATGISVPHWMDQRVDIPNSQEFFEITQESVDDFFTFVITRVQ >CRE06616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:833149:833812:-1 gene:WBGene00079344 transcript:CRE06616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06616 MTISFQPSSWILIFFYIVGAISFVFSVITILLIIFKHQKEGRYRIYIFLFQIASANSVLQYTVFSQPMPLFPILAGYCEGFLAKYFDIWSHYLIVSETVRLSKLTNREFQGLQIVSVIFQVECLIFCFAIKHQNIARTINHHVVSDDVYWTGVFFFIFTPVAAYVTYCQAGMKREDQMEFVKNRYPEYYSVPHNLSLTSRKFKN >CRE06605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:790554:792055:-1 gene:WBGene00079345 transcript:CRE06605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06605 MERIINFIFWCYEVLQPTPGGFDVFYALTAVLGFGLFHGVDNHSNKDLTYYEQLSAASPSTLHGYAAGVVSTILPIEALVTVVLPINYLVAYPELFHEDHHNTASRGIFLYSIFAGKCFGWRATMLLMAAGFGISPLFSTHHSSLAYEEELIYKMGFVMLLQIVTFSLFVVKNVKVEPRHVIASLEIFSKILGKRMQNWWTIFICRLWNLLGWSHELKKFNLMKDGHRGKVLKFFHLFSQLDEMKRAPERALDVIAEGAQSGGTVSIVSCKFDDTEVTSSGEELIASGSPEFKLFQFLKNKRPRSKKLKENFVKRHFSEGLQLCKEKGQVAIEGGYVRCRVFNWDKDTVKARLVNISRNVIPKNTTHLVELIDRGLINATITVSGKYNRNDHIQSHFTFIIPNHAR >CRE06471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1477874:1480053:1 gene:WBGene00079346 transcript:CRE06471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06471 MIFSINKGEYTIWLPIYTLNDNTYDSVFYRGLLIIELFLSIVTFLVIATTAYIIITTRAFHTNMNSLFAYFVLSWISSAIGRSMLTPYLIGSWKAGNISNDYRSWWTDDVEEMTPINSIREAWPLFVGGFFTWYYMFVMTTCLFAMSIERVFACYFIGNYENTSRLYLLFFLFLFHQFIILTVLYLVFFNRINFVTTVTFFLILNVVAMFLFYGNRQYNLKIIRKFKREPLESGKHHTLPVRFQAKENVRVFNLTVRVFVVGFVLILSALSLVIVITFKCVPSFNTFLTYCFENIVHLNPLIMCPVLIFSVSTWSKALLHSRLPMIDKITKITFIDRHSVLPNQTQQQETETYFSQLHSVWEKRPSS >CRE06526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:304306:305445:-1 gene:WBGene00079347 transcript:CRE06526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06526 MVPPSPKPKSWYGFDANDLVVSNVVDVIAFDSTQNNQQMQTVQDRMQINNLKNQVAGLEMTIALNNEALACQNRVFASMKSKIEELTKRADHSDIEKTFWKDCWSDEKRKAEDLQTKFDAIVKKEELNKRIGIFDNTAPSAPNTSTIPRLEEKSQPVKYFASAVGRWLVWTGKDEKIEGIGMLLDLNDYLFHPDKEKTVPQVVRKAPNLRQMFGIYYNTVHQGSDISAETAYKNLSKDDMDEWYRKTNILKIWQDDQIKQGMVKIVELEKKRKAVKKEDGNNEPKEKKMKKKIKKEIK >CRE06707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1463322:1464594:-1 gene:WBGene00079348 transcript:CRE06707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06707 MKYSTLLFLPFIIPFFYCCPETNSWLVFENIVESATFPAIDYQNDTFCIIPTDANNMEWIYTRPMLANPNATSNLAEWNYYSFNFPKHQHYNGHSHYQCLCKPE >CRE06588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:694393:694905:-1 gene:WBGene00079349 transcript:CRE06588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06588 MKLKECCAHPLLAFVIFLVVSIIAILTTFIILRMKDKPPHRLPLEPPKASTNSPESRKSQNQTIENHLPVSRPPTSSDPSIVTCESPECVTLAHKLLSFKLFRSFCNELFQLNYRDLSIDPCEDFHKASCGRYYENSLAENSIQEKQVFLQRIIKGDVILQYWENFGHFQ >CRE06507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:195574:198707:-1 gene:WBGene00079350 transcript:CRE06507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06507 MSSDELELYDSDIGEEIEEAEKIEFLNREDVELEMKTLISDVESIVEVNAGMCRNLLHKFKWNKEALLNKMYESGDTQQFLIDSQVMAKCDDKVKEAKEGDCDICCSFGVLIGLDCNHMACKECWKMYLKEKIVDNGICEIECMVPECNLLMEESKIANYTTNSFILAKYRYQSINGYVAASSRLKWCPGIDCGRIVKIPDAETRLIVCECETRFCFNCCQEFHDPIDCRLMKKWLIKCSDDSETSNWLNTNTKDCPKCSVPIEKNGGCNHMRCTNNKCKHAFCWMCMKAWEHHKENGYKCNIFDESKEKSRSETRALLERWLFYYNRYMNHLQSLQLEEKLKVKVSAKEEELQKNSTMTWVDVQFLSKSVSALSECRRTLMYTYAFAFYLKKNNNSEIFESNQRDLEMATENISGYLERELETKDLKTLRQKVQDLSRYVDQRRKALLNHCEEGVENDFWDFSE >CRE06538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:365216:365893:-1 gene:WBGene00079351 transcript:CRE06538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06538 MGRWIPHKLSNFDLERQVDMSLQLLTLHPNFNWLDHLVTSDEKWVLYENHHRRAQWVDADKQQEDVVKQELHPKKIVLSVWWSVHRVLYWELLPEGKTITADYYSSQLQKVKPKLKTSPLHGHKVHYLHDNARPHTAKTIKSLRATFHWRVPAHPSYSPDIAPSDYHLFSDMHRSLEGQDFKTKSEVEKWVKKYFDSRQPEFWRKGIESLFTKWQRVVDKGGHYV >CRE06375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:860834:861972:1 gene:WBGene00079352 transcript:CRE06375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sri-36 description:CRE-SRI-36 protein [Source:UniProtKB/TrEMBL;Acc:E3M1T7] MPVDFSTPQWLINYYHGIGTISLFINLGTIYLVFFKSDKIDSFRYFLVIFQILCTLTDIHWTVLMQPIPLFPVIAAYCNGPLVRVFDVYCHYLMVSWTCLMVSQISALVWCFALKHRTIGKVTSGRIFPRNVYIIGGIYSVLTPIFTFLTTYETGISRKVQMEYVAEHYPEYFQDFRNLKNFSIYEIDGWFVIVLIISTSGAFFSALTFTFTTVDMFKMLRGLKKKVSGKSFRRYQMAVKSLLAQFSVSSLCLAPPFALMILAVGKFENGQILVQIAFAIASLHSSINAIVLIVTTLPYRQFVLRKSQKVFRIPTKT >CRE06591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:710460:712580:-1 gene:WBGene00079353 transcript:CRE06591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06591 MRMSGLITNHINSEFFKYSEFDYKENLPKIEKYDKRNLLVGYYGLAIVSFISQCIVWWTEYDDLVVATLFVIYHILAMTLLVIFIYPHIWKYELDYSENLGPFIAIRIFLQTFLTWVFLKEGHFILPHFYCNIWLFIAINEIRTVESDHVQIPLEETNEEESNKEALVLPTELPRQHRRRYVMLYPYGLYQNSKFFECPVSDYKENQPKIEKYDKWNLLVGYYGLVIISTIPQFIVCIWYYNIWLFIAINDIRTVESDHVQLPPEQRMNEQESNKEALVLPTEIPREQRRRYVMLYPHGLYQVYQ >CRE06625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:908044:908572:-1 gene:WBGene00079354 transcript:CRE06625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06625 MITSCDDDAMKRKLHAIKNEIHLKVACCLTDIHLTFLMQPVPLYPLISGYVLGFSVYLGANTHHCMCVITFLFIYQIGSMIACFIKKHQAIAGTLKRYLMPKALVFVMGGYFLIYTFSVTGVYATLSVLDKEKFYYMRKLRMSVVKYSIWSFSVEKDQL >CRE06406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1105172:1105924:1 gene:WBGene00079355 transcript:CRE06406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06406 MLGDIFLSIILIQITSASIVKYDSKILNVSAIGDDGVYYMNFAFGTTESPRDETFHYIQQQLLSPYVLEMLTQNRSGLNFENSGAVPIHLTLLNDNAAADATAYFFRDTVVNEQYIGDTGNVFLNRLTQEAVSNGIPLTNVFEVRAACDSARIFSYLCLQCYHFPITCRAQPSQALNHLTGILSW >CRE06452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1413721:1414712:1 gene:WBGene00079356 transcript:CRE06452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06452 MPKIRRFQDALPMFVGSFLVWHYMMSMVFFIFFYNLERVIATFLLGSYEKHSRSYISISILIVANLLTLYISYMLFYFQIDFVPSTILAFGAVILAMIIYLTVMLYNLRLSSELKNDTLWKRLKKFDKYSLAYRFQLEENLKVFQLIKKVILAIGTYIFLNILCISFVNSNIFSASFNLTLIFLVENCILLNPLLICTVTMCSVEPWRLILLRDVDFVLGGLRLKKLGTGGKVHDFKKPTDILRDGKTIALEETNIYFRDLKSMWA >CRE06309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:176835:178386:1 gene:WBGene00079357 transcript:CRE06309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06309 MQIEDQVSSWHANETIRKTATPKEDQSYFICKQSRSLLEERSLEIWMKSIVNDWNHYELVIIQQLIIYDNDSWWESRERRRIVIPVSHSGVAVNLLPNGCTAHRKFGIPIEVSDVRKFQISPDSAEGKFLYETSRLKQILLFSEIALASVDYVIWEEISMCDKRRIV >CRE06412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1156979:1157168:1 gene:WBGene00079358 transcript:CRE06412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06412 MAKIVAPEPNPCKDSLNALCQIISQLKDQIPKDKMSQISKICPTIYY >CRE06582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:656898:661097:-1 gene:WBGene00079359 transcript:CRE06582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06582 MQICLVFFLLLNVLPTFSIEWSSEYINGRKYLLNRNKRADGAINQILEKHLPLGRVFNALYLQMELNDKKIDGSDVVAGVLNLKDKASLEKLVSLNVDGVADELKAVEQAVQAVPNGIRQIAEVEAIADKYKFVLEINNTMREALVKARNQDNIPNIILEKPDIIAIELLSENRAETVLNAIKTFESAQAFDETLFKALITAIEDCQTLLNNLPNDVHILKHDLDKSLDVLVSGIEDATSVYEKKEAFKQLTDYLLKSDIELKKIESLKTIQSTETIKFVNAAVPSLGEALQQPSNVSIVAAFSSKLHFSGVHNDFKAIWLKEILNEGRELKQLESFLETFDTLANGTVRLEEIGKIIFNLDNLNNLDDYLDFFNSVEKFKLFSQSTNMNIFEPCLNYSNVKKPDRLKDMKGLSLKLEEIFKIIPNIEKILDGKTDLESIAITMSTHKPGPTAVRAHNFDKWKTGPVIAGMKKFFEGINEFCGSLKQNKSFVDDFKSVIEAAPQIKEVLYWISNITLAEKECDPVFQLDVESLRKINDVPNIVYGMRSRNHTKKTQNFIENIPKLKSSLRTFNTAIAQAQPNNPSNGKNNVSPSAKPPRGKPKRETKTIKDLKLKRQSLLGVAFATRSLEQMKRIEEKSSDFQTVFLKGEDAVKAISKVKNAEKKSKLEAVWKDFPNFKKDLESFITSNSKAVASLKYVPEKNLKDVGNVYMNITVFKFSRHAELREMRETMRYFDNPIPSLIDALASIEELLAMDWGLVHTNFQKVPNSLIGLQNDFLEFFRVEKKVEPNTKEKAKGGLNLFLLIGVGLGVLVLIAIIVLVILYCVFVYDSDLKKSPPEEPDYSPPEEKFFEFTYMQVQDARLEMNKRDKKYIGNTPLFNAVIRDDWPAAEKMIKQGVVLDATCAGPLCRTVLFEAVLKQKQSFCKKLLKAAADQTICDATGDDPDTWTDRFNMTDLFQYFYDERKLKAPPRIVPSVKRYWKVLVLDKSCFKFYKKKKLPKRIKNHITWGYNEDMDLDSFSHIVLPNEYVKKDVTLLLDEKDFLTFQLLGCWANLMSVKWLNALANKEIHEKAMNRDFEYYVMHTEYRGNHHERTVFKQKTSIHKLQPRLLMNVAVTLLPTKLPYWKDQTKELKALVEQFGGELPKEVIMDDDDNCPLPYYSMDIDNLSSQNRCWVLKFEDSKVNPEWKKWPDRCTVSDIQLLFECIARWKVLEHSNAIVAPEEIAREPEIVPGTDGKSKMVSTQKPASKPAGKSQFKNLKERKSKMVKKIEKRS >CRE06513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:227143:228775:-1 gene:WBGene00079360 transcript:CRE06513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06513 MASARTDPVPRTRASIRQTASVIKYVNTDTSQNGQRTNWMPQSDAMPMGTTAGDNQETNFERSPGVHDPVQETEIVVNESEMVPEQQTTDLTNGLAVPPTAGIPNDNSQQNEQHSETLNGCDESHGWCGVRGLCPPTPSSTTSSDYEYLRGLGKSFSLVNVILNDPDDTTTLLAGALECGVIVSRTVKLPFGKDRYIQYFDLKDKCEENKLKRIKDNASPFFYHFVKHEVPLRLLPHCTKFRELGSYRSILKKSFCQKHVGLCLKDWCLMDSVRNELNEKGENDLREIVKKRRSDISTAVLSSGKRPKQTKN >CRE06653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1067783:1068377:-1 gene:WBGene00079361 transcript:CRE06653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06653 MSGSTKIFLVVFFCAISINGEYVKKMIKLYGNVTYSNKNKTTTDTNVYDCVKNCFQDNSCVLSFWDSSETCTTYPEYRNRKITVEETTEADLEIVAFKTFLDDSNCPFDYRNITMNFIENADEDALVVSTTTQRGMMTVWTNGLWKRTDTGWTISM >CRE06369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:825304:826029:1 gene:WBGene00079362 transcript:CRE06369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06369 MLLKLPYLAQFTILKHLELHDHFFLGLCSKRARNLIKCFSRNKNDSSVINLYSFSIQLQKTGFLGKDVVMWASCYYRPENETLYRSNTNWNRSIIFWYKDKKIKCKISFHSTKGIPILWCKDKYKRMLPMAIYHSICEIFSISPIIQIKFDMSRLSEFPDTNEIDNVITGCRDKDQIEEFLKNVIIRNATQLWLGSCQLSVDSVILSLEHALFWSPK >CRE06308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:155838:157248:1 gene:WBGene00079363 transcript:CRE06308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06308 MNPTNVQDQTAAAVIQKELEKRREIELLWRVVNKKNEAVKLHDDGPSAFKPVVPKEKPAAQADLPDMFELVGRNEEPAPRPFEKPQRQEVELSPSWSESTSSPSSEDNGIKK >CRE06405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1102498:1103005:1 gene:WBGene00079364 transcript:CRE06405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06405 MRSPTILLLLFASFVGLSNSTIYWLTGVEQLQVQANLILFAHENHGTDLLYELTPKGNVVDHFLHTRSSPINRIVVQEAHETMRKDIVGVAQVQEEGRMVYLVKMTLTPSATSPTGYTMMNFEKCFDCQPTNTF >CRE06680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1305488:1306062:-1 gene:WBGene00079365 transcript:CRE06680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06680 MRILLIIFCLFAPIMAYRFLFAADVDCESDNVFQYTASYWDGDKQISKNRTVTAFKNIFFYQEGATYRINNTKDVYADIEPNCTKSGGMDSFVIMFEEVRRDKAVQRFDYYVNLSEED >CRE06329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:369296:369757:1 gene:WBGene00079366 transcript:CRE06329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06329 MAAPSTFSTNTGSHAPDSQQQIVSSAHTDSIDSFDQRLITTNKTTAILDKENKIHVSFPFNGKKSTLHKNPSMALTQLKSQIEAKPTFALFRERYNDITQQQLKFGCIDQASKNKKPIGSQYYIPHQVVVKPDFSTTNFRIVLDASFHKENEQ >CRE06609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:806539:807595:-1 gene:WBGene00079367 transcript:CRE06609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06609 MYVIMCFLLDFHLTCLMQPVPLVPIFAGYTVGILPEWFNISTHFSVLITMFITTAQLECLILCFEKKHQAIATALNVHVLPKSLEMFCYFLCSICPVTICFWFNSTHISKEDQWIYIETNLREYSEGFRSIQHFDVYTKTFSLVLLIKCVALGGVVFILLFLIFMVDIFKMMYQLKLRISKHNYEKHIEAIRTLTVQLATSSLCLGPPCILMIIVLSVVDQAQFLTELCIACITAHSSANTISLLIFFHPFRKFILTNLRW >CRE06320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:240336:240803:1 gene:WBGene00079368 transcript:CRE06320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06320 MSHHYPLHQSEILACLISPSVYSSTLFTPFNFFLVYRPPDASSAQSLALIDHLDEYLPLKSCILAGDFNYPSLTWNPPSSNHPFSLFLQSKGLYQNVTFPTRTSATSSNILDLVLSSPDIAILHISKEPPLLNSDHFSVXXXXFHNSSCQLLYHS >CRE06701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1376534:1377799:-1 gene:WBGene00079369 transcript:CRE06701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06701 MCQTRCFHFNLTIIFVSLLGQWFECFLARLLIIPYQEGWIAIKDTVVEDETTGHVQVSLLFIPLLVGGFIRSHYILSLSFFIPSVVIERIIASIFIRTYEKHPRVYVSTSLLLISHLFSIFLSYQTMQWKYSYFQIIVTFILVLTITITIFACLYIYNSSVTRRLELQHRRYGYHFGKRFQAKENLRSLKMVRRITIVGVCGFLVAALFISLVASQLLPNYFHRISLQISEIVLNLNPLFIVPSVADRVPPWKNKLIDTMPKFIRKKYSCTRTVRPMDYRARRTVVEETNTYWTQYSNNW >CRE06592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:713038:718022:-1 gene:WBGene00079370 transcript:CRE06592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06592 MGAKKKSHALKQSKPPKKSKPANKKKPSKEQKDKKKGSGNSKPANKTRSSSAPNPTSTRSTTPTPESVNAPEKKKKPLKQIALFSILCCIIIALSIALIVVISQDVPSAPASPAQETVKPRNVCETPACITLAHQLQNWQNTSVDPCHDFYKYSCGRYQEHVPFAGDSFDRKTKILKLLIEDFLTKNVPSTSKSEKSMKILYAKCKKAELWSEERDFFQQVYRHISSIGSWSHFRFIPLGVDTNLTKWLSGLRLLKKSLESQSVDFGLFSIKFNDHSKMTIEPKHILLRPPSVMMTNIHTLLRHNGIDVPDSQLSVRISQLGDFLNKLNYIPREDVSSVIEYEKANLKSRIPAIDFDAIIKDMMRSSEGFEVVKKNTFVSNSGIFSQTKQARLAVFQHFHEVFFQLSNILQNRIIAVDFLIYIFIDDAFQRYENFKNERKQQDCAQVVIDNLPHASTRVFVRNYLNKKNLKSVSDLVESEKSNFIEMIKESKQLHENSKKKAVVKVEKMKTVIGYPKEFEAPGALDNSYQLKLFPSDSYNGMLMKIERDFTKYYLDFISKKSVINPANLVLWTNAMYLEDNTLVIPPPIMDDPFFDESYPEYAKIGILGTPIGHEMGHGFDIGRIGNDENGKAGRVLTLVDLKSLMKNAKCLHKQYINYDDPDFGRQNRPDTSINEMIADSIAAKVGWKTFKKLQLSQEKEIIGYEDYDIDKLFFQIKALRFVIDRLLKSLSIHAYCYLAFVLLILSIGTSCFGSDLSEKESLAWWFWIIAFGLVCSKYLEVVLPRFGLWNASVQFYCVLIALFSVTAFFHYIESSKSFSKFMKEKGTFLTLNAIFAAIKCIFLVRAAAQLAAQPAKQFVEHPIEQPVQPVEQPAEQAVEQHEVVQLPRQDESRSSQEEMQQGGGIRQRRFVSQ >CRE06480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:1585849:1586346:1 gene:WBGene00079371 transcript:CRE06480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06480 MDGSVEEDHLTWGCVPPTRNKTVRRKMQPKNSSSNFTSRHHAAGTPTDPRAFWTIIDGSFNQGQLDKERTSSEKLLPEEHHHLINRTTPSIDGNNNCGQQYRRITTNNASNKKRQDNVPDNALLPARSEISRIRNPITFAAGSLSLHIEIFSSMMPPGQKNTFGQ >CRE06503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:160515:161453:-1 gene:WBGene00079372 transcript:CRE06503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06503 MSEAPMVPIATNDSRFYHDCLVQIHDLGKHVHHLQNGLVILKNHIQKHEESIPDPKEIVDTKIGSIIVEKHLEDHMKMLENLGTQVKQWEQHYFCAQQGIRQPPPINSDWEGSASGSSNQKPPETFEEALELWNKHFPTDPGYRVDKDLTCYLFNPDGEKIAPQIIKQAMTQRDFYQSVCPVTKGNPSWTQLSEDEQDEWATACKQMKDIQKKQLELGLIKTRDISEKHRRSKEKRKARKMKLDLIKNDN >CRE06387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:971134:971944:1 gene:WBGene00079373 transcript:CRE06387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06387 MYLFPGMRLQVLSFSDCSVLMRFAASHGQHRQNVTTKKRILISPPTHRRLIVQYIAGKEMKFKKVGKR >CRE06289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:15768:16166:1 gene:WBGene00079374 transcript:CRE06289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06289 MKLGKPTDIQKTPSRGCLGMIARLLQSLTSARKPKSSVTKLEVEVWDEYPPIDTASTIADGFKYAIRLDRLCDRAIRDLEDWDYSYITSDTPKTEWNREEPKERFDRLMESSYDVYDLIAEREAIAKNSNIA >CRE06620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:868871:871438:-1 gene:WBGene00079375 transcript:CRE06620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06620 MSAFNYIKNAKVWKQTIRPNFQSIARVSLSKNVTVAGRKWKSTVSATVRSNGQVCMESMIIYEDSFELGKGFSGKCKVSVYNQTSSEWKQLFFKEFSEIGYLSLHALDFTLILSEGEVLDYLNLNRNFEFEVQMVVERIVDRISITQLFNFYDPYHEEKMFSFSSDKQPKQLHTREQMIMFHSPVIKSFIGTGFRKMSIIRHPYYCQLLLQLVHGTQLQLSYAKVWRQTIRPNFQSVAIVRSIKYYTVAGKKWKSTVSTTVRSNGQICVEGMVILEESIIIGKGYFGKCKISVYNQTSSEWKQLCFKEFSEIGYLSLHALDFTLILSEGEVLDYVNSNRKLEFEIQMVVERIVERRMDNIAHRSKVLRLFNFYDPYPEEKMFSFCSDRQPKQLHTREHMIMFHSPVIESFISTGFRKMSIIRHSYYYELLLQLVHGTQLQLSYTQVRRLLPIANRYKVYSVVRKCEFRLLCLMEIDASSETLQKYFNFALWYNLKTLWTVLLRRVTSLEELKVYTSQMNVGTMPGEAMKKVTQRIFSLA >CRE06323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:271184:272160:1 gene:WBGene00079376 transcript:CRE06323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06323 MASRTFIQTAGIYIITVSSVGILINSCVFLNYLKLESSCFRLMCISKTLSNFLNLLIYSGYIGMTYTLYELLGTYSLNGYMNQAAGYGLIVMGSLTQLMITANRFLVVYFFWNFRGSGGDLSKYSNPITIFFLSICWMICVWLSVLPGFSEQCLMTISLEHIGWRATHCSINFGYLMFATLLVIGIFSNFLNLLIARKLIISSKNQSLSCEMSIRRQKNTIRFFLQSLCQDWIYVLQMFICYYVVLWFEKDSLGSFMAEMGFDVFVPVVDG >CRE06639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig14:977794:979385:-1 gene:WBGene00079377 transcript:CRE06639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06639 MSEENDNVAPMNNKKVNLVSEEQEATLETAENKTEKQDDSRNEVVEKMFENLLEKQRNFEQIHENMLKEVLEDQKNIKKSNTEIVEKLCSLESELQLIRDDLKPKSVKAGVPKETDDEDETDSESENESVTIPTSGKCFVLKHVFKNVSNLKEDEYRLSEAEEHYGIEWRMYVRRTKEHLQFYLNCLKSMDTANWTIETQRKHVLVSDRVENKVMESIRIFDKESRSWGYPEFIKWDVLEKDFLVDDKLTAEIHVKIKKTTGIYKDNLRNFDKTMEEFSDVVLVVNEEKFYVLKLVSFNIFQNMFLFFYNFSKKLQMSMKYHLEALNKQCRKEIKSVADIKSVLPGDIRDLHPSITTEFLEIALSIQ >CRE13068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:105109:106048:-1 gene:WBGene00079378 transcript:CRE13068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13068 MAHRQNQKMIPAIPTTSLRVQTDKKQEDVDEKTLINKLIKEFKESLTPDGEERIHIGLPHTGRQGELNSGITEEVKPKTSTLGSEDNSFNKYFIKHSMMIKIRADKKSQKYQLFRVEGAETSDQLKSPSESSVLEAENSREERKFKQKVLGSNKAQEATTNVPTTTAGTGDESTNEEFVRQAHHRSTEVSTTGRQKSTPSRLTVSNKTCCLGPSSASDNTIGQSAHQQLPSNIPQLQDKMPL >CRE13076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:137577:138951:-1 gene:WBGene00079379 transcript:CRE13076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13076 MKVSFTMEEDKALLQFLIENSSKYDEMEVFMKAQQIVPVLSDNTALELLLRVFRYREHLYPMITGQPFKETFELNSELRNNASSSPTTPSDEGFLTFEEDKALLQFIIENSHNYDQYEIFEKARQQFSALSAKTVLELYLRYHEYLFPLMTGQPSEHVASLHPVLLEKEFINKEKKEEESFTVEQDTTLLTFVIDNASKYDEAECFLKATQLEPTLSGFSALELYLRYQECLFRLSTERPSQLSNEIPSLVNCVPSKGGFHPRKRNWMGTVSIDNKRSSQTCR >CRE13030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:72013:72736:1 gene:WBGene00079380 transcript:CRE13030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13030 MPNTCGYKKQLIRYMVEKTKDRTTPLSLTCFARNFLTTTGVVRHPRSVEKMCRIIMAKAHLLKKNTLTKVRIVFVLSGVVNEEFKKEMDQIGTCTIDNAGHIETFKANDGSLTLVCMNNGNGTRVRSRSEKVKAKKVDSESDSDEDEEMSATGGDNDGIIDNYPSNHQPPVA >CRE13062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:91554:92232:-1 gene:WBGene00079381 transcript:CRE13062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13062 MMKLVLVGLLLVHSTYGIHKKQTIGRETCLEVINHVRANYAKEHGIANMNELGYDKKLEEKVENLLRNYDGCPQPSIISQNRFDTFLDMENGEKSDLIKFISGSGSSMMACVQSVCSKTGKPVFSIITDVNSTPAIKGSPGAKCPSNRIAGYKGLCAQKTGHKGYARKIGVFGGVGRVIEGWFD >CRE13042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:113517:114726:1 gene:WBGene00079382 transcript:CRE13042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13042 MCSKKWLEITFITETIGFFMSVTSHSFLLFLIGKSDKRKFGSYKYLMICFSALGIFYSSCNFWCKPNVHITETTFVIFTVLEHWKMSKFYGTVSISIYSSCFAMILCLLTVQFYYRFISVTTPLTLTEKFSWRTAPRYLILVLGFSTVWGSLTFFVNGPSDLKDIELNPEFQKVYCLDSTDFAYIAAKYFYTNSQNASERIIHWPSIIVISVMTPMMSATFSCLLFFGIKTYSSLNKTRLSSASKKDMQHQLFRTLVIQTCIPTIFMYLPVSCLFYFPLFGFTVPELQNLIPIFVSIYPCLEPLVAMYFIRHFRSKIYGKNIRIHLWTDMFQVS >CRE13071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:118320:120099:-1 gene:WBGene00079383 transcript:CRE13071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13071 MKAALLFLLIVVFSAEAEIPACPTGTISFDPPLDTSIVSYYPNSFNGNNAPLFPDNYQCNYQINVPQEWWAEIQLTVQLANQSDERVSVVVVDQIGPTEGIQYASQDSFYFISPGGSIQLSTTSTKTQLGFSIQWKKYQPFSPNLINLNISDTVPKCLDLSNTVPYVISAETRTSVLVIPTLDNKYQHLLRGILIFDGLTWNSTCLGTAKQLWKSKTQWVSTGKEMTVQFLFGASSDSLIRLVFQDFENTRNIKHFEGIRSDSEDKVFSFDATKGPSAIITYDAQDQTVETLRSFGGDGTLDVYAGGITQSKKNLIATYSISSDSLRLPQQFYGNFKTYVFSGDKYSKATFSLVRDTSFSLTKTTGRTGFISSNHWGQDGQPQYASAIINAPSGQLATFSLNIHSIDFTPGTILVLTGSNGKSNVYDEKFDISHLPPMNQTIEIVSDKLSLSFNSNGVVNRGLYVDFKVMNTSKSFSCLFLSLSFLILAIFN >CRE13050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:148403:168246:1 gene:WBGene00079384 transcript:CRE13050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13050 MGNQLPSGPSLSHPAFPQPKKKGEQIATTTDSATPHILTGNPLEATAIVEENSDLRDTGGPRTSDQSNVASNYSIEKMTESLTEIVHILLKNIQDPTRIKETFCTVLEEVEKSLEENVIDKLGSSAIENSSPSQHHVNSSQDDCRLEGLLETIQTKVASLQGKNHCIAQNSTAINESISTEAAYDGDNSKDSEMMGADENRRLSNERNSSSCDPMRKTSHIFRLIRMNLMQIVDRLTNNGPEVSADFDQNTTIPTMQEIPMEPEPLNEEPARSSEHYSSSFQFEDIDYTVSRARAVSRRESDHIDVSIRVESREHQNEVADMDIDLSSRADEVVVGQTAIKSLEIITKRKRHPSPEARVLAFDEDSILSVDEYYKNYYSFSYVGIHDILGGDDKQWILMLSSTVRISHKHFLINATPSKLPLKHPFKIKFDFRVLKSRDQRGALKSMTERNWINDFSFLHQTHMQPFLTDNVNTHQLLVLSLLATKATADQESSQYPRRWQEALERNDLIYHAYRCQKTRNSLKKILNKEGLELLASIMEEMGVKI >CRE13072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:120581:122454:-1 gene:WBGene00079385 transcript:CRE13072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13072 MEDSFSLSVSSSDDDLFFFISSGGKVKLSTTNSKVQFRIQVSWSSYPSTIQTFNVSSSDYQPTAYSTSQNPVVQVKADTRVSATVATPFSPRHSLQYLRGLIFFDGSTTNSTSLGTGLQLLNAKSQYVSTEQYMTVVQLEGYDGLHCFLQDYDNTKEIVQFQSVVYTDQPNGLSFTLDGTNGPSALQSCTNYSEILIGMNGNGLLHAYLGGVRRDKKNMVRTYSANNSLTNLPQEFQSDVRTYVSTGGKSFINISDNWSQLQGTTSIGRKGFFISPNYGVLSNDQSFEAQIKMPSGGTAFRLNPLSPSFVGKEFVKITLWDGSRVDSQKTFSAENQFGEGVLEVFGDRIDVLYSQDTQIPTTGFYMIFEVVSSCYRYSILISVVLILLNLL >CRE13040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:97536:98683:1 gene:WBGene00079386 transcript:CRE13040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13040 MCSTIWLEVTVYAEIIGFVLSVTLNLILLCLIYEMPKETFGNYKYLMFSFSICGIYYSCCDYWSKPNIHLSEVSFAVFNIRKVTGFNKHLGVTALSIYCGCYGMMLVLLTTHFYYRFLSVLRPSKLSRFSMRNFPIWALLVLISYFIWFSLTYFVNGSSSIKDEVLIPEFKRDYCMQPDDFSYVGIQYFYTDPLTGETKMHIPSLFSITVFTCFMTLSSGSMTYFGVKTYAHLNELTMMTSVDFRELQNQLFRTLVIQTLIPSVFMYLPVGCTFLFPLFGLTSEAMRNFVPISVALYLCFEPLVAMYFIKIFRTRIIEVVTCLKYKKRGQVSSMQTTT >CRE13046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:127308:128478:1 gene:WBGene00079387 transcript:CRE13046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13046 MCSSSKWLEITFWVEFIGFFLSVSSHFILIYLILEKPKATFGNYKYLMISFSASGIFYSCLNFWCKPNIHLSETSFAIFTILKTSGVSQPIGVVLITLYCSSYAMMLSLLSVQFFYRYTSVISPLNSTRFSRKTAPFYIIYVISFFNIWALLTYFINGPSNMKNQEFIPAFQIEYCLGPTDFSYIGAKYYYFENEIRRYHWPSFICIFTMSSLMCSTFSGLSYFGTCTFKTLKKVGLTSYGSKELQNQLFRTLVVQTIIPTIFMYFPVSCMFLFPMIGLQIEQVAIFIPITVSIYPCLEPMVAMYCIKDFRHRIQGSSLMFRVLITLLLNIPVSDILTCSTVTRIQVSSTQRDSFF >CRE13053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:13160:13853:-1 gene:WBGene00079388 transcript:CRE13053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13053 MSQFYASIFLPILTCFFLFRRRNNDVETSEPLTVRGSLDWNTVIIFAFLMVGFLSNFLSLIIPDWMFQTVESPDQTDSEPYFPIAASCFVYISIAFSIPLLILFFLLIRKTREIDYCLALRKYYLVFSSVVFIEFVLILISIFLFTTEIKNFKGGSGYFWLGWSYLSLISACSYFIAFFLLVTFSFVYCKNF >CRE13077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:139756:140562:-1 gene:WBGene00079389 transcript:CRE13077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13077 MDELKAKYGEQMLNREKNLKNQAPNNDREGLIFRFLLQTIMRLDEAIRNGLRVQIGKMFDTENGIVHLRLDGGQGNRSVTPEEAREIIGETIHILLVHRMGTVVSNDENIANLIGNFLIQLADTVDNTQYYKRVVRQLKQVFGDPFDEGTPITVNAIQRIFDLIIETLKRDQHSD >CRE13066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:96129:97356:-1 gene:WBGene00079390 transcript:CRE13066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13066 MCSKTWLDFTFCAESIGFALSLILNMILLFLISEMPKKTFGSYKYLMFAFSVYGICYSSCNFWTKPNSHITDASFSVFNVRSSTGFSKSWGTVSLAVYCSCYGTMLSLLTVHFYYRFLSVTCPAKLSRFSMRKLPLWFILNLVNFTLWFILVYCVNGPSLMKDEILKYDFNKSYCLNLDEFSYVGPQYFYYDHTTGNTRIHIPSFIAAGSMFFVMTFTFGSLTYFGTKTYAYLKNLGVLSGNDCRELQNQLFRTLVVQTIIPTAFMYFPVGCLFLFPVFGLKSKVMENIVPFTVSIYPCFEPLVAVYFIKSFRKRIIGIITCQKYKKRVKVSNVATTY >CRE13032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:75526:76057:1 gene:WBGene00079391 transcript:CRE13032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13032 MTRPTNNKNFPLSVKTAIFRGHLNGQSTKMLAAQFQCSPSGIRKLIKRCLDQNTFELAKHPARPRKTSHVMDRNIIRASREDPRMTSTDIQVVVTSPNEPVPSKKNIRKRLQAQGLHGRRQSKTRSSVKIIAETVLSGQKLTRAGDLLSGHNTFGHTNPHFN >CRE13038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:88168:89255:1 gene:WBGene00079392 transcript:CRE13038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13038 MAIIIPVKLLHMPSIPLNKVLRHFSVLELFEFSQCSQKAAAAIKLIGTKNFKLELNFNLSYVRINDDFKFEVKKLRADEVENVTGFRTFEKNQNMIYMDSRNKITCLWEDRFARLRTIFSHLSKLFGCPTYSVRSDASVPTHAFLLVMHEIISRQSEINVLEIACKSLQENNVKWILEKLTVTDELMLGEKLSEDFGKNNSIHFVAKSLFIFNAKWVTPQKLLSMRNCVAIELDGSLLTDQDIINFFENWKSGQYPNLEFLSIKSEQLTRDLVLPGALRMERDFGWCQPKIICGKQRYIHCDFQIFAHNGTIGSVQLDKLARDVQFMVS >CRE13018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:14259:14678:1 gene:WBGene00079393 transcript:CRE13018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13018 MMFKTSMLLSFIAGLLCACDTVNPKVDFPCKVCKPLYNTTCQGINPKDINSCATAEQAKVKMEMKCPEGTKLAFPMDEYFQNPVI >CRE13052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:10001:12259:-1 gene:WBGene00079394 transcript:CRE13052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13052 MAQKASLPQLPNVALEQIFRNLDFLEIQPVRKVCQNLRSYIDNHHRSDSNLKYVSITVERGKFNVNYNFFDEQNKLSVVYENLPGGCFVQSQINGILRETYLEKQDSLEIFCHDFHNFWKNQRRELSSFSVEFFGENENSEFLNQCSSLLNDHFPIDQFHLFVTTSNQIIPILKKVDPRCIVINNKNENSENLEFQDFMELNQWRNAERLFIRSFAISAPIQKFDHFKKVSVFFRTASWDDVSYLKESFLDISSYR >CRE13060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:74217:74650:-1 gene:WBGene00079395 transcript:CRE13060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13060 MHSLIPALISGDVDSLHLLFSLYYFSKISGLLKMAPPAIPPVENPQANPEPQVHSPMTESERRFFDIFLKVYISGIVIVIVVVACIILFGTPAHDDY >CRE13047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:141930:143787:1 gene:WBGene00079396 transcript:CRE13047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13047 MEFTPAEDITLVEFVLANETKDDRVFMEAQRLESLSHFTVLDLYLRYYEHLFPLITGQQPKQVSVLHPVLRETEVSEIISEVIHEKESDVCLKPTDEGFMNHGEDIDLVKFVLENTSNFKEHEIFCKARELIPALAKKTALDLYLRYYEYLFPLITGQKPKDHIELHPALRETGSNERLTSSRSKFTPKQDEALVKFVVERETEYPSDFVFLKAQQMESSLSEFTVLDLYLRYYEHLFPLITGKSPKKSFKLHSALQNNHPKNPQKSEKDAADKFNPPSNPTAPDFLNHEQDKVLIEFVLNHTLEYGREGVFVKAQALEPLLSKRTVLELYLRYHEYLFPMITGQKSDKKEELHPSLRKDKMKKELESEWKCMVEEIKLENRKTISRMQKQMEVYFHELEEYSKQLKSDELDNIINNQKADLDKNVRIIQEEDRDPEKMSAIFERMFSWDLGVQKIPTDCGTWNHGNDELQSRLKSRFGDHSPKLTENRVTQRKSVGKFENGYHKQLGY >CRE13067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig140:101044:101314:-1 gene:WBGene00079397 transcript:CRE13067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13067 MVEMYLEETYALEQIAEKAAELLPTVEWKVCSGTQYEIDFEFTSSRQVFDSIKTTLIYKFNYLLVRLERRHHYC >CRE02755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1401:8805:13575:-1 gene:WBGene00079398 transcript:CRE02755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02755 MQSALIGLNFPLQRRFLSGVLTSTSSATRCYSSDVKKYECTSAEHKKELDECYNRLDLSFENTKEAFKSKSNTELVRALVVLRLCGVQTLVNQNQMILATMRRVLGKNLFKKTLKNTFYGHFVAGETEEEVRPVVGKLRNYGVKSILDYSVEADISGQEATDKTVKGTSQATVKPAAMTPVVDAKTLETTRERYTVHQEFGDRRQGVASARTYFYEGEEQCNKNRDIFKDSINAVASATGNEGFVAVKVTALGRPQLLLKLSEAIVQTQNFFKALTGGMTLQEGRLTSQEFTKRLHELGVKTDSESVKKFFNDVDFDSDGIVDLHGWNHILDDHVKLGQLFQVLNIKTGALEPLIQNLSNEEEQEFRNMVRRTLDVAEYAISKGVRIMVDAEQTYLQPAISKITIEMMKKYNKDRGNVFNTYQAYLKATLQNMEADMQVARREGWHFGAKLVRGAYMEQERARAQAIGYDDPVNVDFQATTKMYESCLTRIADEVDRRGRTNVSVMVASHNEDTVRFAVNLMKERCIAPSERVMCMAQLYGMCDQVSFSLGQAGFSVYKYLPYGPVEEVLPYLSRRALENGSVLKKANKERDLLWKELKRRISSGEFKAKHN >CRE18344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1403:713:2339:1 gene:WBGene00079399 transcript:CRE18344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18344 LVHQLTEKSCKFFTIQDVRNVKILNSSSNKKVAFKISLPTGTCPRDTEAPPLFGNDSSSLIITDGEGTYYKSEVTYSPETWDYTEEINIETWDFNYGMLNCLTDIPENYVPLDITEEYPYYHELTSPFSPGETFFMRGKTAKLGKVTTIAFLNTETSDYPLYIILNQEHDVSNNVSITIRKNNNQLLRNIPEIYPNPYGPEEDFEIRVTSTKDVATIYFNTTKIEYPLYSGVPLPNINYFVINYNGESQPNQRGELYFLGWTGDCQYLNM >CRE26890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1405:613:847:-1 gene:WBGene00079400 transcript:CRE26890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26890 MVSFTVVDVPPDTPAWEQERRNSVGASEVAAIMGLSPYATALDVFKSKHGVDREFDPVMALVGHEAEPIMHKWVERYA >CRE31611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1407:435:2333:-1 gene:WBGene00079401 transcript:CRE31611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31611 MRLVTVLSLLITQILAAQKSSIGPPKLLEQSEEEVWYHLDDDDLKNRLTLRCEADENTDRYYWLKNGEPFEIDSDDVLWEKEGQSGSIVFVKPHISHQGYYQCFASNIFGTALSNKMNLRLGSLEHFPKRDIQVLRVKEGESLTLNCTPPRGTPSPKIIWLYRSLDDSSVIETIRSRHITVDTDGRLHFSSVELSDGKATLVYECAATSPVLRGEYRRVSFTFHSRKLSFSDKSHPVRKLSVSPSEVTIRAGGQLKLQCIFGGRPLPTIFWSKVDGELPKSRLKDLTSHESDFGRSLIVENVHPDDAGVYECRGRHLVHTVNVRVMAAPFWEFDPPHDISLPEESTGELECLAGGQPTPIITWSMNGKFLHELAEDSRRVLLDHGRILRVRSLNHDLDTGVYQCNASNPLGYVFANAFVHVRGLFGSIRFTTHLFLNSSTRSILPNASCSPLESRSSLYSNPRL >CRE30591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1408:2069:6054:1 gene:WBGene00079402 transcript:CRE30591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30591 MQLRSSALYLFSGANIYPCESEQSSIIFQGKLYHCEKMADIEEEEANRLAEEERIRQENELLAEEPMEEEDEEKRVQEVRLAEIEKAINETCTDIKNQTKFSTKQCRVLLSPLIGKILEVEEQFRGKKKESEFWEKMNVKLNSTVLLLQNELETKSQQQPPTSIEEPATACVVSGQSIQGNEERTKMVSLLEANEIHTEAELNKLFEKYEQLEYELSVKVEYLQRSQRQTDSLRSELCRLKLKCDQQQQKLLAEEEKVKKMSEELKVKHSTHNANSATRFGECNQQTGERKESTRYYNAETSEIIDTIPLQESLDSGRNWNQRIVEQNAQRSIIVHNEHEMSNMNAQWRMAQALPDPPVFSAGKNSVTAETFERAFYMKYRFFDIEAQKNFLETRFLSGNALAVYKGLPESDKNSVSRILDAIKRRLSQSEPEESRRAKRKFQALKLHKEQTVQDFCLNMDEVVRIGYKGVPEYQISSMKTTKLLDEMREHTVFEVLLQILGSQLRNCPVEEQYELCRVEATMFDEEWRSGKRKEAKNEMRMNRGQSDQYSNNVQRTGFTQNNASVSQQSSRVNRYTPNRQTNSYQTHSRSDSNSNQGTSTTGQQQNFSTSNDLNNQCAPKGYMYNEKSPECWKNLVHSSDSANNASSSALGFHKCSECSLTGCHAPTCSRAPGSNTSKVKINSTIICFRCDQQGHIASKCPIRNASIQEVRMAPEIQSKVEDQKFKMKSDTECSSDKESERELIDHEMETKDLCEGQSVAASVFKKDMVIDVDRVPIKSINSIEFSVRSSDEMLRSGDTKDDGLQTSCTKHAHTKDNNGGVNREFTEANSQKNGNCDEEQKSERDQRLCSISLTKTKKRSVQQKKVSDVISSFGDLKRDQKEHNVQKNIVNYESTQSYMSSADGDGKKKKNTVDQKAVDNVMCQGPPLNSIEDSSSLNQNDNVDASEVTDIVKINTSKSKFLNQKCLTKPGLQKTGDDTCGVDFPKKTKRRNKEETRPRTDPPVSSPETSDYDYNSVFCFDSDLWLNGTSTGTLDSDNDEQLDSYQKWKKAVSKMFKSQVVPRPLKDPPASINQSTWSDTKLEPVKESPRPLKDPPDSWKKDVNWRRMQEEYRPRKDPPSPYCQHGHRNLLGCLQYTQYLPTPMSTVSS >CRE30312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1409:2587:3184:-1 gene:WBGene00079403 transcript:CRE30312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30312 MKFLAIAFLLVQSVHGLTITRVDCADGINDARAKYAKGSQWADANKLLYNISFEKTLWEFLDRSDGCPRNTNIGGPYEVVLKTLDSQDRKENGLEYLRRRGPYGRRTSTMMACALTTCLENGELIFSVITDAVKYTPPGSHCSPGRLANSEGLCVLKSNKTKFVRKGVLQQVGDAMDHTFGWG >CRE30311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1409:13:414:1 gene:WBGene00079404 transcript:CRE30311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30311 MKSLVIAFLLIQTVYGFTRAECVDAVNNGRASYAEKHQWANVNKLLYNIGMEKTLYEHIGVFNGCPRSTVISGKEYQIYTNMNDGEDGELEEYMETDIRNNSYGIPQSTVVACALTTCLENGKPILSVITDYV >CRE13665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:188107:188220:1 gene:WBGene00079405 transcript:CRE13665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13665 MRKFQNRLKKFSMTSKNNYSAGKNIYYPPSTVDQRLL >CRE13675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:19570:21396:-1 gene:WBGene00079406 transcript:CRE13675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13675 MPPTTPLKNALIVTSYILSTISLCLCFVSDHFYTLTMNVTLFAFYSIFGFFARNVRWSLPWIALVEGMLFTLFVSMGATLVMDREAIRIEQFEELVLFASFIGLTLHMTFFWFHGYDDRETHPAVTERYHPLVHFTPAEPSSGSPEIIPCSQIVGEQ >CRE13694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:265229:265531:-1 gene:WBGene00079407 transcript:CRE13694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13694 MRIDKRRVANRRVVKPTVESEELGEEAVEEVETSEHKEVEVRFPRAASPVRNPQHRQSPATRNLS >CRE13668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:201812:202125:1 gene:WBGene00079408 transcript:CRE13668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13668 MKIFMILLIALLTIFTVSAWTGNSRLINCTAVRRPCGFSNKCIHGRCYPIPGPSTGLDGSTVDGCYAHGCKKPYECVMGKCVFRQI >CRE13673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:251242:251732:1 gene:WBGene00079409 transcript:CRE13673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13673 MSRHHFFAFFLAINMAVPVFGLSCNLVNDWTTSVVHDRKFCTAYYKTGAGIASFGGSKAHPKDLTTFKYDFMNEADDCQLQRGIKIPDGSGDTTAIWACVCYESNCNFPFSYEEFVRRGHTLRPSFIPSVIPADDSIRHHGSITV >CRE13679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:59705:60578:-1 gene:WBGene00079410 transcript:CRE13679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13679 MCCEQQQHQEEFLLFSLPLNTPNGYSNERRCTEDVQPENQRGQESKNLRIREFQNIKIRESEDPRIRQSENQRIQESENSRIRKFQNSKIPEFENSRIRESKDPRIRESENPRIRESENPRTQESEKSKIRGSEDPRIPRIWKSQNLNPRIPEYENSRMRDIREFENPRFQESGNPRI >CRE13660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:92923:93216:1 gene:WBGene00079411 transcript:CRE13660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13660 MTDNYPTGGHTAPTVALSNNYPTGGHTAPTVAHSSRNDPNSKKRRKIHWCHRCGNTLFSKDSLKRHCMEHKHMTEEDRFDLYETSVKMSEERRSNNY >CRE13670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:220271:220919:1 gene:WBGene00079412 transcript:CRE13670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13670 MKKKWSERSRGCTKPSQFCFFLFQITYKHTQGGDSHENQIKDPLAKVEKETMSNIVHDQEVEECLEDLLKKVVENIGVEEEEGEEEEKEKEEKEENDKNEEFNQQ >CRE13661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:102629:103086:1 gene:WBGene00079413 transcript:CRE13661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13661 MVELPSGLNLLKTALGYATIGRVDTELIEGEAFHSSTSTSNSSFDFFNAHDLSSFGMTKQQDFDPFVARYANRLETFKKCPSRVVRTSSSSFF >CRE13685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:196948:197454:-1 gene:WBGene00079414 transcript:CRE13685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13685 MNNQSPQQNESDTHSLSPQYLIGFIYGAEIMKKHMEIMSSPPQLPASLVPTAGFSYSMASTDSQSSPNSVDLFTNSLNSSADQSKSTDNLEHIQLSSSPRECSMGSSAPRTTKLKSCSHCEINQSCCWRKVRSETGMMCNACFVYERKYKKSRPLSAIKKHNKMNALN >CRE13687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:219627:220133:-1 gene:WBGene00079415 transcript:CRE13687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13687 MSTKRLATKSAPVELVTNDDDDYPAPPANKKSSTMAYNASSWMETQARKAKFPTPAEGDIKVATLFKGFDPLIINVCSDCRTFNSKRETQELSPGMVQIPLALCLICRSHLVQSRFRKFYENDVVLLKKKT >CRE13695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:265913:267373:-1 gene:WBGene00079417 transcript:CRE13695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13695 MMEAELKEMNIEVSFHHVASDQNPADLATRGMNTTDLQNSTLWFTGPALLKKDRSTWETQLEGELQYSSDAEEMFEKELKPKSKNTSIRRKKKEKMNKVAQNIIMTETEVFTVSIQKQKSTNVTTNYQSFVPYDYTNSLSSLTNITNTILKFITKSLNTKTPENPLLREYKDCDNIVNRTEREVQRRRIARLAIFQEHYKEAKSRNWRFKDNLKPFQSKDGLWRTQRHFSSPNIPMETSQPILVHSEHKLAILLAQEIHLQNAHLPAQYLQMAIRTKYWIRSDGRLARTVISKCVACKKVKGLPFQYPYTTTLNKNRTMPSTPFSKVGLDYFGPLKYKISQFDTQEKAYVLIYTCLTTRCTHLELCADSSTRSYIGALKAIFGQRGVPNYLYSDNAQAFILGQNSLEKDMQDFSADPEMICFLARREISFKHTVEIIKFGQGFSKKSFALKTQVRLEVMGGVKAELNASAAHEISLDFFYERQTTV >CRE13676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:22405:22821:-1 gene:WBGene00079418 transcript:CRE13676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13676 MPKRPFDEEGYPLLSAPTTLTPPKSVRRTSQNNVQRAPPTTATGSEPINNTELANMQLRRELIKLEYIDPDTNRKILKKEFEKFHCCMRKSTALSLTVCQKDKSLKR >CRE13691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:258106:258597:-1 gene:WBGene00079419 transcript:CRE13691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13691 MEDLKMALNSETEKRKFKEKEVAWNKEKSEMETQMMKDSSEFSELSDKLESEKLKKKKMEAEVQPMKENINKKTTCQPADRERRKNLNFLLTLLYYYFDILQLK >CRE13666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:189453:189656:1 gene:WBGene00079420 transcript:CRE13666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13666 MFCPYLNIALLFTLLHLLAFFLKNLKNHSDYSLENFYNDATSLILLTSTVSKFSIFTQPAIADLNHN >CRE13664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig141:135384:137351:1 gene:WBGene00079421 transcript:CRE13664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13664 MSHKTSSKQSTSKVDDNRMIVVETQMSVKERRKKVKKFTKLIASSVKVEDEIRLQLGELTAKCSEQEADAILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAAESEEAEWSVGKVNLELQKVQYEKNLLTECWNEEKEMLGEQIRKIQREKEVAEAQVSRLEKALKQLRKTVERQERKPNGLWDETQGNRSWCERVENWDIERNYEGNRKKGGEDAFSRKTLSRSGSSEVNEMVQCMNRMLKSSALPEPKTFDGTGEFKEFKRAFLLKYNHVTESDDELVAILEEKFLKGAAKSLFKTLPKRYERSIQSLFEEFEHKLRKRQGDSKIEALNEFEGLEKRSDQKMWEYLVEVEKWSRKAFPEVKQETLSQMRTTKLMKAARADETLHKMLIMKRFELSLEEQYEHLKDIVLQQENEQRRGNSQKSGNSEGWKERPKAENDGEKDVAEKESEENRYWREQKCFSCGGVGHLARQCSPKPVQSVEVRGKGEGVGIVAVETVMMLGQERKMVIDSGAAVSVMSTGAWNGLKKGCRNWVEVVKRLGKPSFEVIDTSKKKMRIIQQFEVPIQVRDRKAEVVFQLVENDAEIMLLGTNAFESIGVSVEWKQERMDVEFHHIGASAARDGHSCFLRMCMIHKVMY >CRE05334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1412:3466:5755:1 gene:WBGene00079422 transcript:CRE05334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05334 MKGFTITDLCPEMFHHSVSPDVSSIDSSEASTPMSSESMELKSNAVSPAPSSPESKETNPLERPPYSYNSLIAMAIQSSPFKRLRLSEIYSYISNNFPYYQMNKSQWQNSVRHNLSLHKEFQKVRTIDGKGSYWEMTAALGTEMYIGKECGKLRRQSAKSRKVKDNVTQLFDPSPLLGFTDPSTIPQLPNIPLYSQNPMMYNLTQAFLQNPHLIPLVLQNFPLQNPPNFSGTFPTLSFPFPSVQTP >CRE09920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1419:6533:7306:1 gene:WBGene00079423 transcript:CRE09920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09920 MFSLLSLLILFLSVCSIASARRINLIVKKTNGWHDSATIQSVDYEELGRKSWDELTEVERYLLETQLALRGLNTNSVSEHYDYQEGEYDEISKHSSEKNNDEATDSEKNSVKDSDEDDEENSVSDEAIEEGEGIDDDNNVNDVDDSSNENQSEEEDDGSEDSEEDSTEDSEKDESTETDESLPEENVLQQPSETSHHYNDRRRVGGRAARVWNTRQYQYYHLSVHYLINVYVKLRSKIDI >CRE14267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:53286:56181:-1 gene:WBGene00079424 transcript:CRE14267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14267 MLSVHLFRQRNIIMTVNQVSKKLSFEDIHNDYDFVQFSENLKTMEKPPYIIFYDSSNLDIFLNHICNLEFIPNALSRLVAISFDTNAHMILQEKYPKVPSVVIDLDPIKDTLEETYENRRYIIYQLVLLTRTRICASLAIRGISFWAMQQDSLWIENFDSMQVEDRYPNEYMLFDTVGNEQLPEYDRMHGWICGSTFFVRGNPTTHQFFMQIDSFMRSHQSPDSSIMTYLCGHRNYRCHRLPRDAVRLAFPEKLKLLGQNTYAESIFTRLHYTYKHIFNVDRYNNKVFLTVHYTLI >CRE14249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:16437:17234:1 gene:WBGene00079425 transcript:CRE14249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14249 MKFIGIQDWGIPVDMHIAFDDQPEPSGVEPVEMKIGDDFKILGIVKTKLTTLKQEYCLISVPKLDAKVTKSLHEHVKQLFRHTYLCGIEIDTNSLTEELPIYENVSKILVKGKSVLDLNNLDTFLSQYYPNLTTLLICSPINGEATSKLLEIGRVNLSYSGQCGITFLSKFNGKKINLWRAVVTERMLNEFIRKWMKSEGYLNLEFVNIELSLESDLLNRDLIIDQLEIEEFDEMKRPG >CRE14263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:212959:213789:1 gene:WBGene00079426 transcript:CRE14263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14263 MSGFEMSQFRVVAVSKCLVSKCPGADYFPLISEVIIISLFQALYILRKENLDFDSAAKECGKRKEIKETWTEEEVALFTTSYFNFGKKFRKIKAVMPNRSLHSIVNYYYQTKKVANYKTNIGIQLNETDKYDELMNDINGMDRVEAGYCENCNQKSCVLIVSFGGEEWGKTGENEIFEEKT >CRE14270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig142:97315:101151:-1 gene:WBGene00079427 transcript:CRE14270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14270 MRNLIKIKENPKQRRRGLVNWNLRDNLKFEARIRLKLSNGGWECLSFIVKKDMNAFSAALHEYLNLIFKQTESKYLIASADIPVQELPIIKNIKSSLLFGKTPLELKELDAFLKLYPNHDSLFIGPKIIGQLTDESEILKLKNIQISPQFGWNLLEVFTGRNIRLSEAEVITEAKITEIIRKWMASEKLQNLESLEFRFYMQNILPENVVESLETERFNPAVRPQVYHYDPKLVYIMPEDINLSGDNCYDVIRESDGKRATLYFDSQSFKLLVWN >CRE15098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1423:264:1013:-1 gene:WBGene00079428 transcript:CRE15098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15098 MGVIEVKFNMKGKVFRVFDVGGQRSQRKKWIHCFDDAKALIYVASLSEYDQVLLEDNTTLVLLIPRIIDLSEIKFHLQNQPCHRKQGIRSFFDTTVRLSTACAFVLLPTHSAFRAVRSTSVKRPA >CRE17693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1424:1447:1941:1 gene:WBGene00079429 transcript:CRE17693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17693 MSTDQTNAQVAALNDTMSSHTATPTYNESREEYLRKKREEHVPPTTAKAKLDSRFLYGDTTLILHMCFDCRRLSRNTMVETIGETHQRVGMFSKFYNFDLYNMKRKPVRQGLEEGEPAPKKLALDPSSAL >CRE15832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1426:1429:3678:1 gene:WBGene00079430 transcript:CRE15832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15832 MSKVEFLDDYFQLHSTEMIAYSLAIDTLSIHKLRFCRPSIVVDVNNDEMLFETVAANSHSRLWISSLSTGIEKTEFSATLLTGKRATDEQMFNFNVKPVDFDNGEYTGILSVHPLLSVLINWGHAILVVNHREPFVVHPDSDLPDFATSQDIDDFHNAVVKVLSDHRKLDENYVCLYGYMYGSFVAANVIKRHPNFYKCAAFVHPILDYPYIPENEDEDDEEDQEPLDILESREITIPTFLVLPKDDDHEDYQKYRQLAAKGVQCFGHSELEDEESHDYIVKILHFFKYPYDAFPRRVDDVQSAVEEAAAKN >CRE15209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1427:8444:9007:1 gene:WBGene00079431 transcript:CRE15209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15209 MLLKQICFITSTHNLCHLSVFSEKVYCRYYNCLREEISNSSYQSIFFPMNVIRCPRRIGVKYMSISFNPEEISQEPIPLIYRVFDAPIHEVSVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYFTVFNMNEYSRKIIDEYLR >CRE14919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:162454:163202:1 gene:WBGene00079433 transcript:CRE14919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14919 MLQFHQNALTREGHGLGLEISFVTYIWVNSFASSFLMLESVQKSFIFRVYEKFKMSYSSYFEALEECDLKSLEHRRLCIDLIFTYKLMVTKEIIIDDPIFEFLDHSRLRRHRYYLKSLATNSNKLSSQILSNRVLRCWNSLSELVFPVKPSTAVFKSRIFKYDLNHFLSLNPTNY >CRE14912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:14881:19265:1 gene:WBGene00079434 transcript:CRE14912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14912 MDCFLLFNVNGMDYGLFTRSTRVRYSQHWNGRKEDANELVDELVKAREQIRMRDELIESLRNQLKATQLKKDETVQFKNNTLQENKSSNILY >CRE14928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:94044:94610:-1 gene:WBGene00079435 transcript:CRE14928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14928 MSSDRSDKFNFDNFWLSEIRRSGQSEKCRVSCNNFSNDKLIRFDNNRIHRNEDWTSTGATRGRSEESHTQLACLMRKIDE >CRE14915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig143:125178:128375:1 gene:WBGene00079436 transcript:CRE14915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14915 MSYEDHDKSILHLKFEDDHFPAYFRLLKWKFLDICIASMEKGGFGYFKLFQSLFPEKKLAEAVEKMTEEMPSFTTDPDFKKLRASKVDEKAQRQAETYLKMRHEHKYKVQRRRYAEYFLKNVLFNEEGLRIADRLMYENCKQVYGTSHWRDLPTNWVTHETFKEKFYEEKELKNDRFGMQKLDRIAGSARGMIIMKHFGNNPHCTKEYETLEREVNDNENMVHTDLVKEMLDEGPVFEIVKDKNGEEQRQRAVKWSIHKFVFSILANRPAFEIHK >CRE20632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1434:2429:3220:-1 gene:WBGene00079437 transcript:CRE20632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20632 MSQSEDVEPETYQIMRTIENSLIDSDNVDLEQYLLFWEHVCKVMGSWGTIFSFVVKDVSNKLEKLAQMRNTDPESYKSILTMATRESENGSIRNLKPNRSGTGHLMVLNRALEFVIDLLDGVFTAEDEDSKVSTIARSSYDKHLSQFHSWPVKTAVSAALFTLPRKTEFLIRLRGKMPESDDEQFHDVFNRDGRDIVRRVNQLVESFELTDHNPSA >CRE17496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:190137:192066:1 gene:WBGene00079438 transcript:CRE17496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17496 MNTLWDPNDQDLMDFNRASLSTIIVTNETHYGQFSDFRNSDSEVFINMGRKAFKNIGSNSTVAQNKGKNDMIPKVKTRKRSTKATEQEKLMELAKKLIISHPEFTLEQAYSIETKRQAARKKREEEPKIDASIHLRIDEEMEQYMMERNENEYDKENLIAPKEGYHAEISVKLNQMEISDEDDPREEASQTNQ >CRE17511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:242952:249229:-1 gene:WBGene00079439 transcript:CRE17511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17511 MMEIYQKRELEARYLEFMEKRFVIKDGEMRLQAVERNRRIKTATSSASKIAKYHETSEIALCLLRCRKDMFGLPPESADDYNPLPMDFHVILRSEFDTKERDIFVKELEARVKMLWPNSSFSSVSCGSHVRESKCERAIVFSSESNDCGEWLGKWFTGCVVVFCDHKHVLA >CRE17507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:150950:152242:-1 gene:WBGene00079440 transcript:CRE17507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17507 MPSTTSTTTPSPNAASGSPPTIKISGSDEGEKTEPSSSSVPTAQSNQQPQLPNPRTPINARLEWPKKSKSKRHSLWPGCLWCRLRGHAARYCESYTVAERWELVRRRGWCHLCLTGGHHFAECRGRTRRARCRYCHAFHHQALCYDAPPLPMPEHQEEAIAVRSEEPTKDQEQEGGAGKDHPKNGESETEKMAVSDEESDESNGRNPQTFGIYDVNIELEDSDDDDPL >CRE17509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:185535:185880:-1 gene:WBGene00079441 transcript:CRE17509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17509 MPRNINETNVLPTVFFVIDSSGSIKVPYFEHAIEFISKNLSIHQTTINETNNLPTVFLLLDTSNSIALPNFKIKNISIEEFVNGFN >CRE17495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:180958:183757:1 gene:WBGene00079442 transcript:CRE17495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17495 MTTISGNYAAFFNWLVQDYSGDIVPTSRKYLSIKYRNEKKIECCEKCFGSQPAQKMVKILNETSLDGLQKAKVMILQSKQTSSPTDRQKSIQNNVGTKKTGRLESIRCFWRWSLAKSEEKKSKLIRWLDFRFTANQMNQGIEETSSSTSSAPAPGNNENRRIENESSATNASLTSQPLASTLSPSEIENLRKGRPYLRQITLRGPNWNLTEKERQQAATSIDHFRNLLEDLQNFFKNRRKYKLDAKLEEVQRNSADEKTSPAAIALQIPVMIQKLCKCTKSQDGLLVSSFFEDMVAFVASSRNQDVRILGKKNLGRARRALRKKMNLTVSYDDIKAAIVKFLEILAWLSIPSYPLLPVNAHWL >CRE17497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:203068:203677:1 gene:WBGene00079443 transcript:CRE17497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17497 MARHSAVKKVAKKRSDDKKSKVNTRALCKKQKPNNGQHGLRNGSTRSNGIDNNEKLINLAKQLVKSHPELSHQKALQIEKQRQEAKMKRDEDGTVAVWNHMTIDYDLEEYINQSKQKDNRNVPDMDGFAVETMELEIFGEEKENRNDDSGKKRGALRIRDVNSNIQKE >CRE17488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:138219:138565:1 gene:WBGene00079444 transcript:CRE17488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17488 MFDHILEVASLTDVDLEDLSLEALPTRCEENEVVGNTKSDAQASSSHSSGTISPLQAQDSTEEEAKDQILDPTVFSTRVFKNLNRVILLQQESARADI >CRE17498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:206507:207029:1 gene:WBGene00079445 transcript:CRE17498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17498 MTKVLAGRNEPKPTKVQRKEPNGLKLRVKNSSSKSLKIWKNEATIQIGAEKLMKYAKELVKSHPDLSIEQALAIEKQRQNDKKRRAEEIVVDPYKSCRIEEDFEIYMKEADSYKDDKVKENRKIVEYEIKEIVEDVEGMIIEDKENFKK >CRE17489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:141627:142085:1 gene:WBGene00079446 transcript:CRE17489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17489 MKPRHKQNFNEQNRVKPTEIVGYKNWKEKELASRKENIEMRNENEWVSIWNKELTKNERHRWMNDAKKEEKALLELLSIEKPPQDAYHLWFKEKVPEFKKKDPHLTFGDLSKMMAEVWNSMSEVKRRPFHEKLRILRIIFEEVSGLLGGEKG >CRE17483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:73760:74463:1 gene:WBGene00079447 transcript:CRE17483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17483 MLAAAIIFSPFFFHFVETCLKINYSTPPKCECKSLALDSSNMQQNIGTSSFYPNISLHSVQSPIISIDDCALAAHCNNENYDLVGFDPLKATMFGKYNAEGFCDPYTQKWLIDDGDGSGLTTYPQFFGICVDYLSRCTDCSAFNIYNSYGTENSISFATAYLYT >CRE17505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig144:90560:91345:-1 gene:WBGene00079448 transcript:CRE17505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17505 MYNYMWRRFYDKEKLLNRLLIEMGSYRRVDSFEDIHFSDSSPSSESSPERSPSPSSSPPDSPPLGASSAEDDDGIYVRDEDRNKKVVYQEKNSEDETDQEDESEAEQEDEPNTDQRNKSDTESEPESQDDSEPDHQQGDIGNDSNQFYLHKIHFQVLLVHALVSLGQAGIDTENIIRNNTYLIRLRLDQQLKIKQLNHPWLLHNTTRGCCTTCYTGSETETHNGCAHCCANYKGTSLTETDREAQADQFETSEAHKISEGH >CRE01486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1440:493:2968:1 gene:WBGene00079449 transcript:CRE01486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01486 MRQDIQCLRGIAIILVFLFHLFPSLFVNGFLGVDIFFVISGYLMAKNLTKNELKTLNDFAQFYYRRFRRILPLYYFVVFIIVVMVHLYLGDYLWDNNNRYSLASLFLVTNQLVIHDLEDYFNEVQATATSVHAFLHLWSLSVEMQFYLLVPFIFFGLQLLKQDYLKLIASCLITIFGFIAFAMILPKFAFNFMFLRLWQFSAGFVALFWSRIEKSIRDNPENKKEATESIYKLPFIKDDLLTVSLTILAICFLPSELNVMYFRPMVTMATAAVIMCKSQNNMMLSSNTLGYIGDISYVLYLVHWPLIVIFAPYSPQTYIFLTVTTLLSSIILHHIFEQKYLKMDWKTLVPFLFVLIMGNVFLQSSVRGDITFWNMTYTEDVQNIMISNKAQIPASWLTEEKRDECVEEPIGENIEKYKVFGYGSCVNGNGSLSIMLIGNSYALSFRNPLREQFGLNYSTFRYSSLIEGFGIYADTVTSRLSLEITRRQVARYKPDVLFIFARYYPSIRDPIRENDDYIQQMNDNIKFYEKFVKKIYILKPHPYYKWNFLNIFLQNVQHRPDDIESLHMNRREADKMMINVKKRFKLVKCSKCQFFDVTHLFVEDNKYLMFDRDQMLSYVDNTLHLTHSGLKVSEPELKRVAKEVMDTV >CRE15135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1442:1152:1617:1 gene:WBGene00079450 transcript:CRE15135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15135 MFSITGLEFAYSEASPQIEISGSSTLVVHNSCRRSHCCHYFYVEHLFPDVAVQMFVFGGIMLLVIFVFILLAIFYYEYADYSNEGEVLTEKMMVDDEHTRI >CRE31613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1447:5555:6309:1 gene:WBGene00079451 transcript:CRE31613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31613 MPLRLDVKRKLLARSDRVKCVDLHPVDMWLLAALYNGNVHIWNYETQTLVKSFEVCDVPVRAAKFVPRKSWVVTGSDDMHIRIFNYNTLERVHQFEAHSDYLRSLVVHPTLPYVISSSDDMLVKMWDWDNKWAMKQSFEGHTHYVMQIAINPKDNNTFATASLDKTVKVWQFGSNVPNFTLEGHEKGVNWCKATRKSSKFFKFFL >CRE30592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1448:1082:1417:1 gene:WBGene00079452 transcript:CRE30592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30592 MLWNGEESYIHVSNRLNCDEYSILRRPWRHYIYFILTVILINIFLFYNFSTAWNLEIIFQNVSTHKSSESKDYLPSLQPGILEDPTGRLTRKLPVSHAFIVNAYYYPTSKS >CRE17018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:58719:58981:-1 gene:WBGene00079454 transcript:CRE17018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17018 MLRSFFDIPRCMALGAVITWKLINKMIKDMKKNFKWNNCRENKCPDYRLVGVPHDRQADIKNTVLRRTKD >CRE17012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:198287:198676:1 gene:WBGene00079455 transcript:CRE17012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17012 MLELEGSRLIGDRRTIEAHRNNLDPEEAKTFENEAIVVSITAIVDINTLQNFEKYPHRGLFIAKVVDTICYLNGGKIIYKK >CRE16995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:64091:65129:1 gene:WBGene00079456 transcript:CRE16995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16995 MNTDQIIVKTLKRAMEGEAPEFKKAAEEGIKEKKSLAAARPTAMKEMKEKIKRLKKNEGKKNAEEGAEVANGQQDSYLHLLAMMAKVVEEEEAGPSSSTAAAPASPTAP >CRE17017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:650:3751:-1 gene:WBGene00079457 transcript:CRE17017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17017 MEFSCQSHCEKTTALNPYDSEFREVPDSEFISKQVIPTFDFPGKIEKSDGESQKSDFSSEKVSDSNSEPRMVIPTSVSSHRIRKSDQKLHSNVEKERNFEFEAFCVENGSEKSIFQAEIQIISVKNHFSAVLIPLAPPFVHKQRYFSEKSLKIAARNAAKSVSLTAVSASLHSLHTNDKDTLLHFNDSDGSRTSKCTYRMVESDGMDGIGYGDDSESSKNRSDVAMDAKTNETGQERWLERRPRRPPKDLKKIAEKEKVSDLKICKKPSLVYGAASVDVFSSADLSICSASQLRHFYQIFCVENVKIDRIMKFMVEFWRESTVMRVFTGFYNWFMLVDKEKILALPVFLSFSLQSIDRIDKNGVNQKLLIFAENQCVLSENNRSEPEISREFLENAAKTWFLWENRAKKLKNIDFVFENANFVSGSWKLAPAEREAVSMREVANESIDRVTIRREELEEGFAGGKKVRESEFCSDISQVHREVAEEGLYLSSVLSVCLATSTESFYAFEKIEKDKKEKLVFSVELFESFAEEQVSNSSELVGRGKMPVFSVFVSLPCQIIDRKCHKKENGAKIAKNEPNMGNLKRKMQFSMKRPPAIVIRGGAKIAKSEIEKADRIVLVETRGENVVVCQVSIPATRYRCYYKNCFGTSAGGAGAADLQHLTRHLSSVHQKKVEWTYKCSICGEEAAGKSTKATRWVSSHMLEKHGAQHRPRIRSAPTTNQKVSDVLKKAAPSLQRPERAVRKGYTAPPVEETTPEKILRVEAMEKMPQTRAVTKSLSVLKESVKKSVKKTEEKQMGKKVFSIFSKNGETSSPASRRLSVAPVRTNSLGSVVDLSNLQGPERVKAAKQNAQITARMETKRR >CRE17026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:107538:108032:-1 gene:WBGene00079458 transcript:CRE17026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17026 MIPLLVRANPINEGAQIYQQILQIVEVFMHPDTTFRVRVNAVLRIVALNRQLMRVEGWQLRAMQVHQLVVEPMVRVLGLAQNPPEGHENAGNNRRRDRHRG >CRE17027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:135781:136732:-1 gene:WBGene00079459 transcript:CRE17027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17027 MRVHYILSTSIAAALQETYELLDKSRLEQNKKLRNLAKDLGNQKDNIEDMEKKNQKLENQNNQLKKEKNSLYEEVMGVHRQVAGFALELDACNHRSPSVRKRESRRPTKFESPLSPRESSPSGSPTSSLPMFSKRSLSRQGSGNKDKRRKL >CRE16993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:43444:44497:1 gene:WBGene00079460 transcript:CRE16993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16993 MEPNEHCICISVKFHVNDIRNQLGLVKMSVGGTVYAHRYRYVYIEGEADLIARARLELDEMCLQRLEHKYNEEKGNAEHLVNYIHHIPEEVAEKMRFQRVFNEAMRRVKRCGVQIDHQRNPHTDKDNPPVEIRGRANRIREGKRCLDNCIEELASRNPRVRKWRFLGTSFPELSEKEELTSTGFERYPVPKSSSRME >CRE17005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:145159:145868:1 gene:WBGene00079461 transcript:CRE17005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17005 MKSIKKDTESSSEKLLKNADVNQYKIKLYSVFHKFLNEIRKAFHTFNTRLMDPK >CRE17014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:207050:207422:1 gene:WBGene00079462 transcript:CRE17014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17014 MIAERLNEKCWKNLKTLEAAGVLDVKIEEFVHFNQLKMSSLHGMIDIIHLENYFKQDYTKHNKNFSMIYPVGSPYSRTQRERGKCITCWRILASSYSLNTILTVLI >CRE17006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:147495:149086:1 gene:WBGene00079463 transcript:CRE17006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17006 MGSNFPKIFGPQRRILPTKYWVQYGLRYGKSASPIASEKIMDVIPDYGDGVFYLDEDLKTMGIAKKRVV >CRE17007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:161783:162040:1 gene:WBGene00079464 transcript:CRE17007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17007 MSKRKWTHKDSIVWSDEDSTMVSWMRLLQLGEDKCAKIAHIKKEKLKEPRMLFFIQQKLLFKKLSVEFAKEENEAKKARQGVAEK >CRE16992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:7136:7887:1 gene:WBGene00079465 transcript:CRE16992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16992 MGGNWKLVEAHIEENTEEDDDAFNSIMHTKGDSSEKENGITVYSNGVGSGSTTKLSCCMLEENIPHSASKRHCDGNFGFCAMDKNFEDTIKNLLGSSRILRVYGKQKIQERPSLDKRKVQRPSFGFDRIFMARGREREEEKLLLQSRSLEEIIPLVY >CRE17022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:93626:94415:-1 gene:WBGene00079466 transcript:CRE17022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17022 MAPDVLRQEQVLISRPADAQRIFDQMVEIAPVLIDRNASNPDVLDACVAIRELNQQLMNFDEHRAFALEFEQVLIRMVARLERAIAAAEEEAGSAEDGGRAGN >CRE17025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:105394:105808:-1 gene:WBGene00079467 transcript:CRE17025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17025 MTPNIPRQEAFINFRSPDAERILDQIAEIASVLIDPDVLNQDVLDACVAMGGLNQQLMNFAEQQAFAMEFEQMLVGMVVMQERSIAAEQREAGNVSSGITCWYSIKQL >CRE17002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:125624:127902:1 gene:WBGene00079468 transcript:CRE17002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17002 MDKFPTCLIATYNSSLEFQSISQDSHASGRISPDSHFINQTVHHLRPHQKLELSGHLANLVCLGLGTSSPCIRKLLGCQRINSDFK >CRE16996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:67103:68383:1 gene:WBGene00079469 transcript:CRE16996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16996 MTPLTTVNEEKYVNCMQLCSTLEFSENLFCNKTSKLVRNELVAVWPQFEEALKVIFQRSTMTMRTYMQLTTMVYRYCTETYQLGFRACVDAVTRNCQKLDGQELLKYLNTEWELFEISSSLFDAVCGNFNRHSIEVNVGKTDVSLIKNLCMEVWRKNLSDVLEEKIIGATLHLIHQERTGNSMINNRDISDFVVCLKKLPVKYPENDVKKDKTEDQKLKFYKESFERLFLKTIEISTRKKLKSL >CRE17024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:100423:101418:-1 gene:WBGene00079470 transcript:CRE17024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17024 MAPDVSRQDQFLHFQLAEDQRILNQMAEIVPVFIFPDASREELLNACVALGNLNRQLMNFAQHRAFAIGYEEMLDRMMVRLERTIAEAEGDAGNAENGGRDAN >CRE17023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:97536:97956:-1 gene:WBGene00079471 transcript:CRE17023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17023 MSLQSSEASSTDSSFHEELEGPVDVGTQVERGDLLTSQSNETERPRQTVFQQVPTARAGIRQDRINQLENEIALLLTYQELVLNGSQPIPQTTQDAMSDQLRALINEHNVLTEEQGNEVIDIGR >CRE17004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:141390:142658:1 gene:WBGene00079472 transcript:CRE17004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17004 MEQEPKGKDASSPRTPSSISLLQSAPYIASEEMMDVIPDYGDGVSYEVEDLKSMRISKKPVV >CRE17003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig145:129718:130341:1 gene:WBGene00079473 transcript:CRE17003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17003 MMNSIHAQMNLVREFDAHANQYRALKNTSVVLAGVRLNFNNALKQALKENGDLKAIDWNLEAEGNAAQYDLETMEETIHFMSKRMDLQDKTIKGLQYQLAAQKLKKNKLIEDNLQLNENLKTSVAQNATLQEEELMSKTMGIISVARNECGYQSLDGEKKTVERKPRKSNNIY >CRE04443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1451:7271:8477:1 gene:WBGene00079474 transcript:CRE04443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04443 MTSFSPLFRFLSWIISILFQTFKSFLIFNGLLPPPHFPLLRVPYVPLRRILNFMDPDALVSLSFCSQKSHSVIKTQRRAPFDGRLCVSEYPRNVSFRTFQNHTCVLSVCNSSFITSKEREEMEYVRMNWMYRRVPVHNSNGNLVWYWYDTKEGLETITDYVTDLFNIDVSEVCVLRDAIKMIKWVNWRQTTRLKKVVYRAWDVILSQEMIYLLKKCTTLSEISIHSEAPPNFRISKKFRRIDYLDIWYGPWVTINNLLTMDGIVIHLEKSSLTNTDLNVFLRHWLSGGCPRLKLFSATTDSVDILQVLDGLLHNAVFVEDLRDYNCPYGNRWILWDGYDIRRADGVTATVHYQPLSTLVIAVWPETTHNYN >CRE04447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1451:5909:7046:-1 gene:WBGene00079475 transcript:CRE04447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04447 MTSPFPLFHVPYVPLDRIIDFMEPKALVSLSFCSQKSNSVIKTHRKVSFDGRLLIGGSDKNASFLSFTNYTFGIVLKRNQVLRAHKFVDNINYENMELVKMGGQHVQVEVDHLHGYLISYWDNTVNGLKVITDYVTNLFNIDVSEIWASKQSLHMIEWVNSRQKTPLRNVSFADSSTTAASEEEMIYILKDCRPISRLGIHLKPPKNFRFTGKFPKIDCLEISDGKWVTLDNLLTMDGIDIVLKSSTLTNTDLINFLRHWLSGGCPRLKLFSAATGSLDILHVRDGLLPNPVLVEDRRDYTSPFGYKRTLSFGIDVKRADGVTATVCKQVNGTLIIAVWPVTTYNSN >CRE04445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1451:3075:3393:-1 gene:WBGene00079476 transcript:CRE04445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04445 MSSSGTGCLEGVLFCAETDSVDILQVLHGLMHNAVLVENRRDYTSPFGHQWILWDGYDIQRADGVTATVHYQPLGALVIAVWPETTYNYK >CRE11603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1457:995:2263:-1 gene:WBGene00079477 transcript:CRE11603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11603 MSDKTSSKQSTSKVDDNRMIVVETQMGVKERRKKVKKFTKLIASSVKVEDETRLQLGELTTKCSEQEADAILEPMRIFHRELLEKFEEIGGDEWSRSVIRVMREFKLESVEELREACAKAAQVQVEENLLTECWNEEKEMLGEQIRESQREKEMLGEQIRKIQREKEVAEAQVRKLEKALTKLRKKLDQEERKPNGLRDEAQEIAVETVTGDEKTSSEEKKVFMVGNLGTRVENRSPYRETAEKSKEIAVDTGMEEKKEGMKPKKTVIRESKILIAPRIGVKGKSIFEYRKSALDTWKNRFDFANVEAIVFLVNLTEDEETNQKLGALVLEIAEEVKGITIIPYQMDCAKSGLVESWKRSWITAGHVKWSDSAASADEKFKTWEQLLEFLEARTTENVVVAQLRKESVTSEPRIKEDKWSHQ >CRE09921 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1459:7809:10737:1 gene:WBGene00079478 transcript:CRE09921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09921 MNYLTVLLFVLAFGTTTAQFYGGMPYGGYGGYPPMYGGYGGGPYGYGGMPYGGYGGYGGYGGYA >CRE16313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:210691:211358:1 gene:WBGene00079479 transcript:CRE16313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16313 MVFQRWDDLSAKPQPKTKILPWDGDNCMDASPGECEEKPIKIQQSRKRDAKGDVDQKNPSKKKRGNEDVDEAAKRREWLRGKAKEAVIPEEKAAQLKPEYLFKETGPLVIHLCHACRIYNSKRPMNQLGDGMAELPLGMCTVCRHFLIQQHTMKFYHHDLPSLKKKELL >CRE16332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:202532:203103:-1 gene:WBGene00079480 transcript:CRE16332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16332 MSSQFESFLDQTFPNWKLLSDDILNKILDDFVGSIHLRIRHRRCTVFGVPQISRSPKHEYWWTNGQLLSISGYLQREWAVQSKNPTGPYVYLKNDENSLYPIDLIHYIDIETDEEVQERKELEKKERWDALFSVAGQIVVLGKKHGHVKNLNFKNDIGKKVEKLARRMIGITLNS >CRE16322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:81033:82238:-1 gene:WBGene00079481 transcript:CRE16322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16322 MENMKGGELFARIQERGQKAFTEREAAGIVNEICSAVAHLHRMSIAHRDLKPENLLYCELRAGPCRLVSLTSMLICIHCLFFHFDFIIPEQYLRQASGHLLPDLLSSYLCLLEDDITDARLGALKALAIFDVHIVTFIIS >CRE16325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:170928:171185:-1 gene:WBGene00079482 transcript:CRE16325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16325 MFEQVDGVPLSPTTTDPQRFDGALNNTPIKTPTTFSTGENAPMRPQHLAHPQLLPLFEDTFKNMTLVGTIKIYKDGNSNLHVASG >CRE16321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:79772:80763:-1 gene:WBGene00079483 transcript:CRE16321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16321 MDSEKFVQDLKDSGWLRELGAYLVAELREQRESDRRAARRAVRKERQEIKRRIRSQKKKWEMVQEELQIARKRKMMSQRKARKLYRRKMKKAKVRHWVKFREMKYKFVSQSRVIMRPPILCVSPGIYRNVVVSQSLSLSAFDCQSKRNLWHRGLEDLHCLRKLYNTATARDQQYSMGRRLNYWNLWKSLLTC >CRE16302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:37473:38386:1 gene:WBGene00079484 transcript:CRE16302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16302 MDTYRNIHETIEEDYNLHDKSHSGRPRLDIDDDITDVLEDEPRSSVREVSSHTEPSFATIFRHQKESGRTAEYGQVISHELTDSQLKLSCDLSQSLLSRKRSFNWILDIVTGNEKWGLYVYHTGVLDDDDPLTDQKREMHEKNVMLSVCWDRNGVICYKLLPDRATITVNLYCQQLRKKIQSHRSSRPEIDHLVLLQFNARSHTATKTRTFLKAQGVEVLHHPSYSRDLAPTDYHLFRSLQNSFTGQKFDDRMQVKSYLDDFFSSQPPEFCAARIAQPPQCW >CRE16327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:174144:174917:-1 gene:WBGene00079485 transcript:CRE16327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16327 MSDFYITLVSNAQATSTISNFKTHLASPLNFNKPYEVALCSIIYPTSHDLIAKTLESDGKYENEFSVWYDKQEFKCSIPHCSFDSPLELITILNYTLTNIVSRATNDTKVKIDLFSYDSIFKRITVPKHSKVTKIELSDRLSYFLGLNKVLTTFPVIGQYSVYSGSDLMYIYSDGLVEPQITSHMKVPLLKVITISTGIMGNVDQSFTNPLYVPVRSSYVDQIGIQIKNDRDQFIPFNSGKIVVVLHFRPIVSSLDG >CRE16319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:270133:271502:1 gene:WBGene00079486 transcript:CRE16319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16319 MKIMETRPTTTLIQLEHEIMKLLEFREDAKNIGGCKTSEEVFAVRKMRTEKANEQKRSSQRHLLRKEKQRENEGNSTDEKDDSDEEKPTRNHNQRKTKCHRCGGEHNAMNCWVKNKECFGCGRRGHVSKMCQEQQDDDEKSDRLCHRAIYAEDDSYEKTRIYRTIEVNGLDVKMQVDTGADISFISREDWKRLRKPKLKRTKTEIFDSGGLKMPLDGAFKCKIKYQGVEKTVEAYVGDAKSLFGLDWLKKIPDVLNIDKIWMNHIVAKTPNRRRDIYKVNGIYVKDSKPRKGSTEVVKIGSMKRHVRRWPDEKFVKIQRNREETGKDRSRGWYQQGSSTPSLPIPPQPSFAMYPWRNSFGCSEMTGRDVWIPNGYEIRMMSGPDANGRGFHQNDVAPVDFRC >CRE16309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:139549:151404:1 gene:WBGene00079487 transcript:CRE16309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16309 MHAATLPRNYGLHDTSATWNDRILYNNMGASTVGRGGQNGHIVHGGRIDEALNDDR >CRE16299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:12563:13609:1 gene:WBGene00079488 transcript:CRE16299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16299 MHYAGSRNQLVSKIGEFIMLASESVQDESQVTPEEKTIRLMMRYRHLYAPWDISPQDAIKEEKSLDKGKRVLNQLDTKMTEFDRWRSQNVDLPEEIKEMVDHVESEIKREREKLERANKQFVLPPGTQEDYEQETKEHVAIGIARMQEVRMRSTIAIQDIINYWEEIRRGYEATQKRKLLELERRQKEESTRLRREGERKVNHFVRPSFGKGNNSIHVRPVNIHNWRSVELYLRSFGEVTASKLHGSYAFVDYSSTETAKFVRNLRQFICNGETIYVNYAINNWKEYCERARRGWQVNPPIGNGGLSVSNPASAPTSWHDHQRMLTRPQ >CRE16333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:203177:203656:-1 gene:WBGene00079489 transcript:CRE16333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16333 MTRFLKPTTPFWLSPSDENEIDFYLKDAIRHNGHHLENLTSSITEKYTTFLNQSKILHVWNVITYSTIPLPLVTFDYLAIHTRIPNRRSSVTEAYKEDYNYVLKYPYSPIIVDVLGRYHPIEVCAVRVQV >CRE16324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:87386:89171:-1 gene:WBGene00079490 transcript:CRE16324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16324 MEKRRAECSPCSRCGDILSSCKRNRLSATTLNDMLLNSALGQLNLASDADESDFESDGESENSLIVSSVPAPSTIHPSTSTAPPAVPSPPNTI >CRE16298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:6181:7178:1 gene:WBGene00079491 transcript:CRE16298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16298 MGIRIKKDFPSFDVFIVIFDDEKKAMMDPNEEVNAPVPFKKHQIDWIVFLTCSYRPNPNIILELVEKMRKKLGSLNDCPTSYNETEV >CRE16304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:61624:62194:1 gene:WBGene00079492 transcript:CRE16304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16304 MDANALFMSLPSIHFPSETLVQSSSSDSSSSPTAKKKKKEVILSKKTPPASSSSSSSSDASDADTPTSKKMKNGQQPASKEKKEEPVSIENVPEGLRKLGFPEKFVSRNCEKKWIKKAMSQLRDTVPMSPRGISDLSVTDGIDLYTYLGYNKISNTKSWME >CRE16328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:175204:176849:-1 gene:WBGene00079493 transcript:CRE16328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16328 MSYGKYVLLSIEEHEDLISNRKNPIDNILDAPMENDTKLAHLQKELSDLVRKRVNASKQEDSVKIPKLDENAPTTSETVNPDNDDVFDDADSVHDVVQSPPPQPIVSPSKTADKIKSSKEKLFNHFKNNPQVFKIDKTTGTFYLRNIVIPNITIKKVLEDFSNEKPITKPTPGLFAISQYLNETNFPEKYILNPKRKYPQRSSSRNFKVRRWSSGPDSHHMADLAMLPALKKKNKGFCYILVVVDVFSRFLFARPLKNKECATVSNAYRDILRTSWRIPSRLYTDKGTEFMGKTFQHLVKSCGISHMNPKNTNVKACYAENAIMRIKNKLEKWFTATGSYAWITVLDEIVDGLNSTFMDSIGTSPEKVTSKNAQKIWNRLYGSSRIRHSKFKLGDTVRILMENSPFAKGTRAKWSEEVFKVVKIIEYDIPVYILADASENELDGIWYEEEMILFQNDEKGK >CRE16330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:178304:179654:-1 gene:WBGene00079494 transcript:CRE16330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16330 MSGKLPKRKPPPTSKKTASASSSSTSGAIVKTEEVQVVHVLCDCVTSTKAQSDHNKMLALERRVAILRQEKNGLQEERDRFRGERDHLQEEMRRRNAEIAFFRNDRDVRGEESRCCLLCIRAYDGQVIPKVLTCGHTFCNQCIERISVHMNWGSYLHCSTCRTRSTRPAQGYPTVFAMMPAYVPVPPEHLQL >CRE16336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:208087:208400:-1 gene:WBGene00079495 transcript:CRE16336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16336 MPCQPFEVSYTHLNTSRCGTSREAEGTYDFIDRGYSISFLIFLMILSFIGGVASAVTVKALASIVSRVRNYWEYRRQPEQPLVIIDELV >CRE16303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:41356:42333:1 gene:WBGene00079496 transcript:CRE16303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16303 MGIRIKKDFPSFDVFIVIFDDEKTMALMDPNQKVDAPVPFKRHEIDWIVFLTCTYRPNPKIREEIVEKNAEEVGQS >CRE16317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:224408:224635:1 gene:WBGene00079497 transcript:CRE16317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16317 MASVLARPQSFGLFHLRVLQNKVNAKPHSSIEALKKTLVKEWDALSPDYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE16312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:207199:207796:1 gene:WBGene00079498 transcript:CRE16312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16312 MASEIFHWIPTIPEWLGQPNETEFDVMLKSSIGGFNLDNMTFLHTSNLNTMLHGPTMIVAWNVASEEKILNPTVLYGEKIGFIQMPNSSETMVDYYKKNFGINLKHESSPVVRGMDGMPHPIEMISLRMSVELTEEMVEEDDEELDSQEEEDELQELDLDFGIFEDE >CRE16323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:83857:85030:-1 gene:WBGene00079499 transcript:CRE16323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16323 MSEPDPVFKLRKLPFVALRKVFQYLEPVELLQFSMVSAAYRRTIISLRSHCLNNGYIGINNSSRMFHFEIWPKLGGPFRDFGHLKFREFEYPKLKKMKQEASEIEKIVLYGDDYVTGVMILSMKAHEIDISDCLVTTEDIMAFITRDWTTMECEIGYDIKRADGLVGSVLQDERQFEFFVWHKKFIDKKRL >CRE16326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:171766:173580:-1 gene:WBGene00079500 transcript:CRE16326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16326 MAKLMNTKSAPSAPANICLFDTPPSQVAFSKGRWMTYTPSNAVDSKGPYTFNVFDSAHFFQLNRTYVSFKLRLKNVEANGTGEPVKIIHTNFSGATFFNQIKLSFNNVQVYDSSYYNFKSYILTLLGENSDTKDGYLTAAGWQDHEDDDQRALTDKNHLDLCAPLLLEPFQTERLLVPHINIQLTLYRSSDTFCMQSTKDTKAELEITDLKLHMQGRLELPFSTLYHDIIPRRIIVGLLDPETVVTKDSLKFDHFNLSDIQIDAGGTMYPAQPIHCDFENKNYAEAFARFYEELGGVSDGCNPRISYKMYREGFTFFVFNLSAIDSSNAWELVKSGSTQLFLRFAKKTPTGGLNVLVLSQFDGMFEIDRFRNSVDINLATYLVLKFGKHNHLSASEIREMNRHLRQMDVPLVWNGCNGLPVDLTCELSLDSTPRNHSFVKLVTRPGKGPKHQFVTVLSYFEKKYGITLNYSHSPLVRDNGGRMYPTEAIWIRIHIS >CRE16300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:14139:15500:1 gene:WBGene00079501 transcript:CRE16300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16300 MTDPNTETINEDGQKLSEYGVLKDDKLPAMPVEGNTHEEPSADGKAIVSVKETEEAAKLRASQEEKILSIQTAYYLVAGKIDTLEKESEAHRDVLDQIATDQSNLSEKIDQQMSTKADLQCVQLGIEDEVSRLKEAVKELKHRLLGEPKESPLDCFSPSPFKIPKMSEKRGPTGEIEENSSSITRKSLKDCPLCRDSGHRLADCPQFASKLEKLQQFRKRQICCTCGNLQCSRINCPKATIQCQICKGKQEFGKTLHISEICIFETHVSKRPSQTEYRRQKFSAQRPRSKSPAKENQAATQGPQPAQLQQQMVQQQYQQQPMMPPGPAFGPGYQMNPMIPPQQYQYQHPQQMVPMPMQPIPLQQYGYYQQQPGPSNQTTHHQ >CRE16335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:206444:207003:-1 gene:WBGene00079502 transcript:CRE16335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16335 MDCEICFEPFSDNLGNHVPIIFPDCGHSFCKSCVDSLENRKCPKCRKTRFQPHEINVEVVEFIQTNARPVCGGCASEYNIEGNHNPRILPDCCHTICSTCIDDIADVEIGCPTCFNPNFISLFDSECFIKNYLLIEIVRNY >CRE16308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig146:103282:108089:1 gene:WBGene00079503 transcript:CRE16308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16308 MTSRRPGLSHFFASLDTDSALYESNLLRPYAGDPMHAATLPRNYGLHDTSATWNDRILYNNMGASTVGRGGQNGHIVHGGRHFASLEKSKAQRYSRSEYHLMGNNNEFTDYDTIQRQ >CRE13833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1461:4487:6754:-1 gene:WBGene00079504 transcript:CRE13833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13833 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NS30] MSIDEITAILRLIEPILMEENSLLEVQAPIKIIGDLHADFDNLMRLFDLIGKVPKEKLLFLGNYVDMGMDGIEVTMMLFCLKIRYRDRIFLLRGNHETPAVNKIYGFYAECDYKYGVGVWWDFQCCFNRLPMACLISQKVLCMHGGLSPELTTLNKIRSIERPNEPISSGLEMDLLWADPTNRGDGWFQSYRGISYLFGKQVVEQACKRLKITLIIRSHMVISDGFEVMTGRRLITVFSASNYAGTVHNSAAVLCVNEKLGVSFYGPIHKVTHQKLTPISFPSHPSQVTLSTTPLTADLDSAIANYDMKIVKRFVKF >CRE16548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1465:10015:10572:-1 gene:WBGene00079505 transcript:CRE16548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16548 MTANGSMEMLRTDSTQNNSEGVTVGNSASSRMSEVSLRERRKLVKGFTDFVEASGQMESDIFEAVKMTCQGTARQLEGLIAPVKKYGKELRERFDELGGERWTLEIIGLMRENQVETVEELRELCEKGVEMVPGGIVENAKKAQDALALLQDAWNKERETLFRELNKLKEEKRLAEEDASKYKKTL >CRE16547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1465:8515:8768:1 gene:WBGene00079506 transcript:CRE16547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16547 MQLSVVFLLVVLCLAAVIAIPISDASRARRDAVSEKRQTKSRSGYIRFGKRRVDPNAELLYLDQLLL >CRE15691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:135669:138614:-1 gene:WBGene00079507 transcript:CRE15691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15691 MAANWSDLSTELKQNVVGRLDLMSRHSLRSTCRLNRFVVDFTEFFVPRVRVSVKDSEFLIMIHTGIDKFLRIEMRIGSGGKTIIRKLQNSYHAKDAIEKVLPSTPRYHAAQILCGLLSREKTLIGVMEWEFEGGIDVKNQADEQTSIQATIAKIVSLVNFFQKFSGKSQIFRANKLMTSWNLNLDMEACLKQMFVQEDLRKIERVGLMTSTTSLTPAASCDSYVPLSGMGIPCGTNSVLHEYDPMHWNMFMLVSCQTPGFGVLRFAQFNDTAKELWNSVKEPIEKISDKKYRKVCLVGTFNGRILMMHERSPCGYWVYGILEKNQRVFEDYRRLNFNSCGLGWLCKKCTDPFEYNYYQNLGRRVFLEPKWSGIWQSGVNHETKALSDKKNVAWKCYKEDEKMKKDSGENSGEGTMVQKMWGFGNQGVEDISQRMAESVKIN >CRE15684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:118222:118493:-1 gene:WBGene00079508 transcript:CRE15684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15684 MELNHLHLLIANFGKNPSFERCMVQADVMNGSLIFCYQIPDSRHRLRIKEKDNIFTIRRI >CRE15678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:46497:47471:-1 gene:WBGene00079509 transcript:CRE15678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15678 MPFLISRISQIYTERQARLGTLQIQSRPTNTVSELSHEIPNSGSEPHSITQNTEPTRVVVTETSPETEFEPANKHHHFGILYYMVNNRFRKIMLILFLNLLLFAIFFASIFLFIYLQNRNEHEGSVSTEPFSSTTSPDIKDNTNCRPNEKSTLLYAYSNDLTAKQVLNTWKTLSSNLSEKSYNTFAQTRFDTAMYENITWRTDVKY >CRE15669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:173182:174435:1 gene:WBGene00079510 transcript:CRE15669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15669 MFVFGTSYSVYPWHFTDDERCVRENWFAVKSQLAFQILCVFSVNFDRISTLLLHEYCVHLSALCILINLFHVIVLFEKSMRTASIYIILGAIAIIDIISMFHDINIEIFKFYRVINVCFSKEADYNILVIENALESIRNYTRRCSTWLSFSIALIRTLVIKYPMNPKFDILSKSKTAFIVIPFILILCAPLHIMDIYKYQIIIHDEYYKCTQFPESTSYYYHKTTSLLFLQDDALLFSIYRSIDAVISKVNSFFNTLISLLSFQIIPCILFPILTFLLILEIRKQRINREKLKSSFAPKESKHTTKFVLFLTLPFFLAELPLGIIFMISPSFWNQIGSYLLMEGLENFFSFILSGTTTLHMVVCIFMSSQYRKVAWSTIRFGYVLEVSVEERTSLLNNYLQEQQQNKPIFVQRALTV >CRE15643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:27438:28139:1 gene:WBGene00079511 transcript:CRE15643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15643 MPEHHRCKYRYNTLLNWRVGQKVKRGVLFKVLHADPSTCQHFDGSLDTDLNLLQIYSLNKQRRWEIQENLNQKILEFLQGLNQNLDLIVFQRTSVHTRIDSPCFPYYTISLQSSKIKKQYEISKDGMYQEQIFGGVGRIYGSNTKKVQDTPMEVVTYHIVQEPRNWKKVYTKDFYRCRWDRHGDF >CRE15645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:36237:36779:1 gene:WBGene00079512 transcript:CRE15645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15645 MSIPSQKRPAEEPASSESDEAKKTKIDKKDDVEVVDEVHDISDDEEIAESSAQKEDNTLKEAATEAVEKAEFEKRYYLTEEEQKEREKEKEELMAVFARCQELGGPNFVADQEKVLAELTKQKETIKKLLDELRETKKERKPRPQTNEGKRNRKTVEN >CRE15694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:171221:172453:-1 gene:WBGene00079513 transcript:CRE15694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15694 MSSDDELCVKENWFAVQSQLVNQFLCFFSEYFKGIAEVIQQYRDSPFNDYSVHLSAFCILINLFHVIVLFQQSMRTASIYIILGTVAIMDIISMSYDLHIEILKFYRVVNVCFSKEADYNILIIQEIFESVRSYTRRCSTWLSVSIALIRVLVIKYPMNSKFDILSKSKTAFIVIPFILILCAPLHIMDIYKYQIILLDENYKCTQFPFYTTYYYVKTTSLLFFQDDALLFNTYRNIDGIISKVNSFFNIVNSLKNFQIIPCILFPIITFLLILEIRKQRIKRNKLKSSFAPKESKHTTKFVLFLTLPFFLAELPLGIIFMIRPTFLEGTWSSIFMEAFENLFLLILSGTTALHMVICIFMSSQYREVAWSTIRFGYVLEVSVEDETSLLNNYLQEQQNKPIFVQRALTA >CRE15667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:156163:157797:1 gene:WBGene00079514 transcript:CRE15667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15667 MSWSDLSTKLKKNVAGRLDLMSRHALRSTCRSNRYLVNDMDFFIPRVRVSVKDSAILIMIHTGIDKFLRIEMWIGPHRKTIIRKSQNVYDANHAIQKVLPSIPRFYVARVLCSLLSHEKLLIGTMEWEFDGDAIDTLKVLRDWEYNLRRIHFQPESKTAVKNWINKIHSFVDFLQKYFRKSHVFRANQLMTTNNMIFETAELLRKMYDPSDLKKIERINVLLSKESLTPLYSFDTYLPLRVGRIRRCAENICHEYDERSPGFLEKFVDLSLLSVGFGVLRFAKFDDFARQIWDRVRKPVTVFTDNLHMKVELAGSFKGHLTLFHMRSPCGYWIYMVLDSRRKDFDAYNILKRQRRDMGRMRGRSSEDIQKMWGFGDVVVVVRKDIKDSEDYEDVAAPDEFMKQKSDRTFQKLVICITSFAFLSCALYAIFYH >CRE15650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:49571:54462:1 gene:WBGene00079515 transcript:CRE15650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15650 MYAELQSRRGGTINTDSASGSSSPGINEESTTVEIINTNPPSEFGLVDKQRHFGILRYTVTNRFRKLMLIALVNLVVFAAFFLFVFFLIVHLDKKHEDILVSSTLPAPTAGPMNKNTTCSPQDTTTLIFAYSNDLKPDQVLNSWQTLRNNCQSSYDVYSYARLDVNSDLKNHGYSTSLDDIEDDIKSDLPDPKESYPSYYFGSNILTCIRVKFATVSLKINMFQTMFTSDMTLCGAKMYFLVKRLPNDTDVSDLVFLLRKFHISITFVVSEKPSGGMNQQVLYTLATQTNGFCVFAEDHKFHDTPAWVPSFWPLYLVYSANAGVRSTGSLTLPVFNAPLLGEYHICMTLQDHGAMQKFRMGETTYIIKGPYTLDAVPYNMTLGFEYSDDEINILQIRIYSVSAVDCWVPYSS >CRE15647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:38105:41545:1 gene:WBGene00079516 transcript:CRE15647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15647 MNLSYILLHGAPLVLGLLIGLFLESKSKFMFSATIDPHIMDILMRDMEKIHQESFVMTDIPEELITVKASEIRDSIANVVDLTAERGEMNISTDISSDSDVRVELNGIDGIEGVQLMTQEPALISLGIKRGVCAIFIINALCSLWKIVRSFLNSQTKQSQLKPSSPKSLKPDDQDESAQAESVLTETNENEDIQAKYEERKARNKLRSEENRKEQELQNQKDSECLKRKKQESQRRIAELEEKT >CRE15639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:11495:13450:1 gene:WBGene00079517 transcript:CRE15639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15639 MRLLFTECYLTGQKIPRGITHSLQHIGLISSAFNTDSTSVSPAPGMKEKRTTVEIIDTDPPSEFEQVDKQRHFGILHYTVTDRFRKLMFIALVNLVILTGVFLFLFFLITRLDKKHEDIPISSTPHTYLDITTTSAPTTSAPTTSTPTTSAPTTSTPTTSTRTTSTPTTKTKTTATTTRPSDYADCKRNQKSTFFFAYSHGLTADQVLNTWNFTSENLKSCFETYSSGQIDVTNDIYSITSSGSFSGIYDALLNGLPKSAESIKDPSESNDVLFFIDAFFESEVTYYGATLFIITKRLPTDNDISDLVFKLQTYHAYVTLVVSENSLGGSSPEPLYRLASETNGLCIFTEDDNIQQVWIILLVSKYWVLHQTPTWLPSTWPTYLVYSFNAEVANSGSTTLPVFDSPLVGYYYICMTLQDHGILDKFRMIHLEWNNGESPNSGSFKETLESHTAIYGNTTYVRKGPFKLDDVLYNMTLGFEYSYGKTNILQIRIYSVSAVDFWVPYNN >CRE15640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:15484:17348:1 gene:WBGene00079518 transcript:CRE15640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15640 MLSRIKNIYANFQSRKGGTVNNDSVSVSSAPGIIEEPIYDEIYDTAPPSEFEQVDNQCHFGILRYIVSYRFRRVMLIALVSLVVVLFIFVFFLVAHLDKKHDNVFVSSTLHNYLETTTSSTPTTSVRTTSVRTTSVRTTSAPTTSVRTTSALTTRTDTTPHTQSFPTTTNPSDRTDCSPNQNSTFFFAYSNDLTADQVWNTFMYFADNSKLYFETYALGRFDRVNNLDQRIESFVSSDSFNGIYDALSNGLPNSSESIKDPSEGSAVLDIIDLFFKSDVTHCGSTLFILTKRFPTDTSIFNQVSMLKKYHAHVTFVVSENSFGSSSSEPMYRLASETNGLCIFTEDDKIQKTPPWLPSIWPLYLVYSFNAEVTKSGSVTLPVFNSPLFGKYHICMTLQDHGIIETCYFAYNEIVGALEKFRMGQTTYIRKGPFTLNAVPYNMTLGFEYSDDKGSILQIRIYSVSAVDFWAPYNI >CRE15698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:185690:186670:-1 gene:WBGene00079519 transcript:CRE15698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15698 MPSLPDMPELVMNHILDFCDVRSIFSLRKVSHNLRNHIDDVIPPLHLSEIKIEVREGCLLLVLSDDIDIKYESFSRMCYENDDDDVERKPVERKGCKVECNNMKHFLEGENFMDIFRSDFELLLEFQKTGTLERIVLQWCDDEDSWAIRSNLRKESIKCLEEKEKLLREVVMNPLKAIFEGFNAKQDVSLKARTFETRGVLPKEAKSLLKLFQAGTLKCIKIHDPYFSQVRGTHRYNANYRNPNYILSLSDIEDLDQWKQADELDIKGFHVIAAKNLVESFGHFSRGKVELSGATGDEIFNLMQVSLGDF >CRE15638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:10501:10629:1 gene:WBGene00079520 transcript:CRE15638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15638 MLCLSNKRMPFLDLKDSVFRFKLSLRTSFRHNHSGCICFWYD >CRE15689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:127411:128669:-1 gene:WBGene00079521 transcript:CRE15689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15689 MHKIPRELLKTNVNYHKSCILYDVALGVPIFESYQNFCVANGDDSMEYNDYEYWYYRFYSGDVDFEHERRIDSKVKTLTELPLEILGMITGYLEPEERVDLRLTSKKFKAIVDMEPVTFKQIAVELRPNALILCINEKCFEYNIGNGRFIRNGYADEGSENGAEEGLETVLNRFAQVLKHPKLRIDRLALILPADLFPEERELLLKSLPRSLDVKTALIQGSANETLTIVSHLKPGVLKELWITGCPQQLTPIFESEHWKQAELTKLSSCQIYSKSFPFFYNFERFEISVILMELVDVQLLINNIAKNPSFKHCSLKALIFNLAVFVNGLNMEDTLENPGIITYRYPVPNSTGYLEIRLFNNGISIDRRH >CRE15677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:45489:46309:-1 gene:WBGene00079522 transcript:CRE15677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15677 MEVEHCGATIFVLMKRLPSDTDISVLVSTLQKFNVQITIVISEKPLGGLYQDSIYKLASETNGICLFADDDKFQETPTWLPSIWPLYLVYSVNAEVTKSGNVTLPFFNSTLAGDYHICMTLQDYGPLNTFRMVTLAWHNAGSSSSGSFEETVESHAGYGNTTYIREGPYPFDAGSYHLTLGFEYSEIKSNILQIRIYSVSPVDFWVPSAT >CRE15685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:118836:119457:-1 gene:WBGene00079523 transcript:CRE15685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15685 MHKIPPPDLLKNNVNYLKSCILYEVLSEKPILECYRHFCERNGDDAMGYDDFEYYYYRFYSGDVDFEHERKIDSEEKTLTGLPQEILKMITGSFEPEDRVHLRLSKKFKAIVDMEPVTFKKIVVEWTPDAFKVQINEKCLEYKIDNGRFIQNCYPDEGLETAFDRFAQVLKHPKL >CRE15666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:154155:156005:1 gene:WBGene00079524 transcript:CRE15666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15666 MSWSDLSTKLKQNVVGRLDLMSRHALRSTCRLNRYLVNDVDFFIPRVRVSVKDSAILIMIHTGIEKFLRIEMWIGPHRKTIIRKSQNSYDGRESIEKVLPLIPRIYAARILCSLLSHKKLLIGTMEWEFDGDAVEKFKVLRGLSASKIIFFQPESKTAIRNMIDKIHSLVDFLQKFSRKSHIFRANQLVTTNNMIYETEELLRNMYVPSDLKKIERISVLLSGESLTPILSMDTYLPLRTGGIARCSENISHEYDEKSPDFLEKFVYMSALTAGFGVLRFAKFDDIARRIWDRLRMPVTIISDELHMKVELAGSFKGHLTLFHMRSPCGYWIYMVLDSRRKDFDAYNILNNSCGLGWLCKRCAEPFEYNYYQNLGRRCVLEPEWNDMVAWGQTVRMKNNVEEAKKRYREDERKKKSSGDMTVIQKMWGFGKGVAEDSDDQGVEDISQKMAEDGVVVVKDSEDSEDVTAPESHESMKQSQGLINKKSNGEQIKKNLYSKCSMNDSEGKQDSEDSEDLATPDSDEFTKQWQFQKLAICITSFTFLSCAFYAVFFKII >CRE15641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:17971:23785:1 gene:WBGene00079525 transcript:CRE15641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15641 MVYDENPFRKGGTIKNDSVSSAPGTLEEPTYVEIIDTNPPPEFGPVEKQRHFGILRYTVANRFRKLMLIALVNLVVLVGFFLFIFFLVIHLEKKHEDIHISSTLHTYLDTTTTSAPTTQTNTTANPSDHTGCSPNQKSTFFFAYSNDFTADQVLNTWNTIPDDVKRAYETIVLGRFDLNEGFWTFVSSNCSGEIDGALLSGLPNSTESIKDPLEGSSVFSMINFFFNLDVTHCGATLFILVKRLPTDSFISDLVSMLQKYHAYVTFVVSNNSFGGSSSEPMYRLASETNGVCIFTEDDNIQETPFRLPSVWTLYLVYSFNVDVTSNGFTPLPIFSSPLVGYYHIFMTLQDHGPLDTFKMVYLRWYNAISLNSGSFEETVASHANSRNSTYTTGWHNLDAVLYDMTLQYQFLDLMLLGAGITDKSTVLERIYTQHVTFMDPEATIPPSCPILHITYRKSKTDKRQILQFSVNMLPKFLASFSPPPTRLHHSLVALSSNYRNSLFS >CRE15696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:176950:178085:-1 gene:WBGene00079526 transcript:CRE15696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15696 MQTLTDLPFSFLDQLLAYSGFREIFCLRKVCRGLRDYIDTTKPDLKLTQLFITLREEKLYLTLQFRDEQVVVEYRNGYGSNLFDFHCLVSDGEVVKTLKGERCMDLFFGDFRLVLNLQKTKLKSLKLSQETKYNEILFEEQTSRNLFERLEECFKARSCRLQTTEINLQTFSILQVLSILPYLEPKTLKNIKLMLPTVPYNKETGSRTWRTEKLEELEQWQMAESLNISKDICIGFIINFLHFTHVCVTFYSISGRDLLTIKNILLDDDRVHEFFFKYKHLYHGEQFFESLGRPYIEEQNPRNLWYFRFPESEQILRVCHWSASLMMSFHRKDVESVPVNAVIQE >CRE15648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:42212:43088:1 gene:WBGene00079527 transcript:CRE15648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15648 MSSNSTGSQHEHFLHRLYGFIEYYRKQIASVITHIPAGSILTDFFDRLCSLFLRLIGRKEDPRAKYVEAEKQNALKLGPREVQEKVEALKKQMQDIVVGYEELGALMQKNKMESDKRNEEFKEQCRLEQLEKDKAADMANENLRKRSKEIYSDIMKRGTETDNLNTAKLNKDLISKLDDLEVGNKEENENDALIPRVDSGNKITAGLK >CRE15646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:37369:37568:1 gene:WBGene00079528 transcript:CRE15646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15646 MTKAVVVVVSIVVGIVIGGLIGVLASRRGKNKTSEYDDYDKKLKNLVNN >CRE15651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:54977:56514:1 gene:WBGene00079529 transcript:CRE15651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15651 MTSQIPESYDEPSHKPHSSGIVSIIMKNRLRKIIFLGVVSFLIFSVIITTVILIVFLPRSHSGYLETTTPSKSSFTTTIPFGHIDCTPNQTSTFFFAYSNDLTPDQVLNTWHTLRNNSQGSYDIYSYARFDVNSDFKNHAYSSSIENIEDDIKSDLPDPKESYPRYYFGSNILTCIRDMFMSDINLCGAKMYFLVKRLPNDTDVSDLVDLLGTFHISVTFVVSEKPSGGMNQQVLYTLATKTNGICIFAEDYMLQETPTWLPSIWPLYLVYSVNAEVKSTGSLTLPVFNSSLAGDYHICMTLQDHGTIDILGLIDKWNCRSLGKIQNGAFDMGNTTYIIKGPYTLNAVPYNMTLGFEYSDYEINILLIRIYSVSAVDFWVPYNN >CRE15670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:180528:181609:1 gene:WBGene00079530 transcript:CRE15670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15670 MTLKELWDVIVPSILIISYLSSALCLCSLIFVYATIFPFYSRVFNVNREKEKETAIYPIINHFYKGTCVSYVVYLCLLLFDWFFPVGLVTKEVFHKVDNAYLFVKQFYIMVLNAHHIIIFLLALQRFTLFFIFRLEKYANVSEKTMNRVLFLIYSVIIIKYTIWFVVDYMDNSYIAYYLIFGIGEFFILTILLLISALLYIPIMICIRKNAHLTSLRKHKPHRYIFYQTFALVLLKTATIQSIFVHIQINENPIFDRGTYFHIAMWNYLSIPVIIQASYILCNKRNFETLGYNNSVKSMIVSTFYYYFKRSKVQPASHVEYHSNVASTALS >CRE15692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig147:138917:141777:-1 gene:WBGene00079531 transcript:CRE15692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15692 MILTPAEICRNHAVRSCILAEVIARNPIEIAYRNLCTKLGESAIGYLEFDYWYYRFYEGNHSLECDFNMKHNPKYRLLTTLPTDIILEISQYLDSEERLALRQVSLGMKHICNAVPVELALSSLLVYEDRLEFYYGENLILLKKPHFKKFEMLIKCEFDSNALEIVFGTETSSSFDYQVPGTADEFFGSHSMPLTRHQSARNLEIRTFILDEVLSKKPVKEAYKNLCVNFREKTITYYELDFWFYRFYGGDKDLQCDRDFERDLGSKHRGLTNLPPELIQQIATSLHPRERFPLREVCQTMKMVFNGIPIKIDNAELKCSAQKLVFNYGPNFMKCVKVDGGCNIIKDGRLKFIKNGNFLKVAANHVKFVMDHSRLSIGTLLIHQLCLEGQEVWDSYWTVMKKLLKSLTHQLQIDHLRFNSGDLKGLMDFVPFVKPGATIDLVCNGKPDGMWNTLQELVGGGAIFKVSSVKIRLDSFITTEEVVEIRENIMELPAVNEFIVTFQDAMSGKMIEEIDDGLKYLPNFGKCRHQIKPFEIIFNQGPRRDNLPLTFRFEHFLNF >CRE17752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1470:60:227:-1 gene:WBGene00079532 transcript:CRE17752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17752 MSSPLSNIKTESRPIWEQPPPPYIVLPELPPEDPSRHMTLQEYKNFACAPESRATW >CRE21669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1472:9010:9591:1 gene:WBGene00079533 transcript:CRE21669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21669 MLKSRLSSTSKKLNAINNEVNSMQSGIKALEKASYDMYAKEESKIFHSIEKLEGKINDLENELLIFEKAENDIGDDIDLNKKYEDQIDRILEALENGREALWDLKAHQKRFKSMKQVTEPKMEIPGRTRTEPEIEVQMDKMSMPMIKIPKFKGVRWEWPNFWTIFEEVIGKSHMSDLLKLNNLLMHLEGEAKEL >CRE21076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1473:6149:6496:1 gene:WBGene00079534 transcript:CRE21076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21076 MRSAVFETVKVCIQLISSILAILLNSFLIYLIITKSPKKMGNYRSLMCLFCGISILFAALDLIVRPNIYSRGSAFFMMTDLRGSYFSKDVAQLLICE >CRE21077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1473:9:509:-1 gene:WBGene00079535 transcript:CRE21077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21077 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NS48] MDILLKISMVYGIISVFFMLFVILLLTFNKRFVYSFYRVIVMDILINFTCWLNTWPAKILFRPGVPDSFLQIHESFPWLTSVSQFLTTFFFHAQSLSTIVICAHRLISAKYENANKKWNRYYLFVYAGVIVFSVFITNFIYFQKIHFDYQERMFVLDDVSYVSDILN >CRE24335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1475:5748:6116:1 gene:WBGene00079536 transcript:CRE24335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24335 MTSYSRSPPYSSPSPPLPPSINRISADTAYRLLNNPIDYVDTYKIAAEILDWLKTAPVSRDWFAGKILNRTKRTLSDIIKHPRDWKDLNHRTEYFIKMYNWLNMSEEQRLQIMHCYGARPSK >CRE23826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1476:6324:7844:1 gene:WBGene00079537 transcript:CRE23826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23826 description:Catalase [Source:UniProtKB/TrEMBL;Acc:E3NS56] MSQDSKKCPVTHLTTAFGAPVVDNQNSLTAGARGPLLAQDLWLNEKLANFVREVIPERRMHAKGSGAFGTFTVTHDITQYTRAKIFSQIGKQTEMFARFTTVAGERGAADAERDIRGFALKFYTEEGNWDLVGNNTPVFFMRDPRKFPDLNKAVKRDPKTNLRSATNNWDFWTLLPEALHQVTIVMSDRGIPKSFRHMHGFGSHTYSFINANNERFWVKFHFRTQQGIENLTDAEAEAVVAKDRESNQRDLFDSIENGNFPKWKLQIQVMPETDAEKVPYHPFDLTKVWPHADYPLIDVGEFELNKNPENFFLDVEQSAFAPSNLVPGISVSPDRMLQARLFNYADAQRYRLGVNYQQIPVNAARCPVHSNHRDGQGRVDANYGGLPHYEPNSFSQWQEQAQFKEPPLKISGDADYWDFRQDDSDYFSQPRALFNLMNDTQKQALFDNTAGAMGDALDFIKYRHIRNCYACDPAYGEGVAKALGLTVADAQAARDSDPGKGHPGFQ >CRE20511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:205196:205848:1 gene:WBGene00079538 transcript:CRE20511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20511 MDSCEDFDMCEDLDKINMYLPTKKEDKSKPCNHQEIEDRFREFKQSTYKEIHQLSCALKKVGYSSLCPDVKRRTDLDYIRECLDRMTADQAVFYSRAGEVLVGCYVTPLRPTEVQCGQGNLNLCQYFAAKWCYNIDDNQYVVINGCGAAYPVSELFIVRVPRPEAMQNDREVRAQKKRDSGMRWSIPSSDISGVQKVLRPNK >CRE20525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:139987:140894:-1 gene:WBGene00079539 transcript:CRE20525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20525 MIEVLLIQMEPYKSIENVFEINIPHLSGTSYFPHLDSYIRFSSSTRRPVNLICIKPKCSDFFSHTIPIWNAITSQSSYFLSPSEFNTLISSSITRY >CRE20503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:134475:135570:1 gene:WBGene00079540 transcript:CRE20503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20503 MPALPILKLPIIVLMKILRTIDIDTVFLISLCSRKMYHLFKNFRDKSVTLRIKIYGESSSVRVVTPNNYYHEVGVISREKEKSGNLERVNISGHLVPIDRSRKHRVWETYWDDKVEGFKSVMEYLLDLFGLKKVTTIIVSPDTMRFLNVLKERQGNDYELYLSHRLKLLLSRNRFTGTEIKRLLQHWAIGGFRRLKYFQLDVEDLNIEDVFRELTHTRMTEKREYKSNAVSPTTFSDRDRLITRNDDVVASFKYDQQYGRVEFGVWPDSEGNQY >CRE20532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:196986:197578:-1 gene:WBGene00079541 transcript:CRE20532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20532 MPPKLSGNRGKRCNCMDPLRNIRSKYTSQCKMFRTFREIRYRGGLGIECGLLQDSETLRYRIADQLAKDEAIFYYKDGRILEYCEVITKCPSEVPSIQDGVNLLEFYNSRLKENITDEQFVVMDKQRIYYPISELFIAKVPTPCSSCKNFPHSTTTIFPRLFFQNYLFPDRMKPRIPGKSK >CRE20510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:204031:204228:1 gene:WBGene00079542 transcript:CRE20510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20510 MSTHLCLHVYTFFVRIHIFYMYAYRFSCIPTYFVYAYTFSSISTDFFVYAHIFFVRIHKFFGYVF >CRE20527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:180053:180457:-1 gene:WBGene00079543 transcript:CRE20527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20527 MDPPSSSSLSYYPSNFQEDGTFEGNFEFADRYLIPQGKFRNNIQFVVVVFDDSEPVKPTKPKTGKQPSLLVAKHKAKQDRPSSAADKARFRESRTFVHVPICESCRDASTLEKSVLRIPLCDSCRLRLLSKCSK >CRE20533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:207968:208633:-1 gene:WBGene00079544 transcript:CRE20533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20533 MLFILGAVNDWDRGVGLFWGIGLVKDRQTREPDQKLAIATILALRKSFGILLNANGPYTNSYVYHKINRKNFIEEN >CRE20514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:11406:12143:-1 gene:WBGene00079545 transcript:CRE20514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20514 MPSRRKSGIRLTDEETATIREKLIEGHSYHQVAEIVERSVSTIFRVANELKAEDPVFRDCRQQRRLSEPEVQEWYKMKGVNKLYAPYVTKVSEQRKSSKKTQKVNDASLCLI >CRE20502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:133039:133744:1 gene:WBGene00079546 transcript:CRE20502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20502 MVNQCPFIHLKKNYQNMNEKIGRFGTHETMDVHIEMSILDHPLHRLDLFTSSRQGIFQFDQEEEIQSTDELKLKLPFQLFINIETVKMVSNFSIFVFFKERSSANWNFFSQTAPRVS >CRE20500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:6975:7762:1 gene:WBGene00079547 transcript:CRE20500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20500 MRYQGNRIYSKFVYTPPPQQTDRENESFIYVDTENCYNTLPHGSHLALLTFCDIATRDCVVMASAQNVQMGQIQSVIKGINKMRPLWNLPMTFNTKEKMDL >CRE20507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig148:190470:191723:1 gene:WBGene00079548 transcript:CRE20507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20507 MKLIRKYHIVPYEDGSAVESAKRFLETILNDPTLETSEKCRFYQDLLYRIRQHRELPIMTDEVFDDLRDTYSQQNSNNEASAGAVAVPTLKRELAVVVPKIEKLEPEEPMDEDDDDLKELPAVLKRVKTDSADNAYQQQQQQQQQQQPTVRRLSRKRLHVDDGVEDGGSFETMKQRRVAAATLELPSPPVRTSRKRKILDNVKKQKLNIVQPTRPSPPPLLPPPPPTNQRRPPKRRHPILHSKIPEKRRKFVELFDPTGGKLPVWRVRKDYRFAPYQTERPKKEWTGRRHVAKMKNGKLAAAVKREKIKKQEEEEEEEEKKNVKPKVEIKKETRSDSDDDDDDDDKKLNLVLKQEEKEESDSEDDAKPDVSWRRGIKRPHVGDDDEFWPERKKRRTIRGAGPAAPAGGRIYCRLWKF >CRE02758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1481:482:1840:-1 gene:WBGene00079549 transcript:CRE02758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02758 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIPLHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVTDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYFENLLMYSNEQKRSTLSISGYSPDSVIDDKDDSAFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE02759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1481:3542:3898:-1 gene:WBGene00079550 transcript:CRE02759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02759 MCCQSLMVSSLMTPVSWLPTASSSMMGLFSKLGIPPAAQAYAAGTVGTCQFFKNLWKFRISNVSVSISAMISLFENRHNVIQQNRFRISNRYIRFSVVGINYIRRSKFQNFLLAIIFY >CRE02760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1481:3918:4169:-1 gene:WBGene00079551 transcript:CRE02760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02760 MTSKQDIETYFRKNYYGICPKSTSFFSSSNSVVYSLFGSNIYNVPLFILGGFCVLRKTPKSMSSMKWVYFNMHFWLVHCLNLL >CRE15137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1482:3371:3894:-1 gene:WBGene00079552 transcript:CRE15137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15137 MRRGKYSSNVTWFTFVLYWNMALVYKKFKMSYSSYFEALEECDLKSLEHRRLCIDLIFTYKLMVTKEIIIDDPIFEFLDHSRLRRHRYYLKSLTTNSNKLSSQILSNRVLRCWNSLSDLVFPVKPSTSVFKSRICKYDLNHFLSLNPTNY >CRE26003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1486:3568:3816:1 gene:WBGene00079553 transcript:CRE26003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26003 MIVSWGRPVSFNNGNSSASTIISASSWTECVMSCWNSLYCVLAWSSIDSCVLYDFGTVLEGEKLDSSSNSKVAMKVSVKKMR >CRE19977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:159770:160505:-1 gene:WBGene00079554 transcript:CRE19977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19977 MEVRSEAKAFATTNTVLKAQLNKWILKVLRTVFAYWRISTVPVISTNEEADLNRLTNRQKSAEDVKKLETALSHGKTEKEPLQNAFREKTKQTDHINFLDCQFDTKLIKLRNELQNTNDKIVYTSVRKIVNVQYFSLSDTERIALRNYFSEIKSTTILKRYWSFDSFICEPIAKKNSENTDFERSYMSSI >CRE19934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:9667:10317:1 gene:WBGene00079555 transcript:CRE19934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19934 MHSTVFTVLLFSSLIGGTFGFWSDFPPVFLNSENDGSPRNAVDNVNDPIKYHFLRPSDITGTMARKFVIKLNKAIASGDTAEIGKSFSSNFILHGCYGLFNKNQTVELLSQPVDNVMWQFEYIKFRTEDKFVWIYLKPLNKSDNLEYVLEWSSIFDVVSNGVIGNCPQKVHQKSTSHESLLDNFY >CRE19938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:27849:29912:1 gene:WBGene00079556 transcript:CRE19938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19938 MPLLLLLNENELVPLNNPSLSCHTNVLDVSSSNPTSRNFFDPYNINCIEGNLTIQNNDLNLFCMIHYVLKIVGMMIIVVYLLSHYSTPSAHRIKSYFYDISCCNCLVSLLKTAFSTTAVLVFYAIEPEIRINASPIIRDKLISEESKLKEYVTQICMYFDWFGDCFSMMMIFSMALQRCLQFVSFKWNIKLFGKNGTKHSIGLCAILSFVLLVLFVAPSNMKRYYVQNIGFIDTGKPGYQLIMCILFEIINTQNWSGAIIAKMDLVILLNSANYFPEISLPLLLLISNRKIKTRISSLLQTRSPQIPRSNISVLPL >CRE19979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:165358:166331:-1 gene:WBGene00079557 transcript:CRE19979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19979 MSNSEDVILWKVPIKSTVHVVEFEHGERTGVRVLRVNGNELFRTGQYKITGKASFQVETLQCRVMVKAADPTLLMYALKVDGKLLKDYKKEHHRRWQSWDCNIDGVEWKIDLDKSTMDIRANGIIVPTTGEFLDDETVTSFTVGVKATPCKIVAIGSGNIEIGIIHKLYVNNVRVPINRDYFDD >CRE19955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:203967:204434:1 gene:WBGene00079558 transcript:CRE19955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19955 MRIRYRTLKQRSFHLREVFTTFDLLARYWQLPLKEESEEITAFAIGSEFFEWNVLLFGLATSPAIFQAAMECVVGDLLGTCVFVYVDDLLIASENMKEHAIHVQTILERIERSGMELKASKCWIAKEEVDYLDFHNEQKQKQDPDDKYEFKTTFI >CRE19943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:74831:75106:1 gene:WBGene00079559 transcript:CRE19943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19943 MGASPSRVYTTAQVEEMERRNLEREDSEMDDYILPTNKYDSFARFALIICCTVGIITAILFISLVTAAELASYLKS >CRE19953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:189751:191195:1 gene:WBGene00079560 transcript:CRE19953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19953 MNNHILFLVITSTFFFSIAAGKPLQFMKSGQFEKLEFVLMDKSPSPNPSIGTDPYFAHTSIRNANFIGSNNTIPLKTSAIEPSDAELMNENNVVTGALLFLIVLLIFIALALIQSIWKSVRRQNTIETVVRQKVKTDGNENE >CRE19969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:103440:103655:-1 gene:WBGene00079561 transcript:CRE19969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19969 MTQRRLFAKYPKKPKSVPMKKEKEDDEWTPDNVFWRWLSMTFVNFHLLLFLSYLVEIWLVVATKYNRIIND >CRE19982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:199320:199730:-1 gene:WBGene00079562 transcript:CRE19982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19982 MASNNNEFIIEMAELFRQNHPSSANGEKHLNHQLTENDAKEFTLLEKCVFIIFGVFIGALLALISKIVYLVLPEVVAVMQFTGSDYTLPVVQASYNLPQNG >CRE19952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:188060:188424:1 gene:WBGene00079563 transcript:CRE19952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19952 MASHQLVKLFVCFALAIPSWTLTCHFSTMCVNDHDVIDNQNVCMAYIHVPSGGFHFGGLIHDVKKISPPTISLPDKTVKSRLWTTMNTTSVSASLRCAITRTV >CRE19959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:19690:20852:-1 gene:WBGene00079564 transcript:CRE19959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19959 MSSFHDIPRLAVLSTIVNNQNSSTLPPTNIQVYDSNFSSCMPSSLYSFDFDLTSSTHTKSAAEKMKKKNTEKMQRRQRTAFTTKQLDVLIESYHASRYPKEPTLKSLESKTSLPKDQIKVWFQNRRAKSRREEIERVLKDTTSSLSLLSEVRTETSSNVLSVNSLSHKNCDGSTGKISSSFQLNHNFGSPYSTCTAHLNSSHQHVIQRNSITHESFKESVKTSDISSTPILNSVADLQFSHPSHIPLYPTNPFYPYFFNQQ >CRE19975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:144100:144897:-1 gene:WBGene00079565 transcript:CRE19975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19975 MKLIILLAVMIPLVASKVCKPRTTSVHPVYQMKVGGRYRFEDGVFVWKTCNQIPEFIQKIDGVWYANPKNENIGTYCLQYQLLKFENPHLVDVKVVDRMKISEVSGYETLPAKTTVMKDISMELYNAMETRKLFYAKASPETTIVKSTDASVTAAPVTPPDTKTSIMVPKMSVDQEEKTSTLANSRISKETALEQELSGIFPNTLHRLTMIVVFLIVLCGIFLKMWLRLRRAF >CRE19935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:22466:22665:1 gene:WBGene00079566 transcript:CRE19935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19935 MNQFSILTSQPQFSIPMSSTAIILVYGIEKQSGPRTVYQIVLRVVAIEE >CRE19949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:146909:147528:1 gene:WBGene00079567 transcript:CRE19949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19949 MMTRSLIFFFLVVHMIQSDPTRMYLKFICHPDIQTWCGTLMVYESDWFTDDILKHESFCVNGVAKEFWYELYSKGDYSPHYEWLYKLSHNCTSDQKNRCLEPEEHKRTKTDGIQYVHFIEAVMNAGEQVDNCTHPNG >CRE19948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:140306:142610:1 gene:WBGene00079568 transcript:CRE19948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19948 MNSNDLGENGTNDVRIENDNDVSTNGVLVVFNQQAASTAQSTPAALGVHQLLPAQDLANNGVAVVPGPSVHAAPATGSASKKASGRKQKRGKKGKSAKRTTQPAPKNPSNAAVRHRKTEAERLKDGLDEEWFNRSGTRSSYANRRQSGGVGNSDGLVSARNRLRSQPVTTASHRATTTPNNTPPAIPVNHIPLVPVVNAISAASNQSASNQTAFDPVAPPSPPIPVVCRQESLPPKRDEYDVPLMDQLELAQHGVAKLDEDEFSSARSTLPEVRAPTMEDASVLLEQVTDPQLLFMVLALVPLFKCLKPYRDACQGLDLIFDNFGYFEVLQNAFNSKAVFALRNFYKEWAANKFVPPAMNGGWTLGCSMRDVLALFKLVANPNLSEVLYKLGCSPRRGTDGVCRPILDDIIDYVPRRTAPFTMETVLLIKSTALLLLDIQPTLERYAKSEIAKPIPVKPEASKFNWNLANDIVKIQKDGWRVSLFPNTGEEEGEVVEQKFQTMEMKKEMK >CRE19950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:156916:157392:1 gene:WBGene00079569 transcript:CRE19950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19950 MNPKLIFAFFLALNLAVSIFGLSCYKVNEWETSTVHDRHFCTAYFEVGDGHARFGGSETHPKDLPKTFAFDFLKEDDCQLQTDVPIMTIPGETTSIWVCICYESFCNFPFSFEEFSRRGHTLRPSFVPSLMHDDGSTGHH >CRE19964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:94605:94841:-1 gene:WBGene00079570 transcript:CRE19964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19964 MTSVIEDGSPSFDPIEDDFLIALIESVQRNPCVYNRYNPLHKFTEHKLKIWKMISAEVGFDGQQVELERKWKHITYSL >CRE19971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:111399:112172:-1 gene:WBGene00079571 transcript:CRE19971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19971 MNENPNPIKVPPPAPSSVSQRVHLYYSPPPSPQPQQPRGNLSPKAVLAPQVAESDEVMDDFTSGNQEELDEPPRAVSPLYNVDSSSTSSNTGVEPKQLAAGERAATRRRRVA >CRE19983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:210042:210452:-1 gene:WBGene00079572 transcript:CRE19983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19983 MASNNNEFIIEMAELFRQNHPSSANGEKHLNHQLTENDAKEFTLLEKCVFIIFGVFIGALLALISKIVYLVLPEVVAVMQFTGSDYTLPVVQASYNLPQNG >CRE19966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:97042:97347:-1 gene:WBGene00079573 transcript:CRE19966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19966 MTRNKPKHKKIKLDVDLGEMGSPLLDQQTERRVNCLHYLGVFILVTMVVVYVICEMAHGYITERINAEGITEEEKAAWLEWLQYTMKIQRRFASVFWIKRQ >CRE19967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:98184:98432:-1 gene:WBGene00079574 transcript:CRE19967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19967 MQPFPTKPPIRQVIKKRGDAPTIVSLIPFGASNHGLDEIDNDEETPQISEVYCNVILILLVFMLLALFLFKVECVLTETPTH >CRE19951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:180547:180813:1 gene:WBGene00079575 transcript:CRE19951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19951 MRHSRFKSQLLFQYKFVSGTYYFPISDLHVRFSSSTRRSMNTVCDKPNCSDFFSHTVPRMERHHRPDLLFFLPPSEFCTLIICCITRY >CRE19936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:23516:24392:1 gene:WBGene00079576 transcript:CRE19936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19936 MGRGKPLTDFEKGQIAAKYDQGLSNRQIARDLGRSLDAINRYIKDPLNHGTKKFPGRPSLLTIVFSDEKKFNCDGLDGYNSYWHDLRKEKLRFSRRNFNGGGCMVWAAISSAGRVKFCFVSKRMDGSEYRYVLRRSLLPFWRRNRHKSYQFMQDVSPSSYDNEVAGGSKNPCFGLTSLLSGLEYYRKSWHAVDQNLLDNLYLSLDNRLYELTVNSGGHINY >CRE19946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:115478:117144:1 gene:WBGene00079577 transcript:CRE19946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19946 MTKSIRKNSHTKWNIIKAPNMIIDKDFKNPKSLTRWVATCNKTTLLFPSAYALPDDIRGKHSIQHYLIDDDDFLAIKYMTEDTPGFKWTEESVQNFATLGNFIKFVATIPENDQKKKIYVRTIPALPMGDFGATDVRVFIDEVAMVISLLREQQGDTFNKPHEHCDKIREKLKLADQGYYATISLEKFEKLLEVYDIDKSLVTLVDDPNWLMGCVSFVNTGVHFKMLSVSGDVICSKEQAALYMFQTLVCGVDWHGVEFAARLEILQTIHAFANCQKGLYVMYDPVVKLIVDSKRKHAEIYKNKGSKLNVMFYNESPVTLVDHSDYEILSDMFGLPLYTAKDVNLAPYRVWFLRALLMLGWIHGCVQNDVYLEMYLPDIARATMVALMPAIPEGQRQLIKDITNEVIFMETDLVTFDADQVKVSLRKADRRK >CRE19965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:95504:95806:-1 gene:WBGene00079578 transcript:CRE19965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19965 MARNKQRNNQWNKKNSKSTPTEKKKKPQPAEKKSAYDVYIAAFLIMLIAFLYWVMEATKSDLMQKIEAEGTSEEEKAELVKELEKIVKYEEWTVFDSFGN >CRE19973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:130969:131433:-1 gene:WBGene00079579 transcript:CRE19973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19973 MFQIFKEPGVPIYASRKVQRELAKSLLIQTFLYSPFILMFVPSAFGLQISFLVYLFTIFVSIYPCINSLITMKYVAVLREELSKCFCCKRQRVDIIGSYIDRSVAYVMQEMAIRDGAPLP >CRE19957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig149:214271:214969:1 gene:WBGene00079580 transcript:CRE19957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19957 MSKRVSERLKNKAKRRAEGEMSTVCANGEQPGTSARSNSVILREVTQEIIPNLQTLVDSVFPNIFHENLFDIAYKMGELVRIAYIDGKLAGFITCELKNGVLYVSLIGVRVEYRRQGVGSALIQYAISFGRDMKKDIQLHVEVGNTTAQQFYKQHGFIETERDDTYYDDPPRAAFVYTKKFNE >CRE05338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1492:18:2309:1 gene:WBGene00079581 transcript:CRE05338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05338 MSLGEAWRTPPEDWTKPLPPPYRPIFLDGFAPYGVADRVITTPNPLHRGQSAPPEHYRQAPRERNIVDTAPNTPQSMQRTPKSRPHRNMSVDNLEFDRLTLERERLEFEKAKIMERENRLRHEEKVIEDEKRRMHAEKDLIKKETTQPVVEAPHQPITKRYDPPISISPPPQRNYSHVKVTVDSDTSPRQQAFIDDIVGRGGKLAVVTYDRGGQNPKELTVHKGEYLEVLFDERNWWECKNMHQRIGYVPHTILSMVPFEQQQYASPNHNNTQNVYNNGHHQGPGMLPEDAPSYVKERQGKRGEFRYF >CRE05339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1492:3155:4635:1 gene:WBGene00079582 transcript:CRE05339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05339 MTRNIQSVPKPLETENLYLPIPVHNVYQRPPPQLVSDAGVQVEIRQEPVAPPPLPVVVPPPPPPIQGPTMAEMMRLQQMQQQKQRKQQQEEVVYQPQPQPQPRAGIEIQRRNQNPQLVDEMNNTLGKTGGEILRPSVPRATRVAINEKSSPEDVTRWLQEKGFSPRVIDLLDGQDGANLFSLSKLHLQQACGRDEGGYLYSQLLVQKKRSGFRTHTGDELKAILNHRRTHVELSNEAPADEPVFTINPIH >CRE07938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1495:40:318:-1 gene:WBGene00079583 transcript:CRE07938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07938 MCVDEDIRQFKYEVEEATCSGSIPRRTPTVGSPTDSAVPLLSAQIGLQFYTKSKKPNSVIGTAVSWFGGATGATSAGSGLSIDEGTSWRNGN >CRE07427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:483084:489128:-1 gene:WBGene00079584 transcript:CRE07427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-plc-1 description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:E3M251] MIDRGAIQRGETQLTLHRKQSKNSYESSTVDEVEDDDLDEFLDDEENEEDDQEEVPVRSEKEDSPKTSKRAEKSARNIKQQDSLCSDHSVEQAKPSTSKSTSKANEGKTEDEVLYAQLAQNAIRNQQPRKNNTGVQIAPELSDIVIYMQATKFKGFPPVDGIQSPRITEEQPASASLSFSSRARTPSNLLNTPAPPRRQRSSTQLSQELAVEFLPSGRPNATATCYQVTSLNENAAKKLMKRHPAKCISYTRDHLIRTYPSAKHYDSSNFNPINCWAHGMQMVALNFQTPDVIMAVNQAMFEQSGNCGYQLKPRCLWDESHLLYNKFLPLSKDIAGHSALLLNLTVSVSTRNIKNLCFQIISGQHVYPNTHYASLYVEIEVIGIHNDCVREKSKVVQRNSVNPIWNHTTQLRIACVDLAFLRIAVCDSGQNGRVVAHRVVPVKCIRPGFRHLPLRTPTNLPIDNAMVFLRYLLKAREIITPHLSPTPILKKQIFVLRITGAFADETAITVHSESGSTVKTVMQQALLNAGKNADQVEEYLLFEESLPAPSGEDPIEPRVLPLNVPIMDAVACWNGSMRRFVLRKKGSDPSSRAWIASIIKSGTSGSSTSVSPSPLTKDGHVKSASSNQLHGRSLDTDAFGEHLEVTEGKWLNPRARSMGDTFLVCVHNVSEDQPYAILRAGINSTAADIIRQVFVKARRPNVDDAEYVLIEETGDENKKDQGQSKLQAFSLARKRSNDLTPKYPTSRVLGPNENVWKAQSRWKTTGRFVLENRKDTVHATLEKVRSFISKLEEFLDMAKLLREGIPKKEEPYYMIYYAGLPGEDI >CRE07349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1476949:1477713:1 gene:WBGene00079585 transcript:CRE07349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07349 MSRENQGENAGNVHEDGEQPVEGREVEANEPEDRRAVPGIRGDQVEAYLARLNNVPQQPQLPLRREELNHLPPGRFVRQDDDVSLENALFNHHFQNVEVVYGPGPLVRFQPRIVEARMNPQVFEDFEDPVIADPQRFQDIEDMLREAIRNEEEDDNSSGIGTDTEDDEDMENVEEDDHARRQNPR >CRE07463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:752217:752751:-1 gene:WBGene00079586 transcript:CRE07463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07463 MPFFKKIVRFFRRTTLTSTLAPEDYTMWDGIPVFTDDAMEARLNSKICINLEDRDTVLSCFASSDCKLHGETKHFEIAMNQTKTMLTPEMITELTFWMDEYSKAKTIRVRANREPINYEAVLNGAVVVKRYALYLTTQSTELMPKP >CRE07537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1439314:1440000:-1 gene:WBGene00079587 transcript:CRE07537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07537 MYFFRNVSKFQHGFLSKRSYSSSLVHSISEYRLVLSNQKSLDVVYFDFRKAFDCVDHQFLISKLDNFGIPINITSWFADFLSDRTFSVKIDDFVDASSASIPSGVRQGSVSGPLLFLVFINDLLLKLEDIPLLHIAGFADDIKLFSHDPLALQMGINLVDSWASNNSLPLAHSKTALLRFGSCNSSHPYTIGGSLIVSVDSVRDLGLLIEPNLKFTRHINRVVALTSF >CRE07482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:900632:901018:-1 gene:WBGene00079588 transcript:CRE07482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07482 MFCKLYNFFNKRFSNIPYTPLAECSELKSSKMSQPELESSSAPPSPFGKFMMLKKKGEGNLATFGILSIGISLAGVVILMGPERMNNIPDEEANAKRQS >CRE07209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:41003:41867:1 gene:WBGene00079589 transcript:CRE07209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07209 MPGVSSSQNAPNSSNEVINNANDQPRKVIQDSADYMDLLEKAHINPCMTKCGKCDSCVVKLGDSINHATSSSILCQLKTENSEGGMRNSPPAIFPTNIKVKRTVIFTTVNPFPKIPDAPMLLSRRERTAANRRARQRVVPSAPPLENEDDETVQ >CRE07242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:420197:422349:1 gene:WBGene00079590 transcript:CRE07242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07242 MYRDTYSQMTETEKDNDAFDIAWDDMGRSIKLYLDTIDINILTLLCTRDITKLYPLPELPSLSNEFMNTYRNVMTKYSQEKNEYDVSKFCYFCHRQLKGLPFTCKYCKKSFHKKCVNKERGWCEAEMDTPKTSN >CRE07438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:576856:577146:-1 gene:WBGene00079591 transcript:CRE07438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07438 MARNARTQPCPTPIPAPTVAQIPSIRIERTKDRDEISEEIVSAPKPTPPPRTVTYGNTLMPSSSRAPRHPRQTSLRSRKYFFVLIKYSLVQLPEWL >CRE07420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:436626:437351:-1 gene:WBGene00079592 transcript:CRE07420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07420 MSEGSKLTPNDIVVLELCKILDKTGDFLRQTNARNEILMSLFEPSDDFKKECSEMKEKYMDEAKKFEELLARMNAAPEVDRSHASASVAPQLAIAMDQEPVQDEIEIPVHKGEEEVSKKEGTSEKDRNEPLV >CRE07250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:552446:553645:1 gene:WBGene00079593 transcript:CRE07250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07250 MPVDLEHRIKNLDQVFHEQWSIFNKSNVIIKKSLENNIIGNWQHIDGILTVCERRIKTICGDYMRATSYEAMRIINTRMTDVLNVILPIKAFMEYAIKRCKELEEEELIAAAEKLNFPDSNDLGAVEPVELDIEKKPIPVELAPDTKIESLEEDSPGVSQLMIDEKPAEVDNLAAIGIDFPEKNDSDVSKLDVQKELEIEDEEILPEENDLLLNENHNKPNGYEEKAKKKNGLLSCFGL >CRE07304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1020846:1025842:1 gene:WBGene00079594 transcript:CRE07304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pgp-4 description:CRE-PGP-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M300] MSSATEALLELIEPMKVSSKNVEPGALPEKKKIKSSGGNSLSNLFRHSDCIDYLLLLGGIVFSAASGALLPFNSLIFEGITNVLMTGQSQWQNGTFNYDMFSVGIRHYCLLYFLLGVFMFLCTYFAFQNACLYTMAERRLYCIRKHLLKSVLRQDAKWFDENTVGGLTQKMSSGIEKIKDGIGDKIGVIFSGVATFISGVLLGFYMCWQLTLVMLVTVPLQLGSMYITAKHLNRATKNEMSAYSSAGGMANEVIAGIRTVIAFNAQPFEISRYGDLLAEARKMGIRKSIVLAICSSFPLVLMFVIMAGAFWYGAILTSYGVATSGTTFGVFWAVILGTRRLGEAAPHMGAITGARLAINDIFKVIDHEPEINCTTESGRRPEKINGKLNFDNIQFTYPTRPDVKVLKGVSFEVNPGETVALVGHSGCGKSTSIGLLMRFYNQCGGTKVFQIKLDGVPIEDYNIQWLRSTIGIVQQEPIIFLATVSENIRMGDNSITDKDIENACKQANAHDFILKLSDGYETLIGAGAVQLSGGQKQRVAIARAIVRKPQILLLDEATSALDTESERMVQAALDKASQGRTTLCIAHRLSTIRNANKILVFDQGLIVESGTHDQLIRQNGIYTSMVRAQEIERAQDDTTTEDDTLDDDIVSISRRMSTSEEEVRKSKSLLRDSKRLSQSMLSVTSQVPDWEVESAREEMIEEGGMEASMMDIFRFAGPEKWKIIIALIFTLIRGVTWPAFSIVYGQLFKVFAEGGDDLPENAFISSLWFLLLAFTSGLTTFVSGSLLGKTGETMSSRLRLDVFKNIMQQDASYFDDPKHNVGNLTSRLATDSQNVQAAIDHRLAEVLNGVVSLFTGIAVAFWFGWSMAPIGLFTALMLVVAQSAVAQYLKYRGPKDMESAIEASRIVTESISNWKTVQALTKQEYMFGAFTAASKAPRQRAFTKGLWQSLSFALAGSFFLWNFAIAYMFGLWLVSNNWTSPFAVFQVIEALNMASMSVMMAASYFPEYVRARISAGIMFTMIRQKSSIDNRGITGETPLIKGDINMRGVYFAYPNRRRQLILNNFNMSANFGQTVALVGPSGCGKSTTIQLIERYYDAICGSVKIDDNDIRDISVKHLRDNIALVGQEPTLFNLTIRENITYGLENVSQEQVEKAATLANIHGFVMNLPEGYNTSVGASGGRLSGGQKQRIAIARAIVRDPKILLLDEATSALDSESEKIVQEALDKARLGRTCVVIAHRLSTIQSADKIIVCRNGKAIEEGTHQTLLARRGLYYRLVEKQST >CRE07413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:362019:362657:-1 gene:WBGene00079595 transcript:CRE07413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07413 MVNGSLDNKISSIPSGVPQGTVSGPLLFLIYISDLLLKLPPNIHFAAFADDIKLYSHDPVLLQHVKCQTVQFG >CRE07299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:985086:987831:1 gene:WBGene00079596 transcript:CRE07299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-33 description:CRE-NHR-33 protein [Source:UniProtKB/TrEMBL;Acc:E3M2X1] MIDTDSPVPIFPEGIIRPIPQFPGAIPSVLQFPIAVPSVTQPPPPEPSASVPPEPQPEDSEDLGSCKICYHRADGIHFSVTSCRACAAFFRRTVILKLYYFCKDKGKCKVDRLVQLQRDSIGKKKNQGVHESNLSWESLSFQSSSVDSLFTPNVASSLSAVFKKSDEKEESLSASCTILSQMTSGYEFFLKFRKSTNTLVQSSVITPTCKIPKIELHASRFDSAKQVSKVEAHLVTDIVNTYFSPFNTLKFKDKVALFKNFFCYFSHTDRAYQSYKQFENDNINDKILMPDGGFIKRTELGKFYENAEGVHTTPEDAAKIFQPVFNYILDVIVDYMRRIHIIETEYLALLGFCLWDEAVPGLSKEARSLAVQTQSQLLAELQSFYSSQGKDSTEVIQRVGTLLLLVPKLTKCVIMLRENSVLAELFNYYEADVCCKNFKEEASVDLDCTSGCIAHSTNE >CRE07342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1445072:1445266:1 gene:WBGene00079597 transcript:CRE07342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07342 MQKSFSEKVLYAHDAHIKYIEELEEEAELQRIEEIEANQLEELRTGGFVPPENEISEYSDNPNL >CRE07356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1581485:1582333:1 gene:WBGene00079598 transcript:CRE07356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07356 MESYDLINVLMDYWDKYERYSTDRNSTSYITKYDGALLRIESECDLEKRALRMQEAIEMQTGTGTSVTQKLPVLKGPQFAEVRQALKTLRQKYATLNSRSIPRFIKKEKERQAETMRRIKEVSRQKIQAILNEERPRFLREAYAAREQAALNVAAQQQPKEVETDPVPPRNERMPRPNYRDDDSSEEEDDNDDPPYRV >CRE07234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:269669:272084:1 gene:WBGene00079599 transcript:CRE07234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07234 MARRRSLEMILDIRESYKRGSLANVTVYLVIEMETSENTNPIEYNVVYIAIILLMALIGLGQTLFLAVATYKTRSRNCIPKFVLQLFLTNILNFLAYVTMIVLAMVDIELLMKFKKWIEFGVYYVTYVRILLPLALSVYHFTILYSPFEWIVVRKWYNSVMIDSPTMGEVRLIQERLVSMFGLVLFLELIANTIFENINRVHNYKVTPGITIGSMLVLYLYP >CRE07450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:650462:650860:-1 gene:WBGene00079600 transcript:CRE07450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07450 MTLRCERQRWCRTEQCTVRELILPRGDADGKDHEYMERQAVGFSGRTMQSKGKEEERPLKRKTDEGQCERDMAHGTTTTSSHTVHSSHINPMYARVCFRGETDKKRRGKEGRNYMMTSLFIRNSENNLAGND >CRE07365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1663210:1664423:1 gene:WBGene00079601 transcript:CRE07365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07365 MTGGLIEKPTFLVHVVFPTGKNGEVADADTMCKFDTIFVASLTYLKCYLKSYDSDTIHSDLFYSRHRVDTTEITFEDGALFSVYLEDSNGDDNRKSMVAKAVNNKAELHVPKHKIDQLPVVQSLHEYSDSDRELFGWFANHIIHEVLHILGAYHHHDGIMSGYVDLIENGQICLVNRVDDITTRIVSEMLSANILATPPVADYHFNKETRCLTINGGEVGLFTVVFLKEARYTKWFYFCSDFTFCGIVPEDSEWDRLFVQFVHGAYILFEKNNIYNSDPHVFARSRLPGGSPHEEIKDVS >CRE07238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:364140:365805:1 gene:WBGene00079602 transcript:CRE07238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07238 MALGKEIPPTWHNLLRAICLEKYTLSSAHRIILSMFPTVTLEEVQAFHAIEHQSEAQQNGSNLPHSTSQQPTLKTGSEIDSTEQETKKDSCSLLNVPEVPMKQILSGINYTDQKHLRRTCKVVQPFLDEQVNVHETIGLHLILDDVKRCFKGARANGEREPKKKKLESDEQYPWIDEAVELFQRSINKPNLKVKSVYITHELSKEEPTEDQRKYFYQRIQEVFQGMKTKLNAERVELTVESPDFLLSILTKLQEGTLTELTFNQYPELMPVFDMKDIVVLPQWSGLRYLNTHAKLRARVADFKHIPFVIASFEAITIDEIKDLRNHTFNDQKFRWFSLSADFNKEEVEDAMQPFTLVKSGKYIEGRFLRADNKSLDFFLWDNQIRITV >CRE07510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1148036:1149005:-1 gene:WBGene00079603 transcript:CRE07510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07510 MCSWAAPENQLFKVDIIITIITITRITMMFLRDHPVLLALSRYHQPHSRTSTVSTVRGAPIQRVQPTIQPVVHNPYGDHQSVRVVKNVREFVNVFGATHVVPPPTPLAGVPRLPPRSPISHETFQPHQECESVKSSRKSSVVSIGNGVQIHNAARKSTCSSVQSSRKSTVSSVKGPVIVGGNVVCPAPRSRNGTLTQENVHDHYDGEQTDLIHVADMRRLFENYGPAHLPEYASSPYAYIH >CRE07260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:639311:639892:1 gene:WBGene00079604 transcript:CRE07260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07260 MIIRGLIPHVLFLSCLALVTNSGESLNLSSVQIVVIVVPYLSIWHFVDHRRYGAFPEEDHVSLAYVLGGNFMTRLMFMQHFKSVLNYSDHYFRLYFIVDDENREDVNDLMTSWNITNCEWFFYNLTQYDSRVKWIPNSHYSKYYGLSKLLIPEIISDNVGKVDL >CRE07251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:554835:555835:1 gene:WBGene00079605 transcript:CRE07251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07251 MSNYFSIAISSMCPVTEELIDLRLKVHIMNAENFLKTKNEHFNLIARVDEMDADKISHETKEKIESIRRRGAYYSIRGGNNFVRYTHNLVKLNNGLRRIIAGLKINI >CRE07264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:657266:657936:1 gene:WBGene00079606 transcript:CRE07264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07264 MFPMDKEIYKTEWIRNYRKNNSDIIKEDKDHHLIKEAWGNSTFTETLFFYKAVHGKELIMLEESLKNGRPTLRAMNTPTTDQLLLKSSAIDKKYYLNLRDLEFITKIGSCQFGSVQMGILKNVVKSIDSTSRNIK >CRE07247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:548382:549374:1 gene:WBGene00079607 transcript:CRE07247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07247 MFLRRLLAFVGINTEHSRRSRGSAWPETRLFEEEKSQFLKVHSEYQTIIRFLMESYNPEFNKLSMKYHSEAFQFIYIGFTRTYEVADMKDVRRGLQQVLERIQTEMFLLE >CRE07279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:740505:741055:1 gene:WBGene00079608 transcript:CRE07279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07279 MRSVAQVPIALHKYMMNEIHYAVCNMDKAKTDIQNSMRSLAETVKGYGIEINNFREVLGKASAYLRGSKQFENNVNENNVCGAKKLTAHLEIVTEEIKTIVKTFPHRQKRLIDEAVQRRNEVVVEEDVRARHSRSIAAG >CRE07295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:946674:950924:1 gene:WBGene00079609 transcript:CRE07295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07295 MRHDFWWFLCFLQWLQVNGDTGCDKIYTENDSSGSGKFECHTNGCRIKIDASPVKPIYLNLYKHNERLNIYVTSVARNQTVLLKKLSEETSDRSYLSGLNEGFLLEWKPENCNTSGVPEYRFGHDTLACSCNDKNIELSRHEKIELQSPGFPNFQCPSAQCEYHVSFSKSNTSSAILQRALITIDAKSRDGVNLRLASGSLDVGMNSNMFKTISTSLLVEQNEIKLVYTTPKQLHIGQDGHFKLTIEGLEIENDCDCSLFNVKHYNSDSSRIVTIPSHCEKMYCDWAMAKNSANNKREITISLENGHLKDEFHIWNNVLMEKYSGYQLQQPRKLFITDGSSDTHLFFRRSSVGPKSVIRVSWKTVHGTGCPTASNVDLSTVPQVFISPRYPLNYDFYGNCSLLLTAPENHYININLNDFEVENIHDHLSFYDGNSSSSSLIEHLTGKKSNLTIFSTGKMMFVNFFSDGFHGRRGYHYTAMAVRKPITINDLDNSNNSAVSSLPFNDIMTETVIDPDVQLDSNYSSFNGTDFMHSLEPVQGHVILHFICSVFILVAIALIAIFGAALFKKKIADTNVTTSFVNEMVRFHNDDTGSTVTIENNA >CRE07477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:880426:881356:-1 gene:WBGene00079610 transcript:CRE07477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07477 MSRVSAVTRFIRNCFCCFLAPLKAIWKYVNNKFTTFSLAVDRIVQERNLLSVPERVWDLFCYSVYINFTLALLSFIGGVLCFTLGLYYSTFYTRINCENGLNIWIPLNNLIATWTGFFAVKALHIRWSAFVHLVFTATMTPLMLIAAIFATTQVPVWYEEQRMSRGGKNWGYWNASADIVLAICSYTIVCLSVFELIVYYKYWLPEGQILRGRSV >CRE07225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:191766:192996:1 gene:WBGene00079611 transcript:CRE07225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07225 MSFIEVNNNHLEFTRSESFTHLINEKIPGVDNVPVEMKHNLFTCFDVHPLSQRQINKPLSCQICNGKFSEDDENLISRILTRCGHTACHECCLTLHDGTKLGAVTCPFDRRESLDKFLAFSDFKIPVSMMTDPRPIDEGPETKRKRTKPKDQINNNQLYSTF >CRE07352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1527939:1530577:1 gene:WBGene00079612 transcript:CRE07352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07352 MEDESHPLLPRTEDARMSSAPLLGLIGPALFFETPRRVVDVSDHKTCALLMTRMKEASRQLPSPSHIGVLRLLKRQDVRMKALFFHFMNNVCVFI >CRE07459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:729310:729741:-1 gene:WBGene00079613 transcript:CRE07459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07459 MRIHLSLILLSFVVTSYSWECGIGAISSKISWLIAAPFDKRYVNSCCKQHDRHYEQYQCGRGYYTKEEADDIFCNCLNNSNSWWTRWITKPIFCAAVRMHRWFQSRFIDIC >CRE07289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:879393:880296:1 gene:WBGene00079614 transcript:CRE07289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07289 MEDKAKFAQAADLKKFAFFGVAVSTVATLIAIIAVPLLCVHMQSVSTHLSEELMFCKAKNLYVKNEISQLATLREVGRQKRQTPQTCCSCGIGETGPAGVPGQEGAPGTDGKAGNPGAPGADADEQGFHYKAPEFCFDCPAGPPGAVGGPGPKGPPGPPGGPGEQGGQGRGGNRGPPGPRGGPGEAGPDGEAGRQGQAGQTRSAPSPPGQPGGPGEPGAPGEPGPDGRAGHPGRNGPPGPPGDNGGQGEPGKDGADGDNGAAGSPGPKGSCDHCPPPRTAPGY >CRE07217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:136281:137340:1 gene:WBGene00079615 transcript:CRE07217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07217 MKKRLETASMNDSALKKRFADINETMQKMQKKIDSQDEEIDTLKLTVSKLTTALNLRGNVLDVMKDSEHYGKDLIEQYNGEDLTGNDWKRSERKEQKEEKSERSFENEQPVWNYNSFYDEDADSHESSYLSSNYEDETDEIDSSEEFDPLGPKQVKRNKESKKNDKSRGTATGLEKEQEKIFNEKGFSYTKPSYDKPFTVSPSTSRWQVSLKEQKETSTGSNKPEERTSNRNGYSTVENTATQPKTGKWVYNYKPPPPISISKSIYPGDMTYTYSNPNARRKFERKPVQDSPTEPEMTSSEKEKAIEKKSSKEDAAKGKPLYDWGGPPTGEKLPWHK >CRE07248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:550030:550583:1 gene:WBGene00079616 transcript:CRE07248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07248 MRSFLTRLFNRQQGLDPVVVQKKEYQEEKKKAEKELMALRELLTRQPSYKKYNLRADILDAQDTFNLYTGRMTLERIGIQDRIEGLRRLNTEMSHLKEKMKKSKISMSSWVIQSWHEHRIERDHRMEMARQRKEHDRRMDSFSPRIQSAR >CRE07351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1524124:1527418:1 gene:WBGene00079617 transcript:CRE07351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07351 MNGLSDEDVLVSRDRLPVGRQYSVEQLPTRYLLQKLHRAANEESILTVALRRPVIPVASTTNESSPALIAEVRKKQSTSKSPKSPHPNRKKSPAAQRKKSPAPNRKKSPATPKKGHRPPKR >CRE07400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:128854:129216:-1 gene:WBGene00079618 transcript:CRE07400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07400 MPSDHDDHPECNKELVKQIKVQEKIIGQLKERINLLETINTQKSLGDEIAVTFYCLVLGELTRILKNKEDEIREKDEQLTAALKLIDSRKETPPQLHDDDQLD >CRE07283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:814831:815445:1 gene:WBGene00079619 transcript:CRE07283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07283 MDLETVDIKFNKYIIIAVRTESPSFIQKRFNSQTSSFGIILIAFIVTSLHKINLKNCQLVKTVIQEQVSNGIYLFFNIFFYANLEELIAHKRKYVISYIGYILLYFKLVTLILQLGLSLLYLQTMLVAYGINVFKWFGSMAVGIVSWTVPMILVTIYGGERGNSFHLI >CRE07422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:442454:443574:-1 gene:WBGene00079620 transcript:CRE07422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07422 MDDPIRVLLGLEARIMQGTIDHLTEVSAIKTTIIDLHKTLVGHHKELHESNKRIINIQKEHIDMLTASLNYRTSVLERLLAEHPYILQAPAASSSAAPQAATVVIPEQTGGGHNYRLRPRKNKAAKEECEKAAKKSRFT >CRE07495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:990853:992898:-1 gene:WBGene00079621 transcript:CRE07495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07495 MQRRSMKNPDKQGIIRPVRPCMAMYIVWQNWEDVGFERLINNPSLQDAVSEMQPNRSGLVLILNKHALNMTFNWLCNTKSIPGVHENAYIVTLDQESSSALKKSWPELKQINIVVPGLKDPFNYGDGYYQLFYLFRANLARAILHYDRSFWMIQQDTYWNDNLLNINTEKEENVDILFDRASDKGPLVAGTKHQVIYEYTLFSGGYYHAKPTYNSKSYFAKLAKDISWWYAPDNAYMTSLCEVSGLAKCGRLSFDLITNWQWLQGTSSGIPPKFIQFDGETKLGGKLAKMRKIGFYFLTDGDDQSTCNITSVENTKSILDSKISQWDKVASSSHKQFKMYQDIVDTFYSTRIGAAVMNHFILPYAHYCMLSM >CRE07485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:952969:953659:-1 gene:WBGene00079622 transcript:CRE07485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07485 MLRKLLLALFIVISAEAWTNEQLIESVEKTCPPTVYKCPKPEYILFKSQSWSWNEQAVKNAPTAELFRRARHLNEQVADLLRDTYCCSEGPCLALCNIFEKKEIDLINDFPANGQDLLDLHLAELEPHRKFIEAWLRSPNEYPDSRGRVPAELEELFDDIHKHQHLIRRKLREQKLRKQQIF >CRE07334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1348758:1349726:1 gene:WBGene00079623 transcript:CRE07334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07334 MYLTDIPKSSDPVPLSSDYKGHTVAWQQLCPRKSNTVVVVVSVRESRIGGFANFIRKVPDERLKKHVNNRYIWSDEHDRRICLERIGDLSSWMPLEMSQSLKTHIQNSIEKKEEEQLKIQRIDHYGMMYALCLIYSGARSYATLIPSDDSSQTKTGSVIRLKEFREVMKPVVIEFDTVKNPKLIDAHAKRN >CRE07536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1438815:1438961:-1 gene:WBGene00079624 transcript:CRE07536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07536 MCLICVNLNCTNFFSIIIPIWNAITLHVPHFLCPSEFKSLLVNNIARF >CRE07329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:1315947:1316282:1 gene:WBGene00079625 transcript:CRE07329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07329 MDTGGGGVLQLHQDSRPQAPGYFCSICQTHGQLTGKPRPARNVVCNTEDAKKIQELNQMEISEGGTTPMTEFKKVQEEELTNRWMINELTEKDEEMIDSSQLTHRRCWKCF >CRE07457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:727775:728219:-1 gene:WBGene00079626 transcript:CRE07457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07457 MRLLLSLLLVNFVAASYDSWACGSGKISTFFAYLVSLPAKDREHINLCCFHHDAQYDGIDAGQLDITKRQSDWEFKQCLSDSKYFYSREIIKNVYVWSVQLNTWFNENIYCKFAWC >CRE07461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:742026:742617:-1 gene:WBGene00079627 transcript:CRE07461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07461 MPVSNQLRQEAQEIEPLQDMSRREIILLVISKERRLVALLQQTPATDIIIARRRRGARYPDIVANTQSAALRTISSRLRRIADLRAIKELEELSIRQITKYHNKICSIIHLYELYREFEHWMAFTLPRDPEYQLLNTHLSERAAG >CRE07394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:68994:70880:-1 gene:WBGene00079628 transcript:CRE07394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07394 MEEERKQPKVGDIGVIQSWPIIVTKSDRKRFSYKFIGLGPTDTGKKATNGRFLALHSEDTIPKKFFEGTPYAGNINKALKIAKDILKEKAAKWGSEIGRKHDINQKVIRSENAINYIKTQKSNPRISKKKKKSSAKSKKTAKSKSPQQNKQQVEDLIPNIEAISNGEASHPIAQNEKVPVEAEIVTDDKETLSAIEGTMKEEVEDARKTCERAGKAPKIEIVEEDKGTTSGEANAQQQVEISGKNKFSKEESPLDAPEVEQMDIDGEQDQFVEPQEDADDSVEEERVIQEANTSNSSWRDFFGVCFSIHSNEDNSFFTESSFLVEMTI >CRE07218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:138171:142334:1 gene:WBGene00079629 transcript:CRE07218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07218 MVKVCLTSGTLLRYIPSSEKKRVAEEDRQYKYDMSDGGYRVDSSRQETSAAILPNRGDPDEPKTLGNQLSNHADQCTQYTTKYTNAKELLDIIRRVVDYADIATDEIAYAFKNSTEGSFDLLNMQKRIDEKRFIEIMEVITSYSFSNTFFS >CRE07294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:943167:946368:1 gene:WBGene00079630 transcript:CRE07294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07294 MRYGLLICVFWLCFSSVAGYNYLGCSITIPGNIAWGDAKMKCQPGGCLVKLLPRSDAILVFIFKEKYDNMDISVIRKTTNETIYLKRFSNLRTKQFLVEENEGLLVNYTNCNSNEAPEFEYQYTVLGHRTCGPILKRVGYEQKIIQQIREVSRNGNCSYHLIPSNSENIGMETLFISSTPKKTIEVTIDDDEKNIQRGELIVVRNWTSVDLLNSFEVESSARGGSKTLEEIVAISTTSSCSCDISRITLFPEEMVELHTPGFPDFLCPSARCETLISLSERNETEEFEQRVLVRVDAVAWNTSELRLSSGTQEILFNEKTFSRLSTNFLLDYQNVKVTYTTTQDFRVGTEGQFAVQVMNVKIRKACDCSLFGQKKFVEDWSQKISIPSDCEVMFCDWIISASAKKRPREITIQIDNAHDEDQMFIWNSKIMEKYPSDYLDQPRKVYINDSSSDTHIFFRRNSSGPTSIVNVSWKTLHDVDCETFTKISLTKNPKAFISPNYPMGYAHWGSCTLLLTAPKNYHITVFINELELEAAHYIGFRDGTSSGAPKLAKFTGTEYNREFSSTGNNVFVEFISYGRSKKRGYHYIAYAVRSPNATEEMETFEETTTDSPLSTTEKPVPPSLFVESVVMVLAKEIVKDVFNMCLFFWNLAFYVIVIVPIGLAVFFIGRIIVKKFNRPIPFSLEWPSFLKKKSRSSDMEMLIR >CRE07253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig15:559044:559727:1 gene:WBGene00079631 transcript:CRE07253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07253 MAGNYLVTPHSKDPIHQMEAQEEVRIEIYPSIGTVEHVSFYTRFKKFIANTVANMICGVANHPYTFFVKNAAPENMQTVQITLDFPPV >CRE18179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:140654:141690:-1 gene:WBGene00079632 transcript:CRE18179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18179 MLKIFGKVLNADLEGTVDPNCVQTCFEASDCILAYFDASGRCQLFNFNETETLEVEETTKADGLFVAFKTTLPNETCPVFESILPVVNNGEDPITWKKSEKTFSFQKCRGDWKMFNRPGLTVCMQVFLLEIAGGISRLEAMQYCESINTTLTGVATIEESKWLQGGVNWEKDRFKKLYPGAQEWDGVWMDGIRNCTGFKEANCRNFDWSDGYTEGQDALTSSSNAALSYTTTDRKKLENCLEIIIINADYTINDVDCDQAGSLNLGAACGYPLV >CRE18170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:7350:8134:-1 gene:WBGene00079633 transcript:CRE18170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18170 MTRIPSLLFLISLIPLTNSCVRMIPPEEVSAGTTVASPPGEEPSETPTATPEVTTPGEEIPAGTCFTGLSNSIGGPWMNISPVDFEGNMNIVDVPNPTLKCHKVDMSTCKTWTITNWNQRPSM >CRE18155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:40937:41463:1 gene:WBGene00079634 transcript:CRE18155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18155 MPVYDMTTWKRLDTPSSGSQLVMTFDEKTGKTKCRKEKLPEKVPEFSYEDLKKWGQSKPKEVKTTPKITPKATRIRTPAQTLDEKTGKTDCVEVKSGESKLEEVKTTPKWTPKNKKKKKKANKWL >CRE18182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:150050:151164:-1 gene:WBGene00079635 transcript:CRE18182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18182 MSPKLLTYFIIFISILIRSDDADLIKMTKIFGKVVSLGLNDAPENSGCLKTCYESEDCILAYFDTSGRCLLFNFNETETLEVEETTKADGFYVAFKVCLSACLFFRMSMFQTTYQNDTCPSYDILSLQVNIGPDPITWKKSGTTFSFQKCVGDWKMFHRTGPEITVCMQPMLPPTLLNITQSKEYCESMGYKLTGVATVEESKWILEKMKVVKPGLDYWVSFYIDGIRIEKCFEFNQRCNEFELSDGYTVIDDVVLNSTNAALTYSYNYIPENCLGVVDMGSSLTINDITCEASDLIIGLVCGYKLY >CRE18157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:82211:82776:1 gene:WBGene00079636 transcript:CRE18157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18157 MANYKRILLTISHDEVQIDDPTLPSTDESSPDEIDAKENLPSQKLESKNIVKVLRKTFDEPRGKFRGAIATVRLLLELPPALILLDSGSEKFGECVIKLMKSHNPFKSDHTIVSIAIDGGDNNCDVSTQFKPIGDIKSFDVVRCIEKSEHDIDLGLRQTSVIFTYLNPPRSN >CRE18149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:401:2704:1 gene:WBGene00079637 transcript:CRE18149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18149 MEQLKVELEIDPKSRHEMTKSKTRLAQDPPPNYGKRSKIEARKSTITAKKKNNPTRLLYATFDAPDEEREESPEPVVRLTAERRAAAEKRIAQQKAEIEEQKRNGTYVDPMEVYQAELKRANWQNSTGRGGTPPVNPFRDIVLARIERERQEREQKAAAEVGDPEAQAATYAENRRKLGEARLYMRRYAIEEAAKKGLPPPPEEPLELFDMMEHYNPERADAPPGPSYEEIMARVKRPQVIAKMLEEEAAKKKEAERVATEAEAAKESTSNSEVKSCRKRKRAHREAEEQEKVLINDLHKKSDQSCN >CRE18150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:4326:5596:1 gene:WBGene00079638 transcript:CRE18150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18150 MCVRTIPPDGATVSTTSATVTTAGSDDPEETTAGVATTAGGGGGGSRFCQVGLPHSVTGSLGIPELVFEDEIIQGTFQSKFICSTRDSSTCGSWTITNKNPTGPSTPLVEVMDDVNMMSITLTCGADNMYSWEGTLPGATESTVV >CRE18162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:130259:131131:1 gene:WBGene00079639 transcript:CRE18162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18162 MLKHSENVVDKKFENTKKTEIRYMDGEERNKATTLAENNCIKF >CRE18177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:124434:124700:-1 gene:WBGene00079640 transcript:CRE18177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18177 MPTYDMKTGKQIDIPSGSLLVMTYNEKTGETDCVAVKFSESGMEEVKTTPKWTPKNKKKKKKANKWL >CRE18163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:132041:136174:1 gene:WBGene00079641 transcript:CRE18163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18163 MRLEAALEKLEKRVEEKKSGLCSEVPTQRKSNNPTAYQCNQSSNQITQVVIIPEKVLKRRSDNAEGLMQIDKDGMDGKIKRTTPSSTPRIDISPTKEKWQSSPIRELGEYVYEGIPEKSKELLLASHFLTGCNKKINSRLRQLQNIPKSVSTMKAEADKVKKTMLEGTGSTR >CRE18185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:159371:161542:-1 gene:WBGene00079642 transcript:CRE18185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18185 MDFTYLYSETFENGTSLITAYNSIFFWTLSALYTILDISVFPFYLYVYKLNRERDKATAVFPIVNHFYKMIIFIYFMFFVLVSIMFYAMFIEADPYSWTAGIEVAFLFLTLAILQIVSEVNQFLLSLLAIQRFFLYFFSGSTKYVPVSEKTMSWIIKMSYGFFLLEGGVLFFQSGHGKIYFFAGFYISLNVILLISAALYVPMVISLKKLMHLTSSSELSQPQRYVLLQLVVIATVMLCKLTDAILMPLVIQISYLGCNRHNLQTLLTSKQLFVCNCSCVSRRQIHPSHDSNSRDSTPGVNV >CRE18186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:171082:173419:-1 gene:WBGene00079643 transcript:CRE18186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18186 MKLDPEEEEGLFKLDTFELYEAYPKLAAVNLNSDDLYKVIKKLKKVD >CRE18174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:100961:101361:-1 gene:WBGene00079644 transcript:CRE18174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18174 MANYKRILLTISHDEVQIDDPTLPSTDESSPDEIDAKENLPSQKLESKNIVKVLRKTFDEPRGKFRGAIATVRLLLELPPALILLDSGSEKFGECVIKLMKSHNPFKSDHTIVSICH >CRE18167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:154618:155678:1 gene:WBGene00079645 transcript:CRE18167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18167 MPMIPPEEVSISSTPATQTFTGPEMATTVAGGGGGGPAIPCKLPQYQTAVYGSSTGYIVDLNTEIMEIGSTGNYEMTCLIFQPEGNTCKDWEINALNEENYDFRPTIFEGMDLNNVPLKLVCESDGLYSWEGILPGQTEVTQTTGISSLQCVVQC >CRE18172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:39048:39578:-1 gene:WBGene00079646 transcript:CRE18172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18172 MVGWSQLPPEIKQHVAKKLDFMSRHALKSTSYTNRLIVNSTSLYLPRIRFGYQRNRCLIVIYTGVERFLRWEFVEDDDDGDGGITVYR >CRE18183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:152118:152685:-1 gene:WBGene00079647 transcript:CRE18183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18183 MKPFEPPKWVNITEAKGFCENMGYKVTGVATVEESKWIWKKVKVLLPGKRYHSFYIDGVRTKNCSLTRCNKFEFSDGYTVIDDAVLSSTNADLSISYNGIPENCLGVVDMGTAQTINDVRCDSTNKNVGVVCGYKLY >CRE18151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig150:6027:6779:1 gene:WBGene00079648 transcript:CRE18151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18151 MTTRLLLLFLISLIALTNSCVRMIPPEEVSAGTTVASPPGTDDGEEAPTAATEAPEAQTPAAGGGGGEEIPAGTCNVQLSNSIIGTNPSPVDFNGNMNIVDVPNPTIKCAKVNGGTCNTWGITNYVLEGGDGQGTQLLMNASNTNQISITLTCTADGYAWEGVLPGETQVTKVTGINALQCDITC >CRE15103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1503:4745:6223:1 gene:WBGene00079649 transcript:CRE15103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15103 description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:E3NS86] MSDNDWVAGISKKDAGRYSRQLLVDDFGVSGQKNLKNSRVLIVGAGGLGCPVATYLGAAGVGTLGIIDYDHISLDNLQRQVAYKEAQIGHSKAKGLADNIKLQNSDVNTVVHNVSLDSSNAMDIFKNYDIVCDCTDNVATRYLINDVCVLLNIPLVSGSALRWDGQISVYHYGPECPCYRCLFPSPPDPNSVTNCNEGGVLGPIVGTIGSMQALEVMKIAANVDSTLAGKLLLFDGREGKSRTIRLRKRDTKCAVCGDNPTITAPIDYVLFCGAGAHDKIENLKLLEPTDRLNVEEYRDIRGAQKQFLLDTRPPVEFEIAHLPEAINITLNECRSLTPHELSSRLGVDSNTSDVYVICHRGNDSQRAVLLLREKLNSIRFRDIIGGYEEWALTINDKFPLY >CRE22605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:24342:28803:1 gene:WBGene00079650 transcript:CRE22605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22605 MGSRHSKSSDSNEAKLAEDAKEKYAGVNNFTGVLYKIKSSGNVSINANGSTVSSSLEKSKKTSPYCMEREKERKRQQMTRKRTMRQREIVSQNEAAANNSIQGPPHSTQVHQGKTDIMPSTTDSMPSKDQMTTRFKKESSRTPTTTLKSVPETNNHLGVSSWIEELVYEMIIKNKERASFQFNTDDLSDSSTPDSDQGIRNQCSFPERWINKTDQNSKEEAIRQMELCESQRNERLTPDMVNLHTTSGESAVFSYRNFRDLTGEPYPVEFASEGWPKMRCYHVVRIGSPKVPTYTWLLLQDINCPEIRYLTKVNKIGEDFLL >CRE22613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:81429:81959:1 gene:WBGene00079651 transcript:CRE22613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22613 MTDAEYKIGETFMCLYHNGNFYNAKILGIEEIEGVNHYVIHYIGWNKRYDEKLPFGSERMSKGSVGELGWVESERQIPDSEQSSDSQRFDVGEEFVLMSRYKVPYTANVVEIKQIENRNYYVVRIHGWAPEYDETLAFGSIRMLKGTVQEYYSRRARIRND >CRE22647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:108178:109484:-1 gene:WBGene00079652 transcript:CRE22647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22647 MPVELSYPGLKCVLEFLEANKKIHISSRCTRLKHIERCVSLYLHTLYFRPNIVKLNEITYAIIERKEETTDHPQSELKCGGILIGPRNPVYSRMYPTINFFKRAHRPVKRRVQEKEGMQNYEIIRKVLQGLMGGRKNIRVDQLVFEQCHSTILRLPSNFKVRIRKLDSGVINPEYLLPLMDTSSFPLKELRLKFPGTLDQPIVQSSEKLVIFVTEQYFSDFSVDILNLPNGSVIIECSSLNEDAYSNIIDYWLDEKRETGKCFMITGGQTRMIETAIDLMKKYDGKMVKWNSTEFSSNPNTNYISIPLNDDLVIAIYVVTHESWSHQTVMKTMPIDSFIPAEDFSEAKTLIEIKATENPRQNDLFVVSVFLSTAAIVLIAFFAILYKSFTNPIHN >CRE22649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:115853:117071:-1 gene:WBGene00079653 transcript:CRE22649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22649 MPDGLTYLGQKCVLEFLEANKRIHISSRCPYLKQIDHGVPFHINTLVFHKDWIIVNKFGYHLREEEESDPHDPRNQLVEGDVLIGSKIAFWSRKYPKIGFYNNLRQVADRRVPERDSKIMETHVAIKKMYEILFGERRHIWVDRLKFSLQEPTILRLPVGFNVRTRKLDSGELNPEYFICLLHPSSFPLHELTLKSPEKLNQPIVLSSAKLIIHEFILFPQRRMENLMMLEHSNVTVVDSGYTPLDFYRVIEYWLNHRQGTSACFKWTATGKKSCEIM >CRE22607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:43455:45009:1 gene:WBGene00079654 transcript:CRE22607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22607 MTENSAAILEELRSIREENQESFKKLTEEMEEMKKKQSLQVEMMERIIGKLEKMAVKTESVDLKIMEKWNEFPPEIKMECISRMDFETRYPNHQFFFRNKNKTFRLNLRRTARAERSLVDSRLYPMDTVNMCNDGIEVESETNCFLHETDKCAHNKHTSSCGSKLLTYILKHGLIERLHVFLPKEKVNKWVEELKNSQIDTIRVKRLIFQSTSKQLTEFFLNKVNKEMLESIEVLCRNDANGILETGEFLESPTVINVRRLLILRQFNTVLVLDLIKRWIKHDVQIGQTFFCHTLYPTTFRNFFTLFGDRVVKSEDNYIRIRTDNESKHILIRTLPCKKHAQSLSCHVIPSEQTIIEKEDDYYTSNDLLHYDETDDDYDDFDLFDELGFFDDDSDEEDSDVEDYDFDDEELDDEEDEELVYVQ >CRE22623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:165584:167156:1 gene:WBGene00079655 transcript:CRE22623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22623 MPREVLQYDSLRTVLQYIEANKRLHLSQFIPSIRFAEKVVPLKIDNLQFNELGVNINSTTYQLSLYRDFHQGEEVVDPFQKENDMGGVQNDLDQFGFLVPINRTDVVPGEIVFGDVVHQNRNFTPNLQPSFPCSHQQNDERMRRYYEKHLEIYQIALMRRLEQGDPEREETPARSFHPLLVRLFTDDELLATAGPPFDCLHNNRAIPFTTLIQLTIKSKDQVERIERYPYTVKLHEAMRKLNRMMFGGRSSIVNVYTFSFRLRNVVLRIPEGLKIRVRDLWLDENMNGRLEALGNIIDESSYPLEVLTIFNAEEEEADPFSHPILTSARNLILEGFKPTDMTRLLNLRNEKVFFEYCTRARSLTVENLLSFVRNVLAARSPVGVYRSFRVQDEALGRNFLNQVAEQFNGIRRFRTARIPIGNDSILEMLYNDTLSNVLEDRPPITKWTVVMTVVAA >CRE22653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:132507:132803:-1 gene:WBGene00079656 transcript:CRE22653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22653 MCKLSETLTQSGASTNVECISNYGELVAQLEYPMFIRFISCSEKGKTNIIFSSTIEVDWNCTLTCGLISTKLQLQGQLVDEPRFSPPSLTYAQRENRA >CRE22627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:1754:3134:-1 gene:WBGene00079657 transcript:CRE22627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22627 MGSRNSKSADSIEAKLTEDAKEKYTGVNNFTGVLYKITSSGNVSINANGSTVSSMQEPQASPWSNKRSTPRDDNNGNHAIDYGQHSIERPYNDEIQRREPSFVDDRSQQCPREYSHSTRYPAIVNRTI >CRE22644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:100545:101108:-1 gene:WBGene00079658 transcript:CRE22644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22644 MGPPSPHRSSILNLFKAGVAPVDIIKWLGVPSRTVYDSISCFKKLGTFLDRRGRGRKATVVTPDRIKAVKERIRRNAHRSIRKMAKGMKISHRLLGRIVKDKLKLNCYSERKAAILSEATTKNRLERSKKLLQRTLNGEHLVTVFSDEKLFTVQAEFNPQNHQVLGETSEEAFATERRFIKPLIPLV >CRE22648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:110386:111610:-1 gene:WBGene00079659 transcript:CRE22648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22648 MPDELSYPGLKCVLEFLEANKRIHVSSRCPRLKHIERCVPLYLNTLNIRSNVVELNKMTYEIIEREVNSRTYPSIKFSKQVRKSVSIGVERRVQDKDGKRNYEIVREFLQGLIRGRKTIRVNQLLSEHYQLPSNFKVRINKWDSGVINPEYLLPLIDTSSFPLKELRLRFPGRLDQSIVQSSEKLVILVTEEYSRNHLDYIHKLPNRSVIIECSSLNEDTYSNIIDYWLVKRRETGKCFMINGYKTRMMEIATDLKKKYNGKMVKWNITEFSSSPNTNYISIPLNDDFVIAVYVTTHSEDGWDSSHQIVMKTMPNDEFIPAEDFSEDKTLLEIKAPEYPGQSNLFIVFVLLWILLSFGFFVYVSLFYKSSTKRTHN >CRE22632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:38081:39376:-1 gene:WBGene00079660 transcript:CRE22632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22632 MGNRHSKSSDSHEARLAEDAKEKTGVNNFTGVFYRITSSGNVSINANGSTVSSSKEPQASPWSVRSTPRDDNNGHHAIDYGQIERPFHDDIQRREPSFVDDRSQQRPREYSHSTRYPANIVNRTI >CRE22614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:83213:83709:1 gene:WBGene00079661 transcript:CRE22614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22614 MAAAPNPIFAPGHQFVCTFGLHPVEATVLRIVEKDGIICYNVRFGTNPRKQVVEDQLLRFDNKRILNMTWAELKERRRQAAVPVEEERPRFAIGENCFCIHDGTRYRAKIVGVQEVEGRRHVMVHYVGYNRRHDEKIPEDSPRLMNA >CRE22603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:10809:14752:1 gene:WBGene00079662 transcript:CRE22603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22603 MGSRHSKSSDSNEAKLAEDAKKKYAGVNNFTGVLYKIKSSGNVSINANGSTVSSSLEKSPYCMEREKERKRQQMTRKRTMRQREIVSQNDAAANDSIQGPPLFTSTPREDGHHAIDYGQHAIERPNDDQIQERELSYADDHSQERPRDEQSSGNTSIIMNRRTDDLSDSSTPDSDQGIRNQCSFPERWINKTDQNSKEEAIRQMEVCESQRKERLTPDTVHLHTTSGDSAVFSYRNFRDLTGEPYPVEFASEGWPKMRCYHVVRIGSPKVPTHTWLLLQDINCPEIRYLTKINVQNWRRYLTVDEEDHDGSNDDHGAN >CRE22621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:161213:162787:1 gene:WBGene00079663 transcript:CRE22621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22621 MPVTGLQYDSLRTVLQYIEANKRLHLSQCIPTIRFAERAVPLKINYLQFNELRVNINSTTYQLSLYRDFHQREEVVDPFQKENDMGGVQNDLDQFGFLVPINRTDVVPGEIVFGDVVHQNRNFAPNFHLSFPWSYQQNDEQMRRYCENYLKIYQIALMRRLEQGDPEREETPAHPLPVMVRLFSDDELLAMAGLVYQELTEEELELKLVLLNQTPTWSLEMIITRLRYYLQPFDCLHNNRAIPFTPLIQLTIYRKGQEKRIERYPYTVKLHAAMRKLNRMMFGGRSSIVNVYKFSFRLRNEVLRIPEGMKIRVRDLRLEEDMNRRLEALNNIIDESSYPFEVLSVFNTGEEDDPFAHPVLTSVPKLILEGLKPDDMTRLWNLRNEIISFKYYTGIRVRTFTVDDLLPFVRNILAARSPVGVRRSFVVRDKALGNNFLNQVVEQFNGVRRNRTATIPMGNDSILKMFYKGSQFSAGEGLPQIRRWYVTMKVVEA >CRE22641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:89527:91359:-1 gene:WBGene00079664 transcript:CRE22641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22641 MPDELSYPGLKCVLEFLEANKRIHVSSRCPRLKHIERCVPLYLNTLYFRRGVVKLNDITYAIVERQERTTVSPHSELKFGDLLIGPRNPVYIRYYPAIRSIFGNIFGFSEIIRNSPILREKKSEKISDIRFSDFFFSEKKSDIRFSDFFFRRKFRFSDSPKNFLRPSLIIRESIHGLMGGRKTIRVYHLLFEHCNSSILRFPSDFKVRIRKLYSGFINPKYFLPLIDSSSFPLKEIYLSFPEQLDQSIVQSSEKLVISVNEEYSRNHLDDIFNLPNQSVILKFLSLSEDGYSNIIDYWLNNKRDTIKCFMIKGSKTEIMEAAIDLIKKYDGKMVKWNGTEFSSNPNTNYISIPLNDGFVIAIYVVSHKRWNSTHQIVMKTMPINSFIPAEEFSNDKTFIEIEHLERKRKD >CRE22633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:46782:47292:-1 gene:WBGene00079665 transcript:CRE22633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22633 MTLKKVSTARGSYNSAEIILENPEFRLKKMFHQKELQVLHKMKKASTGQIKKDLEEEIYRKVVVWNEMKRKSPYYFDFHGLTKRGAVRYTKRIMTSMRCNNVSEARIETGRGNHSVDKRPRIKTHLMAIFNQEWRKFSIETEEYNDGILMLRIH >CRE22622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig151:163300:164884:1 gene:WBGene00079666 transcript:CRE22622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22622 MPRGVLQYDSLRTVLQYIEANKRFCLSQRIPAIHFPEKAVPLKIDYLRFTDLGVNINSTIYQLSLYRDFHQGEEVVDSFQKENDEDCGGLNDLDKFGFQVPINRTDLVPGEVVFGQVINENRNFEPNDRPSFPKSHQQNDERMRRYYEKHLEIYQIALMRRLEQGDPEREETPTRAFPWMIRLFTDDELLAMAGPVRQELTEEELEEKLAVFTRVPTWYLEMIITLLRYCLQPFDCRHNNRPLPFTPLIQLTIKRKDQVERIERYPYTMKLHEAMRKLSWLMFGGRTSVVHVHKFSFRLRNVVLRNPEGLKIRVRDLWLDENMNGRLEALGNIIDESSYPLEVLTIFNGEEEEVDPFAHPVLTSVPKLILEGFKPDDMTLLLNLRNEKVFFKYWEDFQTFTVDDLLLFVQNILAARSPVGVRRSFGVHGEDLIENFLNQVVVQFNEIRRDRTAGIPMGNYSYLKVFYKGVLTSVREDRPQITRWYVTMTVVEASLD >CRE21671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1512:1298:4628:1 gene:WBGene00079667 transcript:CRE21671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21671 MSDNDPPTEPDRNRKRKSDLSSDTPSSSSRPPQPVPISSGSSTESSLTITVKDVIGTLSKPLEDGNLDEDGLAAKVPTFTEEYDEKKYGDRKLEMKNPNMETSREEESHDSSNIPSSSSRPPQPVPISSGSSTQSSSSVTVENVIETLRRPVEDGNLDEDKLAEKVLAFTDEYGEKVAASIGRIWGHISFEMKFRDKGAQYKDMTAEKQKIYLRLFNWFKYYEDSQVKEEVLDLHEELEKRWQDVDGRYYKTRGDIRREMEEESYDASNTPSSSSHPPQPALIFYPYWKHGIPMEIQRDNNRQLFDKTIQMQTESTRRIQKIVATLSTSSPTQSFAGGAPRSKPNNGTVQIVNMMKDQEMTFQWKLKDQLHSVINAPISKKSIKTVHNHDIGITHYESVHYISPSLRNFNVVERHQGEDHQLKANQNMAAATTLPVQFKSLFPSDIQGIPPIGPSPPGCHPFPGLYHDQRNPVTDEIWNNYFLYLQDCLPQILAPPTNMAPARSYSVSNFLTNYGYSIASQYSSDGGFSQNVLGGHESGLRPDMRQYVQSQYNYSGGPQSSSAGRYTQNAPGSHGQARGFGTSDSATDSHRTQRRSNTHQGDTSSAQSEPLNVDDIFEKLNRPLGNEGINTENVIKEVKKFIKNNKAVRITISQSVGKSWDTFRNQIDEKKRRIDTYHELPNPNLKELYLRLFNWLKYYEDDEKRKAVLTFHKELNVRWYNKFHQNMFNFKISGPNLKKRMNEGHCEQTTAS >CRE21670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1512:116:529:1 gene:WBGene00079668 transcript:CRE21670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21670 MMSGLVPAIPFPSAQSEPLTVEGIMKQLNRPRGDRMIVPGKILEDVHDSIIENKKGRRHILKMLEKRKKTLPKQRRLPGVFSGIVQLVGVGEGKEKRSSSFVERIKCSMVQLIPLEHVQFQNFRSEIDKQERKRESM >CRE20633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1514:1758:4278:-1 gene:WBGene00079669 transcript:CRE20633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20633 MSMKIEKPVKSIKSTSSIVSSLVSSDSSQICPKSHPIIAHDGRSLVLCKDCVQGVCAKFRTSNVEVCCQNSDDICGPGSQVLTDGMVPRDCDKKACGKGPNCQDPDSRPLMENGLPYMCLRLGDPCLRAGYTCQESDIDDVFVCCSVQATQLNRILPPQIPVIPKTTTEEPTEEIIAQPSCPFSYMPSKNDHQEVQRCLTLFSLE >CRE22089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:231628:231906:-1 gene:WBGene00079671 transcript:CRE22089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22089 MKEILPTTERISNMTMWLEVTRIFDFITFVLSTFINGVLLNLIKTASPKHFGNYVYLMMSFSVNSLIFAVIHAFLQPVSQGIYKTVWSWMCE >CRE22083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:161683:162078:-1 gene:WBGene00079672 transcript:CRE22083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22083 METGLTEWNQSGLVMGVSFGTIIVGSMVTIFFCVGRVWMKVNTFVHSQIFDKVQKELFKAQCVTGPHPRRCHLRSNFILPCSPNAQHSWIHWSLVCFRLHWIVSSH >CRE22076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:219234:220119:1 gene:WBGene00079673 transcript:CRE22076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22076 MRLPMLLLLLCRLVLLTRRQVFYIIPPEDVEGEDGLLDLEELSSRNLPNYIGNLGEVTEMEEQFLARYSLISNTRRCEKCPDNKMSLVKDKSLKRDSYLWRCSECKKRHMSTKVSTKTDSFFERSHLTPQQILYLAADWVENPTKPILHVARDFKVDKNSVTKMHEMFRQLTKSWFYRETGKDQHQMLCTQNI >CRE22075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:174653:175144:1 gene:WBGene00079674 transcript:CRE22075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22075 MVFDDASWDTLINDSVDKFVQRLEKEFREDAEFRKEVLRHERWRVAKKREQIKRNVSEQKQEWAEKQAYAKLQRMRELKKLKKRRKDQRKKVMKIRRQTWIAFRIFVMIFRSEIVTLDTLDIEFKNYKHANSSPLSLKKTFVPRNKKKKKLHLCYEYMKLEHG >CRE22062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig152:73222:73973:1 gene:WBGene00079675 transcript:CRE22062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22062 MVYLSVKNFFTRYLLLISTKLSIFRLIENIFAGAPLLEPKTRNFKGFRMSLEARSLCPNRLAEELVIDKKKKSSVEIGLKIKLSRSTWFNDPSLRLGYTIVEWRRPPLGNNINGNGRRSNTATTTTPSSWRTLEESSSSRASSRSNGYFVDSGISTIHWFSVRYTAINALIKPTMLSNDVFPIRSSRTSGFWRMNVQDKVSSAEYQEATFE >CRE01490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1520:449:5548:1 gene:WBGene00079676 transcript:CRE01490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01490 MTNVYLKPVNDNQTNKTGDNFRNTMSNSQCEMMWNPVARTYAQAASTNPADDKTVTVLGCKYNLLKLGNTPQTSKRSPPKPSRGGARISSVYTLTDELEITHREEGKITFAIDLPNKNNILCPLCRECTQTRGRGSSFTKHMKLHVKEKHQLDATFIYKCSMCNEYEPEKKCGTKWIQTHLQKVHNYKYDESAIVVPVPPNTRQQIANELNNAAPFVDIRKTKAAAVEEKKTENGALLKFLTTSKKDNQVKSPSEDIPDTESPEKETQALTIDPKENNSPSKSSIKSSQSSASSICQEIQEIITLSEDEDPKGARPKPGINVWSLINETGKDAYIDTDIMMAFLKMRVENCDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKNRVVFPICADEHWTLLTISNGIAAFYDPTGSRMSSYIEELVNELGLIIPKEQDEQPRQRDSYNCGVFVMKMAEAFIQDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKSFAQSRPTSRSSQCAVCPTCSRSATPMMDVGNMEVDPVPQQQETPKSREPEQDEGWKVVQKARKRGVVTERSPNISPEAKRQFTGPEIKVVSPGKFHPLVGETEEMEVMCDSPPTKEPNTEPKVTPSLPAMKIASPEVTKKETSKRKGKYGKKNLQAKTTQPKGESSKKAQPKGGPTKKAQPKGEPAKLIEQVRTWFDRQMESYQEQGSNIQTLTWIADSLTAAIVKAHNGNKYLVDKITARCPPPLLNDGEMATQTSRRTEAVKPKDRSVKESNEPLRIQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTEEALNTVCSGIKRAKVDPSIEDPISGGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLAMLFDECRQHGKIPSHWKEAETVLLPKDCTEEEKKKPENWRPISLMATVYKLYSSVWNKRISSVPGVISRCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCAWLDLTNAFGSVPHELIRRSLASFGYPASVINIISDMYDGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQARKIGYSCVSNDVRCLAFADDLAILTNNKEEMQDMLNQLDKDCRSVALIFKPKKCASLTIKKGSVDQFARIKIQGMAIRTMKDGDTYKYLGVKTGNGGRASESESLTQIAAELQKVHDTDLAPNQKLDVLKAFILPRLQHMFRNATPKLTELKEFENTVMKSVKMYHNIPIKGSPLEYVQIPVKNGGLGVMSPRFTCLITFLASTLFKLWSDDEYISSIHKKALSRITAKVMGLNNQKATLEEQCEYLNTKKAITKGGYSLFSRMNEAIRTLSVNQGAPLKSMRFIPENGEIALEVQASENSQIKVFSKADSMKLVTKLKDLVKAALLKTFLEIKPVKSKVVQVLQLHPQSNKFVNDGKNISISTQKFVHPARLSQLVCNGNNFSKELPKNCRWCGYECESQAHILQHCTYSLSSGITQRHDRVLNRILQEVIKGRKNNNFYDIMVDTEPGPTRERPDIIMIQRDGPEVLLADVTVPYENGVVAIEAAWDWKMKKYSHFIDYFARLGKRAVILPLVVGSLGSYWPDTSNSLRMLGLSDGQIRNLIPDISMIALESSKQIYWRHIFGDSYRIVTDLYCRKDQQEIRFGDEPMENVQVSDRFQPFKTREREKKSEEEKKRRSKSKKGKT >CRE02763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1521:1:2182:-1 gene:WBGene00079677 transcript:CRE02763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02763 MSFHDDSHILSQLGILDSHERSPKRDTAPIDKKFVDVKLTASISDDDDEDEDMLFDRNNLLSSNKRQNASLIRGRADNQTIRFIILLILFLTAGLVSTSSSLSVCSVRHEVIACLWTCIGMFCGAWTAKLTSSRSNLMLFLFSVLLTLLVNLFLAFSFSFLFAIRGFFVGATLYGGALVWFAFWRKQPRKLLILLFIFSAGGLLAMTVKTTTFSDATSSLADMSIVTRSIQDTVSRLKRAVEDEKTLLPFAAVVDNSTHKTENVTDDSAIKKPEVATGTIQKLKTKTEMNAEKEKEVELKQKDVVDGSTGNSTDSMETTTKSSSSTTTSSTTSTTTTTTPSTTTTEAVTTTTESPTTTETITVDVKTRDSKEDARRREPPSSLAIESPPYPANCPSDSLFLTGNVASAEETTSSFVKTFASFGVIIYIVSYILCCLPCGLKPDVKVKKLETDSVVGLGMRLRILIAATQTII >CRE02762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1521:8445:9148:1 gene:WBGene00079678 transcript:CRE02762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02762 MHWNHVVALISLLLMSPLTVDATEKTCRGTEVKELEDGCTIINEAPLIFRDLKDGERSQFMLQYIFYVSEALIKKKTETISIIEHRVEVIETNTESFDYLKNVTKISNKEGSAIAFRRNLKLKRFNFGGSMILEHISRSTNHRTEKCSKQFIEVRTTKESTDDGYNLAMILIGLFAIFFYCRRDSHCSIFPPEKKRKEG >CRE27976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1524:72:860:-1 gene:WBGene00079679 transcript:CRE27976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27976 MYPNYYQNSHNYPVAAQNQQADANGQHGNEDYLQYAANQYWTQTGYMNNYNPPAPSVQTTFELANPDAIKVTLHDSKLWKAFHVLDNEMVTLPNGRQIFPTLHYNVAGLPHSANYIFGLKLQRVNKNHLMEYRGGEWKETGKSVKADLESNEIFAGVMGGGVNFKNARIQSVRRYNTRGKDKEESEKPKTDQNKDISVSHPPFFTLFSLCFRQAMVWCSG >CRE26895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1525:6858:7817:1 gene:WBGene00079680 transcript:CRE26895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26895 MSLPLCQFPLLIHVEIFKHLEFEQIFMLSLCSENTKRLIQRIRLKAKKLRYTIEDDQVSVHGGFTDDERDLEFIAAMKCVTSISRKKTISVKLGGEPIKCRFERNSHTLKYLEPENKNALELLKNLIDSLFHSKPIIQLKLHSPDSLSSSNIFDDISDTSFMFENLDASELEAHLTKYPNHHSLDFFSDLTKRDLKQYSKIWEIEGLTLRGSRLISSRAMKYFSGRCLILHNAEIMYSALIKMIPKWQKKEGLHILHAVVIHTFASDDFIDELLDELNVLDWDGITRLKMFNYDPRYFTLTLE >CRE31617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1527:7827:8342:1 gene:WBGene00079681 transcript:CRE31617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31617 MDPMTEVRDRLTAELQERQAAVREVLIRAEDAIAIDTIPNARKFYIRLKANDAAARQAAQLRWNNQERCVKSLRRLNKIIENCSRLRGFWRTPEDKLSSLVELQSQRIINRSSPKVLQYGVSSQ >CRE31618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1527:36:1313:-1 gene:WBGene00079682 transcript:CRE31618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31618 MSDRTSSKQSTSKVDDNRMIVVKTQMSVKERRKKVKKFTKLIAGGVKVEDETRLQLGKLTAKCSEQEADAILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAAESEEAEWSVGKVNLELQKVQYEKNLLTECWNEEKEMLGEQIRKIQREKEVAEAQVRKLEKALTKLRKKLEQEERKPNGLRDEAQEIAVETVTGDEKTSSEEKKVFTIGNLGIRVGNMDHTKKTVEKSKEIAVDTGREEKKEGMKPKKTVIRESKILIAPRIGVKGKSIFEYRKTALDTWKNRFDFANVEAIVFLVNLTEDEETNQKLGALVLELAEEVKEITIIPYQMDCAKSGLVEIWKRSWITAGHVKWSDSAASADEKFKTWEQLLEFLEARTTENVVVAQLRKESVTSEPRIKENKWSHQ >CRE31619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1527:2618:2922:-1 gene:WBGene00079683 transcript:CRE31619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31619 MPLLLVLCITLVFVSLFTPDTEIVPIRRPDPPPVERLRHIRHNPRIANEDEDNDNAVPMERIRMVNPIADYFQ >CRE30599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1528:6235:6701:1 gene:WBGene00079684 transcript:CRE30599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30599 MDSPRRDVKRICAFCNISRSRKEMTPVTKNPVNREVWDRKLGKTFQLNCQKSRNPYVCLSHFPAKKASNPRAKIFPYQNGRPHTRKVEESDTEEESSTDEEDDLFTDPNYEVEDEYECEGNNMR >CRE21503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:22674:23255:-1 gene:WBGene00079685 transcript:CRE21503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21503 MINERGGESCMKFRLPTSGHYFYSDFRVSDKEFYFRLPSFPNVEFSKGTFRRNSWKNGLKGQKIGFSSTKNKFSTDFRELYDFSLGVFEYSRKILFRLPDKEIRFRLPDKEIHFRRPDKKIRFRLSDKEIRFRLPDKEIRFRRPDKEIRFRLPDKEIHFRRPDKKIRFRLPDKEIRFRLPDKKFSLPCNSGGY >CRE21514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:115326:117041:-1 gene:WBGene00079686 transcript:CRE21514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21514 MKMIKGFHAAFTDGFRVVDFSNIQEAPQFATVVIDNVLKRELMEEMTRSWTWLSASLKETTSSEEFTKCFPDESQMKENAEEAVTTIIEVEMATEDVYVFLDSKANSTVSKKLANFFFKKKCRVAVEKSVASSYSRTVCWFAGSPTQKTQTALSRRISMNLISTQSKSSKSVNDRQGILFKNRKLKTNCIKAILDSQEKMKTRIGYDQNVLESGESLMKQCSEKFKSAEERNHC >CRE21492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:189171:190213:1 gene:WBGene00079687 transcript:CRE21492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21492 MRLLLLFFPFICLSSSSAFFPTRGGGHLEILIESGAPVHAGFVVTYENKSQVTWRQLKPNTPELLTFDEFNISRRLLNITIHDNVTSSSLIFVPYKNHDYAINDLPPPLVGFQMKFGCAENGYGPMCERQCTPNGTNYRCHPLGRQSCTAGHCGSDCEKSGSQCPMLFKCDCKNDGRCYMFPPESAKWTMCECPPAYYGSQCQHIKDFDKNLTFSTNYGLNSTVKNRFSNLTEIHQLFEKYEGKTWASGHQDAQKYQSYWKLTEISVMIWVVGVLGYCIIKCCGKSENKKENPETSKVERNKTSEEVEMNNM >CRE21512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:107915:108262:-1 gene:WBGene00079688 transcript:CRE21512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21512 MQVKHGMRIDNAKQTDEFHTAKKKIERGFGKWSHMENKEEISIKSRRSSLVKVQQGFYAGVELETTLKVDEERIFNQMKKLSPRFKELRALMRLEKIFR >CRE21491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:187006:188509:1 gene:WBGene00079689 transcript:CRE21491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21491 MLTNIPVTFRRPATVLITSGAVEKFGLKFETIRSERWDTRVMTISPERIHLPFSGFVIDIKCNRNWYGPYCDQYCNNELAETVNRRCTDSGALGCPLYSYGPKCDQRIHGPECECENKGVCVSSFLKNSTGVTVDELVCECPYGYMGKRCEQKEYEYAAPITVEMHGIQRKSDLMEQFYNQSLVVNELNVFRWI >CRE21485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:120604:121006:1 gene:WBGene00079690 transcript:CRE21485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21485 MPRMMSAMCPGSCSGRGGCYASPTGPECQCREGFAGQMCEIVVEKTTAAVVAVAEDTSDFWSILLVSLLVLFSLLGCCGAIVWYFRSKNGDRIGGLDEISSKAKTAPKKIKEYLENLV >CRE21515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:121547:122344:-1 gene:WBGene00079691 transcript:CRE21515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21515 MPIAKHLHPETPQENVTRFTAVVLIQHHGYTLMYSKKFGKQLVTMEESAGPQQPGSVFHIIVFEKNYTPGKHHLNNANCTGWNIELAPEQSRIEAKYLPSLEAPGHGEVVLRILYSDPWMVTGRIGDFKNEIIPFEVISMDQRQKQWLLQEKDAGGMVPIEVQVALDGLLMIGGSRLDRRIRDPVSKVQQERGEIIGRTEIKYIEQTGLDRILMSTHPFLFNYEENKEETKAKEEPLGEGNDDEENDIDSIADEFEFIETEDIEE >CRE21489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:155652:157413:1 gene:WBGene00079692 transcript:CRE21489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21489 MRQLTLAIPHLSSNTTVKMTTRWVQVRAPPQAPSNHQWVLVWRLRAEPSPPLHPSGLRSIRELLNNPPLCTHSAPHTHTPDASFPPYSHRSSIHIPTHTLHPPPMSPPPKFFF >CRE21487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:139947:142228:1 gene:WBGene00079693 transcript:CRE21487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21487 MINRNNTVLYAYSNDIDYWTYFWAANSIFYYSYKNTTMGIVRFDTTEEEEIEFHSDAESLNASLFSHQPDPSLGYGDTTTGSNLYTVLKKFLNNRKVSLCGAQVLVLAKRYPDEIDVSEIISQLRANHVMVYIACDSVPSGGSNSAILYETSFQTNGYCAFATDNALQKVSSKFTAFGWMTNILGFPYQFIAQNFVVSGSGRIEIPVFKTPIPPGYSDTCSFSITVQNHTLDNSFVSMNYTIESTDGSYVFKYPSVQSYPLYGTAQSGYVWLNGSLSYTWTIDYYYNSDEPQVIECRMYSTYYHDFLPLPDF >CRE21508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:93484:93561:-1 gene:WBGene00079694 transcript:CRE21508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21508 MNTVLGKIADLKKNHEELYKGTNKN >CRE21511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:99290:100054:-1 gene:WBGene00079695 transcript:CRE21511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21511 MNEYLRPPKQAENSLLHISKSEKCAAPMSDMEDFNLDDSGLDETQHWTYLAPPSRDFGKNQIFGGPHCGGQPEHKKPSRGARSRPTEGGNPEVGCEFSRP >CRE21509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:93737:93985:-1 gene:WBGene00079696 transcript:CRE21509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21509 MGFGDYIPGHQILRFGTINTTAPLSTSKRILEEYDKTLLTLMDDPGFIIGTERSMRNDGSVALRQD >CRE21510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:95535:95929:-1 gene:WBGene00079697 transcript:CRE21510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21510 MLSRDHCKPFEKEGGLSGRTSSFKLTKELLILQAEENSRLEEKVESSKKD >CRE21484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:80631:81230:1 gene:WBGene00079698 transcript:CRE21484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21484 MRTEMRWRKKCGKILDRYEKRWQNKFEERVKWEVEKRLGEGPSIDYYQKNHPPEEQQKLVENVMKKRLETTKRDSLKLDKTQKGSLSEELKFENRDPIVLTTQLIMSSNLMVVEKPEVKKTIKKVKFDTNPITYYETGVGVDQVEMPVPSGRGDSSIMTASIKQLKML >CRE21476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:4033:4623:1 gene:WBGene00079699 transcript:CRE21476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21476 MLFFHSYTILDALELSKNDSNQIYVVMLYIDRLLEEKVEGFDLHLETLRDLKENESFFRNMVLLGDSYYKTNASEYEEIKRKYSDARSGALFNYFDLLGTFYQNRFSSTCQIIERGISQIKKFSDNEFNALLKFVKLAMNIDRNEQYVVATSLGKSMTDEECSELWNHWNLEGRKKEMVEVVKAMHDNFNLNSCGI >CRE21507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:78326:78967:-1 gene:WBGene00079700 transcript:CRE21507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21507 MKFFQFLLLSAVCLALLDARRSRHRQHRRQLGEEDGGNELERSGQRKLGGGGAGAPGGGAPGADAGAILGGVSGVISGAGSVAGAAGAPSAAPADAGAVLGGVSSLISSVGGVVSASVPAAKA >CRE21477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:22137:22529:1 gene:WBGene00079701 transcript:CRE21477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21477 MRLLYCALLLSIVIKYVDPDNNDTDATEPKVLKNFFHSRDPTAGSNPSILHPRARHSTDPSSFRPRMPSYLVNSPLLSKRDKRNGEYSDLAENEKVTRK >CRE21486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig153:138025:139343:1 gene:WBGene00079702 transcript:CRE21486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21486 MINFARLYGTMANVRLDTKQEEEIEYHSDKKSLSHSIFSHQPDPSLGYGDTTTGSNLYNVLKKFLTNKNASICGAQVFLLLKRYPNESDVSDIISQLRANRVMVRIAVDSIPSGGSNSASLYEMSYQTNGYCLFATDSDLNNGFYFMTLILGWPYQFIAQNFVVSGSGRIEVPAFKSPIPPGDEDFCPFAITVQNHSKNNLKVLTISLIIFAAVDNSFVSMNYTIGSTDGSFVYTFPGNQSLPLYGNAQTDGVYCNGSLSYKWTVDYQYNTDAPQIIQLRMYSEYYHDFLPLPDV >CRE03566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1530:3828:5853:1 gene:WBGene00079703 transcript:CRE03566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03566 MDRPKPFPILRLPFLAIEEVFKSLHPIEIINLSMISKRAKAVTKHMTFYSKYDVCLRINEGLGIAIRVENKLMSCTFLMTSDKRMDGYVEEYGNVYISRSVYKYSKDPIEEWKLLSAHLLETFKKQTIHLLFITLDDFVNHNVPIIDFLRKNVKSVTECQLYQKEEENDVDEHAAYLLNNLKVSNKLDSLLHIKNDNFGGKIPKNLKELYIRNSEWIGYERLLEIDCEHVTLRDNQITNEQWNLFLKKWMAMETNQNLNFLGLDYWDLEEFRADVLYDIPHEVVDGGVKRVLKNFPDETEEISGGIDIRRIDGKTATFFLDYNVLSMSCEIYIDNSQWFGYESLLEIDCKNVIIKKNRISNEQWNSFFKKWIAMETNQNLEFLELDYRELDEFRDHVLNDIPYEVVSEEVSRIFPCRYNPAQKINGEIDIRRIDGKTATFFVLHSIWNGSFLMCIH >CRE04448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1531:34:936:1 gene:WBGene00079704 transcript:CRE04448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04448 MIVNNYVAMRAVAMRAADPLSPQMVLTLHAALTEGTLDDPADAGRLQRIDDHRVSVTTHDGMVVHTPPPAAELERRMEELCRFANATNTGAPAGNAHPYIPPIVRSIITHFMIGYDHPFADGNGRTARAVFYWSMLHHGYGLAEFLSISKILREAPGKYGDSYQHTEDDEGDLTYFILHQLRTILRALDDLDAYISRRRAETAALRAGLERAAEQFNARQTQLLEWIDREDVLELSARLVANRYRVTTQTARNDLGLLESLGLLRRGTTRRPITWTVASDYSARLTVLARPATEKGREQG >CRE12434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1536:67:686:-1 gene:WBGene00079705 transcript:CRE12434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12434 MPNLFTQVIHIEMVRSTRLSLEQQAQIDLMVELGLHFSEMSRRIGRSRDCIRRYVNDPLAYGTAKSPGRPRILNQREERAVVRCASNTVKSANDVIAELDLKASKSTVLRTFHRSGHLKRAVLKPVPKMTDAHKLKRLAFAKSNMAQDWSKIVWSDEKKFNLDGPDGAHSYWRDLRKDPMTFSRRNSEEDR >CRE10756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1538:917:1186:-1 gene:WBGene00079706 transcript:CRE10756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10756 MHSISLIALLVLVAGASAQCFGGGCGGGCFGNNCGCNGNNCGPQVTVVSVPNNNNGCSCNPCYGNSCAPRCSYCPNNFGYSSCCNNNSK >CRE10758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1538:3710:4219:-1 gene:WBGene00079707 transcript:CRE10758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10758 MDESSQFSIIHSSVHPPTMQSTTLLALLVLVAGASAQCFGGGCGGPIFLPPPPCFGGNCGCSGNNCGCSGNNCGPQVTVNFSCCPFRFRRHNTAVEATEETTTSAPTQE >CRE20930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:190979:191253:1 gene:WBGene00079708 transcript:CRE20930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20930 MVFTPSGIVLTLPMFELYEGPLVNLVGVTVAFYPSLEPIVTVICIKDFRKLEFRKRNEVDRVFQLRNSGGIT >CRE20917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:5360:6498:1 gene:WBGene00079709 transcript:CRE20917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20917 MLSFTICLLFLIFPSVESNTKIVVVWGVPVEQSAVKVNDWNKCITQCLNDVKCVLIQKTGTSCNLFHLGSVKTVRKTGKESGQKVGFKRTIDNCPNSIDPPLFGKSSVSQTFVYNGDNYKYNITKIGTTGWSFGFSNTIQCPDDSFLSVRNKNQVCISVQLFPGPNFCKMQADGIKLCQSIGAIGLTGPYTYPEGNIIANHAANKLKETTSVKYKYQNFWIDGDRTGKTTVKVSDPTLNGVEGYDWQTSLTKVNGAYSCVYLGTSRDFFGKTYAYECSADKAGGFCMRGAICRTKPVTYY >CRE20945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:216605:216994:-1 gene:WBGene00079710 transcript:CRE20945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20945 MKRKYKPSTSPNQNQDGFSEEKKTKRDTKPDRIVSRQRLKHGKGPQRKMKLRDFERSETFPTPEEEEFFTENVITSNEPHFRRHNNQFLIADTQGLGMSSENKRAPLKSAKNSK >CRE20942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:173058:173294:-1 gene:WBGene00079711 transcript:CRE20942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20942 MSFSRKSRTPDQIDAIRDEMLSTVEIAIREGDDEARKHLMEKANPVGKGKCHCRWRSPN >CRE20944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:183362:183930:-1 gene:WBGene00079712 transcript:CRE20944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20944 MEATIVCAVPELRMFSVISQDMRSTDMEAKECTVGNVYFTDDGKMISFPELPSDLWIPDYVLMKQEHHRQKREAHLRLGGPNNAINRREKFATPLIYKYFKVDALEKIPQFDTDPITNPAFGVTNELLRDRAKKYDQERKNSLGSQLIVLKCIRIRQYWYRKFTRTHTSVRF >CRE20927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:153568:155972:1 gene:WBGene00079713 transcript:CRE20927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20927 MIQKAFSMKMDPLDSGAQEERKPEKLSVTSPPKIPEKEQKPERFENSGVLKQAVTVPKMSKSRQLIVKTIRERVPYYPEIGDSEAPTTIPQTVFRKFKTDHNKVPAYFEQVRPTRTIETVPYVAYDHPALDVVTEQKPERFEDSGALTLASTVPKTTLVRERVPYYPRIGDSEAPTTSEAPKIPPKVLRPPGIGTRIDPPRKPVPYFCRQSYQTSPKFKSIGAAKKPKLTDKKDSGVDTKTLYVAMGGAPKTESIPYYSEEDEEEEEMDFDSAPTSSEAVFRRPRISPRRDPIPYLGPQQYRISIPEEMDFEPRIPEYDLSSEIQKQPERVPYFPEAEPEEAEPKERQYNLRSYVYDKTPPPLPANRQAPPLYVGRRFIARKVPTTDFLQKKQLAPKPPPPVATMSAFDPIDSGIEPNEWVEKEPKKELIVLKGGWNGETKDTMTPCSSSGFLTRPSSKPSKVMLAMELFNNQKHVPTATPIKEPLRPHSDEFVDSAIEEMEIHLKTFSKEFSDANSKLTPAQRQMYGDRLLGSVKMFQAVIKKAIMKDPPI >CRE20934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig154:217701:218269:1 gene:WBGene00079714 transcript:CRE20934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20934 MSQEPSDNHDNRCNRMDPFRDIRLKYSSRRKLNRALKEIGYRGFYGLNRNQLHEMSEILYIINFKMRENEKIFYQENGRMLDCCDVIAKNPSDVPSIEDGVNLLEFYNSKRNNPAMKITDYQFVVMDKHGRYYPISELFICRVPTPCSSCQNLPQAPSTISQKSNKDADSQKV >CRE13203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1540:97:822:-1 gene:WBGene00079715 transcript:CRE13203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13203 MSRAVQYAKQHEENSRRVKEEFERKLEAAKAENINLRQQHSAQLHAIRSMMTNHLMFAELHPEKLIELCGSFCSVLGAMLEQHEDVEVSKKTKDDVNLKIAMILQEKNAENASTQGRNITEYLDYKLCSGNFPVSSKNLNKIDNEVRKLRNILHQIQSSIIHLTPEHWDQETANFIANLKSDLRETTGNLLHF >CRE15163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1543:3431:4561:1 gene:WBGene00079716 transcript:CRE15163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15163 MVFIDFKKAFDTIEPTALWESLKTQGIDSVYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHLKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINASKTKVLRNKFASSHQIHIRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNFKNTTNALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKMSQVKDPLAFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE15162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1543:1475:1909:1 gene:WBGene00079718 transcript:CRE15162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15162 MDVYQSKRTKLTRPKTRKTDLRICTFNCRSVATDNRLAELLEETNRIKYDIIGLSETKRSAEVHMTNRDGTGVILGKRNNSSVSGGVGFIINKSLMPKIKEIKIVNHRIGYITLQVNKNQCTIIQGYAPTAEYEEKNTQISTKI >CRE15165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1543:1:5432:-1 gene:WBGene00079719 transcript:CRE15165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15165 MAERQTVLGKENHPGENRYFGGLVGNKEIFVMTMIAVALYLIEGEHAKFVCTALTSVPPAIFSYKVLMNSNSTKEGYHSILFYWTIYGLLAVLDQFVGSPQGYNLIKGGLLGSVFLHSFRSNPHAFPIPWNTVDQTTGGMLTSIFTRYDSQGFIQKTESSGFDPRSPTITQFSSDDESEYMPTLLENELIDVSTACSFQPSLPMQSTQTLSPDFIYKTAVAKTTPIENEDDNAAGDNNINCGVKKSYEESSLNLQMAERQTVLGKENHPGENRYFGGLVGNKEIFVMTMIAVALYLIEGEHAKFVCTALTSVPPAIFSYKVLMNSNSTKEGYHSILFYWTIYGLLAVLDQFVGSPQGYNLIKGGLLGSVFLHSFRSNPHAFPIPWNTVDQTTGGMLTSIFTRYDSQGFIQKTESSGFDPRSPTITQFSSDDESEYMPTLLENELIDVSTACSFQPSLQCNQLKLFHLTSSIKLQL >CRE15838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1546:4901:7505:-1 gene:WBGene00079720 transcript:CRE15838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15838 MPLKFLNLPYLVQESILRSMNHGENFMMAQCSKRTKYCVIRARLKIPRVWYSLNENYRYVGIQKDGETQLELVAALINWKIDRDFVEVENWDDYDDYGLTGWSQTSDGQKLACTSVLNFKGTITKIFYDHIQLLFRYIEPIALEISVNLFSENMPIFENVSTVFLVGNVLEMKDLDIFFTQYPDLSALMIEPSINGVLADTSSMFEIETVYLLTSRFLATDLLMKFVECSKKKSTHSISVEATAMTLSERVTGEELQFFVILICLRL >CRE24736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:65510:66710:1 gene:WBGene00079721 transcript:CRE24736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24736 MNRSSFKPEFLMPADLFTLMKSLRRGLVVGSKQIVNRVSEDDATGGHVCFLPELRFEMDNFCGSNSVLRKLHLLSNHCIYHQDTSIYPIRTGVDHPEYQLRKRTFDALKMAYQHLIQMWKDTPTKAPFKCKCLKRDGFHEKLTPFMTMISHRDNLFESWTLDRRSVVVEEGVFRGTAVGTLIDKMVNQFFKHWIRGSNPRMELARFVFVNEVTMDPIEGENEEEVKGYDIRRNDGTVGTISIEQTD >CRE24754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:136980:137405:-1 gene:WBGene00079722 transcript:CRE24754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24754 MKCVFVSILVLLSVFSVDSQASLSFGNATPNTPGVSLTAVNRNGQLVVTVLWAFQASQCEILQRTDETVNSRARIVSVTSTCIHRNICQGRGPITTGITCEEFMSKLN >CRE24753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:135117:136140:-1 gene:WBGene00079723 transcript:CRE24753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24753 MNISLVSILVLLSIFIGTVHSQPASLTFGDNTRNSPRVNLKATNQGNILVQTVTWSFQPSRCELLTRVDETIHERVKFVSKTSVCTHQDLCSHSTFVTTGITCDEFMKVLN >CRE24756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:140220:141103:-1 gene:WBGene00079724 transcript:CRE24756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24756 MVTSFYEPKAKFAAKVVGKCHKEGVVLVDTHAEYDKPHKKFFCLKEKTPTKGDLVDVVNDKGVEEPGTVVDCPDPKMSIFCVATKKANKHGHVFSGDKENYSLIGVTLGHVDKKNMILEVFSLAEHHDEICKTTGVCNT >CRE24760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:171915:172669:-1 gene:WBGene00079725 transcript:CRE24760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24760 MMADDELGDEVKVFRRDEGTVNDPIISGETSEQQLADDEKETVMEAELDGTGGAGGSERVPTIGGLKTEAFIKAEPSSSFPMMPGMMSPMDFQ >CRE24757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:145746:147410:-1 gene:WBGene00079726 transcript:CRE24757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24757 MAFRTTLVHWYRIRDTIDNEIGTQLDFFGYGDNPPADMPKSPPRTRNEIPPLLHHKTYVSHMVKDGYQFSMDSRIFATKSVKMNTIACPGDSGGGAIRKIDGRNTVVGVAMRGSCEKMVRGRDGFEIHASVGYNHDNICESTGICNPPRPDSSQFLSGMLVFIVVFIGALWEEK >CRE24758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:150031:152624:-1 gene:WBGene00079727 transcript:CRE24758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-try-6 description:CRE-TRY-6 protein [Source:UniProtKB/TrEMBL;Acc:E3N963] MNLIVIICLIALIESRKLTTEENEKRLEVCGLTGKPKVFNGRPTKSSEAPWSVMVMTKKGDEEGGFCTGTILSPRHILSATHCAATGDENEWTHTDVKFPSPKEKCGEHNNLIVTEVAASRVHVRTRNLTELGRAKFLHMFQFCRVVNKGEYEVQYADDIMIIELADDIEYSENVQPACTASALLRDK >CRE24751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:126392:127191:-1 gene:WBGene00079728 transcript:CRE24751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24751 MTAMKTRRRKLKFIVFGNCEDSWETKIPFTVRAPSQYKTPTPLHESSQFPNKMNFNFLLLVFIALMCLSVIEAADPDIGGIFSGVSGLLGSIGGLAGSAGGKKK >CRE24750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig155:58967:63806:-1 gene:WBGene00079729 transcript:CRE24750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24750 MSTAFTVLRLPEKASKFVIQCMEYTEIVGFSLASNKTKEIVRSLNLNMERIDLAVNNIITVRLDSKEGSPSSMEWSFYPQEDSPGPIPVYMPARVTGMITQQSFVEYQNPDEVFMSLKIGLDDLLLMNSKDITIQSPTLTDKMVNQFFKHWIRGSNPRMELARFVFVNGQVVRKEIVLKGLQYQEVLMDPIEGEGEEEVEGYDIRRNDGTVGTISIEQTDQGCDVYFQIFDRF >CRE22241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1551:4801:5415:1 gene:WBGene00079730 transcript:CRE22241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22241 MHVSLGLSTVVLLFCGKFQPLGTVANHILPAQSAFSSRVVKRNEDLRHQVLGELNEFRAKFADAAQVSNMNELTYDLELEKVASQYNSCHLDRDTWKRLEHNEMNYYLYKKQLENDFVEHAAFHRNDTEELKKLFGNEDLFVAALQPNVSKVGCYHFPSLCVHKIWSRAAIFTDVKRSTVRGLCVFGPK >CRE21673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1552:90:3930:1 gene:WBGene00079731 transcript:CRE21673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21673 MLKPSGVLAICIDSRELFHLGQMLDELFGEENRLAIINWQKSYSPRSDNRHVSTATEYVLVYAKDERTARTGLQPRTASMDARYRNPDSDLRVWKGENFAGPKALTHQGMVYGIQSPFTGEVHYPPVGKCWRSPQNDVLRWLADWDVEYELRTVDDAATRAEIIGVQPKDVRPVKAVMLQTPLPAASEAAKKRLELGNWSRIIFGLNGEGRPQQKKYLDEVKKGRVPMTYWADDDMGEDPDVLGDVSWEHQESGHSQAGVNELTAIVGAGHGFETVKPMKLITKLIQIWCPPNGIVLDPFAGSGTTGHAVLHLNHEQGSERRFILIEQGRPENGDSYAKTLTVDRLRRVISGAWEGKRKHVGLGGGFTFKVLEKKVDAKTLLLMERDEMVDTVIASHSSTADRYIDYVGEPIVRGRRGNTHDVPFYQSLSSITGSGKTAILAQAVSEIVAMSEIPPVILWLSKGKVVVQQSYANLEDGGKYSHLLPDITVRLLSQYDPEDVANEKEALLYFATVGTFNQRDRENSNLRIFALDTDNIESTRWEALKTRETSDGRRRPLVIVYDESQNLSDQQTTLLLEQQPTAFLLASATLRFPAQFDAEVIQPLKTEGEYTNDDLITSIRSATVVSSGLVKGLISLDGLNTPMEEAVSEMLTAFHEAEEAARAERLSFLPKAIYVCNTNVLADDASQTDDPKQPFDQRQAPPILIWRYLTEQCGIPAGEVAVYADLKTHKDSPLPADFSLFSGGDKDYENFIDGDFRHIIFNQTLQEGWDDPSVYFAYVDKTMESSVQITQIVGRVLRQPGATHYEADRLNTAHFFVRVDKNDAFTKVVDDVRKGLGGDAPEVRVLTSPPGIEAPVSLFPKESRTVPRTAIDNLLTIGPVEKVLDKVNDYTRDTANTRGAGRRRTVTQTIGAKDTVDSEWIEFEQSNRVNARWAFRREVARRYRPALFVMHTDDQKFDAKVGVGSRAYSSLADNAAEAVEEYLRNAVVKQLKPKPYEVGGTLVRRGAMDTFQNALHEGYDGLNEFERRFARALDDTGLTWARNRPQTGYKIPLVTLGQTVWFFPDFLVWSGDEVLCVDTKGDHIVEADARRKLLSIQPHKDVPTTVKVKFVTEGTWKADGTRDSKDGFSVWELGSGQALRTLPHEDLEALVKSLR >CRE20635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1554:7400:8399:1 gene:WBGene00079732 transcript:CRE20635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20635 MMIHVVSSSQFEKRITISVFLFLIPPIHTAFFSFSSPVIPIFHASKMMIDRRNKRSLQIFLLLITFLICSGAFFYFYSNPEDEVPDYFPPEVKQSHKTEREIALEKVRQLQQNGINVPSKITFDRKIISGFSNVASETFYDDQSKNVVPGNVDNSGFENAVALFQKLNSSIVLESPVNEHGEKMTADSFYDEKLKQKQEEDGVGDHNPANQNISQNMDPVSTNNQQSEQKPETDPVENNSE >CRE20634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1554:735:1310:1 gene:WBGene00079733 transcript:CRE20634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20634 MFMIGAFLVLLFVFFKRIGEIKTEYLLRVQEMSEAMDDLVQDHDEELNFERNIASAHCIKMRMQQRDYNSKVGSLQRKLKEKSEAMKALNSKLTALEWAEEDANELVDELVKAREQIRMRDELIESLRNQLKATQLKKDETVQFKNNTLQENKSSNILY >CRE23822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1557:7923:8200:-1 gene:WBGene00079734 transcript:CRE23822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23822 MGDGMDDDLERSMASGDDKVSMGAVQMSLAERRKRTVGFANFLATVGQTNRRRAGAVEEVSQGVDGEVQGVGRR >CRE24186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:110517:110855:1 gene:WBGene00079735 transcript:CRE24186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24186 MPKKSEDVIPEDTSPPEDAVSPGPPPPPITELVEEEQPDDQEVVAQQSVRASEDVVVEAESPESGAQSPKKEKPEIVLLIERLVGYRQKMIEDARDERDNYLKLHIRRLSLT >CRE24198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:159890:160823:-1 gene:WBGene00079736 transcript:CRE24198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24198 MRILNLNWILNPIFETMLSIKKTFFLPKVSNRCESVFFIGEEKEFSQIFRHFILTNFDILVSYVFSLRN >CRE24179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:62697:63023:1 gene:WBGene00079737 transcript:CRE24179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24179 MFRMAGRRILNTDPEYRSASDRLIDYNLQTLKDRREHFDVKFFQKLLLGKIAIDHNNYFSYSPTKTRRGHSYRWKKSKTKISRLFFTNRVLNKVVNQSSRKNTDSDIS >CRE24185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:105849:110170:1 gene:WBGene00079738 transcript:CRE24185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24185 MSLDQHQMHAFEEMSTAMLGEMHAKLEKTREMLKEIVGAEENVEMPCSDEPEDLIAAISRIIADKDAEIQYLKDLKTNPGAAIDSKMNAYLSIIQTQLLSQNESVQAEKLKKYKARLQTKNVKIRELRNENKDLNEKLVDGKKKFIDKENKLEADIEKKNNLIQKLATGMQDYMKSTTANRKEFEEDIEELTENLQEAEDEIEKMQVEARERDERLKEAKEQVKKESARIEEMKVELKETKAQLKECLDDMRMMKKEQKEAELKRENKEKEIEDLMYIKYLSKVNDTPPTPQQLPPPSVLSPRQQQCQTNYLSITKEFPDALRLVDISQLVDMIWDGMTMDDLRKTLMRVRKEAEQREAENKGVVSSGY >CRE24199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:166875:169262:-1 gene:WBGene00079739 transcript:CRE24199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24199 MSWKRQFLLFQHFYSKKIPFFSQFFFSSKNPISTIF >CRE24183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:93693:94189:1 gene:WBGene00079740 transcript:CRE24183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24183 MLAFFFFFVGFGAALDVGGVLNRVSTQLNSLTPNSDILTEIKANVNPETELSEKFKEQLNDLLEKIKDAVDNGKELKEEILVKLKEALKQLKDMKVDIGNKARELIEEIKGKLGSESLN >CRE24194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:149932:153734:1 gene:WBGene00079741 transcript:CRE24194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24194 MNRLPYYHDEARLQYTIEGIELMYERKKRCKKFADTLYYCEEEEKREKEEEEKKQKEEEEKKPKSPTFFEKLKSCFFRPTQKKLEAKVLEEEKPDDDDDTRTPFYGQDEEFEFLLKALRERLQMRNGVNDLQLALDKEMHSHLITLSKLLAADPSCAASMRPTERRLRESIESDKALLTTLKSENTKLKRDLTQYKWDPSSKRFISQLQDQLESANRQIDMMVDHHELKLKQIELDHGADCEGYVLQVEKKKLEVKALKKKVAEQEKELEALREKLKEKEQEKLIDF >CRE24191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:136787:137793:1 gene:WBGene00079742 transcript:CRE24191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24191 MPIPASLLASQEDLDKRAAQGERHWDNEDYEFNLQLNAVHKNMEFLLKEKPSHAKLVELCEKLKLEKKFTERQSQTIDDLHNALEAEKLKSKKLEEELKKERTRNFQL >CRE24178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:55990:56657:1 gene:WBGene00079743 transcript:CRE24178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24178 MCSNLTTGGTSFDNNTSYDDDYENISNLNVKSFNETVDLLNESKLPNPISVGRKQVFTENGIHRSKIAELESENSELKNYNKKLENEAEGLRKNLKEVTAETVKICEARIESIDLEMGNEQVESREFRRAFEMKKLELEGWRKDQRIQELEAQLENLKNQNQKIRIQKPTVAVNRKIKKFRQIKKKES >CRE24196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:63338:63965:-1 gene:WBGene00079744 transcript:CRE24196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24196 MKYLLLFVVFCITILKLSEGCLEKKCECQPFTDLVQDSNTSLYMSYTKGAGCDNKIFCKNDSILTLASYFFSSELEMPENAMAAFIMYPPNDVKYDEFEAFSQFGIICENGMWSTTKYPKVAYLVDGIVHDFPLPFSQYDGKKSGIARFACLK >CRE24189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:122159:124580:1 gene:WBGene00079745 transcript:CRE24189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24189 MTTENLSAAEIEQDKQLRRDPSEFLKEINEKLEMLFKEKSLHYGNPLSGAAGAAEAAKKSQSEHWQEINEKLLVLLGKEPPPPASQSPVVIS >CRE24197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:92564:93092:-1 gene:WBGene00079746 transcript:CRE24197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24197 MKLSILVLAFFFFFVGFGAALDLGGVLNGVSNPLNSLAGNSGGNSVNVNEDPQKDLDEKFKELDELLLEIKESSIDKGNAIKGDAVQKLKEIRHKLKDLKEEIGKKAKELIQNINDKGKDYLQKTLDRLT >CRE24180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:72573:75843:1 gene:WBGene00079747 transcript:CRE24180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24180 MSSTHHGPFCGCSKTDFEELQKFVRQLTSGDTILDNRETNFHKVLSHQMNRCTLSDDWERIDTPRTDVSPEIKTEAQKLAEFREAAEWEDMGMLVKRKKPNQQEYIDHLERVVIEQKEKMGDMVMKDYDTRAFIRLKDNIIATLDDEKDFCVDLYLKAFGEENSMRQENERLKEEVERMKGKLEMTDYVFVPPTTDADTKFHFNKFKLKTSFGHKKPGSRPNNLELIKAKKELEHARSHIEVMRKVHAMEKQQLMEEIEKLKGKRGN >CRE24173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig156:7359:7579:1 gene:WBGene00079748 transcript:CRE24173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24173 MLPSSSAPPQQPSSSLDSFGELQINDQEMDSTPQTQVAPQATQLLQETPQQKERQGCFV >CRE01492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1560:2059:2488:1 gene:WBGene00079749 transcript:CRE01492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01492 MEIDCKSVVLRKNLIWDMKWNVFLQKWIAMETNQNLEYLELDHRELNVFRHRVLYGIPHEVVDEGVKRVLKIRSDATQEIRGGIDIKRIDGKTATFFEYRTTRIQFLAMSVH >CRE26009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1566:3065:3535:-1 gene:WBGene00079750 transcript:CRE26009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26009 MFHFRFEFSLVSSKTKNLVTSLGLKASDVDIIISRSIRVAVYVGENLKNHLTLDFYKDSNDQNAVADISFSVDAPFDYEGTRIQTSILFNFSNWLKHIQSLFGLNQPRNVFFYHGCERFDVQSLKDTIGNVNFLSLCNQLTNVLSISILPADCFCG >CRE30603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1568:332:798:-1 gene:WBGene00079751 transcript:CRE30603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30603 MNSSVSLSDVLNGGWTINDESIAVAAEEIHPAADNDEDSEMSDLSQNSNVENDYDGKTKETHSEIDVDALRKTAKVDEKIQESTNSTCKAASNDSNVLISPPYYDTSIKPEATLQHDQYSSGK >CRE30320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1569:11547:12155:-1 gene:WBGene00079752 transcript:CRE30320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30320 MNGLYVPVRVHPSDGYLVSCWENETKGMKTITQYVTDLFNIDVSEVWASKESFHLIEWVNRRQKTPLKKVIYMVCSIWPSENKKMIYLLRDCTISSEICICSDAPLDFRFSGNFRRIDSLYISYGQWVTIDNLLTMDGIDIVLENASLTNSDLNVFLRHWLSGGCPRLKLFCATTDSVDILQVLAGLQRNVVFVENRRDYTR >CRE30318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1569:3345:6890:-1 gene:WBGene00079753 transcript:CRE30318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30318 MTSPFPLFHVPNLPLARIIDFMEPNELVSLSFCSQKNHSVIKTLQKTPRDERLLVRGANIGVPFLSLTNFGCVLSTSNIAYISSSEKEEYVKLGGQKVRVKMALGEYLITYWEDKLNGLKAITDYVTDLFNIDLSEICVCKDTFKLIEHVNIKQKTPLEKVVYVDWSTSPSEDEMNYILRDCRCSSQICIYSEALPNFRFSNNFRRIDCLDISNSNWVTIDNLLSMDGIDIYLGKASLTNSDLNVFLRHWLSGGCPRLKLFSARTASVDLLQVLTGLLRNAVFVEGRGDYTSPFGYRSALSSGYDIQRADGVTATVCKQINGTLVIAVCLIIWIISVLFQTIKSLLIPNIPLPPPHFPPSQTVSIRQPDVPEPTSFPLLLVPYVPLRRIIDFMEPKTLVSLSFCSQKSHSVIKTQRKAAFNGRLCSSPFPLFRVPFVPLRRIINFMKADALVALSFCSQKSHSVIKTQRKEPFNGRLCVSERFDRNLSFRTFRNYDCVLSVSDCSYFSNSERSDYVKMNGQDVPVQVHRSDGNLVSYWRNKMDGLKTITDYVTNLYNIDVSEVCVSKNAIKLIKWVIRRQKTPLESVTVCGVTSSEEETIYILRDCETLSQIEIASFSPRNFRFSEKFRRIDFLDIWYGKWVTLDNLLTMDGIDIILGSSSLSNNDVNVFLEHWLSGGCPRLKLFCARIGTVDILQLLDGLMHNVVFVEDRRYYTSPFGYRRTLSFGYDIQRADGVTATVCEQENENVVIAVWPETTQNYN >CRE30319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1569:9963:10514:-1 gene:WBGene00079754 transcript:CRE30319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30319 MNGQDVPVQVRRSDGNLVSYWRNKMDGLKTITDYVTNLFNINVSEVHVSKNAIKLIKWVIRRQKTPLDSVTVCGVTSSEEELIYILRDCETLSQIEICSFSPRNFRFSEKFRRIDFLDIWYGEWVTLDNLLTMDGIDIILGSSSLSNNDVNVFLEHWLSGGCPRLKLFCARIGTVDILQSLDS >CRE23715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:83887:84409:-1 gene:WBGene00079755 transcript:CRE23715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23715 MNVSTEIYHYNSWRNDEEKETERLVTVIFILAIFSIFILTIAGAILIGFLKPQWFRLNIYQDQLPQMTDCSCLNICLPCQSCSFRRLLNGCFPRLVSRLYLSVTSNSFQFQNLKQRFNCITTCCTRQGPDKLNLVCCVV >CRE23705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig157:87532:88465:1 gene:WBGene00079756 transcript:CRE23705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23705 MTTSIAKIYEGCKDDFMKNLNVQGTCEKQLEGLADRKFQLSYFKLAVKDEYTKKIKDYFCVTYPGVEFCPATPSPAPQQAAPQGPPQLTPEQIQQQQMMIQQQQMMQQQQQALSMFTTPSGFIGNNPGISIGLAVILISGFCFFAAFAISFLTAKNQKPTVNKGRPQNRARRQSKNGNKPKKGRSSSVSKLEKGKRIEKKEEIDGNEEKEDGKEEKPKSSYSKSKIGKSKKSAESTS >CRE05341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1572:421:1125:1 gene:WBGene00079757 transcript:CRE05341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05341 MEVSFWINSRAFVLDGTEEHVIDIPYGQKNRVNWEDENHESNFIFEDISIRNIIWMFEQMSYVFNTDIHRIAMSADQCFGNVSRILCWLNYRQRSIEDLRIDFNTLEDIANIISLCQTLNIKRILDIANFSESHTGKRLNPKFEMDNLWLRANNLDQWITLDNIMDFDCIHVDLTSFAFTSSDMNRYLKAWVNGCNFRMTYLNLGLRPLDHKILTDGVEVDEVNASIVRSYNSK >CRE07156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1574:1251:1553:1 gene:WBGene00079758 transcript:CRE07156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07156 MSDEPAAKRSRNADEFPNLRSDVAGDVKDKLIELDAVQHQLDVMSEKAAEDVLRVEQVFNKKRMPIYEKRKNLTTKIDNFWQTAFLNHYFQTSKKICSVL >CRE07940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1575:3822:4646:-1 gene:WBGene00079759 transcript:CRE07940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07940 MNFKTVLFFVFIVGYVTACLDYCPEECTDSGCNLWVCESEFDCFCDDCDWYGGQLALSELARVKKDVIRAKLNTKK >CRE07939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1575:1056:2567:-1 gene:WBGene00079760 transcript:CRE07939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07939 MMGPRITVETLGDRRLFIHLLVWAPFVDVRRGHPLKCEYQSTCLLTKSFKTSPIQTFEATILQFGCLNYEDCCGLECCGDSRTSTVLICGIFLFLLATCVGYKKYQRYQIKKNDEMAMVTTNSALQPLLDDTNIEVHAV >CRE23087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:60781:61119:-1 gene:WBGene00079761 transcript:CRE23087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23087 MPGSRKSEFHATLIFTHSISQKQFNQFVKHWIRGSNPRLQHMILSIDIIDFACGEVYLNGIRWTVMKEEAKQKFREKYRLSFVNMIQIKRKDGTTSVIATEESENIHFIVLD >CRE23045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:244:1314:1 gene:WBGene00079762 transcript:CRE23045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23045 MEPAFPLFRLPKNVIIEVIKKFPLRQFKKVLKSFNAPSELYLYRNPFEGACQIQQIFIQNFEMISFYDVYSLDDMLSINIEIVNFTHPISQKQFNQILKHWIRGSNPRVEDMSLSINKTDFVREKVYLNGIKCIEMSEETKRKIRRKHYQPLYVDMIQIRRKDGTSAVIATEYSENILGLHFIVLY >CRE23062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:89678:91173:1 gene:WBGene00079763 transcript:CRE23062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23062 MKTILAVTLVMFSVRFGYTDFINKCLTEDEYKKRNGHDKRSDHMSIDRKKTAEEYQIANMHELKYDMSLEKEARQMKSCDDFKHGVNYRVQDYGGKISHPIWQGFVTSSVNKNTYLFMEEKHPLQTSFLHCDLTTPCHLDALRADNFANYSRYYIILFGPRGTLSLSDFQHGPPGSKCTHGKTELGLCIAPPRSEMETSTPGSKIETTASPRSEMETTGPSSENNGESEEVNSIFVYLCIAFMSLFL >CRE23055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:44199:45116:1 gene:WBGene00079764 transcript:CRE23055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23055 MLHFRFFISLVSSKTKNLVTSLGLRADCVSITITSILSISVDIGRARFHLIIFNNSNDENGELTADITLPGSAFFYFEDNAGIQLTTQSFNFSNWLNHIQSLFCWLKPPNVYFARGCEIFEVQSLKDAIGNVNDLFVPRQLTDVLSREVLKQFNTPNILYLHKNPFEDVSEIQKFFIQNRKSIIFDDVYSLDDMLLANSKRAELTHPISQKQFNQFVKHWIRGSNPRLQCMSLAIDKFDFPSGEVYLKGIRCTAMEEKTKQKIRENHSLSENVDVVQVRRKDGTPAVVVTKDSEHVLYVRFIVLY >CRE23052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:37699:38044:1 gene:WBGene00079765 transcript:CRE23052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23052 MEPTFPLLRLPENVIIKVLENLSLRQLFEFSLISTKTKNLMASFRLRADYVDIQICRMIRLDVYFGGYLFNLTIYNDVQSIQN >CRE23050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:32341:32760:1 gene:WBGene00079766 transcript:CRE23050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23050 MAPPSPHRSSILKLFKAGVVPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRRGRGRKATVVTPDRIKAVKERIRRNAHRSIRKMAEADLQNIGPARLGPSARPGPARPGPVEKVAGPARAGPARVDGPSRAGTKFCKSA >CRE23053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:38665:39742:1 gene:WBGene00079767 transcript:CRE23053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23053 MFKAGAIRRGDSNDENGELPADITLPVAATFEYLYTKVQLTTPLLNFNKWLDHIRTVFCCTPPANIRFYRGSERFDIGSLKETIGNVNWLYMFGQSTDIHYKHILKYFNTPNKLFLDRNPFEIASEIQQFFIQNYETVRFYCVYSLDDMLLVNSEKVRFTHSISQKQFNQFIKHWIRGSNPRLQSMSLSIDKTDFVSGIVHLNGVRFMEMSEDAKREIRQNHRLTNLDMVQIRRKDGTPAVIATNDGDHRLHINLIVLH >CRE23060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:86044:86955:1 gene:WBGene00079768 transcript:CRE23060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23060 MLHFRFFISLVSTKTKNLVTSLGIKASDVEIRILRSDYVVVDTKTSVLRLELYNDMNDQNRRFPVDITRPIVAGVEYGDTQIRSSTALNFSDWMNHIRTVFCYTLPPNVWFSPGCENYAIGSLKDAIGNVNKLSLSRLLTNISHREVLRHFNTPNRLHLHRNPFEDTCQIQQIFIQNFQRFSFHDFFSLDDLLLVNSEIVNFTHPISQKQLNQFLKHWIRGSSPRLQFLSISIDATNFVDGEVYLKGIRCTEMSEEMKNQVRRNHNLSGFLDMIQIRRKDGTPAAIGTHRFQDILSVYFSVLY >CRE23059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:85884:85967:1 gene:WBGene00079769 transcript:CRE23059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23059 MEPPFPLLRLPKNVVIKVLENLYLTQL >CRE23089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:63962:64537:-1 gene:WBGene00079770 transcript:CRE23089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23089 MEGVTVKRLCTYGQFEYEKTMKALNYPSEICFDTNQFTSHESLSKFYIQNLDYLDFGDGFLVTLDDLLLINCKHIGVTFSRLREKHLNIYLKSWLRGQKEELEHVCLLASRINWEEVVPYNKEVLLKGLKYTTVSDDVERLFYCSVPDTDGKRVVAIKGGYDIRRKDGRLFTIVLQNDFPRFEMFVWSNDQ >CRE23100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:135542:137250:-1 gene:WBGene00079771 transcript:CRE23100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23100 MTRKFVKRSKFFRQNFSSATHKLTWLEEFRDHQGGILMARPIHCTFGWADLCGSLELRFANSSIFA >CRE23072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:172159:172497:1 gene:WBGene00079772 transcript:CRE23072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23072 MSAISKPLPITQSWAIAIKDFETGEIEWISYTERKHETTYGGNLQWTSQLKLEHFTDPIYSDEENPLFKKRPSKGEKILVNGGQSGVATGRKTGKINRETKIQKNASIQQPK >CRE23086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:60051:60134:-1 gene:WBGene00079773 transcript:CRE23086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23086 MEPTFPLLRLPENVIVKVLKNMQLTQL >CRE23049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:31428:31697:1 gene:WBGene00079774 transcript:CRE23049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23049 MFLENIERYETLDKNSSFPFAMYSSSRKSKVHGLVPGGVRMDLSIDKTDFENGKVYLKGIKCTEMSEETKNDVRNYRDRRLDIHLIVLH >CRE23070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:169582:169923:1 gene:WBGene00079775 transcript:CRE23070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23070 MSIISKPLPITQSWAIAIKDFETGEIEWISYTERKHETTYGGNLQWTSQLKLEHFTDPIYSKEENPLFKKRPSKGEKILVNGGQSGVATGRGKPAKVNRGAKIQKNASIQQPK >CRE23061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:87810:88658:1 gene:WBGene00079776 transcript:CRE23061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23061 MQNTFSVVNVLFHFRFFISLVSSKTKNLVRLLRLEACVVDIRIDRFIDVIVYTQPPYLCLALRPFTLLEFRKWMNHIRTIFCYTPPANVRFSQGCERFNVQSLKDAIGNVNDLFVSRQLTDVMSREVLKQFNTPSRLSLDRNPFEIASEFRNFFIQNFQRIIFYDFCSLDDMLLVNSERADLYHPISQKQFNQFLKHWIRGSNPRLQHLYISINKIDVASGEVYLEGIRCMEMSEDAKREIRQKHKLSANVDMIQIRRKDGTPAVFATKDENILYVHFIVLP >CRE23090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:64643:65059:-1 gene:WBGene00079777 transcript:CRE23090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23090 MDGPMFPLFRLPDKALLHVLRSMEELSLVLFSLISNRSKTLAISANFKPENDLKVLYATNFIFELHLRNRDSIVFNLYDSSLRSCHLPTMELSHFVFSTVLADQYGKIDELIEYKESKG >CRE23084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:57383:58478:-1 gene:WBGene00079778 transcript:CRE23084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23084 MEHTFPLLRLPENVIIEVIRNFAFIQLFEFSLVSTKSKDLVTSLGLKKSNVDIGVSNLLRVSVISGENYLRLTFYDEPKYQNELSPIDITLPVAAYVRYEGVRIQSSTPLNFSNWFNHIKTVFCFTKPRNIRFSPGCERFDLQLLKDTIGNIHVLFLNRRLTDVVSRQLLTQFNTPKILFLCKNPFEEACQTQQVLIQNFETIEFDDVYTLDDMLLVNSEKVRFAHPTTQNQFNRFLKHWIRGSNPRLQRMSLAIDNFDFVRGEINLNGIRRMEMSEEAKREIREKHSLPIHVDMIKIKRNDDIPAVIVTETRESENIHFIVLGGNRREFLPTLY >CRE23092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:73560:73643:-1 gene:WBGene00079779 transcript:CRE23092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23092 MEHTFPLLKLPKNVIIEVIKNFPLRQL >CRE23056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:45857:46894:1 gene:WBGene00079780 transcript:CRE23056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23056 MSSSKFSKTCISENLVNDMFHFRFDFSLISTKTKNLVTSLELRSISVEIKICRVIRIFVYFGKTRFQFIIYNFSNDLDGELPADITLPVAAAFFDWPGPIIQLTTPLLNFNNWLNHIRTVFCYTLPLCISFSRGSERFNIGLLKDAIGNVNFLLVLEQSTGIQYKNILNHFNTPSRLFLYRNPFEEVSEIQKFFIQNFQSLAFHDVYSLDDMLLVNSERIEFSRPISQKQFNQFVKHWIRGSNPRLQHMALAIDKTDSMSRDVLLKGIRCVEMSEDAKREIRENHRLLNVDMVQIRRKDGTPAVIATNDEDVGPNIHLIVLH >CRE23071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig158:170820:171167:1 gene:WBGene00079781 transcript:CRE23071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23071 MSAISKPLPITQSWGIAIKDFETGEIEWISYTERKHETTYGGNLQWTSQLKLEHFTDPIYSDEVNPLFKRRPSKGEKILVNGGGQSGVTTGRKTGKINRGAEAKIQKNASIQQPK >CRE17696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1584:1306:2502:1 gene:WBGene00079782 transcript:CRE17696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17696 MPGIKSDSYALIQDYQSEFLQCREILYVAFSDVKQFGNYQGVSCFNPDLCPVIIDARNGSGAAVRMSTSPHFLEEAVLNSNNMAVFTGIVDESHKVAEYTNEHKLSVAQKFDGLFTTYVIDKAMGIIYLSSDNLDSNWTSIINGRTGIVASKNYGTLSNDQNTLETFTSPSKIVYDMSLVFKKWDLQGDATLTITSSAGGKVISSSTYNSTHLPPDVKFTFGDNLVIKYDSNGPETIGVLINFSFDLGTNNSNWITSTDMPGGTTTKSPPVVSTTTVGTITRGTTQRFETTTKSRTIHSLFVSISLVFISMFL >CRE16552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1585:6420:9206:1 gene:WBGene00079783 transcript:CRE16552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16552 MKNLILLASLVACALAAVVQIPLMKIEPYRNRLIREGRWVEYRKEREIRRFMMDKQQVAAAVGQYVNDYEDEAYVGNITIGTPQQQFKVILDTGSSNLWIPDITCGTKPENCSAVPTCHGILCQFECDDQACCGAGPNYTDSCLLQAKFDASKSTSYKSNGRSFIIEYGTGSARGFLGQDIITFGGIGEPQLAVPNTIFGQATSLAAFFEGQPLDGILGLAFKSIAVDQITPPFINAINQNLVDLPVFTVFLEHEGDQNGVKGGIYTYGGIDTTNCGPVIAYQPLSSATYYQFKMSAIGSGSYRSSKGWQVISDTGTSLIGGPKAFVQGIADAVGATWRDDYGVYIIPCNAKINTVDITIGAQVYNIDSSNTIIPLGDGSSNCIFAIFPFSSGGFGPSWILGDPFIRQYCNVSFRDGKMPFKNSEVVLY >CRE19601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1589:1902:3080:1 gene:WBGene00079784 transcript:CRE19601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19601 MVPPKLFPIHRLPFLAIEETFKAMDPIEIINFSVISKRTRTVAKLMNFYSKYSIHLSVKNSTLKVGLYRTNEMVSCIYGITSNEKKIGKIKEKEKDGCIERKVYNYSKNPLEEWKQLCKHVLDIFKKQTIDDLSMQMDALVDQNVSLIDFLKANVKSVDECCLYQLDKKNNVDENFAYLLDNLKINKELCSHLHVKNDNFDKKIPKSLRELRIYNSHWIGYEKLMEFVLQEMDRNGKSFEFKYLELDRRELDRFRELVLYDIPHEVVDGGVERNLKIFQNINIEISGGIDIRRIDGKTATFFLKYSVFMMSVH >CRE29168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:201965:202482:-1 gene:WBGene00079785 transcript:CRE29168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29168 MVESSDDYKSFVDRIRPLWADFVKQELRGIVKSILAEYGEMEEAVSDERRNIKRRIRSQKEKWESVQRKLQENRKRKMKSEKKARRICKKKMKASNSRNWLKFRELKYNFLARKQVILMSSVYTVPLGTYRCMKDGQGYKDNGHEDIELI >CRE29155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:170035:170857:1 gene:WBGene00079786 transcript:CRE29155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29155 MLIFQRTRSTNDQSKFQHAPISQGSLILIHGLIVHKSEANTSDKSRYAYTVHVMERKNTKWSEDNWLQETEDYKFPYLYKA >CRE29164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:122460:123089:-1 gene:WBGene00079787 transcript:CRE29164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29164 MVKLSWQSTILIVGPSGQGKSTLARQIVEKRNTIFDVDSKICFWYYDTFESVPDSMKNRPDIMLREGLPNLEELKKYKKDQVLVVIDDLMTKIDQNSGMERLVSVLAHHYDMTVIFLLHTIFYSKVIRNLRLQASYIILFKNNADKSSVRCLGSQLMPGACNTFLAIYNDATSQPYTYLLIDLHKNCPDEIRYRDNILPGRITHVFIPK >CRE29154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:129890:130383:1 gene:WBGene00079788 transcript:CRE29154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29154 MFKATESEKKSSVNDDSMTLNEIVNDLAKKNPFLKTPMKRRREEKEEVSAGEKRLEWLKEKAKKAVIPTEQVSTLKPEHIFLENGPLIIHMCLECKKFNSTRTVTNLGEGKIQLPLGLCTICRSHINRQRAVKFFEHELPAIKKTYDL >CRE29162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:119370:120143:-1 gene:WBGene00079789 transcript:CRE29162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29162 MTDFYITLVSNAQIESTISNFKTSLPSSINFNKPYEVALTSIIYPTSHDLISKNLESNGYYENEFLVWYEKKVVKCKVPNCSFTSPSELIDILNQTLNKSLKTLTNASKPDAFLFTYDQTFKRVTCEKMEKVSKVEFSDRLSYFLGIDKICDKFPKHGQYSMFSGSDLMYIYSEGLVEPQTVSHMKVPLLKIISISSQNVGNVEQSFITPLYVPVRCKEMNQIGIQIKNDRNHFIPFNSGKIVIVLHFRPISSSFDG >CRE29166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:132307:132513:-1 gene:WBGene00079790 transcript:CRE29166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29166 MTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRAAWAALNNIKNTSKRPSCLHNETKVRLGWPRGEKKR >CRE29158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig159:79093:79299:-1 gene:WBGene00079791 transcript:CRE29158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29158 MTAIEDVEEYVYLGRLLNAKNDLEPEIHRRRRAAWAALNNIKNTAKRPSCLHNETNVRLGWPRDEKKR >CRE17756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1590:10:255:1 gene:WBGene00079792 transcript:CRE17756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17756 KSLYNPTSFERGRRRHAELVKKECGSKCELIDYVDAFWNKTMNAFQYFDNQGFSYFTLGGHLSAHGLEHVRPIYEKICSSL >CRE21675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1592:1582:2776:-1 gene:WBGene00079793 transcript:CRE21675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21675 MNSPKPFPILRLPFLAIGEAFKAMHPFEIINFSMISKRTKGIAKQISVCPRYKIDFHIKETLEIWFFGTRNMAAYVMTSDKEMDGKIEEKECCGSILPVPLIFRKVFKYSKDPVDEWKQLCKYVLEIFKKHTINDLLMTMDAFVDHNVSIIDFLKANLKSVDRCTVSQWNRERYINVDEHTAYLLDNIQINSDLCTDVYIKNINFNGGFPKNLEDLDIKNSEWIGYERLLEIDCKSVIFDNNRISDEQWNLFIKKWIAMETHLNLVYLQLIYRNVENFRALVLHDIPHEVVDEAVKRTFKTRRNCIRELNGGIDIRRIDGKTATLFVFGIGLHGSFLMCVH >CRE21676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1592:5985:6767:-1 gene:WBGene00079794 transcript:CRE21676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21676 MITKRTKKIVKQTTFYSRYAIELYIDNKLQIRLHRTKDVVSYVMTSDEKMDGKIEKIEWGREILRKVYKYSKDPVDEWKQFCKHVLDIFKKQTIDYLSMTMDAFPGQNISIIDFLRKNVKSVDKCSLDQRDKEINVEKHTAYLLDNIKIDSELSFDVYIHNEGFNGKIPKDLKELNIHNSQWFGFERLLEIDCKSLSLEYDWISNEQLNSFFKKWIAMETNQNMEYLELVNRKLDRFRDRVLHDIPHEVVDEGVERVMKT >CRE21674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1592:3339:4679:1 gene:WBGene00079795 transcript:CRE21674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21674 MKPLKQLPILRLPFRAMEEVSKGMHAIINFSMISKRTRAVAKFMSFYSKYSIWLSVKISSLKICLYGTNKKIYCTYVMTSDKKMNGKIVEKGRYGYIERDVFNYSKDPVEEWKKLSEYIIEIFKVQTISCLVMQMDAFADRNIYIIDFLKTNVKSVDECFLYHWNEENNVAENFAYLLNNVTIDNKLASWVQIKPHDFNGKIPKNLRQLYIQNSQWVGYERLLEIDCKNVILRKNRVSDEQWNLFIKKWIAMETNQNLEYLELDYRAIEEFRALVMHDIPHEVVDEGAKRVLKIYRGQTEEISGGIDIRRIDGKTATFFAHREFQIYYFAMSVN >CRE21081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1593:5520:6798:-1 gene:WBGene00079796 transcript:CRE21081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21081 MITVCLPTKIIGEDIDAEDRLMVDLFRGYNSLVQPVRNRSELPMIVKIGMQLVLLINVDEKEQVMHTNVWLTMKWDDFQLKWDPRDYANITQIRVAPEKVWLPDIVLFNNADGNYEVSFMCNVLILSTGTVLWVPPAIYKSSCIIDVEFFPFDDQLCSLTFGSWTYNRDEIKLDFLTADRVDFSEYSTSSIWDMMDGPAVLTSDRSRIEFQIRIRRKTLFYTVVLILPTVLMAFLNVTVFYLPTASGEKMGLTMNVLLSIVVFLLLVSKILPPTSSSIPLVAKYLLLTFVLNIITIMVTTIICNIYFRSPITHRLPPWVRKVFLDWLPLLMCMQRPHRKNVIQKSHRKLLETGPSVEENPMRSGEHHPLCRHTHNP >CRE21080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1593:2904:4669:1 gene:WBGene00079797 transcript:CRE21080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21080 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3NSL9] MRLLYSFLLFFACFVSALSVGVLPIPNEHATNIKAKFDDYAEHYLLPEDFHNAETAPVNRPTDAEIESMQNSLLFEGDIMGVPEIEKSDILKRLRDDPLIDDDEIFRKPFHSALNLVTYPDKLWPEGQVPYMLEEGMTNDQRTAIAQAFDEYKTKTCVRFVPKTDDDFDYIYVKRNVAFGCSSYVGRAGGNQTVSLEVDKCFSKVCCFFVEIAYFSQQKLCDFQGIIAHELMHALGFFHEHSRTDRDDFVDINEDNIRPGMMRNFEKYPRKIIDSLGMPYDYESVMHYHKLAFSRNGKPTIVPKDNEADVGQRYKLSEMDSKKVNKLYQCGEYSKTSSTTTTTTTTTTSTTTTTEEPTTTTKEVEENSKNKKTTTTTTKKPTTSPSTTTTTTTPKPVERSRNKKCEDLNAHCGMWEQLGHCQHSVKYMAHYCRKACGLCEVEVTTTTTTTAKPLPRGKEKENKSSTSSSATSSTPRTTVTTTTQPKEKCEDKNLFCSYWAKIGECNSESKFMKIFCKASCGKC >CRE20638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1594:2575:3751:-1 gene:WBGene00079798 transcript:CRE20638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20638 MKVCCFITTILFLAFAGFSNSLSTEKQQNLINHLNDFRSKFAASARISNMYQLEYNKIRENEAKNYSKSCPFSDDLKDQPHYAVLDKKYVKNFLKYSQNHGKEINGTDKFFGAEDYFSYVLRPLYMEVGCAELPKPCKLEAKVGGIQNITVKTLCIFGPYMEPADLDRLYGKPGSGCVYGKAENGLCKKAIVLEATEE >CRE20637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1594:5340:7265:1 gene:WBGene00079799 transcript:CRE20637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20637 MSPFLFFLLLIDMTSNSDAITQKMVVVWGKPESLSRCVAHAGLTWNLCMEICFKTESCVMTFLDSAETCYTCSFNESMSSVQQTMKEDKAKVAFKVKVGENLVDKCPAGENPPTFDGVMAEGDASTTTDDGKIQNYTISYTGTGWEFSVFCKLENLEYVCESGVSTAMKRCTSGYAFYRRPNIDWCIAVGYTSYANTSYSRTSNVCQKYGTELSGVASADEVEGLVCKNQLNALRGRLNVPSFYVFADAQRTTECQSTPTTEKCMSIQGFKTVDKSVQNLDAYQFMTDASAGATTGKQCMVMLGDVRNDGKVDFVECESDFPFPIWGVICGHEAFS >CRE24338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1595:5256:6265:-1 gene:WBGene00079800 transcript:CRE24338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24338 MSNCLNSMIILLFVIIISVTSQNNSNIGIFAQEDLMLAKCTEPYQIYISSTLFNVSGHEILDPIFMKKFSEFTKNVSTCIGPNAVGNTARHYRFFLDALAFIGETLYRPSVFWCLQNISPKINYCFQANTHIYYENVVRINKKKTSDFKTIVDCVIEEMKVDQMCRRKETIESIGRSMNAIILVAQQFKFFKTGRMRPMVFNPETLG >CRE08189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:386207:390995:-1 gene:WBGene00079802 transcript:CRE08189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ocrl-1 description:CRE-OCRL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3X4] MATTTFYTKQRCNWIEGRDAKVDEVMREWMHKFCSFQDVKICVSTFNVNGKSPQSVFPTWFSQKREDIAEFYAVGLQEMDLSVGTYIIDNTKKMEGWTDAIHCSLPGGRTHFNVIGSMRLVGIFVIVFQSVHSKIRVTDINVKYVATGISVLVNKLGNKGGTAISMKMNDTWVCFVNAHFAAGNNELERRNQDFRDIYNDVVFYPRSQQEGLRDRPLEVPVMCLYDHDVVFWFGDLNYRLNTDGKMSNEDVRRIASSEKFADLLQYCQLREQMTHGTVFKDFKEPDSLPFRPTYKYDCGTNTWDTSEKGRVPAWTDRILTFTKHSKVGLELIQPMESVETITISDHKPVRALFNLKVKKINESGANSVYEEAIREADRRANEELPQVQLSLNEVDFGIVNYLEPKTRSCYCAKLLESPSANNEIIELKREKIGLTFLALLFPSVRFSFKVRPNARNNQEICEKWLMVTPTHYQIPQGSSMEISLTVSISTDIVRRIQDVLRNGQLQEILVLHLENGRDYFIPVTAVYNNSCFGSTLEKLLTARPKKEVNLIDFDDFSDNLASDNCPPYVPRVVYRLVMALRVRGAAQLNIEEELDNAVFNRIRSALETGNPDDMSTIASSYMLYSALIRLLDSLDEPVIMEGMFKIIHKEMIKYRTDARQLWTTVRSSLPKPNQAVLELVCLMLREFFSQKYELRDQLSLWAGALFRHDITEERMNGMYATVLQTMCDYAPDVALG >CRE08080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:778883:780052:1 gene:WBGene00079803 transcript:CRE08080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dsbn-1 MEMLNTLRDTLSSVQAELSTGVEKLRMNVTANMAAQQKVSTESVNEILNTSAGNELLQNFQNLITEVEENGAEGGRLANLCSTRMGRCQQMCKEKADAVMEIDEFLRNSAEFDKKIREINAQITKLARFCNQTEQAMTYLEALCEVAHTEGEVDLIRQQARSAATIVQIECESPSVLTSLRSRPEDAVKAQQEEVMLEEFLSSKNAQLP >CRE08069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:692239:695154:1 gene:WBGene00079804 transcript:CRE08069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eif-3.C description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:E3M373] MSRFFHAKGDSDSDTESSEDEVEEQKVNKSAKFRDDLDFMAGPEDDEKRVVRAQKDKKFDELKGIIKQNRDAKCNKDLNRLLTGFDSLVKAYDKSKTIFQRQNVTIPRFYIRSLVEIEDYVNKLWEDKDAKASLSKNNAKALPPLRQKLKRYIKEQSFQDLVTDYRANPDEDGYETPEDEDDDDIEEVSEAKVEKSPGKPVGKAADSESDSDSDDDSSSWTTEPDTDESDDEDSVTKMEQLRRYFLKKEFREESKDDKRVKRKREIKVKEVVEDDDDADWTPVSKEKSVVHFDPKEEVTHDVMIKKLNEVMSARGKRTTDRNQHVANLQKLLEVSGEKDLGLGIHVKISFCIISALFELNAKISDYMEYETFMNTLATVNNLLDLLIGTDRVKLSVTYAEEDENLKDENEDYRIQGSILIAVQRLDGELAKILQNADCHSNDYIEKLRAEKDMCLLIEKAERYVELRNHLKIFDKHEVCKVYMMRIEHTYYKYQDQNEGDAGQTMDYLCKKIYSLDDEKRLRQRAMLCHVYFLAVHDQWHRARDLLLMSHMQAIVDHSDIDTQILYNRTICQLGLCAFRHGFIREAHQGLSEIQNTQRAKELLAQAVGTRQHEKTAEQEKIDRSRQVPYHMHINVELMECVYLICSMLLEIPHMASCEFEMRRRMLSRSFHYQLKQSEKASLTGPPENTREHVVAASKAMLNGDWRKCKEYIVNDKMNQKVWNLFHNAEQVKGMVVRRIQEESLRTYLLTYSTVYATVSLKKLASLFDLSKKDVHSIISKMIIQEELSATLDEPTDCLIMHRVEPSRLQMLALNLSDKLQALAENNEQILEPRTGRGGYQGPGSWFPGRNERQGDKQKGAGGFQGERRGGQGQDGKRGNWGSQGGQQRRHPNKQRAF >CRE08205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:474971:476338:-1 gene:WBGene00079805 transcript:CRE08205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08205 MHPLRLYNFPYVVQREIMDSMDLQSIFLLSICSKRMNNLIVSVEKARFNKIKYILYKMNLGASIEAVNYDHTSEVILTMDTTMVLGIYKKKKLYISDTLIESKWYTARRTKTAFADEVFESVQRHSYGLFGDNKECKLDINIYAYGFRLPKLKNVSESNIHIVPSVDGKTLDDYCSASPNKDFINLYGVKLRKLKGNSKLYDINCIHTFDDKSKLVPNLLRKFGGRHAFMRTSKLDHRDVIQFLKKWKSNESFKKLEILQITLRDNSDSEPMNPVEIQNAIAIKMLSESVNSPVYNCKTRINLNPDKSRVVSFNSHQYIVREHDNRVASVVNTEREFSIGVWNLTEEQFLEKFDENN >CRE08152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:175788:177692:-1 gene:WBGene00079806 transcript:CRE08152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08152 MFSLILSVLVATWLSPEFHVDAAVGSDLNCTTYNGTAFVYTSTATICANTISDAACAVLYPADTTGAVIAGTDNGRPLTCYTTATATPAAIVQDMKTAAIADCPATCGYCCETAAYDCANVAYPRLDCSTITSAQCLSATWRTIIAQDCPSACGLCGSGGCVDAVVDCANDVTICNTVGMQDFVNEYCQYTCGRCASSSTTVSSGSSSSCSSYAADSSSACSAWAANGFCTNTFYTTAERMAYCATTCKLC >CRE08218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:540184:543810:-1 gene:WBGene00079807 transcript:CRE08218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08218 METAGSRTVIKGLAEGEGVFTTPIWKQPNREESSGDSIRTSAKKSESDDVTVLSGKRQDHQETKNTSSALNRGYIRDVVSNSAKTGKDREMEMNPEDGNLDITILSSSATSKPSEGDTAAHAHSTETPSLKSGLSRLFWRKTSVSKIGYAFIWNFMYGFDKSISRPQYMKDINKEGIRNLLKQRKDLGPQLLWKVKETMHLSMKVQIENMLSGNCQSDQKETDTSHG >CRE08054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:595959:596671:1 gene:WBGene00079808 transcript:CRE08054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08054 MKTKKTQTELHSPNRFNTEIVDDPTISRPFDKKRAGFVRSEGAGIVFMERLEDAEARGATILAEVLGYGISSDCYHISTPDPSAIGAVLSMRRAIGNANLDPIQIGYVNAHATSTPNGDSVEAEAVRKVFPNLNMSVSSIKGHIGHLLGAAGSVEAIATICAMNDSMKPGNRNLEESEEDVGLNLLRENQKWEEPNGQPRISICNSFGFGATNASLILKQF >CRE08070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:695550:697206:1 gene:WBGene00079809 transcript:CRE08070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08070 MGKKKAFIDKKASQSFRLVPDNREKSERFKPDQAHLEEQQKYGVYYDDDYDYLQHMRAINEPMKLESVHEEVEKTTIRAAPPAFPPAPPLFGLVGGLKKPEFFDEDVANALEDVTDDRNGGELEDNFISLAGGVLDERTTFFRNERRGGDSDEEDDDDEDDQMYDDYDDEELFGEETVREIRPERTEQRVMDDAFEELMNREYNTDQIGELDGDDYEIGGALEPNAGRLHKLINDKGRSNAEYDEEVLLLKLSFLNKDLQLAKHYVRERMRLIEEGVIKDKEEYEIVEVDEGTNKKMKWDCESFATQYTNIYNHPTLIKEPRGLSRKALKRFDKAVEDMEIAEEDEDEDEDEDMEDDADDNESVFSTVSTFRPKGETPEQRKLRKAAVKEARKQRRVEKKANKTMFAEEKRKLANGRIGQIKARPI >CRE08067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:682113:683874:1 gene:WBGene00079810 transcript:CRE08067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08067 MRRNQYREISSDEFDDYEKRREKKKQKERQVLVEEFIEVEGEEDVDILDERTATGGNVDLEEEIKFGEQLHDDLINSFCDKLQAVCPRKLEALLAIQFLMVTDAENIRKFVTGKHSAMQVIYDQKRSHYILVHYNARKERVEIYDSLQQFKKNGYPFLLDEIETHICHLFGHLFTTHITCIIDGDYEEQTDNFSCGYRVIGALVDLACGRRPSSQRYSRSRILELMRMILTEEKPTWEMFESAEYGVPKSRNGESRYRLAIVNTSYATPVSSVSSSSSMASLNSNISQKTVDSDETRKFVLKTPYRLAEFEVIEDDEFPKTSSSYHSLPSEKQSEIPTIAMKSLSFTPGDHSIIGYIRSSCQHLMDFLRWRTVDFELKEVKKREKQD >CRE08158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:194001:195221:-1 gene:WBGene00079811 transcript:CRE08158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08158 MSGGGDSKPIENKKDVKKGFDTRKFLIDLASGGTAAAVSKTAVAPIERVKLLLQVQDASQTITADKRYKGIVDVLVRVPKEQGYAALWRGNLANVIRYFPTQALNFAFKDTYKNMFQKGLDKKKDFWKFFAGNLASGGAAGATSLCFVYPLDFARTRLAADVGKANEREFKGLADCLVKIAKSDGPIGLYRGFFVSVQGIIIYRAAYFGMFDTAKMVFTSDGKKLNFFAAWAIAQVVTVGSGILSYPWDTVRRRMMMQSGRKDILYKNTLDCAVKIIKNEGMSAMFKGALSNVFRGTGGALVLAIYDEIQKFI >CRE08166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:231213:232660:-1 gene:WBGene00079812 transcript:CRE08166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rba-1 description:CRE-RBA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3S5] MSEADSCVETTSREHRVWKKNAPFLYDTVVTRELEWPTLTVQWMPDVTKTDSSDTSVHRVILGTHTSNDVQNHLLISKFSITDAAELDDSKWDAEQQEFGGYGAGSAAKLEDEIRIVHQDEVHRARYMPQNPIIIASRGPGDDVYIFDYTQHPSQPHDNKFRPQLRLKGHEGEGYGLSWSSTREGHLLTAGEDGAICHFDINAHQNIAGQLTPVSKYKGHDSNVQDVAFHALHPNVFASVGDDRKLNIWDLRHPRFQLSSIGHNSDVTCVSYNPFNEFILATASADKTVAVWDVRNMGKRMYTLRHHTDEIFQVAFSPHIETVLASSGSDDLVIVWDLSKVEDPSNDPATQPTAPPPEVVFVHSGHLGKVADFSWNPNRPWTICSTDEYNKFQVWEVSEGVINPEKSEQESTTS >CRE08084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:812917:818883:1 gene:WBGene00079813 transcript:CRE08084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-11 description:CRE-ZTF-11 protein [Source:UniProtKB/TrEMBL;Acc:E3M3A9] MSSTMDTQYNSSSVVDLMSMLINSSQSIPTPPDTHTDGSISPDSTASDCSENGREPAAKRRRKPETKDIVRVSSENGGASAALPTCSSSIPSSSESVHSDTETPNKENQEEEGTMTCDTTTSTSEVIGKTNEQTAASADVITSLGSTNGLDGVPTFLLSQFMMPAFQKQLEIFTSGSQMGSQHSETSPSDVDSLTSSDGVVAQTSKSPLDKMISSDSTIPKSPISASSPPHSGSESESRVMSPINQSNLTDELSISTTPTIAFTPNGSIPSPGTGYSWSIRREGKLACPTPGCDGSGHQTGLYTHHRSLSGCPRRPDKTVIQMLALRQDTVLRCTTAGCSGKGHVNGNRTSHRSLSGCPIAHQEKLARKGLKSTPTRTRTPPKGIGMSDECPLDLTLTGLPAGMSAQQLIAAAQAGFMPTGPMMDAILQQLAQTQPLATLEEESKKENEMEVDVETTTDEIPVLVKEEVKPESPVPVITAPASQIPQILPTTPTKVAPPPSEPKTSPTSMLLQMPGFSEALMKMQAPPAPFPQYSPAMFGNQNAILAQLVLAQFQVQQGF >CRE08013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:256867:260054:1 gene:WBGene00079814 transcript:CRE08013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08013 MGTPIAPVKVNMGDKIKDQFVVKKKLGEGACGQVFLVELLQGKGRAAMKVEPLMKNKEDEILKMEVYVLKKLQNSRHVCRLLGSGKTETFTYLVMSLLGKEIGDVRRRMPGRKISAPSTLRIFIQLVKALQDMHEAGFVHRDVKPSNMALGVKNEQVVYIFDFGLSRQIMLPDASGKLKLREPRNKSMFRGTVRYCSLNVHQHKDQGRHDDLYGALYAMIETVTSTLPWKGKPKKECAGLKEKTTDTQLCKNCPKSFEIIASTLRKLTYKETPPYLTFMEKLKADLPKGVKMTDPFEWNLNRSAMDDNNNGNKSDREDAERDGGAGTVNEVDESVITEDRGDTTSSSKNAEDYAAEDTLDNI >CRE08232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:672466:672837:-1 gene:WBGene00079815 transcript:CRE08232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08232 MAVFMTIVFGISCFILIQITCNKKKKDIEKPSPPFAVSHSKSAFSEVDLEMDTTQRDDETDREKERNLKKEMRRNSEKEDKSNSKNESLKSKTVKREKSSGKEDGETPAEMKLNSSKKNDMKL >CRE08022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:318443:322178:1 gene:WBGene00079816 transcript:CRE08022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ikb-1 description:CRE-IKB-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3V2] MPSADENGVHCDENSIQKSKSDTEINSHSSIHKSSSQHHRLFIEDISSCEASAGDRVWATCSGAGDHSQPITIHFLGENYEESAVDILRKHSVATSIAPKEVTSQEDSTCSEALTTPHATEAPESAEAPESPAPEVIKESSEMYVSTIPNLLRSASEKDLSKYVSMLSERKLIMFTVPSLKVEAGSELELRFSTSDDNVTTIPFKYLPRIPRSNTQDISLFDNLLEFATSGDTISLLQPFVSQISKQDIEGNTVFHVAAKNGQSFSLKLLLSVLPASLKDEVINVQNNHGLTSLHCAIRAGDPDAVHYLMNHGAKINISDHYGNTALHYLGDAYNESIFKEVLEPSRGQRFDVNQLNSEGFAPIHVAVRRLKLSLIEMLLEAGALIDFLDTEKKRNALMHAIEMNDFETIQLLVERGSETNIEDDSGETALSLAVKNVNYPVIGLLLDNGADPNRQNSKGICLADSEDSVIQNIINGDRPELPKKETFGIPNDLAISRSPLFGRSHPDQAPTEDSGRNRVVKRSREEILSDAQTLLEETDAMTSRVARISVSESEDEQPGPSTSSGVSRRRRTEWDRNPKLSDSVCNLDYLTRIRVSKIFDNQCKWQQLAQQLDCDHMIELISICSAGDDSSPTMILLDQFEQLQDSSISRLRDGMNRMNEEDAVKLIDSRYVY >CRE08004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:191178:192803:1 gene:WBGene00079817 transcript:CRE08004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dut-1 description:CRE-DUT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3R2] MTVAVLDQAAVESNVGMTATGEKQTPIDSTRITVKFTELNGNAKMPTYGSSCAAGADLYSAEDVVVPANGKFCVSTGLQLELPVGYYGRVAPRSGLAAKHFIDVGAGVIDSDYRGEVKVLLFNFNDADFEVKKGDRIAQLICEQIGNGTYEEVNSLETTDRGAGGFGSTGQSAMATETTVTPTTTSTSERVAVRFTALNENAQTPTYGSQEAAGADLYSAEDVVVPAKSKMCVSTGIQMELPFGYYGRVAPRSGLAAKHFIDVGAGVVDSDYRGEVKVLLFNFNNTDFEVKKGDRIAQLVCEKIGHCVYEAVSELENTDRGAGGFGSTGQSAMESEPVVKKAKMETAISPVAIQFTKSNESAQMPTYGSEFAAGADLYSAEDVVVPAKSKLCVSTGIHMKLPLGYYGRVAPRSGLAAKHFIDVGAGVIDSDYRGEVKVLLFNFNDADFEVKKGDRIAQLVCEQIALCDYQKVETLEDTERGAGGFGSTGK >CRE08087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:829167:831973:1 gene:WBGene00079818 transcript:CRE08087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-64 description:CRE-COL-64 protein [Source:UniProtKB/TrEMBL;Acc:E3M3B4] MGYGTQVASGITVICAAFLIPMLTCTVSLFYEVAQMHMNAMDGMDEFKLYANGAWEDMLPSIRLPRETPPSDPKKDPCDCDTGPAINCPVGPHGPRGRPGRKGSDGPHGQQGRKGPDGMDVFKEMIGPVECIPCVAGEPGPPGEDGEAGEKGEDGQPGSPGKPGEDGEPGADGEPGFIGFPGFPGPPGEDGKQGTNGTRGVGIPGPAGPPGPPGEVGMPGVTGETGEDGQDGAEGPEGPPGNPGDKAEEGPDGIPGEQGVPGFDSGYCPCPRRSSSRVSPSGTPLTDTIVPSPTIFPLLTSTTNAPTLSPSIPTLLPTRSPKSREEPPPQPQQVPPNPSSSENPETGESANSQNFGGYRKV >CRE08161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:205980:214677:-1 gene:WBGene00079819 transcript:CRE08161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cri-1 description:CRE-CRI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3R9] MYGFRDRKKSCDSDEYRKRSNTLQSNRSDKRWPKRDMGPQFELRCCIDPEILRINVDRQYYFEDETVRVNGSVFHKRHDYNNLLSVIPRAKFNGIHIKMEDDCPQGGDDVRLCLLKSLGAHNLRSVPCVQCKDDLKVISSGRCCPTVCQTCRQPLGVRDQLATQLANGNYATINEQMTCQSCGVSKFHLVRDIKTCHVARGPSFCE >CRE08169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:252101:252232:-1 gene:WBGene00079820 transcript:CRE08169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08169 MIENICIIILSLFLFSLISIGDDREHLYYHSLFISFFSHLNRR >CRE08126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1212921:1214548:1 gene:WBGene00079821 transcript:CRE08126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08126 MGIRELLNSVPGNSRQHQEERPSPQLPGKRTKKYNEKEQIISDLTTQLQKDTAASASIADQRKLYERIFITTAQLKDNKDNNNENIETRMFKDRQDINTYNKGISQRQLWKKTAKKDLDYSHGKQFFSFVTKNGLTQHIASLHLKPDNILNLCFTNTDIMRDVKVGDLFSDHKLFHVTLSVKNRTKKNQIAENIDGFSQELSRNIVLKSGHNTLVTFTDASKEATACCTYVVNEIGFKDEHPKDIDHLESSSTRGLICRKVIILTDSSIALDCLKSDPGKKKTGVFVTNRLKSIREAVWEMEEDNITVTFGHVPTNLNPADHEMRTVKINLTINQKFFSLSNSDVENQISTILFASPR >CRE08026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:333888:336274:1 gene:WBGene00079822 transcript:CRE08026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08026 MKFLKFPFVVQQEILRFLDLNLVFNLSLLSSKMGRLTHSCVFKGIKMICFDTTGPHFTLSAITQNDDVLGIVMIRPRRELRSENKRNARFSGVDVLYCVDPVANYTTILLKQSPHEPIVRKVHDHVAAIFGKQLPYWISSHTNSQDKLFIPSYITPERVSVEVKSKKDAIKFDDWIQMIPQPNHITVIASAAITVWDNPKFHRAQTLNMMNPNFTTQEILPRFKGKYLVLNRANCSDLHVMEFLDDWQTNKGYQNIKLVDIFLQVTTAFEQARIRELFEIEELADGKESLAFRYPTEECSKINSFQKEYSHREYIVRESDGVVASIFLNAKRFEFVVHDFTEEEMRGEMEDVAIEN >CRE08078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:761739:763454:1 gene:WBGene00079823 transcript:CRE08078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08078 MASAEQRRRQMLMEQERKDRELAERLAQEEWQTPPRPTRRSNRGTADEASPASSTRGRGRGRGRGRPSRTNQRARTSPSPSTESDGSSVRIVPTPPGRQLRIMTPPRRMQARRGPSPPRQARMDARGQYAPPYRRPVATVAARERGSVRGRGRGRPSRTNRRARTSPSPSTDSDGSSVRIVPTPPERQLRVTTPPRRMQARRGSSPPRRARMDARGQYAPPYRRPVAMMSATRRGSSTANPRPRVARMDPFHGAPLASSDDDSEEDNDNEHVSSPAISASYIRSLLNPLLRRNALHEFARTAMDFSDTDSNDGDYDDDDDDVSMGSVEDDDDDDIRILDDIGPVRAEENNIRQPIFEEDRDMYSRELDLYHDEDEYQVPPPRVVQGRGKAAEPDPTWGDCTMCSSTPTKPQGCKKCLQFLGCADCVRRWHGARQSSFDRPNCPLCRAPWNGDTPGVLLMPTIEKHRLKNAAKASSSSSSGPSTSAGPSSSS >CRE08221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:556693:559216:-1 gene:WBGene00079824 transcript:CRE08221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08221 MKTMKLSSFPFPVQTEIFSSMKLIDILFLSFCSKKLKKSIKSCMKSRFDKIISITYFSSKPNNVRILSSNSNNKEFMKLVPREEGRNRSIVQMNLFGIDVDCCMATRNHPFFILCNRQDGEQMLQTIHNYFRGFFASSIEYHLKAGGRNYIPRLKHIKHSIIVFQNESLDGYLTVSPSHISNYVYIKLCALGGFEYERNLKLAETKVLDIMISDSSAGGILNNFKGRQLFMMHGIVTDDDIIQFLNSWKSSQTQLDLEYLNINLRNGIGLNPEIIMRRFHIKQFDPNQRIPVYQCDKSSPNFIVREADQHVASLEITTQGIKFASWKMTGEEVMRDRIQKTFQKTPLQNADFIRNSIKFSKFSWLIQREILSSMELVDLLMMASCSQQFHRNIKSLMRSRFDKILTITYEPTPPSCINISSSSSGDNPFMSITSRLGHGVRPLMTKLCGMDLQVCMPTRNNPFMIYCDPAKRQTGLPSIHNYLLDFFGSSIKYQLNGGILTPAFSKLRNISSTDLASDNTIGGTEFHDFLKISPNQDFIGLTELGSSLLGRNLEFARTKVLDIGTMRCSADDILSNFGGRQLFIDNGIISDAVIIQFLNKWRSNEGYQNMEYISINLYSSQLNPNQIMNSVPINRLDPSHQLPVYQFARKDCNWEPTWGIYKFISPTYTVRDLDQHVASILITENNITFAAWNLTEKEFLEKRPIKRLY >CRE08236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:704746:707295:-1 gene:WBGene00079825 transcript:CRE08236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08236 MKTMKLSRFPLVVQTEIFSSMELIDILFLSFCSKKIKEMIESSIKSRLDKIISISYSTSKPDYVQISSSNSNNKEFMKLVSREEGRNRPIVQMNLFGIDVDCCMATRNHPFFILCNWQDGEQMLQKIHNYFRGFFGSSIEYHLESICYDYIPRLENMKNSNICFRNEGAAAQLWDGYITASSSQDYIRLNGRGSFEYERSLKLAQTKVLDLWIPDSRAGDILKNFKGIHIFLIDGIVTDDDIIQFLDSWMSNQTHQNLEYLSIDVGSEIDLNPAEIMRNLDRKQFDPSQKMPVYRYDRRRRDWGKCEWKIEEFGSPHFIVRGVDQHVASLEITTRGIKFASWKMTEEEVMRERIQKTFQKTSLQEASPPRNSIKISKFSCLIQRQILSNMEVVDLLMMASCSQKFYQNMKSLMRSRFDKIFTITYEKSPLSYINILSSSSGDVPFMSINLRNDFIGRPLISMNLLGMDLQVSMPTRNHPLMVLTDMENQETLLPSIHNYFLDFFGLSIKYQLNVDNLWRPYSKMKNISSTDISYHIRVIEFHDFLTISPNQDYIKLPKLESIAGLQLESSLLERKWKFARTTVLDIGETDRLAEDILSNFEGRQLFINKGIISDTAIIQFLNKWRSNEGYQNLEYFSISVSRFRHPLHPNQILNSIPINQVDSSVQLPVFRVARKDRYGKHSWGIHKFSSPNYIVREIDQHVASIRIADNNITFAASNMTEKDFLKKLPVKRLY >CRE08171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:283092:285646:-1 gene:WBGene00079826 transcript:CRE08171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cel-1 description:CRE-CEL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3T9] MAPKGPTPDKARLGLPDRWLHCPKTGTLVNDLFFPFKTPLCKMYDLQIAERKLQFHPSDVFTSPFLNGRKLGLWIDLTNTDRYYFPDEVTEKGCIYRKIAMAGRGMSPTKEETDKFIEIVQEFHEKNPDLVVGIHCTHGFNRTGFLIAAFLFQVNEYGLDAAITEVAGNRQGGIYKQDYIDDLYERYDPGEDDRIVAPEKPDWERETANLIDNAVPSSSQPAAHSNGNGHQNGHSQNGRTSSSKQFMDGLIDGVRHCEDPGKKSILQAKVQELCKWNKQGFPGLQPVSLSRKNIKLLEEEDYMVSWKADGMRYIVYMNDGEVYAFDRDNEVFEIDNLDFVTKDGSPLLGTLVDTEVIIDKVVMENGYEVNKPRMLIYDIMRFAGFNVMKEPFHKRFEIIQTEIINKRKAAFVSGRIRPERQIMSVRRKDFYDLCATAKLFEPKFVQHVGHEIDGLIFQPKHRVFPYETGRCDKVLKWKPPSHNSVDFLLKIERHVREGMLPEWTGNLFVQNCQQPFGTMPVTGSLRAYNNKIIECTMTVDDHGRPKSWKFMRERTDKSLPNGLNTAKNVVDTMVNPVTEQYLTDYIKHALRVLEVKKQQRHKAQHQEGPPQKRQKT >CRE08197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:428881:429962:-1 gene:WBGene00079827 transcript:CRE08197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08197 MKLFSLPYLAYSRIITSMNPIEIESKVEGQVSTSLNIFRSDRLIVYKPYWITRENFLGFNGKFLCFVHTNTTVDIELVIEFIKQWKNGNNTKLAALLMSEVPQEVINRDRFISEFDAKPWDPKRRERCYIYEKEITDKEDVVSDLSEGLDFERDDGLLATINIIPPHTLFQFFVWHKRFTVAE >CRE08257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:839166:843123:-1 gene:WBGene00079828 transcript:CRE08257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nas-5 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3M3B6] MDIITIQVLLLVIFTVSVSDARGRRINIYGAENGHSDIVQLRGNMAANQQVFNSPIRERRPTLRNALLSNSPLKWSKMQDSDGNYVIPFVISGNYDSLERKIIKSAMEKIAQNTCIRLIPRTNQPDYAEILNKKGQGCYASIGRFPGKNVVMLESNDDQSCIQEDTVIHELFHVIGLWHEHMRADRDAFINVMYKNIEPAQYPQFEKLSSRDATTYNVPYDYKSVMHYDETAFAKPGKISMMTKDSKFQKVIGHPRDASSNDYKKVCAIYHCSKCMNQDFDQLVIRDNIDLRNPVITNPPVKQGDSSSDCTDRLGICPMLKSREMLNCKVMSTFCCSSCSAPTSTTTTTSSTPSDSSLWQRIKSIFQ >CRE08143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:92649:94361:-1 gene:WBGene00079829 transcript:CRE08143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08143 MKKADENATLMNGVETAAPARDEAPETFREFLYNKKNGTVLGRTAKSWVQIVVFYIIFYALLAAFWLACLTIFLRTLDPKVPRFYGKGTIIGVNPGVGYQPWLKERPDSTLIQYNLQDQSTYKAYVEQVKGYLSKYDSNATETRECGSGDSNDDLEQNPDALPCRFDLSVFDKGCSEKNEYGFKSGKPCVIISLNRLIGWRPTDFPANSVPEEVKERYKPGSIAINCRGATNVDQEHIGKVIYMPSSGIDGRYYPYVFTKGYQQPIAMVKFDSIPRNKLVIVECRAYALNIEHDISSRLGMVYFEVMVEDKKPVKKEL >CRE08047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:509118:514672:1 gene:WBGene00079830 transcript:CRE08047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08047 MSPFLFLLFLFSPIKPDLWAETERMPDLKQWRALCGYYAVATAYMKDSNARITVFAPVDDVFTYNPDIRALDQKETLSHIVDSQVVDLTMNKLWDKQTLIRSTINSGYTYITQFENNPGNFSYFANAGMLCNHASNQWGIISGEQYLFKICTPMGHRPYPGTALSFIREHDVTVFEERQYNNRDLSQIRDILDRTPDIALVIYGSSAWNGFHTFFIPNDAAFARVVDRNRVDREVLLAHVTSTNRVLFTWPWLYDGGQHYYPSVRFSANIIEDNFKLKLVMRNITDRRTGKWDLYAVSEVYERYSQFRRGAVWAKILVPNIPTQNGVVHIIDNVLGIVSETIDMMIYRNEKTTTLMRYINTIGQIVRNYFSATGGLVTFFAPWNEAFDRIPEQIERRLLRDRIWLEQILKLHIVPAKELTSDEITNETIVNTIDNMRQLYFIKGEWPANNVTYYVIGGGIKTAIMMDNVAATNGIVHYIERVLGVPYQTLWEIMRNETRLQYSFHMMENLQLRYSMDPWQVLTPEQNFTFFVPTNEAWAKQPQSLVSRMNDGNHWQALQYVYKRHILQGQALMYTDLRERTYVMMNDEKVVITRRGRFFELYWPRGNRRARVIEGGEIAGINGYMHMIDNVLIYEPDLRAHACTTEPVFFQFLIVCLVMVWRPGWFTSFFIFFR >CRE08220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:553589:555369:-1 gene:WBGene00079831 transcript:CRE08220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08220 MNVDTSDLMDVLKIITRSGYSFFTKSKPFDFFKEDYSFKFSEATATYDDSIRVTVRFVSMKSGKVCMKGFMKCMTKTMDGILIKDILEAESIFSTRPLPRSHLKNNTSPKEIERTSTAATSSHSDEVICEVSEGIHENIPTVSSQKQTEKPRDTVIMNTGKPNDDAEIIIIPISTSTANSKSVTPESCVTTVVRNNNNIPAENQTRKEVNRINQGEKQNMTAGRSRVTGNESSTTTVCDESTVADGRAERGDSQISNKTREKAVVSRKRMRVMNCGDGITEPFGTNEFSVVNVIDKHACSEPSRVFARILRSSGVKGRFELHDVRKLPFKQVKIMYGFKVFEEDDIKMLNEKIGEGFDWRNSFSEEVMGGAHWFFWRKVSVEEVVNAFAMNLLHGIDNSIRMPYSICDVVEEDIRKLLRQKPDAGKILVKKLKEKMFHSTAKHFEKML >CRE08016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:282486:282741:1 gene:WBGene00079832 transcript:CRE08016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08016 MFITIRINTTRYSVMEPLWAAENTATLWTKLFPSYATFTAARNRPLPRIANSEQYDFDTISLQFHLDPE >CRE08003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:180425:180682:1 gene:WBGene00079833 transcript:CRE08003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08003 MHNVSKIQRQIERSERRAEHYAELFHMQERNHGQKMKEMEDKLEEKTKENEKLQEENDRLKVELEKEKMKRSKQERADDDWEVLK >CRE08210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:504072:505206:-1 gene:WBGene00079834 transcript:CRE08210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08210 MNNLIVSVEKARFNKIKYILYKLTNFVSIEAVNYDDTSEIILRLQPINSKVTYSEKLSISGTSIEFDRSAQLPYEDLTLRFRWYSTTSKENVFVNQILESIQRHNDGLIGDTKECRLYFLTYGREFMLPKLRNVSGSYIFLLPSIDGKTLDEYCSASPNQDFLQVRPEITSRLKEDSAFFGMKCIDFGDPNSLVVPELLRKFTGRQAFTWTSNIESSDVIRFLKKWKSNESFENLETLHVTLSYKSKRFNPAEIKNSIDIKIMDGSVVYSCKRRIDVYPENSENVSFDSINYIVREHDQRVASIVIEEEKFVIGVWNLTEGEFLKKFSRNIYVVTLFI >CRE08271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1007319:1008952:-1 gene:WBGene00079835 transcript:CRE08271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08271 MKVSFENVPTNEEAPPDYSNPNFETSASVDEPNVEVVNQQSEPVLTGSKQSRFNIFKRQFPIFISGFILCLMIFLILNVLSKSGSSSSFASENSASKCGKRLIGYYKGYDSRKITEQQIEKLTHIIFNGIKVEKDGRVQFHDDTTRFSFLDMKNKARVMKSDVKIMFSTDHYSPNGTHVTEVMNDSKTRKQWIDSISAFIIEQQIDGVELYYRWPYTDTEKENYLFFVRELRYKFERMEKLTRRKAPYLISIISPPSVWPDGESVILQELLNYADFLNIETDNYYDPWKENGKTGPVAPLYSTNNNYSIDWTLKAYACKTEMASRLNFVILFTGVAWAKVNDHSSSTDAVYKTYDKDPGDSSTESYTKWRKIKENGWNLTLTSWHNASRTPYIWDSENRKLFTFENERSLIEKMEYAKEKNIGGVSIDHVEYDDDSNTLLNAVTSVDLCSGEQFEKDEIRYECGNSNVERSYNIYSSAYLTFNFLSISVLFLFYFI >CRE08062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:652413:661499:1 gene:WBGene00079836 transcript:CRE08062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cfi-1 description:CRE-CFI-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M360] MRIDEPQLFVSMSKEAQDIVNGGAGSGNDETSSNCDERQDDQADEQKCTPPASPDLAANLNVFDLESRQKVVQRLLNSQLNLSNLRTPLNLPPIFQALQGPFSIQVPRKWHQFHSSIDAFQQQLLGLASGLTAMSPGLDDYDEENTNGIEPEDLTLGGFRKETSVKSEEPSESGVNPSGPAWSYEEQFKQLYELSDDVKRKEWLDDWLNFMHRIGKPVTRIPIMAKQVLDLYELYRLVVQHGGLVEIINKKLWREITKGLNLPSSITSAAFTLRTQYQKYLYDYECEKEKLSNQSDLQQAIDGNRREAPGRRTAPPFPLPFQLPHAANAAASMLNKQLNGLGMRNGWFLENYFLKEFPEISDLLDDENTLNLQASGLFGAGYGAEQMAILEAHQRNLERAQRAAEQVARQSLGLASLSNGNGGHHHSSGRESTSSNDSDIPVKRAKLENDHKSNGASSLRISTKQSENSKNSMSVSMEINGITYQGVLFAQDGTTVSES >CRE08187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:382182:384196:-1 gene:WBGene00079837 transcript:CRE08187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fce-1 description:CRE-FCE-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3X2] MDPSCLFKTLLATNWALFLWDQYITYRQYKVHRDAEKRPEEVKELISDEDYKKARDYNMDNHTFGFLHSWFNQLLTTKSVFLYYFSNLQAQLVGGYFPFLWYATENFPFHVAVFLSVNTIIETIIDLPFDLYSTFIIEDAHGFNKQTIGFYFVDKVKKMLVGFALTMPIVYGIEWIITNGGPYFYVYIWVFLSVIVLLLMTIYPTFIAPLFDKYFPLPDGELKTKIEKLAESLKYPLTKLYVVNGSKRSAHSNAYMYGFWKNKRIVLYDTLLSGTEKEKVHKLYVEAGEKIEETENDKKRGMNNDEVVAVLGHELGHWALWHTLINLIITEVNLFFCFAVFAYFYKWDALYQAFGYHDTPPIIGMMLVFQFILALYHQLAHMGQVIHSRSAEFAADEFAAKLGHGDNLIGALTKLGVDNLSMPINDSLYSWCVHTHPPVVERVAAVRAFTEKKK >CRE08240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:719541:720662:-1 gene:WBGene00079838 transcript:CRE08240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08240 MPTRNHPLMILSDLEHEETLVTSIHNYFLDFFGSSIKYQLNVYLLMPPFSKLRNISSTVLSSDIGVAEFLMISPNQDFIALSDFHNHLLGGNLEFTKTKVLDIGYTYCSVDDILSNFEGRQLFIDDGIISDGTEFRQLTREMVQSSNGIMRGQELSNQKSSAVSVPDSNLFL >CRE08037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:413825:415810:1 gene:WBGene00079839 transcript:CRE08037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08037 MGKPSGGKEVKTEDVLGGIMQQLTLLNERSEQLMEENRELRTEQRAVAGRSSEKSNARLMAELSKRIPKFSYSLSEPDSFKRWIARNELIFTEDGADLTERERTRLLIGCLEEATYQRYIDAHRDETDVFDIGYENTVKALHKVFGGHRSMMIRRQKCLEISRSSGMYEDPLEYTNSVGEAVMEAKLSSMTSDDWSIFLFLRGLDMPGDAKAKVWLMQFVEQSEKNGQKLKLADVHDEWCRFMQLKVQTEVVSSTTANPHGEVNVCAIENREERRSEHRTSSYRGGFRGRRENFGNRVLTCYACGEPGHYSYECPKRTCERNRSDSRNVNKKEPESRKVNTITIQTIQVDGVTTEGQTRPRMMVKVEDKMLEFHLDTGSQITLISEKSWKELGSPSLSEVPFKVACANRTELVVKGRVSVKFELKGDTYSDYVYVTNRDMNLIGMSWLCKSPEIEAVLKDMVANSKTEEVEEGEQTSWTSQSSQRVNQVREMSESEKNSKIVERKGCFRCGGRHVPERCWAEKKECYQCGERGHIAKRCQSEKMFWNGGNEKMRGRLSHEEKEKFGRREANGENFHGVCPLKVNIPGSAWNNRSAAGNRSWRDTGYGVGRMRPRGPSMRNNYWLPSHDNDGWFYMSGRKLRWILEEKSNRANFSYCGGGVV >CRE08104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1037684:1038830:1 gene:WBGene00079840 transcript:CRE08104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08104 MPRHQELDFCFFKIFLLILIDPIWFAVNSTLYSTHISSFLLAHQAFWHCLILTIIPFPMIFPPKNPEARTEDLYWVFLAFFVLYPTIFSNSDVILDYIKMKVQRISHIYFGLFGMILSVWMMIGCVVSWEFDFYRTISGCIFMFCICSLTFFYLVFSNFGNDYYISLPSASQPFSGIKLYVVTFGLFHLMVGIAVINLTGAWPICLLLLASSFVFCSDAYSCLFTETYIFYDHRPLMIDDVENNSDNEIVCYVVVRRMYEKMKNPEDLPKIFKFDDEVEDYLYLKA >CRE08170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:281271:282040:-1 gene:WBGene00079841 transcript:CRE08170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-24.2 description:CRE-RPL-24.2 protein [Source:UniProtKB/TrEMBL;Acc:E3M3T7] MRIEKCYFCSSPIYPGHGIQFVRNDSTIFKFCRSRCNKLFKKKKNPRKLRFTKASRRARGKELINDATQLLEQRRDEPVKYERELFQKTIEAAKTISALKTKRYGNLIRKRLQPGKIVQKKGLLAKVDKKMHLIRAPVANKDGVKTRAAAKEKKTAEAMETN >CRE08256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:826758:827750:-1 gene:WBGene00079842 transcript:CRE08256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08256 MDVQDIIGIALNLATAGTVGALLVKRRNHPTKEVTHRATKERDPRKLITKRMDLKRKELELRLPPVIHQRHRRHRLVVLRLHHQLPEDRNLEVLEWQVCSIRNSKHAQRLISATHDPNYQTLAGIGNDCFEKKGGGAPAAAPAAGKPGMAATHDPNYQTLAGLDNNVFAKKDGGGGAPAGGPSAPADQNAKAATHDPNYQTLAGLNNNVFEKKDGGGAAAGGDKKPIQPADKNKKAATQDPNYQTLAAVGGDVFGADKKGGAGGGGGDKKPVQPADKNKKAATMDPNYQTLAAVGGDVFGADKKKK >CRE08002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:170198:173184:1 gene:WBGene00079843 transcript:CRE08002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08002 MKTMKLSRFPSRVQTEIFSSMELIDILLLSFCSKKMKQSIESSIQSRLDKIVSMTYCSSKPDNVSISSSNSNNKEFIKLVSREEAKNRPIVQMNLFGIDVDCCMATRNHPFFILCNQQDGEQMLQTIHNYFRGFFGSSIEYHLENCFSNYIPRLENIKNSILHFRNEEEAAELLDGYLTLSPSQEYFRLSGHRGFEYENNLKLAQTNVLDVSISGSRAGEVLKNFKGRHLFIIGAIITDEEVIQFLNNWKSSQTHQNLEFLSIHRESGIFQDLGIDLNPEKIMRNVDMKQFDPNQKMPIFRYDRRRNRLYKCETWQIEELSSPNFIVREVDQHVASINIKTWGIQFASWKMTEEEVMRGRIQKTFQRTAIQEVSPLRNSIKISKFSWLIQREILSSMELVDLLMMASCSQKFHRHIKSLMRSRFDKIHTITYESIMLPCISSSSSGDLPFMSINRSHDFRGRPLIPMNLVGMDLQVSMPTRNHPLMVLTDLNQEETLVTSIHDYFLDFFGSSIKYQLNVDLLMPPFSKLKNITSTVLSGYLPAYFPDFLKISPNQDFIGLSELGSPLLARNLEFARTKVLHIGGSYRFTEDILSNFEGRQLFINKGIISESTIIQFLNKWRSNEGYQNLEYFSMFVWAFEHPLSPNQIMNSIPINRLDSSDQLPVYQVAKKDPYRKRTWGIHKFSSQNYIVRDSDQHVASIMITDGNFTFAAWNNTEKEFLEKRPVKRLY >CRE08174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:300300:301073:-1 gene:WBGene00079844 transcript:CRE08174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08174 MEEYLQLIVKCFPMVTFILLAASCCGLQTSKPKESHKNPTGGRKLTMSTMSTSSTSFNTFSEEDDTKLEEEKKEEGNELEEKKEPEIKEEEKREFSCGKDEKEEEKGDKGGKAENKEIEFNLETSPAFTICIDNKSDVKNDVFILNARKSLDESAGFLWTIKKRLAFDDGSWKQLNRRVAALKAEGLDTCANTKQAKDNKIK >CRE08223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:606620:608553:-1 gene:WBGene00079845 transcript:CRE08223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08223 MHRVVITGMGAISPFGVTVNALRNGLNEGRSGLKYDEVLKFVVGAVPGERVEDRWSAGQQREMSRASMFALVASKEAMSQANAENIDHEETIVNIGTCMSDLEHIGETAQKVREGQSRRVSPYFVPRILNNLPAGYVAMKYKMRGGVEATSTACATGLHCIGNAFQSIQYGHCRRALAGAVECALNPISLAGFDRMRALARGEDPEISRPFDKKRAGFVMSEGVGIVFMERLEDAETRGATILAEVLGYGISSDCYHISTPDPSAIGAVLSMRRAIGNANLDPIQIGYVNAHATSTPNGDSVEAEAVRKVFPNLNMSVSSIKGHIGHLLGAAGSVEAIATICAMNDSMKPGNRNLEESEEDVGLNLLRENQKWEEPNGQPRISICNSFGFGATNASLILKQF >CRE08046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:508092:508717:1 gene:WBGene00079846 transcript:CRE08046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08046 MLSRQVIRPILQAARKGHSSHSPSAVTYTGQGPNTFVNDGWASARLPFHVTNKWGFAAKAITFLAVGFWAPFIVVEYQLRKANQ >CRE08291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1125775:1126313:-1 gene:WBGene00079847 transcript:CRE08291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08291 MQVNYLDEEHQRAFQNHINLLFGYSKPNKIYVDLERLPEISNISDTLVNGDTVEIRDLKEYFEKCPNQNSARVKPKITGDLQEMTSSFGVESIFFDDSNEFSALILQKFTSWPTEIIDFGRGQINIGRYTFYDVVRDGDAKKASLKIKDVEFIFLVWNANRMEIVNK >CRE07995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:138062:141201:1 gene:WBGene00079848 transcript:CRE07995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07995 MVRSGQRKSSCNTTQTTRYHASPPKKRTKNEPRKRKPEKVEAEVEEEEEEEEEEEEEEEEEEEEEENQSEPPEDEEEEGHQEPPEDASDASDDDVPPRPARVHNKSKKSKRSQKVTKSSDKDTLVSNMAQKVKDFKKTDFHKLRQVVLAFGGEIAERFNILSIGDDWKQYSCCIQHCCKVFGASNTIGAQSWISLNYYFGKRVNFDANGNLQVVLTAGSDSGKLSCSPSIYECFVDSRLKEAVMAIETPSEVFIEDEHRQLQSSQFQFQKAARWCSLITQWMNWSRGEEEVQANLRKFKVDKKNFDYLFVSNIFLPLWQGIRHGSRCADCFYAESLHSSIDGVLQGLTRIIPIKVELAYANMRILSSFGGQKKTTETFVTSDAPPQDGNMVSEIVTALADNEHKSDYPFGLFKPKLVIGKDPEAKNALNRLWKCLDNEKFDINDIVESLKQEHDDEGSDLSMSDEEADDQGSNDGGSTSDVPLPLDENHDPRYYHFSPRMRDIIDDEEYSDNSMRFRPFRRRQRLVIEDDVEFNPPGNEGFPSPPRRRESPPHSPAQIVFPSDPNVPSTSMGITAPPIIRPPRLSAQFPLLTSTPRRHILRVQSNLNRSKSDTPADSSSSHSSASPKKKKQVKVAKKTPPASSSSSSSSSSDDEKEEPVYIENVPEGLKKLGFPQKFVSRNCEKKWIEKAMTQLRETVSLNPRGIADLSVTDGNDVYSYLAYTKVSNTKSWKSKNKKFNFADNTWPTLQHYSISIGISSNKTTWILLRDSAEGRYFTAIGGKNFPTEKMYEFTEKDEKSMKNREERRKSLRKVRAATAKKDDCDDYSSSSSDEESSSSAPESSSSEQFNYGDSPEL >CRE08182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:340035:343768:-1 gene:WBGene00079849 transcript:CRE08182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08182 MSRNVGVLGQMSEINDHLYLSGAGVLKPEKIKQRKINMIVNATTEEPSTYMQGVDTMKIRIEDHPYARLSEHFDVVADKIRNVKERGGKTLVHCMAGVSRSASLVMIYLVKHEHMTLRQAYHYVKAARPIIRPNVGFWKQMVDYEKRLRGTASIKMVQTPECDMPIPDIYVDDLRRMQISREIARHSLPSAQTPSTKQRAFSASTYRPSSVSSGSSSLASSSLRRAYSPSSLPASSLALTTSYSLFSPAPTRKPRQSLFSMYSTAPRHNFFSAF >CRE08207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:486466:488016:-1 gene:WBGene00079850 transcript:CRE08207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08207 MNPIRLFNFPYVVQREIMDSMDLQTIFLLSICSKRMNNLIVSVEKARFNKIKYILYKMTHSASIEAINYDDTSELILTMRAMECVEIYKKRKVYISDTLIESKWYTAKGTKTAFADEVFESVQRHSYGLFGDNKECKLDINIYAYGFRLPKLKNVSESNIHIVPSVDGKTLDDYCSASPNKDFINLFGVKLRKLKGNSKLYDINCIYTFDDKSKLVPNLLRKFAGRHAFIRTSKLDHRDVIQFLKKWKSNESFEKLEILQITLRDIFDSEPVNPVEIKNEIDIKTLSESVNSPVYNCKTRINLNPDKSRIVSFNSHQYIVREHDNRVASVVITEREFSIGVWNLTEEQFLEKFTGNN >CRE08186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:380010:380393:-1 gene:WBGene00079851 transcript:CRE08186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-35 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LKS0] MSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE08086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:828114:828792:1 gene:WBGene00079852 transcript:CRE08086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08086 MFNFSDAPSTPYLSSLELHQGREEIHELLSRWPQHERHVELLERQNYLEQEIAKLEWNSDDDFSIVTERM >CRE08227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:627301:628670:-1 gene:WBGene00079853 transcript:CRE08227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eak-6 description:CRE-EAK-6 protein [Source:UniProtKB/TrEMBL;Acc:E3M358] MFDFFSKLKKIKGDEEVFKRLYEKKILLDRNEIWEKVLSVIYALATFSKYWFDQEENKQKERNVTSKCVPFEHNRVILSSTEENPHGYINASYVKFPKIKRQYIMTSEIAFNEISYYWQMVYEQRVPGIVLLKSLSEIWSINTIYPEKIDAQLTFGDFTVRCKNLEIHRHYIVRDMELISLKSKEVHKFRHYHYWFWSDLETANLDPMLDFHKVLKENEILNSPIPTVSTSFFNRKQSEIIPSKAAIIQCYSGNPRSTVFIVMDVLVEMIEKGIKGYYSIEYMLIKLQKQRMFELKSFKDISFIHDYMIRFILQRHPELHALKSHLEHHAITIPRVDPEITEDAERFIKTERWLVNEKERDRFIGMRQAAARFQETGLASNSTKYRPYIIEN >CRE08107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1067458:1067941:1 gene:WBGene00079854 transcript:CRE08107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08107 MLCICSLTFFYLVFSSFETDYYISLPSANQPFSGIKLYVVIFGLFHLMVGIAVVNLTRAWPICLLLLASSFVFCADAYSCLFTETYIFYDHRPSMNDDVENNSVDEIVCHVVVRRMYEKMKNPEDLPKIFKFDDEVEDYLYLKA >CRE08201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:445679:446212:-1 gene:WBGene00079855 transcript:CRE08201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08201 MRLDPEHPDFRERFFRLNHVRWEDVWDGHRLLPRPPQATPSPPLQPSPEPIRPTEKKRRRRKCAKPARKSAPTTGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE08029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:372464:373602:1 gene:WBGene00079856 transcript:CRE08029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08029 MKLFNLPYLAYSRIITLMNPIEVLSLSFCSKKSRERIKQIRFHIDYATISTEIHKPKSPTLQLQFSPSTRYILIPFQRAPRWARCEGRRFTGTIDGVKHYFRCMGGDDATVLYSDIQNSGFQIASYILDLLHEKLQYLKIDLNVVDDLKGFITEPCMKSVLRIEVVSETVTSEKLSIFFNNIENPAKNVHIHSKVEGRVNPNLIIFRSDMLIIYETNWITREHLLGFNGKYLCFINPTFGVEGVIEFIKQWRNGNNTKFAILKMAEVPQKFMNRDRFIYEFNAKPWDPTKREKCYIYDKDITQDIVADLSEGLDFERADGLLATIKIRPHRALFQFFVWHKRFTVAE >CRE08253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:790326:790741:-1 gene:WBGene00079857 transcript:CRE08253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08253 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3M3A5] MSEKKQYISTDPADKIKFRADPQEEQKQYLKITNKSEMKQAFKVKCTRNDLFRIKPSTGILDYNQSLTIVLIYRGGQEKLPAEERHHFGIYHIPAPEGCTCEGAWAEHYGPPQGEHKLRVIWE >CRE08042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:457898:459873:1 gene:WBGene00079858 transcript:CRE08042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sur-6 description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:E3M315] MVMEVDEPAVAATTSQNEQQEVQQNDVDMDTSEGPIEPDQTFEPVDQITWKFNQVKGNIDADVHTEADVISCVEFSHDGEYLATGDKGGRVVIFQRDQSGKYVKGVRSREYNVYSTFQSHEPEFDYLKSLEIDEKINQIRWLKKKNAANFILSTNDKTIKLWKISERERKIGDDAWNLPRTNRINTSSFRGKLQIPSIVPMELIVEASPRRVYGNAHTYHVNSISVNSDQETFLSADDLRVNLWNLEITNESFNIVDIKPANMEELTEVITAAEFHPTQCNWFVYSSSKGSIRLCDMRDRALCDAYAKIFEEPEDPQSRSFFSEIIASVSDVKFSHNGRYLLTRDYLTVKVWDLNMESQPVETYPVHNYLRTKLCALYENDSIFDKFECDWSGDDKHILTGSYHNLFRSYARGNNQGDAKTWEARPQENHATLRSRFVVPSAKRKRNNLSSSGEQTEEDLSSDQLQFDRKILHTAWHPKDNIIALAATNNLYIFSDV >CRE08179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:323449:323881:-1 gene:WBGene00079859 transcript:CRE08179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08179 MRHLHLHVFLLLVFLPASLMAIECLNYRVAQGQPILKAERNPRCHSKAEYCVKISGNSHTGNEPFISGRCEHAGECKENGNDCFARTDAQGKEEQVCCSDGNFSNLGSYTSVMIVMVFCITFQFLAL >CRE08274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1027327:1028367:-1 gene:WBGene00079860 transcript:CRE08274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08274 MILAVRSRNDTDSYNGIATIIQTYEIIEFIVNNILFLCLLVYKYRKKADTTDENFHEPIFNQLFYNCAVCLAINDICTLCTFVIEAPEEVVSVEAFVYSLLATLDILFMFLVSVMFAIITVFSFLASIQRIIILHIPNYKYLVIGNCLQLEICLVYVSIIHYSVIVFQQVALHKPLTYNMKQALYIYNIIILAMSLISGVIYFHIYRILRKLKTGDNGTYFLYQFVPIHTILLIHSVANLVAELLDQYSKSIYKLNISMLFYLIFVPNIPGVVSLSYIVSQKNFRHLFTRIALSMWREISS >CRE08059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:605095:606545:1 gene:WBGene00079861 transcript:CRE08059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08059 MKLLRFPYLVQQAIMSTMDYQDLFLLSFCSKRMKNLVISSEKYRFKEVQIVKYKLIGGIVSIQSLGDETLDNKVIYPIFKIMIEIFRFNAKSNMISFKIPMERIGKKKYKIIRFPSTIEATLDHVLDIFGQHHDFLVCTYHLSDLRILSKLKNVKRSHLCLVGNTCADQLDEYFSASPKQKYIQCNVTLKGELKEDSGFYTTDLIDLHDHSSMSVGILKHFTGRKAFLRTERLENSDIIQFVQRWKYGKGHQNLEILIVRLDDYYSSFEPNEVKKSIRIENLSRNPPIFLVDTTYIFDSRSWKKPSFSSWTYVVRETDQHVASVMIEKQKFVFAVWNMTEEHFLQMDN >CRE08192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:417081:418218:-1 gene:WBGene00079862 transcript:CRE08192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08192 MKLFSLPYLAYSRIITSMNPIEVISLSFCSKTSRERIKQIHFDVPFLGISTRVNKRKAPVFQLQITGYRHLSIPFETAPRTSRCKGRRFTGTADGVKHYFRCIEGMTGTVLFSNIPKSYFKITNYMLDLVRASLSFLVLDLNMIGDLKGFITEPCMKSVSVIEILSETVTAEKLSIFFNNIENPVKNVHIHSKVEGQVSASMNIFQTEILVFYESSWITREHLLGFNGNILCFKKPHFDIELVIEFIKQWRNGNNTRFIALMMSEVPEKVMDKARFISEFDATPWDPAKREKRSIYEKEITDVQDITSDLSEGFDFERDDGLLATILVSPPDLFQFFVWHKRFSVAK >CRE08108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1073353:1077822:1 gene:WBGene00079863 transcript:CRE08108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08108 MESDSNNAKNQGEPVKEDKKPVNGSRKSGRKAKAPTEESNRNNLPAALLPKFPSQKILKKKSSDDTIEVAPMVTPEQIPFTYDDEDKEKAENHWEEPMLVVDELQDNENELERQMQQLREVEMQEAVHVSPVDQPSVDSNAKKSSQPEKPVVPVDRKVDKPSGREKKSDRMKNKKERSLSSDEKKSAGEKNPNPVMLKKKASAENANSDEVMRKSARKSKRQKKSAFKPVVQVIQHTLIFLFSFFSIRKFQKPGGCPPSQGPTSVYQMPTCPKTDRSLKLPIAEQKSYDDRGRKARRDNQPPAPKPPPAKSPLPSNVALTAGAQAQGAQGPPGGPGAPGPQGAAPRKKIAFMKHVLKKTKFWRRADCVADPSQEMLTKLYVKPDYDTMNPYDFVPDLQECNIKEFDFRQRLGNTCCGRDQVFINKRPFWLNRTATDVIPKVRIQLHRPLKQMYLGNPASVLPKLSRPETKYEPLTVPLEMLVALDPMFGIDLSGKTPKENELNRVCANTFHATIQFEMEKQAWDKLTEQGKKEAVRMRKLQEEIDNMEKQKKLGKKISSCEDLRRVSFKAEGELHLNVYNRKHRYKTVPPSGEVKESGRLFARPLYTKIGSMKSRKRSQSPSRSPLQSSSSTSVPSSASSTSTSPADTKKI >CRE08033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:381370:382016:1 gene:WBGene00079864 transcript:CRE08033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08033 MDSIVLFALPSVAVLFTCGGKKKRKEKTPTGKASKESKETPVASSDSTIKPHSDDEPPKEKTKSAEALEKKSSEIKSKSEDKKKKKGDSTNKSEGEKKTKTVSEEEKKKEDEKKKEEKKTTEDENENKNKEEPKGTVNPEDKRAVVSDPIVTPETDEYPTLEDDAEKSKKNGEKEKTDKDKQKK >CRE08278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1034733:1036178:-1 gene:WBGene00079865 transcript:CRE08278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08278 MSVLLDISAIFEKMFMQNGHISAEIHMKLWRFELSQVSRRILNRLHLSRIPVGTVSVVSGKSDEIVFYEKNNRTERDFMILFLKKSQPIVSRMKVNNVCIDVWFVKTFIEQKLKLEKNVNRKIIRCNSNQFGYGLIHVLTHLDRIFYRIDFGLGIEINMVPVLKGILSHQIFQKCIYVQFRGKKEILSNEIFDYLLEKTQPTIGITIFCSLSPDFDYKKILHFSRLRVPNLGEMPLKDLKALDCEIAILGSHQFKEADINEFLHHWIKGNNRKLRRLKLDGFQEAPDWDILLKDIVHTEWNPKERGKYYNFKIKIYEHNGNYRLSEWERLQEQGRTNGYCSTSLETP >CRE08079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:776951:778663:1 gene:WBGene00079866 transcript:CRE08079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08079 MAVPSTHEQSKEKVEVLARACHDYNKLAHKLRTTTILNDFDILYDMGKYQLVVDGQTKLDEAIKHNGKKLVVEFLLQNCKKNSVTHVNSGSMKPVPELEIGKLSIGQSSNAGSLAGKSYGLQSTTSRYSEKIRSFPGRYNLGRKLGEGTLGSVYVALSKKGGTYAVKIIQKHGRHLPQEADIDYLRMLQHERIVKYLFIVEPSGTNDIHILMEYMHSGSLKEHLENFGPMYHELVKTYTKQILEGLDFLHSNNIIHQDLKPANLLLKNDESQRLIKIADFGSSRFASLKKTRAGQQGRTPKYTDPGVSLGRDIAGRRSDIWSLGVIVIEMYTNVFPWDIEGEHPITVPRILDENPPKIVKNENERIDEDLVKMAKMMLLPVAQRPYAANLLELAVFEDTSQDDSDSDDFF >CRE08219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:545879:552927:-1 gene:WBGene00079867 transcript:CRE08219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08219 MRIPYDSTRTDVLVDGDIARGDCEVLTPYLDSVDLVEMIVSIFFLISWTLSHCYTMETYRIDWLNRRAGLCRIYLNLSRDDCLDLLSNQLDSFPLLYDGNIELIGDCEVLIPYLDSVDLVEMIVPISSLISWTLSHCDCEVLIPYLDSVDLVEMIISIFSLISWTLSHCYTMETYRIDWLNRRAGLCRIYLNLSRDDCLDLLSNQLDSFPLLYDGNIELIGDCEVLIPYLDSVDLVEMIVPISSLISWTLSHCYTMETYRIDWLNRRDCEVLTPYLDSVDLVEMIVSIFFLISWTLSYCYTMETYRIDWLNRRAGLCRIYLNLSRDDCLDLLSNQLDSFPLLYDGNIELIGDCEVLIPYLDSVDLVEMIVPISSLISWTLSHCYTMETYRIDWLNRRAGLCRIYLNLSRDDCPNLLSNQLDSFPLLYDRNIELIGDCEVLTPYLDSVDLVEMIVSIFFLISWTLSHCYTMETYRIDWLNRRAGLCRIYLNLSRDDCLDLLSNQLDSFPLLYDGNIELIGDCEVLIPYLDSVDLVEMIVSIFFLISWTLSHYDCLDLLSNLVESFLPLSHCSKPS >CRE08105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1041041:1042092:1 gene:WBGene00079868 transcript:CRE08105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08105 MPHHREPGFIFCAYLKLLLLFFIDPILILVFVICHPTYSSSFLLSHQAFWHCSIVTIISFLIVFQPKTSEANPDDLHKIFYFFLMIYLSIFCHADESILKYIKKKVQKMSHIIIGLFGMILSVWMMIGCIVSKEFDFYRTTAGCIYMLCICSLTFFYLVFSSFETDYYISLPSANQPFSGIKLYVVTFGLFHLMVGIAVINLTSAWPISLHLLASSFVFCADAYSCLFTETYIFYDHRPLMIDDVENNSANEIVCHVVVRRMYEKMKNPEDLPKIFKFDDEVEDYLYLKA >CRE08074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:742228:742636:1 gene:WBGene00079869 transcript:CRE08074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08074 MTTLNEDVMNTAFYEPEYDFEAEILPSKSTTEKMSSDECEEWFDQFKKEHPLMNRSLPIAVELFSILFISLVLKKSVISNSVDKIVAHLYYFLICFMF >CRE07999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:150162:151742:1 gene:WBGene00079870 transcript:CRE07999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07999 MDDNSDRSNVQSPVGDRLREEEFAVNKNLNGFEPNIQTVGSMDSQYSVSRPQDGTSYQFYPSFPSTSNNSTTHSAMEFMRPYQTPMGSYTPHRGTPVPVFATQPNVGSAPFLDRLNSCVAGGVFNLFRAGKLLHEGYMNRIPTLIEDCNKFVQKHSKSVTSYILPPLDCYVDSNVSLIITQGQLLYGLLTKPTIPNSVYNSDSDREFKKLRGPLYRIRHMKCFYPSDPPFSGSEISVTPIPFDNRHTDKAHITLKSYLFQIVGASYSVPQDIQSELWSLPFDNELSTRTPFPVKLYTDLRTLFLYFFGIEEDELECGQWEDSFSRMRCLQPWDSLANKKRKYQALSLARSMYMGKGGFHKCLKEALKEIRTAVYSPSLGSKESGSWSYRDRNSKRKIRAPVSCGDNQDENISTPTTSSTFRHNPSNLNDSRNFID >CRE08168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:242039:242735:-1 gene:WBGene00079871 transcript:CRE08168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rps-19 description:CRE-RPS-19 protein [Source:UniProtKB/TrEMBL;Acc:E3M3T0] MTRATSIKDVDQHEATKSIAHFLKKSGKVKVPEWSDLVKLGVNKELAPVDPDWFYTRAASLARHLYFRPAGIGAFKKVYGGNKRRGVAPNHFQTSAGNCLRKAVQQLEKIKWVEKHPDGKGRILSKQGRKDLDRIATSLRSSGQQA >CRE07988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:52204:56273:1 gene:WBGene00079872 transcript:CRE07988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07988 MNPRSVIGIDVGTTKSCVAVFKDGIVQVIPNKQGNRTTPSMVAFHKNDVLAGELAKLQIDENPENTVVGLNRLIGRNIDDPLLQANIKNYPFRVIIEEENTPKIEVKYRKEVKQYSTEKLNALMIFKLKSMAERYLESPVTDAVISVPSHFNNSQRQAVIDSSKQAGLNVIRIVNDTTLAAIAYGRIQKILPMEQNILVYDLGGGTLSVAILHLDEIYKVKASSGNVDLGGEDFLDRIVKHFVEEIKITYHKDITQCPTALQRLRNECEAAKKALSSYSKIGVHINQLFEGIDFESIVSREQFEFLCEDLFRKTLDPVLEVLRLAKMSMREIDEVILVGGSTRIPKIQQLLSEFFNGKPLNKSLNAEEAIAHGAAIHAAMCSDHNQFKDLLVLDVSSLSIGVDNGKGIMDPVIRKSTGIPTTVSRRYETVQSDQSELIVQVWEGEDFKTKLNHLVGNFKISGIQPSPVGTILIESSFNIDVNGILTISATEYPTGNHLCVTRSQEPVQLSAMAVSIENEKIRRIRMEQERKRAAKRREQFKKIATDFKELMIREPKNIIKNCDKMLEWLEENQDCSESEVKHKFKGALGYLDRRIAKFSKTSGTDRYSHGK >CRE08023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:322838:323410:1 gene:WBGene00079873 transcript:CRE08023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-14 description:CRE-RPL-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M3V3] MVFNRVVQIGRVVFIASGNDQGKLATVVNVIDGNRVQIDGPSSGVGRTVRNLKDLHLTKFVIKVRVGQRSKGVAAAFDAAKVTENFQKTQWAKKIAQRAIRAKLTDYERYKLMKAKQMRNRIVRVELAKLKKAQK >CRE08183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:360031:363111:-1 gene:WBGene00079874 transcript:CRE08183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08183 MHNEEYCIGYNFLEVSESFREDGLEPITLAVHGTPEMMETIEKKPSNWDGPISLALFIDYHSQRALEYLSDVHRCDQEFRRKMTAHFTFRLSPFQKSCPLINVTSHHQDCKEFLKNRAKYRNEIAGSFQLYPINLMRNIARRGAKSDIHFIADIDMIMSEGFATKVKRISNEMIDGKSKKVLVVRVFQFHHRHFFVGHQIPNISYWFEVSNASDTISAWEIPYQGSVWEVQVILHRNDPYNADYFPARVRDMQSLIYSLCRANYTFNLLSHVFDVHEGIKTKDTDYSKSVSAYSRKYGRQKAYSRYVNEMNTMYPSTSERCGKFEM >CRE08014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:271564:277969:1 gene:WBGene00079875 transcript:CRE08014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08014 MPSKSNKKKAAAERSAKLANEATEVAESAPTPTEPPPAAATTPSQPTPEMPKSSKPAPPKELVAPSKIAPLKKNKPLKVTTNSYQMEVKNVVCYRYDVKIVGQADGRNQFLLTGSKGSDRQKQTELTEILKLAMKKENITQLYIYDGAATLHTPAQFNVKKNGASGTIITTIDSTELSPSLRNSYFSKSHGRFDVVVELNSAQPQLHSSDLLKESPDSTSCPVTQMIQIALCEEAKRNNFLITDGGNEMFDRAGVTQIRGVEDMNGVGAGIKIAMGVEGKPAAHLILDYKKKQFFANTPLANLNLDWTNIPKVKNYLKGLKVSTKTNDRPQTFTIDGLSSLLMGQIKYDGGSVLENAMRCTGKPASAFNTQIPAIESRQFSKREGKKKAFNFPMEILVLAPNQKLNPKHGNPPRCERPHKRFELTKTVGEKAHILSPNKILESFGVKIRPEPIVVDAVTVPIPTIQYKTTCTAPDLSKQAKWEVRGPFVEPATIGKILILYNSTNPQDSDKLIQLKGQFARTARECGVTISEIELENLAQTYPGASVLLAIEKKFAELKSLPVAKKPLVIYADYSSSPTHGFLKLQERLCDVVTQQVSFDKSLSRPTIGRSTMINFMLKLNLKSGGLNHKVKPDPSIAHLYGDSSNTLFISYDVCHSSGILYRKGEVCDEPSCVGFGFNGTAHPEAIIGDFHYQLPRTEQVDDEVLKTRANFILTQYATARKKFPDQIVILRDGVSEGQHAMVVNNEFAAIKDGIMTCLKNNKQTKVPALALLIVTKRHANRLFVKDTQGSPLSLVTSLKIMFSGISNVPPLTAIDQGIVRKNGNEVIFVSHCPLQGTAQPIVINMLHNEKVFKTNDELVQLMSVMCCAHQSSTTIVSLPETIYAADEYAKRGADIFQAYKSQPGVVLPTKVTEETGEQLDFLNITQTLCYFKSSFKGRRIA >CRE08178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:314874:317513:-1 gene:WBGene00079876 transcript:CRE08178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08178 MENNKRGVVEAKGIKSHYFQTLANYVSNNLELLHNNPKQANSFAASVFGSTAPIDEKDLLDLLVPSDANADALAAGMDCCLLLGEKYRPHFDAAVQQLARLGRTHDVATVIDDEKKFTALSKKTKLKKTDEAKILQAFFKIHSTEDEEKFEAISELCQLDLDFDAYVFIKALTLENEENQELVETIKDNLVEAWNKSNPLLVKLLLEGVKEQDPVDKFTYLLLQPLTEATLSDAVNFIVEKYSAELPDEGDASLVVRSQLGCQFFFLVTRTLAHDQRELAKLVQTLIPRPVRLEVFPGLQRSVFKSSVFLGHHIIQIFMGCEFCSIQSIKNTMFSAKKPFQDWSFVGLAQDFECPWRRLAIAELLKKFSVSVVEKVFDNPVALIPQHESDNEALIELVTNALRFALWIVEFYETETNEKSIKELAFLDHSSKTLLIESFTKFLQGKDVKDQDHLKRIIDALEKSRTQETKSNLEYSREEIKTKMPSSSSAKAQVLHGLNTSAAAGLIVPSLSLLEIPVDEVDSTSHLTTSKDIGQGVFVKAQDTVTEKQKEAPLVAQQTAFHHEPQTATLVPPSPNEESMAAESISTDGWDSPTKSVVLPLDDMILEEEERDALKPDSVNSHRSEETTPVPEQLPQETSERVTSPPPGERSRTAWGDGDATPMILATPTNDYKVSGFGGAKLAKGFGTMGSTGGGFGGGGGGGSYGGRGGYGGGDRGGRGGGFGGGDRGGRGGYGGGDRGGRGGGYGGGDRGGYGQRGGYVAGGDRGGRGGYRGGGGNF >CRE08063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:670356:670739:1 gene:WBGene00079877 transcript:CRE08063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-12 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LKS0] MSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE08034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:393108:396157:1 gene:WBGene00079878 transcript:CRE08034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eat-16 description:CRE-EAT-16 protein [Source:UniProtKB/TrEMBL;Acc:E3M3X5] MPPLTKIEVLAKRVMEGAQLKTHKYFRIAVPHAITGQQLIALVLERGAPDDEAEASHLASLLLHHGYMFPVIEHGQPFKDDGTLYRLQRPYFWPSQAELVPDVEYAIYLNKRLLRNEQKHGLEEDEVESFNRLADVLAHMWAFIVQQSELQLKQQKEKKKVDKVVFDSEERAFWKIRKPSRGGPNFLEDPYMKTEKKIRRQNAQGYRCLMDRLRFAIKTKPWLKALKASDTMVTWVDQRAEFDPFLHPPQPSNPWISDEASYWNQPTDTSSAEIPTEKRVKRWGLSVQELVKDPIGRQVLETFLESEFSSENIRFWIAIQDIKYAPNEQIYQKAERIREEFLAQGAPAQVNVDSRTLDQTLDCISKAKDASQMRFAFYHSEEHVFTLMAKDSYPRFVRSQIYKAVLTAAQQHGTKRLGWRNFVFNMGTTKKPTSSKPAKARFSRPQDSIGTCQALPKQLSSDSLPVRQAHGVKPDPE >CRE08055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:597415:598185:1 gene:WBGene00079879 transcript:CRE08055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08055 MAFNRPASKRKQTSTDDDPPLQFVPYSAYKDLYDRVAALTSLVNQLRGAIIDSGQNKLAAAVTESCEILPDMSQIADPLLQDPFVAANTPSPMDTSSNIPQVVTPSVTPFSTLDIAREAAKLLDKATRVVIERMPDDRDNNAQDERDLDFFQKLASSHHLPAPKKAHRHQCSSKFRPLKLQFDSSLDRDTFLHGFHRIRSSDKSLMDITSKPRARRDLTQPGTGPPCAPLGKFVYEQNQELPKEVEXXXXLPLSSI >CRE08031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:377571:378714:1 gene:WBGene00079880 transcript:CRE08031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08031 MKLFNLPYLAYSRIITSMNPIEVISLSFCSKKSRERIKQVHFHAYYAVISTEVHEPKSPTLQLQFSPSTQCILIPFQRAPRWARCEGRRFTGVIDGVKHYFRCMDDDDATVLYSDIMKSGFQISSYILDLLQKKLKNLKIDLNLIDDLKRFITEPCLKSLSRIEIVSETVTAEKLSVFFNNIENPVTHVQIFSKVEGRVDPNSIIFRSYILIIYETNWITREHLLGFNGKYLCFINPTFGIEGVIEFIKQWKNGNNTKFVALKMTKVPREVMNRDRFISEFDAKPWDPTKREKCYIYDKEITDAQDIVADLSEGLDFERDDGLLATIRIRPHRALFQFFVWHKRFTVTK >CRE08208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:490801:492032:-1 gene:WBGene00079881 transcript:CRE08208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08208 MNNLIVSVEKARFNKIKYILYKLTHSALIEAVNYDGTSEVILTVDTTLCNLMNKKKKLYISDTLIESKWYTAKRTKTAFADEVFESVQKHSYGLFGNNKECKLYVIIYAYGFRLPKLKNISGSYINIIPSIDGKALDDYCSVSTDQDFVKVATVIEGRLKENSKFYGMKCIDINILDNKTTTALDLLREFAGKNAFIKTSKLEHRDVIQFLKKWKSNESFEKLEILQVTLRDNSDSEPMNPVEIKNAIAIKTLSESVSSPVYNCKTRIDLNPDISRVVSFNSHQYIVREHDNRVASVVITEREFSIGVWNLTEDEFLEKFAGNN >CRE08157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:192935:193835:-1 gene:WBGene00079882 transcript:CRE08157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08157 MDSVAFEFDSLPLITQIRLTNFTGEFGGRVCLNTSKITPQQQKGPTCGLVALSMCLEHFGVKISVDDILERAKSMGFTKQGEMYSVDYMSSLINEYLPNCSKAIEMPNAKEFTQSICEGKHILVAYDCGPNFQPVYSKGNSAHWLLACGFVEKQESIGFIETRESIADPSEIAIIGYQGKSTNLNIFPFNEIIASNAQLFEAGSKRDPDEYIIPNPADLSEIRNRVVEIGSNSC >CRE08206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:483313:485137:-1 gene:WBGene00079883 transcript:CRE08206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08206 MNPITLFNFPYVVQREIMDSMDLHTIFLLSIASKRMNNLIVSVEKARFTKIKYILMNISYTMRIEAVRKLPSPRPQDLVLIYNNYLTNNPDRVFSRTILESIQNHSYSLFGNDKEYQVNVCMYQEFQLPNLKNVSSSYIWKFPTIEGKTLDDFCSLSPNQEFLFVAPEVKGQLDDSSVIYGYKTIQIEEKDAMIVPSILREFRGNQAFLWTSKIENSDIVQFLKRWMLNDGFDNLEVLTIDSKLNSQLFDPNSQLFDPTEIRNSIGLRESNTAITPPIFYFKRRFRSNREELISAESATHFHIVREHDQRVASVMITQRKFFFGVWNLTEEDFIKKFSEMNDELEETKTQN >CRE08144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:95477:105662:-1 gene:WBGene00079884 transcript:CRE08144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08144 MAPKKTSSRATDKSKNEDDEEHSSENSNDECEGETSKASDPKDGEESEGESSDASDEQEDNSDTSGDEGEAEPIVLNVTQRAKRVTAGNKMAALLASADQEDEFYKTAYGGFEENDEVDREFKSPVHSDDDEVDSDFDKEEEDDEPVSGGEDDGKPRRKKKKFNEPRRGMTADDILAKNKKWAMARLAGNMVAANTVDDKTQMAMLKEAEKTEKMNIESLKKYEEFELERKRKREKNTVRVFPPGPREQIKMTAGGTTVTVSEVKTFKCDRPRDRNLCAVTGRPARYMDPVTKLPYSTAYAFKVIRDKYHKHLRTIRGNEEVTSYLSSLKALPTPPMSPRVTASTSGPMTSGGLLAIAPLPKGAVKTN >CRE08147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:117305:118246:-1 gene:WBGene00079885 transcript:CRE08147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08147 MSSGARATISSPLTETQHLREEIESLYEELHTQRLFYQSVFQMDVVQVALENERLKTQLISAIQEMEAHGEEMKKAKRVIEDLKEKEEDLNEEVTACHGHIRRLKAELKKEKTEVEVIGKDHELLKEKFNYVDALAERYLKQEEVEMLFEPSDNEGDDDEEEPDVEEEQIHDAAGLHAENANDADAVDAEEEIPSDPF >CRE08156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:188816:189847:-1 gene:WBGene00079886 transcript:CRE08156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08156 MTSPVVYPEQNRVRFADEVHFSDDEPPMEFNMCGSRNSPKFYEHRITIVRVAFYLGFLEILACLLFIVWTEHQYLLFQMAMQLVLMVVQQYDFFVGFVIVCYVALVEILILMVMVGFEIFKPERSILLVLYRNMTPNYRLALFISSIVMLLWCIFRLLVTRRALYYIYHLRYALNCDGDDEEEGEEDVEVIVEILKEKEGVEL >CRE08228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:661987:663138:-1 gene:WBGene00079887 transcript:CRE08228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08228 MKLLSFPYLAFFRIIISMNPIEVFCLSFCSKKSRERIKQIHFHAYFAMISTRLVEPKSPSLRLGFSRSTRHITIPFQLAPRWARCEGRRFTGIIDGVKHYFRSMDDDYGTVLYSDIKKSGFQITNYILDLLQKPLENLELDLNLIDDWKGFIADPCLKSVSRIEIFSKTVTSEKLSVLFNNIENPWHVHIHSKVEGRVDPNSIIFRSVMLIIYETSWITREHLLGFNGKYLCFDKPTFNIELIIEFIRQWKNGNNTKFVALKMSRVPEEVMNRDRYISEFDAKPWDPTKREKCYIYEKEITDAQDIVADLSEGLDFERDDGLLATIRLRIKPRRNLFQFFVWHKRFTTSY >CRE08233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:673137:673547:-1 gene:WBGene00079888 transcript:CRE08233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08233 description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:E3M367] MARTKQTARKSTGGKAPRKQLATKAARKSAPAAGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE08165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:230303:230966:-1 gene:WBGene00079889 transcript:CRE08165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08165 MLRNWRVLARSFATTASEEATPKVDISFLRPRHRIIAAGGIPPVQFDSERERAARRERFGRYGLASGVPVEELFPTAEEIEEEQAIGLFREFNDVKKEYNELQKKKKEAEVARLAELEKNLKKYPAALAKYEASLVKQEREKDDKELALEKRIREIQEYFGYWMDPKDPRFEVMLQQKEAEEKKAAKMAKRDEIQKKRYAENVQ >CRE08027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:369341:369751:1 gene:WBGene00079890 transcript:CRE08027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08027 description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:E3M367] MARTKQTARKSTGGKAPRKQLATKAARKSAPAAGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE08252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:784698:787536:-1 gene:WBGene00079891 transcript:CRE08252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08252 MALYLNFRRLRAAKKVRVMFNTFLVMAAMVLVSPARPGKARQGPARPGKARQGPARPGKARQGPARPGKARQGPARPEGPARPGKARQGPARPEGPARPGKARQGPKMIHAANYCMKEEELTDDQFYDELQTNLFKNQQHRIAEIECPDLKDNSTCLYIVDRIGYSGDRSWFVARFLTPVPSLTKGFLSVSLLSKPVFKTMSTVDTAVWPVDIGTMDALTPNAYELTSLFTSGHYPLSTDISGNITFLGVATGGLMSFLAMHFKNLNLTGIDINPQSEYLAKKWFGYKDRENSRILIGDGAEYIKEMARRGENSDAVLIDACHNIEPKDGIYCPVEALRTPEFLDSLSRVIGSKGTVILINFRFLTLILSGITTFNLFNLYNKSGGYEKVWENFSQHFVDCHLTRNDIGNAFLMCSNYKLDRSKVDIPKTREFLRQLRIQGFMKKLL >CRE08010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:239613:241537:1 gene:WBGene00079892 transcript:CRE08010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08010 MGDFMLDTPPEKFVKDFQRICTKNPNVTLDTQLSELRKYSRWFDAPIHRKILYLDSLNEEQKNILIGLSPSFRKWIYTTSALTSVTIESKMVEPTEEPFVEDDPSRTKKSKRGRKLETGTYRYGQYTAKLGRIVSVKTIDDVVIKQHQVWKFEFWETKDYKDFCRSQIIEVTPKTPAPSTEPKIEQLGEDGWIQETSRKLVGFMNALEENFAEELDIGLTNWSTVVELNNKFRNVRSLRIVHSTPGATNWWMSQIKMMKTIPEITMLRNYNGEDPIEAEYRKTHKFKNCEAMPYIIPFPKREAPVWVPVVPQCEHPIFWARKLTFENTIENSITDFTHMHSLAEVNLKRFKLPAQELAYFIRRWGNGDIGHRLERVVIDMDYPSDFTKLREEHEHRNVKRFVGENELSEVFADHAKLETADVYLLQRVLTIHGRRKYHITEHSDCSRAESGYSSYGSGSDRGASSEGSGHSSPTPDSEESQSQYHTYGGYAVLELSKDNKRVVFTAVRDQASAKEAVKKRPLPIEKKTTLE >CRE08237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:709858:712822:-1 gene:WBGene00079893 transcript:CRE08237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08237 MKTMKLSSFPSVVQTEIFSCMELIDILFLSFCSKKFKERIESTMKSRLDKIISITYFSSKPNNVSISSSNSNNKEFMKLVPREEAKNRPVVQMNLFGIDVDCCKATRNHPFYILCNQQDGEQMLQTIHNYFRGFFGCSIEYHLENGSLNYIPRLENIKNSILYFRNEATAAQLLDRYLTVSSSQDYIRVSSIRGCEYERSLKLAQTKVLEIMISGSRAGGLLKNFKGRKLFINEGILTDDDIIQFLNSWKSDNGYHNIEFLNVTLEEEIFLNRENIIKNIDIKRFDVMKKMPVYRYDRRKGWDKCDWKIENFCSPHFIVRDSDQHVASLKITARGIQFAAWKMTEEEVMIERIQKTFLRTPFQKADPSKNSIKLSKFSSLVQKEILSNMELVDLLMMASCSQKFYQNMKSMMRSRFDKILTITYESRASNSIDITSSSSGENSFMSIPGSLRARPLVSINLCGMDLQVYMPTRNHPLMIQCNTVQRETMLTSIHNYFLDFFGSSIKYQLIVSMLTPTFSKLKNISSTDLSSDSTMLMAEFHDFLKISPNQDFIKLSELECPLLGRNLEFAKAKVLDIETMYCPAEDILSNFEGRQLFINNGAIIDTVVIQFLNKWRSNEGYQNLEYFSIFVSNPLNSNQIMNSIPINRLDPSDQLPVYQVARKDHYWKRTWGIHKFSSPNYIVRYSDQYVASILITADNITFAAWNMTEKGFMEKRPVKRLY >CRE08088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:834263:838452:1 gene:WBGene00079894 transcript:CRE08088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oct-1 description:CRE-OCT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3B5] MSAIKPPDFDYVLEQVGDYGTYQLVFFFIICLPASLPSAFSAFNIPFVVGNPPHTCHIPSGKEYLRPLTDDPVCLSQILSCKQYNETQINVFRAFTSAPVDTYSDRIDLVPCQNGWDYDNSTYLDSLVTEFNLVCDQQSWVEISTTSFYVGSFIGNCLFGYIADKFGRRRSFFVILIVLVVCGTANSFAKDIESFIILRFFTGLAFPALFQIPFIICECLENFPELTSLQFLGMEFMGNSGRIFSGLMISLFFGAAMALLGVVAMFIRRWRQLTFFCNAPFAVLFCYYFFLPESPRWSVSVGKWSEAKAQLKRIAKMNGKTDVDVDELVDSMKNHQNAAEEEERKRSHNVTDLFKTPNLRKKTLIVTYIWVMNAIIYNGLTLNVSNLPVDDYWSFIINGAVELPGYFVVWPLLQCAGRRWTLAATMIVCGIGCVSAMFVPDGYPWLVASASFIGKFGVGSGFAVIYIFAGELYPTVVRAIGMGMSSMVAGSGLLLAPHIVNLGKIVKILPLLIMGLMALSAGILTFFLPETLGAPLPMTIEDAESFGKKPDPDSGMFTQAAKKRESQPLLEPHTPHDRKRRSSRLMNI >CRE08020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:309128:309562:1 gene:WBGene00079895 transcript:CRE08020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08020 MPDYEKELDKYEKSVEEHVKKVSQQLDEELANINKKYKEKVELMKKMEVENKKMAEENERMRLKMEGKKKV >CRE08048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:516173:516903:1 gene:WBGene00079896 transcript:CRE08048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpx-1 description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:E3M330] MSSVYDFTVKNANGDDVTLSEYKGKVLIIVNVASQCGLTNKNYTQLKELLDVYKKDGLEVLAFPCNQFAGQEPSCEVDIQAFVADKFKFEPTLFQKIDVNGDKASPLFKFLKNEKGGFMFDAIKWNFTKFLVGRDGKIIKRFGPTTDPKDMKKDIEEALGVRL >CRE08068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:686635:691254:1 gene:WBGene00079897 transcript:CRE08068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sys-1 description:CRE-SYS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M372] MHPSGKTSIGPTGHYHQLMPPSQMPYHGEQVPTTSHPSWHTVSYPGLPTPPYHPYPPQQAQQHPNYPPIPPQQPPPQHSQMTPQALLTQQQQQQVQQQQQRSAYSSPSPTRAPSAPVQNQKLRTEQWVQSWPYAQSPAPSVTPSVMSYHQGDDRMSMLSVNTTITNQYPDSQRCFSSNGSTCENVNPEMMQQHNFAQAAEQAMRVSTEFYNYNEWFSTPDPQRRFHMAMSLREWVRRDKLANIDQANLPNCILHLLNIIHDGLKPQPVQLPGAYYFQAQLYCYLLDILFRLTQYRTTAPYIHLVVQLFMPRENGPHDFRDLICNVIQPENLNDEHMSYCAKQTFHIFENLLQYLNNPKNEKLRADFARNIKYMRLFQTLLRYLDPTLPLPLLNPTVLLLLRFLISKDTQIKDGMIWNKEQQRDRVPPESVLIALKGVVLRCHQQMSFHDPMTVLQNPDLKRLIQVVTRAFDLLNLLMHDSNAIDGFVKADGVKMICTVINYQNTELARAGFKLLLQVSDAKSLCLINLRETLPFIIARIGDSLERHEEDDVVYSGTGFLSNVVAHKQPVKELAIGNNAIGLLHKTIVKYTPLSDFNEGYKKKLACGIISNSLRALNNFLMMWIPMQNGQRMEMGQVEQQQVCKFIEDDFLKRLMTCLSIEAFDVAPLLELRSTILRFFLLVLRTPSIPKESLLRVTDDLRKRNLVGHICIAFCWAYGQQTNERTQDTKNQLIERVFSLLIRLMEQCEEEQVAHGLYSISCPLSLLKSNQIKPLFILNVLRVSDKILQHCPLLADSWATYRGTLRMLENHSNPDIEQAVSSLLRKLPIMDAQMDDGLLQNHHITDLG >CRE08276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1029525:1029977:-1 gene:WBGene00079898 transcript:CRE08276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08276 MYHVLIIAMRSSNDTTAHYNSIATIYQTYEILGFIINNILFLCLLVYKYRKKADKTDENFHEPIFNQLFYNSAVCLAINDICTLVSFVIGDPGKAISAEVYIFSLAVLLEMLFMWLASVMFAIITVFSFLAAIQRIVILYIPNYKYLVIG >CRE08073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:735596:736848:1 gene:WBGene00079899 transcript:CRE08073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08073 MVEGGVTIVKYLLFLANLVLWVGGLSLIIIGSILQLKFDNVLDILGDERLATPIILLVIGSLCTLLGFLGCCGAIRENYCLTVSFAVLLALLITCEIAAVIIGYALHDSFRLGISNQLQTGMIRYHESRGVESAWDKTHQVSQVIQGLFECGGRD >CRE08049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:524171:525058:1 gene:WBGene00079900 transcript:CRE08049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cco-1 description:CRE-CCO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M333] MAQLAKTAVAALSKRLVAPAAVARRTMATEASPEDYGYYPDPLEHATGREKKMLLARLAGDDRYEPKVYYRAEASTKQKPNLVPSHYDFRIIGCMCEQDSGHVNFMTIAKGEPKRCECGHWFKGVDADPESI >CRE07986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:3180:4002:1 gene:WBGene00079901 transcript:CRE07986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07986 MKFTLLAAFFLLAVFVTSTDAASTDGMCIMCSGLIQIPKNWKDAQELLSYGCKSLGEAADACTGMINAADLTASYPRMYIWIIRLRAIGCQKFCQ >CRE08043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:479067:482722:1 gene:WBGene00079902 transcript:CRE08043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08043 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M319] MLTSSDGGSTSLTKSVEDTGTKEKSSQSSVTHRILKMKLKKITNWSGCSGAHLDDEPFYHGYMSREESEKLVRNQGEFLLRKTELTKRGEVVVLSVFWDEAAHHLVVEKANNGLFYLKEFCFENISDLVRYHHQTRVSVYKSGIKLFSWVVREEWQLYHEQINLGKKLGNGEFGEVFQGMFSVGIFTNDVEVAVKTMKGSKVTADERITFLREANLMLKLNHKYVVRLYGVATQQEPIMIVMELCSGGSLKGRIEKKEEEMSGVLKRKYCKQIAKGMRYLEKKQVIHRDLAARNVLLDKSDNCKISDFGLSLFGKLHKEQKLMKVPIRWLAPETLLKGIYSSKSDVWSYGVVMFEVFSRELPYSEVKVEWNILKELRRKVAMENLRLKPPNEMPDEDRKVMEMCFEPVENRASFVEICKKYKDLTSPLPSWNGLANRLVGIGSAV >CRE08196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:426805:427943:-1 gene:WBGene00079903 transcript:CRE08196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08196 MKLFSLPYLAYSRIITSMNPIEVICLSFCSKTSRERIKQIHFDVPFLGISTRVNKRKAPVFQLRITGYRHLSIPFETAPRTSRCKGRRFTGTADGVKHYFRCIEGMTGTVLFSNIPKSYFKITNYILDLVRASLSFLVLDLNMIGDLKGFITEPCMKSVSVIDIISETVTAEKMSIFFNNIENPVKTVHIHSKVEGQVSTSMNIFQTEILVFYETSWITREHLLGFNGKVLGFENPPFDTELVVEFIRQWRNGNNTRFVALMMTEVPQKVMSRDRFISEFNAKPWDPTKREKCYFYGKEITDAQDIVADLSEGFDFERHDGLLATINIRPPGLFQFFVWHKRFTVAE >CRE08083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:794907:797483:1 gene:WBGene00079904 transcript:CRE08083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08083 MKTMKLSSFPLRVQTEVFSSMELIDILFLSFCSKQFKQTIESTMKSRFDKIISITYTSSRPEYATISSSNSDDKEFMKLVPREEGRNRSIVQMNLYGVDVDCCMATRNHPFFILCNQQDGEQMLQTIHNYFRGFFGSSIEYHLKADGRNYVPRLKKIKYSDIFIQNEGAAAELLDEYLDVSPSQEYIQLYAFGGFEYERNLKLAQTNVLDISIPDSRARELVNNFKGKQLFISDGIVTDDDVIEFLNSWKSSQTQQNLEFLSIHHWRGMNLNPEKIMRNIDLKQFDPKQKMPIYRYDKRSIFGQYFWKIQEFSSPKFIVRDADQHVASLEITTRGIQFASWKMTEEEVMRGRIQKTFQKTPFQEASPPRNFIDISKFSWLIQKEILSSMELVDLLMMASCSQKFYQNMKSLMRNRFDKIFTITYESRVPSRINISSSSSGDNPFMSIREIYEFRGRPLIPINFTGMDLQVSMPTRNHPLMILSDLEIQETLLPSIHNYFLDLFGSSIKYQLNVYELMPPFSKLKNITSTHLSSYLLADFDDFLKISPNQDFIQLFEIQSPLLGRNVEFARTKVLDIAKANRFAEEILSNFEGRQLFINDGIISDAVIIQFLNKWRLNEGYQNSECFSIGVSSFTHPLSPNQIMSSLPINRLDSSDQLPVYQFDKRDRNSKHTWGIHKFSSPNYIVRETDQHVASIMVTEDNITFAAWNMTEKEFLEKNTFKRSY >CRE08188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:384460:385757:-1 gene:WBGene00079905 transcript:CRE08188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08188 MSWLLADMKKKMNDAKSTIEQSLKQVSESATFKTESPKSEEEKEQKEVETPQVTPAVEEPTSPQESATESAKKSMAALFGGLKVGGGVASSKLFEYAKDAGKKLGEVKNAVIENTMLGDLNKEQDEFEKQLQEEREKLKNIDMPWQGLPDENLAKKQMLSLSTDTRNFLRDSPANSEYSYDQQQAMAALLLKEDPNLANVRFQLVPKQVKENQFWQNYFYRIGLIRQSMLAQGTGRISPTVLAATATSTTEEKKAEEPKVEPISVEVPEASVKVEEPAKIEKKEEEVEGSTTNDESCEDEDDEEVKETVSEQPSAPGEQTLTSVDEEWEREILADLNDYEDVVEKTGGKDEDAWEAEIQELLNAE >CRE08060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:620481:621311:1 gene:WBGene00079906 transcript:CRE08060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ncs-2 description:CRE-NCS-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M356] MGIKGSKPKLSKEDLEFLKKNTNFTEEQIKEWYKGFVQDCPKGHLTKEQFIKVYKDFFPSGSAEGFCEHVFRTFDTDNSGFIDFKEFLLAINVTSSGTPEQKLEWAFRMYDIDGNGTIDEKEMIKIIEAIYEMLGPEVTKSADDSPRKRAKMIFEKMDVNNDKELTLKEFVDGCLADKELFQILTNEVKK >CRE08150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:129244:129976:-1 gene:WBGene00079907 transcript:CRE08150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08150 MQRYNPPTTSAQTYCHGCQRQIRKVQEMMDDKYLTNIEYQVDKNSMQRQIEALQRQLELERLQACTMRAMLKRITPDEKVQELVEINKKLTESLQKEVKNLEEYPLEEWYNAIQQKWTEKYQNCHDYAMEKKEEMLIYKEDAEKTHEDAQWYRRELAKANKMLMKNEQEIAALKRGADKENVKNGAVEKKDDVKEASARSMQIAQGSKRSSDV >CRE08191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:412742:413523:-1 gene:WBGene00079908 transcript:CRE08191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08191 MTGTVLFSNIPKSYFKITNYMLDLVRASLCFLELDLNVIGDLKGFITEPCMKSVSVIDIISETVTAEKLSIFFNNIENPVKNVHIHSKVEGQVATSMNIFQTEILVFYETSWITREHLLGFNGNILCFKKPHFDIELVIEFIKQWRNGNNTEFIALLMTRITDVQDITSDLSEGFDFERDDGLLATILVGPPDLFQFFVWHKRFTVVEYN >CRE08254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:799174:799833:-1 gene:WBGene00079909 transcript:CRE08254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08254 MAAAVLQRKGYDNVELSLSEDDEPVKEKKKKKTKEKKSSTDSKSKESKETSKEEDPAVEKKRLMTQKTQSLTEDEVKEKEEVKEKEEKKDAKSKPEKQGKVEMSKKLKKDESVKGSGSNAATPAGSTPMDQMKSKITPAPQANQAPVKVPGEKEKPQKTTGCCVIS >CRE07990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:106232:107235:1 gene:WBGene00079910 transcript:CRE07990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pabp-2 description:CRE-PABP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M3N6] MSDNNTIDDDVLNIDDITEDDADLSAIEGDLNEIEEEQKKLKAIQNEMVGHMNLNTSSQSNSTQSLLTPEEKAEADAKSVYVGNVDYGATAEEIEQHFHGCGSVARVTILCDKFSGHPKGFAYVEFTEKDGMQNALAMTDSLLRGRQIKVDPKRTNRPGLSSTNRPPFRGRGGRGRGGVIVKYVYAGGFRPRGRGARRRPGFAPY >CRE08000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:154112:156143:1 gene:WBGene00079911 transcript:CRE08000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08000 MPIPLLHFPYLVQKRIFELMNYCEVFVLSLCSKRMKHCVIQAKRRVPKIWYCVYPDETGIAVQEEGKRVETILEIFEENPWLTSGKKPEKIKIGEDFIALGTFETALNILNQICLIRVLKYGGTLTKSLYEHVKSIYRYTEPSGLEVHINKFTEKVPIYENVDKILVRGKSLKLSDLDTFLSQYPNLSTLMIQPSVIGQLSDSSKILEINNIQLSNPGHFGASLLSKFTGRNIACRDLFITEAEIYLLIRKWMRSEAYHNLETIHFSAAPDYDLDTDLIIDQLETEEFDPTKRPQWYQIDYKLFNIPTSPVDFSGDNCFDVIRENDGKRASFLSFPTFFMFLVWN >CRE08160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:202289:203238:-1 gene:WBGene00079912 transcript:CRE08160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08160 MLLFTILILLVFSTFADPDKFRFDVSFQCKYPPSYHYKVQFVEWDWWLFNSDDVITQEKRGVAPSGTFNFTMSGFLNGDELTSEGYDVRMKLSHNCTQNHEDVDSYMTIKPLCKIGEGLCYFRISKDISDAKGDIRVYGNLVDYNYNVL >CRE08202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:448531:449679:-1 gene:WBGene00079913 transcript:CRE08202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08202 MKLFNLPYLAYSRIITSMNPIEVISLSFCSKRTRNIIKQVRFPDSLKRISTEEHDPKGPVFQLGNTIKQFISIPFQPAPRRARSEGRRFTGTIDGVKHYFRSIDTDDACVLYTSNMNSGFKIINYFLDLIRGTLDELMLDFKVISDLKGFMSEPCMKSLQKIEIVSDTVSSEQLSVFFNNLKITVPEFQMRSKVEGPMDPNLSFLQTDRLYLKYGKCVKREHLLRMDVNYMVVFRSNLDIESIFQFIKHWRDGNNMRLTVAKIQGISEDVINKDRFISEFNAKPWDPSKRDKCYTYDKDLLPNDTPEDCSDGIDFERHDGLLATIRFMPCVRMFLFFVWHQRRHSEDA >CRE08242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:723299:725907:-1 gene:WBGene00079914 transcript:CRE08242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08242 MKTMKLSRFPLRAQTEIFSCMELIDILLLSFCSKKIKETIKSTMKSRFDKMIFITYSSTSPDEARISSSYNYKEFIKLVSRKEAKDQSIVRMNLFGVDVDCCMATRNHPFFILCNQQNGEQMLQTIHNYFRGFFGLSIEYHLRCGCLNYVPRLENINISRLAFLNRTTGAQLLEQYLTVSPSQEYLQLLSVNGFEYERHLKLMQTEIFDTVIPDSKAAEILNHFKGRHLFIRYATITDDEVIQFLNSWKSSQTQRNLEYLSIRLGGEIDLNPENIMRNIGIKQFDPRQKMPIYRYDRRYPFHQCGWTTAELRSPNFIVREADQHVASLEITNRTIKFAVWKMTEEEVKRVKIHKLLQKNRRGDPIVNLIKISKMPWLVQKEILSSMELVDLLVMASCSQKFHQNMKSLMRNRRFTISYKATDILSVDISSSSSGDRPFMSIRWTRWVEVRSYMTIDFCGMELKVSMPTENGPLMVQCDPSQEETILPSIHNYFLDLFGSSIKYQLNVRTLTSKLSKMRSITNTDLSHSGEIGTTEFQDFLTVSPNQDFIRLMSFENPSLGENPEFSKTKVLNIGYTYCSADDILSNFEGRQLFIEKGIISDIAVIQFLKKWKSNEGYQNLEYLSISLRTHRCQINSNLIMNSIPINRLDKSDQLPVYTFDKRGTYFGHTWRINQFSSPNYIVRDSDQHVASIMITENNISFAASNMTEKAFLEKHSFKRFY >CRE08017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:286066:290650:1 gene:WBGene00079915 transcript:CRE08017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-npp-14 description:CRE-NPP-14 protein [Source:UniProtKB/TrEMBL;Acc:E3M3U0] MSTDEVAQDVSQVNDFHFHTCRKFRLFSQKTEGSSEGVIVRNHLVTSSQLGVTFALYDKNQVTCFSTKSLLGYKVTRENMNSEVTDLSVKSIRLFGVESINDMGVNSDGTLLAILHTQNNDVSVDVFDIKTLCSSTSSDPFKPLCSTRVGTEQTNQGTCLEWNPAFPDTFAAASTDRSIMVAKINPQNPTSQKLIGIGKLGAITTAISWSPKGKQLTIGDSLGKIVQLKPELDVVRSQFGPDHSPAYGKVTGLCWLATTEWMVSFERGTDHDAYLMRCKKDKPTEWIQYHELSYTSSKWSFVPQLLPATQLLVDWNVVIVGNSKTSEISVVGKRDEWQTWVPVEGEGIYLPTTSNGKDTIPIGVAIDRSMMDEVSLKPDGSQKHRPSPLVLCLTNDGVLTAHHVISTFAPHKPCQIASQNLAIVGLTKLQMEQQKDASPASASSKPVAKPSTIFDQTPKTAAPPTNLFSTSPKPVTPLFGSTTPAATPSFMLGTPAAAPTPSTAASEPVPVATPKSAQEEAAAIEKKKEEALAAKKKILVERYCKMNSSMASTKDFMMKMSFAVGKLKVTVFQCAQVIQENFAGSKNVIEELRTIVTALERMSERTQHTVKEMDFETEEKMELVSGNENGERILEKLQEMSETEKLMRFNKMETAADLLYGKFEECNENMKKLRQSLIEKESLRKASVLSPLRNNSNLTHFRTSAETEIALKVMRNVSKIIVDTRERIQMTELEFVRLKRDVQKNDDKKTKKNVAISQPAEISILEGDAPKRSNVTDAQIVKARQTLVARIQKRGAVKTRDVIIESYKKPAEPRQKKDDEIDTSNLANAILKLSMTPRRVMQSSSIFSPATLTPSTKSDAATQADEPPIVKTVVVTVESPAKPIAAAPAVSKPVSKPATTTTTSSITTPKITAVKEEPKEQKQPLATSSSIFSGSLFGSKTPQAPAAKPSLFGTPEDTKPKVEQAKTPVIEEVQEKPEETKEEKVPVNEEKSENEQAAPIVEEPKSEPPKAEEIPKVVAPTETPAPAAPVETNVDTTPKTPSFSFNTTPKSTTSTPSIFGGGLKSTTPNTSNSSSIFGGAAQPTPVAAANTSSVFGGGAKTSPFGNFGATQPAQAQNPAPAAAPAVSFSFNTGASAPPKPASFGAFGGGAPAKPSSVFGASVTAPTVPNVDDGMEDDSMINAGGPGGFMSGLGNSTAANTSNSGGNLFAPKPSTGTTSSSSWLFGGGAASQQQSQQQQQQKVSIHSNRLNSKLFIFQPSFSFSNAGTSQQPAATSTSSVFGGGPKFGSSPVFGGKAFGGGAAAPAATGLSKNASIFGGGVSSSPSAPAASGGFAQFATGQKTSSLFGGGAAAATPQPNSSIFGGGAKTSPAPASSIFGGGASSNANKTSSFTSWR >CRE08082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:791351:793839:1 gene:WBGene00079916 transcript:CRE08082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08082 MRTMKLSRFPLRVQIEIFASMELIDILFLSFCSKKLKERIESSIKSRLDKIISITYFTSKPDNVSISSSNSNGKEFMKLVSREEGRNRPIVRMNLFGMDVHCCMETRNHPFFILCNQQNGERVLQTIHNYFRQFFGCSIEYNLRNDSSNYTNYIPRLRNIKNSDLCFWSNATAVQLLNGYLAVSPLQEYIRLEAHGGFEYESNLKLAQTNVLDISIPDSRAGVILNHFKGRHLFMSEGTLTDDDVIQFLNNWKSSQANQNLEYLCIRSFVKINLNPQNVMRNIDIKQFYPYQIPVYRYDRRSGSEDECDWTIEEFKSRNFIVRDADQHVASLNITTQGIQFASWKMTEEEVMRGRIQKIFQRTPTQKTKPIRNTIKISKMPWLVQRLIFSNMDLVELLMMAFCSKKFFRIIKSLSRNRLDKIFTITYESMHKSCINISSSSSGDDPFMSLRWRHELRGRPLIPMKLVGMDLQVSMPSINHPLMILYDSERQETLLTSIHNYFLDLFGSSVEYQLNVNTLMPPFSKLKNISSTHLSSHIRVTEFHNFLTISPNQDFMALSEFHSVLLGRNLEFARTKVLHIGSANRSIDDILSNFEGRQLFINDGIISDTAIIQFMNKWRSNEGYQNLEYISITVCRYGHPIKPNQIRNSFPINRLDLPDQLPVYQFAKKDPHQKHTWGIHKFTSRNYIVRDSDQHVASIMVTENNFTFAAWNMTEKEFLEKRPFKRFY >CRE08145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:108640:109121:-1 gene:WBGene00079917 transcript:CRE08145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08145 MVVSTVFSPVLSSNTTVQLKHRKTSSMTTLNEDLMNTAFYEPEYDFEAEILPSKSTTEKKSSDECEEWFGQLKKEHPLMIRSLPIAVELFSILFITLVLNKSGISNSVDKIVAHLYYFLICFMF >CRE08246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:741612:741728:-1 gene:WBGene00079918 transcript:CRE08246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08246 MEDFNASLGPAVCRICMCGETSIPYLGKQAGEPAHFSL >CRE07994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:131772:137095:1 gene:WBGene00079919 transcript:CRE07994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07994 MVEAFANDPIALRQLIAYEALGNIPPFDGYKNLCKRIGDGLMEYIDYEFWYMRFARGEMDMEFDGSRDPTLRSIHDLPMEILKPIFETLKPIERLMMHKVSPRLRTCIESMDPKLRRLSFKSGKEHSEINYDFTDVIYRQGKEGGCQVKSNQRKEVSVPNSNHFELALHDISVVFNNPKLHLESLYICVDADKMQRLREILERLDFKIKTDKVEFHTENSTEEAAILPCLQSGTLENICIHMREYKSTTDETSLEEKKGRISKLTEMIECKESKMLILHLDFKEDFPIEQLLNCRGLSLHSTTWRWFVPASTVIKFLEILLKSTVLETFIIDNYDRFKLKEAIRNNGKPLWNAVETHNGIFKLEGFEQVFKLDISIARIRLTRQ >CRE08249.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:764318:766948:-1 gene:WBGene00079920 transcript:CRE08249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqv-5 description:CRE-SQV-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M398] MPGSKATFTIILGILFGFTVTHYLTAFKSLPTPITCGTEEQLVGSEDFDIISSRADADVFTRSQSLPGHRRGLILVAIMTAAKYVDTRAYNVWKTWAQHIPGKVLIFVAEGTESIHEDMPLIRLKGVDDTYPPQKKSFAMVKWLAENMADEYDWFLRADDDLYIRGEELAAFLRSVDSSKAHIIGQAGLGNSAEYGLLALGSTDNYCMGGPGIVMSRETLLKVSPHLESCLQHMLTSHEDVELGRCIRKHVGVACTWNYEMQKLFHNNQSAIKESYAKNMKELKDAITLHPIKDPAVMRKVHLRNREIKLREERAKRSLLSAELSTAKAQTLVRMTPNRTIDLTPWDYINNNKILFCTDRVNCPRHTVDLSIRTEMADTITQLFDEFNTNARQRGRVLQFQSLQYGYMRVEPTKGVDYVLDMLLWFKKFRPPNRTTISVRRHAYVQQTFGKLRSLAEGVFRSNMRANSTLIEDPTLHMIMPLRGRAAIFARFAQHLKSICARGGDDLAVSLTIVLYSSDDEMENRETIEMLRASSIPVSVIEMGDVAFSRGVALMRGAETLPANALLFFTDVDMLFTCDALKRIKSNTILNAQIYFPIVFSEFSHESWSENDKLLADAFHYGRGRGYFRHFGYGLAAMYKADLMDIGGFDTKIEGWGKEDVDLFEKAIKNGRLRVIRSPEPGLVHIYHPIHCDENMPTAQKDMCHGSKAASLASIDTLVEQIAQYT >CRE08249.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:764318:767989:-1 gene:WBGene00079920 transcript:CRE08249.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sqv-5 description:CRE-SQV-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M398] MPGSKATFTIILGILFGFTVTHYLTAFKSLPTPITCGTEEQLVGSEDFDIISSRADADVFTRSQSLPGHRRGLILVAIMTAAKYVDTRAYNVWKTWAQHIPGKVLIFVAEGTESIHEDMPLIRLKGVDDTYPPQKKSFAMVKWLAENMADEYDWFLRADDDLYIRGEELAAFLRSVDSSKAHIIGQAGLGNSAEYGLLALGSTDNYCMGGPGIVMSRETLLKVSPHLESCLQHMLTSHEDVELGRCIRKHVGVACTWNYEMQKLFHNNQSAIKESYAKNMKELKDAITLHPIKDPAVMRKVHLRNREIKLREERAKRSLLSAELSTAKAQTLVRMTPNRTIDLTPWDYINNNKILFCTDRVNCPRHTVDLSIRTEMADTITQLFDEFNTNARQRGRVLQFQSLQYGYMRVEPTKGVDYVLDMLLWFKKFRPPNRTTISVRRHAYVQQTFGKLRSLAEGVFRSNMRANSTLIEDPTLHMIMPLRGRAAIFARFAQHLKSICARGGDDLAVSLTIVLYSSDDEMENRETIEMLRASSIPVSVIEMGDVAFSRGVALMRGAETLPANALLFFTDVDMLFTCDALKRIKSNTILNAQIYFPIVFSEFSHESWSENDKLLADAFHYGRGRGYFRHFGYGLAAMYKADLMDIGGFDTKIEGWGKEDVDLFEKAIKNGRLRVIRSPEPGLVHIYHPIHCDENMPTAQKDMCHGSKAASLASIDTLVEQIAQYT >CRE08058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:603219:604354:1 gene:WBGene00079921 transcript:CRE08058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08058 MKLFNLPYLAYSRIITSMNPIEVLSLSFCSKKSRDKIKQIRFHVDYAGITTKVSKCKAPLFQLRNLVGGRHLSIPFETAPRWARCDGRRFTETIDGVEHYFRCVELDSGSILYSDIPHSGFKITYYILDLIRTSLQYLQLDLNVIDDLEGFITEPCMKSVSGLKILSETVTSEKLSVFFNNIENPVEDVYIHSKVEGEVSTNLNFFRSDRLIFYETSWITREHLSGFNGKMLYVFNPTLDIELIIDFIRHWRNGNNTKFVALKMSRVPQELMNRDRFISEFDAKPWDPKRREKCYVYDKEITDKHDVVTDLSEGFDFERHDGLLATILVSPPAVLKFFVWHKRFTV >CRE07989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:75990:78909:1 gene:WBGene00079922 transcript:CRE07989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mec-8 description:CRE-MEC-8 protein [Source:UniProtKB/TrEMBL;Acc:E3M3N2] MDGPKPNLASAVSMESLNSVSSEVTNPSQVRTLFVSGLPMDAKPRELYLLFRGCRGYEGALLKMTSKNGKPTSPVGFVTFLTQQDAQDARKMLQGVRFDPECAQVLRLELAKSNTKVARPKQSPPPQQSALSAAGVPQFLAPMQPSDLLLDAQSAALLNEHQLLALSLPHLHAAQALQAAYMQPSLQQFNQPLYATQLHPAAAAAASLHSQQSQASTSACSTLFVANLSAEVNEDQLRGVFKAFSGFTRLRLHNKNGSCVAFVEYSDLHKATQAMMSLQGFQVSANDRGGLRIEYARNKMADVNG >CRE08008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:234864:236799:1 gene:WBGene00079923 transcript:CRE08008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nra-2 description:CRE-NRA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M3S7] MQEEIIDFFRNPALFFYMTLMMTVCVVSGSQQVGDVVETEFHAYRLHQYEISGNMYGSRNHRVSYEAVSLGARALRRTMVTSWREVLTHDVDDMWALSTGAVLIFIPDNLDELNDIDRKAFLDLETKLLNVRTDLAVYVAPYNDDAASILNDVNSRAEKAPSALQHLIQSLSGNTISITSSDQIPELTDSYKPVNVVGRLSSGDRASLTIAFVAHYDTSSAVPGVSPGADSNGSGVVALLELLAVLSKFYDSPSTRPPYNLLFIWTAGGKLNYQGTRHWIDEFQKGIEGSEFLDSGVNRKDDRIDLAICIESIGRKTGGLYMHAGKTPSENSAAAQLLRRLNNIAPNKKIELVTKKISLTTASVWEHEKFNIKRMPAVTLSTLPSPTDPARNSILDLPSTLDEEELIDNIRLIAEAVLGYILGLPETGPSSDSRIKSEVSMLSKDAVDKQRVHHFIRQFASRPRPVGDQKATESVTSNLASAAAGYGQVFKSGVTITDAKAFGVTQNRLVAERVKPAVFELVIAAGVFGYLTVFYYVSLQNRQLIVNNFLFQLTTHSQNTIEGTVSAIRKSIF >CRE08193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:419457:420598:-1 gene:WBGene00079924 transcript:CRE08193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08193 MKLFNLPYLAYSRIITILCPVEVFSLSVCSKKSREKIKQIRFHVDYAGISTKVNKYKAPVFQLQILTGGRHLSIPFETAPRPARCMGRRFPGAIDGVKHYFRCIEFLEGSILYSDIPHSGFKITNYMLDLVRASLSFLELDLNVINDLKGFITEPCMKSVSGIEIVSETVTSEKLSVFFNNIENPVKNIHIHSKVEGRVPTSLNIFQSDYLVFYESSWITREHLLGFNGKILCIINPTFNIELIIEFIRQWKNGNNTQFVALKMSEVPQEVMNIDRFIFEFDAKPWDPTKREKCYIYEKEITDKQNIVADLSDGFDFERDDGLLATIRIRPSGRFQFFVWHKRFTATK >CRE08030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:375342:376490:1 gene:WBGene00079925 transcript:CRE08030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08030 MKLFSLPYLAYSRIITSMNPIEVISLSFCSKKSRERIKQIHFHVDYAGISTKVNKFKAPVFQLRISTGGRHLSIPFQTAPRPARCEGRRFTWTIDGVKHYFRCGESQLGSIIYSNIPKSYFKITNNILDLVRASLSILELDLNVINDLKGFITEPCMKSVSGIKVVSETITAEKLSIFFNNIENPVKDVFIYSKVEGEVSTSLNIFRSDRLIAFKADWVTRENILGFNGKFLCLFNPTIDIGTIIEFIKQWRNGNNTKFVALKMAKVPQELMKIDQFISEFNAKPWDPTKREKCYIYEKEITDKQNIVADLSEGFDFERHDGLLATIKIRPPDFFQFFVWHKRFTAENRT >CRE08277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1033315:1034415:-1 gene:WBGene00079926 transcript:CRE08277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08277 MNSYDIISIRQYQDSDDYCNSLATIAQTLKVTFLVFNNVLFSLLILYKLRKSKDVQDQNFHEPIFNQLFYNSAAFLVLNNIIVFSSFFWDLSDEATALTYLTAAIISIEVFLECSCSILFAIITLFSFLASIQRIIMIYFSDYKWIVTGNWLKYQILLVYASLIHYSYITVKCFPSIGNIICSTDETKRIYYTYNTVFLVMSLISGAIYFHIWRIFRKLKTGDNGTYLLYQFVPIHTMLLIHSLAQSIGELLDETLEIQESHTISLYSNFIFVPNIPAVVSLSYIVSQRSFRQTFTIIFYPVWRELSS >CRE08175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:302145:302357:-1 gene:WBGene00079927 transcript:CRE08175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08175 MKLFQQQYHGNWFHLPYKSELANHFASTMIKHIPTLIIMKPNGIILNRDACQEIRNCQNPKELVNHWKNC >CRE07996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:142330:146644:1 gene:WBGene00079928 transcript:CRE07996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07996 MVEAFANDPIALRQLIAYEALGNIPPFDGYKNMCKRIGDGLMEYIDYEFWYMRFARGEMDMEFDGSRDPTLRSIHDLPMEILKPIFETLKPIERIMMHKVSPRLRTCIESMDPKLRRLSFKSGKEHSEINYDFTDVIYRQGKEGGCQVKSNQRKEVSVPNSDHFELALHDISVVFNNPKLHLESLYICVDADKMQRLREILERLDFKIKTDRVEFHTENSTEEATILPWIQSENICIHMREYKNTKTDKTSLEEMRKRLKKLSEMIECKESKMLTLHLDLDEIFPIEQLLNCRGLSLHTKTWHWCVPATTVIKFLEHGCVVLSDKIFDSRRVFSGNFRQGLGIRWAYYFGELEEYETEDEEERVEVEKEDELDETMSSVGDNFDVEELCEDYDFGLEQCGNEDVPSSYSESDGETDQIDSEMDANESNDEEDEEEEDDDDELYEDGRGAIIVEREDMDHRLLALVTFYCKEGLSEKCMKRMLALMAVVYGEKAPFSINDIKKVVKNMGKSVIDSVSYYCNKCAKKKNFKEEKCAGCKENRCEVLNRVTLVQCNVFWQLEEELRSKGIEIIEGHKTIHYGIGDLLPEDIRNFSGYTSKMESKEEFEKGTVNLIFSVFSDGAVFKSLSRREVTPVLMRLEGINLESKCGGNNFVMVSMVFADGGVKKEFADTFVEKSFCNLPKYVTVDVNGRTWTFRLKIMSFLADMKVKYEMLSMKIIISQERKMLTRLPNWHQIMGCSECLTEGKKKRSGTVSYNNFNKFSHRTDHTIMRDSSEGINGFNGSKVPAVFKFFPPKSFCLDPFHILGCGISKHLVSGILVIIE >CRE08224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:608649:609868:-1 gene:WBGene00079929 transcript:CRE08224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nubp-1 description:Cytosolic Fe-S cluster assembly factor NUBP1 homolog [Source:UniProtKB/TrEMBL;Acc:E3M354] MSDVPEDANAGCPGTGSAGAGKASGCAGCPNQGACATGQGPPPDADVPKIQDRFSRIKHKILILSGKGGVGKSTLTSNLARALASDPSKQVAILDVDICGPSQPRMMGVEDEEVHNSADGWTPVGIQPNLTLMSIAFLLGDKNDAVIWRGARKNGMIKQFLKDVDWGEVDYLLIDTPPGTSDEHISLVQFLLQAGTLDGALIVSTPQEVSLLDVRKEVSFCIKTKVPILGVVENMARFVCPNCAHTTLLFPTSTGGAEKMCQDSNLELLAQLPLEPALAKALDNGEDFFETNPDSTLAKSFMDLAEKVKAKLK >CRE08050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:527145:529508:1 gene:WBGene00079930 transcript:CRE08050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hrdl-1 description:CRE-HRDL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M334] MNTGNPDARRHHQAPLGIVNMISKSSFPSVESYLALSLCVAVIASATVFTTFHSQPELQKLLEEELRNNTRLSSAYGLNIEALSGNTLFQIAHYILSDTTLIWVAINSYFAILAMCTKLIIKLTFKELARHEETVARQAFLSYILLTVVYLSVVTGPQKGHRVMPWMIWGGVCGFLSHLQFVTCQRLKYTSPSCDRGSQKVSILSVFLFIVSIAMSVMVSRFQQHLEWQPAVLLYFDCLLALFRSTYILFRCTSSSRLFSFNPDSVRHFNYWLELITNFVCELIQMFSYAQLFVVSPGLNLTSLFFLYHMKLTYNCMREQLGRHRTHKKIFEHIENSYPCVKAANSDDRCVVCWELLGTSRRLPCSHQFHDWCLMWWLAQDSSCPTCRCIIPSPQDQLREADANSTTRVVFNGGTFGFVHFPQFHIDLGPFLSSLLGRAAEPSEEQLQTMLEQVREMFPQMSTEAILTDLRQTGMTQTTIENILEGRIGVNPSLLPGALDDELSDESENEIEYEEPIEVIQEPDNGRQRTWTKLSTSSGDEELSYYEIQRAQMIETYRRKYLASDKAADLRAMGITE >CRE08148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:119355:120240:-1 gene:WBGene00079931 transcript:CRE08148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08148 MSGAVIDYAEENQHLRRQIESLHLELHAQRELFRSIFGKENADLHLENQKLKNQLKEAFEDKRRFNELVEEMEEEKQKMILDHSEEMKKAQERIKFFKEEVQELQETIDDLDGDMERVMRLEAVWKSEEQDLKESREAVKVLEKDVRGARAWIEQQRRDGAPDATDDTSDTSDASDASVSSEGASNDDDNKEPRQLGELKHAEEIDDSEGEDDSDTDDSYDEEIISSRYPI >CRE08243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:727176:729627:-1 gene:WBGene00079932 transcript:CRE08243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08243 MKTMKLSSFPSVVQTEIFSSMELIDILFLSFCSKKLKNVIESTIKLRLDKIISITYFSSKPNNVRILSSNSNNKEFMKLVPREEGRNRPIVRMNLFGINVDCCMATRNHPFLILCNQQNGEQMLQTIHNYFRGFFGCSIEYHLENGSMDYIARLEYIKYSNICFRNEGAAAELWDEYLTLSPSQEYMRLNAHGSFENEQSLKLAQTKVLDIWIPNSRVGDILKNFKGRQLFISGGIVTDDDVIQFLDSWMSSQTHQSLEYLNINRGRGTDLNPEKIMRNINIKRFDPNQKRPVYRYDRRRRDWGKCDWKIEEFSSPNFIIRDADQHVASLGITTRGIQFVSWKMREEEVMRERIQKNFQKTPIQKADPPRNSIKFSKFSWLIQKEILSNMELVDLLMMVSCSQKLYQKMKLIMRSRFDNILTITYESSPLSYINISSSSSGDESFMSLNLRNDFIGRPLIPMNLLGMDLQVSMPTRNHPLMVLLHLEQEQTLLPSIHNYFLDFFGLSIKYHLNVQLLMRPYSKMKNISSTDISNHIGVAAFHDFLRISPNQDYIKLPKLESNTGLQLESPLLERKWKFARTTVLDIDRTDRLAEDILSNFEGRQLFINKGIISNTAIIQFLNKWRLNEGYQNLEYLSITVCPFTHPLNPKQIMNSIPINRLDSSAQLPVYQFAKKDWARTWRIHKFTSQNYIVRDSDKHVASIIIKENNITFAASNMTEKEFLEKRPVKRLY >CRE08177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:309902:311342:-1 gene:WBGene00079933 transcript:CRE08177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08177 MGLFHDVCDAAQKNGLMIPSATSIVRTAKCASPVSSGAVDAPVEFGTTKFYALCALGGSLSCGLTHFAITPLDIVKCRIQVNKEKYGSMVQGFKVTVAEDGMRGLARGWAPTFIGYSAQGLGKFGFYEVFKNIYSSALSEENAYIWRTSVYLAASASAEFFADIFLAPMEAVKVRMQTSPTAPPTLRACAPMIYKTEGLTGFFKGLPPLWTRQIPYTMMKFTCFEKTVELLYQYVVPKPRAQCSKAEQLAVTFTAGYIAGVFCAVVSHPPDVLVSKLNQDANASVGSLVKKLGFAGLWGGLGPRIIMVGTLTALQWFIYDSFKVAMNLPRPPPPQMPESLKKKLGIPGTTEVAPAPTLAPVAPVAPVKAAKEKASAKPEKAEKKAEKKQKEEKKEKKAKKAEE >CRE08028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:370711:371861:1 gene:WBGene00079934 transcript:CRE08028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08028 MKLFSFPYLAYSRIITSMNPIEVICLSFCSKQSRERIKQIHFHVYYAVISTEIVEPKSPTLQLQFSPSSRYILIPFQLAPRWARCEGRRFTGIIDGVKHYFRSTDDEDDGTILYSDIQKSGFQISNYILDLVQGKLEKLRMDLNLIDDWKGFIAEPCLKSLSRIEILSKTVTSEKLSALFNNIENPRHVHTHYKVEGRVDPNSIIFRSDMLIIYETNWITREHLLGFNGKYLCFINPTFGIESIIEFIKQWKNGNNTKFAILKMAEVPQEFMDRGRFISEFDAKPWDPTKREKCYIYDKDITQDIVADLSEGLDFERADGLLATIKIRPSRNVRHHRFQFFVWHKRFTTSE >CRE08184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:365124:367443:-1 gene:WBGene00079935 transcript:CRE08184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08184 MRALFSLLSLVLTQLTKNGLKFLQESSDIVRSTYIMETEMNNEEYCIGYNFLEASESFREDGLEPITLAVHGTPEMMETIERKPSNWDGPISLALFIDYHSQRALEYLSDVHRCDQEFRRKVTVHFAFRLSPFQKSCPLINVTSHHQDCKEFLKNRAKYRNEIAGSFQLYPINLMRNIARRGAKSDIHFIADIDMIMSEGFATKVKQISNEMIDGKSKKVLVVRRFESNHTTIPTNHKQLFSAVNNKKVFQFHHRHFFVGHQIPNISYWFEVSNASDTISAWEIPYQGSVWEVQVILHRNDPYNADYFPARVRDMQSLIYSLCRANYTFNLLSHVFDVHEGIKTKDTDYSKSVSAYSRKYGRQKAYSRYVNEMNTMYPSTSERCGEFEM >CRE08167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:232961:234316:-1 gene:WBGene00079936 transcript:CRE08167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lin-53 description:CRE-LIN-53 protein [Source:UniProtKB/TrEMBL;Acc:E3M3S6] MATLEDGTSEDRVANDEYKIWKKNTPFLYDLVMTHALEWPSLSVQWLPEVTKDSSDHTVHRLILGTHTSDEQNHLLISKISMPTDEAQFDASRYDTERSEFGGFGAVNGKVEPDIRINHEGEVNRARYMPQKTNIIATKSPSADVYIFDYLKYPAIPRDNTFNPLIKLKGHSKEGYGLSWNPNKEGLILSASDDQTVCHWDINASQNVSGELMARDVFKGHESVVEDVAWHVLHDGVFGSVGDDKKLLIWDVRTNTPGHSIDAHTAEVNCLAFNPYSEFILATGSADKTVALWDLRNLRLKLHSFESHRDEIFQVQWSPHNETILASSGTDKRLHVWDLSKIGEDQSAEDAEDGPPELLFIHGGHTAKISDFSWNPNEAWVVCSVSEDNILQVWQMADNIYNEVEEDTPAEMVERPM >CRE08138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:2178:2963:-1 gene:WBGene00079937 transcript:CRE08138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08138 MLKHGPPSLKRALTDSDIIDGYVAYEDYRKLYDHVVKLTHQINELQSSLMESASAKVSERLTDTCPILPDPFPIGQPVSLIVRDDVFTDPSTNTKSYANAASNGLAKPIDTLSIAKEAAKIMNKATRAVVERMPDNKEDPDQEKLDLVFFTKFSTTHGLPVPSEAHRHFSKTAFRPLKLQFANNAERDKFLHGFYKVKNSDPSLSSIQNRPRARRDLTKEELKRLYESRKFVYDNNLKEKSSKYIMVDIDYKLNRNPRPFI >CRE08222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:586884:588040:-1 gene:WBGene00079938 transcript:CRE08222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08222 MPIPLLHFPYVVQKKIFDSMNYCEVFVTSLCSKRMKYCVIRAKPRVPKIWYCVYPDETRIAVQEEGKRVETILEFFEENPWLSGTKPEKLKIGEDFIALGTFETALNIFNQMCLIRVLKNDGTLAKSLYEHVKSIYRYTEPCGLEVHINTFTDEVPIYENVNKILLKGKSVKLNDLDSFLSQYPNLSTLMIQPSVIGQFSDSSKILEISNIQLSNGGHFGASLLSRFTGRNIACRNLFITEAEINLLIRKWMKSEAYHNLETIHFSVTPDYVLDTDLIIDQLETEEFDPTKRPQWYQIDYKLYNIPTSPYDFSGGNCFDVIRENDGKRASFLSFPTYFMFLVWN >CRE08011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:242995:247634:1 gene:WBGene00079939 transcript:CRE08011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hsr-9 description:CRE-HSR-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M3T1] MVVETCIHIACAATQHATRDAPNGTGLPTTTSVLDKSRSLFGNSSTVLSKPAKETTPDRNEGEPSVGTEPSVTMEKQEHAGDTTSIEGTERVSAGAASTADDESMDTTEPQEPPKPDSVDEVEESFEKKTISMDTSDAAENNKEDEVEEKEQNKDEEKVDEEEGNVDADTEVEKMEVEGEEEEDDEEEEVPKKKAKVSCYISENVPTLNKLFQRTAVSDEDEEDRTDEQSGEASSGDQVLSSESNSQASPVKASGASSPAPLQERSPASSQKVVAEQSNGASTEQAEESDEAPEDAPVEEEEEEVEAASETVPTEDQEDVDTAETVPAEDEVTDEPEATAPAEVEEGDETAEGQETADEDNEAAETDGEMTIAEEEPTSSTRSGRSKRTSTAAPTPTSTATPRRGRGRQSTVKTPAEDTKKDATPARRGRSSRGAEKKEEQVAQEEPEEQEEEVQEEVPEETPKRGRGRRSVAVKPQEVVEVAEEEAEVEQVEEETPKRKGRGRRSAPTKEEVEEVAEEAPEVEDAEKETPKRGRGRRSVQTPSSSASSAPRSARGRGRKTKVEAQVDEEPVVEEEEQEVEEQEANDTTEKPKTPRGRKSAAKPEVEEEEAPETPDDKDVPTSSRRSARSTRGIAKPHPTTPAVTNKRTPARGRKKEESVTEETIEEVDEPVETPPAKRGRGGASKSGPSPSKKSGGHDPYDMDTEMEHHPEPLKRIHVSFGMEVQNFGTVKYASSGAESKYAKFESIAESRIGDLQSSSSSSSSNNRRSLADMTPGKEKPKSRGTPGTGRKPRAKKEETHQNDVEMEEATPAEPSAAPTPSGRGRKRKSEASEQTSPPVKREQHVELKELDCEEQLRVDHPQDDNEPHAPGARVYAIYQKAFYPAVILSERDGLGRYKIQFISDKLVKDVPNSGIIPLRVLTIGKTAIYNEEDVRLEATPNDISAAEWAKGKVKISTLDDEGDPTDDMKTVEWKDISFDQSEWRDYIKNLDQNATAIVTSNITTIAEASRARKPVPAPQKPKPKPPARKKKEEEVVESRGASMTPADEDEDRVLPMKEEAIGKNIFAGKVFMLTSANRSGLQNVSSMFKKKNLMTFINENGGVVTEQLSDHHADLEPLLISDTYYRTHKYLAALARGIPCVSNQWLQACGEEGECLDYENYILPAGASIFENDTDMPAPKNPSELLKGKAIYVHSTHTARENTQVGPGGTFIEIWKPILEMLGATVIECDWATLDEIELKFDVALVDGTFREEVMSYADKIGAGKVTSEWVIQTIILGKAPDPTAHSKFDPYRLHHRAHH >CRE08238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:715326:717835:-1 gene:WBGene00079940 transcript:CRE08238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08238 MKTMKLSRFPSVVQTEIFSSMELIDILFLSFRSKKMKKSIESTMKSRLDKIISISYSASDPDNVSISSSNSNNKEFMKLVPREEAKNRPVVRMNLFGIDVDCCMATRNHPFFILCNRQDGEQMLQTIHNYFRQFFGSSIEYQLESRDLGYIPRLANIKYSNICFRNEGAAAELWDQYLTLSPSQEYMRLNAHGSFENEQSLKLAQTKVLDLWIPDSRAGDYLKNFKGRHMFLIDGIVTDDDVIQFLNSWKSSQTQLDLEFLSIHYWRGMNLNPEKIMRNLDIKRFDPNQKMPIYRYDRRRKDWGKCDWKIEEFSSPHFIVRDADQHVASLEITTRRIKFAAWKMTEEEVMKGRIQKTFQKTLIQEASPSRNFMNFSKCSLLIQKEILSSMELVDLLMMASCSEKFTRNMKSLMKSRFDKILTITYKSNPLSYINISSSSSGDEPFMSINRRNDFIGRPLISMNLAGMDLQVSMPTRNHPLMILSDLEHQETLLTSIHNYFLDFFGSSIKYQLNVYMLTRPFSQLRNISSTDVFSFLEVAAFHDFLAISPNQDYIRLPALESITMLQSERHLLGENLKFARTKVLHINRTNRSVDDVLSNFEGRQLFIDDGIISDGAIIQFLNKWKSNEGYHNLEYFSISVSLSLNPNQIMNSIPINQLDSSDQLPVYQVARKDRFGKHFWRIHKFSSQNYIVRDSDQHVASITITENNITFAAWNMTEKEFLEKRPVKRLY >CRE08155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:187910:188546:-1 gene:WBGene00079941 transcript:CRE08155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08155 MIPVVGTLHVSSNQCTIPVQKYNTYNVSVSVKKPDFEYLRLLERTTALFSVFELLATVAVIALAWNPFCVLFIFPFILRIAALPRHSFVFHSNPIFVHFHASSIAAECLIAFCCTFFSCQNLTWNEDKGYCTVALSSLTVLLFTRFWLTVRQYDHYNRARMTRILSDKKNLFTIV >CRE08064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:674479:674790:1 gene:WBGene00079942 transcript:CRE08064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08064 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE08009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:237247:239232:1 gene:WBGene00079943 transcript:CRE08009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08009 MGKPSGGKEVKTEDVLGGIMQQLTLLNERSEQLMEENRELRAEQRAVAGRSSEKSNARLMAELSKRIPKFSYSLSEPDSFKRWIARNELIFTEDGADLTERERTRLLIGCLEEATYQRYIDAQRDETDVFDIGYENTVKALHKVFGGHRSMMIRRQKCLEISRSSGMYGDPLEYTNSVGEAVMEAKLSSMTSDDWSIFLFLRGLDMPGDAKAKVWLMQFVEQSEKNGQKLKLADVHDEWCRFMQLKVQTEVVSSTTANPHGEVNVCAIENREERRSEHRTSSYRGGFRGRRENFGNRVLTCYACGEPGHFSYECPKRTSERNRSDSRNVNKKEPESRKVNTITIQTIQVDGVTTEGQTRPRMMVKVEDKMLEFHLDTGSQITLISEKSWKELGSPSLSEVPFKVACANRTELVVKGRVSVKFELKGVTYSDYVYVTNRDMNLIGMSWLCKSPEIEAVLKDMVANSKIEEVEEGEQTSWTSQSSQRVNQVREMSESEKNSKIVERKGCFRCGGRHVPGRCWAEKKKCYQCGERGHIAKRCQSEKMFWNGGNEKMRGRLSHEEKEKFGRREANGENFHGVCPLKVNIPGSAWNNRSAAGSRSWRDTGYGVGRMRPRGPSMRNNYWLPSHDNDGWFYMSGRKLRWILEEKSNRANFSYCGGGVV >CRE08015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:280639:281138:1 gene:WBGene00079944 transcript:CRE08015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08015 MLALAERRRRRMFPEPRNGAHQYMQNLRGANTNTLFIAYTVCHGIVGQETPGVYNNPSTVVFTFNGTRRPEHFIEYFHFQKATIQHIDPVLIRRVTQWMLEDFRANRGIFPDFIVVLRDHVREGMHDTVGVKV >CRE08057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:601640:602797:1 gene:WBGene00079945 transcript:CRE08057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08057 MKLLRFPYLVQQEIMSTMDYQDLFLLSFCSKRVKNLVISSEKSRFKEIETVKYKLIGRIISIQNSVDETLDNKIVKVMEDLFRFNGKSNMISFKIPMDRIGKKKYKIVRFPSTIEATLDHILDIFGQRLDFLVCTYHLSDLRILSKLKNVKRSTLCLRGETCANQLDEYFSASPKQKYIQCNVTLKGELKEDSGFYTTDILDIHDHSSLSAGILKHFTGRKAFLRTERLENSDIIQFVQRWKSGIAHQNLEMLIVRLDDCCSSFEPNEVKKSIRIENLTKNQPLIPVDRTFTFYSQCWKKPSFSSLSYVVRETDQHVASVIIEKQKFVFAVWNMTEEHFRWIFERDFKMKCF >CRE08024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:326707:327962:1 gene:WBGene00079946 transcript:CRE08024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08024 MKPLKLYNWPYVIQKEILGFMDLQSIFLLSLCSKRMNSLIVSVERARFKKIQYIHCHIEDSITINAFMFDGSSDLIIQLLYPIAVKCSGTLTISGFDVHYGLPKLRNVTSSNIFVYPTIDGKTVDDFCSASPHMEFLRIGENIRSRLEENSNIYRIKTLDIEENNSEIVPGILHKFTGRQAFMWTSKVDNSDIIHFLNRWKSNAAFQNLEVLTIHLRNVSDPTDPIEIRNSVGVKELNTDNNGHEYHYKRRINSKPDVLSLKKFNAFSYLVREYDNRVASVVIEKNMFLFGVWDLTEDEFLEKFSKGK >CRE08038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:435260:436158:1 gene:WBGene00079947 transcript:CRE08038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08038 MEEYLQLIVKCFPMVTFILLAASCTSKPKESHKNPTGGRKLTMSTTSAPSTSFNTISDFSFDEKLEEEEAKKEEGNELEEKKEPEIKEEEKREFSCGKDEKEEEKGDKGGKAENKETEFNLETSPAFTICIDNKSDVKNDLFILNARKSLDETAGFLWTIKKRLAFDDGSWKQLNRRIAGLKAEGLDTRANTQQAKKNKINCIEPTKMDAQFEKQAASKPKKKTVE >CRE08234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:698292:700825:-1 gene:WBGene00079948 transcript:CRE08234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08234 MKTMKLSRFPSVVQAEIFSSMELIDILFLSFCSKQFKERIESTIKSRLDKIISITYTSSGPEYATISSSNTDDKEFMKLVPREIGRNRSIVRMNLFGIDVDCCMATRNHPFFILCNQQDGEQMLQTIHNYFRGFFGSSIEYHLKAGGRNYIPRLENIKNSMLYFGNEEAAAQLLDGYLTLSPSQEYIAVFSSGGFEYERSLKLAQTNVLDIVISGSRAGGLLKNFTGRQLFISDGIVTDDDVIQFLDSWMSSQTHQNLEYLKINRGRGTDLNPEKIMRNIDMKRFDPNQKMPVYQCDKREDVFDSWKIENFCSPHFIVRDADQHVASLQITTRGIQFASWKMTEEEVMRRRIQKNFQRTPLQEASHPRNSIKISKFSWLIQREILSNMELVDLLMMASCSQKFYQNMKSLMRNRFDKIFTITYESGISPCISSSSCGDEPFMSINRIFDFRGRPRISMNLLGLDLQVSMPTRNHPLMVLLHLEQEQTLLPSIHNYFLDFFGSSIKYQLNDHVLTPPLSKLKNITSTVLSSDIGVAEYLKISPNQDFIALSDFYSHLLGGNLEFTKTKVLDIGKAYRSVDDILSNFEGRQLFIDDGIISDGAIIQFLNKWKSNEGYQNLEYISISVSNPLNPNQIMNSIPISRLDLSDQLPVHQVARKDRYGKHFWRIRKFSSPNYIVRDSDQYVASILITADNITFAAWNMTENEFLEKRPVKRFY >CRE08275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1028912:1029460:-1 gene:WBGene00079949 transcript:CRE08275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08275 MCLVYVSIIHYSIIVFQQGEIGKKLTYNMKQALYIYNVIILAMSLISGVIYFHIYRIMKKLTIIDNGTYLLYQFVPIHTILLIHSVANLVGELLRQYSELEYTFEISVLVYSIFVPNIPGVVSLSYIVSQKKIQTRIYKDRIVYVERDLFVNK >CRE08200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:440484:441011:-1 gene:WBGene00079950 transcript:CRE08200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08200 MKCLILVSAIFVLIFARSVPTFPSPTIDVLIGPNCHMCEVILNNVRYEYHNNFTGVTPDQLRKSLYVQCDLNLNGFTDEECHRIADNDSADILQQLQAGTSSYRICQRDLLC >CRE08199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:436264:438858:-1 gene:WBGene00079951 transcript:CRE08199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08199 MRFLLIFLSFLAALAWSQKIPKFDVSNAELLAMAKKLRQVDTNRARPDQIKLNYQKHTVTRDDSDAAPAKLFSKVDTSLFRKPSYELYLNLMDNFNRQTGIIEPRVTQSEEKNEVGKFLDYVLETNPMKELYNWFKAKGHSIAANPTAFRFWIGQLWFSHYSRALGRPDTSGFEHVFIGEAKNGEISGMHNWVRFYALENNRTENFDYKGFTVKRFNIMAALKFTWDGLLKRAGSILIGTSPEFDMALYTMCFLSRRGRETCDVELDGCPLQITSFEIMQQNKVYIGSIYPTAGRITDECRAQNR >CRE08164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:227379:230069:-1 gene:WBGene00079952 transcript:CRE08164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08164 MDWTILEFMSTNNRSSCGYCKDVRGQDAASSSEKGSFSVQNSKFHVLTVGEEAEGDESSGEEQPEGRIQSSVTGGVWAHRMLVDDYAGLLDKGWRRSGKYLYKPNNKVTCCPQYTIRLDVTRFKMSRSQKRAMRQMNEFLANDKRPVCGIKEEDPVEKKEQEGASSSSTKTSNKNLASPDAKRAKPADRPVLKKKEIRRAKFEEKCRKRNVDPEAIRAERRQKDADRQRTVRSYIDEARPDWKHKLEVKLVTVGSPEYEQRLDESYELYKKYQQTIHKDKEPRLPGWRTFLCDSPLLKDTRGGVNFGAFHMWYLLDDKLIAVGVVDVLPRCLSAKYMYYDPDYSFLSLGTYTALREIEQTQQLHAKWPPLKYYYMGFYIHSCPKMRYKAKFRPSELLCDKSFEWADYEICRELLDKAEDNGYVEFCPNSDRPTPPRLENLFVMAPGGIQTFASALREVPSAVDNQELRRNFEYVADLVGPDVPNLVFYFSALNPDD >CRE08085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:822529:826134:1 gene:WBGene00079953 transcript:CRE08085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mom-4 description:CRE-MOM-4 protein [Source:UniProtKB/TrEMBL;Acc:E3M3B1] MDTSSHSKPSSSSASPSSHSPSPASVAAPRKTRDSGLCMTQDIPEIPAHCIDNLNSHQLGKGTYGVVEKTRYRRSRNHEYKPAAIKYSSPIHLNTLIREAKVMWAFRDHTNIIKIYGLYRCPRHGQGVVMEYMDCGSMAELIYDRKSIDYTIDHAASWLFQLASAVHTFHSNDQVHRDLKLQNMLLCDRYRTMKLCDFGTFTTMHQSMTSNRGTPITMAPEVFRCEDYNTKSDIYSIGIIMWQIIARNHPYNRNLSVPGLLYNVATASLRPPDLECNPILSGFYKRCWHDDPDTRPTSAECLEYFGLLKTEFPNGNIPLADTHTNGPAATPPPRAFRPSGLGSASGSGLGLGTNGRTPTASNHLNAPQPVNTHRRNRSETIMMKPELPYPVVPGESASTGGAFRGPRSQSEAKNLRDATRARSGQRPHRDAPPPPFDNRRDSNEEDRNTAFLEACRNEETRPVEPDTRDETSLGIYHQHCQCNHEYGDVIVLKREVMRAK >CRE08035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:397771:398282:1 gene:WBGene00079954 transcript:CRE08035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-5 description:CRE-CEH-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M3X6] MTSSSSPTEDSNFIRIIRIKGANGSEKMLEIPAKLDLERPKRPRTVFTDEQLEKLEKSFNDSGYLSGVTRAKLAESLGLKDNQVKVWFQNRRTKQKKIESKEPVKSPIETTKTTENYSNFYQNYWSAAAFLSNNAISS >CRE08226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:625036:626306:-1 gene:WBGene00079955 transcript:CRE08226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rae-1 description:CRE-NPP-17 protein [Source:UniProtKB/TrEMBL;Acc:E3M357] MFGSSSFGNKSLFGGGTSMSTTASTTANNTQDQDFLVEGAPEETIQVIKFSPQPQDKPMIACGAWDGTIRVWMLNDANTFEGKAQQNIPAPILDICWIEDSSKIFMACADKEARLWDLASNQVAVVGTHDGPVKTCHWINGNNYQCLMTGSFDKTLRFWDMKNLPNQTQMASVTLPERVYAADVMYPMAVVALANKRIKVYNLENGPTEVKDIESQLKFQIRCISIFRDKTSQMPAGFALGSIEGRVAVQYVEAANPKDNFTFKCHRSAELVNGYQEIYAVNDICFHPQHGTLVTIGSDGRYSMWDKDARTKLKTSEPHPMPLTCCDVHSSGAFLVYALGYDWSRGHEGNTQPGSKIVIHKCVEDMKPRPPKK >CRE08215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:533427:533660:-1 gene:WBGene00079956 transcript:CRE08215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08215 MKTMKLSRFPSRVQTEIFSSMELIDILFLSFCSKKIKEMIESSIKSRLDKIISISYFSSSPDNVRISSSNSNGPILG >CRE07991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:107537:108527:1 gene:WBGene00079957 transcript:CRE07991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07991 MESNSQYARMDYWDERFKTEKNFEWLSGLEAFEHIITPLISKDSRIAHIGCGSSQVSMQLWNLGFKNITNVDYSQVLIENGKLEHPYMEWVTDDITTLANCESSSFDVVFEKATIEAILVTEKSAWEPSDEALRNLENIFSSICRVLKADGMFISVSFTQPHFRIPALLREKNWSVEMFEFGETFHYYVYVCKKGKDLDTELRDRYSSIAKSWLRPL >CRE08076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:758139:759624:1 gene:WBGene00079958 transcript:CRE08076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-179 description:CRE-TAG-179 protein [Source:UniProtKB/TrEMBL;Acc:E3M395] MATSKPPRICLPHAGISRKTDFLLGAFFSSIHVLLTTIVYHYVPDPYMDEFFHISQTRLYCAGNYTWNPLITTPPALYILSMPLCGGYERYANSILLFFAIPAFCRFRRMFVRVSIIIKNELKCLYLKEDVWLTVSIVGSLPILMASSVIFYTDLLSLTTVIWGFSIGNPVVSAAFFLVAILTRQTNIVWAAIYAFSVLASKIDVSKPKFENLKRLIFTAFSLWPFVGLAAGFLVFLYLNNFQIVLGDAKAHEPKFHVAQFFYMILFCAAHTWTQILPNLLSHLSHLTDMKALCLQAVIAVLVYQFSYDHPYLLADNRHFTFYIWRRFLSNPVMRATLAPLYTFSARFMSLSTPNVHVFHKFLFVFASLLVLVPAHLFEMRYYIVPYVIWRLATVNNRRKSLLALELVSQVTLFSVVFCLFLFKTFEWPNEPGVKQRFMF >CRE08044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:488745:490241:1 gene:WBGene00079959 transcript:CRE08044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08044 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M322] MLSVSRGPKKHKNRSEVETICRGPDLLLKATDETSSDGGSTSLTKSVEDTGTKEKSSQSSVTHRILKMKLKKITNWSGCSGAHLDDEPFYHGYMSREESEKLVRNQGEFLLRKTELTKRGEVVVLQNFGQIRKGKSWTFCSKDMKITFLREANLMLKLNHKYVVRLYGVATQQEPIMIVMELCSGGSLKGRIEKKEEEMSGALKRKYCKQIAKGMRYLEKKQVIHRDLAARNVLLDKSDNCKISDFGLSLFGKLHKEQKLMKVPIRWLAPETLLKGIYSSKSDVWSYGVVMFEVFSRELPYSEVKILKELRRKVAMENLRLKPPNEMPDEDRKVMEMCFEPVENRASFVEICKKLVFFESSEFYFPVFRYKDLTSPLPSWNGLANRLVGIGSAV >CRE08006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:203723:204161:1 gene:WBGene00079960 transcript:CRE08006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08006 MQFSVLYLISLLLVLAVFIEARDSDIDDEAYETEKVSYCPRFEKWHRCGNGCEKSCTHPKQPSASKCKLPCIPYSCRCKLGYYRDNQGTGRCVRRNKCKKW >CRE08005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:196380:201982:1 gene:WBGene00079961 transcript:CRE08005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08005 MTELRVITPDDSDDDVNELDDKEYEPTDDEFELSDDDMETISAPETSSGSKREFGGLSDDNDSGKFRKRMAQLDLLNLNPEYKITRMVTSENGDSEKPWKVEKSVWNRLHDFQKEGVIWLQKRTDHRSGGILADEMGLGKTIQAVVYLRSIAETNRVHYKCTGLDTALIVCNVSILSQWIEVLNEWFPRVRVFLLHKHSSTGRNENYDSDVFEKLRKRDKEFPHGAVVLTTYSLFTRLKRPLVKQFWQVVILDEGHHIRNEDTKCSKAMRQLMTTQRFILTGTPFQNRLAEFWKLVDFVHPGRLSDSSTFHRNFTHIINAGANLKCSPQAAAKAYECLVALHRAVKPLILRRLQVDHKDILNLPEKNELVSGIQGCAELKITEILEIGTFTNFIFGNSRTRRFSEFYFRKFRKLGSEKIEISGFHQTQWKSCNDFQIIRRVVQGSKESSHLFHSTTQGCRNDGVLFGSEWVRIALKIIRHASLTGSTTAAARPKIIKKFEEDADIKVFLMTTRAGGLGLNLTCANKVIIFDPDWNPQADNQAKNRIYRMGQENDVSIVRLISNGTLEDRKFFKQVQKEMLAAQLLHNADVEHVIPNNTLHDLFRLKPKGLVGSEIGVYMSGEIAPDNSSSESKKERKEKRKIAKKKMKNKLEGFEDKQLLLSLFDDKKLVAMREHSITVQNSSTMNRIEKQKMRKAVDDAVGSLLHTEGRLAHTWKQEFHKHLRCSSKNSIKSDYYVEKEQLDSYWETVHSQFRANDDQRERANTSYRLLFLFQLDRLVVLAKKMLVYLNGVPEAREDFIYRMFVTEADRSNPTQLYFFKEILSTLARFNPDTKLWKIRSTYRNVEVVEAKKRLSEERRFVERKKSKLTPSQNDPNTSSS >CRE08229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:665420:669421:-1 gene:WBGene00079962 transcript:CRE08229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08229 MEDQWLLSAIYDDDLVERIRESRGSCSRHTSINLPTFENEILSSSSGSRTFDEYYLPAIEEPREKFQLVRKPAPSFAGRYLSLLANHFQITCKGSIVYQYYIGINPSIPSKKLNRKILSLLEEQVPELLELNLAYDGMHTIYSSKYIDTRRINQISIDLKGTVKESPNKFTIFFTYVDNFRLDTRIPPENQTAIEKQRMKHAIDTIFRQTSVGRFHVVQQSFFSITPHLQVGPAHGLGWGTVNLGLGREVCYGFYQNVVETFDMLTMNIDVATTTFYRPIALVEFLAEVLEVPLATVIDGRSLSEAQKKKFNREVAGLKVETRHCASPRRFRVARCTWKPMENITLHISNGTDASLSISMVDYFKSRYNIDLQYRHLPCVELGRSRECILPLELCYIVGGQRCIKKLNEQQIANLIRATSRNATERKSAIMNIHERVDVRNDPCGSENGLRVENQMMKLDGRVLPSPRLLYCYPNSKLQNCVTTPNNGTWDMRGKNFYTGVKIKKWAVVCYADSAIVSPNNLESFIGNLQRVAKEIGMPFVEEYCFYSYIPPDDAATSLEILHRTYPDLQIAICVVPGKSTVYGDLKRKGDLLGFTTQCVRTHNVTRVSPHTLSNLCMKINSKLGGVNVALSAPPPAMTSDPTLFIGCHLARNAVPLVSDSSSSDSNMDTSIACLVGSLDGHPTRFSPMFRVQSRNSSTIIDLTDMMCEAIVNFRQSTGFKPHKIVIYRSGIGEETIEEILQTELRAIREACKLIEPNFQPGITFIGLDVTHHTRFFAANDRDKIGSSQNVPAGTLVETGITVNNAFEFYLVSHAGIQGTSRPTKYVVMWDDNQFPPYEVHEMTYQLCHTQSRCTRSVSIPSPVYYAKLVAQRAKILLADEKFDSQLFHEKSRLDGMMFV >CRE08245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:740233:740973:-1 gene:WBGene00079963 transcript:CRE08245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08245 MVSNRKFQESGRKFEESQREFFIRKSLGMIQFPSNQLSESPQFLSHTNHTAIDSDNTHNHDASRNAIPFGVRTPEQALQIGMSSTPQMYAEKPEKLTLSPIGLDDLFAGSVSPRRMTSVSSARTAMSSEFCLLKTNLICFISETQSMYSVCSSFGTGVMTCSTPLVSGSLRGLSPSTNSVSTFKSNDGSDENVLLVGSHDDSEETVTAELLESSP >CRE08072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:726233:727031:1 gene:WBGene00079964 transcript:CRE08072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08072 MADPKRDIGKHGIGELTTTKSEALLNQTPVTFTNSLMTKDVLMQSMMKSSPSIMCGNGCVSLRKESSRTKRSTRLWKWMREILNESLDR >CRE08281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1055168:1059383:-1 gene:WBGene00079965 transcript:CRE08281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08281 MIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQISEAKPPVDEKYLYEGNITEMDDTQKSYTSYEDESDSDMSTTLSPAIPTPEQPSTDTPLSSPIATKPITRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVVVALEKIISKFKSPPIYVYSDFGKRIFTMFTSKTTLILYLFDTVHLRRSVTLSFDLAVTTDALNTLISMETQLEGLPQILQDKAAKLVEEAEENNEEWEELENLCKLAVEEREDQRKHLLIAVRAKIEMFRELHDASEETVPPPPLSPQQVLHIMAESIDAPNQEPVVTSPMKYPLYHELHMVNSTGMNENNSELSTEASQLPERIHDLNLNGTLENQTRAADTPILNSNALISPELGGLPVGNIVYQPNNMRQKGRQNINENSNYLAAAGVNQSNMSSQSGPVRRDISNNLHNNIGQPRFEYSEENQQNNCHERNFNRNEHSEYYQQQQRYNRMRYSEYPDHLINQSQYHYQQNQTRQVQGNWNNQQIPGKRCEVCEGDHEITLCNQNNEVVARVCIKIGICPKCRTGGHPVTGCPLLYLEKEQARMNTEKNHEESRNRNQFNDQESNQDNRNSTHQHRANNQPRYAERKNEILERELARHVATIKPFTGVVSEYASFRNIMTDYLDSETVSLAVRRDTLMQKISGEAAVHKSILNDPGKAIEATMKNLDRTYNRKGSTSVRNQFEKVVVSDESIDTFIKSLALSRSLHDKVLEEEPHGFEYHSTKALLGRMPDAVRAMCNKMLRNETLTTEKIYEKAEEHLENQIEDAEITGRSASQSLKKWKTELHVTQSETDHKEEADKDSEDESTAELLAFGAKREQSKSHVSKTLNSQQGRSNQTVNNEVTALKSNQKQSTQQPAQSQNQPNLGTQAPYLSNMLPQNQFPQPHQTNNQLGSYQHQFAQYPYQMNSNHAQFQGHAPTPMSSSPWNPQPSHINYYLHPTGQHQNFTNQQHNGQTGNLNQSQDPRNQLGYHPVPQHNNSPASFGQSQQRSSSKPWFKENGSPPSVGHSTGIQLSIQEEAMKPLTDCRDPDRYNLGPGPNIALIRYTFPRDYDEESYCQVCGKGHKLVRCLLSSSNVRKWIDEKNACSNCASRTHSVTACNSQVSCFYCCGKHHTGACKLKEAYRDFRNYPPTAVKPNGIDFFRDQQH >CRE08097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:908194:909640:1 gene:WBGene00079966 transcript:CRE08097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08097 MIVYVLLAIIAAIAYYFFSKNGSDEPPKTAPKSTRSTRSAPRTSSRKPVVVTEQPAPVPVLIVSAPEVQQPVEAPSAPVPAPVQEIAAPAPAPVPVEPVPEPAPVQVAPPPSEPAPAPAPEQVPILDPLSSPIRPPIGGNSVLLAPPDNFSGQIGGKSERLAPDVAPPAPAPEAPAPEAPSPAPAPQVAPQVAPQAAPVESVMLAPKTNETAQAPAPGGPSSAHLPVASAGDTSKKSKKTKKKKNKKSKDKKKSANTSTKNKKKKKKSKGKSTSSSAAPSPAQPETGVQTANEIRNDAPESNVNTALSIRSPAAQDAPEPDVKTAAEAKNDDPETGVKTAAEEKNDVPESGVKTANEAKNDVPESGVKTAAEDKSDAPESGVKTATEMGGGEQPKPDGAPKE >CRE08153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:181043:184745:-1 gene:WBGene00079967 transcript:CRE08153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lem-3 description:CRE-LEM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3M3Q8] MPPNGAITTTPRSRMPPTTPTSGKQRPKKETLHFLASSSSTTSVDAARALLERGANVNAIDREGATPLHYACVHDNVAMAQLFLTFGADPMSADKLGRTAYSIAKGNTLRFLRRYKKTNGQQRLGFFRRFFACHTRNDTFFIVRHQNQDQDLAPIHPTALADAASISFNRGNAITKSYRHAKKKIRATFHALRRSRSNSTATLQDVVMTSEGLRTVTTPSRSAARKPVFSAKRSMSVSDLPKIPDRRDIKEEDRRTRRSPVKKGRVAGRSKTPEATLNPRTPQGRPKRSKSQENQLVAMPKPNPMAYYNTARARNAGLRPAPSAPPLSPTPELKEVKTPRNMKSRSKSPANTTAYFTADDDSIDLLGIDLEKLKITPKSAKKSAKTPTNDSADDDIEVLTPTTVDDGEIRKIRRLRDGELKCELRKFGISPAGPLDARTRRLYEKKLLIERRKISSRGYSPDADVTTCRNSPQLELVLRNGFLPADFASRARKSDETVRKEFSGNGFGYNAFCYLLMDPRILGNNIETVTFERFVRSVFYVGKGSKNRPLAHFIDARNERREQSNKLKTCEKLQTIDELWTLGFGIPRHEISHGVSDEEAFVREASIIEAIKLINLKNKKGGEFHGITKSWDSITKAEFGTLLLDRAHSTLKIEGIRLITEDNLPDSIYPYVTNRRGAAGARTPKTPK >CRE08225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:610180:613539:-1 gene:WBGene00079968 transcript:CRE08225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08225 MVGTGGEDDEDETTTTQFPSSSSAADSGVVGGGGSGALVVNRRRQEGNPVLKYVRNVRYEWGDIGPDFECGPTFGVVYLSFKYHKQHPEYVYTRINGKAENRYRNKVLLGYCNMEEPRHVLRELNMICFREAWSLVVVYTVEEAAEYIELFKTTQKKEITIKKKAIDDGGDSSMSDERRRNRETAIGFLTAARSITKTDADRLLFHFGTLQAVSTATETAISVCPGVGPIKAKNLHSFLHTIISPNE >CRE08248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:752643:754731:-1 gene:WBGene00079969 transcript:CRE08248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08248 MYHRLLIFSFLLGWLSADQRLSSTSISSMNGFSAQRKCELITIPMCKNLDYNQTVFPNLLGHTTQSEANPAISQFNPLIKVKCSEDIRLFLCTVYAPVCTVLEKPIQPCRELCLSAKNGCESLMKKFGFQWPDQLDCNKFPVTDLCVGKNSSESSSSKKSSSDVTFGVSTIANEVILSPKKCPHVMHTTSGSHFSLPLLSGRLPECSLTCEADNQVPMMFDGRVRRILRIWTAAWSVACFVCSLFTLVTFLVDLSRFAYPVRPILYLAFCYLAISLVYMIGVVGEDGFACGTYGSTPTILVTQGGENVGCSALAVVHYFFFMSSCAWWLVLCLAWFLAANLKWGAESIAALSPYFHAMCWGVPAVLSVSVLVTNSVDGDVFTGICSVGNLNPSALVYFFFTPIVVSLALGAVLLICGIWSMIRIRSYIKLQHADVERNISKLEKLMLRIGAFAIMYSLPTAMNAAIMWYQAVNMPAWLEGWLHHRCIRLQDRELFGFTYPIDECPMDPKVAAPEIIVFLLKYVSQLVVGITCAIWVVSSKTLSSYHKAYLALSSRSPPVPNHVDQVNMR >CRE08141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:41761:44444:-1 gene:WBGene00079970 transcript:CRE08141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08141 MKMNEEDDEDLKKSVEEDILKAFLEEDFKSQHYDSIWECLQHNRRAIAVNGFIIVFLIVYTTIGGFIFLNFEFEYQQYMKQNATLEKRLCIESLLNRDNRLRLTRASDVAAAIAERCLTEKVKDDRMQWSFKSAALYSLGILTTLGKNCLFFVRKS >CRE07993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:125570:128480:1 gene:WBGene00079971 transcript:CRE07993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07993 MYIFIFNLSISTILALSFVGIVHGDEDVISLAGHHATGKSPQIVFINYFDTFPTNAYPHKEDGVTREIPPDERYLLPPSMTYMIYIVLGILSLLILFHLLRRRPIDHYEGHEKMNCDREEEQEFDRMRKTKDLEASRLYFYKQRTSHVATSEKLEATFGRDFNHEISGLSDAEYAKKEKVTMTTVKLRGKTIDDEEGI >CRE08065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:675656:678754:1 gene:WBGene00079972 transcript:CRE08065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08065 MHNEEYCIGYNFLEASESFREDGLEPITLAVHGTSEMMEMIERKPSNWDGPISLALFIDYHSQGALEYLSDVHRCDQEFRRKVTVHFAFRLSPFQNKCPLIKITSNSHDCEKFLKNRVENRNETVGSFELYPSNLMRNIARRGAKSDIHFIADIDMIMSEGFATKVKQISNEMIDGKSKKVLVVRRFESNQTTIPTDHKQLFSDVNNKKTFEFHHKFFFSGHRIPNISHWFAVSKESDTISAWEIPYENSGWEVQVILHRKDPYNADYFPARVRVMQSLIYNLCRANYTFNLLSHVFDVHEGIKLNDTDYSKSVIAHSKKYGRKKAYNRYVNEMNTAYPSTLGRCGNFVM >CRE08235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:701665:704273:-1 gene:WBGene00079973 transcript:CRE08235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08235 MKTMKLSRFPLRVQTEIFSSMELIDILLLSFCSKKIKETIKSTMKSRFDKMIFITYSSTSPDEARISSSYNYKEFINLVSTKEAKDQSIVRMKLFGEDVDCCMATRDHPLFILCNQQNGERMLQTIHNYFRGFFGLSIEYHLSCDCSNYVPRLENINISRLAFLNRTTGAQLLEQFLTVSLPQEYLQLVSINGFKYERNLKLVQTEIFDTVIPDSKAAEILNHFKGRHLFIRYAIITDDEVIQFLNSWKSNKTQQNLEYLSIRLGGEIDLNPENIMRNIGIKQFDPNQKMPIYRYDRRYPFHQCGWTTAELRSPNFIVRDTDQHVATLEITTRKIKFAAWKMTEEEVKRVKIHKLLQKNRRGDPIVNFIKISKMPWLIQREILSSMELVDLMPTENGPLMVQCGPSQEETILPSIHNYFLDLFGSSIKYQLNVRTLTSKLSKMRSITNTDLSHSGEIGTTEFQDFLTVSPNQDFIRLTIFENPSLGENPEFSKTKVLNIGSTYCSADDILSNFEGRQLFIEKGIISDIAVIQFLKKWKSNEGYQNLEHLSISLRTHRCQINSNLIINSIPINRLDKSDQLPVYTFDKRGTYFGHTWRINQFSSPNYIVRDSDQHVASIMITENNISFAASNMTEKAFLEKHSFKRFY >CRE08077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:760188:761165:1 gene:WBGene00079974 transcript:CRE08077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08077 MAPPRRSIFIFENVDIPEQIEEMRRYEQEMKDRALAESIQRGELMGTEDDDEEIAAVPATPQVPNDALVDVDDSDESLVDAPIPAPVQTPPPVEIRRSQRNSVVIDLTNDSPPGPIRNPRSRRSPFTRLTQQPHPYIISSRVQLASTSQRVSIIDHRVNGDNNEIAAEPQFPSDSSQPSTSRGITHSPIRIGTPVTTWGECLMCFDSPIEPQGCNKCHQILGCKTCVENWYQSSGCPSCPLCRRKWHRKPDVSRMDLVARRRNTKNSRRSQRVHRSVPVAAE >CRE08176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:306067:307965:-1 gene:WBGene00079975 transcript:CRE08176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08176 MSVMEIFEKSQESLELKEQISSSSIAISCLLVENYFDFIHISIAKEDKDFSGWIIRDSDELDEDEDYENMVIGDTVFLNPEDEGDQLTLFHENRFTGAISLLKSLFEIFTGPILDATFLTGTLPTPEISKFIIDAFHLNRLKKCEKVMLYDNQEGSTRQVDEVLELATGLKFLDLRCPLPDEWSNPRVLQVEKLRAWPAEWFTKTDLLENLNCKVAQINETNLDCHAFTEFMLKWQASDDRRLKMLEISFDGDWEEFNTRGLEVEEWNKQERDSHFPDLLGKPTDPWFNCEFASDIRRKDGLLASFWRRENSFHFVVWHDLHPIVTLNPSPEQLVKLEDLEFLGDEEEEEEEDEE >CRE08211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:506028:507434:-1 gene:WBGene00079976 transcript:CRE08211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08211 MSKPGRPNLSLAGKREAPVQQKKSRGGSSSDRGAGRGRGGRGRGARPRQELIQTGGVFSEGLGGDISKKKGKEDVGQFYYAKRPTGSGGGGPSSSNAEEKKDEKIEKKNVAGKASFEGWEELWRSDDEGDEEELKSLHPRSIISDLRRGNVMPVVLPVDDQSQFLNIIHKSARLSLEEEDQEEEEDKKQVQHSTRRKHKRQTPEQLISMLESSTGDLLHLQLPSVVGAICNVIEAASVEVPMEVDDEGTDMPAGIPTAPPPPTGLPQSRRIGKLQVTKKGRLILQVGGHSIDITAKPTAGKQQGTVLLEVDPNADQVQAPSTFSFQSNQTIENSLYHLGNVKHNLVGSMTWGGLSEKSKEEEESKKANEVEMQVEETTGNDDQEKIEQLEREQAKWANLAARWATGLPTARQEI >CRE08209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:497760:503412:-1 gene:WBGene00079977 transcript:CRE08209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08209 MSDADMNRKFIEMMELMQKQMAEQAKKHEETIAVLTKAVAEKSQIDSSLGTSNGLTVSQSQLMNDIGGRISVFQFDLETEKTFSKWYARYSTAFTEEGKGLDDKNRVALLVSKLSEEVYEQYSRRICPKLHHEVDFKDTVDILKEMFDIKKSLFSHRFACINIARDGDSPVEYTNKVNALCELAMLKDIDPDGWKVFFWLRGLDPAQDTKTRAYFLKYVEKKTELGEKVNINELCTEWQKIQSQTSAVSEMEKSDTAVRAVYAKKPSNQKQNSSKNTAKHESSQGERCWNCGKSGHKKPECSQPLTKCFKCQRSGHMSSFCKAKKSSSYKKTQNVAIVGGASSEDAEVNSVRQYVSVDVNSESVEFQLDTGSDITLIGREDWTRIGKPDLEKCTSKVKSASGNELKLLGRALVDFRLKGSVGSGYVYVREHGNLLGLDWIGKSEEMSYHMGMMVNELTRSNTDSIHAELKEKFPEVFREGLGRCVKEKAVLTVQKNATPVFRPKRPVAYGATEVVEKELDRLENLGVLKKVNHSRWAAPLVVVKKAGGDLRVCADFKTGLNTALEDEDHPIPAPEDVFATLNGGKLFSTVDLKDAYLQIELSDDSKALCTVNTHRGLYEYQRLPFGAKTAPMVFQRIMDKMITGLKGVTAYLDDIIIVGSTEKEHTENLLELFKRISEYGFRVKLEKCKFLEKKIKFLGFIVDKDGRRPDEAKVAPIKGMKEPVNQKELKSFMGMITYYSAFIPHMKSLRGPLDKLLIKDVEWKWSKLEAEAFQKLKDILSSDLNLTHFLPNVPIVVAADACDYGIGAVISHRFPDGTEKPISHAARSLNSAEKNYSQIEKEGLGLIFAVKRFHKFLFGRKFLLRTDHKPLLSIFGSKKGIPVHSQNRLVRWSTILLAYDFDIEYIKTDDFGQADALSRMIQKMPNEHEDVVIAQVEVDVEETLRSAIRKLPVRVRDIQEETKKSKMLQNVMKYVSRGIWPKKIDEKLKKFYSVKGSFSVVQDCLMMSDRVVVPESLQRAVLKQLHEGHPGMVRMKQLARSFVYWPKIDEDVEKVVSACTICQVHGKTPKKVPLQPWKTPERVWQRVHIDYAGPENGQYYLVAVDAKSKWAEVKIVKSISAVSTVGTLKDMFSQHGYPETLVSDNGTQFVSKEFATMCQDAGIEHVRSPAFHPQSNGQAERFVDTLKRGLKKLKGEGSVNNEILSQFLLHYRSTPSNALGGLTPAEVHLGRRLRTRLSLMMPQLNKTVDSEQVAMKEQFDKHHGVKARSYRKGDSVFVKVFEKNTWSWKPGKVNQRQGRVVYVIGLNDGRERVVHANQMKMRLEESTQEQNKEHEWATTMFDVFELPTGWSARKSTDEAKRDNATSTPVMDSPQQVHQGQGTTPSPVQQVQSRASTQQSSSQSTTTAVQGQRLAPSPVQPRRTTRVRKNVVKYDPSSHI >CRE08159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:195586:196137:-1 gene:WBGene00079978 transcript:CRE08159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08159 MRGLITLLFLAIAFYSVSADDKFIFNVTLNCGYHQRTSYTYNLQFLEHDYWILNGNDKITPQKIGEARPGVARIMMQGSQNGDENWSSGYDVIMHLYHDCSEYESEMELTLNIKPQCEIGKGICRYVISKDIKDVSGEMDYQAKLSYE >CRE08203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:460830:463508:-1 gene:WBGene00079979 transcript:CRE08203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08203 MINIFNSPIDPSQLDEFAQGKQDENGVETKIFDVKKRKPQVTYGSDGRRMLDGIVEQQQESNTLWTTTISRGVANEWREEATGTRLQKTKRYIYKVSYDAQVLVRQTYRRHATAILIATNLTLFLLFLYICRLFPFNK >CRE08051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:569915:584898:1 gene:WBGene00079980 transcript:CRE08051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08051 MNDCKRFEANIFNNGKCKICYKSKQSHSSESIDNFKMNRKVTACGFLYVAPPGLDFSQQSHSAKRWQRRWFTLFDSGDLTYAIDNSMDTLPQLSIDMSLCYRVSEANAITNNAHSILLAFKKTREDQPSIIYIKADTTEEIRWWQNGLSKYANSQNETIRPPRRGIDELPISEDTMKDIPSCASSRCSSPVERLEVDRMIEGKELRGSVRSVKQRSLRDEKKDTDDPIPQNLPATSNVVINVPVNSCSPSSRDEPTSTTTSPTTPTISTTPTQKPINIDISNVHTLRKGWLMLRGKSDNDWCKHWVVLAGLQLKLYKDVWAEDSTEPLLTVDLSQCENVYPSASARNYGIEIKCRRARYVLSAMTPGIRDSWVSALQQNRHHPSPTFTETFSNDANSLADSSDILGLPVKKKHIAYVAPESHHSNSMMDEHSSTELEEEEHNMNTARRRRSRRMGSRTSESADPRGRGRGESLSPSVRRSPVARVKERRGDMRQRHASNSSAASHQEKPGRDEMQRHLRTASRISSDETRLRSLEQQVENLRTQLDEAKGDSTRRKRDAVNQEIKELRITLKTSEDELQRYRKEVDTLRRQISQNTQTVTLQRAILSTLRTQLSALSSLLRSSLFLGAVDLFDALDSILDRISVDLNLEQTEDVLRQTTELFEKVASAVTIPYLSDSWTMTEQEKEPREKTPDEEIEETIREIRRNHTSEVDSIKSQCEQRLAVLKERAEREEGRRKKLQEQLVMATTRSDESISSVKTSFSQMLAEQRKTFDEELEVVKKEHEERLMEEKHATRLALEAVRRAHEEELKNISEKPKNGEGSNIGRQSEIFDEMREELINVCALYSAKCLENSQLDEQLANLISEKEASVELSIENDKLRSEIDKKSKEISDLQRKMNNYEKLSQSRTDPEVLAGTTVSRARREKQKKRNRRHDVRFHSNPIEVPQHLIEDARRSLDVPVSERRKFFEHIAEYSTPF >CRE07997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:146862:147966:1 gene:WBGene00079981 transcript:CRE07997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07997 MQKAIIMSGLVWQEAFCTSNDYNALMCGLYYSFMCQANMMCDSTSLNKLLAKVYQLHATLEPDSITIKYHSFYNHISSHELQYGRKHTSEVFEREHKNLMKSVNHQSTNCEQSIIIRYFSVLYSYVTKTFRYVCAQKCETLLLDKAQELACKEEIFEALKQTKERLPILNSQTNIINIECLIPFHVEIVKHLKLGRDVKFLKRCKVSSSFGNFVFSAPSYCGAKTTVSNLICYTDPLTKSLTFGQIEMIVDNGTCKHLIVKEFILEPMTEIMKSVRRQGISRIAYEVLKQCQSLPPFIGKATDQIQSIVPLENVMCPAIKLEINDATYVLMSK >CRE08021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:312253:314674:1 gene:WBGene00079982 transcript:CRE08021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08021 MSTFERQILKNKDNLLTFEATISDIHEKLPQRKKQIDEIIKSLNEKRREVLQKQNEIAGFRLNRTENSMKSKLEKTRKKLDEMKKKKIVQDKKISRLRADISKSEKSFDKVEREIHKEIQRQIENESKKEEIERRIKIFEVENSGISDEFQKLLVSLKEDTEGRGKTTEKRTGELEVLISKGAKYLEKLDEKIRNLKEMKVEKSGNLEINIPVPSTTVSSRRRSKSHDSGRQNENSKRLEELKTQIIQLKRESEALKMSRFRLIEEKESLQKTADEYQREADRSAQNLRNAENIRLSELNRQEKKLQPSIIIESKDEKREKEYLEKKEKLIEKINQLENSTDEKKEELERIRRRTEFLKRQLKSYSELDLVQFENEKTEKGAEIRNLKRRWHEQVMTLNDLNKKLQQRVLQREAAMTKANSVIHLTTNVRDERNREIEELQKEMAKTRMKL >CRE08139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:6618:9517:-1 gene:WBGene00079983 transcript:CRE08139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08139 MKLFVVFFILVVTCLFKTTSSIPPGCIVCTPLLTTPTTWEDLSEIIKVGCRRLGDAEDACNGIIDNANLTDSYPNMYPHIVNFRKILFIDLDKRIITTKENGTRGDDLIMWTTYYHPKTIDLLTGVFWNQRIKLKYNRRTIRCRITLHPKRKIPILWCREKHLKSLPIAINSAICDVFNTSSEIRIMADMDQLSEFPDIDSIDYLLEYSGFVDQIEYQNFFNRINIRNCALLRSGRFLDSSSKIFSVNHLISSDSEWLTREHLINFEGQNALFQSATQIKNEDLIAFIENWLTGSNTKLQSLMVNGDVFRPDLGYDKDTILKNFEARPWNPDVRERRFKYTGMKYLSITDIMDCTDGVDILRESDNLLATISIDNNKFCFFVWHDRFPTDNDPVIRPATGRSPPQFLLGSIVF >CRE08149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:122390:123422:-1 gene:WBGene00079984 transcript:CRE08149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08149 MESESNCDSCESLMDRIKEKMIHIEQLRKELHVKDEDIMNFKIKCDNLSLEKSWLEKEKSVFRTRAKMLEEENANLKLRLHEIHAEFLESQRLLDVVHQDRDECIEEKMKASKFYRSELKKLGEENEALSKENNRLRGVEEDVTEPTEELSFKKLLTMISENRQQTGILHGDLNATRQQMESILQKISDLESQMEQNQVELIMDAEDTVSESMSVLTIGAEEEDMTLDDLLGGPLVPREEGAGERMIEFNGEWRYDITAVWGGEPAEQLLEQEAPFEMVDEQEQ >CRE08198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:430574:434296:-1 gene:WBGene00079985 transcript:CRE08198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08198 MKLFNLPYLAYSQIITSMNPIEVLSLSFCSKRTRNIIKQIRFPDSLTRISTLEHDPKGPVFQLIVNTTKQIISIPFQPAPRRARSEGRRSIGPDDDSILYTSNMNSGFKITDYFFNLVRGTLYELMLDLNVISDLKGFMSEPCMKSVQKIEIVSDTLTSEQLSVFFNSLEVTVPEFQMRSKVEGPMDPNLSFLQTDKLFLNYGECVKREHLLRMDVNYMVVFRSNLGIESIIQFIKQWRDGNNMRLTVAKIQGLSEEALNRDRFISEFNAKPWDPSKRDKCYTYDKDILPNDTPEDCSDGIDFERHDGLLATIRFIPSLREFLFFVWHQRRHSVDLSIFEVSNTMKLFNLPYLAYSRIITSLCPIEVISLSFCSKKSRNIIKQLRFPDSRKRITTEEHDPKVQMFQLAVNTTKQYISIPFQPSPRWDQSEGRRFTGIIDGVKYYFRSIEVVTGTVLFSNIPKSYFKIVRYMLDLVRSNLPFLELDLNVIDDLKGFITEPCMKSVSVIDIISETVTAEKLSIFFNNIENPVKNVHIHSKVEGQVSTSMNIFQTEILVFYESSWITREHLLGFNGKILCIINPTFNIELIIDFIKQWRNGNNTKFVALKMSEVPQEVMNRDRFISEFNAKPWDPTKREKCYIYEKEITDVQNIVADLSDGLDFERDDGLLATIRIRPSGRFQFFVWHKRFTVPA >CRE08123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1174646:1188678:1 gene:WBGene00079986 transcript:CRE08123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08123 MTMVSEREQLACLVQQWNENRLDLFHLSYPTEDLEVEGVMRFYFQDGGEKVLTKCVRVSSTATTRAVVDALSEKFLPDLKMLSNDTYSLWEVHENGDERKLGDEEKPLVVQLQWHKDDREGRFLLKKDKAAPVTLMNLQEADQKTRFAKKKDKEPKSKKHQKEFVHVNGGREEAQSQDDIYKQVPFNTFTRTISNPEAVMRKRREKKLETKLKEMTFGGSLKVYGGEIVPTRPYVSILAEINETADRILVAALEKYGLEQSKEDFILIEVSNDEERKSMSDLREVDGRPIPPTECPLFEMTARGNGQNGFDSFLAIKKKPSDYQVPSTSVVAPSSVTLPMSPLTSMPPQIVNFPVLIVMNNDGHLSTHRITLHEGVTEVGSDSQMSNFSQHNIYLDGSDIRGRHAAIAFMEGVVTLTPSTRDAYLEVNGQPLMQTEILRDGDTIRIGADHLFKFSSQPAGSLNNQRHFQQQTYPEMEPLTTPSDALPVF >CRE08180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:328623:329706:-1 gene:WBGene00079987 transcript:CRE08180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08180 MRPSGNKKLINPVDGLSTKSLGYGNHGNQHRPPIKSISNSTFDNLSSNNSARSEKMYSLASSTASNSSGSMRSTNMTTRTSDSHLLTLGSDSRSIPANLNIAATIVEPNLEEHYKGVLNKKEAANLTRLSDFILYYRIAKEPQRSEVAMSIPLFICYRNSEDQVFNFRVQQVLTENNSMWWTVIINKQHTQLFRRLSDLVRCYHSYRFIHPETGRSEIFPLWKRPAVQNINH >CRE08142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:83808:89393:-1 gene:WBGene00079988 transcript:CRE08142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08142 MTAMREARGDSASADPINGQGKATINDRKFAFLNQITRPDYRSLPTSAATSQSSVPSTPVSIPVKSNGVEQIIFREFGAPIATPEDEEMLDDLEIKSEPVLSPRRNFTDFGEESEEKENREEDTDADTTIREEIDDVEDVEEDSDEMMKTSEVIYDEKENEEDVTDSQITEKLKIEEIDFIRMVESAPELSSFEKSPEEEAEEIRKMTESFGEPRKAVLHRVYVTDDDETETETETENEDYEEEEEDVDDDVRRQIAAVSDVPPMVFGWNGKREKMEKPTSLPLHYEIEADCSTPRRAEFSINPEDDTSEDVTTQPSSGDEGVLQQSLIQLQKQLRKTDVRCSRLEKICEFQQVLLVPFSFHSFPMLLASETTSKVAPS >CRE08036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:408401:410981:1 gene:WBGene00079989 transcript:CRE08036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08036 MDHSELIAELPEIERMTAQERIALARQRRLLQLRRNEERERALPPPRPRRQRLHFAAEVELLEATCRADYAEVERLLNDNANPNLHNDDGLTPLHQCAIDDNQQIMLLLLERGANVNAQDTEQWTPLHAAACCAHINIVKILIQAGANLLAVNAEGNMPYDICDHEETLDVIESEMAARGITQSYIDEMRGAPEKAMLDDMKMLHQQGRELDVRAPDGSTYLHVASANGYYDVAAFLLTCSVSPLIRDNDFWQPVHAAACWAQPDLIELLCQYGGDIHAKTKNGETPMELCEDLSTKQVITTLVQSEARRRRLAFGVRDSRRQSKKRKKFESPQQGATTGDNPFSARGAIRRQSLRDRSGLTPARIEAQKEGADILRTWSKEDVSADVHSSQAGSLQHQRESPSKRVLKYYFQSSQHKQAKPMSPDEWLRKLETNGTADDDMETTPKRGGSTRRRQKKVPGDMSDSRNGDARRQKSTCCCVIC >CRE08018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:290889:292770:1 gene:WBGene00079990 transcript:CRE08018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08018 MVSNGSRKTTGSRPLWIVKINKRMSMSKFKTEAKWITAQNLSWVLKGEPTDNVYEVTKRIIHFIHFSVQVTVETMENCESDECMQKMWVPEEFVKATGEMLERFDEEEEEEVENTLDAAIIEEIFDMESIEKEYKQVCEEETFDPYATAESDNGEVFDDVPTATTGSDVNA >CRE08241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:720867:722462:-1 gene:WBGene00079991 transcript:CRE08241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08241 MKTMKLSRFPSVVQTEIFSSMELIDIIFLSFCSKKIKERIESTIKSRLDKIISITYTSSGPEYATISSSNTDDKEFMKLVSRGEGRNRPIVRMNLFGIDVDCCMATRNHPFFILCNQQDGEQMLQTIHNYFRQFFGSSIEYHLKNRCSNYIPRLENIKNTTLYFGIEEAAAQLLDGYLTLSPSQEYIAVFSSGGFEYERNLKLAQTNVLDIVISGSRAGGLLKNFTGRQLFISDGIVTDDDVIQFLDNWMSNQTHQNLEYLKINRGRGTDLNPEKIMRNIDMKRFDLNQKVPVYRYDRRSGWDIEWKIEEFSSPSFIKTPLQEASPPRNSIKISKFSCLIQREILSNMELVDLLMMASCSQKFHRNMKLLMRSRFDKIFTITYESGISPFISSSSSGDEPFMSINRIFDFIGRPRISMNLLGMDLQVR >CRE08061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:629781:636988:1 gene:WBGene00079992 transcript:CRE08061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rod-1 description:CRE-ROD-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M359] MGRLGETKETIDIEAETSTVGLYDVCTVARIAPLLNEVPVDKASPEWKLKPKSNERFLVLASCSDLAVFTLGEKTDYSFNTGLEGTITDFEILGSSAFLVGIIEKRRIVILSLEKQELYFNEPAPHDIDFVFCNPTPTVCYLTFGSRTGDWHTVMMQGDVAASKENNRVENWQRDQIQEYFQQAIQKTTTKTMRMEDVSGDITSISTGPLQSFASIHKQQGLSWCGLAESQFSVIGREKQFVRVRDGGRFSLHLDTDGWIHVFDPLTFSFWHEFDVKMTPDDVILDFVVIDKNETEVPKLFAIITSSGGEGSEMVIYDRTKHENCFSLPSAISTTLFAYGGSDRVLMAVEELDNCAEGSMEKSRIVVRQVSQSRPEMRFESLLKNNRFDEAEKFAKTFKLDVQKVYKAHVTYLMDTCDEEEESFAKLMETMVKIEDHNMVAETYFTLVGMSRRCDRIRTYLTHAKKRRISDMDVLKMIESLCYTWATYRIIVGPVGNEVSSQIREDIWDLFVEALHDGNPWIEMYNEFILSAQFTQARVIFSRHGQMIIDHLCADDDEAASRLDALFRMFIDAISADIKNWSNVIEHVTMDILPACLSIAEKLVPCLENLITTLIPLLEYRDSTNWPDNAIKAASSYDTMTKLLVSNGNTPVSQSLLLYGGSKLSSSSLGGSSSMSRVKKMYYDLIELKRLKEVFECSISFSVFQTLPSEGICHKILQNALTNPNTTHAKIEKFVVPFMEERHLDQEQTIVNYIQMMSGAAVSKANAFGWEKQCVQLCASLKDESRRCCSIISIASTAKLPWPVELNEAVDKILASRTLLRSEIEEMEMVCKRTDLYKMLSSYGYSRHDIELLTLVDSSMDIIMTIRCMLAQREKPSRFVDVIKLIELLKAMLNHRETRSVKIEYVQSFAVIHMMYHEDVTTSIINYIDSLGERERVKTISLIFSFIERVADTPASGVNILEREKMLGVGEGLLSYYVCRDNDYNDPERRLKDNLVLLREVQKNEAKAVLLSDFQDEHWRGQFLEKLLESESSLRVKLNNCSYMGIPIENLIEMLLRKAFEDNDIDQIVDSITNYTEFITPWTETTRDMLDPIVQTLSWITFRLPELLPTETEVVRADYIAFTIKRLGRVVRETIRRFPFDVISDDLHFLLQLEAFFNLGEHIINQSLRGQENENESQDAFRSEDPSFLPATASISSTDNYTTSKLRIFDFKRPLGTYDFSCEPALFEGVQGVINLAMVAPSVARPYGSDITNEDANEFRANWDQLNMFLAMHSQDLLDISARVFAGSLECWSKEYLQGIAEMEMPVLNVVERMLQQKKFDFWHAVTLLGGIPLERLDRAVTELQRRPGVRSSTKATIQYLQLAFVMSLLIRNSEKMPIIISGYEQKYLVKKLAEEGIRASINGDFVEKVIQQAVELRQPLPPLRLHDYVKKYVEKLSRNNKMKVGEYMVRYATLLIRKASAAGRLTDNEARRDEIAKFIELARLALRLAVEEEATCICNYLHCLLYIVCPYNYEVLQFIVTSFGQYATEESEFEMEKNLKSIMSFLWSYRRTNHISSAESIWFTKRESTLLKGEKEYEKSGKSLEPFGHSLRLAHEDDGNNMSDMSGSEASDQADAMVYERNSVIISDMPALAMQHLPFHGFLLRKKEEVTHVVLGIVNGELSIFNVPIWQAFLREVQWLALHLSRSQLLSSAIFTHANKYAKFGKSLPEGERNTIHHLLDSASNRAVVVTTIALLFKNIILSDVKIELLQMGVDISSRWTQDLDGDEQEEMQEQSDRLKDGIAKFFTELELKKNGIYNEKTADNIENVQELCTLIYDEMIQWDNSTDVAKKCEVVERIAKANGLDLVSLHEKLVFAWVEDQESSIPISHVDMNESIGGTSFTDQKDDSDDQNELRIPLFDTVLDKIVILCKKLDKKRLLTRLGNIIMRGGRKATGGFTAVVRAVCIILRSFTDTDVNEFSPGADLGNLCAILEIQLNERLFDKAEMKYDEKTDKIQLIKTLLQCPSRTQTMTALIACLIIDHDFNDPKSIDQVMARLQITKQWNTLRALLNYVRSNKMDSMIRSFPLLWFRVYENAIFELNGKTTVNPNWNHEQCVMLRMWTLWAMSSNVEGGRLPNIARFLRELNCPVSASIMSVLSSWTVDKMDAVDINKMDPTRDLCLGWNVKEEPKSANVSIV >CRE08194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:422727:423864:-1 gene:WBGene00079993 transcript:CRE08194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08194 MKLFSLPYLAYSRIITSMNPIEVICLSFCSKTSRERIKQIHFHVPFLGISTKTNKRKSPVFQLRITGYRHLSIPFETAPRWARCKGSRFVGTIDGVKHYFRCIEGMTGTVLFSNIPKSYFKITNYMLDLVRASLCFLELDLNVIDDMKGFITEPCMKSVSVIEILSETVTAEKLSIFFNNIENAVKTVHIHSKVEGQVSTSMNIFQTEILVFYESSWITREHLLGFNGNILCLEKPHFDIELVIEFIKQWRNGNNTEFIALLMTEVPQKVMDKDRFISEFNAKPWDPAKREKCYIYGKEITGVQDITSDLSEGFDFERDDGLLATILVGPPDLFQFFVWHKRFTVAE >CRE08052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:592432:593913:1 gene:WBGene00079994 transcript:CRE08052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08052 MRMWLSIKKILHYKQRVGLGEFGNPRMQVEGLDDPVDPAPTNGNVAGDNADQFDGNPQQVNEVQNARYEADAQFPAQGNNDIHHNEQNNENFLENQYQYTVDRQSGNSDTVPNDFSDQYFYEGSNENNHPSTSTSIPYHEAPSTSNNIRLKRHIFSQSGEQENGYESFDQPEVSYPKKYRVVVLKQGNNTNLGSNQDAMYDTPSTSSDIPGSGRYSKPTTTSQNAQMEGFTSGTAGLNLRQQQLQERLVDSSNLRTNYETASTNVPYFGKKSNLNTQLQRQGHTHGATDKPRILNLKRYAPNQSVAGNPTNRYLNTHQQNIQTRIGDHEVNERGPSEPNREVNASNFSYMNIENYQEFMRYGETMTEFLNRLNEKRKAVGFKEAQISSAVYMRVRLNSMSEKTGSRLQNPRRPILKYIPPTFKTMSKAKLMTTPEFLRHTQRARNAAPFIETTENLRPFFDAL >CRE08185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:368786:369097:-1 gene:WBGene00079995 transcript:CRE08185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-60 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE08162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:224297:224898:-1 gene:WBGene00079996 transcript:CRE08162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08162 MEPRNEKERLESGCGAVLQGMAGKKVVVELRGDNYVIGILERCDSNLNLYLKTVTVIRDSQKTEEETYFVKGKHIRFVHFEHTVHPTAAIRRCIQGKQAVDQKKNRRLDQKQYDTGKKIIEE >CRE08053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:594052:595257:1 gene:WBGene00079997 transcript:CRE08053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08053 MAREGTTETSLKIVLHCITMEMEDYGGGEFVYESDEWEDGEIDLRDDDEIDRKKEKELRMRNRKTIIYLKYVDKHLKGMKKLNKELVDSDEAETIDKSTAARMIDVSSTSRFFSDFMSSETPAETSDKNPTQSLLIYRRGRVAPKEFEPIMKTKEYQKNVAELIANIKENQMPQPQK >CRE08216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:534193:537439:-1 gene:WBGene00079998 transcript:CRE08216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rme-2 description:CRE-RME-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M337] MRAAWLLTLLTYLLIKSTTQAPVTNTTCDPAKEFDCGIGSLRCIPAEWQCDNIADCDNGKDELGCTYAHHCGNSFLLCKNTRCVAGEFKCDGEDDCGDGSDEQHCEYNTRRSRSGRPAPPPLPNTFVGHNGPECFPPRLRCRSGQCIQADLICDGHADCSGGDDEVNCTRGPIVDLKKTPSLNEMHPDPTLFAHDDYDKEECRHGYALCFSGDVCIPNNFFCDGDIDCEDGSDELNCDINVPSEEQFLSGQADHMHACSAAGKFACETKGTEITVCIPMNATCNGIKECPLGDDESRQCSECARKRCDHTCMNTPHGARCICQEGYKLSDDGVSCEDEDECATHGHICQHFCEDRLGSFVCKCANGYQLQEDGHSCKYEATSTSEGYLFISLGGEIRQMPLADFSDGSNYAPVQKYAGHGNIKSVDFMHRNNKLFMAISDEQGEPTGELAMSENGLLRVLRENVIGVGNIAVDWIGGNLFFTQKSPSPSVGISVCSMNGLFCRRIIEGKVHGQTYRGLVVHPMRGLIIWIDSYQKSHRIMMANMDGSQVRILLDNKLESPTALAIDYVRQDIYYGDVERRLIERVNIDTKDRRVVISSGVHHPFDMSYFNGYLYWSDWGSETLKVQEITHHHSSPHIIHTFNRFPYGLAVNHSLYQTGPSTNPCLDLECPWLCILVPKNDQITAAKCVCPDGYTHSIFDNTCIPPTTIDEEKKLEKLSHVGAALMAEYCEAGVACLNGGSCRELQNEHGRTHRIVCDCEQPYDGQFCERLNPEMLAAMEEEDTSAGLIALLLISLLILVVVGCVAFFWFAQRELTNEVISTARVHVDNMARKAEDAAAPLVDKLRKVTDMQRSTSPREGCHSATNVNFVTDETTAEKRVRMQTSPSSYGNPLYDEIPDVSSGFTISTSAPFAGIIRFEDDNSLL >CRE08081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:780529:782151:1 gene:WBGene00079999 transcript:CRE08081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-epg-8 MDSLRAVESKSSPVVLHRIRRHSHTVTGVPIPGITADGIGSPTDHAHTPPGVLTKIDTIQTKKSSIGGSGGGVVVATSSSTRRMICKNCNKKINCWCKKCTLEKLKLYSRRENLTQRYEEKERLQAEVLAFIDPTESLKEQISIKEERLIKLRVLVENAKMRIAMKQNEINKLDATPQSKPSQFEAVFQSQTNYLTKAAAKKEEKAARLAKLEDEVAKIRLQYCIHACRIFPVQVYDVNVESVALKANSKNWAMVNDSELQKGLRIAIRNSYINDKLRAKLANQLSNGTISLPLELHPPFAAFTNTLQLVHLLSVIFNFRPPESVSHHDLCIRERWTKESLNRDWVTLCDAVIYLCVFIGMPPGKLKYMDPHHNLIALAPFVVDDYNNINRIGSRPMCDLSEVHAIIEAQRPEDCSKKDELDESWTFVDY >CRE08204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:465890:472801:-1 gene:WBGene00080000 transcript:CRE08204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08204 MPPNSLSFLLLICALLIAPIQSRGFFGIPGDYCSTRTHTCCENRDDDCTVPILGDHLCYCDMFCDRGPDGGSFSSEELILHNNMRSGNDCCPDFEATCRGRDIQNDQRIDGESCSMDGETKEKNCEKCTCQNGIWKCDGTACLIQPDILEKLHTGRYSWTARNYSAFWGRSLSDGIKYRLGTLFPERSVQNMNEILIKPRELPEHFDARDKWGHLIHPVADQGDCGSSWAVSTTGISSDRLSIISEGRINASLSSQQLLSCNQHRQKGCEGGYLDRAWWYIRKLGVVGDHCYPYVSGQSREPGHCLIPKRDYTNRQGLRCPSGDQDSTAFKMTPPYKVSSREEDIQTELMTNGPVQATFVVHEDFFMYAGGVYQHSDLAAQKGASSVAEGYHSVRVLGWGVDHSTGRPIKYWLCANSWGTQWGEDGYFKILRGENHCEIESFVIGAWGKGSKRRRRFKMRKLRRFKKMFK >CRE08231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:671077:672227:-1 gene:WBGene00080001 transcript:CRE08231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08231 MKLFSLPYLAYSQIITSMNPIEVICLSFCSKQSRERIKQIRFHVYYAVISTEIVEPKSPTLQLQFSPSSRYILIPFQLAPRWARCEGRRFTGIIDGVKHYFRSTDDEDDGTILYSDIKKCGFQISNYILDLVQGKLEKLRMDLHLIDDWKGFVAEPCLNSLSRIEILSKTVSSEKLSALFNNIENPRHVHIHYKVEGRVDPNSIIFRSDMLIIYETSWITREHLLGFNGKYLCFINPIFGIESIIEFIKQWKNGNNTKFAILKMAEVPQEFMNRDRFISEFDAKPWDPTKREKCYIYDKEITQDIVADLSEGLDFERDDGLLATIKIRPSRNVRRHRFQFFVWHKRFTTSE >CRE08025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:330158:332982:1 gene:WBGene00080002 transcript:CRE08025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08025 MSREQLETAFSETIEKILEVAGNTTAAFLPILGGKSIELTISGIEDYLKMMKELQQEYERPLTNCPMSPNDSMLIHRNRLARSSKSRFTFLRSSPEGARCDGSPMDIDRPNHSHIEAILEESTILDPSVLMDETTHQRGFLADVSDMNGTVRSRNPSFNFNYISPVSTDKSTRRSTISIRPINTTIDFDADVSSIHETSRTHSRTRSRIHAGHDEDQSILVMNADEMRTPRAYNNTIDLTGSDVTPISLKLWNVKLRPGSNRKIDNEIRNEIQRQLARDSVLNQ >CRE08151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:173643:175492:-1 gene:WBGene00080003 transcript:CRE08151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08151 MLLLSTIFYVFFTFLVTIHAFLGCAKKKKKSGKQLAVSEKSTKGSKTKTTSEEEGKSPAVAPTPPVAPAQPAMAATHDPNYQTLAGLHNDVFEAKGGAPAPPPPGSKPGMAATQDPNYQTLAGLDNNVFAKKEDGAPVDQNAKAATHDPNYQTLAGLDNCVFEKKHGGGGPIAPADRNAKAATMDPNYQTLAGLNNNVFEKQGGGVAGGGVKKPIQPADRNKKAATMDPNYQTLAAVGGDVFGADKKGTGGGVKKPIQPANKNQKAATMDPNYQTLAAVGGDVFGADKKRK >CRE08007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:223075:224193:1 gene:WBGene00080004 transcript:CRE08007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aqp-9 description:CRE-AQP-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M3S0] MRIWVASLIFYGSVFAICEIFRFLVIKSFDNPKRLSALLILEFIGTLQICVPMFDVGTILENYGLLGVFVEITVIELANCYFQRDAVAHPCPLVTNCYRKSKAIRRGVYVFLVQLAAAYLSYFVARLFWNIGVHPVHLELLDQDSCTSDLTVAITTGCIIEGAATFLAKWFEKFVDQRYDGDTKLCSIANCVFSGLLCAIGINYTGMYANPIVAWACTFNCLGVSHAGHLFVYWLSPLIAWYFAEIVFGSEDVIEEEEEPVKETKKTD >CRE08250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:782356:783069:-1 gene:WBGene00080005 transcript:CRE08250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08250 MGKSKSGEGNKWLKDRAEFDEEILGLADDASIIFENTGDLLKSMKNFAASVGEAEKKHPYGKASYAAKTYAGGFKNSASSFSRSGDQFDKLYAACATFREHISSVILAKLMSFKDVECKDCDQQMTLLKKAQKDYANKKNKKNPDQVLVDAAEASLKKYLEDAKGTLAKFNKTGSELLTQIAADMKTGFDAYCEAGSNA >CRE08075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:747502:751219:1 gene:WBGene00080006 transcript:CRE08075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08075 description:Tetraspanin [Source:UniProtKB/TrEMBL;Acc:E3M393] MVEGGVTIVKYLLFLANLVLWVGGLSLIIIGSILQLKFDNVLDILGDERLATPIILLVIGSLCTLLGFLGCCGAIRENYCLTVSFAVLLALLITCEIAAVIIGYALHDSFRLGISNQLQTGMIRYHESRGVESAWDKTHQLFECCGVTNSSDWLTFTTIPDSCCIEEMEGCARESAPLFEPGCIHSVEQWVLKNGAMVGGICAVLAAIQLVGVCFACCLSKSILKDFHDFYY >CRE08190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:411833:412420:-1 gene:WBGene00080007 transcript:CRE08190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08190 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3M3X8] MDHYDDDDDECVKEEGPGGTIMWFPRDIVAHPRLAPPPPARPPQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLPAAPRRQPAPRQRGFVLGHGNVQRHRKVLRDNIQGITKPAIRRLARRGGVVRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE08247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:744103:746674:-1 gene:WBGene00080008 transcript:CRE08247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08247 MKTMKLSRFPSVVQTEIFSSMELIDILFLSFCSKKLKKSIESCIILRLDKIISITYFSSKPNNVRILSSNSNNKEFMKLVPREEGRNRHVVRMNLFGIDVDCCMATRNHPFFILCNQQDGEQMLQIIHNYFRNFFGCSIEYHLESRCLDYIPRLENIKYSYISFWNEEASTQLWDGYITASSSQDYIRLNGCGSFENEQSLKLAQTKVLDLWIPDSRAGDILNNFNGIHLFISDGIVADDDVIQFLNSWKSNQTHQNLEYLSIHREGGIFQDLGIGLNPEKIMRNIDIKRFDPYQKMPIYRYDRRRRDWGKCEWKIEEFNSPHFIIRDADQHVASLEITTRGIKFASWKMTEEEVMRGRIQKTVQRTSLQNADPPRNPIKISKFSRLIQREILSSMELVDLLMMASCSQKFYQNMKSLMKSRFDKIFTITYKWRPPSRLNISSSSNGDDPFMSIKMRYELRGRPLISMNFLGMDLQVSMPTRNHPLMVLLNLEQEQTLLPSIHDYFLDFFGSSIKYHLNVKLLMPPFSKLKNITSTDVSNHIGVAAFHDFLAISPNQDFIGLSELESPLLGRNLEFARTKVLNIGETNCSADDILFNFEGRQLFIDDGIISDGAIIQFLNKWKSNEGYQNLEYFSIYVSPFKNPLNPNQIMNSIPINRLDLPDQLPVYQFAKKDWKLTWRIHKFSSPDYIVRESDQHVASIKIKENNITFAVSNMTENDFLKKLPVKRLY >CRE08217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:537825:538712:-1 gene:WBGene00080009 transcript:CRE08217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08217 MGAFDRVKAQVASDSKWTSSPYKGFIAGSPSSTYVDIVSTAFEDATNTMNFARHSKYDEMYTPYLGSFRERHNYTSIAPSLCINRPNRAIEYDMSPHKAYNPRQSEWLLEKDKKYRVRGARNIIHSKSASDLSMPPLTRRTFTVPTDTMRHQNQFLYWNGRALGLDYVAPFLRREDYSRHEDRRYQRIYWSPQFIDLLPSCRHSAHLMLSAY >CRE08045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:493503:496742:1 gene:WBGene00080010 transcript:CRE08045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08045 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3M324] MYSQTDMIAAILDVQDETSSDGGSTSLTKSVEDTGTKEKSSQSSVTHRILKMKLKKITNWSGCSGAHLDDEPFYHGYMSREESEKLVRNQGEFLLRKTELTKRGEVVVLSVFWDEAAHHLVVEKANNGLFYLKEFCFENISDLVRYHHQTRVSVYKSGIKLFSWVVREEWQLYHEQINLGKKLGNGEFGEVFQGMFSVGIFTNDVEVAVKTMKGSKVTADERITFLREANLMLKLNHKYVVRLYGVATQQEPIMIVMELCSGGSLKGRIEKKEEEMSGVLKRKYCKQIAKGMRYLEKKQVIHRDLAARNVLLDKSDNCKISDFGLSLFGKLHKEQKLMKVPIRWLAPETLLKGIYSSKSDVWSYGVVMFEVFSRELPYSEVKILKELRRKVAMENLRLKPPNEMPDEDRKVMEMCFEPVENRASFVEICKKYKDLTSPLPSWNGLANRLVGIGSAV >CRE08312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1334516:1334903:-1 gene:WBGene00080011 transcript:CRE08312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08312 MSAKVSFNQPLKSLTFCGAENIAALFDNDFRIAWSSTGTHYSTIEVDRSSSDVFGTCDSIFVTDGWDEATRPPFVESDLHIRSQRRRVLFSMQECQHRDALHGDGTSRQRRRL >CRE08071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:707991:708430:1 gene:WBGene00080012 transcript:CRE08071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08071 MKWDCESFAPQYTNIYNHPTLIKEPRGLSRKALKRFDKAVEDMEIAEEDEDEDEDEDMEDDADDNESVFSTVSTFRPKGETPEQRKLRKAAVKEARKQRRVEKKANKTMFAEEKRKLAKGRIGQIKARPI >CRE08134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:1323461:1324497:1 gene:WBGene00080013 transcript:CRE08134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08134 MDAVQVSKPFRILKLPYVALKNVIMNLDQLEVLDFSFISKKCRSIIKSTKFVNFTVKLNMQTARCPFAFVRERKTICEFSTENLKTRNRYMNGGRIALSQYSNEGEGICIECAQIWADYICDIFRIDIDTLDLEPNQSVEKMILIAEWLNKRQSSLRCCYFYGTETNSDSLDRFFDTNFEHILASNCVTLWIEASSFDEGDLNRFIKGWLNGNNPTMEFFHVIVKRLDPDLLFDGIRYEKKDNSLIRNYDCKAVGVQYEFKGGFEIRRNDCLA >CRE08066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:679989:681148:1 gene:WBGene00080014 transcript:CRE08066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08066 MASPFWQIVDWNHIVLNMWCLTGIVCLTTTLFMCKKSKKASKTSSGSQSADSAEAPMAPKKEIRPLPPKASDAPPPAPPVEEKKEEEKKPDEAEKKSKKSEKEKSKKTTEGGSKKSNKEKSKKSEGKKSKKSKKEEEKGEEKKKKEEVKGKDTFKKPEDESAVAAKKDPNYQTLCGLNNDLFGPDKNPKKQFKAPTKVEKADVKDPQYETLNGLGEDLFKEEKKDEDGKKKEFKPPEKVVKADAKDPQYETLNEVDKGIFNNNDEKPSEKKEDGGGGEKKDGEKTKSEKKK >CRE07992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:109554:111115:1 gene:WBGene00080015 transcript:CRE07992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-marc-3 MEDFNASLGPAVCRICMCGETSIPYLGKQAGEPLISPCRCSGTMGLFHRSCLEHWLTLTRTTSCEICKFSFKIKQKSRNFRDYIRQRGYKKVRTEPTNRNPFVDFLFILFITPLACVALYLCIRGAALAGQKYHFAFENRDSENSQSLDIRNETSMEFALFVFVAVVLFFAYFAFMFVTLGTHLKQYRKWQEKNKITFVVDQLDAEQSLHFNPHWTKQNYGFKSRISRIWAKIRGKPARVLYPEVARNDTVSSVAFKPKNTSFFQIPIEPIVGISPVLVAHFNHTAIDSDNTHNHDASRNAIPFGVRTPEQALQIGMSSTPQMYAEKPEKLTLSPIGLDDLFAGSVSPRRMTSVSSARTAMSKTQSVYSVCSSFGTGVMTCSTPLVSGSLRGLSPSTNSVSTFKSNDGSDENVLLVGSHDDSEETVTAELLESSPQNVSSQQGRFHVEKLDTPPY >CRE08195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:424747:425884:-1 gene:WBGene00080016 transcript:CRE08195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08195 MKLFSLPYLAYSRIITSMNPIEVISLSFYSKTSRERIKQIHFDVPFLGISTRVNNRKAPVFQLRITGYRHLSIPFETAPRTSRCKGRRFTGTADGVKHYFRCIEGMTGTVLFSNIPKSYFKITNYILDLVRASLSFLVLDLNMIGDLKGFITEPCMKSVSVIEILSETVTAEKLSVFFNNIENPVKNVHIHSKVEGQVPTSMNIFQTEILVFYESSWITREHLLGFNGNILCFKKPHFDIELVIEFIKQWRNGNNTRFIALMMSEVPQKVMDKARFISEFDAKPWDPAKREKRSIYEKEITDVQDITSDLSEGFDLERDDGLLANILVGPPDLFQFFVWHKRFTVAE >CRE08056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:598982:600706:1 gene:WBGene00080017 transcript:CRE08056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08056 MKSPHTSVARSLITRRLKTVSSIPSLTFQGRVVCSDSEKASIFSSSFLSNFKTSPPASYVAPHLSSSRPSSSPLLDNDLFAPWVIEHALQKLPPRCGFSPHLANFFLIKKCATSISLPLSIIFGDSLRSSLVPLSWKKAVIIPVHKKGNPGCPENYRPISLTDPFSRIFERIICNRIKLDCLHKLSAHQHGFLAKRSCPSSLVQVVTNYKIILKTHGSLDVVFFDFKKAFDQVPLNLLLNKLALFDIPPLFISWFSDFLTSRSFSVKVNSTTDPSSALIHSGVPQGSVSGPLLFLLYINDLLISLQSIPYLHFAAYADDIKIYSHLPSSLQAGIDLVSDWAVSNDLPLAHSKTGLLRLGSLNPSHRFHIVGSPILDSHSVRDLGILFEPDLKFSAHIKKSVSLARLRSSQILKSFKSNNPAFYSFLFKTYVLPILEYASVIFCLAPSSSLSRLLESTLRIYSRKTLQRCNIAFSSYSHRLELLSIYSIRHRRLKSQLLLLYKFIAGASHFPNLNSFIRLSSSPRRPMTLIYLSPLSDNFFSFILPIWNAIVANVSSFLSPSQFEHLLDSAITRF >CRE08181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:336773:338485:-1 gene:WBGene00080018 transcript:CRE08181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08181 MKPMKLFCLPYLIQKHILDSMDLQSIFLLSLCSKRMKNCIASRQTVRFNKIKYFHFQIRSMICIEAVYFDGTVEVIFEMYPTTSAVKRLCISSLIGLSYTKRAPKSTLTWRALIQHSKPFKILFGNEKEYRVDMFSRYDGDSIPRLHNIRSSCVWSYPTTTGKTVDSFCSESPNQDFFQVLSAINGQLDENSKLYGMKTIDVGEDNSGLVLDIIRRFTGTHAFLRTSELSNSDIIEFVNRWKSNEAFESLEVLVVRFNHQFNLSNRIEIENSIGIRELSSENNAPEFHYKRRYNLDPNDFFSHSFVSNSYVVRDHDQRVASVLLNEETFSIGIWNLTENEFLEKCLKS >CRE08230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:669715:670086:-1 gene:WBGene00080019 transcript:CRE08230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08230 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3M363] MAPPKPSAKGAKKAAKTVTKPKDGKKRKAHRKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE08244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:732203:734774:-1 gene:WBGene00080020 transcript:CRE08244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08244 MKTMKLSSFPLRVQTEIFSSMELIDILFLSFCSKKLKKSIESCIKLRLDKIISITYCSSKPNNVRILSSNSNNKEFMKLVPREEGRNRPVVQMNLFGIDVDCCMATRNHPFFILCNQQDGEQMLQTIHNYFRQFFGCSIEYHLESRCLDYIPRLENIKSSNICFWNEEASTQLWDGYITLSPSQDYIRLNGCGSYENEQSLKLAQTKVLDLWIPDSKAGDILKNFKGRHLFISGGTITDDDVIQFLNSWKSNQTHQNLEYLSIHRERGLYQDLKRDLNPEKIMRNLDIKRFDPNQKMPIYRYDRRRRDWGKCDWKIEEFSSPHFIVRDADQHVASLEVTTRGIKFASWKMTEEEVMRGRIQKTFQRTPLQNADPPRNSMKFSKFSRQIQREILSSMELVDLLMMASCSQKFYQNMKSLMRSRFDKILTITYEWRPPSRLNISSSSSGYNPFMSIKMRYELRGRPLISMNFLGMDLQVSMPTRNHPLMVLLNLEQEQTLLPSIHNYFLDFFGSSIKYQLNVKLLMPPFSKLKNITSTDVSNHIGVAAFHDFLAISPNQDFIGLSELESPLLGRNLEFARTKVLHIGETNCSADDILSNFEGRQLFIDDGIISDGAIIQFLNKWKSNEGYQNLEYFSIYVSPFKNPLSPNQIMNSIPINRLDLPDQLPVYQFAKKDWKLTWRIHKFSSPDYIVREIDQRVASIKMADNNITFAASNMTEKEFLEKRLLKRLY >CRE08255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:819990:821891:-1 gene:WBGene00080021 transcript:CRE08255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lsl-1 description:CRE-LSL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M3B0] MSLVDDSQEGSYTGDEYGGPIAYTSYPQEEIAPYAVHQCNVCNKIFMNYKGLQQHSVIHTDTKPFVCEVCGRGFRFKSNMFEHRTVHTGYTPHLCPFCGKQFRLKGNMKKHMRVHVTNKEELEAAYRPYSRFERSNRRSASLIPDNALVIRGSPMPFFNSEKRKVVPKLQLGRDTSKWISMICQNQLLPISPFNEKMIRMNMRLSRSRSIGEMIDSARSLEFELFYCPLCKCECTGREECEAHLAACHGKKPDHMLNEPSYCRKCMRLFADESMFIQHQSYHSRVQVMFLNRQDSITPEVDVSQMSYCIPVINNNHHLHRLQHHHHHLDLIVPKQQQPLSPPSLHP >CRE08146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:111481:113911:-1 gene:WBGene00080022 transcript:CRE08146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08146 MGSPAMPAFADVLSMIASIPDECHQRRVTHWAKEKYKVEGELEEKVERLEKTLANRDFKVKNLEQNAKLLQNVQVAYNTIKKDFSTSEKKVRILEGQIEAMEKDILEKNQAIKAILSESDDLQKQLEDSEKKNVFYEKEITKLLDEKEQLLEAAKEEEESSDESSDDSDDDSSDDDSESSDESEDSDDDDDDQTRFVAAATLLRRMESTIEKLEASEEKVKKLTEELESVKKEQQEMETAFTDRHTKLAELATDSQAKYEKCEQSKKEMHLQWSKKLDAERLLKEKALKDVSSATRTIDYMKKIMHAENTKFQSMIDEAEKKQLSITEELKVTRDQKLALERKFKKLDDDYKAKRATIAEKDLLLFIQRNTLKELRESERNQCDQRLASQDKLVAQMEKTFELQEEIKKLNDKIENLGVEDIESEDEEEEEVKEEVKEEVKEEKEQKEDDKEQEVEEKKEVDEEEYSSDSSFEPIDESDLYN >CRE08012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:248543:249946:1 gene:WBGene00080023 transcript:CRE08012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08012 MQRGGNGPGFRGFRPTHRGRGGPRRGNGGRNQGFRPELGENRDRPNSPDRRSGRSEERYGGPPPPPPNFNNYRVADRPQSRSPSPPRNRNQRGFEGRPNHDVRDREDRRERERRRHSPPPQHAPPPQNYRRDDRGGYERPESRNSEIMQHDSIRNSSLERNRPAALKPTDKETAGYWKLKLADADEKVRRAEYELHRAKLNQDIVRDAYREYTETTVPQELLNPKPTW >CRE08001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:159288:168537:1 gene:WBGene00080024 transcript:CRE08001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rig-5 description:CRE-RIG-5 protein [Source:UniProtKB/TrEMBL;Acc:E3M3Q3] MSSAVALLGQDVDFTCIVNDLGSHMASVAFIKADSPPRLLSFDEKVFRRRDKYELKPRIGDLHNEWVLTIKNVQESDRGNYSCQINTEPIILSTGELDVKVPPIVSRSTPAAVEVREGNNVSLTCKADGNPTPTVIWRRQDRQIIRYNGATGFGASVFHGPVLHLTKVSRKHMSEYVCVASNGIPPDESWTVKLLVTFAPLVQAQSETVQASVGSMARMVCTTEAWPRPEMGWEKDGEPIYESNNVAMTHTVSGQYHSVHILEIRNVQSSHFGVYRCVAKNDNGIHHSQVTLNQISHNHFTHSNLIPEGSGMTRGYSEDDEDDEMDPEEVDGEDEDDSQEVPSSPPQLEFYSQARRHESRNAPTTHSGMNLYFECPEDV >CRE08040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:444280:445291:1 gene:WBGene00080025 transcript:CRE08040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08040 MSTPHINIVKILIQAGANLLAVNAEGNMPYDICDHEETLDVIESEMAARGITQSYIDEMRGAPEKAMLDDMKMFHQQRRELDLHVASANGYYDVAAFLLTCSVSPLIRDNDFWQPVHAAACWAQPDLIELLCQYGGDIHAKTKNGKTPIELCEDLSTKQVIATLVQSEARRRRLAFGARDSISQRDQSVSVRRKRFNKNGKYVKGVRSREYNVCSTFKSHEPEFDYLKSLEGGDGSGRTSSCGNDIPK >CRE08041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:446588:447477:1 gene:WBGene00080026 transcript:CRE08041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08041 MCWGVPAVLSVSVLVTNSVDGDVFTGICSVGNLNPSALVYFFFTPIVVSLALGAVLLICGIWSMIRIRSYIKLQHADVERNISKLEKLMLRIGAFAIMYSLPTAMNAAIMWYQAVNMPAWLEGWLHHRCIRLQDRELFGFTYPIDECPMDPKVAAPEIIVFLLKCVSQLVVGITCAIWVVSSKTLSSYHKAYLALSSRSPPVPNHVDQVNMR >CRE08154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:185412:186258:-1 gene:WBGene00080027 transcript:CRE08154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08154 MKKGKFLIVNEPTEETVDRELSVPTEKCDVFPALVYIPKFNKKMEKGLFCVLTILVQIIIFALMSYFFTLGYLAVIPIEVAFLALYAKEHVSVLRAQMFYLTLQMFFGAFVTFMAFLPAESGTRKDFEMVLPNLTTGTLALFGVFFGLFTVLRILLIASYHTHLFYSNLRDKFKDGKVILQPA >CRE08032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:380639:381135:1 gene:WBGene00080028 transcript:CRE08032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08032 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3M363] MAPPKPSAKGAKKAAKTVTKPKDGKKRKAHRKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE08239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:718832:719127:-1 gene:WBGene00080029 transcript:CRE08239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08239 MNSIPINQLDSSDQLPVHQVARKDRYGKHFWRIRKFSSPNYIVRDSDQHVASITITEGNITFAAWNMAEKEFLEKRPVKRIY >CRE08212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:516996:520879:-1 gene:WBGene00080030 transcript:CRE08212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-drsh-1 description:CRE-DRSH-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M331] MSDGSGEHFAHLPKTKRSRRKKHQKNYQDMHKDQMIQQLGRRFHNKPSTSSCSPTNVGEIPLPPEAPNTFGAPPPLTEEDYQVNYMVDPEVVSSHSAELIRSYREVVKADEAELYMLNRSRTTTVSVLSEFRTRVLNNVKSKRNLQTNVPQIDYPLHSMTSRKARMRGDGSDNSSSDETGSESDSESEETESGSDSKPGPSTGNLTRSEKRDERKKESQKKKSEEKERQMTLLRMGVERKRNHPNGVDPDISFNEKGLGNDGPECRCPPVIKNRGLKHGFYAGENKVLNCTRICGSNLHYYTLQVKPGPNEGQIYKTGMTINGETYEFEGFSMITHAPLPECMTRRPICKYSLEYEFQLVEERFPIECFDPEDCNHLFDYIFHEIFEMFDFNLHPKHLPPGIVSCPVIHIMPRFVAMKNGVAHLWSTKTLLAYFLINGDKDLFNSQEIIDHCEIPDDAFSRVSNKMKQSILLNTLKKPSALRADWFERDSERREVYIVHNSIRSQNYSTPILVKIAQLEKRLNRMKKDKKFAGKNKEYDVVKRELDQLKDEHRNARYLSIRKSVAGYIDTGFKPDIIAHIAMVIIASHHIRYNFSLSVFEEIIEYQFNDRKVIELALIHSSFRSHYGTPPDHIKNIISNCGYRRKYGSEEKREKKKGIVSLFNIMGGETEGGEPILHNERLEYLGDAVVELIVSHHLYFMLPHHFEGGLATYRTALVQNRNLADLAKNCRIDEMLQYAHGADLINEAEWKHALANAFEAVVAAVYLDGGLGACDRLFSKAMYGSAPNLKKVWDHINEHELKREDPLGDRELSNITPALASFHKLENILNIKFNNIRLLAKAFTRRNVPHNDLTKGHNQRLEWLGDSVLQLVVSDYLFRRYPLHHEGHMSLLRTSLVSNQTQSVVCDDLGFLEFVVKPPHKTPELKMKDKADLVEAFIGALYVDRGIEHCRSFIRIVFCPRLKHFINSEQWNDAKSHLQQWCLAIRDAQNPNPAMPDYRILSIEGPTNNRIFKVGVYFRGKRLASAAESNVHKAELKAAEIALASLESTSVSRMKEKNMGDKDHHRRHRIISDD >CRE08173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:296183:298833:-1 gene:WBGene00080031 transcript:CRE08173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08173 MNETNRSTRIVELFPNGHDPAVNTNDPNVVQFSVIPGIQEEIIGFIRKKLLNSIQLQQFIVEREIEFNEKYIGLLTEHNSSRYNNHNDLEEECQLNLRSEERETQLETSRNRLNGLRTQLQNLGRREQKACDYLSQPVAQFSVFGCPDSETNRYKIKNKSWIFSVNNSISLQKIQLICEKLTEVEVEMEATFWSKEVYCLLEKLNEEEQSVAIGVIIDMADWASSVRTHLVTLLCYLLEKEDVADTVTKCFEEFIEKDNEIETVMAITRVMVSIFLHLNASGNDKQEKFGLVILKWIIELCDSSELNPSHLMVLIKTIESIGSKLESVSKEKFKEVSTRIESKIHILKRSQQLRARRVLKMESPPASSSPFPEPPIPSSSSLPIPELSSTLSSARVSIRNLTIIEHMDGYDENSVNEVLAKQERERLEKEIRQRVEAEYREKEAKRIAEEEEAKRNLERMKLESRKRQEKEAEEVRKLQKSEYRRKNPCTYMKNLQVYMHTRPNEAFNEKVFDGRIMGFYFSGAWCPACLWFTPILRNFYSKVEEDFEILFISSDNTEQQMKLFQQQYHGNWFHLPYKSELANHFASTMMKHIPTLVIMKPNGVILNRDACQEIQNCQNPKELVNYWKNC >CRE08251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:783162:784196:-1 gene:WBGene00080032 transcript:CRE08251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-strl-1 MGDKTSLTIHGVTDTLKEEDKKYSDALKITGEVFAEVEAIFNDENYASRTGWFKDESNDDGDIVYAKDTRHGRMVTITTELPMPADFVIKETWEGMETLPEWNQNINFAGIIASPTPQFDIVTYGNNDVLVVSGREFVSARIWRKVDDGYILASRSVTVPSFKSKHKGKVRAHLHLAGARFRPNPANPETTLTDVVMLADLKGFLPKMIVNQVIGRVMLMDTVTNRRHFHDLKAKRDEKKD >CRE08039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig16:443592:444075:1 gene:WBGene00080033 transcript:CRE08039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08039 description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:E3M3Z1] MDTEGPIEPDQTFEPVDQITWKFNQVKGNIDADVHTEADVISCVEFSHDGEYLATGDKGGRVVIFQRDQSGKNTVYSAFQSHEHEFDYLKSLEIDEKINQIRWLKKKNAANFILSTNDKTIKLWKMSE >CRE01318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:117045:117996:-1 gene:WBGene00080034 transcript:CRE01318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01318 MEIDTSKPDGGQQIEEDISYPKQVIHSRIRYLKIENSQLKDELAWIKEELKKMELKMSELEEERDPEREKAAEIEDELSNLRFQWKEVESKYEKLIVKGEEKDEEELEEEEVDPEDPQQKIKRLEGHIKFLLDANVKTSRELKMKSDDLKLMEYRLDYERAQRTVADGEFKELKEKLQNLELQKAELQDIKDSKDLEKGAEHTESPELSKNQKMMNEDSVSMTSWEEIQ >CRE01287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:13969:14273:-1 gene:WBGene00080035 transcript:CRE01287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01287 MKFLAIFLVILSVFNMMLVEANESTQKPLNRRRRPDPNRIIIGMSPASYKIEREKYLRQQQQNGGIIIGGKQQPIAPVKLAGY >CRE01285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:220006:223018:1 gene:WBGene00080036 transcript:CRE01285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01285 MHTGFCTTKFDTEVLIIGNGPAGIALSSFLSGMHPYYDPKTPHENVTVHEKLMANHDFSLIDQSLFQWFLCEICEIPLISKNIVVIEENVMLSRLSPIHYSEYTEVYRMMIGRSAHKNYQRLLDANVSAISKCHVEISTGSGREIIESPYSTVAVCIGRESHFSTVFETPPTFLDYRNPQDETMFAIGSYAGDHFVRFLVGGCLRVAQHIYAGGNNNNLNKF >CRE01299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:47592:47675:-1 gene:WBGene00080037 transcript:CRE01299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01299 MVIEAKDQKILELKRKLMAATCEGYCM >CRE01277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:164701:165540:1 gene:WBGene00080038 transcript:CRE01277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01277 MDNHESTKQLVYTLLERLANTLALVNDVAWNRGEAVQEPLSNTLQIWGVPEKLKEIRDAPPTKQTELVEAFLVEEHKDVEQEVEWMSEEIAGQKDLIKSSDCAVEAMEEKLDIEAEEIRRLRALLKKANDSIIDKDYVIGLLREDIKDLKSTPEAQIQKKMSSTVPRNQESEN >CRE01272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:142641:143464:1 gene:WBGene00080039 transcript:CRE01272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01272 MAEGQYSCAHRRRYGGGACAKNEEPDDGEEILFFAMLANEYKERIETVSYINDGDLRKNVYDSIIFSYMAFATSIGDGGYWNEVLGDFLENHGLDFTYKETAIYATSIILWVLIRELIATGQNADPQTALPIPHHSRRLPAKLRCSSTFLYYTIKTSHILLISFTLPCPSFK >CRE01262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:89833:90261:1 gene:WBGene00080040 transcript:CRE01262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01262 MDKLKVLEEQHVKQIEGMNLELQTLKAQQEQHANELNGKNLVIQRLAIRLSALEQQTIDKMMELTNEKDRHSQVIHKFREESFLAERRLESVRMMMKGNLRQKMKEVGLEQSQLRTKLGKLYIELQEAKKPQDALITVVLRS >CRE01264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:95768:96833:1 gene:WBGene00080041 transcript:CRE01264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01264 MSTNENCTVQNCYQCLTNRFWKMHDVFLNTCHKLFIPHISRSARLINCEHEKRQLEARLNEANAAIGNYKKNKEELESKLVASQEESARKILELQEQQELKMRTLEFELSAVQKKSCEKSQELQEQHEREVSGKDQEIQQLEIKLAVAKKEIFEEELQEQLEEVSVKDTEMRDHVETPQSKEPTLHHIDLGKLYMEEAKKLQEALMAQLAAKDKEIGYLTEQHKGKLVAKDQEITNIREQHISKIVARDSEIQRLTEKVKNVDERNENQRKSICHFQQTIIANDQEIKALKERVTELEKDLEYYRDDEMDKNVMTKDPEFEAFMADWKAELENGKKIENGRDGQTE >CRE01303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:51844:52548:-1 gene:WBGene00080042 transcript:CRE01303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01303 MKLLEQHAKELNDKNLVIGDLETRLAAAEQQTVDKLKVLQEQHDKQIKNKDLDIQTLQDKLAAGQEQHAKVLNDKDLKMEELETRLSPVEQQTVDKVMELTNENNRLSQKLQEESIRAERLHKGLKEISQRALQKKETLGQEKKEVVPEPTQLHTELGKLYMELQEAKKPQEALLAQLAAKGKEIECLMEQLEAKDSEIHNLKEMIQGEDEMNENVMAEDPESWRIGGHGGKGW >CRE01265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:97383:97719:1 gene:WBGene00080043 transcript:CRE01265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01265 MLKGAVQQMKNNENLGKLYMELQKAKKKQQVTHMDRLAAKDKEIEYLKEQLEAKDSEIQNLTEMIQGRDDMNENVMAKDPEFEALVADWTAELEKEKKIGSGRNGQSE >CRE01276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:156701:157345:1 gene:WBGene00080044 transcript:CRE01276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01276 MDAQQQLIQHLEQQIVELNQKLEQEKDDHEQTLSSMVPDLEATISTLTDENNELKKKLQKEAESKKKLEAKVIRRNQKILKLEEEKKASEEKDQELSELYQDSVAELHQAKQEIELLQKTIARRDATIRTTREVVSGVIAKQDSDTESQTLSMVMQLSEAEHTAVVKDTEMTILKDMMATMMW >CRE01297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:45086:45460:-1 gene:WBGene00080045 transcript:CRE01297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01297 MNPSNPIACSSQDPQVQVLTAMLLVEKQQHNITKTQLSTCQAEKQQVKQENAELLAMLRQMNVACRELIAERDELREDFQEQHEKDIEAKDQKIQELKRKLMTASRQ >CRE01281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:196907:199380:1 gene:WBGene00080046 transcript:CRE01281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01281 MCLESWTPEERIAKRPYRPQMGNLVPNKVWPRQSSALVLKALQEAEALEKASKDHELENLEILEETDSEDTPSEISETQKDPEFSENDSEDTHQPSEISETQSKIASLEPNYSHLLNLKIPFIFRDIAN >CRE01315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:93033:93323:-1 gene:WBGene00080047 transcript:CRE01315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01315 MSGLFFVIQRIFLRSFFSARNLLLANKKTTATSGGLFAGSRATNSFSEGNWLQQSADTKYCSSSVAVVRPPLQELCSTAYIPRSANPVTSGCCQWR >CRE01310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:71997:73208:-1 gene:WBGene00080049 transcript:CRE01310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01310 MNYQELLSFLQNPQVNELFTKFQAALNADSQLNAYQAKTQSLGTENAELIQQLKNMNVAMLELVAERDVLRGQHAAILGERDFYMQANKLRAKDLTDKDLKIQALEDKLAASQEKSTWNNMKLLEQHDKELYDKSLAILQLDSLLSAAKQETIDKLKVLQEQHDKQIMKKDLEIQTLQEKLATGQEKHAKVLNDKDLPIQELEACLPAAEVQTVDKVMQLKNENNLRSLMLQVDSIRAERFGVVLEKGSQKKLLVPQKKKKVVPELNLGIPKENVFAEQSLQGVFKVQKALKPLCQKKKEVVSEPASQHHTELHTELGKLYMELQEAKKPQDALMDQLAAKEKEIEYLMGQLEVKNSEIKNLKDMIQGGDEMNENLLAKDGKIEAFVADWVAKLKRDRDGQSE >CRE01331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:177809:179005:-1 gene:WBGene00080050 transcript:CRE01331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01331 MLYCQSYMYQKRKTSCAPTYCKSALFKKNQTATPSVQPITRECWFGILVILQSDCAGIRPVWLEINDHVMGSA >CRE01270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:138635:139487:1 gene:WBGene00080051 transcript:CRE01270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01270 MTITLHQHEILTKCYEMNPIPDDNQKEIIKKSIGFRYRSNEVDVWFAKCRAMGPGALWAEISLEKKKMEEQKRKKERKEEMAKKKKITHYQHKKLTKFYETNPIPDCDQRDVIAESVAMTNVAVDCWFFRCRMVGPEALWQEVGKEAELKEENEKKENEELKKIIAQQAAELTESKRLIADKNAEIQNLIKNSVKDQTAEIQKLESWITNLTISSHAQQSDPVRLLNVEKELARVSLQLNSFEEAKLKKENERLKEQKKELESLFN >CRE01314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:91602:92417:-1 gene:WBGene00080052 transcript:CRE01314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01314 MDPSNVLGFAQNSRVQLQYDCARYIEKIQELYAQRDFYMEKLGATETANQKLEKQNAELIGQLKKVNMDMQELVKERDSYKTEIQVTKGDAEISNDDKNRVDMIEQPRKMKPTVEEVIRKANMICDKNRICVTLMNRLTDSQKNAVKLNVLKEKHVKQIESKGQDLTTRLSGVEKQTVDKIMELTNEQKKKDEVVHIELGRVYMELQEAKKPQEALMARLAAKDKGIEYLTKQHEEKLAAKDSEIQRLTENVKDGKKVNKKHEEMIVIFER >CRE01269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:134822:135727:1 gene:WBGene00080053 transcript:CRE01269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01269 MKPTFHQHKTLTKFYEKNPVPDDDQMEIIAESVGMTINEVNSWFIRCRIRGPEEVWTSLNYDEDEEEQKKKKEEQKKKKQLEAILESKKMLEEQVEKKRKKNEELNKIIAQQAAELTESKNLIADNYAEIQNLIKNSAKEDQTESKIISQAAEIQKLETWITNLTTMSHVQSDPVRFLTIEKELARVSSELKAFEEAELKKENERLKDQKELLEAMLQSKKKLEEQVEEANKKIEELSLLLKEKNDKIEAITQKIQEQSANLKEARHLMSCSLSLIDIQKDKIEAQQEEIAKLLKGSETVQ >CRE01300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:47740:47960:-1 gene:WBGene00080054 transcript:CRE01300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01300 MNPADAIACSSQDPQVQVLKAMLLVEKQQHNITKSQLFTCQAEKQHVEQENTCSL >CRE01327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:146728:146994:-1 gene:WBGene00080055 transcript:CRE01327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01327 MEITLHQHEQLTKFYEQNRVPSILQEGVMGESVGLDICEVDSWFTQCRILGPEKLWAEISLRMKKSEEERKKKEREEEMKKKKEGEEA >CRE01304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:52695:53244:-1 gene:WBGene00080056 transcript:CRE01304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01304 MDSQELLTFLQSPQVMEQIAKLEVARDNAIRAQLSGDQTKMQEFESQYVAFMEHYKSMCMTMGALVEERDFLKKQHAAIQSTRQEFESREAELVRKLDENTAKRDLIMEKDVLKRSDEVQIQTTKEDAERIRELQEYAKAINDKYLEFQTLGSKVTPYQKRLPCRI >CRE01273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:144112:145196:1 gene:WBGene00080057 transcript:CRE01273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01273 MNLLTNVCNCAILGFTYFWACLKKKKEERKLSEELDVQDWEDDSPEVLTYRLCALGKSLCVSAEFTRGSGVTASIPCLIFGHGLHKTKQDLPPPGRQDDWIKCGWRQEWCPPKRCVFFKKMTTNFYNFVVPRLE >CRE01282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:200238:201670:1 gene:WBGene00080058 transcript:CRE01282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01282 MDQILEHTINQLRAELAAERVAHEETKLRLTTENHQLKTANQSLSKHCSKAFSELKKVGNQVKIMTENAKMVIFKSKSLKIKKLEEQTKRIERLERIMKRNGIRIPDDVDVEEDSEDIAHQEHLTEIDMVEAEIECLFDKLVTNYSENCRKKFSSEKSR >CRE01274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:147508:148290:1 gene:WBGene00080059 transcript:CRE01274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01274 MDPRVMVTGQRTSMAAPKAASIAALQDNVQQLSKALENMKIDNDKKFKRFERKIVEQKKDQKILELKEKLREAQRNYEALQESHREHQKVVRKNAANIRNIINEKEEKAKKVVKKGEELKKQVEELKASLAKSEVTISEKQILIEEQKKEIKNHRESYRVLSEFHSMMRNEIEYDDCKMKMMKDENHTLQKEYQELKEKFQKREREVRQMKKEMKEVRKVAMERDEQISKELEETREEVMVRKERVEEAMKKMEEELELD >CRE01332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:180197:181726:-1 gene:WBGene00080060 transcript:CRE01332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01332 MSSQFFNQLFRELETAFGRGDHPNAFQTMTKKDGKSRQTEEQDLRKVMLRIVQLRRAQINGMDDPAGIQKSQKSENPEFEKKVETAWAAYQRYLNGEKPDDAISEEEKLKIDQFLVRFVEEIVQKGAELPEELTEKISVLTIANKTLLKRNGELHREIETLVDEKEEMEEKIREMKRRLREMEKRLKGAEPSKI >CRE01311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:73691:74932:-1 gene:WBGene00080061 transcript:CRE01311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01311 MMNSEDLFSFLQNPEVKDCFAWSLAERDRKTIARFNAKKEELETQNAELIGQLENMKMTMQELIAERDALKSSNQAQIQATKEDAKRICELQEEHYAKMLNSKNLEIETLRSKVTPDQKEINLNLQEQHSKMLIGKDLEIQTLKALLVASQEDTIRTNMKIMQQYVKDVNDKSVVIRELETRLSAAEQQTTDKLKVLQEEHVKEMKNKDLEIQTLQGKLAAGQEQHVKEHNDKDLVIKELETRLPAAELQTVDKVMELTNENNRLSLGIQKLQKEKVFAEKRLQSLGVMLQEKLREKKDVQKKKEEVVFKPTTQRRTDSELHTELGKLYMKLQEAKKPQEALMGQLAAKDKEIEYLMEQLEAKDSEIQNLKEMIQGGDEMNEKAVMADGQSE >CRE01252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig160:13165:13431:1 gene:WBGene00080062 transcript:CRE01252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01252 MKFLAIFLVILSVFGMILVEANESTQKPRQPLNRRRRPDGYRNFGFTDNPRNSKIGAPVPRRGGRIMILE >CRE18351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1603:1747:2034:-1 gene:WBGene00080063 transcript:CRE18351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18351 MAITFESIIEFVFILAVLSACAVLFRRLGERAAINKPPPPSNRRHRHQKDSREGDEAIPPRGRNQRQNVPPHRRHAR >CRE26899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1605:3057:3497:-1 gene:WBGene00080064 transcript:CRE26899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26899 MERMKEKYPDIKVLPVQYSDGDPQKAMDKTIDMIQANPDLAGIYGTNEGSTLGVANAIDSQNLKGKVKVIGFDSTEAIINFLKNGVIQGFVVQDAYQIGYQGIKTLNAALSGQAVEKEIDIPVKFVNAENINTPEIDKLLHPFGKK >CRE26898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1605:1424:3004:-1 gene:WBGene00080065 transcript:CRE26898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26898 MHNGYFLGVDVGSASVRAGVYSASGHRLAFATAPVSQFRPGGERVEQSSAEIWQQVCKTVKEATALAGIPISAIRSLGFDATCSLVVLDEQGQGLAVSSGGSANHDIIMWMDHRATVETAQINAMKDPALRYVGGEVSVEMELPKLRWLKTHLPQTWQAAHRFFDLADFLVWKATGRDVAGLCTLTCKWNYLAHEQRFSHSLLESIDLTDMLERIPADILPPGAAVGTLTATAAEELGLTTNVIVASGLIDAHAGGVALAGAHPSGTLALISGTSNCHMLCSEKEIFTPGVWGPYWSAMLPNYWLTEGGQSAAGALVEWTLQESGASANLFHKAQQRGCHPIQLINEWVAALEANESEPTRNLHVLADHHGNRSPRARPDARGSVYGLTLERGENQLARLYLATLQAIAFGTRHIIETLRENGHTITRLTLCGGATHNPLWLREYADVTGCDIHLMQEEDAVTLGAAISGAVASGAWGDFTSACKAMVEAGEIIQVNPQRREFLERKYRVYLTLWEQQQAVNQLMQ >CRE30606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1608:3935:6119:-1 gene:WBGene00080066 transcript:CRE30606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30606 MYPNYYQNSHNYPVAAQNQQTDAKGQHGNHDYLQYAANQYWTQTGYMNNYNPPAPSVQAPFEPVNPDAIKVTLHDSKLWKAFHVLDNEMVTLPNGRQIFPTLHYNVAGLPHSANYIFGLKLQRVNKNYLMEYRGGEWKETGKSVKADLESNEIFAGVMGGGVNFKNARIQSIRRYNTKGKDKEESQKPKVDQNKDISLFVTSHCRYTPILSVYYMQSTQKKFLKSFVFKETQFVAVTGYKNDAVRKLKTNKNPFARPDYKEDYKEDVVENSEKDSTWDSGISSMNSTVASSIESPTSRRDSGISSHSQNQYQFWGSSMTPGPFIKKEPTPENSRFDMNYTSGGASTSDSQSQYQFWNSSMTRGPSSGVKMEPISFNMDQNSQYNNVLPLHSWQQDPYPLTATPGPSNQPWDENSFGQKQNYKF >CRE02589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:599:805:1 gene:WBGene00080067 transcript:CRE02589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02589 GILKIAEVTSRFVSIKQFCEAITKMGFEMANRRQLTDYFMMFEFRKIEKVEQKRPYGLKLKPCLYKKR >CRE02608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:81252:82999:-1 gene:WBGene00080068 transcript:CRE02608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02608 MELRPSYHFPFLPISILFAIVCIFVPNVYSTDPPKKADENYRFHFALSYYQLGFLAILVFVGVLRMVTEIKKDEGRERLIRCRNRLTAILVFVFLYILMEVFMTFWFPPDSQIKCYLSQCGQFILTLLASLYLIGILMTCAIFYNWLIIPTIVIDGTMCYLLNLYAAPEYEEVYRCWMITFSVCLLDLWYIWSRGLLDSPFYSLPKMYERGEIDYLPTIPRPLFP >CRE02601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:148598:150066:1 gene:WBGene00080069 transcript:CRE02601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02601 MFLSFPFFTIAALEQFIVPNKFSIAPEGSKEKFIQEYSWHQFVLLALTIAVGFARGVPATDAPDEPQRLSNVKKPMYFVIGYGIFQAILGISLTFLYSPDSETRYLITTVSQILLVMLLSYYAAPMFFTCTMWYTWVIFPAIICMIGGCKALFAHQLDCYKTLFIFWIMMIFFGLLDMYLMAVNHIYDGCFHFQHRAPTPCYPEWLMYRPPHPIPTVRY >CRE02597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:85006:86175:1 gene:WBGene00080070 transcript:CRE02597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02597 MTLFVSVYPAVSIFQLLVGNRFVFSTDPQISKISQQLKFISQYDYPQIIYLALLILIAVPRIANAIKAPDEPQRLEKHKKWMVYVVNYGIFQAVFCIFMSFLYDADDETRYIITTVSQLPTVILIACFGLPYFFTCVIDYNWPIIAALIATILTSFPLIHFQPNCYAFLIVPWCFMIYFGLLELYLMHVDRIYDGLFHEINRLELDPLE >CRE02599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:144486:145456:1 gene:WBGene00080071 transcript:CRE02599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02599 MRFPSISYFALILFLMLCNNFSEDPFAKPEIDLTILSDPQTFLIVPFLLLSIFKCTFSLKHENEPGREKVVQRWATFSIFIGMTATIYMCALPYFVDPMSVSFSRICSYSLIFFTIFIPLLTTEYLIVYVYYDLWIFPVALLALLSCIYASLVVPKSLHFQTSACICCVLFGIHEIVSIWKHGLNKLMWDCIYEDWEAETGKTREPLILFV >CRE02596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig161:76373:80160:1 gene:WBGene00080072 transcript:CRE02596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02596 MIPYPVPFFPIFSILAFATIMLPNAYSTEPIKNENVTMSWYQLVFAVIWLILSTVGASVELKKEERPERLLANRKRLTMIISYGLLFYLTEILMTFWAPQDSQIKQYATLIGQLILTVCHQLLPDWVSSARAGSFIIGLLFPHFLPVVSLPIYLGFXGVPEYRMMYQLPIFCISFGILELWTDLRVMDYMIVPYLIKYYTTLIGQLILTIFISYYGFAIFATCAINYNWLFIPTFLAGCLFTYLSVLYGIPEYRIMYRVVTFCVPIGIFELYTIWSDGLHDSPHFSIAKMEERGFFDRNRPV >CRE03571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1610:7795:8943:-1 gene:WBGene00080073 transcript:CRE03571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03571 MQLSSATFVASSLSAIALLSCLFVLSTVYQNVQDYWTELDEQMVEVRVSSKDLDDMWREIMHIQSNALGSVFHARRQARGAYDEGDYKEAPPPAASYPAPGGYEESAAPAHDVAPQAPAGPAPTSPESCQCQAENKCKAGPPGAKGFPGLPGPNGVPGVDGKPGHDSLDVEPQAQNTGACFHCPQGPPGSPGPMGHPGARGMKGADGQPGQPGKDGDPGKPGEIGPNGFQGKKGPDGIPGDKGADGRKPIGRPGPKGQRGEQGHPGPQGTPGHNGPTGPAGDAGPQGGPGPQGAQGQDGGPGEEGKLGRPGPDAEYCPCPPRGSHGGSSGGGGGGSTGGEYKATGGDSYRKKKL >CRE04455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1611:267:671:-1 gene:WBGene00080074 transcript:CRE04455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04455 MTSETEEKALPFIARAKSPHFQNGRMGVVVILCMWIIIYFWGVTQLVDGLMDWLAYGSTQYVARVAIGAATTVASAYEGQFYFESQDLSLITAGQVEFGTGKYYAYCAFGGVLSCGITHTAIVPLDLVKCRIQV >CRE05344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1612:545:4679:-1 gene:WBGene00080075 transcript:CRE05344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05344 MDRSPRRIASPVNRTPSFEVSSTIAILNSPPNSPHHDLPPMESLPNSPEPPPPPPHSFSPRRKVHESIVREVREYHLHSTTRIPFSPPPTIQIHPAPIAGPESTSSFRTNPEEGDRDDEEDNVEETGQEIELPPTEERAAEPETSQQIHVESDVEIVEDDHQKTAEGGLENRLTHQLEAPADRRIIFPITPFYRRKGTCSKCSIWLGALCALFFLLSIALFLVWVITTDGFRTIGTKATNVCNSRQCIDVAFRLSSSIDDEIEPCENFYRHSCQRYHSQTADKQLNFLSQLKDESLRTMQSLFSSEEDPRSLQKTARLSKSLYSACMNAVLRSSNAPTDLLTFIRNFPCGPLLRDCPNFHADSYRYRNPSIWERHSGILDWYAGNYNLIVYDRDVHPQDRSKIILQIKPPDLSPIVGPIERDLIALAKPSPTEFEPLLQLSLRQNLLSSFSRDNLLRDPRDVQSQLDEVARLMVDLYISARQSASLTPNTTYLTIGEMFEALPQLYLREFFDAQLSNIYKWTESDMLSIQDYDYFARLSDIMAQTSRQAVANYLLTVTIWNLKQYSYSPRDQFGWRECVDQISSLEIGSKMYVDKASQSMNLPKTLEFLQNLKIDFLSVHRSTPLQYLSNINRLGFYVGFPRRLQNEELVWRPVSQLSLNETDYFDSMIRVGKAERDYALSQIGTYLDSDDTTNFPITQPTMLYNSHVGAIVIPLAFIEPPIYLPGDEIPMYGIYSSLGITALQMISKVFWQGLDKSSQLECLDNLFRGFLDANRQRSPSIEPELLATIELADAFKSTLYSYAKWQNEHHIHHEKTLPAFDSTDSMRSLMLTFSTMFCSGEGSEPGSDYEAMINTVAANSRMFSIHFNCNNSSRLYNRRTCL >CRE07159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1614:2231:2613:-1 gene:WBGene00080076 transcript:CRE07159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07159 MDGIDIHLDNASLSNSDLNVFLKHWLSGGCPRLKLFCARIGSVDIFRVLTGLRHNVVRVENRRDYNSPFGHQWTLWDGYDIQRADGVTATVHYEPVEALVIAVWPETTHKYN >CRE09752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:90293:92395:1 gene:WBGene00080077 transcript:CRE09752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09752 MTYFAYKHSDKTPANDSATGNPADLSAAGTPADSSAAGTPADSSAPGTLDGSSAPGTPANLSAAGTPADSSAAGTPADSSAPGTLDGSSAPGTPDDFSAAGNPADLSAAGTPEDSSAAGTPADLSAEKTPADSGAAVTPVDSSAAGTPADS >CRE09755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:154690:156598:1 gene:WBGene00080078 transcript:CRE09755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09755 MSRPSSGKNLAEKVWVKVHHYENGYQRSIPSHLTPVCYQHRCWCVTEIAPLYIPLPYDPRTTTTTTTTTEKPIIEEGSGQAEFPEETPKKTNILDFFSIFLPNAGDIDF >CRE09757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig162:187124:188238:1 gene:WBGene00080079 transcript:CRE09757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09757 MFILDASPPTNHQPSSSYSYYNYNFIDTYYNHNFIDTYYNYYPPHTIRHTTTIRVYIF >CRE13838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1621:8798:9669:1 gene:WBGene00080080 transcript:CRE13838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13838 MGNFGMECPRKLTIWQFLFEEYDGVGAQFVMDLIFRNHILGARRALSNSPLVQMLNIRNFPTVALFRRDHQQALYMQRYTNQTVKEIDDAITGDMKQGGRQAPILTTTYAPTTTTTQTPLIDCHSYPDRCREMYYVSETDMLKAMRMALLDEVTRVPGSIRGDNFTNLHEFMTLLSNHFPVLSFQNDIRRMRAKRTTSVILRNSERARLVFTHMREFLEGRKAVGSVSADEYRRQFESVERVYASPFPVNSTWQLLQG >CRE14425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1622:25:438:1 gene:WBGene00080081 transcript:CRE14425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14425 YLGLLVLFLAIITLIVVFALWHFKKLCFKRFNDSAICPVTDMDADDKTVNPMTEDLLVIMVVNASMGAIQQKYELWMELMKMVVNETRNENREFPYIQLSIRKYWSVLWSIIIVNYNWKVFRDVNLPLNPWTALQSI >CRE14426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1622:1202:1655:1 gene:WBGene00080082 transcript:CRE14426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14426 MPTWNDEDIPPFGYETCYQVMQTIIKSKKPIVVHNTKGVGSAMAFVGLEYTSRMMEYHEEYTYKDAFGKLIEKRYCSFQNACQIGWMHVGSIYFTSRNHNLDMYMFNQMNNVFFEVHRTYSGVPNNESGVKWF >CRE15212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1627:5019:5489:-1 gene:WBGene00080083 transcript:CRE15212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15212 MVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWANDPRPRQTSILWTTPSGGIEALKKTLLKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE20142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1628:2882:3199:-1 gene:WBGene00080084 transcript:CRE20142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20142 MMIEFLFINIDDCINRIRGRFSDGDMLRSEETIEGPDGLSHRTKYCIRRYDGTIGEFLVENNKYLYIRSRNNTEISLSTFISKTKTMM >CRE20141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1628:9:2746:1 gene:WBGene00080085 transcript:CRE20141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20141 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NSR8] EVLPEASYIFTNHIPLMDFPAPTFDKIIPIGGISVKTQRKSLQLPEKWDKFLGIRKKNVLISFGSNARSADMPEEFKKNILRVAESMPEVTFIWKYENEKDTLADHLKNVYLGDWLPQNELLGDPRLSLFVTHGGLASVTELALMGKPAVMVPLFADQARNAMMLKRHGGAQVLHKTDLGDSKVIKKAIEEVLFGQLPSMDPYGRHQSYFEYYLLDIISIALLIILTVSYISFRILRCIFGLCFRSKKVKHE >CRE19604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1629:4156:4833:-1 gene:WBGene00080086 transcript:CRE19604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19604 MGRWIPHKLSNFDLERRVDMSLHLLTLHPNFNWLDHLVTSDEKWVLNENHHRRAQWVDADKQPEDVVKQELHPKKILLSVWWSVHGVHYWELLPEGKTITADYYSSQLQKVKSKLKTSPLHGHRVHYLHDNAKPHTAKTTKSLLATFHWTVLAHPPYSPDLAPSDYHLFSDMHRTLKYFDSKQPEFWQKGIESLPTKWQTAVDKGGHYV >CRE19412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:24154:29729:1 gene:WBGene00080087 transcript:CRE19412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19412 MARDEIVLHTIVINIQSDVTTCVLTTRLANVFADVAIVFVFAVVAYVLADVSIVFTNVATAFADIATVPSELTDDQKSIIFQFFHFQYTPSSPTNNSTSPRAFSPQDSPTSPTYSPTSPSYTPSSPSYTPSSPSYTPSSPSYTPSSPQYSPTSPTYTPSPSDQPSAHGGFIYISIGDYAFRETTRTPFNKSEDPSKYFTVREVIDFWTLDNQPLSAYVKKAKKLRMGSYDRSFIRRCLKGGAELPTAPFSESRSLSLKTLNGYKHPKVEGEPEEKRYIFGTEKLNFS >CRE19414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:40998:52110:1 gene:WBGene00080088 transcript:CRE19414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19414 MPALPILKLPIIVLMKILRTIDNDTVKQRGWETYWDDKVEGLQSIMEYISDLFGIKNVTKIFVTPDTMRLLDVLKERQGNDYELFQYHRLSTEIKRLLQHWANGGFKRLKFLRVCVEDLNMEDVFGQLTHTRMTEKRTGGRLWKYTYIYIFDFPANSDIPLIIISPQDNAKFWKILQTHFSRVFPKTGAPHVAVTVHIMSKVPKSEKVDLIEVKESKNRILKTSEVEKFVEIYNPFLIYVHPRMEGELSDKSCLLTCENLLISYSRHFSRQNFLNFSGKYLLLQNTILTSEDLKIFLETWYKGTDWHLKVVYVFGNTNFEKEKILEGFDMKPWDKTRRPAIYPSRARFISPEDHYDCTNAMDIAPQVLDWAVSVLKLHEDYYGSKDRKADLRLLHVVNGEQLHNIVQNRNWRGSQYAFDTIVKHLQKKHIRWAMDKIPKDEELLLLPVKEEPLIVPKIEEDSYEETYEAYSPPVEQPKQDPNESLRDYLMRTTQPPPRPKELTQEDIDLLESVFLDFVTEKGIFFDADVMIKSLIRKTCLTRNELRDWIRVRKEKVRQEVPACLSKSTSAVFERVYSDLKTKNGGCTPFLSPEELDDLAEEVNESKKVIQRWFNYKRYREKMQQERAAVKPLPKAPIPRRHSAVKRPCPPPSVSDEDRKIFQKQIKILEELYEEYGHNGMELSVQDLQFLGSKGEMGREELEEWFQLKIVEENVSNHLTQYMEASKDPFTTIIKSQSLTAFFNNEYNKQKWTNPARNQMLSNSSNLAPYVIDRWFIDKKYKEDSKIALNAYRQAASLRYSEEYPFFNKVSPGMRSALIEIRNDPKKSTKDFLKLCQQRNEHVEMTDFTFFYGKENRNEREFQKEYLEEQLKKITPEEQEILTTVFYEWRINVNMFLKHVTHLKLSTTVIKEMHAKMKKNPPPRIEIERKPSTSLDIPEPGYVEVKAEEVVYEEQVVAVEDQKNINLLITNPQNGTNYFETKQEIFEFEEVPGPSSQLLEQVPEEHWIEESEYDAYEAREYEYQYEEPLIEGDEDQKAAHLLEMELRDQEYFEEKKPETG >CRE19433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:229328:229877:1 gene:WBGene00080089 transcript:CRE19433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19433 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NA25] MEQGIERKFIFLMSIREEFGSIVFNSFFRRTWDTEERRIYPALPNNFISIRIQVLQAGFQVISSLETHTYTQVHFQCSINNSWFKFFEHRLPLSSIEAITIRGSHLVRVHLDTEDYTEGEEMPEDEEYTEGEEMPEDEEYTEGEEMAEDEEYTEGEEMTADEDFTEEE >CRE19436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:237956:238631:1 gene:WBGene00080090 transcript:CRE19436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19436 MSNPRVTAETAVVNISGYWKLEGLPDAQLCYTVHWGIDHLNTHQASIEGFLFPAHAELHAARQAIKTAARQKYVRIIIRTDSLFACEHIKNSANFARAPDDIRHLVASIHALKNQIIVNAEHNEVATGKSDGQETFGNNEEKL >CRE19422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:104537:106374:1 gene:WBGene00080091 transcript:CRE19422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19422 MHYSASQIDEQAVHILIDYEQANDFGRKKILDIIIKTTTPLIDLALTAVPIEKGKRLVGDRIKVTELKKQEKDLKCIDKETLEEKEREKIMKYSEEVMKHLVAKDKCINPQGSLTFLIVTELVFLMALGVSANYSFLDYLDYVVSYIILCLVMAVFFYLLLQLEDTKESLNSVQSCLESLQLATSKWKFSAHNHATFAEVKKNISEATSKYTKFSGNIARVHLTIYYVIVVIAALKLCWMFPLHDLLLILHVLLIAMSLTIAEHVAAIVNRSEKVQSLLENCNKTLSNYSLP >CRE19434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:234271:235797:1 gene:WBGene00080092 transcript:CRE19434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19434 MGFISEIKYLIQCIVLVFSLFVNSLFFYLVIAESPKKLGNYKYLLCYFSIISMIYSIVDFLVQPYIHSHGAAYGMYMDLRGSVFESYPRVAFTLVALLCGCFAATIYAISINFIYRFFALERKGRLRFFSNYRLIFWGCIPITVAFMFILNNWYFFYPNQEVTEYSRNNLKKLFDLDADRMIYVSCVYWRTDSSGNLYLSVRDLIGSLDLNGLITIALLIIIYFGTKSYWKIKELISQGQSEYSKRLQMQLYKALVAQTVIPMVFLFVPVGSLIYCPLIGIDVEWASLLVTFLYSFYPAVDPIPIIILVDDYRNAFCNFFRRVLSKNQVVSVVSIDLNTDPL >CRE19410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:10897:11541:1 gene:WBGene00080093 transcript:CRE19410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19410 MNFVDSILSNNSRKKEAPYDTPKTRPVATVKPRTVSFRSKPFEKVSSMLSSTLYKKFQVTAQNGDHFEEAVGEDELYLNQKGFDDEAALPTEEEAGSSHRKVKQTTVQSAICPFEVGYTISSLPKEQITSYRVSNFLTYFNFLSFTFQKKVYDCTLPRLKFDVRGADRMMQSDSEEVRALAYGMRGLMEIVRFQTTTILRYTCIYTRGGIEFLD >CRE19440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:39496:40575:-1 gene:WBGene00080094 transcript:CRE19440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19440 MPALPILKLPIIVLMRILKTIDIDTVLLLPSLSNSSIFCRIPISLCSRKMYHLVKNFRDKTIPLKLRIDGEDSRVEVVTPEDYCHQIKVLEGKSRNIERVNINSHLVPIYRSRKHYGWKTYWNDRVKGLQSVMEYLSDLFGIKKVTSVTVSPDTMRLLDIIKERQGNDYELGTCTHLSEEESHFMLENYPAKVLRISGLSHNFPIRKYLKSVDSLFVGSQVSITLDDLLSMNCVELVLVSNHFTGTEIKRILQHWAIGGFPRLKYLSSWVSNFNMEDVLGELTHTRMTEKREYKCNIGRSVSFSDRLITRNDGVVASFRYNQQYRRVKFGVWPDSEGNQYL >CRE19424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:146441:146965:1 gene:WBGene00080095 transcript:CRE19424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19424 MMRLHKNVRVEEQEDAQHLNALREDEIPEETEKFFIRFCKVRDTTNGGLFEKLDELRASWSEKEFIMLAPQNETVDRLNEYFSWSQKPDHSKGPLPDAKEE >CRE19438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:16657:19287:-1 gene:WBGene00080096 transcript:CRE19438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19438 MQIHKKIYSARDNFLQRDARRSYGEHRIPVSTPQTTGIEQQSCRSGLPGAVTDGLPCPIRNFGSRGDYNSFIGDFGRELQSLFPDFSLLITECPRISSQKVDFKVAVRSRRLKYRRPQSRKIRKYTAGHKYGATLIFNFFGKDNSFIGDFGRELQSSFPDFSLLVSGSLVSSWFQIQAASFIGDNKR >CRE19449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:168036:169098:-1 gene:WBGene00080097 transcript:CRE19449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19449 MSAARLSQEVSEKNPRTEQKDEPDVNFETSAVPTGVDMSAARPSLEVSEKNPRTEQKDEPDVNFETSAVPTGVDMSAARPSQEVSEKNPRTEQKDEPDVNFETSAVPIGAGQLAVMNNSVSQVGCRASVALDSVVMPSGNSSAFKRIQSTAVGRSPSEPTQKQARLADSSVDMIFSNLGPPEERKSFCRICKMDYTEPFGVHIASTFHLDAVRGNDQHH >CRE19411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:13411:14303:1 gene:WBGene00080098 transcript:CRE19411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19411 MWPLGEVVNVDGFESKGSWIDSHRVQMCFVFEKDVLATLDPNTFLMEPEILIPTLDEQNFISVNNALASVSVQSGSLKSILQVLANQWISVVMPDEDRVLYTTSEKPRPPQTTLPTRFLNSPIELIVKCINVNGVKDSTTSLHVSLFFKLHLSNLFNHSRNSVKQKKRKHRTSEESEHGKDTSGG >CRE19428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:163586:166127:1 gene:WBGene00080099 transcript:CRE19428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19428 MAANNNVIPTLQDMAFRIALSEKRPKNLTEKEMLTYDYFGFPVATKIYNMRTNRGVDKSLTRLCRIELVAHLELSLDNTQYAEIKVRLTDAATLYKSRGYSLTHMSLIVSGTRNYPTSCTKTVSIADNYRNLKCLKLVGITMRNRDIDAICGRFPNLIQLDISGTKIPKITKMASMTSLKFLIMKDIIECPRETWINLESAPQLEYLDISQKTSNCLDVTQDFLSSRAVLRRLKTLDCSKTRVTKECLTQLKRRHEALKTVVVLEVEAMKSVDINGLFLVNTATLRQSVEAMKYCTRLRRKSDLTIVLREIIEWNLVDYPNEMLSDRADDYLYWIHRIIDEFSGENDIIQQCVFFWYELCSRLVAFETYMNRFVNHMLLAMSMFEPSTPHSIHSCLWETMKFGSQSDAFKVDLNLFCYMSACYIHALWNKSISLKSTSVCLRGVEPAIEVMLICTKIAKEEFDPSRKGTPLADRYLDLFFKELFWFLDVHSGIVREYGYCEAYSMICTVFIRLLRRSVELRKKLFGITGGIERLLGHLRAMKTEEVLGKMTTQKQDIPKVLRKVTKMIREICILPDITGLERKIFNTLLLTYIMKDENTDVNNEFYVASICSTYAFSLELKQLEGLEINMIRNLNARAHRLSEKFEFTSCSVDAYLENTIIQEILQRSKITGVIGWAMEVIAMLIFQGHNPSLSYKPSLPPLLPFVQNYKTDDNLLLKIKAHVLEMAEK >CRE19457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:239339:240249:-1 gene:WBGene00080100 transcript:CRE19457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19457 MSNSKHAVINIDGACINQGLSNAKAGYGVHWGENHQNNLSGRVVGDQDNNRGELTGANQALKQVNTTYYPIDFHLESVKPQAASQGYTHVTLRSDSTYVRDAIAGASGFQSASPANRDLMQSIHDLSKGGRMTVHYEHVSGHSGDAGNDAAHRLATEGAKK >CRE19450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:178331:179560:-1 gene:WBGene00080101 transcript:CRE19450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19450 MFYPNLSCFGDGNRSCHYDYDTEYSFVLYSVFVGYLANIVIFPIYVYVNRTNEKRDKKISLYPFTNHFYKVIRNFNILSIISFISILVALEIHPPQSPGTTLEAFVWFGGAIAYFLFLFIVTISFHFHHFMLFILSLQRCLFHFYPNSKTFLKLDNLYKRQFVIFLYILTGILVLLKCVDPHDVNLPTITFLLFTTLQNTLLLVSALLQIVVAFRIRKQGSSKPTKLQKFVFWQTVSIAICVNLSLIPFLYIVVDDGLEINDLRGYYIAIDGVITLLLIQLTYLVCNRKSLVTICKKLKPRQCCKSSRVQPISRINVVS >CRE19448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:158272:158992:-1 gene:WBGene00080102 transcript:CRE19448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19448 MLFILSLQRCLFHFYPNSKTFLKLDHLYKRKFVISLYILTGVLVLLKYVDPYESHLPTIPFLLITTLQNTLLLVSALLQILVAYRIKKQETSKPTKLQKFVFWQTVSIAICVNLSLIPFLYTVVDDGLEINDFKGYSIAIDGVITLLLIQFTYLVCNRKSLVTICKKLKPRQCCKSSRVQPISRINVVS >CRE19454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig163:228401:228781:-1 gene:WBGene00080103 transcript:CRE19454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19454 MGFISEIKYLIQCIVLVFSLFVNSLFFYLVIAESPKKLGNYKYLMCYFSIISMIYSIVDFLVQPVILSLIMPTFIVFGVTVHSQSWRCIWNVYGFEGKCLRVLFNNPALKSPRHNQLENNNYDLSL >CRE17759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1630:2975:4000:1 gene:WBGene00080104 transcript:CRE17759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17759 MMGGQLIKRFGQAAMGRVDPSILLSIIGFRLPEFLTLILPLGFFIGLMLVFGRLYVDHEMAVLNGSGISRIQVARLLIPLTVIYLCVQSVLMIWMSPWGIRQYEQLISTQAVRTGFDLVRPREFISSGAYTIYAGSLSEDRKNLKDIFFYQRAEKEGKPDVMILAKEATRIEIANETASVVDLVEGRRYEIYPGTPKYSQTEFQSYRLRLENNKEAKFESDEVEGLSFGKLLENRNDPVVASELGWRLFVPFSIIVALMLATALCEVSPRQGRYLKLFPALLIFASLIVALMAIRTRISKDEVGVWAYPAVLLFYAVAAALFSRKQKLAPKIKKQIQRVKS >CRE22243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1631:1118:2324:-1 gene:WBGene00080105 transcript:CRE22243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22243 MTEFSKALPLFRLPLIPLIKISRYMHLREILLISLASKKSAYIIRCLLPPNWFFLQMSFSEESKIFLGAKGPWAPVIIKGQKTGDVYELQIAQHNGVVSHRWTSPDLEDIVKPMLIHFALTFNPTISIKFGHICHQDFAVSVLEHVKQLNLMITSLKILSDANISPENYEHILDRCKNIPELVLSSEVTSDFQYRVGPDFSVDDFLVRDGHWMHLEDFSNCKKVTVWNSSGHTQQTYANSKVPRALIKKWIDSDCRLEHLEVSGYRFFSKEVTSVVENGFVSMNLLKLSYVFFRTTKHIEGYLYPVIFNFNLILQGLEFRQTANSHSVEITRRCDGKKATVKCRPLRFELKVID >CRE21678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1632:1053:1658:1 gene:WBGene00080106 transcript:CRE21678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21678 MALIVVSITCMISSLAYGALFIFVRKNSSKLSKTLRRELHLAIQVLVLLVAFFAILVFYAFLNYFSQFQNNGPVFYMRGLYPMANGFLSYINPFCILVLNKDLTRQIIKSISCFGWNMSDMQMSGIVTNSNKPQTNQMGNAVDGFRRSLFN >CRE21677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1632:960:1025:1 gene:WBGene00080107 transcript:CRE21677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21677 MAIVADKSVIQVSNHNHEKLK >CRE20640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1634:6203:7660:1 gene:WBGene00080108 transcript:CRE20640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20640 MTNFFFLFLPILLIFVPRGCSGQQHEKRVTFEDYFQFGKNEYTAKNWPDCVAFMKRAIDDFKCLSQCTNYWVRL >CRE29024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:130335:130946:1 gene:WBGene00080109 transcript:CRE29024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29024 MHISLGLSTVVLLFCAQSAFSSRVVKRSEDLRQKVLGELNEFREKYANAAQISNMNELSYDLELEKVASQYSSCDLDRDTWKRLEREPHYYLYKEQLENDFVEYAALHRNETQKLKGYFRNEDLFFAVLQPNANKVGCYHFTSLCVHKIWFFAAEFTDIKRSTIRGLCIFGPK >CRE29012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:43811:44527:1 gene:WBGene00080110 transcript:CRE29012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29012 MASLVARLSEILDELNIYEISETSITAYLAKFAEGTGKSVATVSNAKYEGAGDYLIITADFSADTEKAGTVKGKFLQIWKKEGDRHLVFHDEFEITA >CRE29034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:65148:65369:-1 gene:WBGene00080111 transcript:CRE29034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29034 MKAEVMGFAEFTGKSTSTILNAKYEGTGDYLIITADFTTQTEKTVTSNGKLVQIWKKDGDRHLIYHDEWEVVV >CRE29015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:82510:84269:1 gene:WBGene00080112 transcript:CRE29015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29015 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NA44] MLAVSKRKVSIVFNSFFRRTWDTEERRIYPALPNNFISIRIQVLQAGFQVISSLETHTYTQVHFQCSINNSWFKFFEHRLSLSSIEAITIRGSHLVRVHLDTEDYTEGEEMAEDEEYTEGEEMTANEDFTEE >CRE29026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:133542:133933:1 gene:WBGene00080113 transcript:CRE29026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29026 MISRINPPTSDQSVIDEFFEVFNQTKSNEIKKKFGFDKVLNPSFTHIGCYHYTKQCSETVMYSTEYFTKMRVVDTKGRALLPQRNSRKRDVQDEGAGNKIAVLWFEKA >CRE29033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:25360:27486:-1 gene:WBGene00080114 transcript:CRE29033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29033 MSTGINRSRNSSVSTELSFRGNKKSSPRLPSINFKSTKDALRGAIAQSLDAASKALGELRNLRDDHQAGEVDCSLVDYLRKKMLALLMMLDGDEYFLGLLDNVQLLLSEKERSALRKGVLDLSSRCPREDMVSGLRLGVTELETMLTTRSYPYSAEVPVIHLADICKNISTSRRENGEHADDYKSEHFPIFLSSSESSDSDHDIADASKETVEDTVTKQVGIKAVPSALGEESRSALSPIQPSIPQTNGNKRGRALSFPQEFDSTGFSRLVVPRVARPGLSSFLKPEITVCPVCSNGHDILDCNSPKRSMYCAKYDLCIICTSDSHNTFGCPLRIPEISEIAANVSQQAGADVNDRFESPKTRISGITHNHHDPPRKESIHSVFENRVEVPRFHHSNLNGYDDEGGRLYDPRDDRIGKGDHRRHLSFYDVETVLPQFNADPIKYSRFAHSFHKMVFLNPSLDDTLKFTLLEKKLVGKAKRFLIDLNDPRAALEATLVALSAQFENNYSAINAALSQFRQLTFHESDFQSASLELHDCKALIMKLREQGEDVSSQAFVRQLVEKLPGKVIKRLKPLYSNNHTLTVEQVFETYSEYLRVKSFADRFRPSVSRNSSEYPEESVMIMMEVPANPPPRKSGKKNQKPLVSSVVGPASARPEISNDGRAIAAPTIPSKGLGRRPAHHRSKASLKKSGVNPNPATFFRGPLGGSQ >CRE29047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:188994:190183:-1 gene:WBGene00080115 transcript:CRE29047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29047 MKFCCFITTILFLAFARFSNSLSTEEQQNLINHLNDFRSKFAASTQISNMYQLEYNKIRENEAKNYSKSCPFPDDLKDQPHYAVLDKKYVKNFLKYSQNHGKEINGTDKFFGAEDYFSYVLRPLYMEVGCAELPKPCKLEVKTGGIQNITVKTLCIFGPYMEPADLDRLYGKPGSGCVYGKAENGLCKKAIVLEATEE >CRE29022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:126834:127404:1 gene:WBGene00080116 transcript:CRE29022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29022 MMKIFGKVLDADLNEGVLKPNAECVEECYQQSKCILVFMNSEEQCLSFYFNLTEKLIVVETAKTDNLFVAFKTQFLLSQCPAYESMDLSITVGGGSIPWIKNGNEYTFKKCVGDWKIVKKEINVTLCMQAFEIRTTSYEEAQTICEGKAIPYKLTGVQSTISPEFGVVCGYRLL >CRE29041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:129410:130073:-1 gene:WBGene00080117 transcript:CRE29041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29041 MHVSLGLSTVVLFFCGMFRSLGTVPNTILPAQSAFSARVVKRSEYLRQQVLGELNELRARYAEAAQVSNMNELTYDMELEKEASQYNSCHDEESINRLENEPHYALRKKQIENDFIEYTALHRNDTEGLKGYFGNGDMFFAVLQPNANKVGCYYFTSLCVHNIWSRAAIFTDVKRSTVKGWCIFGPQDSF >CRE29010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:38554:39655:1 gene:WBGene00080118 transcript:CRE29010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29010 MASYTAQQAEAALKPQFDVYAKAVDDEKWDVVEGFYHPNGVLVHKDKECTFGNKAITAYLAKFAEGTGKSVATVSNAKYEGAGDYLIITADFSADTEKAGTVKGKFLQIWKKEGDRHLVFHDEFEITA >CRE29027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:142309:143406:1 gene:WBGene00080119 transcript:CRE29027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29027 MNSKLYTTCRENSKHVNFVFFFYQNLDKIFQTFYSFILNQFPLPISTMKIYQCIGVSLILFVGLNSSSPETEKILNSMNNFRKTFADLTGVKGMSKLKYDRNLQLEASRSSTCYKPDDLKNQSTYFPSDQSVIDEFFEVFNQTKSDEIKKKFGFDNVLNPGFTNIGCYHYKKQCSETVMYSTEYFTKMRVVDTKGRVYIEFSLLESPLELRRNKQFKVLPKCISVEFETVGNFSFKLFSYSE >CRE29011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig164:42773:43057:1 gene:WBGene00080120 transcript:CRE29011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29011 MRHDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLGSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFKSLLVNNIARF >CRE26902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1645:7298:7384:1 gene:WBGene00080121 transcript:CRE26902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26902 MYPMMKEQDLKNFRLTTADLAMLNSRQR >CRE30608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1648:7566:8126:-1 gene:WBGene00080122 transcript:CRE30608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30608 MKFETENNFPGETFVTRKITRSVAKISLGQQESIELGNLSALRDWGHAREYVEAMWRILQHDAPDDFVIATGKQFSVREFCNLAFAEIGEELQWEGEGVEEVGKNKNGVIRVKVSPKYYRPTEVETLLGNPEKAKKTLGWEAKVTVPELVKEMVASDILLMKSNPMA >CRE30324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1649:8677:10401:-1 gene:WBGene00080123 transcript:CRE30324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30324 MARQPGQIDDAKVAELSHLSNSAIARELGCNEASVRRARKRLGVRAAAPTAGVSEPAAPLGKVEYSSGSGEGTFTDVQSATKLTDWTHVFEQFGLDPDEFTIVDDTVTMKAWQQSKRLDDGDRDTVTLYSYGARFRRKTELDRLDLPALYASVRAIEPVGPKSDTGRTTVVAYSDPQTGKVASRGNTKDMLRRSFLKRAKLTRLLAERKPEHTVLLDGGDGIEGFESGGNPMFTNDLSLAGQLDVYGTELMEWIKTLHAHAPVTIAAVPSNHAAWRQGKQNLGRPADDLGLFMHQQVRKVANAVGLNAQWVFPAEYDESVAVDVHGTKIGLVHGNQFGPGQAITWWEKQTFGAQAVATCDVLVTAHYHSFGAGVAGRNPATGRQRMWLGAPTLDNGSDWFRNTAGRDSDPGVLVFDITEDGAAHHRRLEHPALPQLRHRPGHRVRRGRVPQTHSSRSPLPLRVGTAQAAVLQGRSMTDEYDCPEGCGRTYQSVKAALLCPCDKYDRNGYERSDDD >CRE30323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1649:5540:7012:-1 gene:WBGene00080124 transcript:CRE30323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30323 MSCTREASLPRLGRELLPSASSWGSHSMSGSTASARSLLGRLLMASTRRLSVGVQLSIPRQVGKTFLVGMIIIALCILTPRLTVLWTAHRTKTATKTFQTMQGMVKKKKIRGHLAPGRNDGIRTANGEQEIRFRNGSVIMFGAREGGFGRGFDEVDIEVFDEAQILTEKALEDMVAATNQSRQKSGALLFFMGTPPRATDPGEEWLERRNDALEIKPMDQVVGLSEDTVYVEFSADKDADPDDMEQLAKANPSFPHRTPLESVMRMRKNLKNEDSFKREAMGIYDALDSGQVIDDVSWSRVADPASMPIERLTLAIDVAPDRSMASVSLAGRRADGLWSVELDEQKRSVEWVSAWVKARAEKNRLHAVVVDEMTGLVEERKGRNYLVGTDVVVTLAAAEGRDMAIACGQFFDGVMEPIPKLRHTDQPQLNVALSVARKRPLAGAWAWNRKDADSDITPIVSATLALWGAQKDDVERPTRRRSSDRAAVVL >CRE27840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig165:118338:119269:-1 gene:WBGene00080125 transcript:CRE27840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27840 MTRVFNEFVLTRAPCSISLTGTDTDKNVQNYPVFGNFLHNCFISNPGGFKPASTEENFSPDTYRLLQRHARSV >CRE27836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig165:209250:209778:1 gene:WBGene00080126 transcript:CRE27836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27836 MSLVLHVIYRETTSKHSALSDHLFTEKHLNYLRVIGFSEKAFLWWKKFLSVFPTVSIPSQQPTAAQDPPTKNISGNIPRVPLLDFPLDGAKKSTQQEFVLSIRTMVSSLKSSGKGIATNKVTG >CRE27832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig165:99164:114738:1 gene:WBGene00080127 transcript:CRE27832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27832 MVTSRVAELINDQSFLFAVWLRNSLLFVAFYFAPFPVIERCFATIYMQDYETNKRRWISYLLSTILYIIAFTSAQFFIFGSYREIHIFLITGFNLVAFGVTNIDFNKFCNCFFQLTFVMERYNKKRYSKLRKNVNSDYSLSVRAQLSENINSTLVCLQSSWLQYHNTVTFFFQNYPASALPFFQHFAPYTNPNATASSFSPSGSSTSSTSSQQHQHPTKKKPVPVPAEQKDETYFERRRRNNEAARKSREARRKQDNDNGTRVIQLEQVRVAGVSLTLSQKI >CRE27841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig165:181292:181777:-1 gene:WBGene00080128 transcript:CRE27841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27841 MVSRPGVSIISRYIKQSAVCFETGYFETGHFETGRFEIGHFAAGHFETGHFATGHFETGRFETGHFKTGHFKTGHFATGHFKTGHFETGHFATGHFETGRFETGHFKTGHFKTGHFATGHFKTGHFATGHFATGHFETGRLETVLKRPVAKWPVTKHSVAK >CRE27839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig165:87737:92542:-1 gene:WBGene00080129 transcript:CRE27839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27839 MKLPISIGIPLLLLFAQLVYPAATVVKRANDRLSQRHQEHRDKVVAAINEFRAEMATLLKISNMHEITYDMDLEKIVQEMDCKAPPTGSFMYSSVPTEGMIAAFRLDRPEQQALIRQGSLVYPSHPDFGPTQTRIGCAPNRPDCLAAAYLDDSGLDETQTGTYLTPPSKAEAELHKEEILEKIKSLEDRIVADNRNITNLLEVQEVGMRKIVLQLETIKSTIAETRKATKRPSQEQQVEKKKSLLQ >CRE06240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1653:6427:7151:-1 gene:WBGene00080130 transcript:CRE06240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06240 MQGSAFGEYKLKSVIFKNKISACEPLYATGQTDICKANLTDFQDRVKKEKPDYAFIITRFKSIGDRWPKGVKSFKNDKVYQTMKEQMLKFLPNIKYKLYILNSIPQVDSRYILKIVGLLKNNTNLVNIDVSEYFIEGSHYSVFQKKLVRHDGYEMARSRHAQLLKDCKGKCEMIDYLPEFYNNATKTFRYFDERGFSYWTMPSHLSPHGIEHIRHLWTDICRKL >CRE11611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1657:1474:1731:1 gene:WBGene00080131 transcript:CRE11611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11611 MFDQPEEVPLPPGSLEPQIPEPDIPNQQAPQPTVMNQTPIYTPLPQYVSHPNMLPLLEDAFKTMTLIGTVKIYKDAHSKYHVASG >CRE10760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1658:2720:2998:1 gene:WBGene00080132 transcript:CRE10760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10760 MRNLYEHLFLDYVKEIRPVRNESETLKVEIKFWLKQILKVDERDQIVNIYCWLELYWQDETLTWDPKKFGNLTRIHVPAHKIWKPDVLVYNK >CRE10761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1658:443:1668:-1 gene:WBGene00080133 transcript:CRE10761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10761 MLLERSPQGPPVFQNEKLWRLLCDGVWGILQWKEVEVSIYYDSCDFSHLSSNALEDHRSFFRNKRRSHTFQQDNASIHRSNSTMAWLAANKIKTLDWPAISPDLNPIKNLWGIMVRSEPVHMLQLRSTDIFPLSATEHFSDNFPILTAQRGKYKAVTRGIDSEKNGCNLGSMIPMIKMATSDVFYNYLLCSSDVYRYGGEASDVVNIFEEPSQTIFQDIDSPDRDPHYNALLSSYAYNLSTLNPMTLSDF >CRE09927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1659:4291:4600:-1 gene:WBGene00080134 transcript:CRE09927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09927 MRTSTMVACTLTTCLKDGEQILSIITDNVQYPPIPPPQGPPGSKCYLSGRLANSEGLCVLKSNKKKFVRKGVLQQVGDAMDHTFGWG >CRE25835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:21808:22042:-1 gene:WBGene00080135 transcript:CRE25835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25835 MWIRPTSLMSAMSMDKITVCPQKRPWMFEGLSHVEFEAEVFDAGYKAACYNFLKFPINKK >CRE25837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:29713:30766:-1 gene:WBGene00080136 transcript:CRE25837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25837 MELTRRNEYKQKKNELEEILSTKVSPQYKKLLEEFEKSLWYHSPQSLPHSTNIPPAKLTDPMLILALKTKSIMETKKPGDYPVERASCCQLAEFVGN >CRE25833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:6138:6481:-1 gene:WBGene00080137 transcript:CRE25833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25833 MGDYSDDSQNVPPQNEVDNGFPIIKASTHNEELLPKTNLSTTSTLPEDMEYQGKPPARIPLVAFLDTPHFLYNIFVVISNYFTIAFDFSE >CRE25845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:127532:128117:-1 gene:WBGene00080138 transcript:CRE25845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25845 MATTLKPWIAELVSIANSNTPFNADEVEVRLKVTCIFFQESEECAKRPSELLGECETAKEIPDCEEVIRHGVEQFCTQEKTSPACGWLPTTTTTANITTESSTTLFIIIGAVVGGVLILAIAIGLFFYCRRKKKLAGKKGQMVV >CRE25836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:25954:27003:-1 gene:WBGene00080139 transcript:CRE25836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25836 MWYTCRRKLTYLHVTIHYSWSPKNVMVVDQFPLFKFHHTPNKKMNRLAGHEEYQEILNYMENIAVERVGEIPASVEGRDLVDIYFNYLLEVLDNHY >CRE25826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:123748:124559:1 gene:WBGene00080140 transcript:CRE25826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25826 MENKSGVVADQSGKSGKTVAEKEKMLKKKTPEELAALANKKVFSTESIDEPVPVARRPSELSMDPLKNQLKAVPMVMAFNSAENHFIGAGEKKKEEEKKK >CRE25848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:150602:152818:-1 gene:WBGene00080141 transcript:CRE25848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25848 MPPTMQVFSEKLNFLFNMVMVNFTLFAFIKFCIFGENSWILISVVLAIYIYQIDVFSSLKQTHADIRTSMVALDTRMASVYPKGGPHLKSIEKVRWLGFFGVYFMMIYAWFTVRYEFELPVEVMMVSIAMYFSIYYYTEMHVLEEEKKELDVGIQQLSKDIDILKNNKDLEYFDLGDDEQEGAEPTEEKKGAELKEPDFHHFICLVLLCVEMVFEIFVINWSQYALLFFVYIMNQRVILLQSERLTRELKDIEEKVQGIRDGILELEMDSEEFKTSRLEENNAGIRIVFIE >CRE25819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:45440:46569:1 gene:WBGene00080142 transcript:CRE25819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25819 MSVAALCLLAVTVLSASPATATASDTGAPHRQVVTLRPYDVPLYSSIAAFDFFGMWALEACEAAVRRDETSKGRPAKMVMTTLQCRERAFSFSLVGCNHPCKNINRLYKAVCEERRQINSDEMRDICCPPVYRTSTLEENRAEQRAQAAIYPHYFEK >CRE25823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:96858:99449:1 gene:WBGene00080143 transcript:CRE25823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25823 MGIKKESAIHLIPAIMVYTFMIVIELIIYVLAANHMVNSTALFSYPNDKQTPTFLTLSVFYLTIVGIQTTMLLSISKARNYFEAKDIHRREVAVAEHGVFNLGTEASAPKTSFMTS >CRE25830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:166095:168394:1 gene:WBGene00080144 transcript:CRE25830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25830 MNTEYKNLDLKESNHEKTRFEKAVTLHSSTNKKPHWKIGKDAFRQDQQFYSSRHPDSFGEHSKSKEVVVLSYAQVERLTPTSAPLPDMRRLDPFGDLLRDGETDSDGSSTTP >CRE25840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:63011:65531:-1 gene:WBGene00080145 transcript:CRE25840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25840 MSSNLVIFLILFVTSGSLASRSRPTITLQYANPPIYSSTNAEEFMEKWIVKNCPDLARKEDVNRMPADLEMTEEDCQRRAYAFSYVTCNHACRDYQALFNETCGEDRRQLSTYQMKKLCCNEPMTTTHHKRSTGDWSPFDF >CRE25846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:128522:129221:-1 gene:WBGene00080146 transcript:CRE25846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25846 MPSTAIPLFRVLASNAPAIAGAANAIFNGPFNAKTVYQLVANVCPTSVGADEKCAKKPSELLGECETKKLAPNCEEVVRQGVEQFCTTEKTSPACAWLPTTTTATAAANITTESSNMLFMIIGAVVGVVLIIAIAIGLFFYCRKKKGGQGSGTTTGGTTGGTTQQSGMSTTTSGRSKKSTIV >CRE25849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:180207:180371:-1 gene:WBGene00080147 transcript:CRE25849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25849 MVSICLRPNAERFSGKTQTQEGRNAATGAIWRRSKKTLAREGTSCHSQVMKRIH >CRE25844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:125479:127229:-1 gene:WBGene00080148 transcript:CRE25844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25844 MSEQLQDLEDYIQYQFDDIPSFKLAVFLNSEEIKVKTVVGEVEENLISTLISSVHDHQTPENFHLGSHIFSKDTLKSTEKLLEYSSESDSPRVFVVKRNDNLLIVYCRGTSCEKDQGRNELHQIRLPRHAKLEITLIFRARHFSQNYRGDSGLSMACMKGVGKETLPHFNH >CRE25838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:32249:33329:-1 gene:WBGene00080149 transcript:CRE25838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25838 MAGYNNVCRKVQPPVVTDFMIAGIRVVIRQGVVSHILQGHEKDFRFAVRGANTPFEKEQAVAPYIKDMVVGAAELYVTDEGRTKQGSLLVHLRRPIDRMFHRLIVTHEQGNRYRLETAYKLQMDAVTLGGFSGRLLVQYSHGMQVVLTAARMDAANPDIARVHGYEVVYV >CRE25828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:133995:135390:1 gene:WBGene00080150 transcript:CRE25828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pfn-1 description:Profilin [Source:UniProtKB/TrEMBL;Acc:E3NAA8] MSGWNAYIDTMTAAAPSIKRAAIVGAADGSVWARTEDSNIFKATDAELKTFVALFDDINNVPSKGADIEGVHYVVPRTEESLIFGKKENTGFFAVKTKSAVLIAVYEGPNEVAAQVRKAVESMQAYLANAGY >CRE25818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig166:8021:8339:1 gene:WBGene00080151 transcript:CRE25818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25818 MDLAKRLCDEIEELNLEGEYQQAEIFRLKNEVSHCKHQWSVVAEHRRRAWQLTDEYFEENNKLKLENEALMEKLMKANKELRELKKKLSK >CRE13209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1660:1082:1882:1 gene:WBGene00080152 transcript:CRE13209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13209 MFRFENCVSVYPDLLKRLDEEKSIFLKFDLSSNDTNVDDGHQWSFYDATTHFRHKPKYKRVTIDGVWYRNTQSTDYKNTSFRKETIVMDQICFVHYFLADGSYIEPMRRRKAKIADREADKIRSFLENRTITEAWDLAKAEGMNVTRLQVRNLARRVDTVIKEPAGCPKKNLPVVEEFNLTRLLATLLARK >CRE15214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1667:3829:4251:-1 gene:WBGene00080153 transcript:CRE15214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15214 MVNRLVEKLEEVDKKLDIWIKAGSRRPHTHTTEDGSLPKKMLPQCIFCHGQHTANSCSVYTTSEARWARLGVLNACRHCGSVHHLPSKCSKRARKCWTCGDAHLSAMCVSTNTAAHVAESSTSVYTAQSSMSNASTTTHH >CRE31464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:96700:97331:1 gene:WBGene00080154 transcript:CRE31464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31464 MCVTKKKQNSVQSKNSIGPRATVNAPTPAITPVTQSTTAQSGTKGSKSKLVPVDAKDPQNGKPASPGFIRNLFQKSKMKQVSENEKKSEKQEEKVSHIKKITERATTVGRGPPVDPPVKRDAKRVPDGFKSAKDSKYVTLQELVPVFEKATLKGFNDRGGSNEKTLKVEPTQPSSGDDQKKKKSGEKSSGEGIKE >CRE31454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:40242:41306:1 gene:WBGene00080155 transcript:CRE31454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31454 MSDGDPPLRLLSLPNTPLQNIVRFMNHIDQFALSLVSKRSKELVKSIDIKCWRINIKVDTEVSIRIQFPRDTIECSFDDYQRSMETPSPTNIKSKVSLENRGGFEHSKPKYRFEEWLNHALEIYHKSELNAVHFITLLPDMESFRKTFGTFSYLKWIRGVTSVDVRDVFRTFRPENHLMFAVGILNQDRCEKIDSLHEVLVQNLDFIYIGYMGQLTLDDLLIMNCQRIEIFFQLAINYESILNLFIKHWMAGSNPRLRYIELECRKCRLPRAEAVLRGIKHQVVSKEDSKTLKAFRSFGIKKGSKYVEFLDGYNIRRRDGTVATISFNKRYYFIMLVWT >CRE31456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:42809:43861:1 gene:WBGene00080156 transcript:CRE31456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31456 MSDGDPPLRLLSLPNTPRRNIIQCMEHIDQFALSLVSNRSKELVKSIDIKCHAINIKVNIIISIRIQFPRDTIECSFDDYQRSVDNPSPNNIKSKVSLGNEGGFVHNKPEYRFEEWLNHALELYHQSELDRVSIFTPLPDMKSFRKTFNKVPTLFILGADDEPKIIEYYRCLRPEKNLIFTVGEFFVHRNENSELLHEIFLHNLDFIYIALMGQLTLDDLLIMNSQKIEIFCQLAINYESIVNRFIKHWLAGSNPRLRYIELECMKCRLPRAEAILNGIKHEIVSEKDPKTLNAFKTSGIGKLKFRGGYNIRRRDGTVATVSFNERNSFVMYIWS >CRE31476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:94517:95772:-1 gene:WBGene00080157 transcript:CRE31476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31476 MHSSLPPPTLQTHRLQLMHPPPSLHHTSFMSQYQPIVPPPLGSPESMSSPIMLPFYNARILSPVRAPERLETPSKSRSPSRSSRERITHYSGRGNESGRRYNSRQNDSTSSTSYSNNRSYNSSYRPPSSRGSHYPSSSYHSNSSYQRPPFRQRNYSNDGNRNRSSHPSRGYNNTSSYPSSSSNYPRRDNDRR >CRE31453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:38499:39293:1 gene:WBGene00080158 transcript:CRE31453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31453 MSDGDPPLRLLGLPNKPLRSIVRFMNHIDQFALSLVSKRSKELVKSIDIKCWRINIKVDTDVSIQIQFSHDTIECSFDNYQRSIDNPSPTNIISKVSLENRGGFEHNKQEYRFEEWLNHTLEVYHQSEINKVLFITLLPDMQSFRKTFSSFSFLTWARGATNADVLKVFRIFRPEKQLTIAVGRYYHDRYKKIDSLHEVLVQNLDYVYIGLMGQLTLDDLLIIHRDVPMEALWALKDFKVGENATRESV >CRE31461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig167:63577:66154:1 gene:WBGene00080159 transcript:CRE31461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31461 MMKEFPDVKEKEEMRKIVGRYGITGREQVCPMKQLSDGQRCRVSFAWLAWQQPHLLLLDEPTNHLDMESIDALAEAINCFPGGMILVSHDFRLVSQVAEEVWVCDNQGIQKWDGDIFSFKDHLRKQIDKDVKNRGEGLVKER >CRE17764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1670:4257:5613:-1 gene:WBGene00080160 transcript:CRE17764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17764 MDIRKFLKTFYSLFNEVAEQSFKCFVCICFQTTSTFVLQVLAETQEVQLKSYAFYVCRDIAELWRHSDNSEKIFRGRRAEFYVQWTFGTLKMAERTEIVSIVNNIFSYFATSNVGTENMIRNGLFRKVKNHLKRARMSKNLTIELSPCNLFARLENIDSKGSMDDWVIRRLQDTSSPKTEHRGTFSYYIGTRFLVTTLEMIYCDDEIAKPVRDYHRSSRLGDLSVLQILSCAVEAAYQNPEHAIQEKKVFMSLTMIYFPCFRKKLVSFEVAIHFEVLLDQLAPFPLLLATDGMETVVYKLISFCTKTIEGDNGHALAFVWINGHWWKMNSGRKEATDFSSTSVVNDILIAIYEKDGEAM >CRE21683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1672:2798:3518:1 gene:WBGene00080161 transcript:CRE21683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21683 MQTLLILALVCVGAYAQFGGGGRDGILNGHNNLRSKIAKGQYVARGTRKPSGSNMLKMKWDGSLESSAQNYANSCPSGHSTISDIGENLYYYWSRDIGNLDRFGGMASAAWESEFQDYGWSTNKFTMSLANTGVGHATQMAWALTDKIGCGVKNCGPDGSKGGLTRVVVVCHYKIQGNYIFKSIYNEGSTCSSCPSGTSCEQSSGLCA >CRE21684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1672:4210:4320:-1 gene:WBGene00080162 transcript:CRE21684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21684 MRFFNTISFGTCGIFLFALGFFDPEHHKYIVTVCAI >CRE21082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1673:1964:3380:-1 gene:WBGene00080164 transcript:CRE21082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21082 MTARRPGLSHFFASLDTDSALYESNLLRPYAGDPMHAATLPRNYGLHDTSATWNDRILYNNMGASTVGRAGQNGHIVHGGRWVF >CRE20644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1674:8253:9019:-1 gene:WBGene00080165 transcript:CRE20644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20644 MKSRPVQEEDLRGVTPVAPSPTDSLEHAIDPVQMQYLETSTDSLEPTFQEIEVEQRPDSVEDTSLTEYEMVPRIMEASTTDSLDGTATIEKVISLISDLYKSKYIKFQDSLLEGASQGIESTQSTHGLLSGDTMGTLVTDDDRDSLDGEVSNMLQSYPTTLTTFQTTVVGPDGSLQTISRRVETRVTDPLVSHVTFTGTESQERLDQLPDDEQFETVDTEGNVTRTTFHREHDQPHPQF >CRE30416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:18478:20693:-1 gene:WBGene00080166 transcript:CRE30416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30416 MLSPRRVRNYSLSMATRGACGVLLVFLFIFVLISNTSNKSISSDRVEQREHMVNGLDVEGQGDEPIIEANKNAISDDTVSSLLERIKLLEDELSSMRTRMDDAENREGNVENGDEIVAPLPTTFFFRKSFPSVRYRNEETRKRILITGGAGFVGSHLVDKLMLDGHEIIALDNYFTGRKKNIEHWIGHPNFEMVHHDVVNPYFVEVDQIYHLASPASPPHYMYNPVKTIKTNTLGTINMLGLAKRMKATVLLASTSEVYGDPEVHPQPETYWGHVNTIGPRACYDEGKRVAESLMVAYNKQENVQIRIARIFNTFGPRMHMNDGRVVSNFIIQALQDKPITVSYYNVLFVLFYFLQIYGNGTQTRSFQYVTDLVDGLIKLMNSNYSLPVNIGNPEEHTIGQFAAIIRDLVPGSQSEIINQESQQDDPQQRRPDIRRAAEQIQWRPQVLMKDGLLKTIEYFRAEIDRNKRGGKPPPEPVRHGGLESRR >CRE30422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:90252:90473:-1 gene:WBGene00080167 transcript:CRE30422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30422 MIPDGFKLFANKKLITIFSVPRYMNETDNRGAIIDIRENGNFGFIIFNNRKGGKSAL >CRE30392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:449:1177:1 gene:WBGene00080168 transcript:CRE30392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30392 MYTIQEYHAAIVNLQDHITKLEKKVEKKEEEAKEMDEHLEENEEKLKEATEEIEMLKKKLKESGKVKMGMEEKLKEANEDLEKKTINVMYLEKECTHLDQEIHELQKTVYETEEEMEELKTKDAKVIEDLEKKLKETEKELEGVKVDVGFHEKSLKNNETKSKIEMACLEEDHRRKMEKLMKEMEEMRGDSEEKEKRIEALEKALEAKEQDVEELHKEVGKLYMETKKVVKTAEKKKNETEN >CRE30394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:22602:24281:1 gene:WBGene00080169 transcript:CRE30394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30394 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NAF1] MTDKKASRVQMLERHFNPSNWKNGRSIGYTIEELSTLTDDAIEMLRRGPSLIEIEAPITVVGDLHGQYEDLMRILMIHETKKEKKVLEFTGRKFMFLGDYVDRGLYSLECIALLLNLMLHYPRHVFLIRGNHEMAKINHSYGFLDDLQRRFRKEEDGTRLWMMFNDAFAYFPVAGLIGKKVLCMHGGLSPELKSLDDLRKIRRPIHSVEENSLVADLIWSDPDPGRSIATISSTPRFLRNKTRGLSFTFNNSAVEATHGRLGTVLIVRAHQNKGCVLDIRKDGKFSLLQLSGKRKFREGEDEEEDTRADYKLSEFDEKPTSGSVEKTQKMKTPKEKRPKEKRSKEKKTSKEKSKQLRK >CRE30428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:138807:139969:-1 gene:WBGene00080170 transcript:CRE30428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30428 MGKPYGKVDESKEPQALRNSHLLTAIKTFKLLHLQRNNHFKVWTTVFHCSYGVGRAMTFIGMNLIAESVKKDYEVTISDEWNKFKMSVNRIGFR >CRE30395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig168:24588:26073:1 gene:WBGene00080171 transcript:CRE30395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30395 MRARRDQSSRESDQCELTKTQLDYLLELTNQMNIEIKFLVVFLKTDIPTPNISLTNVAWKDGLNELWEVGSDVDDIQLDPDFVLNPDSVTEDEASQRSGKSKSSSKSKSKESKKSHKSHKDKKDGDLKTPKLDAASVPSTSGLPSVSAPAPTVPAAENLNASETKKNKSKSKSRESFSEPRESSTSSSVQPDQQPSTSGLPSVSGPGTRFYHLAPTAPAAKKPNASKTKKRQSKSKED >CRE02765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1681:1319:2167:-1 gene:WBGene00080172 transcript:CRE02765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02765 MNEVILSTSNTKLSNSILEKIYEFWQQPENYKHVPVEIALELFNSNKIKMRELAWYLETSPNIPQNFEEICKELVEKHFPQLIHEKEGMVYYNWYGFFQYCVYVQDDDDYTKQEEVHERKLKEYESQLTEMEMISAGTEMVEKIIENKRELMMTNPKKALKIVEPAQWIMYKRFNDIDRSQYQKEYIRVLSSNEDPRTAHSFAFEEVMKEVLKEQQKNFIEKENNKCSKAIPKKRKCLIFTMKNSNDKLYFQQIQKLQKNQKLQWT >CRE15140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1682:3475:4045:1 gene:WBGene00080173 transcript:CRE15140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15140 MFLIIFKVSQRKLGTYKVFDDLYISFRVGFMQVWDMLTVPEIFSKDSAFFVMIKSDKTVLPDSLIFSATVMFSSMYGTSIAIFAIHFVYRYVTVTGHSLQSTFVSWKFIIWLFFPFVFGLLWSMVINLTLTANLAGDLLLE >CRE18356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1683:1539:4444:-1 gene:WBGene00080174 transcript:CRE18356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18356 MSERKKSLITTINNQRKYSQVAKAAVLSSAMLARKNSSSRGAPGSAAPFGARESIAAISDMLSTQHKKPVRSSYVESDRVEWALKIACTISMITVCLHTPRTIELFPPLTYIILAADFISVSVFMLDSVLRIHYEGIFRCDSSYLSNRWSQFSVFISIIHLLSFLLHCYQLIGKLHFSEISLILLFSDKFFPFLHLNYRVWYGVIRSIRPFIIIRLIPLIVKFKLPKNRIEQLLKRSSQQVKNVTLFFVFFMTLYAIFGIQLFGRMDYHCVQPKTDPNNVTIMDLAIPDTMCAPEGIGGYECPNPMVCMQLNLNAKGEGFYGMFNDFGASVFTVYLAASEEGWVYVLYDCMDSLPSYLAFLYFCTLIFFLAWLVKNVFIAVITETFAEIRVQFSEMWQKKEVTLDEGFRKKLEKTDDGWRLIRLDGEVEAEGPKQKLQWVSSL >CRE26903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1685:864:2327:-1 gene:WBGene00080175 transcript:CRE26903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26903 MECELIHLARLGRFPAHLYSSRDSEKFRKQMTVSKLCGFQKATRILPLTMNQSTEDVEVKDVKMTTSFDEKGIATNYYSVTWIDVAENKKADDALGLKKTVSATEIQEGLKTGKIQCDGPCGQKVNLADVVQFGCDHMICDKCRRSQTSNALFDGSPGCCHSECLEKATHDGLKLRSGRRLDSLASSVSLRSNDGPWEVLAVHVCIVKKFGNHVYRTKLDYEFPSQTRIAELTKVLAPYQ >CRE30325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1689:45:1296:-1 gene:WBGene00080177 transcript:CRE30325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30325 MHFQMATTAVFLCGHLGALSVILNLFVIIALLRHRRRVLSNVFYVIVLHCAVLDVARGVCLILYGLPYFANSFYNINLDISTRISLFQASRFALVILRVCNLLTIFNLLVFTTNEYIVIRYPLHYRRYFRRKFVLIILAVW >CRE30136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:49693:49984:1 gene:WBGene00080178 transcript:CRE30136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30136 MLIFSRIFTLLFVLLAIGMVADAAGSRRDRYCGRLIATNIMAACGSASCENHKEIVAACMNKQPLTETEIMDFCCPAQ >CRE30152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:99025:99482:-1 gene:WBGene00080179 transcript:CRE30152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30152 MSFRIFVFLLVLMVFFHSTAGGHHHKHHKMHHHHREDGSDDNSGIIGNTDSAQELLKTVSEDNQMLSRSTRAPDADVQGVKRRLCGRKATIFVFDVCGNACQSKTGFNLATHCCQEKCNSDEIKIHCCPTTHNA >CRE30146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:23388:23667:-1 gene:WBGene00080180 transcript:CRE30146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30146 MSPFKCMAFFFLIFSLLFVSTSGASLKKWCGRHLVVRAFDVCGKECPNGEMLFQLCTARTKITREMIGIVCCPETH >CRE30145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:15353:15642:-1 gene:WBGene00080181 transcript:CRE30145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30145 MFSIKSLLIVLVLSTIVIMASCAPRGHHTSCHKILNLAEVTCGHRCENPSVLQKGCLKKRQYSMDEILVTCCPNYFFL >CRE30156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:161265:161333:-1 gene:WBGene00080182 transcript:CRE30156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30156 MLQTAWSRSPQVTPDPETVPFT >CRE30151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:71019:74823:-1 gene:WBGene00080183 transcript:CRE30151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30151 MVGMRFSIATVRVPSSTIRLKSVRLNNQLDTQTKTPIGSVQIGRIPYTHPSAHFYSILVFFVPSIATLPFFKEVYFKYMDRYQLTSDYFIHHRILCFAYRNCYHIRIQRGDSYNDQKLVILLRNLGCPSEVGTSKWGSKKEKLNITARNDAGCWKKSGSQCRGGARRKIVILFCLSSRYCSHNYYQIISHLSISIKIVEECHFLVRQFKNRRNSCKRSISIQRKAIEFLGVYRAVGNGALDRKLWRKQNRLDLLSVPCQCPQSIHSLI >CRE30148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:28720:32994:-1 gene:WBGene00080184 transcript:CRE30148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-27 description:CRE-INS-27 protein [Source:UniProtKB/TrEMBL;Acc:E3NAJ2] MTSERCPGKTERERDILSSSVQEFRKKKRRSEWYKKEKSSTDIVSQEAPSISRILKRFAAPNSNRFLFTSLRSTSASTPTSAMLSTKTLVFILAILLAISTVSSVSSGGNKCGRILMGHIDAICGKDCDESAAINLIAMFSNNVFKIVLICSILLISAVNSAPEATGRKCGRQLLNYIWSICAESCANGDEIHRLCTDGSRYTDSDVKALCCP >CRE30140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:91520:91954:1 gene:WBGene00080185 transcript:CRE30140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30140 MLKALALLLVLGALTSGAHQHHDHHRSLQEGNAHFKLDGTQDQDVTHAHLMAQDSDLLEILSRGRRAPGGPRRRHCGTRVAMFVMQVCGQICEPFTAFDIATHCCSQECDADTVKKVCCPSTMLR >CRE30149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:33684:33940:-1 gene:WBGene00080186 transcript:CRE30149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30149 MSKILSVLFLLSIIFAMSTDATQELCEQKRLIPTVLSICGAPCGNFKALQSMCHEKKLLSDVQVKSACCP >CRE30137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:59058:59460:1 gene:WBGene00080187 transcript:CRE30137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30137 MVSHGSKILLAVLIYSCVIWMAMAESNSTECCPSPSLSASDNSTEPSGHPVTPSTNSTRSDATNNSSSRCARKIVMEAISVCGTSCQNDKQLFDSCLSKSKVSDEEIKKICCPVDA >CRE30147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:27044:27500:-1 gene:WBGene00080188 transcript:CRE30147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30147 MFSKFFVFIVLISVFLPFAVSSPGYKCGRKLMDLIFRVCHETCSNGADITQLCYAGRKIDNLQVIQLCCPQQISP >CRE30138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig169:70789:70896:1 gene:WBGene00080189 transcript:CRE30138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30138 MMSGKIPDRWKTSIVMPVNKIAKPRALTDFRPISI >CRE05349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1692:1237:1548:-1 gene:WBGene00080190 transcript:CRE05349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05349 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3LKS2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYALKRQGRTLYGFGG >CRE05348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1692:5679:6538:1 gene:WBGene00080191 transcript:CRE05348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05348 MSSLRYRRPMERIPVERSFRRSRSFSTIPQDIHVTFYNNSYQRSKVLFLNKYNAMEKIIEAAHEMFPSDRTYRFFSDSTLGARNELTDSFQVMDCVQNQDHVLPQLYIRLEETTRRSSLHNNYYETIPIIRTPSQVSHRHSVRFDDRSRAHSSASSHANFHIHCCHCRLPIHGSRYHCLECADYDICGKCEKDLIHFEHALLRIVSPRTTRIPDYVIANAPRHVFPEDRYYVERVVTTL >CRE05346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1692:1809:2219:1 gene:WBGene00080192 transcript:CRE05346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05346 description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:E3M796] MARTKQTARKSTGGKAPRKQLATKAARKSAPASGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >CRE07944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1695:67:1971:-1 gene:WBGene00080193 transcript:CRE07944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07944 MQNLKPHTLCLSLALLGCSFPSYAQLMFSQYIDGTGNRKGLEIYNPDGSTVNLADYQIEQYTNGATSKTATYTLEGNLASKAKFIVGRTELQAELGTKVNQVAGLSFNGDDALVLVYKGTAVDRFGRIGERPASGGWGSTITSAGNSLSRIKNKNDVSAVDPNSAFDLDSEWSKWSNRNAFSSYLGTGTTTPPIPAISCITADTAIADLQSAAQNQQYVVRGVITADYRYQNGFSGFYIQTPDSKAKANLSNAIFVYLPAASTITGGKVGEEVILKGRLTNYENQLQIDQLSSNIQTCNNQAASLVSSTPIQLPFSSLTDATGNAPKRYQGMLVKIPQTLTVSENYDYGRYGQLSLSLGRLYIPTNLYPAKSNEAVALAKQNLLSKIILDDGYNNQNRTPWLPQTFNAANTLRTGYQLKNVEGILEYRFNAWRIQPIQNKALPEVVKDSNLRNSTVLAKESKQVRVAAFNVLNYDNSPLIGVKPDRGANTETEFNRQHAKIVSAIKTIDADVYGLMEIANNGYGEKSAVNYLTKALGADWKYVIPPNMDKLGTDVIAVAIIYNSKRVKPVGNPVVYDDLTQKNRVTMAQSFQAVTGGKTFTVVPNHLKSKGSCPDDKTSPEANQGDGQGCWNPTR >CRE12436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1696:4092:5759:-1 gene:WBGene00080194 transcript:CRE12436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12436 MDKNRNLQWISIIFVISQHVVICQKLTEKENLIRLRDCGKHFLREFQYVESSVLLITCSSNLGSIDNNVSSIFIIFHQLEAQPSRNEIGVEFNYFNGTTEEAGFLLWITQINENTTLRFNQSTAFPISDRHVLTSSQVVMTGTQHWSLDGLHFKDWEYYANDNKEKRDCFATVPPHIAKNLIIHGKGKTFKVLRGCVFNICNTYQFEENYSPLLLEIDPPIHLNIPCLLEAQPLDEEKNRKVVDSSDFDAYGLDGGSMKHHRVKYDGIKSEYTDYRYMKTTPFYQSSNDRGGPLVMNLDGKATVAGLKASSTDIYNGRIYFNFIPMLEDRICEHSRVCSVENLAEALKKLPSTEAPSPTKSTEDGGLSQVTPSGSENSGTPRQPSSDADDAVKPRRPTYSEEVEPEESESGEDEEDTDILLDKDFNRGTSLVNLNFLIFVCVFLVFFFEMR >CRE12437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1696:7387:7713:-1 gene:WBGene00080195 transcript:CRE12437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12437 MRHLLPEEELPFHEIFCKTQQSDILAQLAVPPIYLDVEEYLAAQKLMKQYEKEDVGRDSDDSGEDSDEEKCVSVTSEVESSVVDEVDDVEVTMNRLEYLELKNNELID >CRE11614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1697:7163:7384:-1 gene:WBGene00080196 transcript:CRE11614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11614 MRQEALNQGEKVRESVLEMSKQNETHKFYKTLTPEAFCPIKVKVGGDGDSGKVTCDPRKAKRDCTWVFSVWDF >CRE11612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1697:1590:1832:-1 gene:WBGene00080197 transcript:CRE11612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11612 MPSPFQKMRQQALNQGEKVRESVLEMSKQNETHKFYKTLTPEAFCPIKVKVGGDGDSGKVTCDPRKAKRDCTWVFSVCDF >CRE10763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1698:5588:7605:1 gene:WBGene00080198 transcript:CRE10763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10763 MRHEEPSYGLFSFFTSSKKSISDRPRSALAMVHESITGSVAKFVEKRIRRQRRYSDLLRRQFSELSYFLLFHLLLDRRVQTQELQMTVLLLVYLITLWLVYLKLKNNTLCLSFSRSNRNTSPMTSRRCSSALQMLPEVDNISEAEKEHIQNILEKAESRTPFMIKIPMKKQISSRTESTNSRVSSEGIDEEVEIEDQRKKAIEEPIMEVPSRAVTPRNNLRVIPPPIAISHPTPPHSAKTDTGSRHSSGSSAHSQFGFNTPSISGFKIFFDKAKTATETLVKEIKDEVYLPEQDKEKIETTKVETEPSGELTSEELEHIRKVNEMAQFDEPNQPVAPVQERRKSSVVSGIKNIFGVGKPEEPELTEHEKDHIRRMSLLADKLNEEFEVVDEQLKPKTPKGFGLKSFFGKATQSVMQATDTVMKNVQQHQQKQSLGGLTQEELDQIANAAESAQQEAIKEVTQEELDHIARIAAMAAEDFKYPKQSMEPVLSKAEKDHIARIAAMAAEDFEEPLRTPQPKIILPSPIALEKAELTQEEQDHIAMIAAMAAEDSTSPYPLDRTQMIPHSREPEITEEEREHIARIAAMAAEDFKSSIPVEQSVQVSSEPVLTEEEQRHIERVAAMAADDFHASTFFSSKPPQLSEPELTAEERSHIE >CRE09930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1699:6361:6780:1 gene:WBGene00080199 transcript:CRE09930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09930 MTCYRNGIKCILLLLFMGLAALNMYSYGKDSHSFNYRTTSMQPEVTVEQSSSIPFQCPFESWNQVHSDTVPNENLHLEWIQNNISRRDNILESQIRLLSSFVYLDHISITTNSQRSYG >CRE11926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:609038:610486:-1 gene:WBGene00080200 transcript:CRE11926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11926 MMVCFRDYYVPWLLNWKTKLFMFIVFVFYMGGAIYGICVMEQGLDYDKLLLHSDPLVEALKREIELFHGGDQIEVAIQNCPNLTIPENRYRIEMVAQEFENISYCECIFFFNHFFFIFLALGGKGTSFWLREYKKYSNLTGSYLNDNRESWIIGVYEWSQLFAFYKLWSQDFVWTNESDYDTLELKSYRFRIGVHRLSTPTDLVLITEELRGVADRHKDLEIVTYQQSRAIADQLNVILSSTITNDTLAMFCMFCVALIFIPNPICALFITLAMVTIDIGVVGFLSLWSVKLDPISMITIIMSIGFSIEFSAHITHGFVSNENNLSAFDRCVDAMEKLAWPVVHGSLSTILGVFVLAFIDSYMVLVFFKTISLVLMIGAWHALMLLPILLSICIPVIERLSDASRKASDRRRKLKENKNSIYAINLPVNVSS >CRE11728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:416562:417212:1 gene:WBGene00080201 transcript:CRE11728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cblc-1 description:CRE-CBLC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4B6] MINAETIKKLVDDQLSSRDGFESHMFRIGAYNQAVEENGVFALPYEDSTMALLILSTPDMFDVAFRKWVVQKTIEYGSFDDVCEIISSPIQNFLEDRLEVLIEKLRNIEVNFEVLHDYSMTPQRRPKILMQTCGHVAGAAFYYQPRHFQEDGISWPVKGRMGPNLVSKHN >CRE11848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:112337:113015:-1 gene:WBGene00080202 transcript:CRE11848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11848 MPSYKLTYFDVRGLAEASRLLFHLAGVPFEDKRITFGDGSWEKLKDSTPFGQIPVLSVDGFEIPQSAAILRYLAKKFGFAGKTPEEQAWADAIVDQFKDFFGAFRQLIVAQRGGKSAEEIEKITSEVVKPAQESYFKILNGILEKNKSGFLVGNGITFADLVIAENIESLEKFGFFNASEQPKLSALREKVYTQPSLKSYLASRPDSQI >CRE11790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:847972:848649:1 gene:WBGene00080203 transcript:CRE11790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11790 MPGNQISNTWKCSRCLVQFGSEYVFQNHKKVKCEIEGPVFQCEVCPFKTASKTGLNSHQRRHIREKQKNPIKCNYCRKKKYFKSKENLLVHCEYYHPEKTSEMEEIISKYNLKVKEIEETKKAEELIRGTEQYVSSHGSSSLPISSSSGLISLQNFPSGTQKPSDTFPSINDYFPNV >CRE11765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:714036:716295:1 gene:WBGene00080204 transcript:CRE11765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11765 MFLFFTSITGLEILHISSTDKIVPNIRFQVCSASDVVNYHITERLSCIHLNQKTSVTLAKEVSVEVIPSIIYLTIQEFHELAGKYRDAFTAYKTDYFAERGKFQEFTSNIIAVANNLHTCIESTEKYKQQIRLSMEGEQNSVASMATPLSSGRRTAVSQQQLIENMDALNTKWSNAASVAVNYLREEVQTDIAPSLVELFSKKWLTGSAALETICMTVSDYYHDHKHLRPVARTALLMDLQFRIVSEYLKAIETKRLTFTTYEERATAGKRMKSDVSRLDNLYAEFASSDDMADQLPLLTSIVASAGDVISLKDKSLLSLEATSFARKFPNCPAELLAAVLATRDDVGRSDARSLADEVLQHVQFHPKDQIFDQLFALRQQESSEWLPNIGMANVFKSDFISRLKRDV >CRE11880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:368664:368914:-1 gene:WBGene00080205 transcript:CRE11880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11880 MNTWIHGWKRKGWKTSTGSDVLNRDVLTKIDNLRQKLKVKFVHVRGHAGIDGNEKADELARKGAQMY >CRE11822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1058138:1058664:1 gene:WBGene00080206 transcript:CRE11822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11822 MFLAHLPRVNSMETVLIGIGFEIVGLHCCAISTSSQKFDSCSRPFCKLKKKTHFHCKICDQGFSNSTKLLNHTHRASRLRRTLDTKPVLMKPEDSAPRDFFVVREPIRFTMEEHFGGTTSKEK >CRE11908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:511688:512400:-1 gene:WBGene00080207 transcript:CRE11908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11908 MYISSDVCPQSHPFLSSSVDFNRISNNQLYTTSISFDSGFYSLNYSITSCPDNTKLFLRETATVCIALFLFEQPLCNTQLEGSGLCKNNNGTLTGPANSDEYDYIQAQTKLFFNTSNPEKFLYLMYWIDGISLAGKKNYEFEDPTHNGTANYKWAPNSPTFSGLGYCLYNPNPNGLYISDDKCNSISFQKAFCWRGAWCQLGNSFEIV >CRE11881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:369509:370292:-1 gene:WBGene00080208 transcript:CRE11881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnh-1.0 description:CRE-RNH-1.0 protein [Source:UniProtKB/TrEMBL;Acc:E3M4A1] MIYKLEPVTLIRTDFECIIQQSASSASMGKNDQYYAVARGRQVGIYRNWNDCKTQIDGFQNARYKKFVNEAEARKFIAENLSVPGKKLPTSTDMPASSTEVTRKRKFEGTKRCSPVKKTRLEETVTDPEFIDAPVVYTDGACSSNGTNRARAGWGVYWGDDSPDNEYGAVYGAPTNNRGELIAVEKALEKVRSE >CRE11805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:937094:938977:1 gene:WBGene00080209 transcript:CRE11805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zyg-1 description:CRE-ZYG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M4S5] MFLHAPVINYAMNGGKNGSKLSSFKNLRQIGHGGFGVVYSAERNNGEKVAIKKIGKAALPSRVKEEIETMKRLDHRNIVKFYESFVDNDDTYVVMELCEGGSLIEFVKRRGPLDDATAVHILRQLIAAVKFIHSKNIIHRDLSAGNVFIKDATKAKMTVKLGDFGLATSLEHGGTACTIVGTPGYIAPQVFNQNYNQSADVYSLGAVLYTMLTKYPPPTNGPLDTSDLARRNRSAAELVKRMMETNPKRRIELREIVMSEFMKENMDEEGRFSREHSKDSRHQLSREPRISSRDERSQDRRPLRSSSQPVNSARMTHNRFDQERIHTASHAGNSSENDRGRARQRTSARGLGTSHETQSREQIWPIRMERLAGQRLHTAGGRYIIESDSRCRFEVAGQHEVVLRILIVEYNRYQQKQTVYVHKIKNRGEKCRNERDELIDVSEPLAVYTT >CRE11686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:192835:193529:1 gene:WBGene00080210 transcript:CRE11686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11686 MSNYKLIYFDGRGFGKPARQLFHLAGVPFEDIRVSFEELMPGKQSESWLEMKEKMAFFTETPFGRLPVLTMDGFELAQSAAINRYLAKKFGYAGRDSEEEAWVDAIVDEFKDYMECLRPYIYGQRDGKSEEELKKIHDEVFVLVKNKFLNSVDKILKNNKSGYLVGNGLTWADLVVADHLHTLDNIKELDDVSWLNLKKYQEMIYSLPELKSHIYTRPKREM >CRE11972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:946546:947030:-1 gene:WBGene00080211 transcript:CRE11972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11972 MKRRVYKNILPPKSFIAMDDYKNPIEMSNHLRALEANMTAYSEYFNWRQKGTWTSAPWNAPGYRNGVCRVCELLWKSKDNETEPFKSYKDIWKWFDEESQCEKDEFVRSWLSG >CRE11821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1056883:1057487:1 gene:WBGene00080212 transcript:CRE11821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11821 MVVPRHRNIRQPTFIVFVLEIIARVILHEESNNILSEEEYINWFLHRLFTFESFVEIIAFSIVCFWSGGGLLFFSLIFCHFLSGLIKLRW >CRE11959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:858490:858968:-1 gene:WBGene00080213 transcript:CRE11959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11959 MNRFTLLIFCSSLFILNGNASIARKMVKDGEQVPVKFGNVSAIKRNVTAGEQIFHFDGTHQGTFVDSKGKEIDSSNYSIENGTLIIKKFSKADEGAYVSEPNLVFKKDSDGGMSAVPGLRIIIEIKQ >CRE11923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:594047:596414:-1 gene:WBGene00080214 transcript:CRE11923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11923 MAESPPRVDSSLDAPDVGNTSMMERLRLRDQGEEGGKDDDFDNKSVSSAQYSQASEATTAVKQQPFLHTMTKVYCHIDDETDPYMLEVHVPPDLITLGDLKRVLMRTNFKYYRKALDPDSGYEVKAEIRDDSQRLSPSSNNLFELFLLTIEGSTHSDGSSGKMRKYPSVPGPAPSNRSGPPMNYQHAAYQFDNSMMSTDSESMISAAIPGYLKSAAYNRRFPQHYLDFLPIGASFNETNRSNVFHFKRMQFLVL >CRE11951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:815826:816823:-1 gene:WBGene00080215 transcript:CRE11951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cal-8 MDSLKEAEIREVFREFDKNGDGRITRQELEVALLQLGEKASNTKIETMIEQADLDGNGCIDIDEFLNVLRRQICDPKEERELRDVFNVFDKNGDGVISIDDLIFVMCQLGEKLTETEAKEMIKQGDLDHDGMIDFQEFVNIIKGQ >CRE11702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:271817:272319:1 gene:WBGene00080216 transcript:CRE11702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11702 MSVFLFLSLFLFYFCNTVFSYYVQTKRFDYDPSPVLMYNQMYSHQKWIPYHYELDQPADENAVPDWESMGDGGTIDKRVTADQINSLLKNAWLGR >CRE11825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1071396:1071885:1 gene:WBGene00080217 transcript:CRE11825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11825 MSKYIGATDAFNDILLKVDETFIQAAAYLKNLRSDAVVGEKPGSKQDCPSSVQSERSCSPILTRVPLSPVRSRRSSKKRETYSVQVEDFVALDDKKKAVIMTSIEAKTAIPKAEVRKIEKLSVFGMLILNTTRTIPNFQNQRHTPQS >CRE11689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:212242:213448:1 gene:WBGene00080218 transcript:CRE11689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11689 MADGSISRRENIQFEALLDIYSEKLPELEKCIRKSKIHQKDCVMMMTYFTLAVGITGLLALFVPSTISGTTAIVACSILVNLLFIGILKAWGHSVGDLQKIPVIAKYIFIVFCYIAVIGFYGYLTFKVSPDTAVIMIFFAPSILVFLLSISNMIDWICTPHSNHQKGSIQAVNEKLHANGFLNYQMKESTSSNMPFAFYSSCQSSEQYRMLRVYEIVQLMTWRTSSHIIKSSPLFI >CRE11712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:323604:324288:1 gene:WBGene00080219 transcript:CRE11712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11712 MIIIKSGSEILLKTLQLYCLLFIGIVLVICTKKTKTKGKTLAVSSVNKPAVQEKKKPKKRLKKVKKKDCDDDVKFDVQVKKVEEKADEFDDDEENPLAKIPVRQRDPKQKRKDAPSVTRNKSLTGKENPLSPSKTTTTTSTASSGRTPTPSPSREQEAPLFALNEKQIGASCYVRLD >CRE11897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:461121:462880:-1 gene:WBGene00080220 transcript:CRE11897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11897 MQSILINVIVTLLVGFSFGIDTNDDIPDELELIFVHTIWRHGDRSQEGHLNNDPVDPTKWIEGGGGYGQLTPRGMEQQYKLGKKLRDRYVSTGFLHNFYDSQQVYIRSTDVNRTINSAISNMLGMFSSSSQRPGICIFINQVCTISIISGVDYPDIEGWPRGFMPVPIHSSGPASQDCVASAFCSCKRRNALLEIAHKGEQFQNFINSEKYINITSQVSELFNTTFTFDNLWQVHDAIMIQLIHFREIVYNQTWYSDEFFENLDDLERPSKAFVSGLYDPPIVDGINVRKEILKTRGGPLINDISDRMRTISQCKQVAEKCDVYHKNLKYYAFSTHDHTVFALLAVLGLETVVAGEERYGEWPDYASDILVELFQNDTNRSFYFRVLYQRNTFSKFEVVTPLIQGCKSRQFCDIQVFEDRAKEFRPDRPMKEFCEILPREDNRVSRWIKNVFQSPDQSTSNNYKWYLSVLVAACLLIL >CRE11771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:751844:752497:1 gene:WBGene00080221 transcript:CRE11771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11771 MSDVSIPDENIDYDSSFEVVDENTIEKIESLDETKSESFQFMSATGDATKEANIDSNEDSTPITTAIIGKWKPISSENLEDYFKVSNLSEICELAWEHGIVCYEMKDGDLHAHTQLYNKKLNATVLEVGQKNQNKNGTMDCHVENNSLNSIYFSEEKELWKVERFIESGQLVIINKREQLQWCKRTYERVE >CRE11660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1206:1439:1 gene:WBGene00080222 transcript:CRE11660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11660 MSSLDRKVESSNRTLNALYRLMADRNSLTISPSPPALISRPVSPANCLSPRDQLSPTSISSQRSGSPSYTLDPNGLH >CRE11717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:343828:345413:1 gene:WBGene00080223 transcript:CRE11717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-9 description:CRE-GST-9 protein [Source:UniProtKB/TrEMBL;Acc:E3M492] MVSYKLTYFDSRGNGEIARQVFKYANREFIDERVSQEEWMKMKQNTPFGQLPILEVDGKQLAQSVTIVRFLSKQFGISGRNSWEEAQIDSLSDQFKDYRIEARAYFRVKMGFGEGDLEKLKKDIFIPSFNKMYTTFTNYLKTSGSGYLIGDSLTWIDLAVAQHSSDLLEADKNILKEFPEMADHQRRIHDIPNIRKWIAERPVSSR >CRE11750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:556903:557535:1 gene:WBGene00080224 transcript:CRE11750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11750 MTCFINFLSICFALVFCFVSSESQLTGFRTVNLQLNALKEFLINMKVFQFQQLFDGTKIDMDTIFQPNFLFPNNVFASMGDLEFKKSLKVRFLVFNEQKVESFIQIFTLFEPTITMNGTIQDLVTITYRMGGRENKMEKEVKIVKNVQSPTGYVFTAFKSV >CRE11723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:395812:400624:1 gene:WBGene00080225 transcript:CRE11723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ulp-2 description:CRE-ULP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3M4A7] MDQPDNQDALIKIKEQIRKQDQSIKISFLPNQVFLGNHTLELAAPEITISYNRRNKLSLRVSFAADPSIGYKQVHAFLSLAFIDRISLMDEHQELSPVIIIHLTNGGFEKLCHRLTGLKEVVEGPHKRNKNSAKSLIFVLSPFTADPDTIVKIIYADKNEINDRFFEFEVAKSIFKNEIASVWSQYFVEKVARHRESPVSKNGSNIQVVESRWWSTFLSDVGLIKDTLNETGFARYKKNLGHSKNHKLSIHVNSEEYSKGKAARIPTETPHREAVTDPKKISKKRKLLENDIHKHTCWVNREGWVPDFVERAKKVAMSHNFGNRDTGQGALVFKNEIPIGDTMRVEKNNCENENILAKEKNFDEAMDFNGLVLQDINSLHLTPHQNLLSSHPYNHVGSETNDVDIVTASMSHLGEESYNFNTNNYMTVYNQNWPLQQAQYGTNNQLGDVMQFQSPSLIQNQLSQPPSVSVKEKRTVDTITLGNERLFQFPPAGVESPGKDHITVEVKDVRTLDRKEFINDNVMGFMLTYIWCYMIDEELYVTVQLNPVSIFFFRKKKVHMYNTFFYSNLTKGLPPLCYSQRKPITADNESILKGGIQRCARWTRSMDLFTKDYIIIPINEDLHWMVIAIINPAGAIVDMSDEENSRNAPKTYMLFFDPLSGLDPSRRNHMCYCVKKFVLELYASTKAPGKKFASGKQEVCDETRIIDIRPNNAPIQDNFYDCGLYVLHFVEGLFCSPKRPVTVNDFPEFDWSEFYPEAHKMCDVMRDKVYNLILGQSNKLARSRLSLFEKACKVGLSREGKLRKSRRHSAADHRRIPRHEDHYPRHFSLNPPNRNVILDDPTFTNPRALALMPLTQRVRSLRIPEENFPIAY >CRE11760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:693524:694878:1 gene:WBGene00080226 transcript:CRE11760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11760 MSIEIVQRNLLNILEAISDAVTSSSATQRCRLVAVSKTKPAELIESCYTQGQRHFGENYVQELEEKSAVLAQKCQEIRWHFIGQVQSNKIGKICNSPGIWCVETVETEKHARLFDKEWSKYGATSSPLRVFVQVNTSEEENKGGIRISEAPKLAEFIRKECMNLKFDGFMTIGSFDNSHSSGVNPDFEKLFNVRQQWAEQIGEAAESVELSMGMSDDFLQAIHQGSTSVRVGSKLFGAREYKNK >CRE11846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:110124:110807:-1 gene:WBGene00080227 transcript:CRE11846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-24 description:CRE-GST-24 protein [Source:UniProtKB/TrEMBL;Acc:E3M424] MPQYKLCYFNLRGWAEPARQLFKLAHVEFEDVRIENGTPEWEALKPKTPFGQLPFLTVDGFEIPQSAAILRYLGRKFGYAGKTPEEEAWVDAIVDQFKDFVTPLRQIIMAQRGGDAAEIQRVKTDVFEPARYGFFKILNGILEKSQSGFLVGNSVTWADLVIADIITTMKKLGVFDVAAEGNKKLVQFREKVNAIPEIKEHNETRPDSVV >CRE11668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:40956:41333:1 gene:WBGene00080228 transcript:CRE11668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11668 MPETELHVEFDQPQEVFFPGHPISGRVVLLTEDKYKAKTVNCNGFHETVSVISFFIKCVHFTSLRFPSIRTIHTIRPANFHRLTASRLLVSTLSLAANFLSPAKPFTMAPNSSSLNSLTLSSLPI >CRE11912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:546386:547856:-1 gene:WBGene00080229 transcript:CRE11912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11912 MRPRPIAFFTNRKSETCLKLVHGVSTFQKQNSLRDFFRIRSFHLTNYFKAPDLSISNNPLGSILISFHLILSPFVTMVEINQTKCELAFHVTYNPVYRLSQFYTFTVSFIAAPALFYLIKKRIISLPFHGNLKTLLIVYFISVFIYATVLCFAFGYHVISPFFISSACGLIVDKTVFQIGHILTLFSLTSPMIFPIGFTIERFIATGMASRYEYTPTRLGPILATLSLISNIVIFYFIFQNETFDDIFISFLMLPSTSATQFTNYLWSLFGIKVVNIICNLILIIVHSVLKPKYQKSSLSTKYAMEEITQSSKFTFIITFTHLLFFGVYTICSILVRVLGQSFFGSLINFYVARGINCAVPTYNLVIVIVGFYSLHHLNSRRSKEVTSNIRIMAVGQQGAKNYDDAITSQWATITRGSV >CRE11944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:750048:750884:-1 gene:WBGene00080230 transcript:CRE11944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11944 MSQEDFHSKTEIERLRDENAVLRSILMTKFTCPDCSTNGNVYEELSRIRAELFRLNQKQQEDTDRNRKLIEKIRSVLVKRTEEKRAITANKTSNLENVIEQHRNELFSPLPPSPKIKSIEERFSGEWKLTSSEDSLRYIKFRNLSRKDFLDNKVFIWNGTNIVLPPEPEVDADPNLVAFTHLENGNLKTVLKRKNNDRAEVTIGRFLENNDNSMTIIASFNLFYNLTNSRQTISCTRIYERIHKIL >CRE11797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:881456:881787:1 gene:WBGene00080231 transcript:CRE11797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-11 description:CRE-INS-11 protein [Source:UniProtKB/TrEMBL;Acc:E3M4Q8] MSRQICFVFALFMISFLLLDQVHSAPHHNKKHAACQYRLFKTLNTLCNKMGDLETIKATAARCCKENCDLTEMYSACTLNPSNGSDESTESY >CRE11714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:336926:337883:1 gene:WBGene00080232 transcript:CRE11714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gst-7 description:CRE-GST-7 protein [Source:UniProtKB/TrEMBL;Acc:E3M489] MVHYKVSYFPIRGAGEIARQILAYAGQDFEDNRIPKEEWPAIKPSTPFGQLPLLEVDGKVLAQSHAIARYLARQFGINGKCAWEEAQVNSVADQFKDYLNEVRPYFMVKMGFAEGDADALAKDVFVPGFKKHYGFFANFLKSSGSGYLVGDSLTFVDLLIAQHTSDLLAANPALLDEFPQFKAHQEKVHSNANIKKWLETRPVTPF >CRE11794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:865811:866224:1 gene:WBGene00080233 transcript:CRE11794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11794 MNSLTIIVSFFLILTVSSMAIYETVAAENPEIVAKEMANGLFGQSDFFLFFKLANPSQNTPKSRIQRSSHKTCGQKIVDYTRKICDSLDTYTNSQLDISTKCCSEKCTDDFIKKAMCPDKQ >CRE11730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:425003:425680:1 gene:WBGene00080234 transcript:CRE11730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11730 MNRRYYQPRYVEDIRVYTDGCALRNGRSDAKGGWAVVFQNDRLFNATGFSEYGPQTNNRYELKAIEEALKIVLRDGPAYNVTIVTDSHYAINSITMWRNNWIQNGWRTSNGTPVANKELIETIYSMIGDMQQIGGSVRFEYVVAHSGDWLNDRADRMAKDAADQNPLVPY >CRE11704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:277371:278540:1 gene:WBGene00080235 transcript:CRE11704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11704 MTECSDDDIFADFDDRMDTAPIQLGDSDDSFDEGFQKNPSAIDEKSVIEEYRKLKEESKRIDEVAVQIKSLIMICREMEECYTKRIDQSLTSFGEIRYLHDGSILLLVTIHNITSQPMIDWTLSVHPSSVFPRSFQSASFCQSIPLGTLVPGVRKSFQCHLNCEEPPFLLNLSLIREFQLDDIRKVFKIELDPISVTFWNQAQLIQRKSSELNTSFSSSIRLPNSLVNLLSGSPDIIVSIAQVFKAIFKVSNIQNDTIILCIPSNATDNFFVKVTCAKDGTAHHLVTIGTESSRSHTLLTQHLRLHLIVEMSKLKSRPAKGILMLTKMDAVSVEELFKSMLGAFH >CRE11737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:496259:496773:1 gene:WBGene00080236 transcript:CRE11737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11737 MYSIFLVFSLSLILRGSYSCIPTQNVDPFPCKTCSKVYDSSCQGGGTYGGCETADVVGVSYTLGPVAGVDGTDADTCWTSLSCPSDTLRTYALSSGGYSGGNGYGGETISYCRESGFAAGVWAIWQSDTRVDISSMSCQYS >CRE11873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:325137:325988:-1 gene:WBGene00080237 transcript:CRE11873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11873 MEEKQKLVEVETVKKFAFFGIAVSTVATLTAIVAVPMLCMYMQNVQSGLQDEINFCRTRSDSLRGEYTKLDQFRKAESREKRQTYQCCSCGIGPAGSVGNPGQDGAPGNDGRPGAPGAPGPDAPNDHVQPTPADFCFECPPGPAGNPGNPGPKGPPGQPGAPGEQGPNGRPGTPGAPGPQGPPGAGGQDGTPGQPGAPGQVRTVPAPPGNPGQPGEPGAQGPPGPDGHPGSAGPQGPPGPQGEPGQDGAPGNPGAPGEAGEPGKDGAKGSCDHCPPPRTAPGY >CRE11918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:560446:560902:-1 gene:WBGene00080238 transcript:CRE11918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11918 MLLRIFVLFIVSLIVLSHASEGGSPLPPPPNGTNIDPPMGNGTEPSGPMNGTDIVQENGNKTGGVIDWIKSKLGK >CRE11742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:504012:504571:1 gene:WBGene00080239 transcript:CRE11742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11742 MFKIVILSSALLALGAYYGQVQAAVLPVSSKEVVLVTSSPSSSSSEISIDTLGSSRVKRQGGCGCCGCGCGCCGCGGGGGGGCGCCCCRPRCCCCCRRCCTCCRTCCCTRCCTCCRPCCCGCGCGCCGCGGGGRKRRSLQNLRIDEANRALGIKRRPTKGGDKC >CRE11956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:848776:849086:-1 gene:WBGene00080240 transcript:CRE11956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11956 MDGLVLSNLNLPNLNLSERELEVISFKKYANKTNVILRAHTHLNNIGRIP >CRE11791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:851566:853098:1 gene:WBGene00080241 transcript:CRE11791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11791 MYHGGTASWRLFWTQNIPLLDIHLKPLYYSSQFLRFFFSINFNCGIPDLCLLQVKSTMLSVSDTLPRTTTIPSAETVISPIELEMIRDEQNKEIFEQRRLMKQRNGGLQCYLASQTEERQYLVSKLNEAQEKQKIEKHLIMKNLPRSDTQQKTIDKLASELEEQTNEGKHLMECIKGETRHAIDVAQLLKTSNENM >CRE11816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:1009364:1010552:1 gene:WBGene00080242 transcript:CRE11816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11816 MSPNRKNTKCPINVETDRMMTFLADYTKNVEFPVQMSLLWKEYKTRYETHRKVDTLRKLFSQKLAPNIWKTETLDDQTKLVILFATSTPMNLQYLKIIQDQGEAEIDKEGRILKYNAKNGGLKLNAHKKESEQNRVENTKINTETSDQESVDLNEDPMKRRKPNVNEDNEIINFFIEMVKDSSLPSNVRTLCKHYKDTKNSLKHVDTLYKRFKRVLAPNLHDLANCDMKTKVRLFFMTNTPVDESFLKRISENAIVVVDNQNRIIEYRSNEKEGLELKRKSPKPYGTTKNILTAKSVEHSTEPIIIEDSMDDSSTVQEPFNEAKFEISEGTMQNLLNFFNKDTVDEIPLYRE >CRE11861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:209035:210615:-1 gene:WBGene00080243 transcript:CRE11861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-12 description:CRE-SRA-12 protein [Source:UniProtKB/TrEMBL;Acc:E3M449] MLCASEIQVEIYTSPVQLIYACFQTILFLATIIGSLLAIIELSKKTTIPDSTRVLLVGSLFFANAHELAYFSSPVSSSVFQFNSFIYFQLKVFQMSLFHSNSSCYPLASTLECIPTTTVLSMGISGNMLIQSALSVDRFVREFINEITLMRALPGFALLFIVLIPSFLSYSWIRSDIVLDDYQMFCSQWSAKISTRANLFLELCSYLTVAHIIINLLIILRNQSVEGKCRFDVQQRYLTSETLKTTQTICYLSIAQFLAMFLYSGGVLLMRKNQKNIPPIVYTNVIVWVYAPPYACVSLAPLILYSLWNLKKQRQIRIQSITVQKETQDDHMRKLQISWG >CRE11981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:982266:982563:-1 gene:WBGene00080244 transcript:CRE11981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11981 MGHGKKKKQSKDTDHEEGSGDGEGRAAHQRPNCCDTLMLALVCFFMVVALAVSIPMLLAASGKADIEFINKKLKEVKEPNE >CRE11901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:474461:475100:-1 gene:WBGene00080245 transcript:CRE11901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11901 MNKVIYSTLAVLAFIAISQVQSAVLPVSSKEVALVTSSPSSSSTETSIDTLGSSRVKRQGGCGCCGCGCGCCGGGGGGCGCCCCRPRCCCRRCCTCCRTCCCTRCCTCCRPCCCGCGCGCCGCGGGGRKRRSLQNLRIALANKIEGIAKAVKGSETPELNVLAPFNDAETVQEKKDVIN >CRE11757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:649005:649405:1 gene:WBGene00080246 transcript:CRE11757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11757 MKEPCFRCKRPTYFNDKMGPLKDGSMFHKGCFKCWICGTRLSLKTYHNNRNDNTDLEVYCAGHVPTPGPHDPIPHRSNLLFSPKTKGEYPHNLMRPAGPT >CRE11890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:418592:419117:-1 gene:WBGene00080247 transcript:CRE11890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11890 MPQSVTSAVPKRSYRKEAIVAVAAVGIVVIVWNLRSGFWKFLGLSCSSVSEKSDASNSAPEIWDSSTPKSVNGTSEPIATSSEKLEKPATVEQKSLEQIGNSAPESTEKPAASVYVGLPKADPATVSTSIQPPNWPGATPR >CRE11715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig17:339267:340768:1 gene:WBGene00080248 transcript:CRE11715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11715 MLQELLVKILSLLAEPVPLVEGQWLNITFDVPILVDINAPLTPTLQFIYISEVFLIFIGMFFMTYFNHFVNSIGIIHLNLQRLFVFATVLYLLGSFSRFYMIMIQLKIITDLNTPYLLVAGLLRMEHYGVSLSAVLVVTVERSFATYYVVDYESKSRNWVAVFCVFVSLVYTQFFIIPICFFKASLLYIILFASLWAIFAKIVLMGLHRFNVQESKRLSWKAENLRKMKNVNYTLGKKFQVEENVKVIKVANKILFSVLFILFQLLQAMSFMLVFLILFVIIFIVVPRVYLGTGTYSGQIMISLFDINLALGAILIPFICICQLRKTKDLPFTQRIHCLNKRREERQVNPITVFEDVTKSYFDQFHTSWK >CRE03428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:142464:143289:-1 gene:WBGene00080249 transcript:CRE03428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03428 MEYTVLHRRIESSRLPHEASEAILGHPRFPLAKPIAHKTYDINGQIPEREGQIRSAIVKCRGKSYSLSGDTDDDSDIIEKRLGNADTFQDPQRVLPAEAADDDILELPAVRVRKYLSRKAKELPINYVHHADSQETAGTLPPGMLSTISPKTKLSTTERLNDEPHMVFPAKLGSSSPY >CRE03398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:11516:12390:1 gene:WBGene00080250 transcript:CRE03398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03398 MPLSDSAPFDFPAIGFSLHSINAHGTPYLSVRVDYDPLNFESFSEDAIKWYQWVDDKFVLYHINPPRPPPGCPEGPHSHVRKHYSTQPRFVFQDGGFFVKVEFATWLRNSVPVDRPRCQCNPWYDTPLYDHSDPPECQALAFAAAIADGLREGKMMEDIKIEAHLFELPSTRRTQNYWPSEPTRAETLSGLSSLRRAFSTWNL >CRE03429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:148002:156247:-1 gene:WBGene00080251 transcript:CRE03429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03429 MFRFSRCPPCVLLPRDSIREVFDYESIGVLHDIEPRRKCDGEILAMSCFECKFVVDSMQEDMIGNRQKLSNDVRDFACYKIVPGNMTASCINFLDLYLPTVIQMTIEQVTAEGVCEANKCCPKDSASRPADEIKRGYDSPSNRHKPRIIIDLIRLHHRYRWTHQSEPRKPHPLEGGYPAVINTQQRKYASETEEVRRNPIRKALKPQNEPEPCDQSTSGHRELMDKLKAPEAKNQLSPNTSHTLSAVALSSPPIKRFKILKQRDPRKPLFLKDHKTKPSRSFNYDNVTTSQQMAKERQLPEASRHKPSRSFNYDNVTTSQQMAKERQLPEASRHKPSRSFNYDNVTTSQQMAKERQLPEASRHKPFLFLTVKEPQIWNNDATSQQMAKERQFPEAPRQKQVDLYSKR >CRE03432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:199019:200588:-1 gene:WBGene00080252 transcript:CRE03432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srw-33 description:CRE-SRW-33 protein [Source:UniProtKB/TrEMBL;Acc:E3NAP3] MSKRNLRPLYPHDGYTIPPNKFCYRTVAKLKICTASGKRSNGYITITKPHTGHDKNDGLAMAKIAKIELKELASTTTLAPRDLVHEVKTKHGVSPVVMSGSASSMRRLISRVREENCQDARAADSANPVFKGKMAQDNDMENFLIFDEIVPQTRGRNVAFGNQLALDVLGKSKILLTDGTFAVAQAPFLQLWSIHATFGDSTIPVVHVLMTSRSILDYSHVLEKLKAVLPNWSPTDYLGDLEIGQARAVANAFPGIQTSFCYFHLLQSWYRNLKKYHLDGLVHYGGPMYPFWSLLRCLPYGDVGNVEQDFRALVGLLPTPLTPDMQKFEEYLRKFYIGPRQTLMFPPATWNVSSRTLRHLPRTTNAVEALHRNLERCVRDTRGRTSPLLSELLKCLRQEASKLKFDKEALDFDPTYEARFQKL >CRE03399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:13098:13892:1 gene:WBGene00080253 transcript:CRE03399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03399 MDQFGGHPSCLRKEETCKSSRSIEGTFRSEKSDQKSVSFVVAPSFDVNRPRASEVVNSSRNEYRHLEKTMIRIDRMPMEVMVRAYAFASRNRVWSTWILVEVESCREHSVRAFELNGGFFLQKTPEALGTRIPRDMSPLDQWVAYHIAILDYVDVNAPRPRYSTSRLSSLSLIRENDMNCGCLPSLLSQHEAFGLSDFCQIRQIAQKAMLLVHHKLQSGTLDRMFSLKWKPS >CRE03418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:75467:76454:-1 gene:WBGene00080254 transcript:CRE03418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03418 MCVPIYIPIGQHIGFFVALLTNSLLLYLIKTRAGNSFERYRVMMICFSVYSIFYATVETLTLPVLHIQGSGILFYVNSILKENVKWGVVIITLYCGSFAFCISTLATHFIYRYIAVCRSTKLYYFDGYKLYLWFLPPLVMFSAWATAIQFIYVPNPETRDFFRNMTREVYEENIDQIAYVGPVYYTWENGKRQFRLPDLLGGLFICNIIGLSFTTCIICAYKTYKKLNDFSTQMSNRTRALNKQLF >CRE03405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:67983:68183:1 gene:WBGene00080255 transcript:CRE03405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03405 MTTVQKCTAIQDGPLEGCHSCGGYVPVTCVSRKSMKALVHCHTFKTTLKCGPYGQTIQLLIASDAQ >CRE03430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:162678:168897:-1 gene:WBGene00080256 transcript:CRE03430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03430 MSCFECKFVVDSMQEDMIGNRQKLSNDVRDFACYKIVPGNMTASCINFLDLYLPTVIQMTIEQVTAEGVCEANKCCPKDSVNALRAFSYQEIQSKKCSTMNQLESYMTSNLVGSVMEKYFENSLTENICSHSISFFQPTCQQLMSSVAPRLVSLTAVLAKENKFSQAVSFFFCFKKYLHCFFYFQLNC >CRE03407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:106967:107392:1 gene:WBGene00080257 transcript:CRE03407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03407 MVANLKILNTKIDNLSKKIHSNQHQRSNVNYHMSHYFNGSRFVMPHPNYGYHHRTVYHPQYGTTNHFGQYGNRHQYWNRGSQNGKQMKKINVVGKNQKNKKQNGSGKKGKLESRETATSQQESKQKDKLSKSTAKDQNVAK >CRE03397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:10334:10924:1 gene:WBGene00080258 transcript:CRE03397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03397 MSRADYDMLISGLRLVMGSMRHFEMQLKASSRIQDSLFELLNGKFKADLGAEISDLVAKRLKDSDLGTAKKEKDPRIARRRYSNYAKISKIMDRIRGDVANGDLSARGIRKRIKIFQSITYGDSSESSDSSEDSDGQDSDPDTPPSNSNGEKDDSDDEAGTGFQFAPAQSGAVAPSACNAY >CRE03422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:96058:97391:-1 gene:WBGene00080259 transcript:CRE03422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03422 MSKKSIFGNQTYMDFEFKFNTFPVYFALLPMSYVLPTLYIVCYTIFVFMEHYLRRKEFIVNSQIFLVVSMAHIVITKFHGDKRISLVEVLKFSGKVINFSDEVYTLTTNRAHQEYFEK >CRE03423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig170:105225:105908:-1 gene:WBGene00080260 transcript:CRE03423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03423 MLVAVCRGAGALLKSSSHQVSHRFSSSPLQSTDTFPSPLQSALAMSFETFCRECSIHFPDPSFKGQHVLLCHSGIPPPPPAIPEAIFFLMHSSNPAVTARTCPVCWLHFDSLSRCAGHIKSKHPTPPVTSTTHPTSAAVLQQWELFVDAVYPGYLRVMHNASKQPPQQPPQQPPTQPPRQKPPRTSWAPAPTEDSDDSEFELLDFKSASRK >CRE13213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1700:1289:2050:1 gene:WBGene00080261 transcript:CRE13213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13213 MARNKQALRRTAQATADGYENFIARVGMQTPNQHSASTYRANFTSRNRMLVEWSYRSSWIIGEAVDAIPDDMTRKGIRITSEIDAKDRGILESQLDELQIWDALNDVLKWSRLYGGAVGFIMIEGQAPMTPLRPETIGKGKFKGILPLDRWMIDPVLTRRIKDMGPDLGKPEFYDVVTTATGIPAWRIHHSRLIRFDGVTLPFQQKMTENEWGMSVVERIWDRLTAFDSATVGAAQLVYKAHLRTYSVEKLSQ >CRE16555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1705:3630:4211:1 gene:WBGene00080265 transcript:CRE16555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16555 MLKSRLSSTSKKLNSLNNEVLSMQSGITALGKATYDMYEKEEAKIFHSIEKLERKINDLENELLIFEKAENDIGDDIDLNKKYEDQIDRILEALENGREALWDLKAHQKRFKSMKQVTEPKMEIPGRTRTEPEIEVQMDRMSMPMIKIPKFKGVRWEWPNFWTIFEEVIGKSHMSDLLKLNNLLMHLEGEAKEL >CRE15216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1707:5654:6480:1 gene:WBGene00080266 transcript:CRE15216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15216 MNSDDDMIEEEDSYEESEATMIFAAEMKKQFKKEFPLKAETEKRRKEEAFRRHAPKEARKLNFDITLSPEPSELIKAKSEKENAQRQLNSLREEHERLKEMLKAKEAKLAEKSIDSEANRERILDLQERCELLKQEKEETVQEYNQSLEIWTQFGKKYYTWFNLASSQLDAVKTYVKSDGKFDNEEEIHRLNSMPRNMLRTLENFDFEEETVEMLGAGSVQEYDGVEFEVRRRAESPEAVVEAVRRADVSAANFTIP >CRE04302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:197581:197998:-1 gene:WBGene00080267 transcript:CRE04302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04302 MTNSSNIVFRLRVDLESLREKASEEPKIREKMKAWDFILERITRKTDLVPALIKAGLNLEQKIVDFPDF >CRE04263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:4361:5652:1 gene:WBGene00080268 transcript:CRE04263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04263 MFGPYYGKTPEEVEGFRQTITRGIYDAYKKQIPQRQVFYEVDSNFGKFLAMSVSKGLGGLRDKDGVPFKTYSVKSLFSGESSIAQKCREKIMRDYTSLQLEQMKLEFENGTVQSKLYLEAIKVADKDFHDDFKKEQFEIKNAEIRENSDALIEKIDNAIARVGNRVVELTAGIRQLDLDNASSSEEVQKKVKAEEYKRKNLRSILEALGEIKIKSTKWMVYDSSPNLEELDFAGLVQDQELLNKLNDMLLKIGKATEKWDKLVVAGNKTIEGYVTTAKDFIGLYQCHYGVLQKTVMTTINVKKLFMKKFESRAKTHVFLENVSKHLAEVDATEERFMGLMGLLDQIMEKAGE >CRE04289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:65107:65428:-1 gene:WBGene00080269 transcript:CRE04289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04289 MVLPVVVAVAAAAVCGILATYPVFRSCVRKNVPSVEWGPDDEIERNLYVKKMNDLMEQPLFEDSEGSGQYHRNLTSN >CRE04274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:137569:138146:1 gene:WBGene00080270 transcript:CRE04274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04274 MDLFIVWSRLAQRVIKKALTQEIQYPESHEVKKQRSQDEWPQKVDEEQEDYEFRAYCLEMDSVFAHNMTLCRDYILEYSNYDKHDETLGNLHSESKEAAKRWSQEKWLLQSDDSEDIDKYEKEENDEDREYRAEMDKFMTRELEIIRAEHLVYDECA >CRE04288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:64048:64375:-1 gene:WBGene00080271 transcript:CRE04288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04288 MVAPVIIPVAIAIGATVTVSAVIITTLRIIKMKIFKKFEKIKNRNLKNCESLIIISDISKQCEYEKKIENIVKAD >CRE04267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:21107:21835:1 gene:WBGene00080272 transcript:CRE04267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04267 MSREIVFCIPNDRPDLQMTEEQTKVNDATKEILKACVNELYFVIKAQPFPFTRVLRFADVLMENDRKIMEMLDKRHLITPEVFENDQKVFMKIIEDWQKEIAVRYIELYKFLMYVEETHAYEGFRSLFDLPDVEKDMSDEERHISNFVNRKFVWMNSSVEPSWLYNSLQFYNTLQYVQNPIKTKILARYGPE >CRE04265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:18221:19837:1 gene:WBGene00080273 transcript:CRE04265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04265 MMNAVLDLHPEAQLDATIDAQIVTVIALLELSIVMDCSSSLLVPKNFDRSIYNLMFFVVIGRRYRVGDLNDKQIRDLMPTISDEHAKLLLQVEKMRALPGGEILEHIPMVNTNTTDNGNRMITELTTMTKYLTQHRFSRNWRKAIMEKIAEIQPIVDDGRNTMETREAFQWLHQNYYRIREEYEQAKNRAERSPNLDRQK >CRE04296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:132124:133008:-1 gene:WBGene00080274 transcript:CRE04296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04296 MEIALQGDSKGILYEGYTKAFKQCIFEEGDVEGKNERYEFRCDYDLECCGRTCCIPTPATIPLWLMILMIVAAALLLLLLLSCLLYYLSQWWKSRPKKDKNATSSSTGNKYSALRNNGDLIDEYAFQEQKYSTPDDIYSAYGRKYETTGSRNGGYRNGYGNGRNLDIERSGGPDDGYRRREYAVTQNYLRRPSNNVYDSDSGSMVHHGVHETVEESFKQEITYERPVSTDSREML >CRE04301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:188500:193633:-1 gene:WBGene00080275 transcript:CRE04301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04301 MQPLPPSVFQVRADLEIIHERAVNALRPEPIENGTLKKRNEEIKKILKGIEEVESGPIDEKFAPSGTIQRNQLPNMQIKDRYLILDHTGLIQVTNLQINYFKFNENESMRHKMILKSLTRSQFAEQMLLDFGFGWILQKLEAHYQHSPDGTAQKSMILYFKTEVPKLREELCCIDNSAEFQKNIQHFRNTISAVDSLLEQSKMVIIAHREAEGIFPTWPNDLEWVY >CRE04268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig171:22168:24119:1 gene:WBGene00080276 transcript:CRE04268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04268 MQPEFSSVPDLQRFSFSKIEITLHTSEDPTYWILIVKVKFTNYVPRHWNLYTASFSNIAFSSRVDMDTLRTCHTFIIIFDNLITLVCFIVPEYTLLFQVSWTREKFLKILEFLTTDLLGGDTNTPQDSLSDCGLADDCLKTGNGTIVGILEKLQLKTTDVPETILDEDDPESALYTFVTVVLFGFVSYVFSVIS >CRE21085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1713:4516:5273:-1 gene:WBGene00080277 transcript:CRE21085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21085 MARAIAVDAPLSAGIRRGKSFKKSSVKKSTMGIKKRFSGAGKPSGGPRRMSGGAPRRQSGGFQKLDRIIPSNENREVRINISNLAPTVHAGDLQQLFAEFRIKNVSVNFNEKGNPVGTGDITLSKRHADCLVQKFAGVALDGKEMKFAIIDTSNIANRVKFPEAPQRVPTGSGRPQSRRPQSGKPNQQRTPKKQNVKAAGGQKAAKGKKPKKVAQLKKTVEEMDAELDAYMGHAI >CRE21083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1713:1160:1799:-1 gene:WBGene00080278 transcript:CRE21083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21083 MAPIVHAYNQRIEMIRRIHYQNWMLKKTSQKDKSVGSDRSKLGKMIGASDIGNPDARVLLSSMCMSVTADSLRILFSDFNVKTLTINHDKNGKPVGTGIVVLPKKDAIRLIRQFTDVVIGSSNIQFKLIAAFNIEKRVRFADKQDENEPAKRNPLKQHEKARGFLKKMNSNNLNPNVLASTFTNLSI >CRE21084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1713:2605:3209:-1 gene:WBGene00080279 transcript:CRE21084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21084 MAPSSDFNAQLTERARRLNQDWKQKRVPQKGRRLGSIKESSDLGHSDVRIALSNICTSLTPHCLLTIFAEFKLKTISLDSDEQGKPVGTGRIVLSKDESMRLIQQFTGKLIGSKEMSFKIIAISNIEKHVRFAARLNEKESSKIIPSKQHEKTRGFLKKMNNNTLNPNFLVF >CRE24339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1715:752:2673:1 gene:WBGene00080280 transcript:CRE24339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24339 MTNEYSKPVNENLTNKTGDNSRNTMSNSQCEMTWKPVARTYAQAASTNPADDKTVTVLGCKYNLLKLGNTPQTSKRSPPKPSRGGARISSVYTLTDELEITHREEGKITFAIDLPNKNNILCPLCRECTQTRGRGSSFTKHMKLHVKEKHQLDATFIYKCSMCNEYEPEKKCGTKWIQTHLQKVHNYKYDESAIVVPVPPNTRQQIANELNNAAPFVDIRKPKANVVEEKKTENGAMLKFLTKSNKDNQVKSPSEDIPDTESPEKETQALTIDPKGNNSPSKSSIKSSQSSASSICQEIQEIITLSEDEDPKGARPKPGINVWSLINETGKDAYIDTDIMMAFLKMRVENYDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKKRVVFPICADEHWTLLTISNGIAAFYDPTGSRMSSYIEELVNELGLIIPKEQDEQPRQRDSYNCGVFVMKMAEAFIQDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKSFAQSRPTSRSSQCAVCPTCSRSATPMMDVGNMEVDPVPQQQETPKSREPEQDEGWKVVGNARKRGVVTERSPNISPEAKRQFTGPEIKVVSPGKFHPLAGETEEMEVTCDSPPTKEPTVTPSLPAMKIASPEVTKKQ >CRE29289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1719:96:542:1 gene:WBGene00080281 transcript:CRE29289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29289 VTKILTLVFISVFILQAIARFREIGRRICEIELIDFSADKGKNDRKTFMIASRGEEILATVRRNLAVIRDKLGEYAKGTIFDYVDELSNSFADVTPNAITRLNMVVAKKMRQNTMQEVEKAATSSSESGEFKTEDFEVKLEPPEYTED >CRE05172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:56104:63860:1 gene:WBGene00080282 transcript:CRE05172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05172 MIDSLIRRLEQVLNVHPEKYQNGCSSQRPSRQSSPDQLLAPTRSRSADFGRAAEMASGHSEEGKGMLLRNGDDKVRHPSPQRGTPDSSSTQGHQYADQIVTINVSGMRFQTYESTLARYPNSLLGDPSKRQNYFVPDTNEFFFDRHRTTFESILYIYQSGGRVKRPEIVPIDVFLREMRFYQMGDELLEEFWIAEGYEKPNEVMMPTNKTQRKIWELMEYPDSSLSARIIAFISIAVIALSIISFCWETVPSDNDEKLVNITTGEMIEEMDEKHYSPFFWIELMCILWFTIELILRFISCPCKVVFATSILNIIDFVAIAPFFVNFFFADTSKSNSSMSFAVLRVLRLVRVFRVFKLSRHSVGLQILGKTFRSSVQEFCLLIFFMAIALVLFASGMYFAEQGEPNSKFTSIPASFWFVLVTMTTVGYGDLVPLSPFGKVVGGMCAMIGVLTLALPVPIIVANFKHFYRQENRLASMKNTSKGEPEDDDIA >CRE05187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:73172:74133:-1 gene:WBGene00080283 transcript:CRE05187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05187 MSQYDEVVLVILCGRKKITRAKTRERDVPSGFGTTTMGGGGATTTAMATCAIDNPSRHAKPVDNSKIKGLSRKTEEDKKEEDGEKKKRDKSIKKSEKEKEKGKSVQKKVESKKESKKESKKESKKESKKESKKDKKDKMDESQKTELSRDLVEEKKEDKNEKQKNAKLDGLTPKQFQMTDKEKAIAAGLKVEKVNKDYQTFNDCKSDWGDDEVPEKKKTEDGDEKKMKTADEEEKKKDLKE >CRE05177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:103446:106027:1 gene:WBGene00080284 transcript:CRE05177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05177 MSGQQFQQHSQQQQPQQQRAPVNNYNNNTKAHNNNSKNNSTTSHSATNSSANVTSGISFEYAEPPGNTNRTTPDSGLGTDDQKQPVTPQQQQSQTNSTPRGPPGFNQNRGPPPHHRLHHQQQQHPNNFHHQNQQQTQFYPQGAPSGGMVYYNVPQQQQQQQGVGGMYPQQDGYDQQQPYGGPGGYDGGQQQRGGAPGYRYQPMEGPPGGYDDPNGNGSFVIHGPNDGFDGRPQYNPQAGPAQMQQGHPPPMAPQQNQQMMNPGGYDIDQFRPPGPGGQGYPPQQAQQGPQSQGSAQFYAGPVIHQGPTGQQGGYNGAQGQYRGPQGSHQGPPGPPPQMVQMMGPPPPQGQQPPMMYNVYPQMFIPMPQPIVVRQQPAVTSSSDTSGKDGGRKNKRNSQNETETDTQSQLDAPPPQQQPQQAGPPQPQGYGEYVQNPYHRQMQPGFGNFQQPPPHQQQVDPQIHQQQQQQMHQMQVGRRSF >CRE05190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig172:108541:109191:-1 gene:WBGene00080285 transcript:CRE05190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05190 MAENIDNDIAVHVISPFSLLDRVHGKDVRTSIPDFRTSDADVGMRSAHFIGSFRGQPIKTRQHHAFIDDNGVPIPLLPYHEHFSAGSAEAHSSLQKSHKALMRERKIYKWAKEIGGIAKKRRRRSGWSG >CRE02769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1721:431:1568:-1 gene:WBGene00080286 transcript:CRE02769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02769 MMTSPFPLLHVPVSFSFCSQKSHSVIKTQRRLPFDGHLLVGESYKNSSFLSFPRFVFGLLRKRNCVLSAHKFVDNINYESMENVKMGGRHVRVEMDHLDGYLMSYWENTTDGLKVITEYVTNLFNIDVSDIWASKQSFHMIEWVNSRQKTPLRRVWYVDRNATSSEEEMIYILKYCRLTSKLSIRLKPPQNFRFTEKFPIIDCLDIIHGEWMTLDNLLTMDGIDIVLETSILTSRDLNVFLKHWLAGGCPRLKLFCARLGSVDMFQVLDGLMRNAVFVENSRYYTSPFGYSRVLSGGYDIQREDGVTATVHYQPPRTLIIAVWPETPYNYN >CRE27986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1724:13:1161:1 gene:WBGene00080287 transcript:CRE27986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27986 DPQSTHDAHQLCLSNTQNAGHSNDVSGANNTKSPETASPVIQSPSPARGSPIGTHSTQSADLNTIFNTLKPFSGENEQYSLFITRFNSLVHTNPSIDTITKQNILISLLEGDAKDLITSDELSEGAYEELRTNLEKVYNRKNDRRKQLIESYRNLPFHQSDYEQMDKDVMKHVCLTNSLQKCQVSINDPFLIDTFVDKLPIRIMRSFIKQTRNSTPSFLEASTLVQTLISENRALDDAEQRKKNRTQLTEICTAEVNKLSISAKSPRNNESRHKQSRPPKPSKWRSAPCTFCQQNHPTNTCQIPAKEKRDSIMKQNLCLNCLRNDHLVSDCQSRFRCNTCNRKHHSVICQENEKLEKLDTLVNCVNTNENLKTFFRNNGVDL >CRE31622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1727:2605:3890:1 gene:WBGene00080288 transcript:CRE31622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31622 MTKFRFPATCGSVAETDRIPISESTSTRENRGEKDPFDEEELRELFTALMREQPMRRIEPSNGKWKLSITETSCDPSFLWDRPEPKGKVSQATSCFVSKDNVNPSKQLHMLQWETRTG >CRE31623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1727:8182:9818:1 gene:WBGene00080289 transcript:CRE31623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31623 MNQHPNQSHQRPNNTGRGGRNNNRNFNSNLHRGELDFGDFRQNNRDSMSRIDENRFRNQGSRDPNYRDYSPQRNSHGARDQGQSDRWCPYDQDNRRSYSPSRNSDWHPNVFENDGGQRSSRIHYGDYDQGQCNSYSPSWHYGNESSVRTYEDDSRRNNNYHSPPRNQVRCRSPDHYHSNYPSGGFGGPDYYDGQRRFENGNQGSYSPDPNPNWQYDERGRSTRNSWSPPQNWCNQQSIRSLSPQGPSTSRPYDDRSRYHSPSPRYQYDNSPYNQKRRQSQSPQKNHRFHSVEPKVPFSGFQQPVAPLQNERRTESPNLKEREQEKPEDVKKEPEEEEEVEEEPIDEEERRELFAALIREEPKRRIERSNGKWKLSITETCCDPSFLWDKSAELHLYQNIC >CRE31621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1727:43:488:1 gene:WBGene00080290 transcript:CRE31621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31621 SKELKPPTNRSSTCSSNQTFLFPANTLSWPTSASNNQARKSVLEAESFREERKSKQKVLDWNKAQEATMCQQQQELETSPRTKSSLSVSNIVCIGQHDWSICPSTAFQQTSPASGHNAHLGQPDATTKKQI >CRE30612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1728:8257:9472:-1 gene:WBGene00080291 transcript:CRE30612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30612 MISYRRQKKFPLLRLPLLAIEEIFKTMHPIEIIHFSMISKRAKAITKNMTFYSKYAIGLGISETMSIAINGTNGLVSCIYLMISNERMDGKVEEYENNGFIQRKVYKYSKDPVEEWKQLSKYVLDIFKRQTIDVLGVALDVFVDQNVSIIDFLKTNVKSVNGCNLNQWEEENDVDEHAAYFLENIKINNELRSDLDTKNVNFDMKIPKNLKELYIKKADWIGYDKLLEIDSAQVSFGTNRISNKELNSFFKKWIAMETHLNLELLAFEFKSLEDLRLFVLHDIPHEVVDEGVKRTLITDRDETEEISGGIDIRRIDGKTATFFVHYTGFSMSVH >CRE30326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1729:1858:4216:-1 gene:WBGene00080292 transcript:CRE30326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30326 MLEDSILDENPEVGNIQLPPIPIELERIHLGGAQDPSVDSSVPRTNGADAEDGNILELDDLEFGDPSDQGSNAGDSVHSSILGESDSQEHEVPANQEIREGPLEFFVFPNGRPNTPSVDSIPFADDNLDGNPTLEAANVVEETANLAEIEALDIVQQLKQLPAILQELKNIASQLKANNTSSGPIDDASNFITKKDLEGFATKQDLESLVSKADFVERLPSADKWTEMVDRLAPLASLDGLSVMMGSILQQLRVLALTQRRLTRCQGKSILLGKKLLADGKVDRVKFLKTSHKITNVYNSLRSYIARKVTALEKESEMRDLGEEQSLQECDPLLPLEQLEHHQTLCPRRRQKKRSSGGGKAIEEKVAPSEALEVEEKFGYNVDRFLDPSAQQRPGRYVVLAKHVTQTSDSDEEPTPSKRQKISTDSNGIGEDSSAKEVGKVPIQKKKKKNAGGKKPKKAKKPIKEIETESVDSVKDQEAANIENPSGSTN >CRE30327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1729:4475:4909:-1 gene:WBGene00080293 transcript:CRE30327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30327 IQHSPPRIEVSRERSNHAYELNGGYSLQKTPYDLGIRTPWSMTPMEEWAAYHVAIFDFLEVRCPRPRYSTSRLSSLRLIRENDLICGSIPSIYSQPDSHGYGDICHIRQVAQKSDAEVSS >CRE06053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:22032:23261:1 gene:WBGene00080294 transcript:CRE06053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06053 MSTSTSPIWKYVGITATGAAALTIFLNYARRQDNKNIIEVERLQMMVDVLGAKIDDVEKLLKAMNEEIRSQRGPRLKTSNSNGALKTVSFKLAQKYSKIDQKNHVFSRFSTKISQNPPPLAPPPPTPQIECQGLEVGHQSLQTLNMEMPKKIGKIAEKATKD >CRE06083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:195699:195911:1 gene:WBGene00080295 transcript:CRE06083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06083 MRKLKKQKTKRNQPVGEDFVDLRQVLPPTVMRTMIEKMSIEEHALLRAVCRYAHDVSLGIWNYIHCVDQI >CRE06062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:75862:76821:1 gene:WBGene00080296 transcript:CRE06062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06062 MLNNKNKEQDLYYIFVIDNFLIALPDLEIHAFKDRRQGGGEAQRNQTFSSLRFNYFKKEEQVSSPSLSLLSQRPFSAVPPKMGTTDSSSSGSIVMLIVILLGIAIPIIVFWVLIVWCSRRKRKRMAAFNKAAANADPVADDVEAPPAADGAPAARSPNAEGGAAPTSKKSEVKSVEKSGKSAKSKEQTQTGGDEKTT >CRE06073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:119876:122159:1 gene:WBGene00080297 transcript:CRE06073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06073 MPPETFDPITVTNSNIRGVPFYMNFEYKFNWVTPMTIIDLLLNTIGTLIFIQIPIFYIKNKQKIKNIGLRLDVFQSFLLMQIWSIGMTIGEFLLLKIPATGILTNYCANNNAQVLLRFTVFFFHWAHYSSLLFTLLFCILRVTILYSNSNKEKEKLFYYLIPPFISFPILASVPHLLTEGLCLQIGELFPFGALIISSRFFEEHIVLSAFGNFLLTASVTFIIIGLNIAMFFKIRKRKMLSVGQSYSSQNQKVARTLTGTMIVMLIPLIVYLFVATAEIIPNDYLSYILYSGASVNDIRVHIVTCYFYFTHPVFKKHGMIRKITVAQKWLESSTIKILRLSSQYSRSASAEMIGKRRVAIRYS >CRE06051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:12327:15514:1 gene:WBGene00080298 transcript:CRE06051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06051 MERRRRRIAEISFQNLKTLKSPIFQNNNNRIPAHIVVYRDGVSDSEMLRVSHDELKSLKSEVNVFLSERHLNEPEPKYTFIVIQKRHKTRIHRKIEEKRPETEDEAKRWDDDMKESENTGYVNPSSGTTVDKTIVSKYKFDFFLASHHGDLGTSRPGHYTVMHDSMGMTKDMIYKMTYELAFLSARCRKPISLPAPVHYAHLSCEKAKELYRSFKEHIIPGLPNKTPSRQVIERYLQTNGDYPGMSFT >CRE06074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:123554:126756:1 gene:WBGene00080299 transcript:CRE06074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06074 MPLETFDPIPVAYQNIQGVPIYMNFEYKSNWVTLITIIDLLLNIIGILIFIRIPIFYFKNKQKIKNIGLRLDVFQSFLLMQIWSIGMTIGEFLLLKIPVTGIFTNYCANNNAQVLLRFKVFFFHWAHYSSLLFTLLFCILRVTILYSNSNKEKEKLFYYLIPPFISFPFLASVPHLLTEGLCLQMVQPYPFGALIISSRFFEEHVALSAICNFLLTAIVTFTIIGMNIAMFWKIRERKKLSVAGQSHSTQNQKVARTLTGTMIVIIIPLIGYLIVATADIIPSDYLSYILYLGAIAHDIRVHIATCYFYFTHPVFKKHGMTRKRAMPPETFDPIAFAYQNIRDVPFYMNFEYKSNWVTLVTIIDLLLNIIGTLIFIRIPIFYFKNKQKIKNIGLRLDVFQSFLVMQILGIGMTIGEFIMFKIPVTGIFTNYCANNNPQVLLRFTVFFFHWAHYSSLLFTLLFCILRVTILYSNYNKEKEKVSNLHAHATQPHRCILNKLKYLEIQLFYYLISPFIIFPFLASLPHLLSEGRCLQMDQQYPFGALIIISKIFDENTVRFENSYYSKMLLQVLCAFGNFLLTAIVTFTIIGLNIAMFFKISERKYLSAAIQSQSSQNQKVARTLTGTMIVILIPLIVYLFVATAEIIPNDYLSYVLYCGAIAHDIRVHIVTCYFYFTHPVFKKHGMINKIDAAQKVTSQSEHF >CRE06097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:145667:147608:-1 gene:WBGene00080300 transcript:CRE06097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06097 MAERLIIHLDFLNKILKKRSLIAIATDKEVSILVEIIANLMKTKYVPLGKVELATLKPKIGLLAQISKCRDVDVARNLLFKLKKSDANCNKAKMIKKYRLIEIDGHNPLDPPRRLLDEILNDKTLDVESKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQMYEAKTPVDEKYYDEGNTEMDNTQKSDSSYEYESDSDMSTTLSPAIPTPEQPSTDTPLSSPVATKPVTRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVAMALKKIISGFKAPPIYVYSDFGKEFYNVHVKNYFDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVEVLPKIVDGINRSVNRSIKMAPVDVKNGDFPFRRRERRVRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEAIDGKFYYHEMTRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSAEFDSWVNESEILDIQQ >CRE06085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:11162:11908:-1 gene:WBGene00080301 transcript:CRE06085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06085 MTSIRFPENFEDWRSVEMTYRRRNMIPTLGSLDGKHVRIRAPPQSGSLFFNYKGFFSFVLLALVDGDGKFVWVDLGKLRKKDVHDFISDFKGSPGSTNDATIYNNSRLKTVLEDGATLPKCTFWDSDIVMPSFIIADGIFPLSKSLMKPFGRRSLTPEESVYNKKLSNARVRVEHTFGMLAKRFRILDRSIECSYECAIEIVTAMCHLHNLLVPPPQSNTIHSVEECDVYPYKDAKEQREYLKFLLNK >CRE06065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:87371:91260:1 gene:WBGene00080302 transcript:CRE06065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06065 MQKFVMTLKFQQDFLKAFENNTVELWYNENKRLLIRISEDLKRVKIPKCEKKKEELCKLICDSFLLLLKSHWDPTVLGLQTKSRTKKFKTRLLELNQTKKWTPFLSKYIYYFDSVLAFMRQQGIVDIRVEGSDRFEHLSFDDAIQNQQQRPDSRHKHQRLVSTGYSNPTPVSYSNQTPVSYSNPAPVSDSNPVPVSSNQKVRTTKINDRKAEKEYKKQLEEIRKGPEPKVQIRSDSDRRGKKGPEKVKRVESQTSLSSNFSLARKEADIAFRKSLDAQNQAFAEELRIKREKREQMNREAEEDMRQFRKESALRIQMFLNCIKLRIRWEEQEQEWGDWLKAVRSPVVKVKKTFLNFDHNRKFNDKEDNKVSFQIFRAHLHSSNFQTELLYLQKCVQTAYDKLIHEFDKLTLLSDRYDDKLFLKIIQSSISKMATKLCGLIEALDGFENTDSFFNKLSILERKIDSMDIPTTSKIRLICETAKPEDYKYVEKPKAPKSHCVITEIY >CRE06069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:107316:109865:1 gene:WBGene00080303 transcript:CRE06069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06069 MNLEKEHHSKEEEMFRAMPPDTVDPISVAYQDIRGVPFYMNFEYKLNWVTLMTIIDLLLNFIGTLIFIQVPIFYIKNKQKIKNIGLRLDVFQSFLLMQIWSIWMLIGEFSMFKIPFTGILTNYCANNNPQVILRFIVFFFHWAHYSAQLFTLLFCVLRVAILYSNSNKEKEKLFYYLIPPFIIFPFLASLPHLLSEGLCLQMEQPYPFGAILIISKFFEDNLVKLGIFYSNRMICYRHCVHLRMPPDTFPKFIENGTSRLFGNKQ >CRE06095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:134318:136080:-1 gene:WBGene00080304 transcript:CRE06095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06095 MIDLYSNTYADLTRSKKEYAGGSQKPHTDDFFTLLTTAQQREMPPETFDPIAFAFKNIRDVSFYINFEYKPNWVTPFVISDLLLQIVGILIFIQIPIFYLKNKQKIKKIGLRLDIFQAFILMQIWSIFMVIGAFLIFRIPHTGIITNYCANNNPQVLLRFSVFYFLWTFYSSELFILLFCALRVAILYSNSTKEREKIMYYLIPPFIIFPFLTSVPNLLAEGLCQQMPQPFPIGAILIASRFHTDHKTEFAFASFAFTAIGTFTIIGLNFAMFWKICKRKKLSGADQSQSIQNQKVSRTLTGTMIIMLVPLVIHQLGATVQLFPNSYLPIIIFFSSLVSDMRVPIVTCYFYFTHPVFKKHRMMKTTVVSPIVTIN >CRE06087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:61924:62980:-1 gene:WBGene00080305 transcript:CRE06087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06087 MTTTPIPSSTTDASSTDGSTISSVIVNVTSSLLSSVLVTNSSGSTPPLTTLNFTGNSTPPKPTRPTLPSTTTMLPISTLNYTENDDIISFIVFVNLVLTCCLMIAVAYNRQVFCFAKPKNGRKKKKKSKKKKKGKHNAEPWDDEDDDDEDDEDFEEI >CRE06071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:114620:116524:1 gene:WBGene00080306 transcript:CRE06071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06071 MPSDTFDPIPLAYQNIRSVPFYMNFEYKLNWVTFITIIDLLLNIIGILIFIQIPIFYFKNKQKIKNIGLRLDVFQSFLLMQIWNISMLIGEFLMFKIPFTGVFTNYCANNNPQVLLRFTVFFFHWAHYSAQLFTLLFCSLRVAILYSNSNREKEKMKPVKRRLLMNGIEKSCLINCTNGKNCKDHLMWEQLKTVRLQNRNNGVSTNEADPRENLSKFASMKNPPSTSTVASSSSSTVAHKN >CRE06066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig173:91495:99179:1 gene:WBGene00080307 transcript:CRE06066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06066 MGKTLSVPVRTETSSSTFSEFKEKLDEITKDLSTVAGASTTEKVSEDEDVKKFEEALEGFRSDMLYNVRHDVLRIKIGLSLALDERYKSLLNREWLETLHKAFEELNASYPFWDEDTVEADDSQGSSSMSDVDVPKLEESKRLNMGSTTSSETNPCFTDDCFLRVPGHDEEREKFDKRLFEAREVKGDHNIKVFKEDIRTLETVMIMCLFKLSQYSLDYKLLVKDLKNEDKTMNEWLGLIRNTVFRNFNVMKQSKISFAIRKAEGVTVDGCYDTWSLSFKRLRPTVKTEKISGKRNTQTGSEEQRVLETYDFEEVLQESSEEIRTTFDLRLSIRRQMDEKIQERRRRKLDRIMIEEDFFEMNRTHPQERAEIEVVKSAEYSNGIPINQKTASVDNSQSSSRKVKNGNNVSIPQSFESDEPTSSNSHRPERGGVGFQQDEVEDTSSNATRITEPDFTDDCFLLVPDLSDEREHFYLKLIENKKVNNDRKIEQFSSSTQYAKYSNGKPENQETASEKKENSDTDDFEKLLMQKMQENSGLDEKIRELNAQIEQQKLKMEEDKKNADQKIEAEARQFEERRKRIEIKIKNGNNVDIPQSSESDQPTSSNTDRPGKISVGFQQDKMEDTDRPSTSQDSKIYKDIEDPKEYEPKSNVKIEEMAESFEITGGSSVSTVNKEEPEELDEKFKKNDREFREETSGNLNNIMGMGSMNSIDWTKPDFTDDCFFQVPGCDKEREVFYQLLYQEKKLNNQNKVIGYQEQTRTIETVMFFCLYKLSNRSFEYNVLTKQVENENVVSDEKLQQLYKHLLATIFCNFAIQKRLKISMEIRKTTDRMKNEIYDCYNLQYKKSRSFQENKATEEEKTPPTGNKNSYVYVTVKQKTIVPDNQVEETSNNSQEDQLEESNENATIGDNSPHETTDKSTTPYTNKKEESKDSEADDFEKLLLREIQEDNVEDEKIRELNEKIELQKVKMEEDKKNADQKIEAEEKEFEEKIKKTEKKFREAEKQKQKLNDEDMKKRRKDREEYERETEKIRQEHMKELHNFVAGFCKCIELKMKWEMKEDEWADWLKKLRSLIARARNQFLSFETSIQSYGVEDKESRCWVEEDLQKLRQEVLSTHVELYKAYFIIKDLSTQHTGHIFLFILQKQLSKICGLLLAVLKGIDETKIEEEKCLENLRILFSQFDSSDIYYTSELKKLDREQDSEKYKDIEDPKEYVPKTNVNIEEMTESSEPAESSPGNLWSCSADNGYCLVENGRKSENQGESKVDQSGVVVESFGSSTMSDAEVPELEESVGNSITMVGNKDPKELDEKLKRMEGEFRIDMEQKQAAQKEKINQSRREREEMEREISDFKLNEEMEKGLC >CRE06244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1733:4479:4700:-1 gene:WBGene00080308 transcript:CRE06244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06244 MAALWIHDLRNPKSVANPETEMGHPLELMMEGANHGGLWRVAYLARTALPFAAIYGYASDKLPMQKLLTKFKK >CRE06243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1733:3421:4224:-1 gene:WBGene00080309 transcript:CRE06243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06243 MREQQMSNLQGKVSREIRGHIFLIGLDRADKRNAFDSHMIHDLSLALTEYENNPELRCAVVFAHGDHFTAGLDLVELQPKLADGVFNFSDDIVNPWGTVGRQRTKPLVTAVQGYCYTAGIELMLNSDIVVANENTQFTQIEVQRGILPFGGATVRFVQAAGWAKAMKYVLTGDLFYAQTAYEMNLVTEVVEDNQQLTRAIELAERIANAAPLAVQAALHSAHQAFLQSPEVAFANLQNLLSPLLASEDAQEGVRAMIERRAPQFKGK >CRE06987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:125198:125398:1 gene:WBGene00080310 transcript:CRE06987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06987 MHVWRGRHEHPSSTCDKPVDVCFNTHGHGKCQMAGCNNLSMIHCVYCSKNICFEEFIVNCHRCVPH >CRE06984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:100096:102354:1 gene:WBGene00080311 transcript:CRE06984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-6 description:CRE-HLH-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NB13] MTSSNFLSMFPVTYTFENGVYSTIINQNPNVQNGGGGLNNLENDVQKLMVPLIEPQLHLQQPSSLIQPQLQIQHQHQLPTPSSSISQTNSSNSTKKYVNPFAPEATVPLPIELEDQFGPYSSSVWKRNERERCRVKNVNDGYERLRKHLPIHFDEKRISKVDTLRLAIRYIRHLDNLLKNHLHQYNCKCFNGFQEESEGNISIDISTFSFNSAHNNNMM >CRE06998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:78050:78778:-1 gene:WBGene00080312 transcript:CRE06998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06998 MQMWHPKNGDTDYLSMQVLNVKPNEIVARITMRLQIGIDENATTHEWNMKVATKFNEHADNKWYITRVEVLCHPDIRLMDEHYLVYRDMVGATFMSYIKDANEWYTAVDFGKHFKKGVVELKSCETTVKNVVFDSGFNEFDSGFWDKMDNFYYIENISFACPAWMKEETPWISRLFKPGTYDFVRHGK >CRE06978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:74191:75423:1 gene:WBGene00080313 transcript:CRE06978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06978 MKLIRKYHIVPYEDGSAVESAKRFLETILNDPTLETSEKCRFYQDLLYRIRQHRELPIMTDEVFDDLRDTYSQQNSNNEASAGAVAVPTLKRELAVVVPKIEKQEPEEPMDEDDDDLKELPAVFKRVKTESADNAYQQQQPTARRLSRKRLHVDVDDVEDNDRFETTMKQRRVAAATLELPSPPVRTSRKRKILDNVKKQKLNIVQPTRPSPPPLLPPPPPTNQRRPPKRRHPILHSKIPEKRRKFVELFDPTGGKLPVWRFRKDYRFAPYQTERPKKEWTGRRHVAKLKNGKLAAAVKREKIKKREDEEEEKLVKPKVELVQEMWPGSDDDDDDDDDKMIDPVLKKEKREESDSEDDVKPDASWRRGTKRPHVGDDDEFWPERKKRRTIRGAGPAAPAGGRIYCRLWKF >CRE06982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:89642:90645:1 gene:WBGene00080314 transcript:CRE06982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06982 MLRKLKTSALAISQIPPNCYENGQHNATRFCTTCRAPFCESCFSVVHCSKILSGHESVPVAVSQVTIAPPECQIHPDKHVKYVCKDETCQNESKLICEECTQHRGHIMGYYVSVANQIREKLQKTVALLQETEANLLQKMSNAQNCKRSFDKCEMKYLEKVSDIIEHFETQKDFAIQKFADFADYEAHKMDLEMISIEFDAKRMVRMRQELQNVLSSNVGLLEVEDLISKAERMCQLEKERKENFYSLSDYNVQNDMSSTPKLLRKISVDQSAEAIEMMMLGLGNI >CRE06990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:46590:48760:-1 gene:WBGene00080315 transcript:CRE06990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06990 MSIEYLCNSQTGRADPSDLFFNSNEPSTKNFTIEWRDNSKRKICLITTLPTWLTMESPKGLTKSPYRFEVRYDPIQANESTCVQLVFELEARYGQKMTVSINLNFWQGMPREAQCCNCATLKVARNTLSKFKTLCGHTLCQRCLKMKLKSTRIKKKMEKEKETFFKYNKCPECQRNIYERIPVQLKPTPLLHERQQPRENMQVMNENQVLPAEYPCTTCKHESDVYCFECKENFCNSCSIHWHKGEYSGHRFTNVKPLEVPSQPPSLHQQPEPDIYVEDFRRAPSRLTSRISCEPQNRSPKTTKDNQTRLGQNLGNLQQMATRLKEAGKFLKTKVVKMPGIRSSFNMENKAYKTMKETILTKFRGQPQLRNLKLEELNKFLKDKEKTCQDALLSLEKDRVKIDDLRKWIDLIRKREKDVLIDMEDVTNQVERACRLVHRWNIAFHPLNDYHIPKDVNELSPMIKPSRKRPHG >CRE06995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:68337:68738:-1 gene:WBGene00080316 transcript:CRE06995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06995 MDPPSSSSLSYYPSNFQEDGTFEGNFEFADRYLIPQGKFRNNIQFVVVFDDSEPVKPTKPKTGKQPSLLVAKHKAKQDRPSSAADKARFRESRTFVHVPICESCRDASTLEKSVLRIPLCDSCRLRLLSKCSK >CRE06977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:73143:73820:1 gene:WBGene00080317 transcript:CRE06977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06977 MSVSVSSQPNVATKPAGFRFRFPSQTTIIGATQSGKTTLLRGVLGALDTCFDVPIDNIFWFYGCDTPSIPRHLSKLRAIEGLPDVELLKQHKDQNNVVVCDDLMNFFARDKKALNLLNDLFCVYAHHLNCAIFNLVQSAFALPPLTRNNSTYIILMRNLSDAAQVRNLLVQQFGEKWRGAYAAYQQVMASAPYQALLINNDPLSEPRMRILSNFLSPYPIAYVPV >CRE06981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig174:86659:89034:1 gene:WBGene00080318 transcript:CRE06981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06981 MASPRIIVSTILLQFYRDKVSTKAIDVTVTVSSLHVKVRHNLPSCFTVDFSSGQSVAPKKVLITFNPSIAFEMPQEHLQIQFIDYFDYLSTGKLNYVTNSVTVFINILEPQTNPNKINHLLSEQLECRICLREFSEDDENLTPRILTRCGHTLCFKCCSSLPGLWGTACPFDTMITPYMIAELPNSVN >CRE17706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1743:3616:3990:1 gene:WBGene00080319 transcript:CRE17706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17706 MTSPKDDIFSARPLIAAEKLLRNVERTVKQRGVDTKNIRNNGRNSVRRRRHRLPEHQHLEHQLQNSQEHHLKVEDKQVLDQQQEVNQSLLLLLEKYLRLLFRVKYIEKRKLRDVQTWGHVNPIL >CRE20145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1748:4815:5213:1 gene:WBGene00080320 transcript:CRE20145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20145 MAPFQTMAQRVGRLKTLITNTLTYATNVVNYVEQWETDRVAECRKAGEEGKTPANPSLEVTTDALNTLISVEVQLDGLPQVLQFRAAKLVEEAKEAEEDWEELESLCKEALLEREHQRQRRIVAEVRKFPFF >CRE20144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1748:102:827:1 gene:WBGene00080321 transcript:CRE20144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20144 MNREKNHEESRNRNHFNDQESNRDNRNFVHQQRVNNQPRYAEQKNEISEKNLARHVANIKPFTGIISEYASFRNIMAEYLESETVSLVVRRDTLMQKISGEAAVQKSILDDPGKAIDITMKNLDRTYKRKGSTTIRNQFEKVIVTDENIDAFIKSLALSKSLHDKILEEEPHCFGHHSIKALLGRMPDAVRRMCNRMLRDETLSTEKIYEKAEEHLDNQIEDAEITGKSTNQTRVSRDQQH >CRE07803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:107795:110345:-1 gene:WBGene00080322 transcript:CRE07803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07803 MASFLKKLVEHRSKSPQKKNSHPPDNKQSSRQNFNPYAPYAPVCYLPLPPSPTPNYHTHQTKHSSSRSNESFGIHSWNTEPREIDDVFYQQKSSPILPSFEAPIPGPLMRARSHSPRKMKRESNDERKFKSKSKSPQKKPVTVISQLDYLNSQCHLEGCRADVVVNSTRFMLCRHQLCHASVWFKDLLNGSKESNKDYHVNVSNLSDPSPSTQFRWFVESCIPCPALKDISDETLETCMRLSQRFQAKGLELRCMKYLIENVHQRQPIVALCWLNWALKHNFDTQTHAALLPSVSRLSLNALQRHRHMITEHIYSDIITAKLRATYDKTVQVFLAIHKIDHFSADLDTCPRCKRTKDNGMKIKVHCDPCRKQVGCDRCYQEGCEISSRAGEDLQAFFKCPHGMTPINDTTDDCQCQIPYMAQYLGNQPTMRFQENNDEYMVPTDQLLRNERKNKKKYREN >CRE07787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:18743:19764:-1 gene:WBGene00080323 transcript:CRE07787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-19 description:CRE-SPE-19 protein [Source:UniProtKB/TrEMBL;Acc:E3NB55] MESYTHCFHSPNNFTFLSLPSGWMCCCSSNKCNWNNPLFFQFFERYILDTSTLMNRIKPIVRYWFCIFFCVLVTASCTFLEILCIRTFGKQKKNSCEEMERTMREEREKQKLLETGSKSPVDPFKSPMPPGSSLQVQPTQSSPLSKPNSEMTIRPDSSVHVDKTQESDPLLETPPKRSELEVASTQRGEDEEGVVPIPQKPACKVTKTGRVQKTSMNYEESTYSDLQD >CRE07775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:20938:21291:1 gene:WBGene00080324 transcript:CRE07775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07775 MEKEMAEEKERKRQQRIEAKELKNARKAAQKAKEEGETKAWMEASKTFNDKYIPPLPKPRKEEEVPLMFKKKVEKEAEKKRKEMEMANQAPSTSESRTEHRRGDSFYKPSESFRIST >CRE07797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig175:79661:80433:-1 gene:WBGene00080325 transcript:CRE07797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07797 MQALWPYNSNTNTGNLPNYRHITWDRYYVNEHYDKHVNVSSGVAAGVTIGIFFIVFVLTFGCRIYSQYVDRGQGSNSNPQNGETIMSESIASDMWICGRPSEPPPPYEIAIFMPTTSPCSSVVNV >CRE21691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1752:9488:10207:1 gene:WBGene00080326 transcript:CRE21691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21691 MREKHLLQPLLLFVLSTTISTQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTEFIHKFSTDGSRKPASVSGAHDGNANIGGTGSGDSQRGFEGVVLNVESETLYQFQKCFNMNTIFHNHCLGYNHLSYFQTIPYHGEEYPWIRMAD >CRE21689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1752:928:5347:1 gene:WBGene00080327 transcript:CRE21689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21689 MREKHLLQPLLLFLLSTTVSTQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTESFIIVNPDSTITSFEKPDQPAPRTRVDATWTPNSNSNLNLNPGSNSGDARVGGASRSSLETTTPTPEVPTTTLPTTSSGFPTLIPFSSESWSTVTQAPLAPSHESRQELPPTPSRIPSPTGQNSGFTGSFGEQLPVRIPAPTPIPFNPSPRRDGHRRRTTPDPRIIRPPESLVVIGDYDEDEPPSENAVVDSPQPLASSQPSFFSQTPPPPPPAPSVISNSGDVFARSRKEISATPDFEQQSGTAATLRDSSVPSSLPSSFNHLRRFSSRSSSPSFAHSRAHHRLICRLTTPGRLLCNRPMPRLHRSRYVTPPKYWKTSEVRMKNPSGMRIRKKNFAMTRIHITPFMGELARKRRKLDSGSSHEWKVSIIPRGQHNKPTGVNSIPPFTIDGKADERFNKFYRTIDSVINKLDLTNSGDQNAREKEPQIRLEGSTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCRHRGIVQKTGLQCEQFCRPTQGLSALGVQHSVKFINCSIRKLYVEMQLVTCSIAIILVFAFKFPAFYLNP >CRE20646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1754:3512:4325:-1 gene:WBGene00080328 transcript:CRE20646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20646 MSRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKTLVSLVNWNQPDIHANFYENSKLCLKFPNDPGLQWILDFRVELDDELDHNTRVIDGNQFPSYIDSALHGPKAFHYLTFPNDDNFETMRKMAEHISVVFRTPIASLSTHRLNDQLTMSIVKWLSTLQSSVVDLNIDTTDDITATTLLFILDNIKVSDHFDLDLKMNTPDFEYHKGIDIPSVILSHTHWITLKSILNSSYRVLVLDESNSTLHVVNTL >CRE20648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1754:7056:8253:-1 gene:WBGene00080329 transcript:CRE20648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20648 MSRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKALVSLVNWNQPDIHLNFSEDSKLCLKFPNDPGLEWILDFESELYDELYTTRAIDGNQFPSYIDSVLHGPKAFHHLVFPNDEHFETMRKMAEHVSAIFRTPIASFEINQQSDPSTMSIVKWLSTLQPSVVDLDVTIDDITAPTLLCILDNIKVTDHFSLDSKVISSEFEYHKAIDIPSVILSHSQWITLKSILNSSYRVLVLEESNSTFWDINSFLMHWLNGSNPQLEYISIRRSMKGKAIEEDIEEAFQIITKDLEVREHEENEKRPMRISISLHRPSSYSPPNDWCYDIVRNDGTIGTFHQTYFHRSDVPDFKFHYFYFHVWNKNI >CRE20647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1754:5347:6662:-1 gene:WBGene00080330 transcript:CRE20647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20647 MPRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKTLVSLVNWNQPDIHLNFIEDSQICLKFPNDPGLEWILDFESEFDDELNHTLEHRWKSNCKMGVCTLQPSVVDVHIKIDYITAPTLLFILDNIKMTDNFSLNLEVNTPDFEYNQAIDIPTLILSHSHWITLKSILNSSSRVLILDESNLNLHDINTLLKCWLNGSNPQLEYISIRRSIKKMEENVEEAFQIITKDLEVRKHEEDGRKPMKIVLHKKATYQLSNVVCYDIVRDDGTIGTFHQTYFNRSDVPDFKLHYFNFHVWNKKI >CRE23260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1758:2126:6193:-1 gene:WBGene00080331 transcript:CRE23260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23260 MMHHPPSQFGPKVFPPHPSPLPLIHLNYLFQNTAEPDPSHYPPQHSSHNQNHPDSYDSYEEPNTFSSKGNVAALRNVIHGQLDMKTPTGNSSRYQKPAPPPVDPTPSWAKNVKVYEPNGYVDPHLNKHNMGRVLDGPVNPSKFFQGVPPPSYSLVKSDSKPPAPPSKPIQQTQAQALQAQVLQNENPNYSSSKPPSDPYRPPSQKTSYRIPYDLAMDPRHHTGEFDIDDSASIISSSCTFGESSEIAAFSAAAEQRHLYEQYRKKLMEEKNELKEGSETPCVSLSEKIPENTGNPEIPVSRSLFDTELTPFGHVAKPAHRPEDLPIPTNQSTNNPFATPSPKPFNYSPSERELKRSVDLESSQLLVKPKSPAPYSTSSSDHFGTIRRKHKPVAIDLSEVPKSSPKDKSPKFFGNNFEEKSNNRSPRTPSYKDLSGKNERLKFGGYFGGKKQKI >CRE08541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:21892:22824:-1 gene:WBGene00080332 transcript:CRE08541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08541 MIDNIELVDGELIINEKLGIQVCDAFFSKVLHNKFGGLFCNMFVKNELDKIIKGIVADGVDTRIGEHICRAIHFCE >CRE08532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:45733:49014:1 gene:WBGene00080333 transcript:CRE08532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08532 MHSTLVYSSMGQLMNLSTDPKTGESNAVALLLCRGTGECDLNFTDPKVVDHWRNVAICDRHVKELLTKWDDSLSFRDSHIYRLQKQGKKEKACSMPDEIGIKHLHARPGPYNLETLNIDESDVINKHLGYLVHPGIPICQTHKKFVADLKINMTKTDRKRVRIQSGFFANADDETCSRDTSFVGPSPKKQRTQGEYALRSFANFLNIDRICFPNKKFEELGELSKQKKVCTARKMIDGMLDVISGGDSALLKKKILTKLYDDESWTTGSSKNFDLIMKQVAAQFYVAHDRRNKRIILSIVANVVPYSTVEKYIPSLSRYMYHRARLYARQYKENNSPASKDVREKYNRIAVQFFVDFITSPTVMIGLPYGVKKVKMTNGTKQEIPNTIRQQSSTEIYEMYKSLLKNTNQTELMLSRSSVFRILDVCVATDRRATTCVDYFTANGMEVLIICKVANAMDSIIQGFDGLHEILDKWIEEKLFDQDSLQQLKTGLFEAAQYLRTDYRLHVKKCSRVADHCATLALSDPMDKSMASSCSTGNYTHKYDLKCERCEQVNNTLNEIESLATQLTSSASLTNSESLERRKGITSEIHLELFVISGVYHCAATINSLHWLMEMSGVSISTYTFSEAQNGKKNYVAKDGNIMTSEHFFSALTQGRLLNGMSIYHGYVTVNGNVTSKWPGISNLNHFTVEKNGIRGRRYGSIGSGQLLKKESLNPMNGTYHFEEAGFTPSKIESNATERTNVMSSLQTKFWYHSKCSPIRSNKTKVNSSSECIFEDDNTTPEIEDSDIETPSDIIGKLYSCPEVGCSASFLRMGNLEAHVLRGKHKLSPVKLTLRDAAFNFYSRNIEEVGKTRTCPMVGEALEELKETSNTTFSKMGWALPRKQTRKAFEPHVKQFLVDCFEEGLTGKRLDPRTVEKRMRTQRKADGTLMFTVEERKDYRQIAGFFSREADKRRVPPPKHRHRRHKASTNKEDDILEEEHDDAGWIRFLKDESFWTESDEFFYAIQENEKSIFQ >CRE08537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:99924:100367:1 gene:WBGene00080334 transcript:CRE08537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08537 MDVEDIFQHLKESGCIRELVAELREQRESDRRAARRAVRKERQEIKRRIRSQKKKWEMVQEELQIARKRKMRSQRKTRKLYRRKMKKAKDRHWLKFRELKYKFVFCTRVIMRPPILCVSPDINRVRSLLVTFETSFKARNKKRKYSK >CRE08533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig176:70193:71791:1 gene:WBGene00080335 transcript:CRE08533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08533 MNRARGEAPSCGARREAGDASQKRIKNTLSEWSVEKFLDMRKDLLDRQRDLEKSNMEMVEKFEKLSNELQSKMDKIVEALHPEASSEVVEKIRLCDDKIEKVSKEHQEKFDSIQSKLNQIEKTLKPEVWSEIVEKIKLSDKKIEKLSKEHEEKFNSIQSKVNEIDKTLKSGVSSEIVENIDSATTGKYFVLKHTINNVSSVFKDKMSCSFSEREEHFGVLWQINVERENGFLNLYFWNSLLCNTEKKWEIEVEYELKIVSPNSREKKEKSGEKRCSVFKSHGNYYKSGFPKFMEWDELEKDFVVDNCFCVEIAVKVKKMTGIYKENMRRFDNSMEQYSDVILIVNDQKFYVSKLVSSEYILATHSPYFQSLFMGKNNEANKSEIQLSGIDADDFQKYLEVLYGKQAIDEFTVEGILMVADKYATRVVIEKCEYFLQYESKKKLKKKLQLSTRYKLAALMKQCMEEIKGQADSRS >CRE15145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1762:4237:4512:-1 gene:WBGene00080337 transcript:CRE15145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15145 MVARPTRFIGVEVYSLVHRNFILPAPAIRLVPDNRRTPMVIRHQVSFGGGTTSVRRRSFAEVIIGASRPQFRAFSGDAKRTGDPXXXXVTH >CRE18359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1763:4298:5086:1 gene:WBGene00080338 transcript:CRE18359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18359 MALSSFGKILTVLDLFSVSRPVINVDVICEELGLSKPTSYRYLKELVSADILQRLNGTSGDYTLGPKIAVMDYISRTTDPLVQISKPFMQEISERTELCCLLTHLNDDYCIDVHHEVYRDETLLSYGRGCPRPVFMGSSPKVIMAHLSKQKIHEYYLRFATQLTEVGFAENEETFVNKMKKIKKQGYYFSQGELDPNVSGLSIPVKFSSKEAPLALTVLASKNRFEYVNTPKLIETLQESAAQIEKRYQALSANDQLSEFHP >CRE18362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1763:658:1407:-1 gene:WBGene00080339 transcript:CRE18362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18362 MNTFDIRKAIRPISLGIVVIIAIFCALHIWNYYNAEPWTRDGRVRGDVIQVSSDIAGLVTEVMVQDNQTVKKGQVLFKIDLARQTLDVEQAKSDLAKAQSGLAEAEAGLAEAKANIVKSKANINLADKNARRYADLMNGAISKQEQDQMFATRDQSHAEHQQLQAAVQQAEANIKQQKALIEAATSSVHLAQLNMQRSEVIAPADGTLSILICAQEIMSKLGKLLPLYLIVNSSMLWDILKKPNSIKYI >CRE26906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1765:659:1798:-1 gene:WBGene00080340 transcript:CRE26906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26906 MTSPFPLFHIPYVPLGRIIDFMKPKTLVSLSFCSQKSHSVIKTQRKAPFDGHLLVGESDKNSTFLSFTNFAFGMVPKSNQVLSALKFVDNINYEDMESVKMGGQHVRVEMDHSDGYLISYWENTTEGSKVITDYVTNLFNIDVSDIWASKQSFHIIQHVISRQKTPLRYVSYADSSASSSSEKEMAYILKYCRPMSKSSMHIKPPQNFRFTEKFPKIDCLDINDGKWVSLDNLLTMDGIDIILQSSTLTSSDVNVFLKHWLSGGCPRLKFFCAEIGSLDIFQVLADLLHNVVFVENSRTYTSPFGYRRTLTSGFDIRRADGVTATVCHQQTGKLVIAVWPEISNNDN >CRE26904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1765:2012:3051:1 gene:WBGene00080341 transcript:CRE26904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26904 MNCEFLHFIFLNKPYSIPEYRCLSALRKATLSSKLYEKRHVTNIYLLGDPRRMNHLMTYWGDKLTGLKAITDYVIDLFNIDVSEVCISKYSFKMIEWVNSRQTTPLKKIVYMAMAWSPCSSEDEMNYILRDCRCSSEILIYSEAPPNFRFLNNFRRIDCLDISNSKWVTIDNLLSMDGIDIILGSSTLTSSDMNVFLKHWLSGGCPRLKLFLARIDTVDVSQLLDGLEHNAVLVVDRRDYTSPFGYRRTLPSGVDIRRADGVTATVCYQQTGKLVIAVWPETTYNYN >CRE26013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1766:5876:6685:1 gene:WBGene00080342 transcript:CRE26013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26013 MFRVSKEEDHELLKRCFNNRLDGQSALNALRKKYPEAAEEQDKLENLASNQTLSSSSSGETSEKSSLKRQVSDDSSETSGSKKSREDTPEDQVLSGGDSSQEGPSVSNPEAREETPDSEEELLNDFEDETFFDDEIRKMKESSKHYTEEEIWKDSRRGVFLRFEKWALEEIKAQKDTILIPRLMFLRKLSPDCRELVENIKLTIEKIKLTIGRNTACIETYINGDKKEIKYRGTPES >CRE31624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1767:7:549:1 gene:WBGene00080343 transcript:CRE31624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31624 GKENKILFFRFSKSIESFISPPTYIINSPFPPQMDFDEIGEEGASLYETLTLEQKNACDDSLAALDDPFLPRLFYLDGCGGSGKTYLYNVIWKILMGRRIKMSCSAWTGIASTLLPDGRTIASIYKIGINKDCRASLLKLNNKEAAALRETSVFVENEASMISRETSGDNGSSIERCDGE >CRE31625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1767:656:772:1 gene:WBGene00080344 transcript:CRE31625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31625 MSSLWSQFKLIRLTAYMRDMRVTSGDMSVDREWIEFLL >CRE30613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1768:4057:4455:1 gene:WBGene00080345 transcript:CRE30613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30613 MAQEYIKELLAEASVSVRTAYSEIKSLTSQPSFYYIPKRQRYLMVNKIVTDMSATGQTYCRTANVDTPPYSLGNLFGNMLANGAVLSK >CRE12269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:35471:35984:1 gene:WBGene00080346 transcript:CRE12269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12269 MPFSIYNCPLLVQIELFKHFEFQETFLLSLCSENMKQLVQRIRFRPKKVHYSREENELKVSVGFTDSGEMRQAVRMVRAFYIPSEKRNPSKLGGEDIDCRFIKTAPDSEFSVILQYIEDEDGDVLKLLRKHLESLFRNKPVIHWDLSGIIKL >CRE12265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:1:249:1 gene:WBGene00080347 transcript:CRE12265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12265 LKSQLILLYKFICGAAYLPNIQSYVRLSNSARRPMTLICVRPDIKEFFSNSIPLWNSVTCNTHKFLSPGEFVSLLNHSINRL >CRE12271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:57697:58029:1 gene:WBGene00080348 transcript:CRE12271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12271 MENTPSPSSSARSSPSTTSTSSTPSPTLTTPAAPKRFAKKRDILQAFADLLDSPDEKRRRMENKKADRAKEAARKLAVPSISQPKDESKRPKRNSMDPLTHFNYVMSKKL >CRE12280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:32494:32820:-1 gene:WBGene00080349 transcript:CRE12280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12280 MENTPSSSATSSPSTTSMSSTPSSTMTTPAAPKRFAKKRDILQAFADLLDSPDEKRQRMENRRADRAKEAVRKLAVPSISQPKDESKRPKRNSMDQLTHFNYVMRKKL >CRE12278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:19091:21011:-1 gene:WBGene00080350 transcript:CRE12278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12278 MRIPILFFAFLGLVQSSHQNTTINETVILQKAELILEKMDKALESHDFKEFLAMHDENFHFNFCQVNGSSVKDLERVLKTDPNMARTVTSKHTVQTSISKSPVRNVADNKWEFEYEEHLLLKDKQVVRTEGTISFLDLEGTRVKIVSAEEKCPEKVFD >CRE12273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:62406:62921:1 gene:WBGene00080351 transcript:CRE12273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12273 MPFPIYNCPLLVRIELFKHFEFQETFMLSLCSENMKQLVQRIRFRPKKVQYSREDNELKVSVRFTDSGYMTQAVRMVRAFYIPSEKRNPSKLAGEDIDCRFIKTAPDSEFSVILQYIEDDEGDVLKLLQNHLESLFRNKPQIKWDNFSAIKLC >CRE12267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:21216:22464:1 gene:WBGene00080352 transcript:CRE12267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12267 MRIVAFSLVAFLALISSSDGAKIATKILEEMDKSLESKDHEEFLKMHADDFHFTFCDSVGKSREDLKKILEKDPNMSSAVKSKHFQKGPLVREDNVWQFKYDEYLLLKNNELYRTEGIINFLPGPKIQSAKEKCPVKVF >CRE12266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig177:2011:7070:1 gene:WBGene00080353 transcript:CRE12266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12266 MSKKEKNRHYVQEIDILKRSKIIKEIREIWEKGKDVVTDELWKWAQTEIQFDKCHTIFLHVLHRLGFVYKKKGENTIVFERKDIIQKRAVYPKNKKEWDDKNAYYGSFDETWNTRDVFWRLLRREMILIEMANQYRSAPILPKPTNSEALEWVKQKDGDDLRKYFNDDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKRVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRSYSTSDSSSDGFGLKKVSPDSILLRSSSMDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDKSVTRFLFLPQDSSSDGFGLDNSVTPKFELQTKHGMYSWSDDNFTKKGFYRRGFIARLRFPFYLF >CRE04456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1771:2027:3378:-1 gene:WBGene00080354 transcript:CRE04456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04456 MDESSNPNRAEPLENASFQSSDSSKSKRPAERTISISPVTIPHRIVTSRGSELTRFRHHHSYRWIHQCEPRKPHYLKDSEGRSSSGQRAAKDTTSETEDARRIPIDSKGFDATIDRPAAASTLHPLPNQPISWHKGKILAENQTKETKIRFSRNEPKLYEQSTTGHCELMDKLMAPEAKTSQVPTPVTHDPRLHCSFHSNDSSRIQTPGTAPPLRRAHFTRSNIDPRLNRCPSSTDG >CRE07169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1774:10:802:-1 gene:WBGene00080355 transcript:CRE07169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07169 MKLIRKYHIVPYENGSAVESAKRFLENVLNDNTLDPSEKCRFYQDLLYRIRNHRDMPIVNEEMVSIVQDNLIHHGGPPIPPPQNKLLHNTPPPQKKVLRNPTVLPTIREEEEDDTQKKEEEKRDYEFGNYEQHELTPKLKKSLMKERFVPFENPNTPQIPVHPLPPSPQFALRYNGGPMLNYRGHPELQFHPYLSRKPKPLPRLKRLKKKKITKKKAAPKRKYKVADDEEPDFDPLEKKFRHTIEKKKAVRKIKHGRKVRHKVR >CRE07170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1774:1143:3269:-1 gene:WBGene00080356 transcript:CRE07170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07170 MFLLFVPVLVSGVSILTKTDPAIQYNQLNMPLETNLYTNLQGFNGEGEPEMKTFFKFDDSIVDEDTRVYVANRECVFDIIAPGDMLMQCRGRLHRIRDQSVQVLDSFSEHFTFDHVLKHVYVYRHGKILRLQPQLANKTVAVWCANNVRDFNVVSGLLTVLFNNGTIAHNNTVLAHVDPAAYTRLPIFAAPPPTHVASENKKHKHQHNVLVCDDLMNFFARDKKSLHLLNDIFCLYAHHLNCAVFNLVQSAFALPPITRNNSTYIILMRNLSDTAQVKNILVQQFGQKWRGAYEAYQDIMSRPYEAVLLNNDPMAHPSMRILSNFLEPYPVAHVPI >CRE07950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1775:1800:2573:-1 gene:WBGene00080357 transcript:CRE07950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07950 MAAPYTVTAPTAAGSPEEVTVGQPKDVDQFGLNISDNQSMGHYDRPINYSRRRTTSKNLVGNNHKLITSSNDVAYKKSNSISHKGGLHQSFPTNSTGNSVFPPLSEPNNSTLSVTRSPAPDPPQQAVPIEHTDFIDRFSQDLDTKNKTPLIPDKGNKTYVPFPFKGKKTPLHQNSLIALTQLKSQIEAKLTLNAFHERYNDIKQQQLKFGCVNETPENNKPIGSQYYIRHRVIVKPDFSTTKIRIVLDGFIDKEIEQ >CRE12440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1776:3104:3667:-1 gene:WBGene00080358 transcript:CRE12440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12440 MEKHKQPYSNVPIIPIPYVSEQITNLVRSSLKKGGLEASVIELRGVSLRNTLIKNRIFDKRCVRRQCRVCPQIGEGACARRGVIYKITCSCGEIYIGESGRPLAERYNEHSRAAEKPDCKSYASTTWAKHAKEKHQGKPLKLDLKVLDCEGDTVRRRILEGIHIKRVNPSLNTKEELTDMVENLGVT >CRE10766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1778:4302:5481:-1 gene:WBGene00080359 transcript:CRE10766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10766 MNITQLSTDSNFRILFGDGVTMVLTAIQFGFQLLLLIAYPFYIYVHKINYTRDQMTPLFPTTNHFYEVMKPVYLLFFCSFASYGLSYHLAGNNFLLGCLISVILTVSFLLLYVIAEVCHLLVAFLAIQKLLIYFFPSIEKYAVITQNSIYKNIRKVYLFFLMKDIVATLWFVSCAIHDYNESVKWRINMIFGVSTVFLLGAFIILNSVLIISSLLYVPIMLNVSKFSYLPSFHENKPQKYILWQTIIVIIFKLGSIPSTIYLFLNYSTTWAIIINTIFCDIYLVPLIIQISYLGCNKRNVNTFMSSFTLTKFIRVLLDIKRDSEVHPSIHFTDSSNPAFV >CRE10765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1778:2389:3571:-1 gene:WBGene00080360 transcript:CRE10765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10765 MNFTQFSTNSSFDIFFDNSVTMVSTAIEFGFQLLLFISYPFYIYVHKINYTRDQMTPLFPTTNHFYEVMRAVYGLFLCAYASYGLSFLLTGDNFVLIWLLWVILIVSVLLLYVIAEVCHLLVAFLAIQKLLIYFFPSIEKYSIFTQNSIYNNIRKVYLFFLMKDIVASLWYVSCAFHDYNKSVKWRINMIFGGAFIILNTVLIISSLLYVPIMLNGAIPSTMHLFLTDTPAWMVFLNTIFCDIFLVPLIIQISYLGCNKRNVNTFMSSFTLTKFIHVLLDIKRDSEVHPSIQFTDSSNPAFV >CRE11452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:22673:23195:-1 gene:WBGene00080361 transcript:CRE11452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11452 MLNRIENFIEIIEFIESEQCQSAKMLYIDSPKPTSKFPLDALFNCPRFSLKLGGRPADGLKSNFLKRLMKYGEDQKCVLYISEFRGAPNRIMKYFDEPEAFKLSVASSLPHSRNQRIL >CRE11434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:94720:96140:1 gene:WBGene00080362 transcript:CRE11434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11434 MTDLPPNSPIDIRALTLYDIHQWKTTEKSYKNYEKLCKVLGNEAISYEEYEKWFNKYLEENYYSTRDGSSSLPIPDIRGCILSNVINGKSAKKSIDDLCDAFKYQKIDKEDHSFWYKRFRSGHFSQVTFSDFPEDVVAEIVGKCDIKSYSNLRSVSHGLRTVIDHLKPPCTDIKVYCGDTRINVSVDGALLADWDYYKPANRYLPMEQFVKRIPGPLKLFLSNPKLRLESFTFYTNSNYRATTYSFRKTVINLLNSLNHKIHVENCSIEMENTEDLIRILQCFKPRKLEKITLCDNLSLDMNQIINMDQWKQAKHHGLSVYGTVSPPRSNIFFIFQLLKDILSVSKSKNFAHIEVGVDYMFDTEEAKRVLNLQPTASPEVYSIPNSNVLIQLNDYGGLDSLKIYRK >CRE11425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:67929:69275:1 gene:WBGene00080363 transcript:CRE11425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11425 MGSNYPPLPVCTLGALGIFGSFGGFGVIIYCIYTYYHNSIVVYTSTVSSTAFTATTFNPSSQTTARRRGPRKSTVPSAGTSPTTTATASTFTVPLSSTATSKVTPTATTPSQTTTIKRDGRRTSKMSSTNPFSSSTLTKTMRVKPTKTPCYTTKKPQSKLTTTTKNSIIHSPTNPPTRSRHVTTGRPTTTKKTKPSSSGFKSPTTPKPPKLPKMPKAPKVQTGKGG >CRE11441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:117190:117894:1 gene:WBGene00080364 transcript:CRE11441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11441 MMKLVKDNISGVNEVQCYIKSPESRTPAHQENQLVASANGYCVNAAWNVAEMCLVQLAAVAYSHDNNVELQYGTLLPIYDVLLEIAQLGGHPELKKLAKRLLIRYVCFSFEFLWCKLAFFRPLARANWEWNYCEDVQGKTSVYYRKTREDLEKIFDNCK >CRE11469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:119353:119660:-1 gene:WBGene00080365 transcript:CRE11469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11469 MEFYDDWMLGIDWFEYERMYPDERRSPYISRFMEINMLQIVLGEGIKKWNHLVLMTFGKQQSNRKRGIEDGKHSETSMYHVFSSNP >CRE11428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:83795:85242:1 gene:WBGene00080366 transcript:CRE11428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11428 MTDIDPNSPANLRALMLYDISQRKTMRESIENHRFLDLSIPDILVCILSDVIDRKTAKKSIDDLCNAFKNHKIDKKDHKCCFKRFHNGHVSQVTFSDLPEDVLAEIVGRCDMKSYLNLRKVSYGLRTVIDQLAPPCTDIEVHCGLQKFYVNGALLADSISFNTPNSHLPIEEIERRMPGTLTLLLRNPKLQLKNFLFYTVPGPEETLSDTETVINLINSFDRKLHVKNCSIGVDSEKELIGILQCFKPGTLEQIELLIDLFPLSNEIVEIDQWKQAKHLRLEGFNLPSIDHLLHFSTIEASVDPISLEDLVMLCKNASKSINFESFSLKTVNDIDTEEIKRILNLQPTSSRYLYSIPNTNLFIQFERGNSNWLKIYKN >CRE11475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:145378:146371:-1 gene:WBGene00080367 transcript:CRE11475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11475 MKLIDVIALSLLSNRARILSKTSCGLSVTSINIAAINHSLDLDIVLSDDKKLQILLCLIPENYKEFVVVSVDNKTVIWRTLGLSTTECVHRILDVTNCESIQELKFYETDSFDELPILATLPHIEQIYISRDCNEVFVHKMLEMLSKVTSNIDMCQDWFRNLEQFQKVLMLNMNSITIYAMNLRDPTRVRLSLDDLLISNAVHLHLYDVMISVKTLNRFFKLWMRKKSNPRLEHLKFLTLEEVSPDVLLKGLNALEMPQTTTRTFRVSDHTNSRCREKVVTGGLDVMRSDGTRATVAIEAKAGTTILDFYVWM >CRE11431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:89048:90502:1 gene:WBGene00080368 transcript:CRE11431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11431 MTNIDPNSPANLRALMLYDISQRKTMRESIENHRVLCQTLGKKAILYDEYECRFNRCLNENYHSAIEKRDLPIPDFLVCILSNVINRKSAEKSIDDLCDAFKNHKIDKEDHEYWYKRFGSGHLFSRATFSDLPDKVLGEIVEKCDLNSYLNLREVSNSLRAIVEEQTPPCSDIKVILGYDHINIFVNDEPINDSYDFGKVFGPQKIEDMKKRMFEILTIWLRNPKLRLKSFTLYNYLGAPLEWVYSKSKIEFTLLDYRGMFFDLLNSVDNKIHAEKFEICMPYEKEVVEILQCFKPRTLKELVIFTNGRERELMHEIVKMDQWKQAKHLKLHGFILPPIENFYHFTIFELGYQPISMENLIKICDNASKSTNFVVFMLKTTKEMNTDEIKRVLILRPITPSQMYSIRNLNLVIQFQCAREDGSIVHVVKINKVNLD >CRE11448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:13997:15597:-1 gene:WBGene00080369 transcript:CRE11448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11448 MPAVPKFDSKMIRSFILYEFLQKRKINEGFHNLCKIVSNDVIGFNEFQFWFTRFSEGKQDLDFQINNETFGQIDRHTEEEEEEEMSLGVCVIQQQEPIESIEKRCKKCGILVTGDSNSSLKEHAIEMHSDTKRFKCRQCDYSDSMRHRVTHHSATIHRTHRRNIVDLIDRPWTELMMECFPDAVEEEEEEMMAKEYGRKEETSYECQMCNVILKPWGNNRWNLKMHVISMHCVSKQYKCRTCGFLVSRKTSARQHSRRIHGKDDDPEDLIDDKMRDEWNEMFGKCFPEIACTTQFQL >CRE11458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:35313:40985:-1 gene:WBGene00080370 transcript:CRE11458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11458 MMQFLFACFLFDYGRSQSTQCGQCIIFYAQTFFRAENVSFSSVKPSKMTKTAAESDPLAIRKRILKEFQKVKKRIASLPEYWRRDTFWTHKQLCKKLGNDFMEYAEFEFWFLRFIQGNFDLNVDRRHELRNVSKGIRIQVDSWDPELIKLSYNTAEDWSFQHKTMSASFRRSIFERKRKMNPLLYTLVILKNPKLRLEELTLGGTDKYWRELVEELDQSNQKLHVKHIHTSSNFDLHYLDPGEIEEITVFLRDPKAEEIQQILESEQYKSARMTHFETHVFPSKFPFECFYKCPKFTLTLGGQPALKIKWDFIKNLLKCAQVQLCHISIYNNATDELKQKIQEEFEEKYPRVDPNNPNIRRQKIPGTNEFYEMEMTDGMMRIERKQ >CRE11436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:99052:100568:1 gene:WBGene00080371 transcript:CRE11436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11436 MNSLNSPGELRRLIVYDISQWKTIDKSFKIYEKLCKVLGNEAISYENYEYWFNRYLKENYYSPYKSSAPWFLDSAVCILADVVDKKSLESSYRDLCDAFGNDKISKEDHANCYEHYGVEAHRHALIMSERSVNTTLPDTYNDHDLTFSNFPFDVIAEIVDKCDLKSYLNLRNVSHSLRTIVDKRPPPCTDIEIVCRYDCILVMADKEILVHSGLVELNHSRTCSLDYIEKRVFRELEFVLKNPKLRLKSFRFDFQNHSMFFDMNASPRNYKENCWKLLNSLNHEILVERCIIKMEDEKAERRILRCLKPGTLEKLEIENWLRVKEINRIARMDQWKQAKHVKFHGLITLSVEHLFHFSTFEVNFESISTSNLVRLCDNLSKSINFVSCTIETVHLLDNDAIKNALNLRPSDSPNKYYIPNSNLTVQFSAGYDAKEISIRKL >CRE11446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:7746:11619:-1 gene:WBGene00080372 transcript:CRE11446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11446 MVDPPSTPPTDLRALIIYDIYQWKTVEKSYDNYSNLCDSLRIENISFGDFEYWFNRYSKEYYYSVKNGRSLPLLDISACVFSDFIKGKSAENSFNQISEALGESIVKKEDFERWRNSFSNGNRRLSDEKRPLEFCDLSLDAVGQIVESLDFRTQSIFRKVSRGLRDLVDQRKPSISYMSVSFERNQLVISSHENQVIYTNSTWRARWAGRKNVRIVRRYDEECAGDDDYWKRAFDDVALVFRNPKLHFDRLCINFDPIFDESDVFRIPKHTLKSLLDSLKSKISVRTLQIEMRNDDDLMTILPYLKPRKIDNLILNDASISYSPPFSFERIVQLDQWKNAISVICRPIIPNNFIHFFYHFTHFIISIQSISIQELLDLRDSLSKSPIFESCTITAQNRPDYENVIEILQLKSVFKRNFHFYPIANSKNILEFEWSGDSCFKITRK >CRE11420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:29:466:1 gene:WBGene00080373 transcript:CRE11420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11420 MEIIEEELRKSRQNFTNRNGTIDLSLLAPESLEEVSLFINEKSVKKMNEILQSWQYKRLKMLTIRTNLSPWDFPLESFIGYPRFTIKLRRTRAELKVAEFIKVIADFVQKHEFFRKNISTTRVLQPRSTETEENCVRN >CRE11461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:47601:48712:-1 gene:WBGene00080374 transcript:CRE11461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11461 MRFAQGKYDLDYDRRFDPKTRSFTVLPPNVMERIGRYLDLRNRFNLRIATTEEIICMIDSWDPKVTEIEYIHYLKPQYSRVSMKNKISSPFESRLYEYSRLERDNLMSVLKNPKLRLDKLKIRCYDEKWRGIIEELSQSNHKLLVKKYEMTKKTSKGPITLDFLEPSALEEVNLYFTGSAERMSEIVKSEQCQGLKMLAIKSVFAVFPFESFYNCPRFTLIFCSNICEEKLVEFIKNLMNTAHLDICHLKVNTKKKLFKTERIRQLLNNEDTIARDGWDLYRCPIPDSQEFYEIDFGVTNIRVEKKK >CRE11438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:105646:106023:1 gene:WBGene00080375 transcript:CRE11438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11438 MDQWKQAKHVKITDINDLPIEHFFHFSTFEVNFESISTNDLVRLCDNLFKSINFVSCTIETEHLLDNEEIKNALNLRPSDTANKYYIPNSNLEVQFSVGYDAKEISIRKV >CRE11464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:62973:64280:-1 gene:WBGene00080376 transcript:CRE11464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11464 MAPTRQIEEDVADPSYATTGPHTLPRERNIDSCLYQLTVSFESPRNTSFMDHGIKSVEKSITRKQLYAKCPTIRKLEERLPYHLERVEIRTGRSDYIVLKFDNFSIGNFGSNNDSNGKIAMWCPGKRVERSTGQSLYEATEKFALYNLSRPGTRIKTLKTKDTPKSILNCIPLTIENLIVNNFIIHTDILSEWNCGCMTIEGELSYMEVVDYCWRVSKRTDRPIRSVLKSGLSGYSTVLFKILSRELNARKIRMTVFGGNVLEFASIPINESTELHVSMMKPGVLKIKVCARRTAIDHAF >CRE11451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:21033:22364:-1 gene:WBGene00080377 transcript:CRE11451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11451 MGNVAERDPMETRSLILKEFGKVQTRIVTNPELWRKLSFDAHKELCKVLGDDFIDYPEFEFWFSRFARGKLYLDYDRSSDSKPRSFTDLPQDVFKNVGEYLELHDRLLLRDVCKDIRYQVDNWDLKVDEISFIDGSHWEVKVTNGSGSYRAKDFGKNEDNICYPAFYRNPISFVMNILMLPNLLLKKLTIDLHFMAPGVLEEIKMSPVYPKREKFSEIIESEQCQSAKTVYIESLLVTSRFPLDVLYHCPRFTVLLDDSAEKLKAEFLKKLMKYGEVQKCVVYISICRSRLSQILSYFNEPEAMVPNFPSLRRYPIPGSNEFYELEQVFLRNRTSVDRYGLQEEFVRLERKQ >CRE11462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:49810:50365:-1 gene:WBGene00080378 transcript:CRE11462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11462 MWSDITACALVDGTGILVYSLCDSCASTITIRNGKYRSKFCNLCEKRTFALKYPAHAQKFPKTLYEVKTTADGKLVEEGESKWKKMLPCVLTGRGASVTVALAPCCRPSITISNEVLEYDFCVDCQKRTTLAKFPKPILRMSANHQSTSTEQNK >CRE11471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:138604:139643:-1 gene:WBGene00080379 transcript:CRE11471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11471 MTTPLPLLRLPRLALIPVFNYMDPLDIISFSLLSERANKLSKSLRKISINSISAFVKSDYPRITVALKNDMSVGLHLYTEILPDEVNVMFRNGMISWEKGSITVAKLVERILEVTSCESLEYVILRGPLQLEVCDTLAQLSKLQKLVIVDTCSDSFAKKALEIILPVTTEISLYRIPFESREEFQTFPKSNLSELYIQSQFSTFTLDDFLVTNALKVELRQVLFSATDICQFLTNWFHSKHNSRLEHLSLLVNEDVNETRLLEVLNDVPFLGDRERTFSHSKQLGVLSISYCGGYDIKRTDGKKATIQFGTFYGAKSFDFYVDRALFEM >CRE11426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:74177:79014:1 gene:WBGene00080380 transcript:CRE11426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11426 MNIGLFSSTRNAYFDLVHLHMNAKIILLDGEKYATIPMDEPKELIIYCDKKFLVVEVYSSGTRIEQTTGHSERRARRLLSYHSEYFNTLFNGEFKEKSMQEIPIKDVTYEDFATFLSLVQDKPIKLNDDRKNLENLLQLSDRFLMPAVKRHVEPFIALTNISKEEKMRLADKYDLEVLLEHALKLYKRREDYRGSFKHFENFSDKTKAAIFNNFFRCFDGNL >CRE11442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:121448:122866:1 gene:WBGene00080381 transcript:CRE11442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11442 MYLNTTNPLYDAEYLIKYPNLMLSIFGVVTNIIHIYFLSQTVKKHPIFVCFVVIGIADFFQFFSIFVLETVVVINYIDNRNCIGYINLADLVFKLFTAFLFSCGNTTAGWMITIVAIIQGRDIKFQNYWTQKLAATVSKVTVVLCIALNVFGIFTPVLVFCQLEYVVCSEKNLMQIYVETHSNGVTKIFWLCQRLSNYIEVFNVIFTLISPFYLIKRGKTCVDEKKKKCSSLIIYLLFSFLISHFGSFLLNRILVVRSSNIWKGLPTQASLVLPLEIHRLCIMVGANIRPWVFFTVSTEYQESMKAFFDISGGTVAIVPKRSDLSRTQT >CRE11427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:81116:83424:1 gene:WBGene00080382 transcript:CRE11427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11427 MSTMSIYESTFAQSDKTDAILIVGYVDCTPKQKQPRLNDSSSAAPVKKMYVNKALLSCHSDYFDALFNSNFIQKSMQEIDIEDVKFEDFATLLSLV >CRE11465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig178:64538:65726:-1 gene:WBGene00080383 transcript:CRE11465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11465 MGSKASKNQSKGTKPLTYPSLVSVVEFISFGRRKQLYAKCPTIRKFEERLPYHLERVEIKTIGPSYIILKFDNFAIGSYHDNKNKISLGCSENWVERSTGTSLYEATEKFALYNLSRPGTTIKTLETKYTPTCILNCIPMAIEKLIVYANEEHTWIRTSRPVENLETDFIIRHDTIKYAKHVTVTRPPHLPIRIHADILSEWNCESITIERKLTDVEVVDYCRRVSKRTDRPIGSVFKSGLSGYSRELFQMLSRESNTRKIVFFGGLIQCVTIRINKSTELNVYEMEPGICVKVSAR >CRE15840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1786:7737:8217:-1 gene:WBGene00080384 transcript:CRE15840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15840 MPASGEGSVSRETEAVTPLADVSRETIAAEFRGALQAVRAQELDRGVTLVGPHRDDLVLELNGLPVKGYASHGESWSFALALRLGMAVLLRGESAGGDPVILLDDVFAELDTRRRSKLMSAVQSFEQVIVTAAVEEDIPEGIAWHRIGVERGTVSLGSVE >CRE10607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:162475:164837:1 gene:WBGene00080385 transcript:CRE10607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10607 MNLPYFIHCNTTRLQLLNVFVTACLLFTMGYFIANDVYPEHTWRLTIVVLIVVFAAAPVGLTVRNLRWCLDRKYRELGEYGKTQRNMMIWTGGISVIGTIHLVVVYAMDMNVPLFISTQFLTSFFTLLHSLCMIYGIPMCNIRLSLHTNVVLHHFLIHVVVFLVFVISFYLLTPRFTWYPVIYHVYYVYVYGGSMVDFHLVYTANFGMGNKEGDAPLVFYDMHVDTDNETPKRTPKQVPARAEPARAEPEPKPQQEQPSTSGSSGSSESCKICHAQLQNTSQVCFIKRQCDHVICLSCSKKISSDKDKKTASWQCPYCKLLAVVNGNGPQREKDEARKQLAGGDKPATSENC >CRE10591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:51401:51931:1 gene:WBGene00080386 transcript:CRE10591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10591 MQMLRSLKSLKPKVVEMLFKIELNELTESISLENRGKTIEAGKVFNNNQRGEEGITVCTSSSHWITLPNYTSNMLLSSLVGFVKVSRCHLSLKVNCTAKLFPLLEMLMTSVCSEKINITIEGKITNHGSIVELLCKNMMVRELTIDLAGYSMXXXXSSHISEVFTIDKNARSSFEE >CRE10618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:65057:66090:-1 gene:WBGene00080387 transcript:CRE10618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10618 MDQIHRFITVVYFVIFVIGVGSFLIYNACFPVFHNLCERILCLVCYVLSALLVKAMIGYFYAKYRQRNRVDEFQEFIIDLWSHFLGIASLETILMAIFILKDIRDSIMLTSCVAFYCTTLCFCTAILEIGGKIGRFDLQHHKKLIIASTVFHIAITVATVKTTMIWLNVKERNALVWSQLGLGTITEISTTEVLVMLTGGFRSRSFHSDSSDIIECNICLVEFSASRIPRILKNCGHTICEYCADRIIRNGKITCPTCQTATYGIASKLIENHAVLEFVEGIKM >CRE10598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:116992:117655:1 gene:WBGene00080388 transcript:CRE10598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10598 METPFIGGLFLSIIFLIRALFFNIQTPLNTFWIQSVFLIYIAYCTILYLLATVIRDYLCQKKEKKKDELKKCLMGMFVMDLVIKASVIMSGWYLVNDIKTMYFFLSLEVLSSLILFFVFIPVTPVFHLDYNGCLEALTVYLRIIYVHFTLWFLIVLPVKPEEVDDLKIVYMVVSIPLLWLSIFDLYSVWNGDFELGEELRVSETI >CRE10599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:118485:118983:1 gene:WBGene00080389 transcript:CRE10599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10599 MVMFGMDSVVKTGVMIIGWYLVDDVKTMYFFLSLEVLSSLILSFVFISVTPVFHMEYNGCILMPTLLFLTAYLYFIGGFMLFLPEKPEEMYNWTIVYMVVSIPLLWVSIFDFLSAWTGNFELGTRGEKRPNTLEND >CRE10624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:113119:114687:-1 gene:WBGene00080390 transcript:CRE10624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10624 MRYRNVSNFPSTLYSCTVGLLFAVLFYLRAVDGMSLTVAIAILILYCLYICMMAAIIRQFNDMMEGNNAVEKCKGQLMLMLGADLVMRIYQMVIGLFIVCDLEMVFLFLSLQHFYSFILYMILIPGIPESQITFNGQLRPLLTSLLFSAYLHVSFFSLFATNTDCTYVCKAFYVAMSISWLLLIHSDFYLIRHDCFKLTGEKEEMDITETTECVVYSLA >CRE10625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:119872:121166:-1 gene:WBGene00080391 transcript:CRE10625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10625 MVTVALVVAMTHESRLYFMVSSVWYSGIVVLDFWLVWMDQFEVERKEMVKTPNSFLPHSHPSRAMRLTLSMKIAFIGGLIFSVIFLVRALFFTTQTPLNTFWIQSVFLVYMAYCTILYLLATVIRDCLFPKEKVEDELKKCLMVMFVMDLVIKTSVMIAGWYLVNDIKTMYFFLSLGVLSSLILFVVFIPATPVFHIEYSIYNKCLAAPTLLLLIPYVYFILPFLLTLPERPEEVYNWKVIYMVVSIPLLWFSIFDFLSAWTGDVELGTRSDTSADIDISS >CRE10617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:62191:64713:-1 gene:WBGene00080392 transcript:CRE10617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10617 MAKSFASPGFFTDGLMLVLAFYYSFCAFVSSIAIIFVEFQMEYFITFGKTLATCLLIFLSFLLFKTFINFLSDRYEITDVKRSRNILLVGFGGISVTGVVTQLGICFTEDNIHLQIYLFCISTFTIFNFWAVTLRDSRLWESDYNNFLKFRARVGFSHFLLSIAILRISKSLTATDDTFKQHFGFMFFLYLPCSMATIDFLVVWRNGIQRTDVKFKDWFILENESEFERIGGGSENRNPSDCRICLLEYSDGKPRIPRILSCGHTVCEKCIEEMPKNDRSVQCPFCRQTTRVYYAKSLPKNYLALEFVDELKKPKYWL >CRE10630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:137695:138785:-1 gene:WBGene00080393 transcript:CRE10630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10630 MILIKYVYPLLVWGIAAYLVINKFQPRNYTKALEHFVIIQMYTTCLPAFAFHFVIFYRSQVSMDKLTKYKFLIGKGTAVLCPIVSTVVMGLLKIDEKIMHHIQFTLLGFALVFFYSPNIFFSDFYHLPAPLQKIHKRFLVTHGAMIFFLMRNYTAETAEICFMQAAMWIWSFVAIHEFSSVYWSDLRSRDDFLNWDYEEQQGTPAPGAGHNFPVVEQEPEIQGQDPLHKSFRLTNIPRYLEALLERLWNMKQFKKTSSGFECKICLLDYSTTRIPKILSKCGHTICEKCAGQLLKDGTSIRCPFCRESTVVQGTVQQMPKNYELMEVIGI >CRE10606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:156742:157359:1 gene:WBGene00080394 transcript:CRE10606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10606 MSPPENSAPPPDTCPESLNDWHDEFMDPLIWNPPQNNEPTPTSRRLNYIERLLLDDDQPAVDAENDWNFMNIFGMRHPFGLREDKRLPPDAWELEPLRAPAPMMKNNNENVSRFDCKICYHGYNSTTRTPRILAKCGHTVCEVCAHQLLKENNFECADCPFCRKVTLLDEENVELPKNYALMEIMGI >CRE10592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:73855:74899:1 gene:WBGene00080395 transcript:CRE10592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10592 MDQISRFFILIYLAVFITVLGSSLIYNACFPTFDNLCGGIVSLVWSCFTILFLAVIDEIFNAKYQQRNRIGEFQEFEFDSLCYFIAIALSGTILRVTFIFKGFSDTVSRKTFCSYSTNSYFQILLRFCWIFYLISVCSRIAIHVVGVKIGRFDLQHHKKLIIASTVFHTAITVATVKTTIGESEDLTRILEMLWSQLGLGIVSGISTTEFLVVLTGGFRSLEGTSLELNTERTDSDPIIECNICFMEFSASRIPRILKNCGHTICECCADILLRQRYNHKIACPMCQTVKDHYGPVSELTKNHAVLEFVEGIKME >CRE10595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:84370:87427:1 gene:WBGene00080396 transcript:CRE10595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10595 MLKPFCHSTIPVLLATIATVILYSIALITVDNSDLSNLCWVAVGCGAFYMSLEMLKNRMTCRNNMMIVGFSGILLSTLIARIAVFWSVNYKLIAFYTCSASIISFYTIFIFQYHKTMQPLKLSNQKTFAKIVIGNILLFVISCLLTHNYAIIFNCGFGLIGAASMIDLVAVWVDGCALRARHDETAKKEFDLQKMDVHSWNDVTMYCFAVLFGFLSSFGVLGLIFSEKFNLSTAYHIAGSSAVVTVTLVAGKYTLDFFNRYFEMDQVKRWRNNMVVGLAGIVICGVAPRIVVYSYGIGEKILPFLLSIPIYTSILFYYLFVYGYRQRCFINHQNQNFPKIWIPMVNLTFSVVLARMGIQTDLESMIYIQALFFVLSVFSGVDLVIILNGGLRSIHEEMEVEGSENLEIDNQEELKPKKIIYPIIRCTCCDLEYSDSKPPRILPECGHSICENCAMRRLWKKENILICPNCQMYHFVKKGVKDLFKNFTLLGMIEEATKIMDE >CRE10621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:96793:98666:-1 gene:WBGene00080397 transcript:CRE10621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10621 MPQIDAIKFSNYTALYLFIYSLFLATYLVSNLLSILFFQYTLEDYKLLGETVLTGLLIFGAISLLKIGVRWIHRVMKVDQLERWRNEILIGLVGVATCGIVPRVAVHFVEIRAWTVYYSLIVFTSSAILFAIKFLYNTDSECQFTYSLHDKTSWTLILIHTVILAATVYLGIHLSPDWQTSTVIALSHVSFSAMCAVSTVEIGMILRGAFRLRLDEKVVVHKSETVPQMVAPKITMFNYSI >CRE10620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:70564:71293:-1 gene:WBGene00080398 transcript:CRE10620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10620 MYTYFPTIFNSRLVLYFISFCSRIVIFGIGGKIGRFDLQHHKKLIIASTVFHIAITLASVRAYKIYTDATLEATMIEPEDVSKKITAWVWSQLGLAILSGISMTELLVVLTGGFRSLESDSSDIRELNTERTDSDPIMPRILKNCGHTICEYCADRTIRNKKITCPMCQTVTELDGNISKLTKNHAVLEFVKGIKME >CRE10597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:111868:112351:1 gene:WBGene00080399 transcript:CRE10597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10597 MNNLDPFPIIPFNPFLLSLSCLYPLTPNPFWIYPNFSVISVRGLPTGTVAVANVPQVKHCSADNKKGSKKEESYPRVTNYRDKREKITEDYKLTQLKLKFAKNRYITNQQAKEILKELDLPIQKVKNWFAAERNGRNWKKTSK >CRE10612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:8702:10546:-1 gene:WBGene00080400 transcript:CRE10612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10612 MAKIGDFSFCGNLPTYKPTRHWSGIVSNSQLYLFFTLLTVLQIVLSIFLYFSDGNQEKFREAVGAAPEVAHAVVGGVVLIWVVTIVFSLIGYCEHIPYLHFPLAFIEIPFFLISIGGTAVFCWKISLKGGGVDERLSQLYIAIVCFLLALFHVYYTWILFFLWKDGRNKRRIDEFIARQRMIDDVYLTFSV >CRE10594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:82712:83647:1 gene:WBGene00080401 transcript:CRE10594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10594 MFILRDRRDLITRNRVGEFQAFIFDLWTHFSGIASFGTMLRVYFSLEDIRDKIMLTYCLAFYAITVYSRIAIFEIGVKIGRFDLQHHKKLIIASTVFPYSYYCSYSKVNYNRARYQQNIGNAMEPTGIRDYFWSHIECNICFMEFSASRIPRILKKCGHTIWVSSKLAKNHAVLEFVEGIKMDG >CRE10626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:122661:125453:-1 gene:WBGene00080402 transcript:CRE10626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10626 MITRQIGRLIFFASAILLNHLLIPKSGSLNGLQTLIHIILIYTAMVTLVGSCLELIRYIHRIRKVDEVKMCRNMLLIGYVAVILIAMRSKYLQCDSGYDEKTVSRLQFNALIYAGCMYLFLWLAPHGGQNTPRLSEFTTINFIVIVAHNYEVSAAIDIENWLQVLGWMLSFASSMIIFEVLVSQNPEPAPTAPDTTANIRDRLDERERMIREVRRDLARNRVGTRAEARPEVPANQEEEENFRAKILAAWYPIGQSLRADNQRCFDLLIHRYKNSAAPFNIRNAVNKMEEKRRHRDQKNELFGFPNTLQDDDFFDDLADVLSSLGVKGYSNPEARVIITPFSQHACTMCYAISHYLLVPESGCLNDLQYVNIILGYTAMVTLVGSCLELISFVHQILKVNEAKRCRNELMFGYVAVIILAMRSRYLQCYSGFDEETVSRLQLNALIYAGSIYFFLWFIPHETPRQNTIQLPEFTKTHFILIVAHIYTVSAAIDIENWLQALAMILSFESFQHIYKILMSQSPEPAPAVPDTTPNTRYQLDAKDLAGNRAEPSAEVPDNREEENFGARIQAAWYPMGQGIGEDNQRYFDLLIGRFKNNATPFKIRNAVNRMKEKSRHRDQRNELFGLPNTWKDKHFLDDLAGVMASMK >CRE10623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:110524:111076:-1 gene:WBGene00080403 transcript:CRE10623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10623 MTGGVTGLKPRPLLTYSLIDFVQSPIVMNYPNPFHIIPFNPFLLPIYPFAINPFLIYPNFSGVSVRGFPIGTVTVANVQQVNHCSADNKNGSKNEESVPKVSNYRANREKITEGDKLTQLKLKFAENRYITHQQAKDISKELDLPIQKVKNWFAAERNVRNRKKTSK >CRE10631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:150983:151135:-1 gene:WBGene00080404 transcript:CRE10631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10631 MRDIFWKNDRLYNHNFPRVELGFMKEVTEDHVWKQSDYVIYRNLKMYAAH >CRE10604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig179:146885:147519:1 gene:WBGene00080405 transcript:CRE10604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10604 MIIFKAIRDIMFSLEVEDIYKKDLILQSGAAIFNGTVAIFMLEFVDMDPEIMYLCQHFFMHISFDIFSVICINLRWHKIEDISYVKSGILSLLLGIFTFKIVTMDTIYTKQGEINCFDKMFLATCVFTWIAGVVFYMAWNGEVVRRFGKYYSAKNEESDVEYGTEEDGIEEEDVEAVID >CRE23839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1796:3322:3921:-1 gene:WBGene00080406 transcript:CRE23839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23839 MSHVRSSDENTKAVKDLPKAYKNKPTKIEGAISDQIKKIVHAPRTPLKRKREEKEGEDDGKAKKMEWLKMKAKDAVIPSETHAVVKPEYLFKEPGALVFNICNPCKQFNSERQVEVVESGMVQIPLALCTVCRNHLNLQRQIKFFQFEIPTVKKEFNL >CRE23838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1796:4562:7839:1 gene:WBGene00080407 transcript:CRE23838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23838 MEIQQILNDVLDRVEEAEMEELRSGLVQVGGASLRELTKVVRRPEGPFRDIVTHVTMKLGVPRNIILHPQGPELFAQALIDLMKKHAPSRASTTDLHVGVTIFSDSLVEHIGLPLKPIGRVTVDDIVFNMERMSQSSRSPLELDVPELSVMLTYVTPAVGSGDVPPEVSPKSKRGRKRKFDTEKLLEQIAFEKQKRAEEETREEARAEREETRAAKSKKRRGGCPFLDDEAGCSDDGDDENVEKEGEFDDFLDDEEGDYEMEMYERREIDDRVLGEMEERRVEEEEEDAEEEEEEQTIEEEEECDEVEDETPAVNSDRRKKGEANIMPNKVTKNCLPHALLQALYHAIWKEKKTGASRYNYNASLGKSDSNPNRYKDFPIKFIKEENYDYEGDLPANEYYTLDNKSSSERKRMEKVLAEEREEYKASNKKFNFCEELIKYCYNDVYILATSMTTFLKAFEELTDVCLLEVGSFPVYFSTLSPDHMVTPFPHFPLTTCVFSNCLRFLQESVTIASAAMTTFRRNHLQRKFPIVLDVKPSASYNASIKSQKYLAWIGHRDGVQVEISSTYGEKKIGKYRVDGFIEKCEKYPEGKIIEFNGCYWHAHSCSFADDSMIGDMTGEEVRERDRMRLAELKDSGYP >CRE10852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:225995:227810:1 gene:WBGene00080408 transcript:CRE10852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10852 MECGSTIDVGEFEDILEEFDVNKELITLINDPFYTAFRKLQKQSERESDTIPKHSGNFQTSEPSNPEASSQYPSNLSIDTTDYRAKFLNANEHNLRAQKERDLAVKKAARFEEKAKKYDELEVKFKEMKKEKEQLERKIATQVKHSEENSRLRAKIASRQDIEKQLRASRNEHQQKAESLLEENTRLMKQSEEDNIKVVEMKKKLEEAKGKTREVNKDLRKTNNGIMKINKDRNRKIEILESRLSTAMRQSTPVKSPSSDTPGSSEANGIGEKLQSVSEDDELIDLAKFELIRLEGIVRIYEETLSMNILILQKTRDVSQLLPMHHPCLSPEFMKKYSDLMIGLTTEVPDTDCLICHEYRESHEKTIECETTCHKVYHLKCASEWFKQQQTCPHCRSRMLDDEEYLAL >CRE11069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:523273:523888:-1 gene:WBGene00080409 transcript:CRE11069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11069 MRLLDILKERQGNDYELVIYHQLSEKESHFILENHPAKVLRIEGFSHNFPIAKHLKSIDSLIVGSKLSITLDDLLDMDCVELVLMGNRLTGTGLKRILQHWAIDGFRRLKYLRLRVSDFNLEDVLGDLDGLTYTRMAGKRTYRSNIEYPTFGHLLITRNDGVVASFDYYRHVGIVQFGVWPDSKGNTN >CRE10819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:122883:124745:1 gene:WBGene00080410 transcript:CRE10819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10819 MRTLNVYGILLTITCILLILTILPSSIGSSQENHQRPTRSIVETKNDVKYGVICDAGSSGTRLFVYTLKPMNGGLTDIDTLIHENEPVVKKVTPGLSTFGDKPEQVIGNKSGQKIRKHLFFPEYLTPLLRFAEEHIPAHQLAETDLMIFATAGMRLIPEEQKIAIIENLQTGLKRVTNLKVTDSNIRVIDGAWEGIYSWIAVNYILGRFDKENEPKVGMIDMGGASVQIAFEIPKEEVYTGGNVYEINLGRSDQVTGYKYSIYSTTFLGYGANEGLKKYETSLVQSNHFEDSCSPKGLSKLIGEISVNGTGEWDTCLAQVSTLIGDKTLPSCTKPACFLHNVIAPAVNLSNVDLYGFSEYFYTTSNFGAQGDYHYEKFTNEVRKYCKKDWNDIQEGYKKHEFPNADFERLATNCFKAAWVTTVLHEGFNVDKTNHLFKVPSFFQHQTFYFLNFQSVLKIAGEEMQWALGAMLYHTRDLDFGIGLEQELEIIQSTQQISNFFSLLVILIFVLAAVLYRQLQADSMYKKYHYLRTDSKPDFLNV >CRE11009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1373343:1374833:1 gene:WBGene00080411 transcript:CRE11009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11009 MLPTENNNPRESEDEDESFSFVSNEDESFDFISYEDCGDEEEMSENDSFGNHSDPEETFKSVVAEIFKKENYRYSFKVLTLKQLESNLQQLVLDVDDVLELGYEQSLKLLQKYEYDKYVLLEQRYTKEDALRIVEDLRNSFGNLDISTKSSDCQAWINDYVNKSTSLKWCPRDGCPLAVEAEYAEISTVKCLCSFEFCFSCDRAPHDPVPCYLLAHWLENDNHDSLKMIMCESKPCPKCRVRVQNENEKSKRWRRVMCPNVECHSLFCWRCGVLSDEVHYDCQNYERPFDYEREKLEMDFRRYSRYHKLFEEQRINLELEGILRDQIRDSLFQYLQEALNKLLECFKTLMYSYVLEFYLNEKSYTDTLEQTLKYLQDDCVKLLEAFVDLQDDYSEESIKSMQDLCVNAVKKRDSLLMICAEEIKNDNWTFDEHKFIEM >CRE11053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:351511:351879:-1 gene:WBGene00080412 transcript:CRE11053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11053 MFPGHHRFLITCLLIFQLTVTSEARLILEGTTEKSEEMPSCPVLADEPVGEVMERICDMCHELSSHSRPNMRIECRADCFTTDAFRECLKLFTPRRHTRHLRQKY >CRE10965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1041078:1042528:1 gene:WBGene00080413 transcript:CRE10965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10965 MDQTSFGRDCESAYGSEVSSNATFKLQKDRFQIEESGTKKEIWYEWIRNRLRHYMILELLFSICLVLILWKQYHISSQNDKTLELISSIQSEFRNFKLDIESNKASKPTDTMNLNGGNKKIKEFVEEVMKDIKNPSIERNQKSKEDPKQVIQNEMNSSPNNSVFQINAASLVLGASVDSSRSSSSDNNPFFGRDQSGYVLIDRSDPPSDKAWCSNEKNPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPRRYDVLACLDYYCNNLEPLVSNCEYRATRDNEQEQFCSIPFNQNHSSIGKIQFHFRQNHGNVMKTCAHTIRVYAETKVVPKVKGRTLEHAETCSKLTYDYHLKSWIYKMVCFLNTKLFDFKDCKVLYSNDCCAECPECCDECLIQDIDGVMVFLCIFIAITLIFPIAIIGNLLYERK >CRE11046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:312799:313798:-1 gene:WBGene00080414 transcript:CRE11046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11046 MIVHSCILMIFLLISTTFTQEQVTFWFDMDLKGNDFQDGMTAFESIRRVRECLDKIDKPEFRRTCEGKFGKDKDKCVEKFTKREPSLQTIEKCHNQVKEKEKCNCHKKDPFCNRTFAMNHWNSIFKCISTVQKSMKTEVAKKEEKTEEKKDGEEEY >CRE10865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:333428:333900:1 gene:WBGene00080415 transcript:CRE10865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10865 MSRNNFFKLFCTALVYIYEKEQKERVVQGIHDYLYQFFELSSIYYEVKSEDKLPPSLKNINRSCIKVPGNTTAEELEACFIASPNQEYIQLDGYFNGILSTNSVIYGAKNLRIYFKGGHGDGILLAKGRRTGKKKKNKLEI >CRE10972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1080304:1081107:1 gene:WBGene00080416 transcript:CRE10972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10972 MVSDELPLLPPSPESSPKIPARLQKKAKQTASRQWVLDIIVVFVTIFYYCGILMYFPCLMNQIAAGTQYKEMLINESLDLELSSVNSETDSVCFKNETTKYSLDTFLFFLIPSFSIACKIVQFVSITFIAFKIEEGTRNSVQRAYKVTYFFIFFRPLLAFFDFIVLPFFMHMLPMVSKEHPVLVMLAVSLCLMQVFYSFASAWIDATITIQLSKFLNGAHNEEDIEMTERDFIDAMRSVRVL >CRE11002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1311409:1311645:1 gene:WBGene00080417 transcript:CRE11002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11002 MFHQFFFIFLIFSSIIGASIARDSEENDDRIVVKAERPLALRTRRPIKYVPFGRHRETWYK >CRE11137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1076343:1076864:-1 gene:WBGene00080418 transcript:CRE11137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11137 MPRRPPNRARDAELEQEHELFSVIKEVEILSIYCVYNYLYHQICTIQGGDKFRIFGSPLLVKIGMCLGQCVVIRFMATVKRFPSWILIGLTVFNFILRMVSLFTDFETYLYLMADRENSLLYLRYIGLLCFLFYFKFSVETFIKVMRYLMQRRHMY >CRE11038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:216480:218303:-1 gene:WBGene00080419 transcript:CRE11038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11038 MSMSKSSYSQYNRKNWEDSDFPILCETCLGNNPYMRMMKDKHGRECKICERPFTTFRWQPGKGARYKNTELCQTCAKVKNVCQTCMFDLEYGLPVQVRDHELQIADNIPKQGANRDFFLQNVERTLGQGDGTQPIAQIANNMDQAAHDRLRRMARSQPYYKRNAPHICSFFVKGECKRGEECPYRHEKPTDPDDPLSRQNIRDRYYGSNDPVAEKILNRAAAAPTLSPPADTTITTLYIGNLGPSGAQQVTEKDLNDFFYQYGDIRCLRVLTEKGCAFIEFTTREAAERAAERSFNKTFIKGRRLTIRWGEPQAKRAADNSNYVTPVPSVPILPIPDGLAPSTSSQQRFTGSMPRPPAPPTFAAPTRLVVPNVRPVKAGEPSSSSSSIYYPSQDPTRLGAKGDVIE >CRE11120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:939415:940638:-1 gene:WBGene00080420 transcript:CRE11120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-madf-9 MSEQSEDAEDIKPTMSTAPDDCMESDPIKEINFLLASSKNMKIEETPVFNIRLIAEVKARPFLYDQSDEGYNLLSWRNSAWNEIAENLETTSEHVKTRWKTLRDRYKKEEKKERISKKPSSWVFQRPLKFIQAHLKDRQYVQFPLLQHYNFPFQHR >CRE11147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1198464:1201731:-1 gene:WBGene00080421 transcript:CRE11147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11147 MRIFSDSIFQIFSDSIFQIFSNSIFQIFSDSIFQIFSDSIFQIFSDSIFQILYFRFFQIQFFRFFQILYFRFFQILYFRFFQILYFRFFQIQYFRFFQIQYFRFFQIQYFRFFQIQYFRFFQIQYFRFFQIQYFRFYISDFFRFNFSDFFRFNISDFFKFNISDSIFQILPDSIFQIFSDSIFQIFSNSIFQILYFRFFQILYFRFFQIQYFRFFQIQYFRFYISDFFRFNISDFFRFNISDSIFQIFSDSIFQIFSDSIFQIFSNSIFQIFSDSIFQIFSNSIFQIFSDSIFQIFSDSIFQIFQILYFRFFQILYFRFFRFYISDFFKFYISDFFRFYISDFFKFYISDFSDSIFQIFSNSIFQIFQILYFRFFQILYFRFFRFYISDFLTKICCMILVIIKWRKMPVAVKYSIFWPVLNIIIMIALLVIPFQ >CRE10910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:667670:668112:1 gene:WBGene00080422 transcript:CRE10910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10910 MPGTLRSYYSLDEISNKDGKPEIRDSMHFTTEFLNKMTPSGMPPHELRLKKGGNRHAPSESRGQTVLILRIKLNYEKNLPFTMSRLQFPLRLSFAMTINNVALFWTTTREGIHIQAQSGVVNNVVFKEVLL >CRE10960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1029205:1032406:1 gene:WBGene00080423 transcript:CRE10960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10960 MLSPVQPSSLLPADPSSLPTSLDSPNSAASSHVPPPQKTENGDEVFQERSIFQDAEYFKSQGEKESTSECEIIINGILEGFDDEMRNVVPDMLTTTEVTYFIELWNKLTTWLLIFKLMSDSKNIIDETTIEHQTCRRFFHVSKKFDIDSEAFIQEFDGRYFIQRVIRQIPDASNKLIYLFSSSIDFYMTSDVGIALLVCNGAVQVMKNRISMNRKHTGTRTILYADFLKILDQLERKEKIFQYIEARFKNTALPKKSTYAHFSYQKSTCFLVASLEMCVGIDDVWRILRNHDDQSLTVPEAEQFPLRAISTSLNVLWKNQEKTINTAALMEILELKTLGLPINREGGGSTKELMKCLIRSNANLRRMFTYRCESSEGTIEADVLNIQTLEKDEKEGEGVAYFIRNLKFEVCPPKLAVFVNSPKPSIHCIPLWLERKDETSVKYSLSAFTTETIETTVKHAIAYMKMEHNWSQYNQGKRKAFNFSTTPVECFSFGIFEKETDSNFKID >CRE11027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:143970:144368:-1 gene:WBGene00080424 transcript:CRE11027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11027 MDAKASEATKSVDEQLVFGKEELKESAEDTKENASEAGFKISDGFHSITDSMESDSAHKTGEAFNEPRNDIGDNLQKNVDAVKEIAGNVAEGVKDKADDVAENVRENITEAVSKLSEAFNSTIPEDIVNEAY >CRE10911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:669820:671165:1 gene:WBGene00080425 transcript:CRE10911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10911 MLIIQLMDPAERFALSLTSKRMEFDVKLIKKQGYYPQIVFLGRLSFITLREDSCFEVICNRFGYKKKGERGYHWLQYKKRTSIQNTALAINKLLNVININGLGIHFTKPNPSLIRLKKIFSYDTFKSSWYKITLDGIDFNTEIVNFILNMADRRKAIQILDCDMPLDFKHENVFKFGTNDYGDARWVTLDDILKIRYVQNVALYKTILTSNHVRHFIDRWINCPHDMFLWMRITAMETIQLEGLFNELVVLEHHINPTNMRYFILAKPISRVCKLLSIHHSIDYVVLTARKPYDKRRNGNTKEKFKNVYEIMELLEKKNTLENELEGTRDATKLREYSNQIQELERKIHELGVVYRDGRATI >CRE10997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1277009:1277819:1 gene:WBGene00080426 transcript:CRE10997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10997 MTEHPVFTWVENVCVIYFSIEYAMRFIVAPRKLAFARQILNVIDLLSIAPFYFELLLWICGISGENVRKVRWAFLTVRLLRVLRVIRIAKLGRFSPGLANFALTIRKSKKQMQMVGIVMMTVVIFFSTLIYFLERDEPGTKFTSIPATFWWCVVTMATVGYGDLVPVTVAGKLVGSGAIVCGVMVLALPITIMVNNFMQVVKLREEQIVKKYAQQHGDQV >CRE10979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1144872:1147023:1 gene:WBGene00080427 transcript:CRE10979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10979 MKFVGIKKKGIRCRSSVIIYLLFASRRVTDANLVRSLYGSVTTLLAIGRSRTTRIPHSHTDRLWMSNFSIDCARTARIRDRVRMVYDVLLRDSDCFRKRIVLD >CRE10837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:160701:162499:1 gene:WBGene00080428 transcript:CRE10837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10837 MKKRLFSLLLFFSTCSASPFPCGPSFVPCTPKRAFSSLIHSPNKGLKKEFGFEFSNDFEFEPSEKAKNELKKSTKQEIITSAATKAVHLTEKLFNDTERLMSDKFDDTFKQSELAWLHYTYADQYVKYLSFSAISSSVATQMISDQSPKSLKNMVQFLPTENTKMNEICPINQIEECVIGKYRSYTGHCNNVKNPLNGASYERLKRFLPADYADGISTPRASKSGQPLPSSRALSSLFTPSPSGHATCSLLIAPFLSFLYDDMVHVPSNRIFKRE >CRE10887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:505022:506983:1 gene:WBGene00080429 transcript:CRE10887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10887 MEKVEDYGNEEEIFVIYENLDSFVGYDAIFNFTLTCCIVICHFVFLSQSNSSFKKFTSESFLMEFLLQLSFQLISSSTSSEIYYKWRECMSEEMEYLMSSDDNTFWNQLENPVQKCEMLNDLRTIVMEPYNNLDETKYSILPICGNTVMSVVTLGVGQDVNAEQEMKKRIGNYSVQFYGADPIVEGNDELFSKVGTFFPFAVGNSSRMGTASVLLDGNSNCSSFSQKLGFLGVYVEKRVIHVEFVQFLKGIIGKTFYDNIWVDGEYAEYELFEYFYNGGQLDREGITVCQFNMEMHLPDAIRKKQFKNFITRIYEDQRYAFFRPIRGNHIRLFFVNFRDPICAKKFISENSY >CRE11068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:511221:511745:-1 gene:WBGene00080430 transcript:CRE11068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11068 MLNGRGPRVKRALTETDSNDAPPKFVAYEDYRALYDHVLKLTRQVNELQACLMETCSTKVSERLSSACPMAQDPPAIGEPVFCHSNNDVFSFSSSNGDECRPTSYAEISSKNLPKQLFTLSIAQEAAKMLDKATRAVIERFPDSKDDPEQEKRDLXXXXSSFLNLAIEVSARKH >CRE11052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:345213:345581:-1 gene:WBGene00080431 transcript:CRE11052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11052 MFPGHHRFLITCLLIFQLTVTSEARLILEGTTEKSEEMPSCPVLADEPVGEVMERICDMCHELSSHSRPNMRIECRADCFTTDAFRECLKLFTPRRHTRHLRQKY >CRE10937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:868923:869584:1 gene:WBGene00080432 transcript:CRE10937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10937 MKRQSTIELASIHESEDSISDLREILAQTETTPFPSQASTPTRPGLPTRFERMASQMTCPIIAEESSDRYEHPCFMSSIVREEEDGE >CRE10922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:735350:737389:1 gene:WBGene00080433 transcript:CRE10922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10922 MSILSEILSTGHVDEELLAALDDDQKQLLFCQMRSEQVRKWEMAEAEFERTGPLNRKMKKKGLKWLTGVDGEVWVWVMGDHEQDKTIDEILEEESREKAHALAMRELRAGASDSDEDALMAQLKGLRVVGSQPHENYDNLYNNDLTGTSPLLLFQPPKPILTQPPQIVTTTTVSSYSTPQPQKAQLVRGEKPPVPSKPTTGYFATFTSNPQTTTTVYDLAPTTATTNGFGGNTYQNRMQEAQNTNGVRMRAPRIDDGRREEEVQKRESEIFQSLVEERERLQREAELIEDREKTLWEEQLRKAREAEHAQRELAQKAREKHQQMIRTSTSILPALKDHKAGSLREAIKNLPRPPKPKSRAAIIEWFQKEELPRGTGLDPKTRAPAPWFHGIISRDQSEVLLTHKPTGSFLVRVSERIWGYTVSYAARDGSFKHFLVEKIPEGYQFLGTNQVVHDELFDLVAYHETAPITAKGAEILKWAVGQPTRPADYSDLIPESPIHYNTYSSRSIVRTIPTTILNGPVGRF >CRE11136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1065305:1068236:-1 gene:WBGene00080434 transcript:CRE11136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11136 MPGNTIKVFGDKLESQALQKGCEEDIEKHCRRCIGKPTMRTLNKLRKYGIYVLFFMLLFAMVFQFSADLRQPAYPVFKMAETNGTNRMIIVVTPTYKRMTRIPDMLRMANTLSHVKDLHWIVVEDGNRTIPAVEEILKRSNLPYTYMAHKTAVGYPRRGWYQRTMALKFIRSNTSQILGKEHEEGVVYFGDDDNSYDIRLFTEYIRNVKTLGIWAVGLVGGTVVEAPKVIDGKVNAFNVKWNPKRRFAVDMAGFAVNLKVVLNSDAVFGTSCKRGGGAPETCLLEDMGLEREDIEPFGHEKEKDREIFVWHTKTSTPNIAQAKKNANSTKPAPPPETYGYFVEV >CRE11144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1164143:1166079:-1 gene:WBGene00080435 transcript:CRE11144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11144 MATIVQSQKLNLHDVAIVQALVYSSESNCAELRRTFQLDLAAGYNEVKIQNLPFDLVQDSIRVSGAGEAVIHDVSVKNQEGADFIIPERVLAIKEIFEEKERAKDKINDSRTAVQKRIEGLDNLITEIAKHGKDGSFHFDGRTIESLNALHDYHQEKTVTLRAQIRTLDQEFRRAEEEFHRASQDYDNTGYRWRNSAQYASIVVESEGGSVELTITYQVNNVAWFPFYDIRVTSGEAAEMHITYFGKVRQFSGEDWKNVPIVLSTAKPAHGVKTLPKLGALEASIVVPEPEHQMRGGYSGMQMMECASYDAAPQMLGRAMFKTASATVKSSNIASEFSIGRPATIDDRTEEYKVNIGQFTLPTKLSNVTVPSRNAAAFLVANSVNSSDYPLVAGQASIFLDGAFVNKGEFEDAVVSQKFEVSLGVDPNIRVDYKPVRNYQEQSGTVEKINSQVTEKTTSVTNLRPNTVLLTIREQLPRSTDSRIKVSIITRLPHFKIPIFYLQVRLESPEAKEVAESSPEPAVGAEITPEKILDYTVELAPGQSGSFTVRYVTEHPQAEQISYEEKF >CRE10973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1082651:1083923:1 gene:WBGene00080436 transcript:CRE10973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10973 MEIFELSQVSRRTLNYLNLARISVGTVHVVNGSADIITIFNESCTERKFVIEFVKTPQPVVGQMKVNDISINVWSVSINFFSRTTEIIYSSGKYATQKIILCNSNQFGYGLVHIMTHLDKIFYRMDIALGIELSILSTMRGILCHPIFRKCFYMQIRGRNEVLSNEDCDHLLKKTQPTSGITIFSKLSPDFDYKKIIHFSLIRVPNLGNMPLEDLKALNSEIANLGNHHFTENDINQFLNHWIEGNNRKLRRLKLDGFKTAPDWDILLKDIVYTEWDPRERGKNYTSKYTHIMETIDCEDGKDFRSKDGQLSTVVHHSEFLDFVVWNDKFPE >CRE10963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1037596:1038182:1 gene:WBGene00080437 transcript:CRE10963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10963 MGCTSTSFLEDDKFRMVEIQMRKHISLHIFLPKIRFGLRNALKIQKNGEKLYKLISTAEKKYVNVKAFSILNGKIFYFQIALPRFNINAETDLASFMKSIGIEKELYYTISEKVYRNIPSFVHKSQFKLTYQKYNMEEILYNDDYVVDRDYAGVVYDGVQIICPAQMQIICPAPVSTN >CRE10983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1201906:1204398:1 gene:WBGene00080438 transcript:CRE10983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10983 MHRGRRQENPQPARVRVRQTRFQRFVEWTDMIKNGICRKWKRSKRFLSFSWDLTSSRNFTSSSCSCLLCLIYLAHKLYGFNGDLMKAVGLGLKVAGIAGILVGVYGLVMSSDEHITEALSLLYYYTQAKSSAKLNFFRLLLEFLETWLGTTICLILFSTGISNPVQPLLIASMILVCASIFTSDRAIVYPIRRPHAPPAARIEPNQQVEVVDDNDEADEDDEAVGVERNMIGNPIVDHFR >CRE11124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:983627:984286:-1 gene:WBGene00080439 transcript:CRE11124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11124 MKNNKAGNQVEADFARLGVRIELPDKRTFSIDQFELFVNEAIREIFGNCGPIVKTKLPRFTFSDLRIQQRPSSWFRRRSGQRNSTSLGGSFVER >CRE10804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1970:2674:1 gene:WBGene00080440 transcript:CRE10804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10804 MKIASDQKTFPILKLPFLFIMEFRLFGNIYKYLKRNTALTHYKTERNTICIEFTKEWADYTLAFFRKDLSCLAMNSNLSTHEILSITDWLNKKQWTLEYCEFSGDDTNPESIDLFFEKRKVAIGNLSFVLRPEHKIRPLKLGVLDVDEFFVKTDLLNILLIG >CRE11004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1314987:1315612:1 gene:WBGene00080441 transcript:CRE11004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11004 MLFLLSTLLLFTSFTASAPVLTISEEMEQIFQNLTTDAQEDYFQILFNDTVTLREMDHLFDQWAEKHGILDKWTAYSGKWETRKEKFHKTVLSVIENLPSAYRMMTEITSNRDQTINQVHEALDQLKETYNMEVSMISFLSKVMVLNEEYIMNGGNVEIGNEVSQDLRRMREYKKNRGLNALLVPGPLV >CRE10846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:196819:198721:1 gene:WBGene00080442 transcript:CRE10846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10846 MVAPSKFPFLHLPHLARNEVLRQLTPFEIIILSLCSKSANKLCKDIRKRETNSGCCGNGNRIELKFSSKNEITLKFRDPRLTTWSFYFDDSIDNRSFKVMKDLYTSSWTPSEDQVKYIILWNERTRLDHNLRLFTTGTDVIEVIERWVLYLLDLFNASLDKLHLNSQYFGIEENKRIINAFGTEGSMTTFVLEHGDVKGKEDEELIRWTLENQRARRHLTLNFLPNEGFSFDFKTLKYYFWDITIENSKWISLEQTFDINSMAIELLGSSFTNNEFKIIMNKWKNGWNPNWSSMKIEFSETLDVENFVNDNLFENEGDPDLKPFVLSNIFRLLPGNENTIVYNVTRSDNTVITIRIKENIADFFLYNLR >CRE10817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:115074:116548:1 gene:WBGene00080443 transcript:CRE10817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zgpa-1 MSEIDDLKSQIARVGNLIEIETDEESLRDLEQAKADLEELVALLEEEKEEEAGPSEQIKEEAAVDEKGKISDGKSIRKEETDGDDDDNSPDNILGSRCMAPFVSDRSLPLHTAIIMEIESSTRVRVLFSHPTCIAMKPCSHFLSSNCRYSENCRFSHGYSVELERIEDYQEPDYSSIVEQGLVLVKGERNLWEMGRISAIDGQNVAVKLLTSGSEVSAKRGDLVPLGEVEEQEKDGSWMELKQETLGNVSVGELGKWNGGGGIGMKLMMKMGYKVGDGLGKRSDGIVHSIQARICAKNASLDEVMNRKRKVVDGKEKHKTKKIKKLNTSDESEKDIFAFINRKLEKKSERTLADVRKEKEEMAGYSAKSLGAKNLDLESEMKQLVGKQKKLRDGIRRNQNDKDTVAKMTVSLLEVDEKIASVNRKLRRVKDEVSSRNSKRKDEF >CRE10961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1035200:1036636:1 gene:WBGene00080444 transcript:CRE10961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10961 MDQEDVLSSSARKYFESESFKVMLTGTIKECMETVTTRMNRLELSLRNLEDQLKLQEELKLAAENQRILSTPIIELEMNLGLKLLKQSDTSKPFVVSPVSIISGVHPFFKCATPEVCLRMAKLILEGGTVDAMTEYFIDLLSVLRATVPFSEIVNLEGPSDPTIQYLYRGGYHGLTKKVFDDFLSMKLKFLEIESSSENCESTLLNTLSCSALFNHWFHRFGTFEGKFHNSPDSQRDVEYMLWPSHRHFFSENDEFQMVQINLRQCVTLNIFVPKTRFGLTSILKNLTDCEQFSKLIKKTKLVYVDISVPKLKINTEVKLGGLMTTLGADKNLYKEVTKTVMESDEKITSLIHRSQFELDEKEDDETEYDYGVLECYRPLNPIFFEQKIEVKADHPFLFMYLKDNHVLFFGCYQ >CRE10962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1036818:1037522:1 gene:WBGene00080445 transcript:CRE10962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10962 MENEDVLSSLVSKYYETDMFKIMLKSTIKECMKPLNEKVKSLESRLRDLEDKLKVQKEQEEKMREVQFLRKKNHKIVSATAKSELEISLAIHLMTGIDTSESFIISPVSIILAIHPFFKSASPQLRLKWAKLLLEGGTPDDMTEYFVDLLSVLRASVLRYEIRRRDGKANDPTIQHLYRNEGYHALEESVFKDFLSTKLKFIELESDEMIVNSINYNSMK >CRE11132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1039942:1040548:-1 gene:WBGene00080446 transcript:CRE11132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11132 MNWRHDPRLKQLLEKATLDRLFKNQSIYSSDEVQEMENTLRHVLNKYENNDTFFEKLMENQGNEAEPLTQECLNSLCENIINRDQKHTPENMLTLSKLFTKIGDYRLACEMIWCCASLAVHEFITTRQLKVKLHLLNEKKTFLRALSRKIGNQFAVFEA >CRE10954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1013468:1014833:1 gene:WBGene00080447 transcript:CRE10954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10954 METVNKRMSILELSLRNLEDQLKLQEELKLAAESPTPIIELEINLGLKLLKQSDTSNPFVVSPVSIISGVHPFFKCATPEVRLRMAKLILEGGTPDDLTEYFIDLLSVLRATVHFSEIVNLEGPSDPTIQYLYRGGLEKNVFYGLEKNVFDDFLSMKLKFLEIESSSESCESTLLNTLSCSALFNHWFHRFGTFEGKFHNSPDSQRDVEYMLWDGYRQFYSENDDFQMVQLNMRRWVKLTIFVPKTRFGLANIMKNLKDCEQFSGLIRKKKLVYVDVSVPKLKINTEVKLEGMMTSLGADKNLYKEVTKTVMESDEDITSLLHKSEFELNEKEDDEAEYDCGVLECYRPFNPIFFQQKIEVKADHPFLFMYLKDNHALALLQFRRPLKT >CRE11112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:886011:887419:-1 gene:WBGene00080448 transcript:CRE11112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11112 MELVQVIRPIRILYFPIIVLYQIIRELNPIELIDFASLSNRCRIVVKSAVRRNSFELSVRFHKIPQVEICEEEYYDLVEPYLDRRYHHLDSVITLRTYFQSYEMVSVIWTQLWTDYICSIFNCKVHYLHIQSDECSGALLSIVEWLKNRQESIPEFSLTDPNSISENLSSVFRNLDITNSLCLSMFQSNLINPVDFKFNMNFVFIEGHLPSVKWITIDNLLASNCMHFCLYCSPFTDVDLNRFLKEWVNGSNPRLNALTMFVKEIDNTILIGELDVEERDGSVERIYHHPQLHCGSIKMRGGTDVRNCNGILATFKTGRMQAGGWWPFTMISNYLQCALSSPDLSVSGYDAGPIIPFLL >CRE10958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1023791:1024347:1 gene:WBGene00080449 transcript:CRE10958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10958 MRRFVSFYVFLPKIRFGLQNALKNLGDGEQLYHLINTANEKYVDVKTLCFETRKKMYFQIRVPRFKIDTEADLGSFINSIGIEKGLYEDVSNKVLGKTPRFVHKVQFELDVQRYKKFEDGFNRDCTGVVDLGFKYISDICQGPKLEFLADHPFLFMHVKDTHVVYFGCYQ >CRE11143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1136221:1138754:-1 gene:WBGene00080450 transcript:CRE11143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11143 MEPPKPKPSKRLDLQGIRALAIIVVLGFHFFPEFCPNGFLGVDQFFVLSGFLMCMLLKRAENQSPCSLVSLFYSKRFKRILPLYLLIIFVAMICLYNFFPDTAIETNQESAIHALLFVSNRPKTVQEDYFQLVSIIRSISKLSCYQLSIAVDIFTHTWSLSVEIQFYFLVPFIFMIATKIPEKFRYGYYGIIGFISFVHFYTSPATVAFNSVFARIWQFLIGMVVYLLGISKSEFQYQVLINEEEGEPECKQLLGDEESQEVECCPNERFSMFLKYSQPAAFVSLICLICINLFPLALPATVVRPLVTMGTGFLMLISENNVILSNKVLTYIGDISYSLYLIHWPIYAYWKLTCDGNKYLLISALATSVILAIITYETYEKWYLKLSSTSIGLIVVVLFFLNVVVINKDEITDHIDSMGRNFSSLDNVTDDMTVDDAERLNHRWSVNDNRNLFDPTCVYENPKTPLGWCRHTGLSKTGKYKFATIGNSWTANHARMFYQECSSKAKSIMQGSAFGCEPLYPSAQSKMCKANFTDFEERIRKEKPDYAFIFTRYMSIGAPWPDKVKSFKDDPIYQTMKEQMLKFISNIKYKLYILDALPRINRGAISKIAPLIKNETDPVIIDNMLVRPHEYEMARKRHAQLVKDCKGKCVMVDYVPEFYNKETKTFRYFDERGFSYWTTPSHLSPRGIEHIRHLWTDICKKL >CRE10913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:672758:673207:1 gene:WBGene00080451 transcript:CRE10913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10913 MNSDDVLKNIKHPRLLNITEMLNDNKCVAISTYQTVFPGAECSFDLETLKLVREVNHYARSGCSTSESSSSDTVSQSNAISRAQSMDDFVLLEERQKLSSEQRSDSCDDNLQYELSDPINPETFSSLCEQMRIQ >CRE11037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:215891:216386:-1 gene:WBGene00080452 transcript:CRE11037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11037 MALLNIQAVSAQMDANFNAKILNFRMVEEGKYTVYRIQLTVDTYTWTIERRYSDFDAYDVQRFTDRKKSFLPPKKRIGNKDLEFIEERRIELEKYVRALLELEVWYQKQKNVHSLPLISAKFFDFHQYVSSGKNNLN >CRE10976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1120474:1122730:1 gene:WBGene00080453 transcript:CRE10976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10976 MWFFGSIVQIILLYSLVETNNVELAEEFEHYRECLEKVEREIGEEQRIKVVHAIRGADVILPCFTCVSPEDGVEIDSTYKPSAGTVGRSMNYMVDFFKEKFLRSSFSMPDKDRWKFDWEFAMMGRMFVPLSSMHFDASESISDRVRRFTSKDEAEFKLGDRYELVLKNVDANSTGHYRCINRHGRNVISNMYFLEVSNMKKIVALNGTIGVEKLPSVPLKPGKVEKMKLKYTVKASDWSKCSYCSSDRGEQTRHIKCYIQPFTNIASQKLQYRYLILYDKIPCTSSLVPLEVRKKLKEIGNDQIFLETRPCFEECTGAEEINRIVNKSQDLGDIRTLDYLPGGEFVFGNVLPRLLPPVVRRVQLVLSNDPQVLSCQKLLDLNAGVHWYSLKNGPIQHTNIDELYGERAYFDEDTNLVFRQFTMEDDDEYFCYSSNNILIGTFHMRVVESDQHHQVIEVVRIFVKFSAFIFIFALVASHLYR >CRE11130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1011346:1012799:-1 gene:WBGene00080454 transcript:CRE11130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11130 MDQTSFGRDCESAYGSEVSSNATFKLQKDRFQIEESTTKKEIWYEWIRNRLRHYMILELLFSICLVLILWKQYHISSQNDKTLELISSIQSEFRNFKIDIESNRASKPTDTMNLDGGNEKLEEFVEEVMKDIKNPSIERNQKSKEYPKQVIPTQDNSSPNNSVFEINAASLVLGATVDSSRSSSSDNNPFFGRDQSGYVLIDRSDPPSDKAWCSNENNPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPSRYDVLVSLFIISCLFNSFLFIQACLDYYCNTLEPLVSNCEYRATRDNEQEQFCSIPLNKNHSSIGKIQFHFRQNHGNVMKTCAHSIRVYAETKEVPKVKERTLKQAETCSKLTYDYHLKSWTYNMVCFLNTKLFDFKNCKVLYSNDCCTECPECCDECLIQDTNGGTVFICLIPIMFFSMVIISIVVVWIIGPQ >CRE10959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1024996:1025834:1 gene:WBGene00080455 transcript:CRE10959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10959 MSEYFIDLLSVIKATRLRYNMYSHGRHFCEPNPIVYWRFGLHGLDEKVVNDFLSTKLKFIEFEPEDTIVNSITYSQLFDEIFHTFSQSKQDFYSTKKSSQMRGFIQFTDAQHNFSENDTFQMVEIKMRVHVSLHIFLPKTRLGQEHALNNLGDGEQLYHLISSAQEKYVNVKYVHKSSKTIYFQIRLPRFAVNTETDLGSFVKSIEFDRELYGIVSKNYSEKTNLLNKNLMQIVFSYIMKLMVVFLARFIPRKYRKLRKIGIK >CRE11058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:394286:395023:-1 gene:WBGene00080456 transcript:CRE11058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11058 MGSTHSAVERGLGALGKLKKIGRWIPHNLSNFDLERQVDMSLQRLTLHPNFNWLDHLVTLDENWVLYENHHRRAQWVDADKQQEDVVKQEIHPKKIVLFVWWSVHGVLYWELLPEGKTITTDYYSSQLQKVKSKLKTSPLHGHRVHYLHDNARPHTAKTTKFLLATFHWTLLAHPPYSPDLAPSDYHLFSNMHQSLEGQDFKTKSEVEKWVQKYFDSKQPEFWQKGIESLPTKWHTVVDKCGNYV >CRE10970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1077910:1078568:1 gene:WBGene00080457 transcript:CRE10970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10970 MIHPIPDSAPNPRPLRITKRQQLISKNATMIPVILDSLFIMPSVCFVVVYVWILSQDTFYVNILHITSLFLKLAIARKQFKVMNNIMERTVDAYIRAGSTNMDLVFMRFLVVVHDYLLILIKGGTTTEPCDTWCDVLCVSFLSYFVYSLVVATCIIEIFRVWDVQIENLEAAPEEDRHALQFI >CRE10928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:775155:775433:1 gene:WBGene00080458 transcript:CRE10928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10928 MLIGGLIAFTLDNIAPGATRKQRGFVDDDDYDDDDEKDVLTSVKHNGYALPSSVNQLLLRYPWLTYVPVIPSKREIEDIEDERLGDIGKEKI >CRE10967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1049665:1052246:1 gene:WBGene00080459 transcript:CRE10967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10967 MDLGEMNVDVDGEENCLDSMTSELVNDLRDCSDKVLQFLASACLDRLSENGYFFDLGCFTYGLTIILGFERFLSSHEEDFADSVRKIWDYKKNKCTVSYYCNICGSNGKENIKCCNEFPAKFVRNGVMPQLQQIMSTYLSKIVSIRKDLNEGRQQTHNLAAQFFTPLRSSEESEYELRLSGILSIDGVAVPGTSKKLWPISIMLVDLPTGDMQKSSNVMLEGMIESSSNPSTTLWNSVLPIILADCEAREGSVGMYKYKLHIVTVSADQPAKRSLFGMKGHHGSLSCFFCLSAGTFYKLGGEKRAEKRPDNLTVIDSESGLNGFGNVAAKIVQFVKPYETPIDCLHNLGEGVYENVTKELFSKVNKSTPKSDLFECDSNTLQNALNSVILPSSYSEIGNCRNGSDKISFFRLPLALVAVQSEFLKPQARFAIIALSMIANKMYTTGKGPALFDEQMCSAALWFLKEASEEYLSCKTHEVLFHLPDVVHAFGNIGPLGTFAFESSYQFALMGYSTRMTRHFSETACARMFRVLIHNAIRREISRRFAENPSPSLKKFLTFTKGLTPQQVYYNNVISCQLENIDEPYGDGNTLYGSLSLPCGKLKSEYYESSTKDDVFFAVKEGGHLECFRFVAAMVDAGEIKILAEPFNEINVANQFPSLSKALQELDNTDLYYGQEVIRMLKEFEGVKFCQLSERRVSVPLKSVISLGSYIDCGDGLCVFAVNGTMIHN >CRE10845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:194333:195305:1 gene:WBGene00080460 transcript:CRE10845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10845 MKDLYTSCWTPKEDPVKFKIFWNERTCFDHNLRLFTTGPDDIEVIERWVMYLSDLFNASLNKLHLNSEYFGIEENKRIINAFGTEGSMTTFVLEHGDVKGEEDEELIQQTFDINSMKIELLESSFANNEFKIIMNKWKNGWNPNWSSMKIEFSETLDVEDFVNENLFENEV >CRE10842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:189198:190593:1 gene:WBGene00080461 transcript:CRE10842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10842 MHSIQAKSRLDSIFNGKKSPPYDLAEVSEHICTVKKDEGLGLELKNLMVVTITYNSPCHGQLKVGDVLLCLNGIQVTGQDKMGKLIQTIFNGQLTTKMTIKVLRFKRHISRPTSFPPLYKHEGFTNDTLVLYNLKGYFHLGLDIKELDGKLIVCDFVENSLADITFSLGESILDVDGEKITTCAAFNDRVRKSLEIRNFCLITVEVPSTDPLKNLLRNQISKAVKDAARVNKLPPDAVAFLAEGLAVFKKLEREPLKTVWMGDRHGKGGTSENGNHLKMEDKVKETDVPTGWNSRLFVRLPPMKTFETENLPQ >CRE11022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:67686:67939:-1 gene:WBGene00080462 transcript:CRE11022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11022 MQLSVVFLLVVLCLAAVIAIPISDASRARRDAVSEKRQTKSRSGYIRFGKRRVDPNAELLYLDQLLL >CRE10984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1205767:1206494:1 gene:WBGene00080463 transcript:CRE10984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10984 MIMFMLMILGSDGSPKKNMAYLPMILVGVMIYRFLNIGMMSKKKRRDIPYYAGYLKIVLLGSMTFSLVLMPMTYYLDLSVPSHYQLYKYIIYAFVIFLGYFTWNVVDACELQYSWAYSVLLPCLAVFHYYLPNYMEVTGSPSPLIFIWNFLASFFDYVLLEMEQLQPSSILGDNESPPKRVSRLMEPNFRRLNFR >CRE10909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:664712:665029:1 gene:WBGene00080464 transcript:CRE10909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10909 MAWVGIAAALLPNGRTVASIIKLDINNGCKSCRINLRSDLAKWLLECEFVLWDEAPMSPKASMETVVRFMREVTGIDLPFGGKVVVLGGNFRQVLPLGYFSLQLC >CRE10969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1055378:1057805:1 gene:WBGene00080465 transcript:CRE10969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10969 MSGSPDSLSPLRVTSPDAPELPAKKDSSEEDPSSLIDKDQEELPETEKGKISEFLKKFNSLYNEVIEDHHQQEYLIGFCLILKPYIDSYKKHLFFWNEIFSYSRIWTFVLQVLGETQEVALKTDAFNVCRDIAEQWSHSDNSESVFRGRRAEFYVQRTLNILEMAESTEIVSIVDNILHYFATSNKGTESMITNGLFRKVKNHLKRARMSKNLAIELSPCHLSELLKNIERKRSMVDWIIKRLRDTSSPKTEHCGTFSYYSGTCFLVTTLEMVNCDDVIAKLLRDYYRSVRLGGLSVLQILSCAVEASYQNPEHAIHTGVLFEFLEGKNYGMDIEYKRGGFTSILMKYILKNVPEIKKLFSFTQILNDEPISSIMLETKDGFEEVEGVYVLEYEIFSELPQKLVIHFKVLLDQLAPYPLLLATDKMDTAVYKLKSFCTKTVEGDNGHALAFVWKNGRWWKMNSGRKEATDFSVTSVVNDILIAIYEKDEETM >CRE11142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1132954:1135425:-1 gene:WBGene00080466 transcript:CRE11142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11142 MDRQKPKRLDLQGIRGLAILLVLGFHFFPEFCPNGYLGVDQSVRTVFVFTKRLSFRFFVLSGFLMCMLLKRAENQSTCYLITLFYSKRFKRILPLYLLIIFISMICLYIFFPSTSIETNQESAIHALLFVSNRPKTTQEDYFQLLAIAMDIFTHTWSLSVEIQFYFLVPFIFLFAIKVPERFQLGYYGTIGFISFVYYDTLPPTLAFNSVYARIWQFLIGSWQTIKSGNLLLVLGMVVYLLSVSKPQFQYQVLANEEEEEELECQQHMIEEESNSASSIFSQHAVFVLLAFLISVTAYPHKLPDLAIRFDLAGRIPPLKPVITIGTGLLMLFSEDNIILSNRVLTYIGDISYSLYLIHWPIYAYWKLTCDGDTFLLISALVGSVILAIITYEAYEKWYLKLSSTNVGLVVTTLFFMNVVLIKRYEISDYINNVGPSFDNITRHMTLKDAENFNYRWTVNDVKNLFAPTCVYENPKTPLGWCRHTGLSKSGKYKLAIIGNSWAANHGKMFYQECSSKAKSIMQGSAFACEPLYATGQTDICKANLTDFQDRVKKEKPDYAFIITRFKSIGDRWPKGVKSFKNDKVYQTMKEQMLKFLPNIKYKLYILNSIPQVDSRYILKIVGLLKNNTNLVNIDVSEYFIEGSHYSVFQKKLVRHDGYEMARSRHAQLMKDCKGKCEMIDYLPEFYNNATKTFRYFDERGFSYWTMPSHLSPHGIEHIRHLWTDICRKL >CRE11138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1085370:1090994:-1 gene:WBGene00080467 transcript:CRE11138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11138 MRFRRLPAIEIRQMGFFLLCCLSLFLNPIALVSANTGSSGYQIVELSNDLSLIARFFTAIALEQELKAGKVSTHEVVLELLDLKNGVSLDVLEKENVLALTDQLSEISDVVEKRCSGDSCSSLKRISAGLTALESIDQLPSDDYSKLFDDGSSLQALGQLKKSHSVVVSLKSQVEELIGLLAANAATTKIGDPLGHVFSLRKNINGILDSSKSLAVKFSQTQIEALEENKLKTSMENFLKLSGVTTSLRNSGIPIEELNQKMNELTATTTELKKLLSKEDLKKRIQLLVDVSDGMKLSMAEKSMTSGFFNGLDDFKNLSADLKSSVARFSEQEGIGEMVSSVLNLAQQLEPLSSAKTASKDPNIVINGLSLIKKLESAKQEMGSFDEYESIQKCVNQLSTLESGLSLSIWGQEQSNVKSLGKFGHEFTGKYNGISFDASKLTSLKGLITDDNNAATIAAIRSSADLSTVQSELTALKGSLDGVTTFELTTWATASKVDTLVPNASQWLKNNKMDSITNCLKNKIVFKNAKKVIDQRDSLTAVLKLKKDNKEMSEISKLATSQKPIIKAWNDFKSAQKSRKARSTKSDGKLEDAMKSVRGISSAVKLFRDLAAGFTSKNDIQALLNAEKTIEDAIKKVTDANARKELEALWNSESKKMLQNFLALSNEVNKNIKTKPEKLNEYSKFFEMKLNFDGMAMLKMRSLSEKLQYNDLPIPDYDTLNRVKNLDTIDFVNAKTKLKFGLDSFMLIVTFLSAQPRLKKMRNILVTTTIAAGFPGYVYALIGCGILAIVVAVVVGICVYRKKKKKDHTVVKPDCEKKSTWKWAGPIKRCLDQCIEKMKIKEKPKKRVDNDPAPPPPPRPVINSTTPLLPKPDKNEDDNDNDDYQNDPVIEASLKKIKGRPDSGITLEGEKIESNVPDPVKKEKELSTDQIEFAKPNTISREIEIRIPSLEDDMTPETTTNLTKTISSSTVIDMEPTPNEVAVDVAKSESTHTVAIKTLEKTQSDTRTATSGDSQSEFLDGGSVSPQNREGDESADVCIKGKEQSWKEVYDNIERKDEKTPEICQYFPVRILQHLSDWLGKYAEEETFAELEILPATTVDPRHEGGGGGRKRKDTTSQGDLSVAELIHKLMTEQNMKVAKWKEGEFPLTYEQCMSILKMAKKIVIEEPALVCLNRKKTIVVGDVHGGMLDLARALNFGLYDKDATILLLGDYVDRGRRGIDVVMLLCLLKIKKHPKTEEEWKVRNDILWGDPDENSSELFPPSKRIKSASNYSKEGHDAFLKGCQLDGSCRGHSVVENGIKPYFGTKCINIYAATNHKDNNCSGMAIVHFDGRIEYIRIRNTQYDPGHSNTKDEMTVRGN >CRE10835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:158276:158634:1 gene:WBGene00080468 transcript:CRE10835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10835 MQIIHKRSLKYPITDLYNCRTAPRTPRPRAIEAKAQENEHEKVKPQQEEKGTKREPKQEFELKDDGKDKTDAEPSTSTPSRAPSLTRRHTPSRKVKTYKSLRE >CRE10942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:902905:908062:1 gene:WBGene00080469 transcript:CRE10942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10942 MEPVRDCRPMRILYLPIIILYKIMLNLTPVELIDFSLLSNRCRIVVKSAVRRDSFELWVRFLKVPRVEISTDEEHYDLVEPYLNRPFQYLDSAITLEKYFEKYELVNVTWAQVWTDYICNTLNCDIEFLDFNADECPGSFPAVVEWLKNRQLSIPELSLEGSNISSEDLSIVFRELEITNSLDLSLLQKYEVRPDEFKLNMNFVAIEGYLPPLKWVTLDSILASNCVHFYLGCSEFTDLELNRFLKEWVKGSNSRMKCFSVGTKQVNREALTDGLTVEGRDESVVRTYENDHMHCPLQMRSGTDIRNCNERLGTFKIEEGRGDFKTFTMINPVSSISRNGECLQQAIAIVKPGVKFREIGNVIQKHANANGFSVVKGYCGHGIHRLFHTAPNVPHYAKNNATGVMKAGNSFTIEPMINAGTFHDDKWPYDWTAVTVHYLISSNSYFNKRDQNRPWFMDQIEQKY >CRE11070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:524517:524957:-1 gene:WBGene00080470 transcript:CRE11070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11070 MSALPILELPILVLMKILRIIDVETVIPISLCSRKMYHLVKTFRDKSDTLRLKIDGIDLRVQLATPDGNYHEVEVVAGTSETAEWVKIDGHLVPIDRSRKHHGWNTYWDDKVKGMQSIMEYLSDLLSK >CRE10864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:331807:332265:1 gene:WBGene00080471 transcript:CRE10864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10864 MGARSGWQHSNGTMYKRMAMADPGAPVSNPEKPKDKGKGGIVLAVLTKDGTLPGSLKFRVSGQKVDDQYEDFHKDMNSSACEEYMQKVIPLLIAVASPTGRKPVLIMDNAPYHNRTRVKVYLKNIMKPLHERFQPPTSLTLKEDLEQWLMIK >CRE11141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1129301:1131876:-1 gene:WBGene00080472 transcript:CRE11141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11141 MMNQPKPSKRLDFQGIRACAIIVVLGFHFFPKYCPNGYLGVDQFFVLSGFLMCMLLKRAENQAACSLVTIFYSKRFKRILPLYLLVILVSMMFLYNYFPVTAIESNQSSAEHALLFVSNRPKTDQEDYFLMLNTGIDIFTHTWSLSVEIQFYFLVPFIFLLASRLPRNIQYGYYILMGLLSILFSYTSSEIVSFNSVFARIWQFLIGMLVYLLGVPITQHSGKAEYQVLKVEEGEKDIEDLKLLLEDADDELEDDEEEVGIVISNESAAVSLRFSGIFSYLLLCFLLVTTAFPFVLPADVVRPAVTIGTGLLMLISEDNWILSNKILTYIGDISFSLYLIHWPIYAYWKLTCEGNEYLLITALLTSIALAIITFETFEKWYLKLSSTSVGILVVVLFFVNIVTIHKDDIYDHIRTIGRNYSNLDDVTENMTVDDAIYLNHRWSVNDLKNLYDPSCEYESIKTPYGWCRHTGLSRRGKYRIMTFGNSWTANHAKLFYQECGYKAKSILQGAAYGMVTACEPLYLRSSKDKCLGNFTTFVTRITEEKPGIAFHFTRHISIGNGFPKNVTTFDKDSIYQMMKTQLLKFIANIKYKLYLVHAIPSVLPNQLGKVAERLKNGTNRVELVKMILSPHGFELARRRYEQLMKDCNGKCEMIDYLSEFYTNSTKTFRYFDEKGFLYWTAYQHFSPHGIEKIRHIWTDICSKL >CRE10939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:878336:879449:1 gene:WBGene00080473 transcript:CRE10939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10939 MLPNPSFPLLKLPLVVLRRICANWIPIDLLVLSNVSKRTMMRVHSVIPRKRFKLKLLFWMNSRAFVLDGTEEHVIEIPFEQRNRIDWEDEMYSLNFIFEDISIRNIIWMFDYVSYVLNTEIHRLSMCADQCSGNVLKILSWLNHRQKSIDDVEIDFNTKEDIADIISLCKNMNIKERLDIANFSKSHMGKRLNPKFEMDNLWLHAYNLDQWITLDNIMNFNCIHIDLTSFSFTSSDMNRYLKAWINGCNFRMKYLSLDLRPLDHKILTDGIEVEEVNASIVRSYRIPILRGPCVFEGGTDILSKDGRRATFQQIIDRDYLDSDRKFSFEMVVWPEGGQ >CRE10890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:519191:519733:1 gene:WBGene00080474 transcript:CRE10890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10890 MRQKNVTKLFAAIFVSLFLCLIVNSRNQSMYRIRMSAVEHVAKNYSAWNDCLIKNISIYNGKPDELWGNLSKGIKLCENSKEIKGISIVDYGNTDETKRHITPKHNKPSVIISLGIGHDTLAEQKLIKVFSPVFFCF >CRE10971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1078940:1079502:1 gene:WBGene00080475 transcript:CRE10971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10971 MMVEPDSTPRIPRKQLKRNTVVSWLVFYSDLAFAIVAFGLMICYFSNDFPTSVTLSQGVSIIGKSYVVYRQYHIIQQGLEGTQETYRQAGIASVKSYALRTFILLWDLYLEAAKFFMHLYDWDFLSLAIIGIYVSYHVYVSAEIMHTKERFLIDETEVEEERLDSMGVTAE >CRE10844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:193734:198164:1 gene:WBGene00080476 transcript:CRE10844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10844 MVAPSKFPFLHLPHLARNEVLRQLTPFEVIILSLCSKSANKLCQSIQKRETNSGCCGNGNDIELKFSSRNEITLKFRDP >CRE10956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:1017916:1019343:1 gene:WBGene00080477 transcript:CRE10956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10956 MENEDVLSSLVSKYYESDMFKIMLKSTIKECMKPLNEKVKALESRLRDLEDKLKVQEELEQEEQKSTAKSELEISLAIHLMTGIDTSESFIISPVSIILAIHPFFKSASPQLRLKWAKLFLEGGTPDEMTEYFVDLLSVVRASVLWYEVKRRDGKVNDPTIQHLYRNEGYHALEESVFKDFLSTKLKFIEFKSDEMIVNSINYNPIFDEMIHTFFHSKRTFYSTESSPQTMGFMEWGAHQHHFWKMISFECTAEKKYVNVKTFSILNGTIFYFQIALPTFNINAETDLASFMKSIGIEKELYDIISEKTYRNIPSFVHKSQFELTYQKYNMEEILYNDDYVDDRDYTGVVYDGAPYCVYFHGPKLEFLADHPFLFMLVKDSHVVYFGCYQ >CRE11109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:876550:877027:-1 gene:WBGene00080478 transcript:CRE11109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11109 MDNLWLHAYNLNKWITLNNIMDFNCIHIDMKSFSFTSSDMNRYLKAWINGCNFRMKYLSLDLRPLDHKILTDGIEVEEVNASIVRSYRIPLLIGPAVFEGGTDILSKDGRRAIFKQIIDRDYLDSERHFPFKMVVWPKDGQ >CRE11036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig18:207831:212268:-1 gene:WBGene00080479 transcript:CRE11036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11036 MPTPIQQHNRKEEEGEEAARLGSVIWVENEIHSIVDDLSLRLGNLGDGWLESSQTSPKYFEFTPIELHAITERLRLPEPTAPVPDVNMVADIGNTIDFLHRVRALKIRGQKGYVGTSNIVWNSLDMSLYFCKGLKALWIADSDVCRINGIKSVRETLRRLVVHYSMKKIKDLLFDEEDLEAGLMVEEMGTWKCLEEVDLSFNEIKTFDESMKLLPEVRILNVSYNSITDIGSNLAFLSSLTELDLSNNTITRIESWNEKLGNVKKLILSENAIEDLTGLGKLYSLEYLDVKGNNIQTLEAVQGIGKLPCLEIILLRDNPIRKLVEYRTKVLELFGERSSEVVKLDGRRPEPRELDTVRVRMALRKAKEEKEERERRRRERIEERIRYISGEDVSPNVSGSF >CRE13083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:126946:129123:1 gene:WBGene00080480 transcript:CRE13083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13083 MLDTSMNTSCQCQPNASLRRTMHCYNIVAIGAPTFPTHTWLLLQDIKCPGIQHLTKINVESWRRYQTVEDEQNDESLG >CRE13088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:22244:26764:-1 gene:WBGene00080481 transcript:CRE13088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13088 MTLQKRPAYCRGVSSLINGVLLQFSLTVGAVLAMPKVLGNETHWWYLYLFQLSINTVVLCILPMVHESPSYLASQEVKHHHTFKSKIVASVKYYHEISDEDAERFAENLIETHQISRSQESIISVWKTPFNRRGTLLGMMVTFAMAMSGITVINAFAFEILMDVGMKQDTAAVANAAICFFSFAGILVSTKIIDHFGRRPLLISTFGFLTLVNVAIISLMYAYERTQNQIVSYFLISAICMFNFLFAMGPGPLSMFITGELVPQTCRSASSVWTNAIMATVRFLTLTFYLPVKNATSEFMAYAIFFIVPMVVAVLVLFFLLPETKGRSVEEIREEYERKALLR >CRE13081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:114238:115368:1 gene:WBGene00080482 transcript:CRE13081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13081 MVFIDFKKAFDTIEPTALWESLKTQGIDSGYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHLKEDKEDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINANKTKVLRNKFASSHQIHVRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNSIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKMSQVKDPLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE13079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:66400:67317:1 gene:WBGene00080483 transcript:CRE13079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13079 MNATPIFVPDRLHIHHVILFLFLSISKITEIEERTVEVYKDNAPERQTISRLVHRFKNNDFSLAEEARSGRPVEFDIDKLRVGVGSDPFQSIRELATLMGSTHYAVERGLGALGKVQKMGRWIKHKLSNFDLKSRVDMSLQLLTLHPNFNWLDHLVTSDEKWNLYENHHRRAQLVDADKQPEGVVKQELHPKKILLSVWWSVHGVFYWELLPEGKNITADYYSSQLQKVKSKLKTSPLHGHRVHYLHYNAKPHTAKTTKSLLATFHWTVLANPPYSPTLAPSDYHLLSDMHRSLEGQDLKTKSEV >CRE13078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:16289:18183:1 gene:WBGene00080484 transcript:CRE13078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13078 MGDENSPHCPRNLQNLVKNGDKRIFIGESYKHGSLKIVMYRKDESEEYKETGKAVCVDCMQLLTVQYGSHVNRHVKERCKKRKLVATTGEEGEIMEEKRQKKMTEYNEKKLSAALISKLTDASAKFCLRSGKAFNFCTSQSVNNYTLDVINAVSSGYGQDCLKQLPTRTTIQKYSEEMSKELVSKAFDTVRPFAGNRLNLILDHGKLINNYLSVMGSFIDEEFKLIVVPLGFTPALDGKSTLETKNLIVKRFEEFKIPEELVLSSSVTADGALSGLSNYFKGYIRCVSHSLNLVAHRTVVPLDIHKNRMTTEELSTLAAVSDLMKNAQKVSNAIRTNVNLCSRLSKLPVLCVETRWIIGIKCLTDVVELSEEIQANFSALSSIGKQAFSALNLDNFKFAKTVVKFFEEIELYTNVFQSQKSVTMHLVLPTYKRLRARWEKYRNFDFNDLKDSDIDNNVIVTLSTETVEEYWRKKRHEFPLLFEVATRVFSIVPSEAVCETAFSTASYLLDKRRSRLGSGKAELVVLGSQIASKFPEWI >CRE13094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:78254:78702:-1 gene:WBGene00080485 transcript:CRE13094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13094 MESIPDFSISVNTVTYSTNSLCVASCVILFISPYLTITSWILILITFLLAGIAAMFAVLCRTHNNTVAAPIEPLEEEKDEKFTEEKA >CRE13099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:136116:140569:-1 gene:WBGene00080486 transcript:CRE13099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13099 MGSSASKTVDEKKISQNEKGLVVNISSGGSVNITGNDIRFTSNGESTSNGESTSNGESTSNGESTSNGEKTASKIPSSYAIIREKNRKRLQLKTKRKNRRDVRPSVRDVRPFVGRDVRSSNQQPLTSTPRQLNPPRHHYNFADISRISDYREDFLGGRGPTFVGDSSSYNNDNGTNPLPNRSSGHPAQYDLSDISLEIENPRENEDRNNGETSQENMHRTYTVESANVRQLVKNRAGPGCNFARLGSAPIILQRTTDNPTTNHNSLYYDVEEENRADVNENSSSRRVTTADMENTRRIQSSSFPKSWILKNDPNSTLKNNALRQLQLCQPQKKERLTTDTIQLGTNSSNPMTFFYRNFRDLIGNPYPVDFGPTNGWPKMRCYNIVAIGASTFPHTWLLLQDINCQEIRYLTKVNVPNWRKFLTEDDEQNDEE >CRE13096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:110053:113516:-1 gene:WBGene00080487 transcript:CRE13096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13096 MTIGWTQRNLIYCDTDSIIFRQERGTNPLESLRGNGLGQLTDETPAGWHIDEIVAMAPKVYAYKMVNERGEEKYSVRAKGFTLNHETAEKINFFTMKQMMLRHLKKEEADTSVNKMTMKRGSNILDGIETTIEKKRLRPVMDKGVFASDGSLIPYGLLNPSTTIEDDYMTSIHSFSPTGHVSVDLCIRKKTWIRGNLAVND >CRE13101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:159794:160480:-1 gene:WBGene00080488 transcript:CRE13101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13101 MGITTSKSENLTTDDSNKGSGREKKNYEVVTRNEEGVVFNIVAGTSVTISQNNINFSSSMQEPGKLSWRAKRARRIAARFEKGKHLRKMEANVKKSGGKRVTFKRDVEQKMEVKVKMEQSKHVKIESSELMN >CRE13086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:188643:188949:1 gene:WBGene00080489 transcript:CRE13086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13086 MKFLAIAFLLVQSVHGMTLTRVECADNVNHLRAENAEHRQWGNVNKLLYNISLEKTLSEFLHQYNGCPGPIHIGGSEYLVTLNIIEYFPLGEEFMRSEVEKG >CRE13091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig180:47494:55325:-1 gene:WBGene00080490 transcript:CRE13091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13091 MSSAVESSPTIRKWPPRRTALMATIVAFGSSFSFGFQLLITNPAQGAFIKFLNASKHSNNPADNVLAHLENEWSLIVAIFFLGSATGAFLIRTVAESTERIKERSSSVTSSEKSWAVQTNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNEGQSEVLVEPVEKYCQELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDSWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQENEPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPLVVRGXXXXE >CRE01502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1800:3:308:-1 gene:WBGene00080491 transcript:CRE01502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01502 MSLPFLKFPGLVQIEVIKQLELRDVFWMSLCSKYMKHVIRSAELRPKRVHYLVAYNRIQVALGFLEHNDNVHPLVLVRRISYGDTKDLKKMKMGGATIKSR >CRE01500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1800:1220:1583:1 gene:WBGene00080492 transcript:CRE01500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01500 MHNTPEKVSTPLEPEIKTESTQIEKTHEETTLRIVTAMYTASNRDVPESVHQSLQSKRGNLARPQRTPVTLQTISEKVNEQERSEKSTH >CRE18364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1803:4882:7104:1 gene:WBGene00080493 transcript:CRE18364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18364 MRKIKPLIVMMAVATSAAIAHAEQQDASSTQSSNGNAKQLSTITVVASADASAEGLMPAFAGGQVASGGKVGIFGNQKNIDTPFNLTSYTNQYIQERQAKSVGDVLKADPSVRVGRGFGNFQENYYIRGFNLGSDDTAYNGLYSILPRQYIPAELFERVEVLKGASSFLNGAMPSNGGIGGAINLLPKRASNEPLNRVTVGTDFNGGYIANDISRRFGEDQQFGVRVNTAYHGGDTAVDNEEASLGLASIGLDYRGDRLRLSGDMGYVNNRLKATRPNVTLGAGITEIPKAIDSSDNFAQKWTYSNEEDVFGSYRAEYDLADNLTAYAAYGFRHGEEQNSLANMTLTNQTTGAGTIYRFDNARVDMVNTGEVGVRSKVNTGSIEHNLVLSASAFQQNTRNAYVMDYKNTLANNIYNPIQYDQPAYSQNALFGNNLDTPKLTTRTRLRSIAIGDNLKALDDKLTVMLGGRYQTIMQENYNYGTSTKSGEYDESKFTPALGLSYKILPEVSVYANYIESLAKGLSNTNSTTKETTTLKPFVAKQKEIGAKYENDQFGASLSLFDIDKQRAVLENSIFSDAGKYVHRGVELNTFGQLTDSLKVLGGATWIDAKQKNTGNVNYDNKKEVGVAKFQANVGADWKLPPVHDVSLNAQVTYTGSTYASLDNKLKVGDWTTLDLGATYKTQLGQTPTTFNFRVNNVFDKNYWSSVGLFDNINSTSNTNNGYLVAGQPRTFMLSAAFDF >CRE30330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1809:6898:7125:1 gene:WBGene00080494 transcript:CRE30330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30330 MISYKKVDDQQPADYTLTELTTVQYPFFTHGIHPKRQESIICSERKMLIEEKKFETRQMTYGLGHHTNSKTRLVS >CRE13720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:40656:42094:-1 gene:WBGene00080495 transcript:CRE13720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13720 MSFKCQVCDGPASGVHFGADVCRACSAFFRRSVSRSHVYKCKGQRSCEIVSENSIRIANQRVNILFNVLKNACFEIFIKCFTIYIRPLLEYGTIISSPITKEQIRKLESFQKSFVFRVFKKFHINYSSYFDSLLHCHLESLERRRLLLDLSFMYKLLVSKEIIIPNISFVKFSNVSNLRRHNFHIRSLLSNSSKIGSQFLINRTLRCWNALPSHFFPQRPSSIVFKSHIASYNFDNFLILNNFNF >CRE13712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:3945:4497:-1 gene:WBGene00080496 transcript:CRE13712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13712 MELTDPEESSDSATFAIHSMHLAICTAAFAFNSFLLYLLNKCSAFHTHMKIIMINQTMAVMAADVYLLLRSTLALFQSYGAKGTVPVDECAFSTSVPDSLCMLFIWFPFLLVVERFYASQNYQDYENNEAPIIFKLLCGVMVSGIPPIPGVFKVRQHTIPLKENC >CRE13703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:79395:79836:1 gene:WBGene00080497 transcript:CRE13703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13703 MEDQRIIFRPFFPTSPGSLELFSTSIESRRFDIFTLNIQQLVNEEVYEKKENENVTHMSNNMEKLRNSENPQLVENQFVQEEEVFDDIHLATWTSSGIHLENENDFGCSFFVSQ >CRE13726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:93893:94018:-1 gene:WBGene00080498 transcript:CRE13726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13726 MSSYFSKKLFNRGANRREDCYRVKETGEAKPEDGEVPKYSW >CRE13723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:77370:77916:-1 gene:WBGene00080499 transcript:CRE13723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13723 MDRICCTKGQFWSKRSNNVVKHLRSMHDATEDDIDEFKKKLVSSRALKNHGSGAWECQECKKLCPSERSLNTHIAKEHVSRILDPAEITLASRPSSPIASLSPPKKVQCQKIDECDVIIEKTASAPGKPKEGAGKMKCPMEDCGEMFSTREKIAVHFR >CRE13705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:90245:91588:1 gene:WBGene00080500 transcript:CRE13705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13705 MRLLFLLIITLVATVLPCAPPGFVQSNDPSQVGARCSDLILYPVSAVDQTDQYRFDYIDVTFRNTPAQGATVAINCTTGGIAAVVDGIDQQRASISAAPSLLATCTNVGGSQYTWTILGRILQFVDCRF >CRE13719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig181:39222:40545:-1 gene:WBGene00080501 transcript:CRE13719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13719 MYNQLGVKRLAVYTPRPGRSPINFKHTTQVLLQEYHLLSDWIYNSFDSYYAALANDQKEVLFRNFFFQFVVLEAGFRAGQHRRNDVWFMPSMDYIDCVNLDTFFHDPDKTQPMSSEEAVKVFGSSFGVYHRNVSIPMINYQIDTVELLVLATLILFSTGIDGQSEECYELCRQMRERVQKEMLDYYKITKTTEEAPLRMGCVLSMLPNIQRATRRFQEEIELRHVLKAYFVDRKFYDTCLGKF >CRE04459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1811:5220:5756:-1 gene:WBGene00080502 transcript:CRE04459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04459 MLRDKFIHYFQQWRERQLSRGEHWLTQHLAGRSPREKGMLLAAVVFLFSAGYYVLIWQPLSERIEQQETMLQQLVAMNARLKSAAPDIIAARKSATTTPAQVSRVISDSASVHSVVIKRIAERGENIQVWIEPVVFNDLLKWLNALDEKYALRVTQIDVSAGEKPGMVNVQRLEFGRG >CRE04458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1811:2709:3386:-1 gene:WBGene00080503 transcript:CRE04458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04458 MIKIENLTKSYRTPTGRHYVFKDLNLEIPSGKSVAFIGRNGAGKSTLLRMIGGIDRPDSGKIITNKTISWPVGLAGGFQGSLTGRENVKFVARLYAKQEELKEKIEFVEEFAELGKYFDMPIKTYSSGMRSRLGFGLSMAFKFDYYIVDEVTAVGDARFKEKCAQLFKERHKESSFLMVSHSLNSLKEFCDVAIVFKNDNAVSFYEDVQGGIEEYRCDGILNPLP >CRE04457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1811:1124:2440:-1 gene:WBGene00080504 transcript:CRE04457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04457 MFFRDMIKKRRGDTIKDSNNKKQPDKSEKVNIVSKTPKLAEKDKKKNITNNLAKNEPKMITTNIRLFTKINHLIHTGEGINCSFHIEQWIGEFIASNERFALLIRDINLYNWAITQYPNVDIVYAKSAIAVEQVLNQLPYLKAIYYLSNTGNLIHTLRYNIYQHIFLGHGDSDKAASAHKFFRVYDEIWVAGQAHIDRFKNAGFNVEHIKFVKVGRPSLKQIVKQSVSNNNVTEHIIKTLTYFPTWEGAFEENNYSSARLSPIFLSELQQKLNCKIVAKYHPLTGSRDKTLETIQDALQNSFIDRSCMLSIANKSEPIPNLVVNSDLFICDISAVVSECISSLSPIFIYIPEDRNVITSGSDMHYSDYAYTFSNIEQLMQLIENIIINGNDHLAEARYKALDYLLSVNETVNDAFLSELKRISSTVSEKENKREFVIQ >CRE07172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1814:4380:5735:1 gene:WBGene00080505 transcript:CRE07172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07172 MTTCMSDKQTSENAVDYKATLNLPGTEFAMKANLAVREAKWLEEWYADNIYQQIRASRIGKKKYILHDGPPYANGSLHLGHVVNKVLKDIIVKSRTLDDFDAPYVPGWDCHGLPIELKVEEKIGKVGVKVDASTFRKACREYAYTQVELQKKDFVRMGVFGDWDNPYLTMNFKQEADIVRALGEIAKAGHIEPGLKPVNWCMDCGSSLAEAEVEYEDKKSDAIDVGFGVVDLADLSAKVGVNVNNPTDIVIWTTTPWTLPANQAVALHADIAYQLVEVQSERGTQNLILAKDLVESACERYKLEQPKVLADFVGATLENLQLQHPLIVDRQVPVILGEHVIATSGTGAVHTAPGHGVDDYKVGLIYNLKVENPVSGNGVYLPTAPLFAGEHIYKANPKIIEALTDAGKLWAHLPIKHSYPHCWRHKTPIIFRATPGWFISMGAEWVTPKML >CRE12441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1816:5372:5946:1 gene:WBGene00080506 transcript:CRE12441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12441 MKVFSWLTLFLLLLSSPVNSLPIAAIALQAGARVFVREKIIDFLVENVGKPLLLEVRKFVNEIIDEALSTDKIEEEPIENGTEDAWEDAGEDAREDAREDARDDAWEDAREDAREDARDDAWEDAREDAREDSREDAREVAAENNPPEDDQTARVRGGGRVRSWGRGGRRHDGF >CRE14279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:20077:20324:1 gene:WBGene00080507 transcript:CRE14279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14279 MNSLLYLFVLLAVFGTMTLADVMSGNFKGPCYSDSNCAGVCKDEGYKSGHCSFWSGACWCDT >CRE14278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:11176:11413:1 gene:WBGene00080508 transcript:CRE14278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14278 description:Mycin-11 [Source:UniProtKB/TrEMBL;Acc:I6MRL1] MNSLLYLFVLLAVFSTMTLADVMSGSFKGPCYSDSNCAGVCKDEGYKDGHCSYWSGACWCDT >CRE14287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:12061:12331:-1 gene:WBGene00080509 transcript:CRE14287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14287 MFLSYWLYSAPWFWQMSKVETSKDHATMTAIVTAFVGMRATNLVIAAVGEELAGVTHKVWTQEQCWFIEFQIS >CRE14289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:15766:16160:-1 gene:WBGene00080510 transcript:CRE14289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14289 MPILLSALSSCWLSSAQSLWLMSSVLTSEDSVISPPTAEESVVERVLYLDTAAIGVVHVGALYEYEMRPETEKLLF >CRE14288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig182:13225:13647:-1 gene:WBGene00080511 transcript:CRE14288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14288 description:Mycin-3 [Source:UniProtKB/TrEMBL;Acc:E3NBU0] MKSSILYLLVLLAVFSTMALADVVSRNYRGQCWSYSNCRAVCRDEGYVSGHCNYFGGACWCAS >CRE16560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1825:3727:4755:-1 gene:WBGene00080512 transcript:CRE16560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16560 YEYWFKEYSKKTGRELPDIRGCILSDVINGKSAKKSIDDLCDAFKHHKIDKEYHEYWFKRFENGHLFSQVTFSDLPEDVLPGIVEKCDLMSYLQLRRVSNGLRRIVDYSKPPLTLLAVRFGENSIIFHLNDDISLFFTHRKREDPPPYCSDYFFKFVGNDYTKMAFKYLEMFLKNPKLQLSTFHVAIYNYKPDKNNQMIRDLLNSLSHKIHVERTSCSVLQDEDIITVLKCLKPGTLKEMRVYGNNDSERLLMNELVGMEQWKQAKFLQFDQLLDTSIEHFFHFNEFYINIVSLSIEDVMNLSHVS >CRE15843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1826:4532:5172:1 gene:WBGene00080513 transcript:CRE15843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15843 MAPIVHANNQRIEMIRRIHYQNWMLKKTSQKGQSVGSDRSKLGKMIGASDIGNPDARVLLSNMCMSVTADSLRILFSDFNVKTLTINHDKNGKSVGTGIVVLPKKDAIRLIRQFTDVVIGSSNIQFKLIAAFNIEKRVRFADKQDENEPAKRNPLKQHEKARGFLKKMNSNNLNPNVLASTFTNMSI >CRE15841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1826:716:1473:1 gene:WBGene00080514 transcript:CRE15841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15841 MARDIAVDAPLSAGIRRGKSFKKSSVKKSTMGIKKRFCGGGKPSGGPRCMSGGAPRRQSGGFQKWDRIIPCNENREVRINISNLAPTVLAGDLQQLFAEFRIKNVSVNFNEKGNPVGTGDITLSKRHADCLVQKFAGVALDGKEMKFAIIDTSNIANRVKFPEAPQRVPTGSGRPQSRRPQSGKPNQQRTPKKQNVKAAGGQKAAKGKKPKKVAQPKKTVEEMDAELDAYMGHAI >CRE15842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1826:2779:3376:1 gene:WBGene00080515 transcript:CRE15842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15842 MAPSSDFNAQLTERARRLNQDWKQKRVPQKGRRLGSIKESSDLVHSDVRIALSNICTSLTTNCLQTIFAEFKLKTISLDSDEQGKPVGTGRIVISKDESMRLIQRFTGKMIGSKEMSFKIIAISSIEKHVRFVEKLEARTPSKQHEKTRGFLKMMENHNLNPNALVSTFSNLSI >CRE15222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1827:91:1932:1 gene:WBGene00080516 transcript:CRE15222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15222 MKNLWGDRFFNATTKKWSYTKTDDSKRGFNQFVLEPILMVFDAIMNVKKEKIQELVKKLSIKLDYDEEYLEGKPLLKAFMRRWLPAGDTMLQMIAFHLPSPVAAQKYRMEMLYEGPHDDDAALGIKNCDPNGPLMMYISKMVPTSDKGRFYAFGRVFSGKVATGMKARIQGPNYVPGKKDDLYEKTIQRTIIMMGRFVECIEDIPCGNIAGLVGVDQYLVKGGTITTFKDAHNLRVMKFSVSPVVRVAVEAKNPADLPKLVEGLKRLAKSDPMVQCTFESSGEHIIAGAGELHLEICLKDLEEDHACIPLKISDPVVSYRETVQAESSLICLAKSANKLNRLHCSAQPMPDGLADDIEGGVINARDEFKSRAKILSEKYNYDVTEARRIWCFGPDGTGPNLLFDVTKGVQYLNDIKDPMMAGFSWATREGVLCEETLRGVRFNIHDVTVHSDSQHRGGAQIIPAARRVFYASQLTAEPRILEPVYLVEIQCPEPVIGGIYGVINKRRGLVIEESQVIGTPMFIVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQVLPGDPLEIGSKPNQIVTDIRKRKGLKKGIPALDNYLDKM >CRE20149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1828:7641:8898:-1 gene:WBGene00080517 transcript:CRE20149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20149 MPFNTPQSFLHYVRYAQLVAQLGFFSTSFFCFILVFLTMFGNVYSHNAGFVVYSTSRPFNMSQDAVTLFIVLYTGVYATTISMLSVQFLYRYWAIFDETKLRFFKGWRFLIWIAYSIFFGFQWAFGVCFFFKIDDYVKSYFRFNNNQFKTTYFFDFRLEMMQKYSTDFSEIAGMTIVADDKDGNIRWFNICGTLNMTSIMLVQYSIIIYCAVRMYIDMEEKLQMLSISLRNLHKQFFKTLILQIVTPTITLFSPVMLIIYLPLLDLECDLPTGIFTCAFTLYPAMDDIIVMYIVSDYKKATKKMLKNALEQTYAWLSTVETDQSSKRTRSIAANLPVALSPN >CRE20147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1828:10251:10679:1 gene:WBGene00080518 transcript:CRE20147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20147 MCITSINKDVGGQKEDSVGDQDEQLALKNFLGGGSVEEVLEKLEEISDEFLNFFDEEAAGKSPNITKDLDSSLHEMMEEICEQIPLRKVVPNQSDLEWRPMVNDENLMPRNSTIGPIRRQKSKRSRKVGTPYHNGESLKIFI >CRE20148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1828:2855:4334:-1 gene:WBGene00080519 transcript:CRE20148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20148 MDPNVRLQLYFRCPQFRTVHRSRTLRIRDLKVIPENFEIDGTIYRVGVITQYTNKRNPSWVTVRNFRGGLQGDFDVYGLPIETQNETGNMPDDNKEVAIPQRQIKIFEEILQNKELGSDYIKSIQKVIEVAQWEVERLQMRINKSPPPNGNIQVRNLQIGGDSYASNLVDVIENGFERPSVLFSSFPLFLYAPQGDIVKPLLSIREGCLEVGVLKVTGNVTNAVTSLQKVLSALPLKQLRTVHQPFPDDPIIRTAQLVMIVNQLPFTVLFTVQITKNVQKRVAGDCKFLSSLYGHGGQSSSEPCHLCFPSYSTHGSKKALIESFEFDKYVGRRSLSDYRASLVDVPLKNSAIPPMHIFQGLTQKYGIDHFLSKCNRLNYINLQGLDEDEEADVDFPDSLAGQKKMLKNLENEENHYLEANFCPV >CRE19607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1829:6006:8492:1 gene:WBGene00080520 transcript:CRE19607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19607 MTCYRNCIKCMLLLFFMGLAALNTYSHWKTSHSFNTQKTSIPPIVTLAQSSTTPFHCPFESWNQVHSDSVPNENLHLEWIQNNISRRDNILESQIQLQKVYCRYYNCAVKRSPTPPPIHEVSVCVGPIYGSESKWLEVAEFIEHYKLIGVRYFYLTVFNMNEYSRKIIDEYLRTGEIELTVIQSEYKTIDWQFHLLQINIIFEMEFLKYNVSSPVTWGAYRTIYRPEKVQLHNEENKQINNQ >CRE14934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:3404:3943:1 gene:WBGene00080521 transcript:CRE14934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14934 MQIEDWHRSDHYDIDGCCTCTRPLRNFIEAHEHLEKINKTYTLQQTADKFYGRFADILRSRKSSIFIQNLEMNVLRSSYVLNVAQHIDVKQLRRIVIRKTPEYYDRENGKQLVMNEIVELDVFKYIQELCIKDFKITVPLETFLHIQGLTISISTITIGDVLLIKKVSECTCATILSFL >CRE14945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:1475:2703:-1 gene:WBGene00080522 transcript:CRE14945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14945 MDMPDLVMRKIMENVDFITILKLRKVCHAFRNFIDDTTQDYRLTHIQFSVYPSMISVEMRASWGLIGLHYVRYKGGNCLRHVTTDCTNKTNLMKGEDFVEAVFDEIGVILKIHKAPLEDLSIHACNSNDFRKISFDKNIMKKWLNTNWIFGWCSRQRDYNIEKHLSKMNKDFLLKPTADKFYDGLEKILRSRDSLMRLKCMAAQVIIPQYFLKIAPFIDLKTIKYLELMKESDVANKELKFDKIVELDNWECIQDLRIKGFGITVPVEKFLHKSSLKISISTISMEDVLLIQTNFLNSPSFFEEYTIHYEHLTTDVDELDSILGYFTDAYEEKCWGYAIPETDKTLEIRHSSSHRYFTFTWDEEEPFSRSEDIDF >CRE14944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:157995:158318:1 gene:WBGene00080523 transcript:CRE14944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14944 MSSSSFTAASSQEFEMDKSKNLKEKLERTKLENVTLQREMDMLEFVKNEILNDFMKSEEKGKTIDSKISELEATNRGAEQLIKVNGDELRRLRAALEKKTNIENAFE >CRE14959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:78425:78597:-1 gene:WBGene00080524 transcript:CRE14959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14959 MAGLKKKLVIGALSTTNFYFKKYIRCTSHSVNLIGANGVNPL >CRE14953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:43097:44278:-1 gene:WBGene00080525 transcript:CRE14953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14953 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NBW8] MFAIVFNSLIRDKWDHEERRRLPFEIQSLVLIDVKFDYTGFLVTINDEWLKMYEYRYPVTSANFLTIKGDCSMRSVSIFEEKGEETIKAAEYQQQETEETEKEEREEKDERADESENK >CRE14949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:21811:22131:-1 gene:WBGene00080526 transcript:CRE14949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14949 MTTAQLNFQLRNKRPVYLEFLHRNESGIIQFTDDWTIDRTLLYQGRRVTVQQYFEDRYGFFLEFPRTRMIYFLGNSGSTYPQESIICEWY >CRE14956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:60909:61845:-1 gene:WBGene00080527 transcript:CRE14956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14956 MTICEIKLKFPNSPEPVVLKDKETIKKIPVILRAINIHNIDCETTDTIVKDPIEIPFPFETGQFFFDNILKYKRPAEPLKTKVTEYKEASEKSIEQLKEYMEIAEFMECDDFMRSIAFVLAKKFDKKTDAQIVPHFPASSV >CRE14936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:42488:43000:1 gene:WBGene00080529 transcript:CRE14936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14936 MVIKYKSAGTAEELTTSSAVTRKKKTTKKSTSRTTKSKKTTRAPSFTTTMSTTQKEKTRRTRSTTTTTVPENNSKTRGRPVRTSSTAKPITTPTFSTKKQKSTTTPKTTTVAPKTTSATRRRTTTKQKDTQVTEPPTKKRQTRPPQKPTKKTN >CRE14963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:136801:137295:-1 gene:WBGene00080530 transcript:CRE14963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14963 MKKGVIKMSGFQLVEIKTFPKKQRDSLLNGRQTTIFLFSLNSYYIVAVSETSGCEVASNVEGILQLASDLRDNIHFEQNVFG >CRE14955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:58327:59678:-1 gene:WBGene00080531 transcript:CRE14955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14955 MLKKLFILSIFIVFVRAQVTEEPGTKLSTFNPNCSMNADHLLSDDLLSPIRVFTDFFPPAQPIEDTLSLTIAPWTNFIGEWYCDLEVNQKPTSVEDFCPLKLINLAEAIMDSPVGYFVDRNATLAYWLQECGNTTYNILVYGLVH >CRE14946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:5555:6467:-1 gene:WBGene00080532 transcript:CRE14946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14946 MFCLKWLNTPLIDKTEKLSVNEQPEGPIMDMPDLVMRKIMENVDFITMLKLRKVCHAFRDFIDVIKLDNELTKVNIYVTPSTINVRIHFASASWKSVNFYYIRSDEENGKQLVLNEVEWNMLTSPTAKSRRVYCDHIEDADTLHNTLGHANPDYHNIYWYFKLPESDQTLQMSKKNHDYGNCFSFKWIKSSCIPKNAVVH >CRE14952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:33139:33707:-1 gene:WBGene00080533 transcript:CRE14952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14952 MSSTARPYWTPKTKNFKGFVRDRSDKFNFDNFWLSEIRRSGQSEKSRVSCNNFSNDKLIRFDNNRIHRNEDWTSTGATRGRSEESHTRLVCLMRKIDE >CRE14935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:19720:20222:1 gene:WBGene00080534 transcript:CRE14935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14935 MRLPLLFLLLTGLAIAWLGHLNHPIRDVPHNYDCTGKNLMNCVLVESQLDMIRHAIYVKNWKLLAQLSEVPMTGENQFPGNTLKSHFEITKVYTSPWKSVITPMTVEVTVEQAGLPPRNAEMRMEKSEESLTGWKIYDFEWIGNSDIPIMF >CRE14937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:46209:46716:1 gene:WBGene00080535 transcript:CRE14937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14937 MRLPLLLLLLTGLATAWLGHLSHPIRDAPPNYDCTGNNLMNCVLVESQLDMIRHAIYVKNWKLLAQLSEVPMTGENKFPGNTLKSHFEITQVFTSPWKSVITPMTVKVTVEQAGLPPRNAVMRMEKSEESLTGWKIYEFEWIGNSDIPIMF >CRE14968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:162850:163173:-1 gene:WBGene00080536 transcript:CRE14968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14968 MSSSSFTAASSQEFEMDKSKNLKEKLERTKLENVTLQREMDMLEFVKNEILNDFMKSEEKGKTIDSKISELEATNRGAEQLIKVNGDELKRLRAALEKKTNIDNAFE >CRE14962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:131173:132082:-1 gene:WBGene00080537 transcript:CRE14962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14962 MSKVESTRRFHLQDQRFSSNTSAVGASYKAPQNHRHPGEQISAEVEETYSLQLNSGV >CRE14938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:67450:68356:1 gene:WBGene00080538 transcript:CRE14938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14938 MMNECLENLQTTKFWINLQHPGYHDGDGYYIMHKTYQMANGHTLINTIARQSKKELDEAMIFTMRIFTRPPKARPGKGVFRIKSWQVSIFSSPLLLFFFQRKFGVKTQNVVGTGHCLPKTLIIGMTWSDMHNNKCNSTVNNYKRLAEILAFRERKNLCVFISAKNAT >CRE14960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:79460:79749:-1 gene:WBGene00080539 transcript:CRE14960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14960 MTSRKRDSAVLKEEKLLQMLVNNKHASTRYGEMFKFDEIREILKETDGGEFEATNKVVHMLAVLSAVIEIGWKSYPSSFA >CRE14943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:150726:151036:1 gene:WBGene00080540 transcript:CRE14943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14943 MTWRILVEPFAWLLTNWSRPALTRLNASKFEAVIVTKDENMKKGSKIQCIDISMILAGCSILQESVKVGLH >CRE14965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:147733:148017:-1 gene:WBGene00080541 transcript:CRE14965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14965 MPTYEARQLIFAAGDIYAGVKDLSFPMMLAMSFGASIRSLQYQQQQEILRSMTFGSKDGSRTINGIGSTGEYLFNNTVSIVTFTEEETGRIQNK >CRE14957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig183:63540:64478:-1 gene:WBGene00080542 transcript:CRE14957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14957 MLIYFEINSKYSNLTSGQDTLEIYSKAISSTCYHLVASLLFIVYYAKIIIQNETHKFEFYRPFHGLMYLSIVSISSLICYSIYKPYSWSMHFLPSSIFIAFQYFIFLSILIFQLSIFVFGLEDGNLKKLACIVAVALALLQWATHKVLLIIETPNYTLKYYILDPIFLLIALIFTGILFKKLVKGNLSINQQILCILINSVVSVVFLVGIQIWTIEGPTLAPQPQKLFEENEYIFCAFYIPFLWILSANLSYSDYFQSIFQKEEGMKYKSEDERRIATVSRIF >CRE20651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1834:4386:5431:1 gene:WBGene00080543 transcript:CRE20651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20651 MSDVLLNFIAGGVGGSCTVIVGHPFDTVKVRIQTMARPKPGEKPQFTGALDCAKRTVAKEGFFALYKGMAAPLVGVSPLFAVFFGGCAVGKYLQQTDPNQEMTFIQNANAGALAGVFTTIVMVPGERIKCLLQVQQAGSAPSGVHYDGPLDVVKKLYKQGGIASIYRGTGATLLRDIPASAAYLSVYEYLKKKFSGEGAQRTLSPGATLLAGGLAGIANWSVCIPADVLKSRLQTAPEGKYPDGVRGVLREVLREEGPRALFKGFWPVMLRAFPANAACFFGLELTLAAFRFFGVGGHPTPS >CRE17550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:125098:125453:-1 gene:WBGene00080545 transcript:CRE17550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17550 MDVDLKWLVGDKNDFDWTLGICILGFLSVIAVCVVSFFITRKCGCQDRTAADRRRRERNRNREGNDEENPENIRMIEHRQFVHSQQDPNTWARPAVGPDAVL >CRE17516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:39436:43354:1 gene:WBGene00080546 transcript:CRE17516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17516 MGGAYPRSRFPAILLNKSEIKRAEPPKTSKPPDFPSQYSFPLRNSPTSKCSSPKSGRANSNVLIPICFFNTVSALIYNALSFVTPVFWILLLGQLCWSINHGCPALIYITMNETIKREFKKLVFGITTKYRNRPQAWNNWVFVSCMFILFSIYCGMVKKIARGQKSKASMAMFRYFMLFALVSAASAVTRAICENYCSSVNGRASYDNCSPWISFATQQNQTCYNECVYKCAVVYKGSCMTGNKYRCCLETFPAKKQPFKISGCNKLYNNLI >CRE17512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:20651:21802:1 gene:WBGene00080547 transcript:CRE17512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17512 MTTAFPLLRLPYLVLMPILEQMEFMERIVLSVLSKRARMFVKLLKMNCGYIILRIKYGTIEMKVLLDRYKELKLEMYPSGHIEFRYEQDVFLCNTMGVPPMDYARCIMDVLHCDSIHMFRIAEISPCNIFPLLVNLPKIDCVEVHSDLSAVSLVNSRLIKVLRIVLPVSSVVAIPDFFLNLKYHREILQGNFDELKVENDWKKNVPNRKIKFSLNDLRITNAKTLELLYVSLNVKDLNLFFKLWMKKKCNARLEYLSVRQKGNYDKDLLLKGLNAVPVPIERNRTFRILGKVQQLRLDEETSAEFDITRADGRTATIISNGDDTFHFYVWPESTNDTTNIEPNQSSVMRVFSWFSSFYNSCVDRFK >CRE17531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:7994:8671:-1 gene:WBGene00080548 transcript:CRE17531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17531 MGGEEKLWIPWEQLRKVPKEIVDMKCETSARRGQRGRKKKAVVNVVEQGKLCEGEKENLTLDNVKFYRKSDGEECGCKRGNAHFVDGYGDRSYDPKNLALKMLAKNEKITPEDVHLMVFDEEFQKKLGKSERLEALRRFAKICPTWAQKVMTGAVEEFEVEWKEAADSLKREVLQKNQPKKATIKEKFILIGQRMNVKSRAVFEVRSATISTWKQKFDWDHVEKQ >CRE17549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:124269:124556:-1 gene:WBGene00080549 transcript:CRE17549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17549 MAITFESIIEFAFILAVLAACAVLFRRLGERAVINKPPPPPNRRHRRQNDSREGDEANPRRGRNQRQNIPPHRRHAR >CRE17520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:105433:107760:1 gene:WBGene00080550 transcript:CRE17520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17520 MKKLMIYFHASHETVPFPAVSQPKDCSKINLKHVNGTALLQWPEWCEVFYGELKLRNIDLERADFRKLRKIKGSVELINTGYSRMPQMPCLSEIETNGSYPGVVILNNKRLRDIRGFVNWDRHFRIQGYTQFPVFISGNDQLDTVNMPSIIHHQFSPISCEKRLTPNYSIQYAEAMSLSFVLISLIISATVFLYPLSLGSANIYFVPGYHKS >CRE17545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:98588:98970:-1 gene:WBGene00080551 transcript:CRE17545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17545 MDVNLKWLVGDKNDNDWTLAIYILGGILSAIVVCVVAFFITRKCGCQDRTAADRRRRDRNRNREGNDEENPENIRMIEHRRYVHSQQDPNMWARPVVVAVGDAVGAAVADV >CRE17548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:118512:119588:-1 gene:WBGene00080552 transcript:CRE17548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17548 MSNCLNSMIILFVIIISVTSQNNSNLGIFAQEDLMLAKCTEPYQIYISSTLFNVSGHEILDPIFMKKFSEFTKNVSTCIGPNVVGNTARHYRFFLDALTFIGETLYRPSVFRCLQNMSPKINYCFQENTHIYYENVVRINKKKTSDFDTIVDCVIEEMKVDQMCRNKETIQSIGRSMNAIILVAQQFKYFKTGRMRPMVFNPETLG >CRE17540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:88887:90870:-1 gene:WBGene00080553 transcript:CRE17540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-eef-1B.2 MSVEGLLSEVQRFNAHTLDVALGEQLFFGGKRVFSDVKPGTSSGGEHHGAKPSTTPSAPATKPSEDKKGEKARETSSEFHPMTVFLPGSADVAKILKEQTALVKKVDDLASLVAKLQLELASLRQGQVITNRHVLILGDGNLSFSLAIASSDPETIYFATVFDSREEFIRKYRAEDTLRDLEALRNVVLVFGVDATDLPAHWKDKFDTIIMNFPHPGGKTNLKKSKILLSGIFKSLQKIMDNDSQFLLSLAIGQSGIEKVENPLTKELPKHKKDSWQAIYLGAEQGFIIDSVEIFDTERFRSYKSSGYKDTMKGFNNREGLTLAFKKCDNQGKKLEEFRRDEVKAQEGVFHYYRPYYSQDLSFLYKINETEGEVLALKLLKALAGNCLAEVTEIKSLRSICPDPELPNRIYRIVWQGVKFPMGRIMCGRIHEELRYQIAQKIVEDNLPLTTAAPVAKAAAPAKEETAGDDDFDLFGSEDEEEDEAKKKIVEERLAAYAEKKSKKAGPIAKSSVILDVKPWDDETDLAEMEKLVRSIEMDGLVWGGGKLLPIGYGIKKLQIITVIEDLKVSVDDLIEKIQGDFEDHVQSVDIVAFNKI >CRE17532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:9578:10700:-1 gene:WBGene00080554 transcript:CRE17532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17532 MAIKGHRETIIDKLYSIFQRKNSDKKFSETTYGVHIPNWIPTERSLEAIRDQNAVEEKLLKFYVPMEDGCFGFRTFINHLSYIFNITLTDLELHFQDFTRYENEIIIYLYCWNRRDTNCVKALTLIGESVNTPEDDQVLYHILNRQEAKCQLTLNIKPTSKFHFHGDLLRHSTNQLIVRNSDWLSCSELSCFDSFSVWIFNSKIIEFNIEFMIEQWYSVWTPKWTLAMIELIFINIDDFINRVRERIPAGLFANRSEETIEGPDGVSHRIKYSIRRGDGTIGEFLVENNKYLYIKARGNTDISLSTFISMTKSMM >CRE17543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:96813:97144:-1 gene:WBGene00080555 transcript:CRE17543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17543 MTRTVTKAASKAATDSVVRHKPEDMSGVAITGMMGLGIGVLFLLLGVGAVVWANRDDSRRAREAAEDRPAIRLKRIEPVAPADNAQQGQDETIA >CRE17544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:97817:98138:-1 gene:WBGene00080556 transcript:CRE17544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17544 MNIDHQESWITTPTSEAGVGLGVKIFFAVGAAGLFGAFAYKLYTVGKEMANAPAPGNGGANGNVLANGRAVANRNAGENIPLQDLPEREDH >CRE17525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:151872:154503:1 gene:WBGene00080557 transcript:CRE17525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17525 MNQHPNQHHQSGNGRRRQEKEEVEEPFDEEERRELYAALMREEPMRRIEPSNGKWQLSITETSCDPSFLWDKLEPKGKVSQATRRFVTKDYVNPNLRNNCIFCNGKHKPDECPNVVSVEDRRDILALNERCIRCLRRHRDEPCPRKNQGECYYCFDEDPEEPKHNSSVCRTAYIPEHMLESNQ >CRE17542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig184:94521:96468:-1 gene:WBGene00080558 transcript:CRE17542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17542 MSDEEFDSFEAEDGDRDDVQPIDDGEIQNFSVFPLNKNFSDEIADRHRPRDRCDLSMAMTQKLFGNPGGSNVNQVEYEESAYVEPRNRFEEILKEENVRIINEQEDGMMDMDVGEKCVVFGGNLNHEELIRNLERRMETDRGELFREFEIACEESQETLQLYLSPSKSPLGLQDTIFRAFLQIRSTQTKSFDLLLQKLNILAKKTEESDLFLAQTCVAHMRHLNRLFEPQAVFNTIFEFEWRFWSPNVRNDLIAALPEVGILKIPPKFSYSFQIFTDIALQQHTALRLNKEIAETQGISDLPSFQLQIVETLRLLRMDQAVSRQIRMNLCNSCMELDVHCLPQIIAFSLASLLNSGGKTDDDEMNFHEMLRQLSRLLKIETLKKKTNKSDTIVTEIFAHFLKFLQLDKRYWKYIISWISRKAKNLTIKDEPGEEEVTEEEPPEDPATWLTTFEAFLIFSLLSNSDSCPHGFVAAVNTKFLTVPTAFTTKFLKIVDLMISFKRFSASHFSALIHVARHCFWSPDSTIRQMGVSLWKQLFVGMEKKERVKSY >CRE27989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1844:4322:5002:-1 gene:WBGene00080560 transcript:CRE27989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27989 PRDDGALQEARDQPVLLLPADPDPDAGLLLAVLRAASRGREQDGHRLHEPGADAQLQRRRALRCSAEDDLHAGLGRAELGHHHPARRDHGAHDRLAVLHPAADHVEERLGRDEELADVPPAEDPALHHPLRLHLLGRDLPARAQHLLVHLEPVDHGPAVHRHQEHADPRQRGVAPAPGPSEGQGQADRGGGRRDRSHRGERRCPGPASAADERQARQEEEVGRSGS >CRE26016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1846:7497:9487:-1 gene:WBGene00080561 transcript:CRE26016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26016 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3NTM4] MKENQLLVFTLSAVGTGFCVGIFLRQFDLSDGVRNLVGFPGEIFMQVLKLMVLPLIFSSLISSLGQMDASKSGKMSLIAVSYYLTTVIIACFIAMIVAFLFHPGNPELKKQNDTIVRDESHLSALDSLLDLVRNMFPQNIVEATMYRSQTAFVVVRKKIIKNGTTAEPVLLRKTIKLTQGTNILGLIVFCTGFGIIISKLGGKVKVIVEFFIVLDKVVMKFISVLMWFSPFGIISLIAASILDIDDVYVMITTMLLYLFTVMTCLFLHCVVAIPVLYFFITKKNPIHVAKGMIQPFVTAIGTASSGASLPQAISSVEENLHIDSRIAGFIMPLGNTINMDGNALYEAVAVIFIAQINISIYHLHNVTATFASIGLNAVPAGLVSMFVILSTVNLPVSDISLLFTVDWFIDRVRTALNVLGDAYCACVVQHFMESDLAREKNEKLLEDLKLEEGTSEDANRPDQLEGKRMVKRVSFVDYHHIPSPSAPQAFNSTKTYSLKSAIIGPLRAHVALQTRLSHLSEE >CRE31633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1847:5606:6397:-1 gene:WBGene00080562 transcript:CRE31633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31633 EDVVEKENDENKDRSGNGLNGEQHFNALTFGTYQHQHADSSFGPIRLTEKKRKVSKPYEIAAPGHAAVIRDITPQELKNRLDTPVADAIDTKRTVGDVKNWLKMNGINQTKFAEKVLEKTQGHFSVISRNPAPWEELLAPGRAVFVRMHNWMKLSHEEKMKILSVEKVSVKNDLQEKKKKTRFTFPNEQMEVLMRIYEVNDRPGKELIEELAAKFSLSFNQIKDFFLNRRRRAKKSNL >CRE29379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1849:8:575:-1 gene:WBGene00080563 transcript:CRE29379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29379 MVEIKMRVHVSLHIFLPKTRFGLEDALNNLGDGEQLYHLINTAQEKYVNISLPRFTINTETDLGSFVKLTEFDKELYDNVSKNYSGKNPNFVHKAQFELTFVNNEKLEDIDDKNYDGLVDYPYYIIDQFYSGPKLEFLADHPFLFMLVKDTHVVYFGCYQ >CRE16406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:61737:62418:-1 gene:WBGene00080564 transcript:CRE16406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16406 MQSATTAQKQDGTSRKLSSMLHVDESIDKFANFFYQLTLRRRKHRSKRAEEEKKLSTSRITISSFGSTSYCSIVVTIIWDNTSSTLRRSSPGYKPSCLRCFQSTNRSIKSLISSIRSQIFHLLANAHNP >CRE16401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:23660:24616:-1 gene:WBGene00080565 transcript:CRE16401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16401 MNSEGMGMNLRLSSSPRRPMTLIYVSPLSDNFFSFILPIWNAIVANVSSFLSPSQFEHLLDSAITRF >CRE16408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:78675:78887:-1 gene:WBGene00080566 transcript:CRE16408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16408 MIAQLARHNRAEYDQFNNNLMGLSIILHNGATCLSILLIHSPYRNFLKSLVGGGGGKKVNVINVSGERRI >CRE16384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:87826:88660:1 gene:WBGene00080567 transcript:CRE16384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16384 MSEKTDPLAQYFKNVYPHLCIPDNRFLSSKQGLVYTSRAIVLLFLPIQLLTAYCILKKTPENMKNIKGSINNLNFWCMISSIIYAFFACAYYFHPYEIGFTIGLLADWGVPTFINFYAVYIVNILVIMFITILFENRNSLIVRNRFRIKTTTYRFFWILLNILWFMTVILPPAFQMPDQMKAKMLVLTTCPCPPTEFFTEKLLATAKDGFWDAYISSSALVVFLGLTIQAVFFTCCCIYYLFESF >CRE16398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:642:794:-1 gene:WBGene00080568 transcript:CRE16398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16398 MTCYRNGVKCMLLLLFMGLAALNTYSYWRDSHSFNYRTTSMHPEVTVEQR >CRE16386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:116246:116683:1 gene:WBGene00080569 transcript:CRE16386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16386 MEASAPPPPPPPPPSVPPPQPADAEVTSADLQRQIQEMMSAIGQLKQRTHKTAKARTYPERRKAKEQRQHVAAPPTCSKCGNSHATHECSQVNTPAEKLYLFLREGFCLRCSKRHHKPCRSSQKVCEKCGERATVVHSQENCFMD >CRE16407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:62555:62836:-1 gene:WBGene00080570 transcript:CRE16407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16407 MQFGRQKSNFEDMFEFSPENTSYFVQHLHVYRSKDSKGQKPRSQKKFSEPKAKGHHQKTSRRLHQLVASATKLQYQQSSATTSIDDEKLGKKQ >CRE16403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:30394:30588:-1 gene:WBGene00080571 transcript:CRE16403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16403 MREKHLLQTLLIFLLSTTVSTQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTEFIHNCEFSFD >CRE16404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig185:32064:35182:-1 gene:WBGene00080572 transcript:CRE16404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16404 MFSFIVAPCIPANIFSSFQSLLQYPLNYLVIEYQLPSVVRQESEINVRNSVQLFSVELNSFIIYSQLTAPGNQPLSVVLMMEMRTLEALEDSQKEFDPLVPSQPSFFSQTPPPPPPPAPSVISNSGDVFARSRKEISATPDFEQQSGTGKTKDLSIELSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVSPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYDITQAEPWIVVNVEYSMLPHSWNVLPREKTIPSVVDIVESFRRLAPNVNNSVAPLKDYQLSEFNISYVEMQLVTCSIAIILVFAFKFPVFYFNQLLFVYSRLYLPYSFVPFCDSSHSILSLSFLHFNLLISNNYFM >CRE07175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1854:608:2199:1 gene:WBGene00080573 transcript:CRE07175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07175 MEKLPVTCVPRRVVDSQLRHDCTQMCPHRLAXXXRYANFIVLQCENKQIAEERAKTLKTSVKKDLARLFEEIQEYMDENNRLYESYKSMKEIEKEFLDAGHQAGYLGNEQLRFRIVSPSELSEDEMKALQFHNGVYCRPSRKGDGYISVAFTWDGHDSNMLPRGLDIFPRNPDHKDKEIEPISIFSELCDVMCYPLFYPDGLGGWGLKKYPRYTGKKSETPTFEQRIKEHLEIIKANGEDPDDYFDYDFTTEFKNMEISKSNEKQKKKPADDDMDMDDDMDDDMDDDMDDDMDDDMDDDYQKDEAALNEEDDPMNLDNEKILAYGKLEDMQENPLNDEIGQEPNLIRVERGGEYYYVLDRSKKTRDIPLTDMDPFCPEDIATFNSDGEEEEFFDVSNYVWQTELEEKEVEVPGEDEEVAEGSAKPMRNMRMASMTRLILFKHYLFFY >CRE07174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1854:96:422:1 gene:WBGene00080574 transcript:CRE07174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07174 MQENPLNDEIGQEPNLIRVERGGEYYYVLDRSKKTRDIPLTDMDPFGAEDIATFNSDGEEEEFFDVSNYVWETELEEKEVEAPGEDEEVAEGSAKAYEEYEDGLDDLD >CRE07955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1855:4342:4719:1 gene:WBGene00080575 transcript:CRE07955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07955 MRFKKLKMISRLLLFTLLFVSLTTSIDLTTCARMDVPILSKAARGLCITSCSMQNCGTGNCQKRGGRPTCVCSRCAKGGGNIPLAAFIKG >CRE12443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1856:41:434:1 gene:WBGene00080576 transcript:CRE12443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12443 MSTQTKIGCFDFHPPCVETNGADNEGVCLIGPKNKINKEDIIFGKPGSACPGETRHDGLCVTQVELVEHEEEVKKPPKSVEAKMDGANDTPWESNSYFGSLYMVFLCAAIMAYAS >CRE09935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1859:6353:7818:-1 gene:WBGene00080577 transcript:CRE09935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09935 MVQPSIGKDLESANGSEESSNTKLQKDGFQIEEPKTKKEMWYEWIRSRLRYYMILELLFSICLVLILWKQYHISSQISSIHSEFRDFKLDIESNRISKPTDTINLDGGNKKLEEFVEQVMKDIKNPSIENNQKSKEYPKQIIPTEDNSSPNNSVSQINAASLILGATVDSSRSSNSDNNPSIGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPRRYDVLACLDYYCNNLEPLVSNCEYRATGDNKQEQFCSIPFNRNHSSIGKIQFHFRQNHGNVMKTCAHTIRVFGETKEVPKVKEMTLKRAETCSKLTYDYHHHSWTYNMWDYKNCTVLYSNDCCTECPECCDECLIEDTNFDTFGFCFGFMIVVPILIFAIGFILITTVLLFIAAVIGLFEIAKLQIDCLFRKRKQST >CRE09933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1859:365:1129:1 gene:WBGene00080578 transcript:CRE09933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09933 MSSLSPTPAAAPAAPADNSLDLRVPAVAATHSNDGPSTSDAAPRDADCLAFLSSDLDLLDVVEENGSVDYRSESEISVELGGIDGIEDTQLMTQESVRKRDKKKMKKAADANRVAVKRQAESQDDREHRLKLTADAAVVRRFQLSEAEKSYINRRHSSRKATNRNQESEKQKTSRRVSVASRAAARRSEESDDVVKERRSSTRIRNAVSRAKETIRQRVLRNAADRVRKSSRQEHFLE >CRE16354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:113171:113461:-1 gene:WBGene00080579 transcript:CRE16354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16354 MEKTKEATKEATKKATKKATQPEPNYSWINEIFAPELSKVELTSGYMFMYVNFLFSGRGLSTKQGSETGQYAPPGAHGLNNQMATVKLEAPNDNKD >CRE16343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:168122:168873:1 gene:WBGene00080580 transcript:CRE16343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16343 MSFSTERTKKRSSSVTSFEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAAFKGNERQSEVLVEPVKKYCHKLRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQENEPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERR >CRE16346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig186:24892:25694:-1 gene:WBGene00080581 transcript:CRE16346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16346 MNVLLAVTLVLLSAPFGYTNIIKHCFTEEEFNKHWADDPLPKIASLNAVRANVADMKEIANMHELVRFPPSYYNKFLIQKYDISLEKEARKMKSCDDIKHGVNYRVAGYGKKESRAIWQEFMKSRNGSEFYFEEEKHPLQTSVIQCVLTATCHLDADRSGNSFHTEMATITLYGWRGTFSLSDYQHGESGSKCTHGKTERGLCITPSSENNGRSEGVNSFFVYLFIAFMYLFLGT >CRE15845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1866:485:1030:-1 gene:WBGene00080582 transcript:CRE15845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15845 MVKLFYMEVLIGLLNATARLHILSGSPRTLKTNNISAYTVCQYEIDRVARILKDINAILTIICLVINLAHFLIMVQKKMRTSSINILTIGISFCDTTLVILMTITFFISQLPIGLFMWLRLIYQFHTVVQIALDHGTKVTSILFTINATCHCFIFATLSSQYREVVREVFCCRKRKNVSGS >CRE15844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1866:9226:10258:1 gene:WBGene00080583 transcript:CRE15844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15844 MAPPSRHRSSILNLLKEGVLPLGTFLDRRGRGRKATVVTPDRIKAVNQGIGRIAHRSIRKMAKGMKISWRLLGRIVKDKLKLICYRERKAAILSEATTKKRLERSKKLLQRTLNGEHLVTVFFDEKLFTVQAEFNPQNHRVLAETSEEDFANGKAIHQGSHPASVMVFGAVCADGKPQSFGLLHLGVLQNKVNAKPHSSIEALKKTLVKEWDALSPDYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE19609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1869:6552:7274:1 gene:WBGene00080584 transcript:CRE19609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19609 MRTTKLMRATENDDLLQSVLVAKRLELPLRDQYEHLKDIVLQRENEKMRKQKERAGAMKGQGNREGRRAPVDRNSGDRKAVGEGKSTGTKLKCFTCGGVGHMSRQCVSKRVDKIQTHPGCSDKNVGAETVEVVEMLGQRRRVIIDSGAVLSVMSTSAFEKLKSGCKNWKKEVEVLEEPTFTLLDASRSEMPVKEQIKVPMVVRGRKVGVVFQLVENEREVLLIGTNAFESIGVELKWKAER >CRE15717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:137826:138247:-1 gene:WBGene00080585 transcript:CRE15717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15717 MTSKDRRNRDKRLEQMREDCRVLKKIFCLLVTVIVIECILVAAVISCFVYLAMLQWETGKPIPFVCQKWFVYSCLSISVIFLVLILFFIYRIIREQKRRLEGYKAYGIS >CRE15704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:137242:137516:1 gene:WBGene00080586 transcript:CRE15704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15704 MTEFCFKNQLTDGQICTVCKAKGITLNSVADKMVTFDNMKVMVDKVFDGVKNRTVVQIEDGFFRTALLCHRRFS >CRE15706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:156410:156895:1 gene:WBGene00080587 transcript:CRE15706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15706 MTSEIGNLDLENWKKVYEELCEDKRVSNRGIWYFVALSAIVLILIPGHLYLCVFWLTPSWIIEKPIPFYYQKWFLGVYESIIIIYGIIYLIVVYRMHREDGKIMEKYKKYGILMEQEEKRNVEENEN >CRE15708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:163564:166538:1 gene:WBGene00080588 transcript:CRE15708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15708 MYCEKKKVVQRAPPLSAEEYERLFRQPAVRNDVQESSTSQAAESSAQISPLSEAQTLKTETTDLQLSDSIRSLLETVEEVRNDLMQLENKNDGVHALCGQKLFQEVFKRVLSAKLALYDSLKPGSIQKALHEIDELRVLLKKQSPISSLSDHVKVIESSYREAMETARVAPNQEYQIMEQHVSSLKTKMNDLLLDCTATEDAMKLYLTTFAQTSKVLDAMGNEVFSTKGLSELANTASNLSNQMKTSRQRINELNSLVESLDWRQELRVHRRNTHSLSTFCLVLKEHCENVLAICKREYKLAKIQDRTQNEGELGASILHHFLRIESSVLAFQKITALKRIGKEVSVRKEEKARSLSDRVVQFHRDGLRTSLTNICSELWTLRNRLNSKLDLEKIAYSYFFILESGKYIYGNSHIEELQMLNIPIFVIDVLMDLEDNPHTLSDALYHSRIDNFLEVLYRMRNL >CRE15707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:158790:162019:1 gene:WBGene00080589 transcript:CRE15707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15707 MSRLTTGAQRSSFRPWGTQPACPSDGAPKRTERIRRLAPSKEYSELKVAEKLFKKPKKRDLKRFNLAKRKKETAGVRTRLLEVWNYGNRGFVKQKLDFKQIFERNLETSVTPFNLQKWRFFGTAIVLAALIGVFVAMIVFMEICWCLNDNDKAPNQVDCKDNDLHSLETNWIGESHAEKGGSSLLIL >CRE15718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig187:139042:141167:-1 gene:WBGene00080590 transcript:CRE15718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15718 MAHDKGVGGVGMRREDFSVEEYNNIQPDYFHGLGRKSSRNVPRKTVKATLLHLHRRLVGRILHNRRQRLEIRRNNEELRADDAAVINEIINLHQFLLEASDFAQDDTQIIIYWSHS >CRE22249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1871:3922:5631:1 gene:WBGene00080591 transcript:CRE22249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22249 MSLPLQRANSISEDELLSEFSNILTPTIASIGESQENSDTEQSGQFEKSTVTGEEGENEQMQKLRSAINCHQEIFEALREKIHSLKSRVFDLEYEAQEYRNEIRENQEKFENLEIWNVENETYYLEAIEELEKAKNVEFIAYDGAKMKELEQENKELKGKIEELKGELSEERRRNLTVCRSRIQVKFDELSYYMKQCELYQEIENRDEKLREKEKEIENWQLDVIDLKRKIQKPSSLVKQNTQLVEEIEEAKKTIQKLEQEAILSAQKVPDLPTDTTATEDSSSVSITEYNRKVYELEYANEQIAMILGDQEDKQKREDDEEKKMLRGQIEELNETIRLMSQW >CRE21692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1872:5236:7458:-1 gene:WBGene00080592 transcript:CRE21692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21692 MYSVIKSLVASHSTFNLTTFTSYFDQIRVDRNATVGQIYEYWYTTGGYPSILVENNGPSSRLQQLSRPLWPLKMTSTLSIPQFVFSESIVFASESAPLLVNLNFTSFMRVNYDSLTWTAIFKYMFEEPELFSAVGRAQLVSDFCYFYANDGVENGVILKEAVVDMVYSKPEFFELCDWNLYWCHSRSDQSLFSQIVRSLALRFSSATFESSSAFGCKNGMAARNMNQFCEKIFGRKCI >CRE23264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1877:5756:6396:-1 gene:WBGene00080593 transcript:CRE23264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23264 MNELNYHMYLEEKAKEMRTCDNLTSGIDYMYTLVSIGQGLTIPQIESVEEQLNMTIDTFPGLIIPEQSKIGCGEIECDGIDQILCLTGPKSEFRYEDLKTGPPGSECPNGKGENGLCISEEESISNGFGPKEDLENREPKGGEEENSSSYGNSRLVFCFIFMMCGLC >CRE29291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1879:513:971:-1 gene:WBGene00080594 transcript:CRE29291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29291 MDSKHSALNTRKQQATPRGVGVMCQWYAEKAENATIWDLEGNQFIDFAGGIAVLNTGHRHPKIIAAVTEQLTKFTHTAYQVVPYESYVSLAERINQRAPIDGPAKTSFFSTGAEAVENAVKIARSYTRRHGIVTFGNGFHGRSFMTMAMTGKT >CRE20550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:17276:19643:-1 gene:WBGene00080595 transcript:CRE20550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20550 MLGLTWKKSTRKFQNKSSEKEYTVEGRPISPKPSTSGASAPTPRGDKNAFKKFKAVQKQHGNLTYIWEMEDGSEKRFSRAETYARWPDQVLKFLEGIGSRLFKEGESFPKDPLY >CRE20541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:73880:74131:1 gene:WBGene00080596 transcript:CRE20541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20541 MQQFLISLSHSNSFRDVATFWSATGQSQFPMLSTMARRVLCTPAVAPTTRFDARCASVSPDQLHTFLMLRSMFDCEKEEEPRD >CRE20538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:3085:3459:1 gene:WBGene00080597 transcript:CRE20538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20538 MFYKVVFAIVVSFALTSALPIPGDIGGSLPSPLGDIIKQITDLLDSLKAQLPVPLPEVPEVPVPSVPEIPIELPTIPEVPTLPEVPTLPEVPTLPEVPAVPEIPSA >CRE20544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:111452:114362:1 gene:WBGene00080598 transcript:CRE20544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20544 MDANGQRDSDVESERAWPSAPNVPQFRLPNAKVPKKRVRQESDQPGTAHATGSRIKEEPIAEESSRRNNRERSHQAHSSGARIKAEPAEEAPELDRPPCEYTPRGSAAPSNRNFPIRAAAPSQFKPPRLESHSLDDVAPQMEDPLVAAQKQLDLKQQRIDTLKQRLKDQERELTLKFAEQLKKKEKELTLKHDNELLSQKVKYLEEINQKEQQINELLKSQVHQNQQQPTMPVPQYMMPAPPLFMPAPPTSSATNFTVPVPDSIHPNVPNPPQLVALTPRPLSSIPAPCAPSLPDSSRKTSEAPRESSSDSMEPPQKKMRRDEQLDQEMDHQEKDGSSQRSVGRNQERVTLESVRGNNLQNSKVGDQPKEPQIQRFDEREDQRMEIGVVDEAEPNDDQEEIDFPDLEEQPEMDVAEPMEENDEMGPPQNEDLELDGNGEVQPAIGRRRSFREKQPVSLIQPKYGKNHIKSQRQGEYTRYKVLHGGSNRKNKRRYKKCTDPTDAYRLLGFWSQGGAMTVLICYDSTISQKVLSDMIDSANPALQQKVRQPSQSMF >CRE20539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig188:20610:20925:1 gene:WBGene00080599 transcript:CRE20539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20539 MKIFLIVCVLLCMAGITNCIPTSRRDYCETEKDCPISSKCIEGECKNVNGFDNVGNRNSMFVCALACPPGHYCTHYTNGCVPF >CRE15148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1882:3732:5063:1 gene:WBGene00080600 transcript:CRE15148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15148 MSSADRWNTLCKELLEVMRDSEAIGRHRIAPDELDNKTLEEILEVLPHVDLIISILIGAISFGEEDAYNLFQIEHQIEALERIIECLEEDLEENPGNEDRIERAIDTAETLLDCAKHSKETEKREDMLDIFWEVAEEFESLEDTDNDDTKRAPEDIEMATEPEVKGEKEDSKFNIWKTIFCISAIGVLVYFIVKH >CRE26017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1886:7438:9370:-1 gene:WBGene00080601 transcript:CRE26017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26017 KNLRFGRTKTSFLGFRDSKTIRFNQVGRKQKLLGNFRTPTTLSEVKSFLGMSGYFRRFIKDYAIIVKPLTTLTQKDVEFNWGEEQEKAFEEVKQRLISPPILTTPRMDGDFEMHTDASKIGIAAVLLQKQDDELKVIAYASRPTTPVEQRYAAIESEALAITWGLTHYRPYIFGKKVKVVTDHQPLKSLLHRKEKEMSGRLLRHQAIIQMYDVEIVYRPGKENPLADALSRQRVENEEKVVTFIEGTNEIEKTTNLKNIQDRSKAIQHIKRKLLLEDEDIESMKLQDKFMVINDIVYGIPRKEGQLPPVIIEGGNRETETLIRTIHKANSHIGADKMIAKLENIAIWNKMKSEIEKVISTCEECQRRKKPIHIDVMGPLSETIHGKKLIIVATDAFSKFAIAKATANQTAETTLKFLIENIVSIHGIPEEIVTDQGTNFMSKMFEEVCRILEVKHSISTAYHHETNGAVERLNRTLEEMLTLSTSNPINYDNWDEKLPLVIQSYNAGYHSSVKYSPEYIVFGRMTVSPTDIMIKTLRPIYRDEEDMVENLSESIRQCHEAVYGELENSLRNAKKAHDKIRKVRVPIFEVGGESRDTKPHCKETHVPIFTAGHNCH >CRE20009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:137035:140250:1 gene:WBGene00080603 transcript:CRE20009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20009 MTATSKPTKTKRNDLQGIRGFAILSVLGFHFYPNLFPNGYLGVDQFFVLSGFLMCMLLTKSQKLPIFQIFSEFYIRRFKRILPLYFLFILCTMFALYTVFPDTAIFQNQESAGKALLFVSNRPHTGDEDYFEKLSIAMDLFTHTWSLSVEIQFYILVPLIFLIGVQFKGSTRYGYYAMIATLSFMFHLFSSPTVSFNSVFARVWQFLIGMMTYFISRSRFVQHEKNIRRSEESEGNQEDTVRLMEENPEITAEKVDKTANLVTKCIILALMISVVLLPKELDPVSARAFFTFFTGVLIVLSVEDVILNSRVMIYLGDISYSLYLIHWPVYAYVKLIYKSNFWNLTGALLVSILLAVIVYEFFEKWYLKQSNAVITVLLLVLFLSNVFYINKDTIQKSMEKKEEIVLTPERKYPRLDEMRDNMTLDDAERMNANWNLRDHMAPELQEPNGVRRHPDHKWFDFKENGTEFKILLTGSSYVKNHHKLIIQECKHRATSVSMDDVTGILACLCRFIKKNITGCEPLAANNGNFSDSWAATCPAQLIEFVDFVNTTQPDYAFLLTRWFAVGEPYDTNENDLEHDSIYIEMRSQLRKLLPNIKKKLFILDSFPRTNYDEIKNIAREMEEGKKTMEEINKSLYNPTSFERGRRRHAELVKKECGSKCELIDYVDAFWNKTMNAFQYFDNQGFSYFTTGGHLSAHGLEHVRPIYKKICASL >CRE20005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:116412:116823:1 gene:WBGene00080604 transcript:CRE20005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20005 MNSLLSVVLIFSVAVMMAAGSSISSNVDSSKLCNKILCEVNATWKSDQNGDCFESTYHKKAYMPDYNGTVDPLVGVADCTKTPCGATEKVQSDCPSAFGEKWDKVKVL >CRE19998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:85723:86827:1 gene:WBGene00080605 transcript:CRE19998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19998 MSIYEKAFPSSAKTDAILVVDGKKLHVNKAFLSYNSDYFNSLFNSEFEEKSMAEIPIREVEFKDFATLLSLVHATPIKPTKDQFEKLIELSDRFMLPGAKNRLESFMMTSNLNDVDKVILGGKYELDELMLYGVEEFKKSGEGSWIITNDNKFKSLPEKAQLKIALLILKMSFI >CRE20000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:95029:96082:1 gene:WBGene00080606 transcript:CRE20000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20000 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NCF4] MDILLKISMVYGIISVFFMLFVILLLTFNKRFVYSFYRVIVMDILINFTCWLNTWPAKVLFRPGVPDSFLQIHESYPWLTSVSQFLTTFFFHAQSLSTIVICAHRLISAKYENANKKWNRYYLFVYAGVIIFSVFITNFIYFQKIHFDYQERMFVLDDVSYEQEMFNGIYLFFFFTLYAVSIMITGALTLQQVRERLADHADKHKQLLRRLSKIAVAHTLVFSLFLFWFMSSTLMSTGLAIEALSFVTDLVAFSTTYIVLFFDKNVHSALKDMIPIRIALGSVGDVHQSNNNNGITP >CRE20018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:145910:146379:-1 gene:WBGene00080607 transcript:CRE20018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20018 MNFLFLSVLVLSATLLLAAPNPIRSEFIDIFQQSRMCNDINSNENGVFTTYHHCLHSATWKLSVDGVCTISMYSNKTLTLTPQGNVPVDPTNGVPQCSKTPCGVFTLNVVDCSVAFDEEHLAQLE >CRE20008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:133105:136215:1 gene:WBGene00080608 transcript:CRE20008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20008 MTAASKPTKTKRNDLQGIRGFAILSVLGFHFYPNLFPNGYLGVDQFFVLSGFLMCMLLTKSQKLPIFQIFSEFYIRRFKRILPLYFLFILCTMFALYTVFPDTAIFQNQASAGKALLFVSNRPHTGDEDYFEKLSIAMDLFTHTWSLSVEIQFYIFVPLIFLIGVQFKGSMRYGYYTTLVTLSFILYLFSPPTVSFNSVFARIWQFLIGMMTYFISRSRFVQHEKSIRRPDESEKSAEDKVRLMEENQDLTNETVDKTANLVTKFIILALMISVVLLPKELDPVSARFEFIASIYIITLSRAFFTFFTGVLIVLSVEDVILNSRVMIYLGDISYSLYLIHWPVYAYVKLIYKSNFWILTGALLVSILLAVVVYEFFEKWYLKQSNAVITVLILVLFLSNVFYINKDTIQKSMEKKEEIALTPKRKYPRLDEMRDNMTLDDAERMNAYWNKHDHMGPELQEPNGVRRHPDHKWFDFQENGIEFKILLTGSSYVKNHHKLIIQECKHRATSISIDEVTGCEPLAAPHKKIDNGKFDASWAAGCPAQLPEFVDFVNTTQPDYAFILTRWFAVGEPYDTNENDLEHDSIYIEMKSQLRKILPNIKRKLFILDSFPRTNVDNIKNIARQMKEGKKTMEEINKSLYNPTSFERGRRRHAELVKKECGSKCELIDYVDAFWNKTMNAFQYFDNQGFSYFTSGSHLSAHGLEHVRPIYKKICESL >CRE20019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:148227:148786:-1 gene:WBGene00080609 transcript:CRE20019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20019 MQFLPFFVLVLSIAFVLVAAAPTSQSESKSNSFSHSKICEDNSGLNNNVKFEKSSCTAEGTLTVSNGEVCTVSTYKRKTVTEIPLPVGATEDPLNGVAQCTKTPCDVKEAITVDCSVAFTEKQISDILTDTHSD >CRE20004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:113055:113892:1 gene:WBGene00080610 transcript:CRE20004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20004 MSMIVQIGLLVFTLISTCISLVYLFESRSSSIPENRFKITRTTSRIVYYFLIYLFTAPSLLLNFQIPENQEDALLESLKIIPCPTREFFTEQVVVALSDPFLIKVILIIGIPVLGTFIFFHIFFYVASCIYYLYVSPSNRTSSRTRKIQKSFFIGILIQTGIPIVILAAPYIIMATALLLDRLSQGLTNSVMIIFGIHGILESVCIILVHRSYRQSFLKILGEYRAKSSEFNFDILITAESFQNL >CRE20006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig189:117801:118196:1 gene:WBGene00080611 transcript:CRE20006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20006 MIRKIEGITGTWDFENGKECFISNYIKKIYLSSYKGPVDPLNGIAQCTKTPCDSTEKTTVSCNVAFTENQLKRIEKRST >CRE03576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1890:2773:3141:1 gene:WBGene00080612 transcript:CRE03576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03576 MLSAVIPSEHSYPAMRLASQPVHQRFVHSGPLVLGADPLKFPTPTVDRDRTVSRRSKPSSRTSLNGEQPYPWDLLQPQDEMSRHRGAKHRRRYELLGGISLLSPEYLLSVERWPFHTEPPDH >CRE03575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1890:27:416:1 gene:WBGene00080613 transcript:CRE03575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03575 KAEKKTEKTKEKKPEAKVGEAEIITIDDFIKIDLRVAEVLEAATVEGSDKLLQLTLNVGEAEPRNVFSGIREFYKPEDLTGKLVVMVANLAPRKMRFGISNGMVLAAGNGDGVWVISPESGAKPGDKVS >CRE05354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1892:3183:4253:1 gene:WBGene00080614 transcript:CRE05354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05354 MKITSLLSDKPYSAIAIAVCFAVMLILPWFHVLPQDSALHLSAYWVTLIGKIMCLALVALALDLVWGYAGILSLGHGLYFALGGYAFGMYLMRESAGEQLPDFMRFLSWTELPWYWVGTQHFLWALALVILVPGVIAFIFGFFAFRSKIKGVYFSIITQAMTFAAALLFFRNETGFGGNNGFTGFKTILGMDITSASMRATLCFLTALVLLLSFIGLRSLMNKPYGRVLGAIRDSENRLQYLGYRTLWYKLSAWVLSAVIAGIAGALYVPQAGIINPSEMNPVNSIEMAVWVAAGGRGTLIGPILGAGLINGVKTYFTVAYPEIWLLILGALFIVVTIFLPKGVIGLLERFKKEDH >CRE06248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1893:2182:2431:1 gene:WBGene00080615 transcript:CRE06248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06248 MPPKSKKAETTAAVAAPAAEEPAPAEPAAPVEEPPKAEEPSAAKPADKKQVVTKSGRAVRGAGKKR >CRE06251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1893:6016:6597:-1 gene:WBGene00080616 transcript:CRE06251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06251 MRFIGNELSSANWTKKWVDNISFLFNCKVHSLQIEYSECSKSFLSIVEWLQNKQKSIEMFSVKGPEVASQNLSLIFERLEIKHMLSLNLNHKAEVRPNLIKFNMDIVELYGSPLSMMWITLESILSSNCVFFNLDNSNLTDLDLNRFMKEWVRGSNPRLKLLRLKIKRINLENLLDGLEMEEPDGTVDRVINL >CRE07959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1895:5346:7048:-1 gene:WBGene00080617 transcript:CRE07959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07959 MVFPQHPNATNDILLSLKGKRIRLGDHEFKLVHDIATGPFSSVFLVEENGISYAMKVEAQNKCLRPVLKLDHAVLRALSHQPGFPSLTASGRTDSFKYVVMQYVGPDLSTLLEFAPQRRFSSSTVYKIALQTLDRLRVLHEAGWLNRDVKAQNFAVGLGEESSIVYMLDFGLTRRYLENDGSRHLLRPHGPSVGTFPYAPLTSLGFCDQAPIDDIEGWLYMVIHLLTGGLPWHNPRRALDLAKVREWKMYCRRPGGKLRLFAGVPKGWRDVFDVILNTAHHQKPDYNKIANMVLSIARNEKIDLSAPFDWQVNPVLRSIVRLGPLSYDELTSIPLSSIPMSTMTEEIRLRTMASPIGTC >CRE09936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1899:1726:6919:1 gene:WBGene00080618 transcript:CRE09936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09936 MADGEHSLPPNEELFEQPMEQEPQQYELPRADQGTFFIKNMKVNTISSDQGYHGVPEDPQASGGAPPHDMEHHYPVQEDHLANSGYYEPTPPTATNQVFQPTPQQNFVAPQPRDAQNYEEQGAGDAGGGGAAVNGEDPPGDADTVWIDSDSDDDPEAEFLRANFGLTYSGYVPIEEDEEEATAATVKEELQQQHHQEYQSPPLQQQQVHPMRQTMRPVVAAQPFSIGGNQVEYAEPRMPPRGVSVAPRDTQFRIINELGHPMMASSQQHHGIRAQSVSSTRVLNNSPHATIIRQQPQHPQTLYQAPQGSLRGTPLQSQQQRLGGATPGSYQHIVNPSPSGNFGATIRRQVPAQGAPRGVGGPGIVVSSNQLGPRPGVRPVHVTRPMTDNLDREFIEHPMPLPPQGPPATAQIRRAPADVAPHRMTAEQRLEQQQLNRQRAQFPMQRGGVQQPQQQATNRVPTQQAAIIGRGRGGVMAVGSPGHEDLLRSPQRGIQERQPVVCEPRKFQVRVTDTYSAPIPKASDQLPAQLTEDPPEEVQKVEEPTDEAPEASDVKQEIKSPSRLTSATSSPVKSHGIQQKPTPPHRMTQEEKNAHLAKLSTDKEKPTNLNTLPLRGVHHQDDTLAVVQSVFESNKPRQPDTPKDKEAISKIADLLRFSAEEFSGASGSGTSNTRQRSISGGANRAQNYGSAPMVQPHHQPHLHHQQQQPPPNPMDDEARRKRHGSGRYDNNMGIGGQNPMHQMRSPQHSAHIQQQAGEPEPNLDPPIRPRGRPRGTTQQRVARFGNAPETLAPHRAAGGARTLPPRQVQQHQEPPAPPPRAAMSANSDSESEAVDSESWEMRCHCDMDHGDGETVECESCKTWQHMACMGLNMNSDTTKYKCEQCQPRRLPVTKAEAIRTQKKILEKLRRATERERRNKRKSEPVEPVKPVIQVGFLGFLKDFRGKIDKIDSFSVNFNEKLTKNRLFESINFSCH >CRE10121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1021096:1021467:1 gene:WBGene00080619 transcript:CRE10121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10121 MEKMKIMVKQDNKTNKNGSDDEDPESGEGYPDRFEILYKLKRNPRLARTYLEFKRKKAVEELDHAVSIYVSEGNREDQNFRDEYYRFED >CRE10331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1246442:1247757:-1 gene:WBGene00080620 transcript:CRE10331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10331 MNSLDICTRADQELPYCYQLLILIYLFPIPRDYIQKPFKYFPDFEVRGMINATVQMVLNFRGVYLTTSFMKIDFRAESLFEVNEPMMTVTDEAINKECLKMVMLIRSRTTRSRTKPS >CRE10097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:902692:903965:1 gene:WBGene00080621 transcript:CRE10097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10097 MVWVKPEHLILAQSFWSCEKASQYFKLQRRKGHGTRGFSSLLVATIDSVFDTRPPPYRIIYDFDNEDIHISIVLAVAVEQKEIDEHWNYIQENFIPAIEPLQLEKDVRRYITTKVESLATNSEEIIAPYSEELDSVSTRSCLEKFHKSFSIPPDEKLVNYYKCCHWKGKVPAQGDLFFSVNFLCFHSFIMGNETKIKLKWTDIVRLERVSSMLFSQSILVVTKEDKKFSFSMFLNFEETFQLASQLANIAMKQLIEEEGFCEDSALRRKMLMESEHRRTIKTKASFLKRDLDARHRSDAFR >CRE10153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:21656:23058:-1 gene:WBGene00080622 transcript:CRE10153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10153 description:Alpha-tubulin N-acetyltransferase [Source:UniProtKB/TrEMBL;Acc:E3M6K0] MQVNADLRPILGPQLVRLDPMRVKQLQDPLVYEAIDNLAKLSAHCLQLRTPLTTCEKLINSDSTLYLSWKYDEEEKVSRLLGFAKVGRKKLFLYDAQMQTYEGEILCLLDFYVHFSTQRQGVGKQILDYMFAQEHTEPFQLALDNPSVTLLGFMSQKYGLNRPVWQNTNFVVFDELFQSLSAENGIEKQPPDGWRRPMTPRRLGTGMTDTRWLQHAVSGHQSKGNAMAAPVDADMTPQGALSNRAHQAKARKAHILSSKPLW >CRE09999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:257582:259159:1 gene:WBGene00080623 transcript:CRE09999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09999 MVVPRSPTDPPLQLQPPPPPALPLQATSSIIGPQAPESHEQVTATAPTTSTAPPAPQSPSGNITLESETCLGNLRAASKLTTTNLLSLRDAVVTDLGCIHERMHAITSLSADRFEAMENRLRTVEGKSGNSTGSYAQLKVPSPLVLVTQNRIETPHPRADPASAPPVLNEEVDEFATPLAPKMPPRVRLPFINVLGNKATSSISPFSGAPHENFATFVRSFTDHANAAKTPLSEEDKRAVFLTYLTDYARDKAEEVIEKNNAATFKDLVDNLKATFQDPTRSEMERQQLRSCSQRSDESVDTFCTRVRRLAQSAYVDKTRDYIQEKAKEAFIDGLLFNLKFHVKGESPQTFQDALNSAIKFELLLSEAAKSNTIVPQGLSVVPSHPSQSAPQSFTQPQQRPQQRSPRRNTACYECGREGHFAADCRRRQNQQRFAAPRNNNNYYRNNGNRSQRPQFPRNDPVEHHQIPHHPAGNRYIQAVTPVENSLIEKLRDDLKVSQNQVEALIKRNSELSTATNPTPVIETA >CRE10175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:226164:227145:-1 gene:WBGene00080624 transcript:CRE10175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10175 MSVYPPTPTISMMHAGADRKKAKRPPNVGSRELNSQENEMLFQLVGSDAVSLTAAVVQLLKSDRGSWRIELQHGVVSLVKDYAQRAYFLRIFDILDERVVWDFKLYKAFRVQSFPQCRKLLAFEQMENGEDGVILGLNFFSEYEAAEFKEHLDRRHAQERKSSTPVRPGMPMVMSSSSG >CRE10287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1004076:1004591:-1 gene:WBGene00080625 transcript:CRE10287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10287 MSDELDDNDRRFLECAFNLAQEALDGDEVPVGCVFVVDGKEIGKGRNRVNETGDPTRHAEMVAVTEMWKKYGEECKDFLRRAVLYVSLEPCIMCSSAMYQLGIRKMVYGAENPRFGGVRSVGNAEKYRMEDNIQIVSNVWSDRSVAMLKSFYEKQNPFAPPEKRKTKKPKQ >CRE10128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1058160:1058549:1 gene:WBGene00080626 transcript:CRE10128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10128 MGLMIADNPMTTPDLRKDPFYDEVDVPLLYSEESSHIPNHHYHGSASEVELEQRGNAPVMMMPHSQSAANLRRIMSFKSVDEEEKGNPFSMSHDDSRMRNWREVSLDSSYLADLNENKEWKIPTNPQKF >CRE10202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:446659:447118:-1 gene:WBGene00080627 transcript:CRE10202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10202 MQSTTLLALLVLVAGASAQCFGGGCGGPIFLPPPPCFGGNCGCSGNNCGCSGNNCGPQVTVVQVPNNNNGCSCNPCSGPICPPVCNSCPPQPIFIQQPSCCNQNNFSCCGFRFRRHNTAVETTEEATTAAPTQE >CRE10022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:369654:370217:1 gene:WBGene00080628 transcript:CRE10022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10022 MKCHRSNFRFKGEKDRIRMNELESLDEDYGESVKRFASLIMIELVYIFRRKKKDRSRERSLRRVDERSRSRSRQDCERDQSRRESRSPRKRKSSENRTKTLSDRSRSRTRRHNSEKEQRREDRNDSEREDGDENDRDRKSRERDRRRKDRNSSGRKQSRDDLERRNHSARSRSRKMRSRSSEYDSSY >CRE09993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:193396:194022:1 gene:WBGene00080629 transcript:CRE09993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09993 MKKLKRRLSAAFRPGSNNNVSITSSGGSFYDSECEGRNNIVIGYGMMSAPLHGRAWTLSESMSHLSDKNGAIMEECGVDPTALLRVSRGGTAGRRYDTNV >CRE10013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:327060:328723:1 gene:WBGene00080630 transcript:CRE10013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10013 MKIKIKDRDVESAKDSELADTLIVSEKNYSVKRATRFYAFPLLELQKWFIGTILLFIAIILFLNTWELTTLHSDIQEFSYNIESRLNKMESRAEFISMLKSENEEDKEVENPIFFHIETRLIQHDSGIQKNGEEEKEIGKNSFEDYFGFENGTDSEEGDYYDDRIIFHKVLPSESEEETMNTSTNSTNRINAANALFGAFIDERLSSPPVSPGDGFMDKVWDFFGAVDGGYVLLDREELPVNKSWCSDEEDSILTIQLSQDISPISISYQHSKWNGTVPNGAPKSYFVMGCLDTQCESRVVLGPRCEYKSDNQSTQEQECQVKPQWRVSHIKAVQIQIRENHGNVEKTCAYLFRVYGISDSTQKELKPVSRIQDISIRDEMCSYAASEYYSLPSFFYNAMNFNCTKLYSNDCCSYCPECCTECNMSLTNESVFVFAVIIFGFFGFVLLMEFLLIRAAKFLWVSEDSH >CRE10203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:448068:448578:-1 gene:WBGene00080631 transcript:CRE10203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10203 MDESSQFFIIHSSVHPPIMQSTTLLALLVLVAGASAQCFGGGCGGPIFLPPPPCFGGNCGCSGNNCGCSGNNCGPQVTVVQVPNNNNGCSCNPCSGPICAPMCNSCPPPAPIIIQQSPCCNQNNFSCCPFRFRRHNTAVEATEETTTAAPTQE >CRE10190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:354741:354833:-1 gene:WBGene00080632 transcript:CRE10190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10190 MFLSEKHAVAGRSLLRWVGGASCRDTSAAC >CRE10294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1055944:1056708:-1 gene:WBGene00080633 transcript:CRE10294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10294 MIFEQDIGERLTVDLIRMTSRSEEERYVGSMLLEPRSLFIMTDHTYTTMLHGIAERETDLVEPGKVFNCTEELANKRLERDTRISITVRNVEKVSKLGVLDLLKK >CRE10138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1155828:1157601:1 gene:WBGene00080634 transcript:CRE10138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10138 MIWLGFLLIFSALGDENTQNIPVNACLEIQEVNSELPINSSETVSFPNGTNNNFLENKACSWSINIPANYFVILYINATVPIGGDISVTQAPNHTEKFLDGHVEVALLFVAPKFDLHWFSGNSSNGSIHFTVNWQPFPENMTSRIYNLENNSSSIILDHKDTYSPVVFHAATKVSILCLPGTLKEEDTYLRTVIVFDGPNTSSPFLGRFVSIFQIQNNLVSISLYSINQLKSQLISTNSTVTIFSIVPIMSHHFVIVQDASMYQNISQITGLNVNDSSIEVFKMGSQSGKSVVFTHINNPLIDEYLTAVELEEGSELQVYFEGLSENQRIATYTTQNNKTHLPQKLQGRLRYYVLSSGNATLSLTRDKWKAKWEKAFEGRRGFFTSKYWKNDKKEMGMQQDSFHFIGEEVKNATKFKFSYRFIEADLTAENSIHVVVSDNVQRIHYYDHRTASEYTNDTQWYSHEGQSISLVYSSPNNDQSTGFYAEFQIDSSFENLSLWLIFLIVIFLLV >CRE10317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1119541:1120185:-1 gene:WBGene00080635 transcript:CRE10317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10317 MTAGFGLLESGRVSSKDEVNCMVKNVFDVIFGGEFLFDWNQKRAIEIEFVGLAYWMFGYGLTFGDSKHQLGRFFGFGDFFFDPERVSDDDSTDEKGISYSLFIFQMSFATTTSTIVSAGMSERIHLKSHCFISFAITLVHSIAGHWVWDQEGIFRTMGVVDSAGCSAVCHSCFM >CRE10156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:45380:46808:-1 gene:WBGene00080636 transcript:CRE10156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10156 MKYKTGAENKPFLRDVESPSDNPSNPFHFRKNEYFGNEKPIAKKESWHKVLNNRLRHYTVLEAFLFVFLVILLFKIYSLQSQIDTLERKLDSKKHAESHLMKTKEILEEKKVIHEIVQNVINPSSPFPKEKEGKVKLNSEFNAASLVLGASIETRQSSHSVSPGNSYFDIVSFALGSDQSEFSLLDRVELPVDKAWCTDDRKPVLTVNLADYIKPISVTYQHSKWNRTVPNGAPKLYDVVVSSFRVEILSRISFFQACIDGDCNQPLVSNCEYSKSGNQEQKCLISTDLPLVNKIQFRFHENHGNLNKTCVYLIRVYGEPSGSKEVKIQVKNQKEKEETAKICSRLAWFHDNIPVFYNGLVSFSNPILRNPNNFQASKNCSTLYSNNCCRECPNCCSECQINDSTLLNNLQFFIIFFVLFFILFPMYIAGISACCFGLKRFFGT >CRE10302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1077186:1079142:-1 gene:WBGene00080637 transcript:CRE10302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10302 MGYKLHETSVLQHEGQISSQGADILNRAKLTGQTVRQCSCTEQRVCVEEMKIQAKDCTVPCFQKFSSITSRPNDLKKCFDEKDNILEDFLTCFENRVEACVSDQHGPQIQKTDIRGIFKVTEKSIATQTNTFQTMIKPIKHILDATGDFALCVKDCFLEKNKNGFCFDRKGCQPLVAETKARQSFRACTKQMHWKREAGELCECSVNAGVDSRELRQYCAMFKLMGRRAPMRKSRG >CRE10150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1274858:1276164:1 gene:WBGene00080638 transcript:CRE10150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10150 MTSPIKTISPFSTVEHYQKIIYELLLQLSKDPQNAVEVANVENNIAILRNHVEQIMEKRLEALPTADITDDEFEDEWVILAWGLLTSLTRLPAEIVEQGITQSFNHLLVRLVNIARPMRIPKVCAEAKQWWNQFHELYNSSLHYLHGLYSQLDNPNCNIEIKMRAYFTRQLQPCLAAFRRSCAENTPGYQSNLRRVQVEKLSNQEKFSEKECYDIRRYYSGLAYTLGLLAVRIQGFRYECQTCSQNTYATPDTLWQLLNEVFLTYEYVLNDALMCNEPNNSPIMVTNNLFTFTCHTLCRVRF >CRE10137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1152635:1155626:1 gene:WBGene00080639 transcript:CRE10137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10137 MKNELKEEKGHLNGPGKPLYVYMYNINTGGEGERKRRKKCPEHQTITPKYPINIDTIYTFPTKAFTQFPSNSACAWLINIPCNYTVIVQLKATIPDGGHVTVTQTPHANQTEKFIKDMEVTRFFYPPSFDIFWYPGPSNDGNLKFSLQFQPIDNDDT >CRE10198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:437573:438358:-1 gene:WBGene00080640 transcript:CRE10198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10198 MSLKLAVFLVLAGVVTCQNATRPATYCKSQSRSECFMGACPSGFECIGNQCCSEADVVQPGGDCTDYLADCTNVDCNSIGMQDFARANCARTCNMCYSTASVSPQYACSDLLTDCANRTSSCQDIDFVDMMALYCPRTCNLCLWQAATKIPNCGNQLPDCPTRGNFCTATSISPYQKRVGCGNTCGLCSSVSTPAPVQLSTNSNGFLFFGRK >CRE09991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:179914:182712:1 gene:WBGene00080641 transcript:CRE09991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09991 MLFYVALLILGASAYYCHFQFKRRKIVEKLEKVVQRKRDDILKNIEEARRISDKLDSKRRDYIGNLDFEQLKEELQKGSVTCVEAVRTYFHKAILAHEKTNAVTCFILEAEQQAEELDEKAKLASFVKPPMFGIPLSLKECLKVKGYDTTRGFVQDAYRPSTEDSIQIEHYKKLGLIPFCQTNVPQSLLSYNCSNPLFGTTTNPFDSTRTCGGSSGGEGALIGAKGSLIGIGTDVGGSVRIPCHFTGIAGIKPSKMRFAHRGGGTSVPGKPLVDANEGIMAQNVTSNVELLRSVWVDIDFQSDRDPYCPPVHWNESLYSSEKKLRIGYYIDDGWFTPTPALQRAVLESKKHLENAGHTVIPFHPPRLTDVIQLYFRALFLDGGQFILNKLMNDIIEPTITFQRTLCTVPAWIQRLLSYPVSLVFPRLGMFMKSLTRDTFELREAYAAIEAYREEYVSLMLKDDLDVILCPPSIMPGPQHDVPSKLLCGASYTFIYNLLDFGAGSFSNRPSKTVKPFPGVVPVTTVNKSDEEKLINEYPETDKWYQITKKATIGSIGMPIGVQVAAPPFREETVLRTMREIEIAVTGKLEK >CRE10098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:907404:908925:1 gene:WBGene00080642 transcript:CRE10098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10098 MEKLDGDTNCRLFTPYDRRHVPGKMFVSTNFVCFASRTERLVSVVVPLIEVTSVEECSPVSSSQTTQGILLCLKNGSTVIFSAVPDRDRVLSKITVYIERGKIERTMEKAKNDTEKGRTSTSSSSSSFDNLTWDYPLIEKYPFGADASEKCKEKWNKYLQEYGSGICMYRTVELHRLLLEGVPLQLRGQIWMVCSGAAAEMSLNPGYYRELLHKNQGVYSVALEEIERDLHRSLPEHPAFQQGPGIDALRRILTAYAFRNPNIGYCQAMNIVGSVLLLFTKEEEAFWLLVAVCERLLPDYYNTKVVGALVDQGVFSELVERLLPSVGAQLTRLGLDDMVALSWFLTVFLSAIKFDAAVRILDLFFFEGARLMFQVALEMLKENEKLICESRDDGEILMSLAKYTESIYEGDNVVERKSSAVTLGTTILSDLEEAASTMISFMDSDTIRTFPKVS >CRE10259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:898583:899521:-1 gene:WBGene00080643 transcript:CRE10259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10259 MNTSDLTYLYSCSAHSKNPEKAGDEFDSKVEKIYNDLKNSSIKRQEDLAYNPNGQLTQIDIWGPEDAEKVLIMIHGGYWLIGNRKKCLAVVPVAQKLGFTVVSVGYDYANKDHPLNKTIEEALDGVQKRKENCHWWAFRRSSFSISRRVPRESVPIFDIFSAVTKLKDPRIRGVFLSSGIYKIQELVHTSYGQDLGLTSEEAETCSCDYDLFKTIQFPILLTNCKIESPKLYQQNLEISHLVPNIQYKVIYCNLQLISNLKQCFRNMQMRTTSQS >CRE10240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:782937:783549:-1 gene:WBGene00080644 transcript:CRE10240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10240 MSQIVTIRNQKKRFTEEKVVPMGRPPRRFKSAIVDPVYLALKQATGRYSRRGSTNFESASPSPRNLSQVSLQDSGYVDMGSSKANPLLGSTPQLDNAG >CRE10106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:947112:947809:1 gene:WBGene00080645 transcript:CRE10106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10106 MFKHSLQRILTAQRPLSNELTSSATVNPAPIIPNNRPFVFEAPQISVPTFELTRRFGNVFAQSFYTFCYEKNFSVESLVNGANKGIHSLSHFLADEKWDQMENLVVKESVENMRFARSGLSEKLEAALRFSPDDIVLSFLHSSVLSGRDVLKCGFSIDLKVKSKLFTVSKSRNIGIYFTIVSLVRLSEKVPDDASIGELNGKYKNDVLVCNATYE >CRE10003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:269464:270037:1 gene:WBGene00080646 transcript:CRE10003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10003 MAPKQRMAVANKQFSKNVTQRGNVPKGNKATESKLPTSQWLIGLFIFVVCGSAIFEVIRYIKA >CRE10091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:859748:860762:1 gene:WBGene00080647 transcript:CRE10091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10091 MIREFVYLLFAAASIVISTPSASRVSIPIEQNNLVGYQRKISNEEHELNAKDYLNSGQWRCGGGGLERFVALTFGCWDKLAEVNMCCSVHDDCYALQLGKDFCDLKFCSCLNETLKWIGYGSMCFENLWTGGACLTVKVSGGMFYKPYKEGYVDTNLVTYVPALNKTVEKNYMKLYETCKLMNVTFSNCAYNHMLCYMRILPDRNSQIYENCVDNLITCLDESSSILASSDEQCLTQVEITMKAIADSSLYASFQI >CRE10267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:936456:936530:-1 gene:WBGene00080648 transcript:CRE10267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10267 MEIIVRPVSPVHIKITRRRPIVSP >CRE10173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:212133:218629:-1 gene:WBGene00080649 transcript:CRE10173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10173 MIVRHMAIEEPTTKSEMWYDWLEYRIRRFMVIECVFFVMFVFLISKSFSISDEIQRTHEMMYQMQLKIDRIENRLSSRHYMKTENTESISGESQQEISRLREINEKLENEMKAASIASIMSHRQSALPSRNLNSIPPNSTTSSREIFNAASMVAGASIVDKLSSHTVSAQTGGYIRSGEETYVLLDRKKLPLYKAWCSDQSKPRLTINLAKYVKPISVSYQHTKWNGLIPDDAPRIYDVVNCLDNNCKKWDVLVSNCEYKSSGYSISKQEQTCLIQSNRSMMPVNTVQFRFRENYGNKNRTCAYSVRVYGERSEPPEDRKAIERKEEERESTCSWISWQYNNFRILYNASRKRLLEIAKAYGIEGYDGFCTSFSIESLDFDGMECHMSNVTNPKEPSGMVPEDALENLLKLCCRTFFTFWTKSTLFDWVSDEWAFSHHHSRLTHGGYVKILVNLERLMNGVCKMKEKDVNLFNLTTMENVANNEFLNLEETERSDTVEKEENIGMVEDERDEPMIEATGEKDQVEDGNGEFSIVNVNRAASYSAEKVLDDLLAISLESTTCVRLPMKRFGHVLTFSIRSPMDAKILNECIGSEKIWYTRFNGIFMMSGGIRSKKLINRFQASVWTPITVEEAVQGVLATSIKKFDDSYQLPENVKDLSGEKLKRYIMISTSYHDIILDLKKIL >CRE10051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:589681:589959:1 gene:WBGene00080650 transcript:CRE10051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10051 MECGPTMVGDGESDATLMEKLEAKLMKQLGNEFQEYITKWSPRKVLNQLEKEGWKVVAMAGIGQTCAWTLYKENAL >CRE10264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:922743:923941:-1 gene:WBGene00080651 transcript:CRE10264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10264 MWLNRQVCGAYALRVCYERENQLDQQSVRGGIFVLVLETTAKESSDSVQLCLILAVSAKIVPYYKNFQENRGKWSQLSNDENEMRFKYVTVWADYVCDLFRRDITFLYLNSNESLQEISAITEWMNTRQTCLEYCEFSGDDTNCDTFDLFFEKMKFSIQNLCYAMRHTHEIRQFNCGILDLNGLIASSSTVNYPVNWITEEDIITSNCVTIVIGVCYFNENNLNRVLKGWIDGNNPRMTILTLAVKRLDFELLLDGIEFEEKDESLKRTLKSEYMAGKLMYEFEGGFDIRQKNGKLATIQQKKPYKNGEEQIFWFVMAVWPNNA >CRE10316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1117964:1119088:-1 gene:WBGene00080652 transcript:CRE10316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10316 MSSFPLLKLPLVVLREVSSCCTPLDILILSNSSKRTANIFHSIISRKRFEMHVVLFIEPKVHIKEGHKEHIFNIPYEQMNRINWKDKNHSMNFVFESISIDNITGIIDHMHHVLNTEMRSIVIDIGHCFGNVPRVVYWLNRRQESVDTLTIIYRRPNDDELVLMLKELKINKHLNISIKSTSHPIKPLNVKLKVDCFWMRGGYSSPWISLDHIANFDCIHIDLTTYSFTSFDMNRYLKAWMSGCNSRMEYLCLNVIRYSGHETLTDGIYVEDNTSSIVRSYTNQILRTPCVFERGTNMRRKDGRSATFQLKPIATDNSETIFLFRMVVWPDVVY >CRE10237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:738348:741852:-1 gene:WBGene00080653 transcript:CRE10237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10237 MKDSETLPLITRKAPIKYYHNRNVSRFERRRREKNKKQYYSRLEELNKLYEEDEKLMEGITKPEENEQSTDRLLANLSIALNLTLLFTNLLASILSGSLSIVSTFVDSLMDVTSSLIIGICLKLIYVDHVMVYHTTLEALAEVHIVMDENLPLRTTHDIAQGLEQKLMLLSFVERCFVHCDYECDGDK >CRE10049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:586209:587055:1 gene:WBGene00080654 transcript:CRE10049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10049 MSRDASLSPKSADTRFGFVGTANEPIKVEVVDEEQSSNANQLGFSTTLSTSFVVPDDMSESVDDLTVTKEKKKLKPSKVAEDITRKRKDTTNSITNFFHRFTRGDREEKKIVEEEEEELVERPGKLISPESEEKWFESRTLDEQVLGHEGNAEVYEWDPNNMTETLVREPPPLDPSNAIFEEKGMLKLLEEFRNGELRWLDEPQISAMGKVKEAHEDVSNIHLKVYEIESAKRKRRKHEKRAEENSTFVRK >CRE10196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:399688:401055:-1 gene:WBGene00080655 transcript:CRE10196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10196 MMLFNFHRIRHPNRKKETSDLFSFHNSIFIHTSFTIRSANWEEEVEEEVEEERREEGEEILHFSHWHFSIFDGSLVALNLTLIVWKPG >CRE10139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1157922:1159706:1 gene:WBGene00080656 transcript:CRE10139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10139 MISFALLFLAISLKNVYCAPDCPGTAFNLPADTSEWTWYPNNFQAAQPPLFPNNFNCNYKINVPQGWSVDMMLTVNMTVPQDFAASVQVFDQNQNREAVYSASAEHFFFIANGGSIQLNTREQNILFGFALKWSKYAVFAPSLGNVTVAAPQPLAWWAFGSNPSYQIKAETRVSLVIVPPKEDFYTEYLRSMMIFDGPDWNSPCLGNALQAIRNKTQLVSTGKYLTFSQLRPYYQTGRTMLIFQDYENTKDIVQYQGFACAHPSDCGTVNMDGTTGLAAISTINDDVTAEYLTKLSGSGTLDVYIGGKSTSKANLITSYKMDSDTSSYLPQEFLGYVRTYVLTGPTASLNLVRNSQDFSKTSSVGRKGFLASRYYKYGVPVSDSSTYDFIKSPTNQLSTFSFIIRDADLVGNTSLSVYISKGVKTVYEQTFTASNKPALNSEIQAVGDDFSVTYKTNGYLTTGYYLDFELKSSSNNYKLFTLFSLCLIVFVR >CRE10273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:953257:954365:-1 gene:WBGene00080657 transcript:CRE10273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10273 MSIRMSTTPSKRFDEKTNDMRRRPPVKPSGDPNHIYITRKTNVESQTKTAEELLNNAFDEIFIHGMGASVNKALVFAMEVERKFGGSVKSDIQTSTVQCTDDIVSLLDLDQSETRHRSVSAVHVHLYRASS >CRE10308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1097218:1097839:-1 gene:WBGene00080658 transcript:CRE10308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10308 MGTYVAQGITKPAGSNILKMKWDATLETSAQAYANTCQSVHSNTPGVGESLYTRYTTLPISGLDVYGGAASVAWEQEFQRFGWSSNTFTHANTSPIETTTAHATQMAWASTGSIGCGVKNCGVDPSIPGYNRAIVVCHYKSSGNILNQQIYKTGVTCSQCPTGTTCEPATGLCA >CRE10181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:272158:273883:-1 gene:WBGene00080659 transcript:CRE10181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10181 MDVARLLDFMTASNDVYAAMDMSQKPSPPGLFKIAKHEPSSSSNSQPGTPAMSDRRAVPACAICGTDSTGIHFGVDACAACSAFFRRTVVLNKDYSCTKGGNCTVVKEQRTMAEVLAKDRNALKGCFLLGYLPGLSAMETYRNITETLGEGIISYKTTITWFKKFKEENYNLDDKSHSGRPRLDIDDDISDVLEDEPRSSVRVVSSHTRPSFATIFRHQKESGRTAEYGQVISHELADSQLKLRCDLSQSLLSRKCSFNYISSIVKRDMHEKKVMLSVWWDRNGVICYELLSDYLALTDYHLFRSLQNSFSGQKFDYLMQVKSDLDRFFSSQPSEFYAAGIAKLPQCWRDVTSTHGQYITY >CRE10079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:800575:801324:1 gene:WBGene00080660 transcript:CRE10079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10079 MSSKSDVFEFPEDNKVVHTNLADYATELLDGTDSTTKSTITDDLPNQLFVTSLLSVCSGAVVMILSAFLTRFFPFFGSLLSLSVILVLREWNRIYGGLLTPRLKASINYSRILKDNKYCFRPYYYTTFVFREFIPFYDMDWLFGGKYKPYCYGCSGPSLNPDMDRTPDDPESRSPTKVREEGEKLKKDS >CRE10062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:634548:635123:1 gene:WBGene00080661 transcript:CRE10062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10062 MGLQRELDGQQAEIDRLESDTQMVRDRKARDEQLIAASTSPKEAQALQNELDTLARRTGELEERELELMEVAEQTKARFDEAAAALSGVDGRRAALEAAIGEAEAAIAREASAAAEERAGVAAEIQRDLLGLYEETRSRNGIGAARLRGNVSEGSNMALAPAELMTIRETAPDEIVFCPGSGAILVRVDEA >CRE10310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1099739:1100569:-1 gene:WBGene00080662 transcript:CRE10310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10310 MKFVLLLLFIVGCNANFGFTGQNGIVNAHNTLRSKIAKGNYVAKGTQKPSGANILKMKWDSSIATSAQNYANRCPTGHSGTSGLGENLYWYWTSGSLGDLNQYGAAASAAWEDEFQKYGWNSNLLTIDLFNTGIGHATQMAWAKTNLIGCGVKDCGRDTNGLNKVTVVCQYKPQGNYLNQYIYVSGTPCSGCPSGTKCETITGLCVM >CRE10011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:322941:324453:1 gene:WBGene00080663 transcript:CRE10011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10011 MFLFRYPLNRRTSFMAACADEIDAELAYGSPVRSKKVLKRQNFWRQSERKQGSRKGCRTIFDTCVVILILATISISALLGFQSFKTQKLVSNIQKYINILEQQLNVRNNSTTETENNLMERAIQSQDNGKSVEKNKKVMMLSTEEIVIEREHQAGSISKTYLNGGIQINSTFGINAANVLLHASIDYELSSKEVSFEDGFIANSFLGSDFGGYVLLDRIELPLNKAWCTNELQPVLTINLASYTNLSAVSYQHTRWNGSVPDGSPKSYDVMSCLDENCRKMERVMSNCVYKNLEDKQEQVCVIPTSLRLSPTKKVQFRIRENHGNTRKTCVYLVRVYGHIEEKLLTGNQKMKRDHVHTCLSITNRYHNYRILFDIFDGYCISLFSKGCCKQCPECCTQCRINPSFSAVVYGIILVLITPLVIISPFFIILLLVKMYIRFF >CRE10135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1120669:1121754:1 gene:WBGene00080664 transcript:CRE10135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10135 MTSFPFLKLPFVVQKKICTNWIPLDILILSNSSKRTANLFHSIIPRNRFKLHVYFWMESEVYIKEENDEHLFNIPYDQKNRIDWTDENHLMNFIFEDASIDTITWTMDRISHVLNAEICCLSVDLSDCFGKVPRILYWLNNRQRSIDTIFVNYSRPNDDELFLMFESLHINKCLNILICPSSHLIKPLNSKFEMDYLWMRGGSSNTWISLDNIMDFDCIHIDLASFSFTSYDMNRYLKAWINGCNARMEYLFLGLRSLDHNVLTDGIHAEENDSSTVRIYSHQRLEIPCVFEGGTNIRRKDGRLATFQQIIGDVDSLQRFPFKMVVWPEGF >CRE09965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:16651:17380:1 gene:WBGene00080665 transcript:CRE09965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ora-1 description:CRE-ORA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M6J7] MISKFAAALLLLAIAADSEAKPCFGRCGGRPPPPCGLPPFLEDLPSDAQVKITEIWKDYKEGDKCYEEQGLTREVMESLPKDVRKSIHKRPPLPFLRGLPKNILAKFDAIFKDKSIPFSQKPEKIDALAQELLTGDNLRKFQEFKEKKEKEDKEYKEKEAKLSPAAKEAYEKLEALGKQKWAIIEDLDEDVKDELFDLWKSRPHGPPRHH >CRE10275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:958270:958496:-1 gene:WBGene00080666 transcript:CRE10275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10275 MAITCTDIPKFICALLLPPIGVWMEKGCGADLIINIVLTILGFIPGVIHACFIICWY >CRE10192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:372756:373658:-1 gene:WBGene00080667 transcript:CRE10192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10192 MGFSVPXXXXEIIDGCLMNYLCVQSMYRNSASEAITRPLHYQGIAVILDELLKMTHRLLEDKIKRHVKNVFNMMPKICKLPRADYGSTALLQYYCHHLEAVGKYPELKTEFCQDLRELGNMIVFCQQLEVALGQEETHDLFLAAAYIGNVPQPPSRNAQEQMKQLVKLEEKFSRIHLTEVIDKVSVDEGQKVIAREAELMTKERLCCGLNAFENFLLRIRQMLANDEVSILFNFIDFLISQIWTGGYPSNGVFWIDECVEWYRVYSALQFFLCQPTRDDNDVNAE >CRE09984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:156051:157529:1 gene:WBGene00080668 transcript:CRE09984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09984 description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:E3M6N2] MSDNDWVAGISKKDAGRYSRQLLVDDFGVSGQKNLKNSRVLIVGAGGLGCPVATYLGAAGVGTLGIIDYDHISLDNLHRQVAYKEAQIGHSKAKGLADNIKLQNSDVNTVVHNVSLDSSNAMDIFKNYDIVCDCTDNVATRYLINDVCVLLNIPLVSGSALRWDGQISVYHYGPECPCYRCLFPSPPDPNSVTNCNEGGVLGPIVGTIGSMQALEVMKIAANVDSTLAGKLLLFDGREGKSRTIRLRKRDTKCAVCGDNPTITAPVDYVLFCGAGAHDKIENLKLLEPTDRLNVEEYRDIRGAQKQFLLDTRPPVEFEIAHLPEAINITLNECRSLTPHDLSSRLEVDSNTSDVYVICHRGNDSQRAVLLLREKLNSIRFRDIIGGYEEWALKINDKFPLY >CRE10285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:1001610:1002832:-1 gene:WBGene00080669 transcript:CRE10285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10285 MTKQRGRKRKFSECKENMPPKIRIDRTKIVLNEQDWMPAAATEFYNSKHYERLRNELALVDNDIVFFGPFRKLKENSDVNGVELIMLDREQSDLPEMQTFMKCGTGRYSFWRDEPSERNPVVMFLDQHTCPEVTVVGNKVEHAIHHLCMRVSKYQDNTKEIKEAISTILDILGDNAMKDEIARVIRNRKRETIVGIVGPGLLVGELPRGGSRYRVMDDAQNPNIESLLRCLKHVPFPKQQDTVVDIIDSLMGDFTVYNDEGFYGNGLELGHLLFLANHKSVSNQALILLQTAYQLLKRNDFITILKLTLELRDH >CRE09992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:183833:186005:1 gene:WBGene00080670 transcript:CRE09992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09992 MLFYVVLLLLGAGAYYYHFRINRKKIIERLEKVVQRKRDDLLKNIDEARRISDKLDSKRRDYIGNLDFEQLKEELQKGSVTCVEAVRTYFHKAILAHEKTNAVTCFILEAEQQAEELDEKAKLASFVKPPMFGIPLSLKECLKVKGYDTTRGFVQDAYRPSTEDSIQIEHYKKLGLIPFCQTNVPQSLLSYNCSNPLFGTTTNPFDSTRTCGGSSGGEGALIGAKGSLIGIGTDVGGSVRIPCHFTGIAGIKPSKMRFAHRGGGTSVPGKPLVDANEGIMAQNVTSNVELLRSVWVDIDFQSDRDPYCPPVHWNESLYSSEKKLRIGYYIDDGWFTPTPALQRAVLESKKHLENAGHTVIPFHPPRLTDVIQLYFRALFLDGGQFILNKLMNDIIEPTITFQRTLCTVPAWIQRLLSYPVSLVFPRLGMFMKSLTRNTFELREAYAAIEAYREEYVSLMLKDDLDVILCPPSIMPAPQHDVPSKLLCGASYTFIYNLLDFGAGSFSNRPSKTVKPFPGVVPVTTVNKSDEEKLINEYPETDKWYQITKKATIGSIGMPIGVQVAAPPFREETVLRTMREIEIAVTGKLEK >CRE10001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:266654:267988:1 gene:WBGene00080671 transcript:CRE10001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10001 MHSQPSGSRPNHRHPRAYRWPLAPMIQINATVCVLNGAYTARVIVDSTPYEFDDPALAPLLHFTVLDGHLRPSGAEPRASDFGPNAHIPGTLRIFSASTHAWTRRHAPLPNLRCGCNPHYNNASWSHTISRQRLTDPSTLSLSAHTVKDLASCAIHITFWNRLQSPTLSHPAAHTIQPVASCPINVTLHN >CRE10071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:712087:712757:1 gene:WBGene00080672 transcript:CRE10071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10071 MGSYLKFLSFTINGINFVALLLLFVGCFVSTFGGGDLSFMGIISGYGFPTFSSLILEVLDEIFAKFHFRLPNVIAPTALVFVLGYASSPHVGFLLGVAAYCHQRHIKELVGNNNGTINLAMMVCGGCVATILAIHRDNLSKIALIVMSIELISCGLEFDKEEEEKKRAEELRRMRNN >CRE10158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig19:49793:51302:-1 gene:WBGene00080673 transcript:CRE10158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10158 MVQTSFGRDSESAYGSEVSSNATFKLQKDRFQIEESTAIKEIWYEWIRNKLRHYMILELLFSICLVLILWKQYHISSQNDITLELISSIQSEFQNFKIDIESNTASKPTDTMNLDEGNKKLEELVEEVMKDIKNPSVEINQKSKEYPKQFIPAQDNSSPNNSVFQINAASLFLGATVDSSRSSNSDNNPLFGRDQSGYVLIDRSDPPSDKAWCSNEENPILTIDLAKYIRPISVSYQHSKWSGMVPDGAPSRYDVLACLDYYCNNLEPLVSNCEYKATRDNKQEQFCSIPFNKNHSSIGKIQFHFRQNHGNVIKTCAHSIRVYGETKEVPKVKERTLKQAETCSELTYDYHHKSWTYNTVCFLNIKSYNNLNYFQIDRKNCTVLYSNGCCTECPECCDECVIKDINSETINSCILLIFISFVLITIFLLPIALIIECLFCKRK >CRE18214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:30085:30384:-1 gene:WBGene00080674 transcript:CRE18214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18214 MQILRFPTLIQKEIFENLDFDELLVLSFLSKRCKQFIQTLQKNRFKKIKTIVYDFGWRDRISITVESVDSEYLLRLYFHRYDKSSLSPMKMFGITQDIR >CRE18220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:59675:60080:-1 gene:WBGene00080675 transcript:CRE18220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18220 MTSVVVPQIEFRVNWNEIAKIKQKIIEALKGINIKTIGEVDMFLFKKNGEIHVRLELKPFAFPDTERCKAVQANTGPPIIGEHQLGCRGIEYRHEKAIKRGRRHRVSTGVQTVFTKKQ >CRE18199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:72339:77009:1 gene:WBGene00080676 transcript:CRE18199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18199 MSDDLFPRRRPLSPLDGYEDEEIDGKITREKYLAYKAKLNEEAQRKIEKAYLDWDLPRKNDPRTPEPRWEMTEEEELHWRSLQRDFINDEKYAGFAVTNDPVPEKEVDPKNLPRRYRQPLIFLSNAQVDKHTEEEERITQMIRVEDTAGINRSFRRMYKKGNVRPRNASERRRGYDEAFYDEISQDVLSDEPPKKIKKTTHVPTKGIEKEWIPSNSARFEKAYSERSKKRSERRSRKAKSGSNVSQKSSSATTGVLEKPKKKEVRIPREPDPTPRETNKRIRDWLQTVEKPGPEQSRERIDYKLFHRIPLTPTERVQHLCFHHPKDVMLTEEELEKGEYFYNLNRVKEMRKIPNVGVEVMSEEPDDDMDVENVRISDVDLEKVVKNDPMEFSEIGENKDGSEPIDYEEQYLLYQKQRRKSSRPHRSIEEIQRNLLKIKKMEQEVTTHLLEQWFDWQESKKGRPTKDEILEEEYDLYLQMHCEEFDTQPMNYKDYEKFFDEQVEQERSRHPKGMTWQEAEDDEDNNAFFEFKNKYDEQFAAQKRKWENALHRAKERIRIPLVKAEMEIVEDELIATAHQLNEDKWNGDGDSEEWDQRYLKYIYGSDELIERTPSMDPPLKETFKEKVLRIAERRMLQRKFEVHCGEWLRVFTEQKEEQERRDAEYKRQRKESGEKEPEIRDPDEEAYEALTEEDKKHMNILRLDPEDEEETFPTPVQPWVMPEGPIPILGPEVPRIIVYDIHDIDRMSKAKLRGRGKKGGKRGAPKRGAKNSLETVEEEEGEVNTSFLIIIAHSTFLLVNNAGVVESRYDL >CRE18222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:86306:86512:-1 gene:WBGene00080677 transcript:CRE18222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18222 MSSGESSRPTSNRRRLNRDPKIDPNIRKQYMPLLPEWDSQLPEVLEESVVVQAKVRAEDGRESVIDNI >CRE18225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:120744:121334:-1 gene:WBGene00080678 transcript:CRE18225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18225 MKIVFRNRIWHLSDFLHLESVARASHKNQECSPEFVKIITSEASEYGWDLYALIVSCAVLAVIVTVQTFYLLKGKGKNRKNKMRSKGRNSIRRPEEIVKEGRKRKNETQFFVLFSNKDE >CRE18196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig190:31275:36043:1 gene:WBGene00080679 transcript:CRE18196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18196 MKFLSFPTLVQREIFDTFDLSELLILSFCSKKLKYLIQAIQKHRLNKVGTVKYSLLSLVYIIVNAISHDSDKMLNLFIISDDDSEILPMEVFGMHRDVPICLDGPSHIYAYEKEQTGVIIEGIHTFLYQFFGPSPDYRVCTNNSQPPPNLKNINSSAIILPENITAEELENCFTASPKQEYIVINKETTYKLSPNSVIYDTDYLDLLRCDVDGEDVLFRFNGKSLTFSDSNLQNSTIIRFLNTWKSDRGFQNLKLLWIDVSPELFNFAGIMESVDIKQSDESFTLKWKQRSTTDARYRWNTADFSHHLMRSSDGVGASMTITQNHFYFVVWSEIENSEIMNHIK >CRE15224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1907:5156:5821:1 gene:WBGene00080681 transcript:CRE15224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15224 MLSFITTLPFQASGDRLRRPSQISAGAQSSATRHSNPDRDKVVQSRIHETEGEEKEKETWGQRFHRAYKEYHIKYLFPLIFIMFYMLIGALIFYFLESGAAEEVASEEDYKYK >CRE17770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1910:4951:6878:-1 gene:WBGene00080682 transcript:CRE17770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17770 MYQQNGTGFYEDVKYDNEYSLTSEEVILNFRRVRILIVFNLKDKPPSLVPPEPINQFRNQYPTLGTRYSRPVNGRRFDPYDRDMNYSSVERYNEEVSIRFLFGNGRPLSTIDDPHFKKFLHHLNPTRAAPEAGNMTTKAMSQIRPDINYHRLCGPLAVTIEAIRKSDEIYLTISAHCYTEYGERQNTIHFEKIIIADYEGKVVADRIRKVVDARKSMNFGVSYILSPNVRMLAMVAANMPFKNKFVCFFSYITNIAREVIAFPEFENGLKLLREYVEALNKHREVFTKYKKYVLLYLFNCLTDYFRMQLDSNNTIDIPVMDSESDWLSTLHFLSICSQNHESFSNLHENWCMPKYLDEKEENAMSNLYDFLVVLCHITTQICSEDSCISQVLYSMSIINNAIKNCGIQSARVRMRKTFVKFYNQISKGKIGDFYTISTLLDPRYGYSSMIFSDENWTVIEQKLVTTVGVTPSTRFEINKELKKYKKLHENLPTFDEVTTQITWWNENQEQLPLMYRQWVEHSALPAVSIDAKQYFAKGGKLAHLFAALDEEMHFKALLLAQSSQDFM >CRE17768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1910:1046:2549:-1 gene:WBGene00080683 transcript:CRE17768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17768 MKNWANFTNGILTIHENLIEKRKKENNQNITCVYTEIIAGEELSNGTFLVQSSKKEITIEPAIPTRINLEQFVVFCRDPSGYHFYNKTFFNFLPKLEKFSVRESEFSNGLIILSVSGMSHNQAMRHLKQSLKFAAENDFISFSMFNQETNNNWGNLMKSFGNQEKIWESVRKINGSPTFLHTDSPKFSKMFGNQFDFHSNLYHNFNRQHFGHVNNCITDGSVFLKNVLFRCRHLNFFRLITNADVYETFLDLISKWNDSIPSSFGNSLLETQISRNKTCTDSEISDENCICSKVVKHETVKRFGPND >CRE17769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1910:3052:3856:-1 gene:WBGene00080684 transcript:CRE17769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17769 MCSSEEISKYANNLENGMNIYRSLKRLFCIDIPFELYKNHGVKHLALKYSKDSEYSEIAINLINKIQKMENDSVVFRKPYVIPHAGYLTRRRPAALPNPNSKPKVPKVSSLKPVELPIETVIPVKKTSDLVEVLKLKNLEESDYDRVRPTLVTLSAKQLKSFMDRNPGIEKIGDGLFRRHCQIDCPENIPKKLLTETWRTLHDVHINCNLYIEAKKLEDKLRDEDEKFEKFKKSFS >CRE22099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:33001:37544:-1 gene:WBGene00080685 transcript:CRE22099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22099 MTLTWITVQEDVRRLVIEQLNRFDRGSLRQCSRNDKLLVDHMTKPIKNICFVAAKECCTIKCDNVYVHYYKKREETTEIQRESNFQSLETFTINEDFQTVAWRSLQKILRSQNNRIESLAFASFGTVRQKNSEIERFLPDLPSETVNVQKLSIHRDNSEEMTYRLLQFMKPEIQSLNLSMDVENMINYIRIGDLEQMRTADKVYSNLQLPIRPSLGLQCSEIVNNSQLLSGEDIVQLLKKSLITGKPSKFEYHCLLELSNGIVSNFTSMKWDRLTARYFRDFSEQFVLLDDSQMYYLSMSGSSKVFVEFLPKSLKGFLII >CRE22100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:37809:42162:-1 gene:WBGene00080686 transcript:CRE22100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22100 MTSWSNIPEDVKRIIVGPLNRIDRQSLRQCSHGDKLFVELITKPIREINFMARRSVSGLVMVTEKKVRPLFLQFITIRFDEEYEDTFKHKREEGSTVVRRSKNLLPYTSFTVNEDFQTVAWRSLQKMLKCQNNRIESLNFSFLLSFNQAIDEPERIVPNLPSETVDVKSLTVRMVCSEDLTYQIVQFVKPNLHYLNLSMTVIDVTLPSRVGDLEQVKTAEKVYSLLKLSREQSMELQGYEIVNKSTHLVDSDIADLLKKSLSTGKPSKFEYYRMWPEPSEVTSGFESIPWSVSTADEFKNLRENFQLDLANKNFILSIPEISKSRLFVEITRFAVKGFII >CRE22105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig192:114910:122016:-1 gene:WBGene00080687 transcript:CRE22105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nmy-2 description:CRE-NMY-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NCM6] MLKSFNFSREKQQRHHAETARRAAETQLREEQEACLEKTRKAEELTAQLMRRETELSQISIKNDEELAARQQLEREIREIRAQCDDAVEEANKEKTARQKAEKARRDMAEELESYKQELEESNDKTVLHSQLKAKRDEEYATLQKQLEDTVKSSEETVEEMKVQSQKKIEELMETIDQLKRQKISADKSRSSAESDAENIRAELSNVASARQEAERKRKAAEAALMEKEHKMREMTSNLDDLMAKLQKTHSRTREEVDEEDPEVKEEMRKKKEKELIAEKSEPTCRDKAERAKKKAIQEAEDVQKEYTDMMAATREMERKQRKHEQQLADERNNTALALQERDMAQQMLRDAETKALVLANELTEKKEMVEQLEKEKRTLKLEIDNLASTKDDAGKNAYELEKTKRRLDEELNRAEQQIIELEDALQIAEDARSRVEVNMQAMRTEFERQLAAREEDEEDRKKGLSSKIRTLTEELEAEQRARQSAVAGKKKIELQISELTEKNEAAYRQIEDLNRQLRKAQLGNKDAEMDVVEARAARDDALAAQRDAEKRARASEDEIKRLNADVQAVSSSKRKAEAERDELIEEVATLRASAFSSEEKRRLEAKVMDLEDQLDEEASANEVAQEKVRKAQQQLEQMTADLAMERSVCERNETDRIALERANRDLKQQLLDAENTAVARLRTQINVAEAKVANLEQQLSLEEQDKMRQGRTLRRMETKMAEVMQQLEEERRQSESNRQASERQNSRIRQLRAQLEDAEAERDRLVKKVNEERRRADEVADLNETLTKDVSLMRQRETAARRTPGLMGGHRESRRFGSNSSLVRDEFRGSALTNEMSPSDRPASRLTSGTGSQLGNTDEDRDSIRN >CRE02777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1921:4524:5032:1 gene:WBGene00080688 transcript:CRE02777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02777 MRPRACSACRRFWAKSSRGRDERSDHADRGRTGREDLLGRGLVRRGHPCPPRPHRRGRRCGARLPRHGRRGVPRRRPRHRCPPRGGRGARRTRRCAPRGQGRARHHRHALHLGIEDPRGVPFAVRRDHRGEGPGGRSRLHRQDQHGRVRDGLRHRELGLRPHHNPCRST >CRE21536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:142112:143911:1 gene:WBGene00080689 transcript:CRE21536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21536 MTGIPEGMEAVPAQTDPEFYKKFNTSELLQYSLLSPITKNNARRTWQVCPSLNFFIGQDSLTLRTIRDDMAPIKFFWSSPNPENLLSEPPIVETAINENPRVAFVKPEYRNMLNWVDHFSEVLHSKEIRLSLTSAESIFGIDEIKMLFVNYKIVGFIGTSFDLLEQLLTQFLPLQTIKLDMTPDADLTADFQPLANAIRGIDEIMFGKEFPMTLENLKEVRNETITILCPSMGLRELNAWLRSWSSSESNRNLVDLQIRFPAETFARDYRRFVFHRIQFLVGQNFICNYPYSSKAWDYEPMKSLDGPFIVRRGFQDALMDFFPERLYMTVKFTVQF >CRE21542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:40800:44903:-1 gene:WBGene00080690 transcript:CRE21542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21542 MATRPETIFTRMANYHHAPFIDNKEVPKNDQIRTNETLETQGKVLSREQNAVGTTMDHSDQNECQLANLSDLINLGSVIESEDNSDGKLRVKGLWKTKKENKSKIPRKRTSTLTMKAMTPEDREEHVKSQDRRNATAYRKRQTKSFNRKIEIEKELKKEVQRMKLENESLENAYRAQFDYYGAEEVAENNLRKLESVVSAAFEFPMKIACDELEEIIDSHKDQLNKIETEKAAILMRNDMNKRSDLNTNGSQKCRTNKKLASSQVDLKICRLEFRVAELKSYGDKLLKLMSSQKLVPSSEGSTEPRNQDSMASISGEFTELSMEPSGETVEDLQQPGTKATALLAHTTLCPRRSQEFMTHRYDARPYSKDYRPNGSEVTPADFNFGSSQQQLEHTFLNPSDQHGIQLPSSTFPPPASDPSLNKFCFLATPNPTPESMEVEFPQNPLTPLHGPALHLKSRSDEDDVEFRELVDYVLDKKIDDSEANFDNYMKLCGGAGQSLPDLPDDFLESSLTSPSLDSSIPLAGVSAAGVSPDSGINVLENHPEHIPNQLDIKYYGVWQQDGQVANHDSSRIQSAQEPQYPPYPGEHIQHNGQVADQHWDSHGTMGMEWEPERLYENPPIGPEIPHGDGELPFPINPTGVSDQMNYLSEEYAPINTPGDSDATNLPPDGQFWNMPRFSVA >CRE21534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:135616:137712:1 gene:WBGene00080691 transcript:CRE21534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21534 MSDPDDLSFLSDQGRKNVIEEMATSQLIDYSLRSASTKTQVESIHRQVSSLEFHIDHTKVILKSEDAPDMEVRFVLFRERNKNNCSEAETRIPMPNPISIQSHAIINQEYQVTDMGKEPDYCTWEQWLCHLRDIFHCQNVKLFFKGNGSLKYSVDSIKELFKGFNIIGVGVDEASREDLMELLETFKPTKDIRINYLDQDILGLIPENLDFLKFGKQIGLKAEELLALDSKVIEVHSSKIIEIGVQQFIKNWKNMTSNVNLEFLSLRYEKKTFPGEDRIIAKIPRRVLSKEGKRFRAVVPESTWSYVSGAYELKRVDGKMATVVFEQESDVRWIKFYVHQ >CRE21546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:94588:94878:-1 gene:WBGene00080692 transcript:CRE21546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21546 MQRLDLLVCRLKAGAAQRKSPESVAVKEDSAKSPAISEQTKKTYPWVCSALSGSAIPRELVTEHFRPLF >CRE21537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:148347:150209:1 gene:WBGene00080693 transcript:CRE21537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21537 MADHKEPNFAEAVVGNLPLNKPVETMTTTELLLYTLFGDDNKTEEAKTVGRTAEYLEVHMAPNNSVIVSSNLQDMTDFKWIANCVVVNFEGQEIYKETPGITSEVEFVTHCFEVLHCRTVKIFLQDEVSGDAVKEMFSNFEIYSINTQTFAQLEQLLLLYVPPIMEIGLQVYPPADSTIPFPSDTDIVEKQQRYEGDIPVRLGHLLTDRYKVIDVNTPVLKNYQELVSILSSLWNGRSLKDDLCIRFYHTHSSQSHSQYRSLTLHTVLHPIMYWYDRYAPRGLAKRPQCDYEDGILLLKIPMKISCEEEEKPTRK >CRE21540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:29187:34150:-1 gene:WBGene00080694 transcript:CRE21540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21540 MNNPNFILGIPDYMSPGDLEAIQRIADEVEKNNQIEMDEGMMAEKQFEPEEHVDNVMDGDSTLENIFEEPQAPPPTPDMVTSGSLTLEEFCHADTKTTRSSSRISNRRRFENQCSLRPPKSQFETKTPRYRLKKKELKAMPPEQKIDHVRHQNLKNSAKYRQRQKVKLAEKEIIVEELEAELKQAETESMEMENEYKARFETAQLSDLKAQIFDDIQQKRQKRRDELENLMKSHEAVLQGIAERRREIMDSQDLKDHQKKTEISTNGSQKSREMGYLKSSEMVLKAYELSTSLEQHAAYKKKLEHMFGKIPNHSGAEDQNQTAIDTPQGGRDFTDFTTGCNTGATGTSMHKGKRTGIGSTDLMAAHTPNRFNSRGRAVSDSMTTHTTHPADVIAFGAPAIRRKSDCLDGNDHDRARPDTKRLKELESSQDRLDVMAGYSADSAVTPTSAGGEGRSKMSTSLAVGSNGGTFSGRLTLAFLQQCDRRRHDRLHLFHSPLNPGEESVAGVYPPNHGSHAIRGNSPCLDDNNRYRVGPDTKRLKELESSGGRQEVMAGNWAVYPPNRAARVVRSKMVRYDAPEGTGVHNYQGDSKDSTTHLDGFIFFGHKAHDGAWVYHYQEDRGKSTTHLGGFSSVINSNTDENYPLVTDLNARHHPLMSNASHSNALAIVAGAGDNVSVGRNMGGLDDNNRYRAGPDTKRLKELESVTRVYPPKHGARAIRGEMAGHYANDEAGVHNHQGDLGDFVPTEATGPYGIGSVASHPAHTAPHVVNVNNVKTLTSTGHREADENDPLVTDLNARHHPLMSHASHSNALAPMAGASDTESVGRNKGGYGSIESRRDASATEFNKNDSDAEDAIAIRGSLKFGHDATTRNGESRRNVIAVGSRVFGDSNSSNIDRSVSFVKNASVHGEHDAMGTHVNSPVARCSRHLDRFGNVAGVLHPSRRDVIYSEATGLPMETHPDKISSRRPAGADVGAYGTALRAPHPAGIGMSAAHGLHWYNGASYRTPTESNAQDPVGPSGVIN >CRE21529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:66663:67616:1 gene:WBGene00080695 transcript:CRE21529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21529 MINSRPLTPHPKRPNDMVALRPIDFQLPAALIDLPFNTKPFDPTQSKTEQRTRAHLDQYENVLERLWKGWSLGYLLHLRESKHKNKRCSSIQPKVGQVVIINTNLVRRQKWPLGLIVKICESKSGEIRSVIVKCKGKLYKRAVCQLIPLEIETLDNENCPKDQKDTEADPRYKSKPIPPSPAIFDIPNARYSPSYFTNSNDASHPDAVPQTAELPIIGEKVVDEEEIDYDLQDLEQEDDDSVISYQDPNASLANRLDIIQQPILHPHRTREYLPRKAKAPYVNYVHHVATHHLSFSGPPECCQFDTSRTQPANLTAP >CRE21535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:138893:140756:1 gene:WBGene00080696 transcript:CRE21535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21535 MAAPTPTVPDDEFPFHLLSFSGQRYIIGAMTNSQLLQYSLISESTKKFAKNLKREATFIALAVYCHRLNFPPDVIDKNEIRLNFEFPSLDYYVPPGISFTVLSINEYQVLCTLENEDYSWKDYICHISEVFNCKSIKLYFGRGVFCHFFDGFDEFLDGFEVTELG >CRE21532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:113081:114739:1 gene:WBGene00080697 transcript:CRE21532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21532 MDRKWSQLDMELPHGSSPPFARVPGAPGTLEMENPFEKKSDEEILQAEYLKLKQEQQYYEITAIAQKKAIEDLSVKFEELKILKENPPKQTAKRRNLYATIQKHKADIEAFHLLNYESQRAVKILNDSCNRLDRDFKEYRERTKELESDFEQLKDQFYEEVTQEQDELEEKDNMIEGLVDSNEMLAQKVKDKETFIEKLQEKLTTLS >CRE21522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig193:400:898:1 gene:WBGene00080698 transcript:CRE21522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21522 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NCN1] MMLIRGNHESRQITQVYGFYDECLRKYGNASVWKHCTEVFDYLALAAVIDGKVFCVHGGLSPSISTMDQIRVIDRKQELKIIKNLADIGRIPLEPFRH >CRE11619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1937:6401:7354:-1 gene:WBGene00080699 transcript:CRE11619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11619 MFNAENMKHNKSVIQESKSRGYPRTDSIHKNVETKTLRLYSSENNFWNPANLITNTCYSQSGLLLSRRDCTINLHNKMKSKKHVDFYEILKMSSKPGLAESDLREIIKCLAFSVFYMQKNTKKREIEDCVKNPFRGFDLKEAKSVDREIVMPSGKSFFITASLTPTVCSTFCGKMAVEKVVANVMQQISICDEWFLIYGAPETGRSTKYIKIGNQFFDNFSKVIRAGFQLSDEPIMMSSLVSHIRSSVQNFMLVEAAFNV >CRE11617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1937:421:2450:1 gene:WBGene00080700 transcript:CRE11617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11617 MLANGGIITIDDLRNYETHVTETLYADLGKYRMCGPPPPSSWTITQAIPRIVEIPLLDKQFLNNCIMPTNLSVQYRDKKMFNDAEFYHTLIEAQKLAYGQRGHLGDYLFSEVSMQLAKNLTDRKFIQFLSKRVMAQSQDLEYYLAAAPAVLDSGTSQISVVDDDGNAVSLTSSINTAFGSKMLSKYGFIYNNQMDDFSTPGFRNN >CRE11620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1937:8777:10301:-1 gene:WBGene00080701 transcript:CRE11620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11620 MKGHNSEGSCVYGLCGGTFHKTTAPSRSLSRPGQLTRNDARAGTFGFGSTPSVVLDYTLPYETPIDILHNAAEGIYSTIMSELLPNSRNHPRKSDLFQCDHSIHSKLCESVFVPLQYKITRMSSGSEKITVSAELSVVWLIRSFQYFRMNCGLAALVNPSLKPYARLSILSLMLITNQLYSNSSKGDKFFEHMTSAARWTLETASKTYMTTKVHELVSHLPEVITMFGNVACLSTFSFEHFYKYCLKGFNAQKTKGFSESALKRVFLHSAVRREIRNRLEYYPSKTITDFLKVTPQWDIMKVNWKSRILQLKPEDAVPEIKNVELFSVLNLPYGKLTSTYKKEYPSDIFFGKTSSGFHSCFRFVGVGIKDQNTIVLAERIRSINPALQFPLVQKEIEEMAHPANTYGSNVLFHLKRYSGLVHGRCSGEMEVLNINDIKGLGAYLRHGDATYYLQVNGAFVHN >CRE20955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:10860:12777:-1 gene:WBGene00080702 transcript:CRE20955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20955 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NCR2] MWLLLIQLVYVIPTVALYILTVCTVIVKWTTFKSSFFQFFFFDFGVNIFTLLNTFYVLRFANYTCDTCFLAPIFQNLDKYFSSAFFYAMQYHMAYVQYSSTLITSLNRLSVIYNFGRFEPLWKKYSFIAMLSILVLPTPNTIWMMGYGTSWTYDEEQSRFLLHSPLIMLGITPPTSFVFSLIVYAIPFISDCLTLCHPWLLIWLSKPVRDTILNIIRPTPVSSSILIIQRR >CRE20947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig194:18146:19133:1 gene:WBGene00080703 transcript:CRE20947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20947 MKLYLCFQLGAVEVIPTYVSIMKNFGRDILRTEVEFEFSSSARLSVILKSLKRYEALLTNSRFYYCTYKPSRCSDLFPIALNDTNLRFYDIVNMKSPAPVLYLIVMGKDIHFIDSKS >CRE13849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1941:4469:5182:1 gene:WBGene00080704 transcript:CRE13849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13849 MPSSSLSRCSSSASLDSVTLSVFSSPCSSIDSSIWKTVELETLPMQSSSALSSAFSTPTKIREFKIELETEPADIQDLTEELEKAELENSILKQKMDILGIEINDIAKKLEKCQVELEAKSLKVEQLSAGNRMANIVIKNQIKDIETYRMAEKIWKHQQDKLEKLRATQQQEKKNHKPWNYGESWRSHQTVEKNEKPCDKKDGSEDVKLLVNHGYKKAEAEHIMKQVMEIRKMQAAK >CRE13848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1941:2566:2967:1 gene:WBGene00080705 transcript:CRE13848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13848 MSSSFSLKTDFEKEKAEVQNLKKKLEKVQLEESILNQKLDIAELEKMYIYNDLVKNEKEMKAKNMKIDELKSHIRFAKELFKKQQKDIEMLRATQQQKKKNQKSLNHGKSCWRFVRNIEKNETSLQKTCDKKE >CRE16571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1944:5299:5629:1 gene:WBGene00080706 transcript:CRE16571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16571 MSLPFAGLLALLLYMTFLNTLAINDNSMIMQWIRGFFPLVNGVISFIGPFTILLFNKELTQNVKELVFNNKNNNRPDSSMQPARNNDNVSMMR >CRE16570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1944:2064:4131:1 gene:WBGene00080707 transcript:CRE16570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16570 MKIYHSPRVGVSGRIDFTFFSVFLNGAYWSIVFRAHGIVLLTIHRYLVIVKPLSGLTHFIQNEKMLFILVRFWIPPFIFNVILFSDNRIQFHFANILTFAMDTSIVNVSNNEIISDLLSLTFYTVLKLSTSLFPEYFFFQEAIPFAQIFLNGVYWSIVFRAHGIALLTIHRYLVIVKPSSVLTHFIQREKQLLICIRFWIPPIIFNCFFFLEWKIRYKLDGFLIFVMDTSIVDRYSKLIIVFLGISCLLCLFSYLSIIVFVRSKVQTMSQSIKRELRLALQMSLPFAGLLALLLYMTFYATHRN >CRE16563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1945:292:1566:-1 gene:WBGene00080708 transcript:CRE16563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16563 MNSFLQIFNPGGVEKEVEQPSGCVNRLVILKWRNAVDGIPLNPASASFYSDFFRWKQHVTCLIEKQQLRANLNTMTENLNAKNKVIEEYEERIVHGQVTILNIMNEQNALTEEKKELKEHTEQLRIKLTASEKESSRLNEQLEKERKSWKMEKTNLLKEIFHLERAVDKKRSEKFRELQEHHAKEMESKNAEIRELEKELNLKADLVAAKQDRFTELQEQQIRVKDSEIQELGNELYMKDHKIQELKADLAAVKQQFADRLTELQEQHAKKIKGKDSEIRELGKELTQQHATELNLKDRKIQELKADLTAARLTELQEHQELNSKYLEIQKLEQSGDRQSPEDRIQKLQEPVDEPTQLHIELGKLYVELQEAKKPQDALMAQLARKDKEIEFLTKKHEAKLAAMNSKIQRLTEMIQDEKSQNSF >CRE15226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1947:1859:2683:-1 gene:WBGene00080709 transcript:CRE15226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15226 MTEESRKGHGDSQGSNDVKEDENEATSTRHIDRFAKNSRRRGGQRDFIEEWSHRNLLDRRKDHSKIELYDDIFLKDGHLRVGIEVGMEPSRGNRKSSVWEHKGHVLWQHQAQRQREAVQQLRQGRENHHPAEEEMHQTILSPSSNTLSLPISLSLDTSIQSFVVIVITRSPFETNNL >CRE15228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1947:5576:5887:-1 gene:WBGene00080710 transcript:CRE15228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15228 MTIWMAKVMDFVCQLVKRRNKRSPKQPIMFQGRMREYNEAIEAKEADVRLKLAKKFIIRDHYIDAERRLKAVPPTEFYPILHEDGTWRYQTRFHAAEDPKTDT >CRE24775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:46500:47914:1 gene:WBGene00080711 transcript:CRE24775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24775 MPRRMKNCEAEGQYKHPRTQEFRPSARRRQRIQKQQITKQIQTDEVPVISSPTENESPMCEATKTNGKRDPFEIWKEEIDNYKEAKKRAFYGFLVFVRIYIWRTKFVELEILNSIIFGLLAAVAQAEERVYREEDKKRWIKCWSRTYFYRNLWFFCFMIYMVHMAELQDMDKITGGTMAICLAIKFCFAMVGSNLGAYIGQFETILRDEHVGGATGGLLGFVIGEVWLKHYPPVSRFGKREY >CRE24783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:5850:8991:-1 gene:WBGene00080712 transcript:CRE24783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24783 MNNIIKYGSVQANPLYNCRNRSSAHTPEEWSKRDGVSRPIFGITEGVAGLLVDIAYIPVFFVMIEKENFKMSCYKIMTALAVVDFLSIIVNCMITGWLTYEGAVFCTHPEVIYYSGMSGKSLWCVSNVLAVTLITNRLLDLTSPRAIVFFFEGNRTYLFFLAAIIYGLYFFFCNTPTAFNSKYHTWFFDPMIFEGRAMEYENFPIFINNFLVVFLTCSLYILFCIALRSKLKNTAGSESRKAVSWDTVSINVLLQSVMICAVNLTVSIIYVTMNYIEVPPWLIIIGQLNWQLGHAAPVVIYLKFNKTIRSGVLRKLGIRRDKKVSVYRKSTLTKSTISTGHIIAA >CRE24774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:42403:45346:1 gene:WBGene00080713 transcript:CRE24774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24774 MTKIEVQKAKDVLIPMDNPNLANPGLEKQLAPNAKNEWDSNPNVSRWLIFNTLAVLASIVIWFISLEETNVLRTMVLGCIIGSISIEWKLFTCKHPNHLLSYIILFGVILLIDGYEHLRVKQLEIGHIMFFAGGAVEIGLSWTGAYAANFKKTPESRYRATIGAVIGSIIGIVVFGLVSRAVLIHRMENHPAHLYPIESIHAIPTSMP >CRE24794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:136145:141448:-1 gene:WBGene00080714 transcript:CRE24794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24794 MKTDESTSSRPPLEAVHLSGLIHHQMNHHNLDAQCHLCLQKFATRKAFQEHHELVHTHNVVKCVFCKSAFDQPLEMKNGKWDDFFSHLYGEILYSRLAEHEERANSTNNNK >CRE24776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:57599:58536:1 gene:WBGene00080715 transcript:CRE24776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24776 MLNLKFLGFCVIVVALALVFADNDGGAGDAKNAVASGNGSAAIEIKGQVFCPSKENQVTDCGEGSFAHTHKCCGDGEKECCFALQVWVYIALAVLVLCIIISTVIGIICCCCKK >CRE24787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:53266:53642:-1 gene:WBGene00080716 transcript:CRE24787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24787 MNKMIALKIYASGWPSHVSTDEEKEKFVDDYRKQGIILDNWDLFQESPGRRLLSKLLIYSLWGKMAQRVYMPNTSFFHDPAQIWTMFHDTSNIMENV >CRE24766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:25781:26848:1 gene:WBGene00080717 transcript:CRE24766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24766 MTTSFPLLNLPPEAISHVLKSMNINEFITISFLSKRAKLSVEAMELKSPNVIVQIDEFVNIFMTLGENIWRWSFHGDETENGTMNRALPNKFTELSIYQGPIEWSMEDLSVKKWISHFQTTFHFSKFYSLQFYTDSLMYNIEEIKATVGNFDKLLLSRNGTNNEGYDLLVKYFVPRWLVLDGGAFECLERPKEVLIQNYDELEITSVGGVSIKLDDLLMVNSKVVTIGYINWTEKEINRFIKHWMKGSNPRLEILEIFLTSRGEAFNRTVALKGIRYMEIPADHVRKFKTFDQVNLDIEGDYDFQRSDGTTATVTFNYGEESVLLQMYVWHPHCVGDS >CRE24764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:11759:12503:1 gene:WBGene00080719 transcript:CRE24764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24764 MSSSFPLLNLPPAAILYVIQSMDYGEFITFSFLSRRAKCHVESMNLKHRILAALIGNPVNISMIIGNKHMVWKFSLKNIPVEQTNISLSLLDKVDLITYTSRITEWSMEGLCIKNWYAHFKTIFGFSKYTILHFQENPSMFEIGEIRSTFEPFDKLAISPHPGLDGYLESILRNFQSRYIFFYNGSIDHLKQPQQILIQNYDDRLRDVCWHFSFLSTIARLLYSPWTF >CRE24769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:32159:33226:1 gene:WBGene00080721 transcript:CRE24769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24769 MTTSFPLLNLPTKAISHVLKSMNINEFITISFLSKRAKLLVEAMELKIPYVIVQVGDSVDIITPVGENHWRWSFHGDETENGTINRSLPDKLRALSIHYEQIEWSMKDLSVKKCISHFKTIFHFHEICVLHLFNDFLLYDIDEIKTTVGSFDTLLLTRNGTKNEDYDILVKNFPSRSLVLGGGVFECLERPKEVLIQNYDELRIISKGDMSIILDDLLMINSKIAMLEDVNWTGKEVNRFIKHWIKGSNPRLEFLDIFSRGESFNRTVALKGIRYTEIPADHVRKFKTLDHEKFEVEGGYDIMRHDGTTATVTFDYDEESDTDSFNMHVWHPHCVEDS >CRE24789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:58741:61318:-1 gene:WBGene00080722 transcript:CRE24789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24789 MNSIKLLSFPLLAFSEIVRSMEVMEIFELSLVSRRILNCLSLARIPVQTIDVNISLDTITVFDKSYVEREFIIEFVKSPQPVVGQMKVDNICINVCSKDEVCKTIYCNSNQFESGLVPGLKHLDKTFFRMGFAIGLEINTLRTTRGILCDPIFKKCFYMQIRGEDEELSNEDCEYLLEKTQPTIGITIFCKLCPDFNYRKILHFSRLRVPNLGNMPLEDLKAMDCEIAKLGRHQFNEIDLNEFLHHWIKGNNRKLRRLQLNGFKYAPEWDFLLNGITHTEWNPKERGDLYKSKFTVDMETIDCKNGRDFKDANGQLATVVHHSKFLDFLVWENRFFD >CRE24768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig195:29839:30917:1 gene:WBGene00080723 transcript:CRE24768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24768 MTTSFPLLNLPPKAILHVLKSMGYGEIITLSFLSKRAKQSVEAMELTSSNVLVQIGNFVDIVVRVEKRFWSWRFYGDETENGTMNRALPDKFTELSIHHGPIEWSMRDLSVKKWISHFKTIFHFSKFYSLQFYTDSLMYDIEEIKATFGNFDKLLISIDNSKSEAYDLLVKHFPSRSLLFDGGVFECLEHPKEVLIQNYDELEITLDEDLGAGLSIMLDDLLMMNSKTVLFGDVNWTGKEVNRFIKHWIKGSNPRLETLDIFSRGEAFNRTVALKGIRYMEMPADHVREFKTLDHEKFDVEGGYDIIRHDGTTATVKFDYDEESDTDSFNMHVWHPHCVVDS >CRE22250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1951:6218:6415:1 gene:WBGene00080724 transcript:CRE22250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22250 MNVPFTLVDEALDKLFLKEAEENHLLNLAGHRSVGGMRASIYNAVPLEGVQALVNFMDDFAQRNG >CRE21091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1953:1152:2200:-1 gene:WBGene00080725 transcript:CRE21091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21091 MNSTFEPPTWGFKVYYGMSIVTIPLYSVILICLLRLRYVSKTYKTTFYSLLQQHCIADLASMIGYIALTPAREIPVIRQFYFENQEYYIAAATYNIIYVSLYIRCTGIVFLSLQRYLVITSPHSQITLKVQTASNWKIIVVYWITPILLSIVVLTDTSFYFNNVTEMTLIVDRAITQRNTLMALIVVSITCIVSSVAYGALFSFVRKNTVRLSK >CRE21090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1953:638:1004:-1 gene:WBGene00080726 transcript:CRE21090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21090 MQMTTQMYYLRGIYPMISGFLSYSNPYCILLLNRDLTGQVIKSVSCEGYKVSEAQVSGIRSNSTKQQNLISVQNGATAGTESTRRVAFA >CRE21092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1953:2628:4104:-1 gene:WBGene00080727 transcript:CRE21092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21092 MSTSSTSFIPPTWPATVFYITSIVTLPLYFFVFICLLRLRQISKTYNTTFYTILLQHCIADLFAMTFYFLIIVARSISFVRQFYYEYQDYYIAAAAYNHIYYTLYIRCTGIILLSFQRYLVITHPNSHFTDRIQAAPKMYILGLYWGLPTIISLVVLKDTNFKYDSLETMAVVAEQEVIQRNTLMALVVVSTTCVLSSVAYGALFVFIRKHSFRISKSLRREVLWHFKFSFYSWHFLNPVYYXXXXYLMSRSLRREVSLALQVFILLLAFFGILVYYSFQNYFSQTHNVIVSLVSTVTTKSAFQTGPIYYMRGIYPMANGFLSYINPFCILFLNKDLTKQVIRSVSCKKLKMSDAQVSGIALNSTKEQRKGLNQVTF >CRE23842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1956:5489:6736:1 gene:WBGene00080729 transcript:CRE23842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23842 MCVPIYIPIGQHVCFFVALLTNTLLLYLIKTRAGNSFGRYRVMMICFSVYSIFYATVETLTLPVKWLRRFIKLYKFQVLHIQGSGILFYVNSVLKENVKWGGSLYCGSFAFCISTLATHFIYRYIAVCRSIKLYYFDGYKLYLWFIPPLVLFSVWATAIQFIYVPNPETRDFFRNMTREVYEENIDQIAYVGPVYYTWENGKRQFRLPDLLGGLLICNIIVSTFNLIASHNLIAFQGLSFTTCIICAYKTYKKLNDFSTQMSNRTRALNKQLFWTLGLQTLLPCFTQYMPVGLLFILPLFEIEVGKVGNIVGVTCCLYPAMDPLIAMFMIDRFRNWLFRKESPSAARSGAKVYAHTFDRHSSNK >CRE23266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1957:5248:6123:1 gene:WBGene00080730 transcript:CRE23266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23266 MNALTQELVELLTLEKLEEHIFRGQSRNLVGKRVFGGQVLGQALRAASYTADRPVHSLHAYFLYGGDINAPIIYEVDRLRDGKSFLSRQVRAIQHGRTIFSAMVSFATPEEGLNYQIAEPEYPAPDELKAEQELKENLISFIPENIRAAFMRPRQVEIRPINVTNPFQPQPEAPSYAHYLRTHEPLAAEQADDISLNQAIVAFYSDYTLMMTALRPHGLNYLSPSLQCASIDHTIYFHKPVKADDWMLYDMDATVSANSRGLNFGRMWQNGELVCSTVQEGLMRVREIENQ >CRE23265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1957:829:1278:1 gene:WBGene00080731 transcript:CRE23265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23265 MHILFIGYGKTSQRVAKQLFQQDHQITTVSRGAKDDDFAQHLIQDIQQLDLAQIQPVDVVYVLLAPSRNSPLSAIENYQQTYVDSVQPIVRALKDHPLKRVIVVSSTRVYGENHGERIDDESRPIPVINRGSCYWKWSGDGNRLIHLNV >CRE23267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1957:119:634:-1 gene:WBGene00080732 transcript:CRE23267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23267 MNQLQVGRSYLMEGVVRSIDMPPGKRKSMAILLQDDFGKVTLRFYHIYKALTDRAKAGNRLRIFGEVRVGARGLELYHPEIQLITEHTALPKTQLTAIYPATEGLTQPKLREYVKQALNQHADALPELLPEKFTNGYALKQALGVYSSSSCKCQYAATFTRFSPCSTTFNF >CRE22733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1958:6628:7812:1 gene:WBGene00080733 transcript:CRE22733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22733 MKGNEQELIQLARRMRQLAVFSSSVAVFVAVLSVFALPVLISSTLQAVTSVDDNLARCTADAFKMYKAIDEIEIQLIQSFNKSSSQRSKRGGGYVNSYSGQYSGQNYDSIGQPAANGQYRFFPEVIEAIRARRPTLYSLQQDYNGGVGGQGGCGASPQGYQTRPTFVGRKNSLLIHIHKLIISAQTGSILRDGQSGFNGGGGCIPRYGPPGPPGASGNPGRDGNDGEAGSNGGQGRDGVADIDREPCQVCAPAQQGYPGPPGPKGRPGEQGPPGLDGNTIDGEDGSPGLPGPPGPPGPPGLPGLLGEHGESNEEEVAGPPGPPGVKGGQGGVGSRGTEGNPGPKGPPGQQGNPGA >CRE22734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1958:3536:4946:-1 gene:WBGene00080734 transcript:CRE22734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22734 description:Alpha-tubulin N-acetyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NTZ0] MQVSADLRPILGPQLVRLDPMRVKQLQDPLVYEAIDNLAKLSAHCLQLRTPLTTCEKLINSDSTLYLSWKYDEEEKVSRLLGFAKVGRKKLFLYDAQMQTYEGEILCLLDFYVHFSTQRQGVGKQILDYMFAQEHTEPFQLALDNPSVTLLGFMSQKYGLNRPVWQNTNFVVFDELFQSLSAENGIEKQPPDGWRRPMTPRRLGTGMTDTRWLQHAVSGHQSKGNAMAAPVDADMTPQGALSNRAHQAKARKAHILSSKPLW >CRE29294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1959:5007:5626:1 gene:WBGene00080735 transcript:CRE29294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29294 MQLAALTTSYARLRLYRFMEMVGAENIMYTGIFQSVVNFSHSKFTDTDSIIYAVPEGSNDPLRGEIGPYLGQLTDELDGAMTEFVTLGPKTYCYKEVSADESLKVVRKAKGITVNSVVKNLMSFDLMKNMVDEVLQDVYQRTRVQFPQHVMYRDAYHHVYSKKIFKKFQFTFNKRRIVSDGSTLPYGFCA >CRE24202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:9292:9630:1 gene:WBGene00080736 transcript:CRE24202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24202 MKGLQISVMEEFMDYAKVWLSAKQVKMDATLDESLVNNYSVIPRNKTTQISTKSHIAIYDTIGIDSQDHRTDDKRILVLKFVPTDP >CRE24218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:1529:3026:-1 gene:WBGene00080737 transcript:CRE24218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24218 MNASPFPLLRLPLVPFGNIVRLINPLPLALCSKRCQNAVRALKLTTEYVNIKRAPDEYSVEINFTSHKNSWSFILNKGINEFEYPDCYALGGQVFQTSTECYDNGDNDFDILCEDRLSAVNVVEKFVKSIFHCKEICYNIDGNGNITEAVLEIINDTHSGSSEVELGFLGVNVRRGRNGTYRVPNLPPIENDALKLVLDTLREDIDLKINCCPGEEFQYDKPIRQKIFHMATANWFTFKNFMDAKCEYLSVNTMFRPPFHFSLKNVNTYLKRWNIGVQSHIRKILIKCEWNQRQINQTLFDGIDVYDIVETTQQDFFLSGKHQSI >CRE24222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:21811:22424:-1 gene:WBGene00080738 transcript:CRE24222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24222 MSAQSDPPTGISPHNESSSDEQTGAQIDVAEQANDADSISPPANRNDPSFPTVNSEPPSQLSTFQINHARIIQLRVQRIRELRAERQELINQVELNLGGIQQPTAARVLGLYARWMRLDNEILQLEAETLNEQRLIDEQRNRQQDQHTPH >CRE24228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:34316:34615:-1 gene:WBGene00080739 transcript:CRE24228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24228 MNRHTDRRTDNITASRDLEDDTHKKIDSSVSLFRLKGGKKKWDIRMDRHTDRLNEKRIASRDSDDDIHERTDSSFSPFRLYSQRIETGHPDGQTHRQTH >CRE24210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:90014:93276:1 gene:WBGene00080740 transcript:CRE24210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24210 MDTSFVPTFTQREKRKFISRMMQELHELLERRNLRRDNGHNAVLTGVVELVTGVNLNEMPMSPNLDSYAKRREIKKMAWDCLKNFITTKFQRQRIWDDLTQSKILQVMKYYLEDDDNFANTQLGMDHSETPTSPSPNILAVLEKGRFSLNHCYSLGSRVLHQTEDLMNELGKLLEMNGYDYILNSPGNILLAAIDMVSGYEEKRVFPPDSIQYKYINQQQDSLFKMMAEFIEEDESVHEEEKICLTPNRILRTFIDRVYNMTVRKDRNCTELYKMAFVSVKSEPISEFSISQHQQQAGTKICSPTAISYFDQQEITGMRCFDER >CRE24204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:16378:17511:1 gene:WBGene00080741 transcript:CRE24204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24204 MNNQSFSNSSRSSSLESIILLIAHHNEESNQEGEVAPISRRSNYSVSPYYTESSSSDEEEEIEVERDEHAQNVLSLSRPTDQEILNTESHLDLLYWDVSEEERNSIIEDLNILDTLIFLISHLLDEEPLSEILSVIETGTVKNFCLLTADKVKFRMHIFLLSAQISLTPLARI >CRE24220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:13995:14705:-1 gene:WBGene00080742 transcript:CRE24220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24220 MSICLSVFCSSLGMVSVNSSQVNCQEEWKKEETNTKQSPEHRSESPPPRCPRSLAHLNTVHMRDQTPRAHIRAFNEDGSLNGEYVPSRNLGERIFPAGVSNDVSGGNDQQVGPSEKADEQRGGVGDKGNK >CRE24217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:198534:199223:1 gene:WBGene00080743 transcript:CRE24217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24217 MVYLVSQCSEVIAEKVFYDHKVNGTCYVDTPVQVQNQTWFIAPGMEKDLMKDSVEVPCDEVTLGIYRDTEGDWKSANGIPVIRNIPISFTRKAEKINLTLSAPPVFSKLENIDNPFAYLATWTVNLMKLKETQRELMLNLQSEGLSSQTVEDMLSRGAEGFRGLAGIIQDSLDKGASFIENEVMGTVKKVVVPIISIAFLAIAVIIAFKIYFVRKAAGIAVSELVKITRK >CRE24224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:25982:26787:-1 gene:WBGene00080744 transcript:CRE24224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24224 MKRSLGSNNGSKDSSGCCKLENQEVLLKFPKLAHAEAVERDISKVVTHVRNDIEKVNLCNVWPCKDASESVRHQIHEAIGFKDCQATKKWMEVTNREIQEHFDAELSRVGLPVEDQESSRKEINNARKGN >CRE24212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:115775:117604:1 gene:WBGene00080745 transcript:CRE24212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24212 MIVTVASPFAHGVPMQIDLDDFPQHLAFFQLMSVEGILDFCNQFDIVKPLTSAPDEEIKQFCDEFNQCVAKFRRKSFWISKPFDLLSRMSPSKMMKLTFGFADAMDSEEEEVVPGQWSSTEIIKYLEGVGNDGWQVIIVGGGKGLMASALACLKSHMKVYCLPTPSESKETCLSFTAAHKKYYDYFGKKTGKIVYLDGKKVDFDIIRYTDIIICNVPPVDREEMAETVKDLRRFCYQKFLVNKEIEDTTSKNINDDSAEDEKTSENIIADPSKKAD >CRE24233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:174680:176534:-1 gene:WBGene00080746 transcript:CRE24233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24233 MENRLPIENLFSQKQSWPILNGLLAQHQLTPPVPADFSLTQGVAPTRKRPTDQVLGNDLLSLITANGATEFSQLVGSQPIPELVVPFIPPGVQTQPVISSSYHDLLGKDVPNVTPIQRDALKIILQMNDSFVSNVENKLKEINVSESDFEAYVDHVTGQTNLPSKTFVTWSATQKEVIEAIRMQMVKNRNIIEKLCEGYDIPKQTLIAFIKEQCEYMEQEKMREMLQGQVTGSVLPIGQ >CRE24229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:42432:43283:-1 gene:WBGene00080747 transcript:CRE24229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24229 MGNQIATFIRSLLFSQGENLTGSDAPSDRSTSNFSYNSTQSNSTNTSTSSSSNSRSNSRFSCKSREFPSDHSRDRCECPAGFCSGNCSNSSFNYSSDGFSIESTCSCSRHISDISFSCCSCTCSDQCHFSSTNVSGQTSCFSMSPRSRCIHCSLGNGFNQISTFHTDDQILVKIPDADSNNDVKLVLNYLEKRVSSMSHLAPREAGETVMGIQSLRDHFEVLEQIVTRSTNIDTKLNIQSCQQFSLSSDDEKIDHSFRNFKN >CRE24207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:78347:79670:1 gene:WBGene00080748 transcript:CRE24207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24207 MEYCYNPYYIYFESDHLEIDSVASNLMTSLPVLSEDEVKGVLEEFPALPQDQPHQLSVQNPGFENIPQLPQDSYLTPQQSYQQLPQQSLQSWETTPQIVTSHVPLQYQTIQGMEHPYSNQSSSMESTSLPQYQQQICQQLSHQSQTITATSKQQEVTGAEICNLQHPRVSSSCSPAEKTQVTQQVFHKYAKYPEAVTFALKNNIEEYPKMGLIQKRKFYEELGLTPKQVIQWYGNSKRKFSKKIVKKVSDMTQENSLLSTSFVVHTNVVTNEGNMQHLNKMSAGLTQYNTQQAYHWPMIRTPDFQPAASQDVFCGAYDSQSYKAAQTNSQTPIKPKQKRTVFTKTQLSVLEARFQKNNYISIEEKYILAEELKINPINVATWFKNRRSLQKNKVGQ >CRE24223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:24178:24745:-1 gene:WBGene00080749 transcript:CRE24223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24223 MQSDLLQVFSFNMNHNNDDNKENVAPKEDYVPLEPENVQMLQVNGEHRNIVMETLPRIEQLREELRVVSTWLQNVLNGRIQLIDEEANRRGEQLNQLENELTELVNRLVQAYNYREQIIRIFQ >CRE24225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:28604:28912:-1 gene:WBGene00080750 transcript:CRE24225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24225 MVYDFESYAGVVKAWRCGKMFHGISTIQLKNPWNIEPLDTKFLSMRGVSEAPREMREQGLLFRVEREIDSKCAYFYSSRKLFKLDAF >CRE24227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:32681:33671:-1 gene:WBGene00080751 transcript:CRE24227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24227 MLPLTDQRASYHTTLLKAIQRILQQVLLPTPAQTVDPAANLEISPVTTLETVVNVQLVIALGTVLTAVLVTVLMNPQLKVPVVVPTTFLTSASVVVHAVVLVGAVELSVQTIAGKHFVSASVLEAVTLTAHWIMVLTRTNGNKSSRTKLFSP >CRE24231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig196:74308:75111:-1 gene:WBGene00080752 transcript:CRE24231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24231 MDLDRESVEKNYDDFSKKYLEEIDARIEAQGLPPAETYLEQVKRRLPLLAKDYLIREANKRAALEATNDNNTSAGASQPSSSNSEATSSSALSAMSEQTASNGSGIFEKIKDFEKKEHCVHFSDEGVICEDVDGRENI >CRE29383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1969:2398:3348:1 gene:WBGene00080753 transcript:CRE29383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29383 MGRKAFKSIGSNSTVAQNKGKNDMIPKVTTRKGSSKPTEKEKLMELAKKLIISHPEFTLEQAFSIETKRQAARKNREDEPKIDASIHLRIDEEMEQYMMERNENEYDKENLIAPKEGYHAEISVKLNQMEIDEDDPREEASPTNQ >CRE23717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:27502:50607:1 gene:WBGene00080754 transcript:CRE23717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23717 MYQKFDENETIWDNIESVKARLKNVVGRSGVTVNGIALHHSSDTLLQVAGSSIIVSSAGGHDRFEGHLISQTKQQFTCVAVTACGRYAATGEVTSYNSRVTSLCGAHFHQYSLFQTGHQPAVRLWQLRDEQGNFIGRLIRSMQAHTVSVTIVRFTPDDSTLISIGCQHDAQIITWDWRNGHDLGVGKLMSPVNALTISFDSSMCVTVGSKSVKYWFLPLASDGMSKRTGLTSRSAILADKRNVNFVDATFCDATNRMVAVTSSGELLEFNGNKKYVKCYSWKESGDFKAICIAKIPEGLLVGCSDGLVRLFCLIGEDLDFLADLAPPTHLFQDPSNVYESQQLQNHPEDAIFPEPRCLVASTSSATFVVGYADRSLIEFARENKSWSFRRASLGHTGSVNCIEPFPSSSPCLPAGTLITGGADGTVRFWNFSGESEDKKEIANILCPSLLKVVYLDENPDLLIDKRNLESIGPSNEEPATSSSGVLCTRVTHDGRMMIAGTSAGMLYLIDLSFSDTPIIDVINAHDNDVTSIDFSDHATTSSHDQPMFLASGGRDRFVHVFRRIPYSSQFVHCAVLDGHQSAIKSIKFASNNGQLHLYTAATDRSLIIWKLNSFSDQHSEFTRVQQISVTSSIGDMTFIKYVEMFVVGGHDRMLRQFDINGKLVREVKGTDDDVAHSGKIQKLAVDHSGSYAISVCSDKYVYVVDLRTGVCLAVLCGFGAPPTDATFSDDFKNVIVTTSNGAIFVWQLAKNLTERMISAQVRLMEEVTMRYLKFGPSIPWETGHTKRFISSVSRTENKGEDSNRSFPFFHFRSSRGNASLTATPDSLLGSGSETISSNSFTRPLGAPEFSGSSASLYSDDDDSTRFSSSVRSSRTKRALPNGGHLIGNSSYARVGDSSFSPAVQSAPAVERRTHTNLFSHDQYETDISETQSDFVSSRRKHRVFSDEDEQDSNLGSGQYLAAPINDPRRSASPSLYSPPDHLREYQSSKSMMNLRDVTGGGVRVQTAKELMMSHIASQRNSQSGSSSHLSSTNTPTSGRMKWENMAQSSNNNDWHPSSTVVVPDIHHVSPVMATSMAPPPQHQYGGGYRNDHHHAPTYPMPDTTHPPPLAPRTTSRVLTAAPSQQALQQIQANSPFRRKSMDRNSLSKKFLDNGGAQPKTVWSPSALANSGAPRRSNSNLFAATNLEVPTTNLSRRHTDKQPQKLRFTTTVQTREISLDHESDDDDVITSTTLHQRRGQYQRRPSTVTVDTRDADVARRRVSTNSRKSERADDLNFASSLRSRSQSPNKLALSQMINSRERDTPSSPSSTTGSSIVGRNLQQRRRDSDVSYVRSATRGRDEMRKSTDALNKLMAVRSKLHQSSENLRKSTENLALLKNLEEASNYSTPKSRTRSSSNLRNAEVLGNMESFGTFDADSRMRSGSTSSLAQSRMMARSIGNLNEGLAMEGSQNTPSQRLANTIQMMRKASNPDLTAPEQFEDTGSPFAQRMARGAVQKKMERYRAKNKSGSGNVVGRNQTSEESDSNNSDMMPLSINKRALTAGNRSTTGSDFSPASSRGSSSLAMSSGPIGSGLPSSRRLYDQQRSGGLSASGTPRRTGNNYLVTKMSEQMNKSMEGPDLGSDESPRSSVNSHEWQNLMENSQDGTKNPLICHVQDCMEQLRIHVDKSLQAKKLVEDDTTLAIEQKKIVMCEIDRSIERLIEKLNPNQVTSKPSDRSNGNLSGNDYTPKGANIYGILKENLSSRQ >CRE23730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:74960:76996:-1 gene:WBGene00080755 transcript:CRE23730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23730 MIRLLAEYVHENPPAPESRRLGEFREQPHYPNGRWCIDPNIMHRPKYDASVPLWCIGPNMAHRPKYGASTQIWFIGPNMVYLLNYGASVRIWCIDRKMVHRSRKWCVGPEYGASVPLWCIGPNMVHRLKCGASVPLWCIGPNMVHRPKYGASTERWCIGPENGASDPNMVHRYHYGASDRIWCIDPNMVHRSRKWSICSIMVHRTEYGASDPNMVHRYTCGLSLLIWCIGTIMVHRPKYGASTQIWCIGPENGLSAQLWCIGPNMVHRPKDGASVPKMVRRTRIWCIGTHVVYRF >CRE23728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig197:170354:172582:1 gene:WBGene00080756 transcript:CRE23728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23728 MTSLTIFLLSLQLLCISAFDFMQNFENSFFEIQVDRDHPDNLYKEFEEFQVKYKRQYKDEIEKKFRFEQFVRSHNQVGKMNKKAEASGHDTKFGINKFSDRSKDEISSMFSKVGPSNISQSNIPMFDMKNFRVKRQMEGLPKMFDLRNKKIGGRYIIGDVKNQGECSCCWAFAATSLAEVAYSVHLKKPVVLSDQEVCDCAAKERPGCSGALPTDGLHYIKEMGLAKETEYEYSPKRSTELGRCDAEKHERDLNPLMLDYYFIDPFNAEYIITHHLVQLNLPVSVAFKVGESLTVYKEGILELTDCEDAKEQHWHSATIIGYGTTISSTGRKVNYWILRNSWDTDWGETGYARIVRGTDYCSMESHGNGARIPDE >CRE10771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1978:1032:1602:-1 gene:WBGene00080757 transcript:CRE10771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10771 MLSRFESFLDQNFPNWKSLSDDVLNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIASYLKREWAVQSNHPSGPYVYIKKDENSLYPIDLVHHIEAETDEEIQERQQLEKKERWDALISVSKQITVLGRKHGYVKDLNFKNELSKKVEKLARRMIGITLNS >CRE10772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1978:1674:2181:-1 gene:WBGene00080758 transcript:CRE10772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10772 MSRFRIPRSPFWLPPCEDDEIDFFLKDAITHNGHHLENLSPSITEKYTSFLNQPKILHVWDTITYETVPLPIIIHDYIATYTRMLNNRRSVAEVYLEYYGYTLTFPFSPVIADVLGRYHPIEVCAVRIQRPSVNSDID >CRE10770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1978:34:963:1 gene:WBGene00080759 transcript:CRE10770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10770 MYSNEQKRSTLSISGYSPDSVIDNKDDSAFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVCCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE23113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:79303:80915:1 gene:WBGene00080760 transcript:CRE23113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23113 MTSPRMGSLRISIALILKEEEITVTPLFDRQLFHIFYFILYFILIKYLIQTTVLFCFEEIASFSILFFEDLGTLKGDEYIRPSPIISSRFASKCFKQDFRKKTDITHSCGTLDECGSNIDAISY >CRE23120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:14295:18785:-1 gene:WBGene00080761 transcript:CRE23120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23120 MTRQLYTIKSIKTAIKRRKLTEISHPGSRIIIVRVDSTWHVKIDALRLRPTRKRFPRLKTLASGLFTDLQADLVDMSKYKKTNNNTTFLLTVIDIYSRRLYVRPLKNKGGVEVSKALSEIFKEIGTSPMSVYTDEGKEFYNTNVKTLFKETGVSLISTKSELKCAVIERANRTLKTRLAKYMTQKYGYKYIDVLQKIVKRLNSPKRRKMWHATKKKSRKNNEKNNKRKNVAWQPKNHYQRSQELLSPLSHLRNFLMQASPADRGSTYERVSTMTSLNSIMTKKGEKFALSIKNGKIQMYNVTNSSKPIGVAKVDDDLNHMSFVNEKLICSSTTSHFIFSKGIEEGDRGIIDNDVKLDDIVIKEELGSGTFGQVRVCESKKTGKLFAVKILPNTKAIIAEKHLLEREIAIQVKLLHENIVQLITSFDTPSYLYIIFELMKCSLREKMEQVEVFDEMTTFSIMSDLSAALDFCHSENVIHRDIKPENCLYGSDGLWKLADFGLSISTKGMTKVGTENYQPPEILDGKPHSFSVDIWCLGCLYYECLEGITPFPQSSTKAMIDAIMSGKLRRNTYMSEESLMFAKGMLTVDPKMRLSALAVSRHPWMKKQRKEIVAIQRSKLRNLL >CRE23128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:62718:70275:-1 gene:WBGene00080762 transcript:CRE23128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23128 MRNLLEKLQYDPTKNPTEVLADPQGKEPAVLDGSPIWTERLKLATYSIKLYSPYNIPPTPPTHPLTSSPPPFPRRPLRSNQPPHPTPLTP >CRE23112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:71019:73805:1 gene:WBGene00080763 transcript:CRE23112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23112 MGRKRCKKEIPVPLTPGDRMNKSTGPCAMFDRSPKSAPPVYGYPLRTSNWNRQKKKPKVLQKLQNYLENIRASSKAHKKNELGNSEKRLRMILALDQATSLVKSEKNNTVLTPVTLMDIDQEKQKIIGLDEFTERGFSKSELEKSTVATDFVHPKENQDSLFHAKPSTVVDNDISSASAVQTSPAKKDALIEAEKVAVAKSPQTTPMTSGSAFNRFTSDKKQTTFAKQNLISEESCKTKNVTSFPLNAISLQGINTNQPKLNQAEDSELAEAAKRMQAFLASQQATSVVKQNINGTKLIPTKPVHTEPATVDKVIKPNKQLESVIALPDTAKTEVELAKITDNKPNCSLASVGTSRMIVPRTARTLSRNLQLSNKENWRSENSRLRIDEFRKHVKKTKRHSGRCNKARTLQFGSPIIDVQFLGFQNELSVTAESAQISVHSLENGSVVQSYKMSGVKAAKCSQTKTSEIYVINDDMSINVIDIRTKAIASFKLFIPNNEQIIKSAVCTKNEQIAIGTITPVINNTPVYLFDIRKIKTPEYRVNARPAPLSAINYSQHGILHLGYVDGGIVSVLPNICEKQWKIKEWNNIEIKSIASTDRDEMIVLQEGNITFYKGTASLLDSELLPEQSAT >CRE23117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:112114:112347:1 gene:WBGene00080764 transcript:CRE23117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23117 MRRNGNRWTTLLQEWIPRNKKRPVGRPPMRWADSLRKVISVRQGTQTIEPWRTIAKDRNKWIAVTRAHTPSTDRLSN >CRE23124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:28319:28991:-1 gene:WBGene00080767 transcript:CRE23124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23124 MPLSSGSPPLQTKHTPGFRFRFPSQTTIIGATQSGKTTLLKKIIENCSTSFDTPITNIFWFCGVKTPGIPTNVPNLRVYEGLPDVELLKEHKDQTNVVVCDDLMTEFARSKDSLNLLNTLFTVYAHHYNCAVFNLVQSAFALPPVTRNNSTYIILMRGAYQAYEDVMSKPYQAMMINNDPHSPPSMRILSNFIEEYPVAYETV >CRE23114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:91788:93653:1 gene:WBGene00080768 transcript:CRE23114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23114 METKKMNAEQDDLYYYMRKWSRHFRYFRNMEEQREEQKRMQCLEHLKDAGNPENRLHAQFSEHNLVFSEKIGKGAFGEVFIAQCIPNDTKVAVKRMKNSRKELQKYTLLTETRIHMLSNNKNILPLFGYYQTDEYFHLVMPYYVKGSLSSYMDEQGSLDRIECARISFEILNALVYLHSKKIVHRDLKPDNILIGDNEEIRITDFGMADFQNRIEGKCGTLNNMAPEVIKCQQQSYSVDVWSFGCIVFEMLTGHYAFDDEDDGSLEKKICSGSYKMHDKIPIIAALLISECLQKIPSQRPSTKTLFFHTWIVDTTEEAEKKRKIEDINKVLGKNALKSETANQWKRNNKSAMKDSGKGESSDAGRKTTLRNKPKIDYKE >CRE23119 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:8264:8584:-1 gene:WBGene00080769 transcript:CRE23119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23119 MNRKLVRAQPNDIPELASYSNSPETSDDDEICVVYARIQQVEIERDAEIGT >CRE23127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:36176:37867:-1 gene:WBGene00080770 transcript:CRE23127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23127 MAKKELAEDLKWSDFAIPAETDTQKALRAVSGHIPKFKEGNTSALRRWLVEYRCALHNLNIKQEAGAKIMPFFLEGLAKTRFNQLSQEQTNSWDKLVKNLIAAFEVPRDRELAQQEIRTLHQGCLPIAKFAEKLKALGDYAYEDMPESVRDGLLKNHFMYQAASHIRRRFLRMEDTPKTFEEIIRRAERFQRLHDLEEEEKEDELTAAITRMMRTRGDKPRELSFRSSSAPPQEEDWDQSPRQDNRQEGPREESYRNSRGEGSAAKRLLAYLTVAMMFVVPAWAGKPQICGFQQGGNLFVPPSILPCESPRASIVSTRADLFELRTDPMRQIAHACYKQVFKVNTFSLFGIFSTATMTDSGSNGFQQVRTQECREAVRSKQYAGKDMTEGPKGVYRSASFGENIGNYTAWFGGKEVEHDEFIIVVGEVASFDGESTISTLGDTSKCSYSSGNCKTAEATVVWSESSPYRACKYQKMTSVDAFITDKHIAVPELKMFSTISQDMRFTQLESKGCLVGNVYFTDDGKMVSFPELPSDLWIPDYVRMKQDHHRRKRAAYLRLGGAQ >CRE23110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:44486:45057:1 gene:WBGene00080771 transcript:CRE23110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23110 MSAAFSGALPNSSYVPKTPLKNPNKFQQRTTGKWKRSIEESHPGTNPIKRTPTQNSGEVEGQSSGDNATSGTLHDAAQARKDYLENKATGVELPTEESAKLDPKFAFGESTLIIHMCSECRAASRGLDCVELKNGDKGLVINLCTICRALFNTQRRIKFFQHELACIKRRQIQ >CRE23131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:116539:116862:-1 gene:WBGene00080772 transcript:CRE23131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23131 MTEVRKKFWIPNLCQQVKSLLSKCVACQRYNKPPFKYPDMVDLPEHLVKETAPFQHTGLDYFGPISYRKEDNTVASCWVCLFICATTRLVHIQLIVRPDTSCFLKAF >CRE23118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig198:6957:7708:-1 gene:WBGene00080773 transcript:CRE23118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23118 MTVPNKISDKQLLDQDVIESVMHKVIKSKEAIENISLDLDRHLSQRNAKILELEKKLADTGERL >CRE17709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1983:24:426:-1 gene:WBGene00080774 transcript:CRE17709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17709 MGKEKLAFAKELTETLRMFREQMVASAEIQQQQMAENRRLHEEIAARAAAGSETETLENMEKTYRQESKSGYYWMRSMNQRSIVM >CRE16564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1985:3306:4333:1 gene:WBGene00080775 transcript:CRE16564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16564 MFNMNSMLLSSLLILQFVGVSGDKTCAGKEVQDLDDDCTKIEKNPLVFKGNQDEKMVREKVKNINYIASGIEVIETDLETFDYLKHVERIQNSNGPAMFDDFIKLELIARKSHLRNKVCSNEFYQFNNKKESSDSQTGAIIMIVIAVIFTIVDGFLFWYCVKERRAKKAREEKKTNKVMTDVPIGTDFGPRTPASGTTTPSATIETPKDKHI >CRE15229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1987:1012:1194:1 gene:WBGene00080776 transcript:CRE15229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15229 MHDSIEEPDDFGEKEKVDLTIQTFQVFHQAWFRCSRRNQISTSVWDTMESVINTSTNYSL >CRE29189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:125225:127204:-1 gene:WBGene00080777 transcript:CRE29189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29189 MRKTFKQCQFEFNASEMNRFRWIDEYCTWAAFAKIALLIPMVMAVMSDEQVFKLKIRKNLCHEKKENKILDPVYFYSFSVTGCWQFLSGCYMIYIWRSVNRYRGIDDRKINVVLIISVELLSIVTLSVTIYQYFVLPSEKYIKMNSAFFYCIGYGVWSIIATGIYLKFLISYCKQLLNYCEQKNAVMWPDEF >CRE29170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:4269:4821:1 gene:WBGene00080778 transcript:CRE29170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29170 MDCKKKKTEKKRRRRIAENMRILKKLDIRQAESGFEVEMKKRAQTRNDAASVETPGTSDTPTIVDSNHKPVKQGS >CRE29175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:51550:51922:1 gene:WBGene00080779 transcript:CRE29175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29175 MCPDSCHSNGGCYQGPDGPFCLCKPAFYGNSCESAIEMTSPSVSAADVNSDFWAIILVFVATVFVVCGCVTAAYCYFRSKRSDVVAADEEFAHKARSVAQRVRQFVGRLV >CRE29185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:52543:53187:-1 gene:WBGene00080780 transcript:CRE29185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29185 MVTRFTAVVLKEHHGYTLMYSKKFKEQLLAMEGSAGPQKPRSGTSNWPQSNQGSRRSTSRAAAMRGQREWTLEKSGAGGLMAIKVQVALDGLLMIGGTRFDRRVRDPVSKAQQEKGEIIGRNEIQYMEQTRLDRILMSTYPYLFNYGDGEDEIEDEEEIESILDDLEIIALDEFDD >CRE29169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:2248:2614:1 gene:WBGene00080781 transcript:CRE29169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29169 MVVGESVRSIINLIQVQCDSFRFTMKKSVAPMTNIPVTKKKTDKGGQKRSKSVPAPKPSKVVKQQKKNRKLDGQATVELLETLGLN >CRE29176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:56829:57716:1 gene:WBGene00080782 transcript:CRE29176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29176 MDIFNFWRAHHQRGEEQEDEYEDRPQGQLSDIIEDPRRELGRKLAALGSVRVELLHMLHLPTLWTRIVPDYERHTARTKMVRVWRNDEYVTVSLMNHYERHYEYTLQTSRWSDSKVTGPRRRLSQWK >CRE29183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:35161:43206:-1 gene:WBGene00080783 transcript:CRE29183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29183 MTRKLQNLRGTPGVGVPGVSYKKNKHTKERAKKMMESELKVISQYFAIRDNKLDAEKLLEEAEKTFYRNECRTTIIIDFFGIIMDLEKSFGLPSTDRQGGQHSDGEEGNRTSPTGYICKYPSVDLLTSDWLAPESLLEMSCTNLRIGKRFVAPYDLLTIIWKWMVACSNMMTRLKISVRTSTPATWNFKEIIERAEGILSNPSLDKMAWNNKKKDVCELLSSKSVHDPY >CRE29180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:114298:115385:1 gene:WBGene00080784 transcript:CRE29180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29180 MVSSSTIYTSAKSPKPELVFKSEGGEEMMPENIEMFEEKDFTPCDASKWSPRYCSLKLFYFFAALFFLTVTLGLLYQKRAERLEFFANLQEFRDFNQKFQKIHKNSVEIKERFKNFPGEFEKVGEFKTEQSGYRFFY >CRE29182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:29256:31389:-1 gene:WBGene00080785 transcript:CRE29182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29182 MQNRPQQTGVQLRYLQTTGMADEKDVKSGHTEQGQEERNVEYLPTPPDRQMHIREEYS >CRE29171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:7208:8851:1 gene:WBGene00080786 transcript:CRE29171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29171 MSAKTVVVFHITEQTPCHKRPKTAHHPWIPRSRLHSVTLMNGRTMLKKAVKIFFESVDQNGETKHEPG >CRE29184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:48909:49690:-1 gene:WBGene00080787 transcript:CRE29184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29184 MVIDFFGIIIGFGEGFWILKDKQMSETEEKLSFPGANSRKTGLDRHNRSVPAIAWKKLVRAPGHYVINILPALPNSEKFGSLMTQFYRRQRAFFMTCKKFLIILNIWKE >CRE29191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:133025:134319:-1 gene:WBGene00080788 transcript:CRE29191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29191 MSFSIYVFLLVTVAVATVHPQIFNQCRGQTRVTCTPNVVFQKVVNGTVTLTQCTNRLMREDEAKHCSECCQDFGKEMRAVSSDQTSGALTPGGICICCLTVCV >CRE29173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:23147:24948:1 gene:WBGene00080789 transcript:CRE29173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29173 MVCSSTTRTSDGLLLFAKNAPTLWTDGSQRTNNYNLFSTHQKGTLLYLLVNIPEDLQAERVLWNGPLKNHRNAIVTNQIDEASQFPMHQLIGSLCPNARDIRQLAPYAHNDLSSELQKIAVGALLEKAPPCRFPSIMTIRRCPQSSKFQVVRTH >CRE29172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig199:18239:20772:1 gene:WBGene00080790 transcript:CRE29172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29172 MPEGIVGNGDIKAFEDAGIEQLLMTDKPYIYRKERTIQTVRQDEEEAAEEDEVDSIADEFELLETEDLDENLSPKYRNVFTTRGARFHYFCKTVNAIFDALKINENTRMYSLLKHPEDTEILFIVGGLWNSNNCSKK >CRE20655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1994:1029:1481:-1 gene:WBGene00080791 transcript:CRE20655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20655 MTFEIRPQFWKNYTLSELNPTEWEALCDGCGLCCLVKLEDDDTHEIAYTKVSCKLLDCETAQCSDYPNRLDYVPDCIQLSPEKLANIHWLPSSCAYRRVNEGKNLPAWHYLITGSRSNVIKARKSAAGRCISETEVNEEDIDEYIVRWVR >CRE20654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1994:3740:6742:1 gene:WBGene00080792 transcript:CRE20654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20654 MSQFSFSDALLTWFDQHGRHDLPWQVADDPYKVWVSEIMLQQTQVKTVLQYFDKFIQRFPTVDDLGKASWDDVAPYWAGLGYYARARNLHKAAGVVSQQGHFPQSLEQWVELSGIGRSTGGALMSLGLRQYGVIMDGNVKRVLARFFAIEDDLSKPIHERAMWQLAESLCPTERNHDYTQAIMDLGATICTPKKPLCLYCPMQQHCQAHQQGLETELPYKKAKKPVPVRTGTVLLIESDQQWLWEQRPNSGLWGGLWSLPIFENELAFQQLCQSLKLTSTVEPVQISHSFTHFTWLLNAHINNGRSFMTNKRCGWCSDDPLYIEYHDQEWGKSNRDEQHLFEMLCLEGQQAGLSWITVLKKRESYRAQFFNHPIQTIANFTEQELALKCQDAGLIRHIGKLTAIRDNAIAWQNMKAQEIDMVNWLWDFVDQQVQLNDVPDYKLAPAQTETSQKLSKALKKNGFKFVGPTTCYAFMQAVGMVNDHENDCISR >CRE08656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:129632:130056:1 gene:WBGene00080793 transcript:CRE08656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08656 MFQILPCFFPIFPLSTNIFSFQKTMAFFSFRQFLGLIGVILTAWILNKGQHILMFALDDFSENYTTFLGEKWWSTEQLGLCDDETLDVLWQRNVNERVQKFTGNE >CRE09184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:593379:593853:-1 gene:WBGene00080794 transcript:CRE09184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-33C12 description:CRE-CYP-33C12 protein [Source:UniProtKB/TrEMBL;Acc:E3LHD5] MNAVINESQRCVNLVPVNLFHCSSRDTVLNGYSIEKGTGVIAQISTVMLDEKIFPEPYTFNPNRFIDENGKLKKIEELIPFSVGKRQCIGEGLARMELFLIISNLFNRYQVFVSPSSAGLPSLEKSNDIGVVPRKIRAKLSRRYS >CRE08900 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1354980:1355921:1 gene:WBGene00080795 transcript:CRE08900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08900 MKFLWILATSALIFSVHCDYASGGGGGGGAGYSGGGGEPPAPPAEVAPAPEPAPAPEAAPAPAPDAAPAAPAADAGGYAAAAPAGGSYPAKKRRVARAYADAAAPSAEPAPAPEAAAAPEPAPEPAPAPEPAPEPAPAPAADAGGYAAAAPAGGSYPAKKRRVARAYADAGAPPPEPAPAPEAAPAPEPAPATESAPEPAPAPEPAPAPAPDAAPAAPAADAGGYAAAAPAGGSYPAKKRRVARAYADAAAPAAPPAEPAPAPEPAPAPEPAPDAAPAAPAADAGGYAATAPAGGGGGSYPAKKMMRFSRLVL >CRE09038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2199445:2200710:1 gene:WBGene00080796 transcript:CRE09038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09038 MSEKSYLPYVSLAQKVGRLAFITTFISGFILILFNLFAVKKIFGTYKYIMIIFTALGKFLATLEVIFHPNLHFYNMGYIFFSLAKPFDMDPETLMYFVCKFVCLILIFNIKISAFYTGVYCVNISLLAVQFIYRYCAIFSVDNLKYFRGWKSFIWVFYCLFFAVQWLYGVHYMLIPDETTSNYFKQEILDRYSIQDNQVPLRAFMAYDPATGAIRLRNWMFTLNITIIMGVQYAVMIFCGVTMNLKMEARIRNLSSALKKHHKQLFRALVFQVRLFSNQSFTHFLKITSPTIFLFSPLIMVIYLPFFNIQLSFPAGATVCAFNFYPAMDVIIVMCVVTEYRVAAKSKPLELAMCDVKYLITEIWISCWKRSGRSEASSRVTHPMTQEAY >CRE09280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1071297:1071987:-1 gene:WBGene00080797 transcript:CRE09280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09280 MFTIFILTIQLESLVLCFEKKHQAIAATLNFHVIPKSLEMFCYFFCMICPILSSFWFHTTHISKEEQWTYIETSPQNLREYSEGFRRIQHFDVYIKTLSIVLYMICLIFAGVILIMVFLIFTVDIFKMMHQLKPRISKSNYEKHIEAIRTLTVQFATASLCLGPPCILVIIVLSGVNEAQFLTELCIAWFASHSSANTISLLIFFPPFRKFVLTNLRL >CRE08705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:304294:305356:1 gene:WBGene00080798 transcript:CRE08705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08705 MFDCCFLLFAVIFGAIFVYLKDLFTPPRIKEKPEIQKKDYKKDTVYLYQYKRLKNCPNLSPFCMKIEVLCRIYNIPHEIVECTTMRSRNGLLPFIELNGQHYSDSDLIEMRLKSHFKIPTLPDELETQSVALSKMTFFHLFHIIYRYKTSEHKFYETIYQLLDMPPTLSILILPFVKASVGKRFYARNVGAIGDFEWSELDEFLHKDLEVIQNTMRGKFLFGDKLTAADATVFSLLATVYYPFHTHISDVLEKDFPKILEYVERVRKEVYPNDFTL >CRE09262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:995269:996204:-1 gene:WBGene00080799 transcript:CRE09262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09262 MFSLAERHELVNILFFICDASPDYKIEAEKIEGVWEILKNKHFLSTTHLNIQKIYENALEYCLIEKARPVHKVCMKIMKYTFKIMKPEDQKEMFGNLDICRDLVESLNFFYRTKQFKKYQFVLKFIIKMVEYHPGNFVKAGGVNIFVRHLIRYSEVESLKILKVLASTGDSEFISELSTPENVRGFVRYLLKCKPKLNYFTNVNSLSEKTFLVCCILSVIVYSIDEKHFNSIYWKNIVKLLKEVLTTLAEEPGYPCVHLEEVFETYFDKRTMDVKQGPIQVIQCHREEKGACFYC >CRE09029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2149990:2150993:1 gene:WBGene00080800 transcript:CRE09029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09029 MVMTLEEYYIKNYSQCNVPYSFLASWQGLVYPSRVIQSISLPLEVLTFFLIMRKTPVQMKTFSYPLLHSYFWCTLINLLVCSLSTPYIYLKFSGCFGVGLLSWLGATSSYIFLFESRSSSLQENKFRITRKCFRVVYHSSIFLINSSIFLVFFKLPADQETTKLNVLELDPCPTSEYFESNVFIVSTDQNLISFYVWFLGPFILSNATGHVLFHAACSVYYLYIFPSNLVSPQTQRLQRNFFIGTVFQTGIPLIFVAMPAVIVAVIYQLIVRVRTL >CRE09336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1351219:1352040:-1 gene:WBGene00080801 transcript:CRE09336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09336 MTNKNEKSKGQPNQVQKQPAAPTRKVVSGFQYIRAAEAERELPKTKWNKKATDPTTGKRIHGKKLLKITLEQMHAESVENPMAGGSNENVKGDADNQFADLNHHNSTQRNKVTVSEKNPKEAENKPK >CRE08868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1110927:1111990:1 gene:WBGene00080802 transcript:CRE08868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08868 MHLELNSQLQNVTDPLFNIAGIIDFFTNSLVVYLILFKSSIMKTFRYYLLYFQFTTVIMDVYLAFLMKPIPIYPVIGGYTTGILFNVLGVSSHIQMTIQIFLMGIQEVAIFFAFLRKHQSIVTINRRLELKKFVYWGLIVFFHFIMSSFVIFFYFGSITKEQQNSYIKKNLPNLEQILATFPSLEIYDREVNSFVIVSFGLMIFFITLLFVGISVLSFQIFSTLKRHKKTTSNRNINQHRAIFQAIFAQFSVISFCFIPPIFVVILLILEVPNTQVFGSVMVCTFSFHSFLGSVVLVLTYPPYRKTLFGCFMKKRVSASGGLA >CRE08968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1742938:1745538:1 gene:WBGene00080803 transcript:CRE08968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08968 MSSSPQSPTIEQLTKECGEMYITLYEVTQKVKDDFKAMQADMEKFMAEQKEKENQGDSGIPQGDVPEGKKEKAVPLWPMAPMVQIAVAIVEIESTHHITIVMDSTPYEFGNHAAPNQLFQVKNKTVVRKNPLEEEDPFPSASSGKPKKKSAKIAHGSTQVWTRQTATRRLRCKCNPAYHGDTHCQHHDKLVCMAHVIVLPLKDEKDLKSYSVALPVMPKFSFFPTCFQMSALNSQPTVEPTASPPSEEVHQQPAIESKDPTESPDESDHEIQDDSDDIVEVEQVPKAEEKKEKASQKQDESKKSKTPKSETKRGADKQEPGQSGSPQELKRFRLENPTGFSVPMTLVPVDSGDVTKQLMDFQREITDLLRREITELRVEMRTNKEENKRLARKYEELLKKYNEMVLNSEKLTKDVWASLGKTRLIAKEYESIIEKSERSLLINSSALHEVQKQHTEIKHILNCIEVPSYSVAFKQIVQEEPKSAETATASHISRRERSPSTSPPLPAPSPIRYNQGTPSYHSSRYSITSMADPEPIPRFGTPEDLDRIPRPSEQSGSEKVTAAPPLSTTVKTAVKQDKTSKRHCPFCNRSNHSATNCLDFPSAASRKTALIQQNRCPRCLELNNLPGSMHEPCPSSNRACSICKDDFYGEKNKTGAFHHPAICPKSQPKPSGKPPGRAGSKHPRSRRGRMPSPARRRH >CRE09479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2395705:2396047:-1 gene:WBGene00080804 transcript:CRE09479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09479 MEQSLSHSSPCSKRYSRHEEFFDTNCFVGTVWRSVVPLQEPPLSLEAIEEVQQCRGEFNRSISRVFHGTDIVNPNAPDTKYNDAIEDPSVGIVVSIELYIHER >CRE08952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1569171:1570545:1 gene:WBGene00080805 transcript:CRE08952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08952 MDNIRGKLPFRSKSCRSAGYSFKLRDPLMKQRDDTRFPIESSQAADRNLIDSASIITTDGFISANRGNLIISKILKVDPAVVNAQQKALLLKQKTPDGIRSIRKALMPQNEPKLYEQSTTGHCELMDKLMAPEAKTSQVPTPVTHDPRLHCSFHSNDSSRMQTPGTAPPLRRAHFTRSNSNPRLNRCPLYQWLIN >CRE09068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2377673:2379278:1 gene:WBGene00080806 transcript:CRE09068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09068 MKFLSFVLLISFIYGSQQVEQLINLNSFIGGNVSNPFSRNESPCDIYASASADDESLLSKIYLVNDDNQKISLNDLRLSRRANGELQPFSVFSSGYLITNLTTSQLQTLTGFLYQSTRDQWSNSNLILIYRILNKQINLDNFHVFEADDIQDISYGTDQSTTLFLNSGRDNTGSQSSVTFSKWDQGDDARVNIYNGFRSSEREDVLIFSNPLAVQDIVMLFPKVETFSVPCRTFYINSLGRISYRIQPGSQNLFATSSYTTTGLLVKGTDQESSATYRILQDSQDFTGVTGFNTLAINNKNNTQVQVAVYNNPPDNSYYNTTIIPGNAVASWSIPFVGDKLDIKSRRDNTSTIFTQYFIIQGPPRLTTTTLTPPAVTTQTSTTQTSTTQTSTTQTSTQTPTTTTTLPTSTTTVKTTPTTTTTKPTQPTTNSSSTTTTTTTTTVATTTRLASVSKLFVSIVITLSYVVLI >CRE09423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2032671:2032919:-1 gene:WBGene00080807 transcript:CRE09423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09423 MAKMQENFCESDMHNDMDPLGNTNMTERLEKQSHDVAKQFNDPKKDEIPKNMDQCDAGMCSLKNGNGLMEQRKESDVRNKEI >CRE09457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2220509:2220688:-1 gene:WBGene00080808 transcript:CRE09457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09457 MSCTFRNNYFESDEFLVLALHSLSVIQVPIYTLGVYIIIMKTPNEMGKMKFPMLLVHLT >CRE08784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:683194:684400:1 gene:WBGene00080809 transcript:CRE08784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08784 MTEFETLVNPPQEIWEEIVKKTGETDDWTFQLDDYKYWSVSYDQFWFFVIWEKETKNFVASVSLARWDGDDGPLFSIGMFYCVPRYRGTGLGKPLFQYVMDIVGDNNATLTGTVEMSEKYARNFGFDKVSGYWHLSSSLKCDDVVIPDKVSGNYKTKLWSDVDYDSLTAYDRTICVRDRKKIMTNWFNLEDTFTRVVFDGSGKIVGYSTIRLVTKNKLNIAPFYADNIEAAEVLLKDLLSMIPNWQQYASFAFLYPECNTDPLALLEKFAKNKKSVSTVTALRSQFTKKFIATPAHKVYALVDCAHQFV >CRE09224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:765141:766222:-1 gene:WBGene00080810 transcript:CRE09224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09224 MNSLCTSPKLKICQVCQRKAHGKPFGAVTCRACAAFFRRFGFSNNCRPCKENNDCGYLPNKGWFPCKRCRLQRCWDVGMISDYSQHKLIQKVPKSVGAFLGRLNLIIFSAQNNEFGDGLNCYIDFHYVLDRAAGILDHGSETPLRSKNSLAKLATGLQNLSKKSSESVIVIEKFGKDEMLGLWENDILKIAKWLTYFDEFQHLSSEMKMTILRGIWKIWTKLEKLATEAKYGKNSDLLLGIMQITEIDTSWCSRFTMQQLSSLIRKKTR >CRE08854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1060370:1061356:1 gene:WBGene00080811 transcript:CRE08854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08854 MLFIFSFLLVPFTNAVISGDLNCTSYNGTAFVYTPAAVACSNVISDASCQVLYAAPDTLYPAAGNDAERALACYTTATATPAAVVSDMKTAALASCAKTCGLCCQTDDYSCANVAFPRLNCATITAAQCNSVTWRTIIAADCPSACGFCNSGGCVDAVTNCANDISICRTVGMESFVSTYCQKTCGLCPSTTAGSSVTTTASSGSCTSYIADSSTSCTAWAANGFCTNTFYTSAQRRSRCATTCKIC >CRE09430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2043843:2045386:-1 gene:WBGene00080812 transcript:CRE09430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09430 MTSFKTILAPILFACLIAAAFTLDTDDVKAKANVKYENAKDAAGNAWDATKDKAQDAKDASKSMAEKAGDKISGAWEATKEKASDIAHSVKSRSVDAQETIGVSLNDEYSEILSTNDLQDKASNAYETAKDKTAGAVDSAKDKAGQAKDAAADAAEDAKDKSKSYAEQIGDTISGAWEATKEKASGLVGAGKEQAEETKEKVEIKAGDAKDAVKDKAAETMDKANDAYENAKDRVQKRSSEGYQDAKDKTAGAVDSAKDKAGQAKDAAADAAGNAKDKASGAYDAAKDKASGAWDAAKQKASDAKDAVKDAVNEL >CRE08951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1563676:1564825:1 gene:WBGene00080813 transcript:CRE08951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08951 MFSHQKEEKRKKKELVRKNLIEWFLERPHKFDVVFSSFGGELLLLLPPKEEVDGRKEEREHQKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKTSREIS >CRE09056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2336338:2336845:1 gene:WBGene00080814 transcript:CRE09056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09056 MRLLHFSIFIFLLFESKSCQNNTARPLIRVGIAAALKTQNGSIGWGYTGGAVPLALQYLKGHGYLKDFDFEFHVEYTECDLSTVVKAGVSFMKTNDYDVVIGPPCAPALKMMGTLSTLYKKPVLGWGFVTESEFSDMSRFPYVTSVLPSSET >CRE08945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1502887:1504428:1 gene:WBGene00080815 transcript:CRE08945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08945 MNVLPLLSLLLVFCFVNGNGTEKPGACERFKLVEDMYAFCTGVESMFIEYSTFFDKNMFGTKETLNLDISDVEHTLKTCDRYTRCPAMNRVNCFLPKMPQVGHVCKKMMLLKSPYANCLRKLQNQTIQSPDLESLVNDFTNYGITKKCLDLKERSTLMEAILQECDKEAQQSFKYNIVDLKSYYDC >CRE08909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1374458:1375107:1 gene:WBGene00080816 transcript:CRE08909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08909 MGTSNRRSNESKYGPYHIRVVLIIFSLSAMAFGLGVSITAPEQLPNSLVFTAFHFCVAFGAYSYNKMLLGISQKVCGLAVILLILSLLGYPLIKSCYKASGLYGMAVKRTGKMNETKAFEYDLNYFMNVPTKYKNEAGIKEAVHNFNFGLLDGFLLEFLILSYTAGTYVYYVMIKRLREQVCRMQMVGEFSRLCDPSSV >CRE09019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2121990:2123152:1 gene:WBGene00080817 transcript:CRE09019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09019 MCSTAWLEATDFLETAGFCMATPLNMILIFLIWELPIKTFGNYKYLMLSFSIFGIMYSAFDFWSKPLTAIYCSFYGMMLLLLTIHFYYRYLSVIGSPNLARFHLRTFPIWVLFLALNNSIWFILSYYFHGESDMKNQVVAPDLSKIYCLKPEEYTYLGPQYFFKNNATGKFECHTSSFLSAGTLYVIMSVLFSLLIYFGTQTYHHLYKLGQIARFDSQNLQKQLFRTLVIQTCIPSVFMYFPVTCLFIFPLFGVTVDGLSSFIPISVAIYPCFEPIVAIYCIKPFRMRMIGDYHSLDLTITKFMISAILTGCCHPKNTVNTLVLGTIA >CRE09010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2040261:2040557:1 gene:WBGene00080818 transcript:CRE09010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09010 MSDNFDKMNEKITDAPRMDFDMNPHKDEKHCDKGKCDMSKCDKEKVRDQMQKCPVMKEFVGEKESCGGKGGCDKKMGCHEEKEDMEKRRESDVRNKEM >CRE08652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:107051:108437:1 gene:WBGene00080819 transcript:CRE08652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08652 MPFSSDSMYANAGLYRQTLHDMLYTPRTEQKKKSFEDETISGVGKVSKLLNKFETGQLDLTVEESVLEQDSEEWDLDESIFEDPVFVSNEQPPVPKPRTILFTSTPKSSPEKKKVPVRPLVETPILRQLHRKAGGYDNLRNKFDQMNLDYRTPEVPRQKVNSIGSTCSSIGSSTSSSTFSTSRSGFSSNETNHKLSFSRETKEEVHKKFNKYFEWGAEMLRTIAIHVSEIRLDALSKFSETEQTEDVKCMKRIYEGCKKGTNKEMDALCCALFDLLEDKVNRKELSIHEEYVVCKYNKRI >CRE09449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2192624:2193657:-1 gene:WBGene00080820 transcript:CRE09449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09449 MAPPSRHRSSILNLFKEGVLPVDIIKRLGVPSRTLYNSISRFKKLGTFFDRRGSRRKATVVTPDRIKAVKERIRRNAHRRIRKTAKGMKISRRLLGRIVKDKLKLTCHRERKAAILSEATTKNRLERSKKLLQRTLNGEHLVTVFSDEKLFTVQAEFNPQNHQVLGETYEEAFANGKTIHQASHPAITIAFCRSRSQNQQGSLHFADFRKDISSLGLEALQWTSLGIPTRRCSSTHSQVVATVVRDPFACIHPEGRMASVLARPQSFGLLHLVVLQNKVNAKPHSSIKALKKTLFKEWDALSPDYLRATIDTYPRRLSAVIEKRGGRMEQV >CRE09402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1916210:1916571:-1 gene:WBGene00080821 transcript:CRE09402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09402 MIAQILLSLIANFAVLVFVVNCGGKKKGGPAGSAKSAPAGGAGAGDAGGSNESKKTPSKMDKPAAGGASDKKDGDDGNYEELAVPS >CRE08760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:588485:589939:1 gene:WBGene00080822 transcript:CRE08760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-133 description:CRE-NHR-133 protein [Source:UniProtKB/TrEMBL;Acc:E3LHD2] MPPALFLSGPCKVCEQPARGRHFGVMSCRACAAFFRRAGNGNSKYLGRLCEKKDCKIFHDGKYQCKVCRLKKCHDVGMDPMKFQTDRDLISSTFLARANSISPQSLSNFLGRPEFILSCEPDKASHIKTLIDVSYLIDKARMFFEYNTSGYDIPLKFDNSLEKLTFAMEDMKLKRANLDIATVKYIGKAENMIFWECAFIGAAQWFSELPEFVELHIDVKVFSKFIKVSCYKLNFQIEILKTAWLLWARLDKLAQTADFHRRNILEGNKYLWTEDTCTDLKDVEVDLKWCTNYTKEQLAVLMVSDVNIHWKRCLDIFMELEPTNTEINFLIIELCLGEVLKKFDGEIVEAADRLLQTQADNLHHYYVNRMKMPHYSGRLAKLIRVNREIQAEVRERKEQKFIASLFNLFSVEFSHPDMFDYS >CRE09021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2125218:2125861:1 gene:WBGene00080823 transcript:CRE09021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09021 MYTTKLFNLGILILAAEILNLVGVFSPCWISESSENEYYFCIGIVPYNSILSSTFPWYAASSWLMFITVAFTIITILAYFKVQADVIRDGYSCGSRKWFIIISGCALMVVLLTISAVTVLGVNFSQYNDYYNSYNLGYSAWISISAAVFYLIASGLSAHISFRDCC >CRE09289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1167758:1168907:-1 gene:WBGene00080824 transcript:CRE09289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09289 MLVFYLKSHNKNTTKDIHPYVFKSFLCMQFCNIIATTFDFIVYRIPCTSFVTSYLSTMKSDSIIRCFVVCHYGFDYISQFYTVLFCFIRVLVLYNPRSHLEVCRILFVVWSLVSVLLSICGSFPHIWYDAVGMQLDSPFQYGAIILTTTFAYGNRPQNIGGYFFSLIVTVSIAAITLIMLIKMKNLKLIDQHSKLKAKAETTLKITMCIIIIPSILSQTFALASFWAYNYACYIILVRPVFLDCRVNIVSCYFYWTHPYFKQKVTPKPVSVRSLSTS >CRE08984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1863602:1864433:1 gene:WBGene00080825 transcript:CRE08984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08984 MEYKEVEKAEMRRMMDSDQWKEGKRFEFSGGPQFPVSIDNLAHLDSFRVDMKTLTNADLVMIRDILLKSTNISFGDFYMIHPIRLSDASKVFGSLNSVNSIIVKHPNPMFKFKISIDRRHFYIEKVSC >CRE08812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:820868:821716:1 gene:WBGene00080826 transcript:CRE08812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08812 MRRSKRVAGIPAEEPPTPSVGAKPPTIPRAPRNFEAEKVKKELTKCKKQLASEKRKYSRLQKKHNDEIGLLALNKAVLDNHMRLLTMAHNEEIGFMRREREREAIKVRDLQAQVRILAEENDQNAIVQKERKTNEKLQKQVRDLRRQVLAVEQGRNEEPLPWRLCQICQDEFGQEGDRTPRVLHCGHTICLGCIKTIARYDYLACPFDRIIIHLDEIPIEEVFPKNYLVYDM >CRE08758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:586481:587337:1 gene:WBGene00080827 transcript:CRE08758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08758 MSPSLANFLGRPEFILCCEPVKGAHVKSIIDVKYLIEKGMKILAEESSSIPYKFENSLERLTLAMETKTLKKVDQKLQVVTTVGKREALLFWEQTFIAAARWFSGFSEFMELEMDIKIEILKSSWQLFNRLQKLAESAELHRKRVLGENEFVCAEGTSMSFDKIKIDWSWCTNYSFEQMRVFFTPNVATHWKSPVDTLIELEPSNVELTCSPLCLSNYVYIKLGKSTKEKFSKLLTD >CRE08925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1432093:1432846:1 gene:WBGene00080828 transcript:CRE08925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08925 MDSSNKQATKVFDTPHLLENILSHVEFGMMRNLDFRLVSKSFNKEILRQIQKSHRKIKIEYIGKIFGDLRLTIADPQVAQRFDAYKTDIRVFVNNENFKLSEIDGYFKFIKKLEIVKIEQITTKSLWKLKKSIQNNLHDTIVNTLIGKNYSNIQSVKGLSDLCYGCSNCVDISRHCQEYGPVNLSSIFDVEEKFHFKLLTLTDR >CRE09438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2129830:2130135:-1 gene:WBGene00080829 transcript:CRE09438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09438 MLSDLHISIVAQPIGFFPIPAGCGYGIGHYLFSSHTMFTIFSFLLSGQIEVLTICFFRKHKAIMNLANPLGSSNAVYLCIYAMCVLYTCVMATSIFISAET >CRE08837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:930438:931570:1 gene:WBGene00080830 transcript:CRE08837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08837 MIDCSRHYLGSPDFLKTSFHVVTVIAAPIHTFGFYCILQKTPKHMKTIKWLLFNLHCWCVLLDITFGLIGIPYILFPVPVGYQLGILDAPRLTFYLAVTFLTGKTKIDYSCVNKMHNIPGVSTSIFVIFENRYFLLFGQNTRWRFVRKYILIGSYCLVPLYFVPPQLFIPDQENVENLVWQSLSCMPEIPKDHRDLFLVADNIVLLAVVIAIASGVPCIECGTFFFLNAYHLVLARKPNKLTNKTVRMQYKLLLALFVQSSVTFIFFLIPVISAIVLVLTGYQNQVYNNIIVLAVAIHGIASTLIMVVSHKPYREFIFSPFNRNRKRPATIVVSIHPSLRLVGDA >CRE08682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:243714:244173:1 gene:WBGene00080831 transcript:CRE08682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08682 MTETGWDMLWVYIYGTIFVIVSIICINYYNYEQHERRKLELAKQKSPKKSTKPKRPYWPEAAPCPRSSQHSTTNEAGPSNSEESSQTRQAGSTNSQTGTSATNTLSTSSNKPNNSKKGSKKNAKSSDKKKK >CRE09335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1348970:1349371:-1 gene:WBGene00080832 transcript:CRE09335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09335 MGNCRAKGTVGTSPMVTRAQRRVQAAANRANAGPAPQANPAPAAPANANPQRVVRVAQANQARQQANQDRAGVHGPQGNQARRSPVQEDYGPSPRQSPNAHRDHLPRRVNRPTPQPQRTNQEQGGGQDMPKVE >CRE08694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:276499:276786:1 gene:WBGene00080833 transcript:CRE08694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08694 MIKICLLVFFLAASFISSSISEKGKKVYTVLQIPMQFFSVNISHTEPSNSSTSSGETLTLKLIVPEYVNINITDFSEKIRLLLNKNLTNGTEKME >CRE09203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:672889:673406:-1 gene:WBGene00080834 transcript:CRE09203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09203 MQSSILILLALVSITVACPGLFGMMGGGGGGCGCSAPPPPSPCGCGGRKKRSLPEKPTLFGIAAGDDDNMCNNPELKKIISENMQTSAIDSSKAVNGALESKQLSRFIVVCSENPFVFTVRADTVYCGTRKNDHNCHAFSM >CRE09219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:752050:753421:-1 gene:WBGene00080835 transcript:CRE09219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09219 MGSPVSIRKCTICQRKASGNNYGSFSCDACKMFFRRTIILDLHFRCVKKQCCFDSESWSNYFKQTLISLDLTEDSKLPHCKSCRFHKCLETGMFIKPSVLLKMSCRNEDTMESVIGQLLYLDSRRSTILMTKFSFENPRLEEIVKRRKMEIVAQKILIFSDASYQMNDEDWRFFGMFTTVEFLLNLDFMEELEISDQMILLKSFAAKATLLFTSSRTIREKHDRIKTPGGHEIVPDVLSTLFNVSLNFLSRIRSLLVNKLIELNITNEEFLLVTVILFSDPAISTLSPNAVAIITARRASYTSALFQYCQLTHQQTGHTRFVDLLSLCHVVNKNIEDIQSLTTIVKFHLKIAECKKLFEDII >CRE08661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:141719:143125:1 gene:WBGene00080836 transcript:CRE08661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08661 MTEDSASIPGDRDASKTRFQNIITFVMDHWKPLLIGGISILVLIVAVFLITFFAVRGSLDCESDYTSSTFSSSIGTESSVRTTASTAIPSTVSTQKQFPTSLNLSSTAVYSTTPDLETSVQLFDLVPQVPICTNNFTMVEGKCWKLFNHGEIRSDADKICKTYSGSTLVSIKNYDENKLLIDFVKDSHIDTLWTGLFCNNGTNSTSCFWDVQAGSAEQYSNFGTGYPNLNYGGCVYFTASGNTIGQWGSAQCSQNMPFVCELPPTIIESGCKYIYNNNCYIRTDYGYTTTQAQRYCVSNCANLVSIHSGNENRFIQAMYDMSYAYILIGALAPSRDYLIWLDGSPTDYNNLNVINPGVCVLMSLSNGSPAIWYTKECGYPSWFLCKRPVGAKC >CRE09030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2151820:2152435:1 gene:WBGene00080837 transcript:CRE09030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09030 MVITLDEYYIKNYSQFNVSYSFLASWQGLAYPSRVIQLISLPLEFPIVVWLLLVHIDQLTCLFSFNALCLSQIFWLFWSRTAQLVLLVHIYLHSKVVAVFSKKISLESLGSVFAVSIIFAVTIMLFEK >CRE09008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2029379:2029621:1 gene:WBGene00080838 transcript:CRE09008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09008 MAKGKCGFATYRICPVSQLHTPDGIYIVQLGDATVVSSTVNVSLRPSKNLNHSKFQHYSLFVNGSNTTFTDHVSLRRANS >CRE09422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2031233:2031472:-1 gene:WBGene00080839 transcript:CRE09422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09422 MMMMMNNLNKTKETITQPNLQSDIDPMGNANSKGRLDEQSEKIAVAFNEIEKKDGGKEECDCKQGLCWQADCPSCGNKN >CRE09012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2054358:2055779:1 gene:WBGene00080840 transcript:CRE09012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09012 MSPYKFPLLKLPRVALNEVLKLFTPFEIISFSLCSNYTKSICKTIRAVSQCKETLTKFDVFIDSHYEIHLQFSYLPAHDWVFYMRKKRPVPESTRDRRFVDNVREFFRGEKRILKFFGSRKSRKQKLSKYVSSWDPRRRVVEHCTGGRGTITTTEYALRLRTSDCLISATRNLCFHISEIFHHEIQAFAFDYKMFGIEENQLILELFCQQPVQQFQLSGDTSNDSSKNDILTEILTQQKAINRLNLRFNPSVDYRFDLNQFENRSDSLNILHSHWITFQQLQEIKSQMVFLSRSNFLQADFKVLIQKWRDGWSPNWEALMIEFNEDINIDKCVEGQFIQLQTKDWKNKKAVYRNSPIQLNRFVGSHKPSWGRLTRTGYHILRSDGTIASIGIEKKRIGWFHIQSNDNNSRIEMTVHFRAYENN >CRE08913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1382216:1384510:1 gene:WBGene00080841 transcript:CRE08913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08913 MSILNSLIFWRNCLSEIVSVIGLLRNKYVCHFLQTSIFSKRASIQSPLLLAHYTYGCYCILFKTPKTLSSVKWPLFNLHFWCMVLDWSITILTVPILWFPALARYPVGILTVLFNVPVVVKTYLVLTLCSVLPTAIVSIIENRYYRSSTIPAPGNYSEINKKFQQIPNLPDEIRSSPIFLLATEYLYIVSAFNFMCTLCLSVATIFIVLLYRNMKHTFARSRSIVGDLLLQVMFYSPYHWTITINLVTTWYLFCPRCMVSALQLSCYEPYRLACVDLWRNHHFPNLAVPKLSMMLSANRFSGISYNTAVALKTVLQKIWYEVDVDYLRRTGDFGVVRLKACIKAKVSNFEFLLYTKSNTYIPTINNVFLLIQSGFGPISKK >CRE09145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:422153:423089:-1 gene:WBGene00080842 transcript:CRE09145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09145 MDESSKQNRAESLENASFQSSDSSKSKRPAERTISISPLRNPLMWQQDATRFPIESSEAADRNLLDSASITDTDGLISANRGNLNVSKRLKVDPAVVNVQQKALLLKQKTPDGIRSIQKALMPQ >CRE09456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2219103:2219639:-1 gene:WBGene00080843 transcript:CRE09456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09456 MPSFLNMPDQSVARQIALKKLPCLPLEIVNHPSFLMLGNEYLNFVCTGIFGIFIWIQIFVLVAKTVNFVFQTKSQSQQTAQLQREFLLAVCIQIAFPFVVVVIPACYILSTTYTNNFDMAFTNFSLIMINSHGLFSTIIMLLIHKPYRTETLKILGFKIFRKSNKLAVAQKSASVTHN >CRE08867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1106742:1107619:1 gene:WBGene00080844 transcript:CRE08867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08867 MELHLSPQLPSFATLLFTIAGIVNSLTNSIVIYLILWKSLSMKSFRYYLLYFQLTTVVVDFYLNFLMKPIPIYPVIGCYTTGILYNVFGVSSHIQMMILIVLIGFQDVAIYIIFLRKHQTIATIGQRRKIRKLYYWGSIGFDHLYVFVAALFFHLGKISKEQQAEYIRIVKFTRSPTNALRLFKP >CRE09232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:800782:802553:-1 gene:WBGene00080845 transcript:CRE09232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09232 MNQQSYGFLCAFLLGMGQLCVMTGFDTESFILETVIHSIHEREPERISSYAGYYGQAVIYVFYMLACLISPSIITVSTPKTSLVFGAIFFTAFPLGFLFTNSYYYYFSSALLGVGLACMLHHLKIISKFLFPVYYQGQGGYLTSHSTRNTIESNVSLSWSLGCFCMILGSAIIAGITKYNADGTQLILDVLNTTSESHNTERQFSNIEISLIFTAFTGISFTGIIIFFLLPSRDVEDCIESSTGKKETFMEAFKLTCSTVVNPKMVQLIPLFVLCGFNTSLWISVFPTSLNFTTHNSHMIYLPALYSFAIGSGEIIMGILISFLSKRIKNFGQKPTMTIGAVCVLIYCALIHLSTAMDAPIRPTSDEPILFHHSYLLVLIIGFIGGAGDCCINSVRSVICALAMPKRRSQAFSVSKAFQALASCILFFLSPIVPLYIYTIGLPVLSLIATILFFSIAKRTQKMERKMTEGTRNAAEVGKTLNL >CRE09070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2382337:2383514:1 gene:WBGene00080846 transcript:CRE09070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09070 MVLDKCLQIFSEPQNHTFAENKCRSNGGTLVIIQNAIQNRAISKFASDNNLSKVWIGVYCFGNSTSSCFYDDFSGPVSIGNNFAPGFPYSGCVSMVTTGSQSGKWYSYSCNDVDGINSYICEMPTTRNGTINGAESCVNYDGYCYYMTHDAPLEVAERICQENNGHVASINSQHENDFIKNTFASVYFLMLGAREYFPNVYAWSDGSKFEDFDNRYPFDIADNTRPCLVMATNTGLWQRAGCDIQQSFVCKVPLEPKTIEGNSHCNSTMLMAPTTITSYGYNIGSEVFTPCTWQIISPGPYLIQIYFVDIQNSTVEVFDENGKQIALVTSRITVYAPSNFVTVVHKNGGGFKAKMLVA >CRE08777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:664805:665986:1 gene:WBGene00080847 transcript:CRE08777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08777 MFVSGIIINVIALIFPWFSIIIYSKLLIAVFWSKTIKQKPELSLFYCRFVIDVVFSFEVLSNFVMHLLSLTSFVEFFISNRNFPLFIVWPLYLIMSMRAFLVFIIAVDRTFATYFPMTFFKYRKHVPTFFIMSFVMSYFALDASVVFVFCGEGINIQPGCVGMRCLLGTCYEQYWLTYEKATFFLKKVSSHLSPPGWDNLIQPNRLILIDTLIIIVFDLFPLVIYSMFPNADMYIGPINGVCKTLGFGIESYLVSKSLWCRVPVNNLNANRGMVAVQSAKVDTNQQQFQKF >CRE08824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:896163:897122:1 gene:WBGene00080848 transcript:CRE08824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08824 MVEIYYWSKNSGPRDEKDHDRNKFCRFFMSFLKTEAVIMKTYHLDASTSSKIWKQFDHSLARQDLVPFVKYSLNKKKRWEVQGGVSLLKELKDAYDDMNVLVANRVCLRSSPYFCVAPAHHYFLHFFRYFPIRHLHRMNSDSSYQCQSGSSIWGQSYKSLLPENRRSEKTEANSEEPMKPTVVYHIIIPPKNYEKLRNNDFRFTSSHSIVHIRRCKNMKDILMELRYDEHEELEDGMDIGDDYATVDSRSEPRKFTKSEGFDFSYNLVDHVVDPTVWRY >CRE09049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2283292:2284376:1 gene:WBGene00080849 transcript:CRE09049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09049 MSCTYRNNYFESDEFLELALHSLSVIQVPLHTLGAYIIIMKTPNEMGKMKIPMLLVHLTFAFYDIYTTTLAFPIIIFPICSGYSIGVLSSIGMPISIQCYIGLTLFLLYGPAVTMFFENRYNYLVRLDCDTNSRRFKRVVHYFINYVITLNVLVPSFLNMPDQSVARQIALKKLPCLPLKIVNHSKFFMLGNEYLIFVCSGLFTMFIWSQVFFFFAKTVNFIFGIKSQSQRTTQLQRQFFIAVCIQVALPFVVIMIPACYILSTIYTKNFDLAFTNFSVIMITSHGLFATIIMLLIHKPYRTETLKILGIKRFCESNKVAVVQMPFCATQN >CRE09301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1231786:1232909:-1 gene:WBGene00080850 transcript:CRE09301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-293 description:CRE-SRH-293 protein [Source:UniProtKB/TrEMBL;Acc:E3LI25] MNLSCSPSVSYFDSPSFITWALHFVTVISTPIHFIGLYCILWKTPEEMKSAKWYLLNLHIWMIFFDYSVSFLTIPIVLMPAFAGIPLGILQAFNVSGVVQALIVLLFLGYVIASIIFIFENRFYTLCVFARYSFWSWLRHIWLATHYIGIAVLLTPFGFLIADQNEAKQKIFQSLPCLPNYIYDASVVAIADDDTYLYPLLMSILLVLLAIGEVALCILFLMWNTFQQLRSRAMSQKTFGMQKKFFLGLIVQVAVPLVMFCIPFLYAFISVMWKYYNQSFTNFSIICASLQGSMATFVMIFVHRPYRNAILSKFCKQKKTMGNVTILGAHIEKNTIFPVQT >CRE09143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:412616:413404:-1 gene:WBGene00080851 transcript:CRE09143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09143 MTILISLIVTFKGNLDKYLAVQPTSPWVPSSDCPGFPANYSENMFVVQKHSTDNDTNPNSFYITFLAVSQIIVSVIYPLLALILFIEIRNSARRVMDINLSESLDRTRSSKMIFYLTVSYIVSSVPRGVIWVFHVFVQSVSVESFLEMFLGYGTIITSAFFCLNATLQCVICFVLSSRYRETARSLLRMKQREITVYEPTPMP >CRE09367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1595824:1599059:-1 gene:WBGene00080852 transcript:CRE09367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09367 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LIF8] MANCKETISVGEIEGNHLNATYSPFGNQSAIVFLGIPYVEPPLGYLRFKKPRPPISWDGVRETKEYKAACMSDPLRTYKNGVGGPISEDCLHLNVFTNRYCMEKKTCSVMIIIHGGGLLFESAAAFNPEILINNFVGQSRNIVVVSTNYRLGIFGFGQLNGEEEHQNVALFDIMEAVKWVRREIKNFGGDKDRITLAGHSAGAALTVAFTNSPLTKGLIHQQIVMSAPIPNLSKQSNFKGTTRVAQIVGCLEEVVGFKELSRREMRRTYSCLRSKPAQSILDAQLYVLKNSTYYFGAPHVDGQFVADYADHLFAAKSIYPVNTLIGTTTAELRKTQFLTDPKNSEKKSDLVKNICEHIGYELYKIPEQFSKQCHNYYMAQDHSQYLSDDMEFYSQAALMADAHSSHNTKVFLYSWNPENLKQKCSYSYAYTGAGTAYRKIFNEPSPHHSEDLIYVFGTSRGPFTPKDYEIEKVYSGVFASFVNSGDPSPSEEQPWLQYTSEKKEHFLIDFDEKLKMPGMRENYYADSVQFWSSVGPKSFEEHWSPSLDTFHITNLIGPIASHLENRSMDFDKTFEFADAMYYEREQFLKEMKSKRRVELEYGDLKEKRIDLRAGETKSNGGGGINILLVIFAGTLLAGILYIAFTHCCLHYKTRKGYQLLK >CRE08863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1085916:1087092:1 gene:WBGene00080853 transcript:CRE08863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08863 MNTSYLASPDLLSRAFSFITFIEVPVQWFGVYCILFQTPESMKSVKFSMLNLLFWTVCFDWTMSFLTCPFILVPAVAGVPIGVLSQFGVRAEIQYYLCTAFFSAVNVSIVSLVEYRYFILYGKNRWWRVFRVPFFIFNYSVSLTYFIFPFCFTPSKTWAIQELHKKITNLPAFVLESNIFITELDSFLTYGGIILFEIIIINEIFVFGYLIVINLEKSARKTMISKRTYDIQRRLQLPYQFLSYLFFYFGPAIIFNIYNQVMNNFLTITISLHGFLSTIIMLYIHTPYQNFCIRLFSIRTKRLVKHEIPNIQNNWKHRTSLCTVNTQRNVNIGFHNF >CRE09332 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1339387:1340306:-1 gene:WBGene00080854 transcript:CRE09332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09332 MIGALLIILATVSLVESAKLTPKKYEFTLTIEFGPENTFTGNVKIHVNVTGSVKKFDLKVSKDLNVERVAFFQASSIIRGGPNTLGQVIFGVVKKDDSIHVPLPDEITSESIKEEGYIEVDYKGKVGEKGANKGLFLYNSVDYETNLKNGNAIHLFPILEDVAAPLTLSVITPYRAGVETTLKAGEHGSVPGKELISNNFNSGNEKVKISDLYFKINSPTALVLDRN >CRE09328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1319089:1320294:-1 gene:WBGene00080855 transcript:CRE09328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09328 MTGNVDSTKRVCIDPDLPCEEVREPFRTLPIKFLNIPMDFRMDFSTLAKMLADVKPKYVMCSSFYTKPLIRRPDLQISYEKVWPIEYDECVQLSKLSRNKQKLVTVSVHPDVVANLKFKQHPTRKVAIASVECNLSAYNDDFKLIPTNSRIVKRKYGRMTLAKVLKEMKNRNLDVVEVKGKGETVLEIQEMDAKITVKNDGTRTKITANDSETRQKLLEILRSLLVDDDGIVGRRLEGTPSPFSKPSVHK >CRE09090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:99486:103716:-1 gene:WBGene00080856 transcript:CRE09090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09090 MSHTEEVNTPISNDEVVREYCDSASTISTSDVRKRAVIEKELYEKEMNEMIDYISEISKDPVQPISMGQLGKDFKARSGSKESANCLRVRISRYRSKIHELDSVDKNTKVKMLFVLGAPVPEEFLNELRQDAVVEVDDSNRIAMYKANDGTLDLQREDIPNERIRKQECGQTESKAVIPSTKTVSGIEEKEQDKKVLVTRSGRVSKKRNLSISDQCDAQISSQKRSCISSSISYLHSDDGMDKAMSSGDPNGTQRSVVHSTAEACEDSKNVCNDSIQHEEDDLELYSRIENDDEGMEVPKKKSRRRRRKPNTDVPVEEQEETNAPLLDETFHNQSILTSDEKNIELMNFFIERSIHAVSPVKMYKLCTEYKKEMNCDLAVLSIYHRIKRLLPVIHLMEDLDIDTKIKMVFLLSAPIDPDFLFKLREHAEVKIDDRQRIIEYTRNGGGLELKGTHSAGNREVETKEKAIMMFLEEKSKTVDTPMQPGSLLKEFQESTGTKDARTTLDRLYKSVRNNIYWSPDFDKITKAKMMFISSSRVPECFLKELREIAFVEVDEANIILKFKVDDGSVEFSGDPSFSAKMRALWFHRKSVGVMNSTVEIENEESENNDTELVDEHEEREAADETVEDMNESFVMRSGRVSRKRVPNKSLFSDDDDSELAGKKRSNSYAPVSKMMKPPNEDSASASSGNTSKPELVDEQKPCQIEESSMKSTDGLCEASREQKSNNISNVFDDSVQNEGNYVETHENDDMDLSVTEYDSRRREDESDPSLMDITSIDKDITSSSHKVDDSHRRLEESRASSSHLTHPKTEVVTRKSSVRPISKAQKVSDRPLKSSVTSSPEATAYSSSSPPSNEKMDTVRKSSESRSNMTSENQEISSISEYMQETYIPLYDSITIDPMIVDHEEVISDTEYRNDYSNHQSEDSNHPNAEATEDTRTVSAIDLNSIESDEMPLNIRKKSSSIESKRRSNRMNSETTVISVSTQTSNLEPAMEKSKPETCNSDCEIIGVFNDGTPAEPLENLKDFFKLLRAHVSMLKTTNLADLHMRIGDMITKLGDKPVSIDNIRVSLETCFLSLIQKGALKSPPEEEWRSLRNLMVSLKTITCFFEHPSLNSFKEKLECVIRDLSIQDKRITIDEIRSALERTLNFVSF >CRE09171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:532868:534375:-1 gene:WBGene00080857 transcript:CRE09171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09171 MEITLVSPAVAPAQRFSDFQYNRDAMLAESTSSITPSISYFVGRPEFLLFCDSGMSTHFTPKNVLDVQYLISEASRLLNQGCESPIFAENQLKKLTIGFNFVRLDTENVKTFEKVGQTEFMDVIEYYFLTTTKWILHFEEFQKLDRSIQVRLSLLLASTHLMTLVQTIWYVWIKIHKCVSTIAYRKAHPNVCSTYKVLRNHVLDRKKGGLDTSWISDFPEEYVSKYMLSQHMYDFDIMNIIEQLDLSDVELTYMFAQICFEYAGKRFPGEIQNITDHFQQILSNDLHEFYVTDQRRNRYSHRLNELMKVNSLIQVSSK >CRE09428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2041415:2041678:-1 gene:WBGene00080858 transcript:CRE09428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09428 MNRMNNMEENFNDSHHKPQMDPLEDANHTEKLDKQSGKIANAFNDMKDDVAGGKEPSIEGMCARNDRNHDKEDEKKRRESDVRNKEI >CRE08778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:666786:667775:1 gene:WBGene00080859 transcript:CRE08778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08778 MVFITYRFKTIKKKPELSLFYCHFVIDILCSFAMSLNMVIMFLSTTDAVQFFIDNRNFAFYIFYPVFLIGSIRALLVLLIEMDRTFATYFPIIFFNYRKFIPTFFIIVILVLYTLIDAYVLFFFCGDRVDVPPGCINILCALSMCYRSYWLGFEQIVYSLIIILSLLLTLKLFIKIKVKKENINYDLKRANRLALIDTFIIVVFDLTPPIIVSHVPNFYRYLGPVNAFFKTMGFVVEGYLVSMNLKKRLYNSKTNVIVKSERIYTTCSK >CRE09452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2210943:2212031:-1 gene:WBGene00080860 transcript:CRE09452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09452 MSCTYRNNYYESDELFELASHTLSAIQVPLHVFGAYIIITKTPKEMGKVKFPMLLVHLTCATYDVWATILVVPIIVFPICSGYSIGVLSSIGVPFWFQAYVGLSLFFLLGPSITMFFENRFNYLVRDDSETQSRKIKRTVQHTFNFFITFVAVSPFISNMPDQTNSRRIALEILPCLPSRIVDNPKFFMLGNEYQIFIAVSLYLIFIWSQVFIYFIRTVKYTSRAKTVSKQTSHLQRQFFKAVCLQISLPFLVILAPAVYLTSTLYTKHFDIALSNMSVIMATSHGLFSTVTMLLIHKPYRAEIWRLLGKLKLVKNENLIAVNISVHSTSD >CRE08997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1929521:1930738:1 gene:WBGene00080861 transcript:CRE08997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08997 MHCALALKKKALVHKRTEKIGSLTIPDISDEARLILSRHQSIYSNALFQYCELTNQQNAPTRMTDLIWLYEYITKNSQEMETLFMIFHCNAPHFKFRKLIRDTFHF >CRE09204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:674376:674893:-1 gene:WBGene00080862 transcript:CRE09204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09204 MQSSILFLLALVSITVACPGLFGMMGGGGGGCGCSAPPPPSPCGCGGRKKRSLPEKPTLFGIAAGDDDNINNLIDFQNSFVFTVRADTVYCGARKNNHNCHAFSM >CRE09319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1282496:1283262:-1 gene:WBGene00080863 transcript:CRE09319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09319 MYHKSFNCTNEFDYLSSNSITQKSAYTAGKSCFLETVKKLCTQVQVDELTSEYDYFVEILTEKPSDEEGCDSPYYQFNGLKCTPILKDMSQGVSQIFNVTTKMNDSKVLNTIDLCDQAITCIQATCFSTDFEKMQITKSCEFVKMKNTEFTACENKMRTESPDLSKYSCLERANLKAKTKEAIIEAYYTEKDCTKQIMKDICGESAIENFDHYAQLIVNQVTMISS >CRE08960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1674507:1675503:1 gene:WBGene00080864 transcript:CRE08960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08960 MDFCRNIEFPKFKKPTALRLCRFQEDMRNFTFQLKQYEFYLTVFCVLINIFHFIILTKPAMRTSSVNLIMAAIAFSDICSFLHGIEQLYIRLSRILGNCLETTTYGFVLLEVPFFMLTDFSRRCSTWLCLSIAFIRTLVIRNPMDPFYQNLTKPKTAYFIIIAVFLTGISLGIFKMFEFTIESYDIESCCNSSITIHAFYNRVSDTFLANDAIILNSYHAVDAAFSNLIPCLLFPVVTFLLIKELWKTDENRRKILSTSKTTDSKRTTNLVLVFTFTFFIAQFPFGLNSALGYIFIETPGLM >CRE08904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1362194:1362557:1 gene:WBGene00080865 transcript:CRE08904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08904 MFRQFTIFLAILAITAFTAPTSYPTEEQLKAELTSAGMTQGSIDGLMSLTNRFATGYPLVQSNKEATDKFISEYTTDANNFIKAMSPEDQKIYNAHLKKYGLA >CRE08888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1286848:1287451:1 gene:WBGene00080866 transcript:CRE08888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08888 MKYLLLFVILCFITLQVSGECLDECECQLFTNLALGRLQTISNMSFTEGAGCVNKLFCKNNNILGLGSTFSRSELDKPVESDILPPDDVKREEVEAFSQFGIICENGTWYATKYPRVRYNHGGMVDFPPPFSQYDGKKSEIVFFVCAT >CRE09023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2128136:2128849:1 gene:WBGene00080867 transcript:CRE09023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09023 MFSPLLTILAVIAITAYSSSGVFRYSRLDDSVCDTFNSKYVCSPLVKIAISFLGDPDALRVVCGMLRGRCSPEEMPCSEVSAEDLRRISEACGIVTDGGTTSRPSPTEEPEHTTISPPTGTTEDNSIHTTGTPPTGTPEPEEPPHTTLPDPGIPDSDHTTASPPTGTTEDNSIHTTGTPPTPTPETEEPPHTILPDPGIPACTSPPPTTTTRDPNAPPPTQVGYVCGGPIDVTNMPN >CRE08683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:244420:245170:1 gene:WBGene00080868 transcript:CRE08683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08683 MIGALIVLALPFIMICLMLSMSSGLNREAYLKIIIVYMCGYLLQGCIILLSFLINFIYRCYLFKAMIRAEGFEMEGWNADDDDDESDDSSDGNHEE >CRE09141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:394084:396047:-1 gene:WBGene00080869 transcript:CRE09141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09141 MSQNYSISDFEEFNKFTQESLVRIAFLRIDITLNYSAITLLLLKTASVDFQRGSVFSWHLSVIKHALNSDFKYLSKQRTAWKYILIMLILSLLMSMFYLTRTDFVELPNRWVPADHCGFPTNFSMPEYNFVSGDSFFSAKWLFETFVILDGIFKIVPAILLPIFALLLVRQSKIAEHASRKVSNSRSKNEEYVFENQRKYNFFQFRSKTDHTSRLVILMTIASIIAEGPLGIVYVIQGLATNYQGLLSIINDIIAILLVFVTLNATTHFFICIGVSTMYIKTVKEIFGQKKQSKAISITPKASTTSVASIRQIHVRVDGIAICDLTVTAGVVWERTFYWLVQTNHCINKCYYFNQLALWIKTILTDNTVWISFWLGIYLVLIRLLLLKLCIKSTFLCKPSVGYLLFLMTTLISLIVTFKDNLEKYLAEQPTSPWVPSSDCHIHIHFRELYYVHFHV >CRE09036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2197778:2198045:1 gene:WBGene00080870 transcript:CRE09036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09036 MSSLLVNYLAFCTLIIFVIMSVLVFVSGFYILKYHCELDRLEHRTNFGMIGGNRKNSIGDYRDITQIIITS >CRE08855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1062305:1063256:1 gene:WBGene00080871 transcript:CRE08855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08855 MCKLWRNQYKKRSFTLFESSTPSSSSSTNPTKMIALISIFFVSFLTPEVSAVIGGDLNCTTYNGTFFVWTPAAVGCNNVISDSSCAILYPTTDALGLPAAGNNAGRPLACYTTATATPAAIVPDMKTAALASCPKTCGFCCNTDAYNCKNVDFPRLNCATITRSQCDSVTWRTIIAADCPSACGFCGQGGCVDAVTNCGNDLSICQNVGLQSFVNQYCQKTCGRCPSTTTSGYGGITGGTCSSYIADSSSSCSAWASNGFCTNNFYTYAQRRARCATTCRLC >CRE09306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1239946:1241323:-1 gene:WBGene00080872 transcript:CRE09306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-233 description:CRE-SRH-233 protein [Source:UniProtKB/TrEMBL;Acc:E3LI30] MCAPEEHFLDSPFVFSLFLHVMTSISTPIHFLGLYMILFKTPKSMGSMKWYLLNLHIWIVLFDYFVGLLIIPIMLLPRFAAFGLGILSHLGFSAMLQTFLVLTILGLLVIFGYLAPDQKIAKQNFIENMPCLPDYILHAHIFVICEDFTYHLILMISFTGLVSFEVLAFVGFLIYNSIQQLLKKQISKRTFKMQKKFFIALIIQIGVPMIMLLIPFLYEWMSIMFNYYNQSYNNLPMITESMHGLVSTIVTLSVHKPYRKALRAMLSRHLGLFSHSGKHICCHTKRSIISPIHLY >CRE08811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:818783:820583:1 gene:WBGene00080873 transcript:CRE08811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08811 MLRRSKRLAGIPAEEPKEQSIKHRVSLTSDTINANRGDLEKTRKELEECKLKLKVEILKNEQLELSEGRHKAEQQSLTFQLNCQKEMREKETKELHRVLNEYMKELKHLRDRYKDLSEEIDGDKMIQRLRQTSGFWRERVQGLRKQLVAKEERKNGEPLEWRICEICLREYGQEEDRTPRILGRFSVRKMNRQCNARMSHSVILERIEKDLKEMKRELEWESSANMRLKTSHNEEVATLQRLKKNAEHQLKTLKLSSKKEIEELKKEQNRDLNTILDLHQQLNNLKSLHETMIESQRKSTEIWRKLYVNQKRQLANTEKELLRTAVSLRKKELREQPMAREKQKKYETLLCEICSLEYGQEEDRTPRVLGCGHTICLGCCKMIAQPDQIQCPFCRFVTQLTGRTISHLPKNYLALNM >CRE09087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:88300:90907:-1 gene:WBGene00080874 transcript:CRE09087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09087 MSLPDKYEEENAHLMRYAAEKSKNVKSPLSIAKLCKQFKEEIGSDKTMECLRQRIQRLRMKIHESDEFDMDTKVEMLFALSVQIEPDFLTELRKEAYVKVDRYQRIIEYKKNGGGLEMSGKHMANPNLCESKHKLILQFLANKSETMKTPLPDRLFLREFKALNLTSNEFLTLKRRYPRIKDEIYESPNFDKATKIRMMFISSTKLPERVLKELREDAEVGVDEKNRITTYRANDGSLELEGEHSHSSKIREGHRAGRQRKWEEYNEEEGKEDYNQSDRCRRINLLKVLMNRTENATSPVNIEQLASEIKMQFGRHQRVSRLRSRIESIRENILEYKNMETPTKVKMMFALSGTVNPDFLRLLRNEAIVEVDENNRIIRYEAYDGSLKLEGKHSEELDVSRRQRVSNIVLLSDLDETDEGEEEDENTDDTSDNGGSDSDLSEIEDLEEEPAQVEEKKKVPIITRSGRVSRKRSLSIRSSCFDNGTTSEINQRHDIDRTKRTKQSSEESSGDFGLLALREHLKIENMEWESIAIKQESSMDYQQFPMSSSTSRQLVESEQEIPLACFPNEDEWVGDEQTVPTEIQPTLKECKVEEIIDDFESIPDILANEDETALKEYLEALHGLVLKLNTPHLSDVDLKIKSMLEKFQYDEVPIDYISISLEACLIMVFKNSTMKSEPDNESHSLKNFIYLLENSIFCIKNTSLEAFKHKLRGLFVELSISDKVTPNQEV >CRE08658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:136695:138145:1 gene:WBGene00080875 transcript:CRE08658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08658 MVNFLGDSSEVNANMEEKESVIKTHFEKFITFIRDHWKLISIGGVIILLLMISVFLITFFAVRGSYESGVHFGVREILIMHVSSLHNDSLDNGNDDYLEFPTTTVKTAKPSSTTTLKSLTNPVFTTTSGKIGKIHVTFLCIAPLTCTNNFTMVNGKCWMLINNSSIRSDADKMCKTYNGATLVSIRNADENQALTDFLKDENINTLWTGLYCFGETNITACIWDIQAGSAANYSSFKVGYPDADYGRCVFYIGNGTDIGQWENEECGETMPFVCELPPTVHEGTCDFLYNSHCYFRIDMMKNITDSQHFCLSRCSNLVSIHSANENRFIQSIYDTNFTNIAIGGFASSKDYMIWMDGSPTDYNNIQFSYAGSCVIMAAGTTDTGYWYTRPCNETFWFVCDRPAGFSC >CRE09197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:645656:646367:-1 gene:WBGene00080876 transcript:CRE09197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09197 MVLDILTLIGVRRVRLSIRVAGDKRAISDRERRFLKQTVSQGTVFMLELIAYFVVSQLTDNIVILFFSTSFAYVAVPALDGKKKYI >CRE08739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:508398:511094:1 gene:WBGene00080877 transcript:CRE08739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08739 MSSMVWSFFEKPESQVDFVPCLKCNVMLAYKGTTSGLKKHMKSKHFADYQRIMVEKGLDVSPNLDFSHSDLLLSRSFCTGQIPFEFANNPEFRLFCSSLNFDFKVPDTNKMRQAITVNASAFIKNVAAQLKNSRSYVMITDAYSHSKLHTCTFFTVHIAFIDQITLERKILLCGPVYIENESSFHIFSEVQKVIENVGLKPENCQGTVCDVDNTRENWFFEAKNIPCAGYIIHRIFEDFAESVHIVGKARHDIEKIFQIIRENEACLDLVVQFQKDYKSSVPLPTKSGQWGSLFTSLQAYVANEVYFTHIPHLKNKLLNPKIVVELPRMIELLKPLYSTLETLTAENTFCSDIVPNLLCVKEELNEEDPIPKVLAELVQQRINDYLSNDQILLAMVSDPRYAYVPNLIDPRTWQEAETLFAQQEVQTSGDSEFLVTPKTESYGIVNESPEKSGIAGFLKRKIKSKCTQKTLASEIIQYQALMSTNRPCHTSNPIQFWKEQQYNLPKMAMISMKNLTAMASTSLSEKLYKKCSAILGKKDPRIEKKDIDLYLIGHVVAPEVNRELLDDQSQFDFTFPVDEMEPGQSNIDQLGVEPTPTVADSFAQQIKSEPVEYQERPIEPVVEPVRTLESVLSDTFLPRPSPEPPQTMTPAPKMFKPIEPPRTYPVPPAPSSSRPIFFKHYQL >CRE09427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2039550:2039765:-1 gene:WBGene00080878 transcript:CRE09427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09427 MDHINKKDDCLRKECDGKEQKLLGKDCTEFGHIKRDEKMECGKGQCDMKNKCSDEEDLKKRRESDIRNKEM >CRE08985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1864701:1866202:1 gene:WBGene00080879 transcript:CRE08985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08985 MSESNRFDSKTVRSFILYEYLQGKSLEEAFENFRRTVGDDVISLQDFAFWFMQFSSGNMNVEFQIKSEIPEKGEEKEPHPLKIQKLEEPSLEMKSVPLEEIDESIEAPNSDIWSGMGPPVCVEQNKCDEHRCRLCGSFVRGGNGNRRRHARARHSYARHLKCKECDYLDKEKVTMRNHTISVHGRDIPPEDITDDNVIAEWEKIMAKCFPNKIKMSNFAVCKLCDSRLTMPSVNRYQNLKRHVLRVHCPVKQFKCTECDYAERRKEKFKTHSIFTHGRVFPPLDLLDDKMRAEWKETFAKCFPEYADLTYFR >CRE08667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:176124:177102:1 gene:WBGene00080880 transcript:CRE08667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08667 MAWLGFIPPLLSLATSITQLFVPAPPPPPVPTPPPPATPAPTAPPGQPSLPPPPPPPPPTPPPTTTEMDVTIGAVIIVVGFVAICISLSASGFMFFLYRKKQKEKETQSQDNFGGPMESGYGSTSSMSGTGTSGTSFGTSGTSGTTGTTGTRTGTKAKKDKRKKKKKKEKKAKKSRAEKSSKSSKSSKDLATAITPPVSDTPAAATVFPETKPGTAPDVSVTAKTPLTAPTQRNTQVPAPPPSAPSTPEPPPPVIPPPASTPTEHAPVALAPVFFDSSAPAPAPEVATPGTPTPEGVDPSGAIPPPQ >CRE09100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:172873:173325:-1 gene:WBGene00080881 transcript:CRE09100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09100 MNTVGGFLGGIVGQPPPTPPPLPAPPPPAPPQIVYVTNPAKAVVETVYITQPPYPPATRAPETLTTYGIIGIIFILVVCMISMAGGIGFYMWQSSKMEAEKRANESRDRDRDFYEMEAARGRGGRRGGRRSRSTMSTGGTSGTKTRKHRR >CRE08761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:590144:591712:1 gene:WBGene00080882 transcript:CRE08761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08761 MPAPLYLTGPCEICDQPAHGRHFGVLSCRACAAFFRRMATKNDKTSQKNRKRSLLENEKSTNMNIEARCFIGNCVIYKNGKFNCKRCRLKKCYDMGMDANKFQTNRDPLSSTNTFFERLLFTGPQSMSNFLGRPEFILCCEPDKASHIKTVIDVSHLLEKAKRIFKEEDSFSKVMPYNFENSLEKLSYSMDLMRSKNPKIPLEKLRVIGKTEALFFWEQEFLGAAYWFAGIPEFMELDLSIKIEIVKAAWILWIRLEKLAETAYYHKKQELDGDLIICGQHTCMDMKDFDIDIKWCTNYTMEQMRFYIMPETDKDWKECVCELINLNPTNVELNFMLLQLSLHAAGKRHQGKVLEATERLLQIQADHLHKYYIETLKMPHYAKRLTELLKVNKSIELDGRRRKERVQIAQLFDVFIIDFSHPEMFEFT >CRE09001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1963661:1966145:1 gene:WBGene00080883 transcript:CRE09001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09001 MSSPSMSSLDKNKEALKDKMKAPFGQPKDSSRVTRRGKPSRPGKTSKTNLGKNKKKSTHKRSSTSSSNKSEKAWTHLGHAKTIFSKRAKKTVLMIEGIQLILSEPQQPEMVSILQASYSKLSEQLNKLDKSDSEALALVLKHPALCSNSETRINNVLDLCDHLKDREYPALIEKCKAILLHIEVMLKQLVGTQPLATKRSSTSEVIVKPEVRDALQAFPSTSKSSAIHDLNNENNPSMQSEQEHSSASKTDSSQSHETDKSLLTPIKDDKYSSSKKGYNKFPSSSEPNGPILTGTQPRQQHAPATEHRDFNTVGPKPADNNAAQLILTPSAMEAMFHRFSENIKHEIMYSVNKAVHQINMRVNKTVETQRLFHQTIQAMNTNLKIVQVQLEQQQQHSRYEPSLQSMNTPPPHNPKQLGICDSSNNKIRNEISKGSPTIKSPETPSPVIQSPSPLRGSPTINMGNYPTDINTIFNTLKPFSGDTDKYSLFITRFNSLVHSNPAIDTIMKHA >CRE09236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:828658:831726:-1 gene:WBGene00080884 transcript:CRE09236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09236 MRWVILWFSWFYLVYTTDTNSTLPIDIQQGVIRLKHQLEKGSIPAKLIPFLNLTAVEEGKTAHNGSLTFIVDDKKEEEEDGDVNQNINKPPVLNINEMTHDGNKTIVKISEEATYQLIQKWVDQAASGFMSALMSTKLQDKRLKPRHISQHNNCTKTASNVTEHARCVVIILNRLDDQRRKMRKYKKLKFRRSRFRRSLRVRRDIMHSLTEEKELVIKQKERYELKGKKEMTSPFSLIAEHLTNLVREKKNKEKEPKKKWQTVVNEIKDELDRIKKKKKDREDFKNIFSKYSRTMKMLGLDPKLAFERAGMESLGEEISSANDTGKLSEEEKALKKPLMMIRDGVKLGMMLMGQNVSNFDERKIALMSPQFMSVLPDERSNDTVNLLSPSVFALHENGTELDQMLSLSKAMRFLSENGHDEWMNFVLEASGVTEAVEKMRHDERKEEMDAFRKHFLNEKGQPMYFTKQNVSEMYGEYETSKIDSMEALHKSMSAAQMHEMNTTGYAIMTSYQISQFYGPGSPYNDSHAYNNYRDLRRNDIPDILENNIHRMAREEQAFKVARQKDVVLSPLLFTWIVLAPKTASQPIILSPLVFSPLILSPSALGPLILSPWIFSPLILSPRVLAPIILSPTIFSPIILSPLALSPLILSPAVADPLILSPFVLTPFILSPLVMVPLILNPFCLSPLLGVPNTLSPLILSPFVLSPFVLSPPFVNAFVLSPYVLSPIVLSDGLLFTAVLSPSFLSSL >CRE08769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:654788:655137:1 gene:WBGene00080885 transcript:CRE08769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08769 MIRSLLVLLVALVAASYCQYGYGGYGGGYPYGGGYHRHHHYGGSYGRGYGGGYGGGYGYPGYGYGGYPGGGYGGYGYPGGYGGYGGYGMYRPGLLGMLIGK >CRE08781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:676365:676945:1 gene:WBGene00080886 transcript:CRE08781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08781 MKFSIICLVLIGAASAGFSAKGKADIVKVHNDLRSSIANGTYVAKGTRQPAASDMLKMSWDDTIAQCAQTFVDSCPDGHSKFDGYGENMYFAWASDEKASLDLYGVNASKAWEKEFQEKGCLSRTLTKAVYDSGIGHASQMVWSKSNLIGCGVKNCGVDPKNGFTKYTVVCQYMNP >CRE09214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:720351:720843:-1 gene:WBGene00080887 transcript:CRE09214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09214 MTTRKCEFSADIENKPKTYYSHKNIHLRELKALHTMKIDATSKFRQFLDEEIYRTVAKWNQKHQKHPFHFDFHGLTRKSAEWYILDVLDMMKKNNITEARIETGRGRHSWDNRPKIKPHLMEMLNKRSRCSVEPEKHNDGVLRLKIC >CRE09294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1198664:1200957:-1 gene:WBGene00080888 transcript:CRE09294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09294 MSSTSRCLPSTVKPTRLIDFMFEKSQLFSSYVGLNELATQFNKENGDRFSMKILENLFLCALGLVPGYKGTASEESQQKIYRMTGYCPSQKKIIETSRNTRTCIRKRTHDSSPRRNIKQSKNSNSTSKVPFTYSNDNIHTAEVSDGDLVPSSSACSDSLSDSEDHFNRENETTVCRITYLETLFTVLRIEEVSFFYNLEEIEKVVKEYKEKGIKETLDAGLVASALETALMTPLKPEESVAEETESIFICFLIRILREIVEKLIDLYPACGFEDVLDKIWEETIKNDEEADRRVSIKTTVAVLNKLLFAGTC >CRE09429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2042736:2042981:-1 gene:WBGene00080889 transcript:CRE09429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09429 MNSKMQDNLLSDSTKDPHPHPSGDCDISDNACCDRRGNCDGGRHTCPDGFGNGPRKGMSYNSSDEEDLNKRRESDVRNKEM >CRE08684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:245650:246070:1 gene:WBGene00080890 transcript:CRE08684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08684 MSSRATDYFNQSSLEMVEIYTGEPDTGNGRSLVALVRCTKWLVGRRQGTNGNQRGGERQRMSTILEGAEQSGSGSGNSQEGPRTELD >CRE09244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:876039:877809:-1 gene:WBGene00080891 transcript:CRE09244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09244 MIFILLITVLLAWLVVRQYQKVSRLPPGPVSIPLLGNLPQIIYYLWTTGSVVSTLDLFRKKYGNIFTLWVGPIPHVSIADYDTAHEVFVKNAGKYADKFNPPLFRDLKHDLGVATTNGDHWQEMRRFALQTLRNMGVGKDVMEDKIMEEFNARCADIDKAAVDGVTVSHAAEFFDLTVGSIINSILVGKRFEDNNKQEFLTIKSALDKAFEFISPFDMTVPVWVLKRFFSQHYEKMMKVMTECQDFAANEAVQRYKLFKAGKYVVEKDNVSDYTDAFLLKIQQDGENSDFNLESLKTMLFDLWITGQETTTTTLISGFNQLLLHPEVMEKAREEIMKITNNGSRPLSLSDRSSTPYLNATIGEIQRHASILNINFWRINREPTYMGGHPVDSGAFVAAQLSVLHVNETIFENPQEFNPERFISDEKLLQKVIPFGVGKRSCLGESLARSELYLVSLLGQIHIFVQFFILQIFGNLLLRYNFKPHGKLSTKEVTPYGFAKRPFKLEMKFVKI >CRE08671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:185896:187139:1 gene:WBGene00080892 transcript:CRE08671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08671 MVLGNGLILPIPFFHFLESSHLFPVFRLAFIAFFNIISDLLQLFVTCFYLSASIMADRYVITGDRMNRLAVIFGWIFINAWYMECLVQIVMAANRFCIITLKQYHIFTFKFTMWLFVILISITTFSAVCTQYLFPCCVFISDHTIMSFMFVNPDNSYSYSNLMLVSYDIFCTSTSTLCYISVFFSIRNSYKEVSHVRSNQNNKANKDIKYLLQFVFISVFYIFTWVLFEILPHIVPAGQVEWFSVVPVLVTLNCSSNSVIYLCVNREVQKSIQFAWSRSKVSISKVSTNATNAQSSAAVDN >CRE09317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1279921:1281070:-1 gene:WBGene00080893 transcript:CRE09317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09317 MLKLIFLIFLCLLLIIHSTNGASFRLCSSEQLTQFVGRCGPIERELVDLRNSTEDYYPKPEIVNNMTDLCQKVANCYGSIKCAESIDKMNQNKFQCDEDRLMFGEVPECIKWLFKEIYMVDYYDCLKDYDFLSYNMETKRKAFTSGKSCVFQVFNESQLFECDRDAVELIHKNYDLIVDYLTTDSSKKLCRGVNPLYQKLQCEVIKDKWLSMDSELINSGNNTQEEIAGFLELGNVLKECMSHSCLYTKKEKSYVDYRQKETKFRNSPFVKCATKIYEKKINTYEKYPCLKNQEPKEKTECKKLMLEELCGKEAADNLEETQEFFEFALGNNTEIIQ >CRE08718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:366321:366593:1 gene:WBGene00080894 transcript:CRE08718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08718 MKMYAAITEIVSEFSGFQHIHTSKSASSMTSTPPVSRKTSTSSESPRHSLNITVGAAPLNQIIRNDSSNSLNDFNCLQRKNSSASYLVIE >CRE09017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2112670:2114283:1 gene:WBGene00080895 transcript:CRE09017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09017 MSNCRSEDPFVIIISHSISSGFAIIIYGVAFSVLFFKCPKYFNNQYRNYLIAHIFSGVLLEIHMSVFWRPKVVNPVPILCSNGLSSEYSAVNFQIFIYLLIFMGVSALSILICRMKAVIIYVEYGRFHKLPIYFRRIFYFFSSLTIASTFLINPGLNNQLEYKLKMEQKFGAFPEYFWCQSCIFMLFDSIPFMLFFISSYITITTAFLSAGFTAFVTYRILNSRSLRLSQRTAKIQKNVLSSLIAAVSLWRNTSISGNFFQFMVHVVLIFLPLLAYFSSNFINIDYPSVGFVCVIMVQEHGSCSALTLLITSKLLRNSVMQLFYIPMNFTLCSKDRVSQNTFSTIPCINIFVAWETFKSLKSRNRRLSAKTLAIHRNFLFSLISAIIVHISMIFISLMVYFIDNFAIIDVPYLSFILVILLQDHGSFVTLTMFITTNVVRRATGKLLWFPHKLCGSKQQVQQ >CRE09424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2033648:2033875:-1 gene:WBGene00080897 transcript:CRE09424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09424 MNQTNETNFDQLRHSHGKCDKDGKCDKKLEELHHGIGEGTHGGIDHTNKGNCDDRLGCHEDLEKRRESDVRNKEM >CRE08706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:308168:309006:1 gene:WBGene00080898 transcript:CRE08706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08706 MISVIFTLLAFTASDVMAAIVDDFSCTDMTSYTSLATACSNNIADSSCAVLYRESAAGVGYPAPGNSVQRPFACYSTAAAGGSVDQGMKQAAITNCPKTCGMCCLTSAYNCSNAQFPAFNCATVLQSQCTSSIWREKIAQNCPSVCGFCNEGGCVDGVTNCANDISICTNINMQPFVNEYCKKTCARCPANPSGPSNPGNPGNPCITYPADTSTSCRAWAANGFCTNDFYKNVWRQYCATTCRIC >CRE09078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:23969:24392:-1 gene:WBGene00080899 transcript:CRE09078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09078 MINDEYLESIAVVSMMLLDPEGFRRKMETDEEFRKKQMAWYEKQKAVYAEEERLEKEMKKKCNLKEKVEELDPKALEMAEKKKERNKRSRENKKKKKLIQKEAEAVKKMDSDANRSDEIRNIND >CRE08833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:918948:919457:1 gene:WBGene00080900 transcript:CRE08833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08833 MSTVCNKIDSRESTPTPVFTPKKCTIQENETPANREEEKGTELNELIRSMVSLILSKTFKTLLFQYLSSKLHIDVFPPAPEPGQIVIPTGGLARCICTPYCTKELPQPAYRILGESEEDNRWNLDEKTEEEILQDAEDVVYKTYMDVLAEKTRKSKKKTARQLYNELNS >CRE09101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:174009:175871:-1 gene:WBGene00080901 transcript:CRE09101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09101 MSKSSVADWAPMVSSGFNMIGNIVGSIFGQKVPPPQIPPPPPPQPPIPVYVPVPGPAPPGEVATRIEYRTHPPLPPPTAAPETLTYLGIIAIVLCVLFLLACSASGIGFFFWQSQKAEKERRSNERNSSDSYMESGGRRRRRGSRSSYSGTSGTRTSRSTHGHFHFVSVSETDHHNYQIEPLISLGLNMFGGMLGQGVGQPPPVPPPLPPPPPPAPPQIIYVTNPAKDVERTVLITQTPYPPATRPPETLTIYGIVAIIFILVVCMISMAGGIGFYMWQNSRMEAEKRANESRDRDFYEMEAGRGRGGRRGGRRSESSMSSGGTSGTRTRTKRTKRR >CRE09432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2071344:2071562:-1 gene:WBGene00080902 transcript:CRE09432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09432 MDPTYSKESKAEQTLEQLAKEFDNRNPAELLAARGAPAAVEKTFPPTSKLPENAPGAKEEVEFCMFSSKKQQ >CRE09064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2366267:2367928:1 gene:WBGene00080903 transcript:CRE09064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09064 MELPTSSKQLQELQKEFVAPTHPEICNPLVQEIYAENKNDFLKTKQYLQRYHLFWSIILQLHNQRSQAIKENNGETKRMFETIINNLVRERNHSLGFSRKLIFDLHGFTVKGALEYVSDIKSAIENSEARHRAITLITGHGERVDGAPTIKAEILRKFGENIREEFLNPGRLTFRLQETYMDYAHVYKLQFPENPLSKMSRSPLAQLNESSDQDQERRESIARRQEEQNTPNFDFGNIWVETPQENLEDYDEEEEEEEDEEDDDNDTEISSTTESEDDDDDDGEDSGSEMEEDIDVEEPHQEYCNY >CRE09051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2296746:2299072:1 gene:WBGene00080904 transcript:CRE09051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09051 MSCTYRNNYFESEEFLNLALHSLSVVQAPIYILGAYIIIMKTPNKMGKVKFPMLSMHLIFASNDVYAATLALPRMVFPICAGYSTGVLSSIGVPIWIEGYIGLTMFFLFGPAVTMFFENRYNYVVRLDCNTHSRRFKRAVHYFINYFIALNVLMPSFLNLPDQSVARQIALKKLPCLPLEIINHPRFFMIGNEDLNFVCTGLFGIFIWTQIIFFVAKTVNFVFRTKAQSQQTAQLQRKFFRAVCVQIAFPFVVVMIPACYNLSATYTNNYDMAFTNVSVIVITSHGLFATIIMLLIHKPYRTETLKVLGIERFYKSNKLTVVRMSISIGQTGPDEAGIIPVPGVLETSYYEKQSGIKKIIYTVRDLKAVKILYKEDQVPWA >CRE09442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2155649:2156452:-1 gene:WBGene00080905 transcript:CRE09442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09442 MKKKKQLRGDEDFVDFFWNDFETIMRHQKQILQKFSLVLEHYEYKFEKMQTLVQFSSGILERLKKLLESRAIPLKVKVIDFGIIDQNELMPVLPFIDSSTLEKISIGNSKGLGSQNLKIDQLVRLEQWKLAKKVEINNEFWVSEPINSFANFSKVEISIKTINTETIRILRELFKTSPTMNHFEIYYEPQNQDLRTLFGHRFDGSYEDEDNGARWFFRIPENMEDVISVQSNLFSWLRLSRERYSDLSEKRD >CRE09198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:648311:649340:-1 gene:WBGene00080906 transcript:CRE09198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09198 MDTAYNQTAFALLPLSFVGFLVNWSVFYSYIRLSSMKHSFGYLSANQAFADAMHSTTFLLYFCPMVLLDQKFMKENSQHCGFVLLMCYELSVFTHFVISINRFCAVWTPFKYKKLFNINNTCVVILMIWVVVGTIDVLIYEYFCHVSYSEQSHSFVFYETVECQFIGWYVDFIKNSITVTIIMILDILTLVGVRQARLSISIKKDNCAISTREGRFLKQTVSQGTVFMLELLTYFVVPKLTEDIVVIFFSTSFAYVAVHVLDGYANW >CRE08957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1655814:1659350:1 gene:WBGene00080907 transcript:CRE08957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08957 MDECSRDFSSQYDNSTIDFLCTFMFSLENFVFFIKRYESKFLIPFIIINTFHFMVLTRKSMRTSSVNLLIAAVAFADICSLFFQLEQLLLVLLPCIFIPIVNFMLVIELWKNDEKRKKIFSPSKLNDSRKTTKLVFYFSLTFFIAQFPYGLVSSIMYLFVKTPGLLNILTFFTQLFMSLITFNTVTHFIVCIFMSSQYRITAKSIILCGHTSGVDTTMAKQSKPKRHSLWPGCLWCRLRGHSAQYCESYTVEERWELVRRRGWCHLCLTGGHHFAECRGGNRRARCRYCHAFHNPALCYDAPLPPLDQESIDPEKNADDKAQENVNTETTAETNAEEPMKKEPENFEAEMSDEHEAYDAADDIELESDEVQTEES >CRE09052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2301462:2301983:1 gene:WBGene00080908 transcript:CRE09052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09052 MSCTYRNNYFESDEFLELALHSLFVFEVPIHTLGVYIIVMKTPNEMGKTKFPMLLMHLTFALNDVYVAIFALPRHVFPICSGYSMGILLSIGVPIWIQRYIGLTLFFRKVLNSISFSQVFVVSFRTSSHCVFRKSVQLFGTFGLRYSLPQIQTSGSFFH >CRE09002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1970572:1972607:1 gene:WBGene00080909 transcript:CRE09002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09002 MDKNKESLKDKMKAPFEHGASNRGXXXKPSRPGKPSKTNPGKNKKKSTHKRSSTSLSTKSETAWTHLGHAKTIFSKRAKKTVLMIEGIQLILSEPQQPEMVSILQASYSKLSEQLNKLDKSDSEALALVLKHPALCSNSETRINNVLELCDHLKERKYPALIEKCKAILLHIEVMLKQLVDTQPLATKRPSTSEGVVKPEVRDALQAFPSTSKSSDIHDLNNENNPSIQSEQEHSSASHSDSSQSHETDQSQLTPIKDDKHSSSKKGHNKFPSSSEPNGPILTGTQPRQQHAPASEHRDFNTVGPKPADNNAAQLILTPSAMEAMFHRFSENIKHEIMYSVNKAVHQINMRVNKTVETQRLFHQTIQAMNTNLEIVQVQLEQQQQHSRYEPSLQSMNTPPPHNPKQLGICDSSNNKIRNEISKGSPTIKSPETPSPVIQSPSPLRGSPTINMGNYPTDINTIFNTLKPYSGDTDKYSLFITRFNSLVHSNPAIDTIMKQNILISLLEGDSKDLITSDELSEGAYEDLRSNLEQIYNKRTDRRKQLMENYRNLPFHQTNHEQMEKDVFKHICLTNSLQKCQVAVNDPFLIDTFADKLPTMILRSFIKHTRHSTPTFLEAATIVQTLISENKAVEDAEQRKKNRAQ >CRE09160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:495874:496946:-1 gene:WBGene00080910 transcript:CRE09160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09160 MKLQTIIGIFNVIVQCAAIQFVYSKKHFRTGSFYILLLVLSISIVFRTIWFLLTTVLMCTNTALLSSETTTLPRNIIDEYVRLSSLYVDFISNYFSMFLIFLMSLNRCLHFVGENAAELIFTGKHIIVPIGTGILLAVLSSFISITTTKIERKYYEKFFFFLDVGTPEGFHATINQIYFIFPVGSLVFYIILFFHIRKQKRKIFVGTVSNIQKRASQQVFIQIFITVLFYGAMSVFEEVIPLFDFFRNPAYETTLYPMLNVTNYLPELFLPLILLLRNINFQRIGVRVTTFIVTKKVEESRNVVKTVKPKSATI >CRE09105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:199030:200491:-1 gene:WBGene00080911 transcript:CRE09105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09105 MVHFFIEFLPSIVNTLTDKVALLTQNMKKLPSELVTSPDITDLENLSIEWDKRMTQSKSIGDVNSLLKNHSYFQEAQTQRTKFSFTLGLLLDVHIGVIWKVSTHLPVPAICSSGQFAEYSVISFQVFIFLVAFTGSTGLYLFIYRMRVATQHLEVVQFRKLSHGLYYFFLCEHLYCSRAHYFDLP >CRE09474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2353251:2354688:-1 gene:WBGene00080912 transcript:CRE09474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09474 MTTYWPDYVVSTCRQNYTYFDSGEFLLSAYHFIAFFTLPLAIFTFFVIVRVTPRKMKNMKIPMIIAHACSTNLDLFITILASPCLFTPSAAGVPLGIFSTLGVSSRFVAYYGEISVWMMAINFILFLESRHSQIPMIRFKIKNHKFRLAYFAVNYILALMQGCQKGRGSILYLSSQPWKNFASSGGLVEDQKISRCTKIQPIWLIRGLDGPKRRGPRPAVRQPCTDVHRALFFDSDDQLELRKFVLKRIPCPVVEFYEKSTLVLLKGRELLPFLAISVGMTMVLGQTMFFSIHTIYHLNYVKNASVSESTKVLQRRFLGYVAMQMAIPWVVLAGPILYSLYADRNDYYNQAFNNFSELFMAIHGLLSNSCTLFIIKPYRVFVRNLTRGKIDFNSSETWAGTIAIAPHVPVRLGSVSG >CRE09148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:433661:434861:-1 gene:WBGene00080913 transcript:CRE09148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-238 description:CRE-NHR-238 protein [Source:UniProtKB/TrEMBL;Acc:E3LJK4] MDGTKFACGVCDEKSDAFHFGALSCNACAAFFRRIVSSNQSPKVQCSGNCDLTNHILRRMCPSCRYDKCLKIGMKPSAVQSKRAKPDEPPERILEELKQSYEHLRELRRHTFKNETSKLTFFEEMDEVCSIDINLILDTMMKKFTKLAPMTDDQHRCLITNFLVLFILADQCFRSIDSEYTILANGNYVDVRNTDQHYQSTSGVVDEDRVAKTSMFLAPYWRLGRRMMFRRFTELKIDLNEFMLFLGLIYWDFGLPDQTDECIEVCLRMRTRIFEELRNYEHEKCRDDEFPLRIGEIMLMLQMVPKYSTVMQEYKTIAIVYDLCAKHCPLFQIV >CRE09310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1260006:1262390:-1 gene:WBGene00080914 transcript:CRE09310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09310 MTEIVRPLLIFICLFINTIAEPSISNFQECSPKEIVISHGKCSLYVNELIFLSEEYNKEDVPMEAVNNMSRACQSITSCFGGLQCLESQKNKELYQRKCDSVQLKNFEVESCLTRFIKDIYEKNSSCSSKYDFTAKTFQQKREAYTSGKSCFLDFVKNNCSERALSYMKSDYDHFLDILTIPSENGTCTPVQNTLTKIQCEAVMREMQFKMIELSLSRDEHVNQNLSAACQEVADCTRPCSSMDSEGIDKLCGNFELLDDKEFVNCTEKIGTIHKENKEKLSGYKCMSGLDSIETLTKNKDCMKEVMKGECDESALKNFDRNWKILDNALSH >CRE08802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:781772:782970:1 gene:WBGene00080915 transcript:CRE08802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08802 MTTGLPLLRLPYLVLMPVLEQMEIKERIALSILSKRTRMFLKLLKMECQRINLRFKYGTIEMEVFFDNSKQFQLEMYMGGYVELRYGNAVFLCNTLGLPPMDYVIWIMDVMHCKSIKKFTIEKISPCDILPLLVNLPKVDEVVVSYDLSVVLEERLLKVLSIVLPVSSAVTISYHFQNRNYLRDILKWNFNALDVRIFEKWPHRDMTFSLNDLWITNAKALVLEDLILDEKDLNRFFKMWMKKMCNHQLEYLEVIKHSDIVNKDLILKGLDTTPVSLETKRNFPVSENVKQELSSERITAEFDITRSDGRTATIRFAEVHRRKSIYFYVWPGSTNDTSLPESLFMCMLSFLYVFYFSFIYDFDGCKFLIFCILCFLVFLFFS >CRE09326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1310124:1315070:-1 gene:WBGene00080917 transcript:CRE09326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09326 MHSECTPYNSLTQYKDLKPRPEGYNKSLFAREAEDFESNVDMFFKNCNTWMRGEPIIREVDLWNLTICILRPVPPAQIFPAPAQLRKFADKIPNVAAYRADIYTLMGVGRKKTAQFPKKLMFADLRKNESPEQYNNIQPWTLTSSSESESENDRLPKFRRPHLLAVLPTITMQKAILDSMIQHALLHLSEIDGDTVFRYGETNLMTFLASGILSQFICSTDDRLNFLSHQHRRHSALFDKLFELKMLQTIPSEDSESSESPCGFKATSFHPELPTIKKTTHEKKLADVNLKLGLQYPVQILPRKNLSLSGKEVTSENGLGRSLVDYACFLTQIGRQPNAKGVEQVVRSLWPQSADSIPPDVLSDHKIGQIPVDHLESIFQHVHKELQSTQIAQAYCNELKIKRVV >CRE09436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2116476:2117128:-1 gene:WBGene00080918 transcript:CRE09436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09436 MSFKQKRLLSEPIHEFAICVAPLYGKEPKWIQIVEFIEHHKMEGATLFYFHIGNISDYDRKVLDECENNGDIEVKVLQEKYDRPFYAWQLIEIQDCHMRARYHSKWTAFIDIDERISITQNGRILDFLNSEDNGKAAEIQMPILNIPKYEDAPLRYQNEGQVRKERISN >CRE08708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:321433:322499:1 gene:WBGene00080919 transcript:CRE08708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08708 MVDLCPITAAIIVGAIALYLKNFFSAPSIKPKPAIQKKDFKKDRVYLYQFKRLKNCPNLSPFCMKIEILCRMYKIPYEIVECTSLRSRNGLLPFIELNGEHIADSSLIEMRLKSHFNIPSLPADLEAQSVALSKMADHHLFFLLIRFKTAVNAFYETLIGGIGLPSFLTPVFVPILKAIFGKKVYARCAGAIGEFEWSELDELLHKDLEVVQNSIKGKFIFGDKMTPVDATVFSQLATVYYPFRTHISDVLEKDFPKVLEYCERARKEVYPDDFTV >CRE08790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:697058:697916:1 gene:WBGene00080920 transcript:CRE08790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08790 MKFLLIGLAALQLAGSVLAFGDEGRSQFYESINFQRRRLAKQTNIANMNEIEYDRDLEKIIEKELAKHDGCPETSIVKIGDVQIFLNIQGNNELLGELASGAGRTKAAVRFTECENKQILSAAADISPYPDIHGPPGSQCPPGGEPGRSGPWQVGMCVIRKSYARKIYHNYMGAPNARLSVLGSPNTRSRLYNRKSLIGDISNRAKEVQEVMEEAMQRAEEEKRQQEKEAIDRAVGEVVEAMSKAVTEFLENAK >CRE09343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1386807:1387050:-1 gene:WBGene00080921 transcript:CRE09343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09343 MQGLFSLGGSLAPVIGSLSSTALFQATGFRYVMVYQAGILVIGAVLVLVFYKRLVPLKLKSIKKT >CRE09027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2145001:2146245:1 gene:WBGene00080922 transcript:CRE09027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09027 MIMTLEEYYLVNYSQCNVPYSFLASWQGLAYPSHVIQSVSFALEVLTIFLIMSKTPVQMKTFRYQLLFGYFWCTLINLLACSLATPYVYLKFRGGFGVGLLSWLGVPFKYQAVTGFFALSCATSSYIFLFEGCSSSLQENKFRITRKCFRIVYHSLIFLINSSTFFIFFKSPPDHDSTKLNVLELDPCPTSEFFNSDVFIMSTDQNLIGFYVWFLGPFILLNATGHVLFHAACTIYYLFIAPSKLVSTQTQRLQRLFFIGAVFQTGIPLIFVAMPALIVAVIYSINCACQDIMNLAVLIVELHCIAVSLTILTVYDSYRKAMVQMFFGCYSKSEKELSSSKIYMQFSDLNTSVQVLPNFRANRNNSRPFVNLSH >CRE09406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1957087:1959563:-1 gene:WBGene00080923 transcript:CRE09406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09406 MQAEFCDGNLWSLGTTVPCKPDIHRTDTNRLAKSNPSNKSTVSEKNPKEAANKPK >CRE09440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2153295:2153727:-1 gene:WBGene00080924 transcript:CRE09440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09440 MTTEVSLPQLPDNALSAIMEKLDFRSILSLRKVSRSFHTSIDKLKPDFPVTHLLLSFNCLRISPFINIKYEAQENGLLRTLEIFENGASSFEKLMEEGTVSDAYSKDLTNILKYRKVSFGGNLHRYILP >CRE09243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:873507:875197:-1 gene:WBGene00080925 transcript:CRE09243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09243 MIIVLLLTTFLAVLIVRQYLKAKKLPPGPTSLPLIGNIHQLVYYIWRERGVVRAFTYFRKTYGDVFTLWFGPIPHVSICDYETSQEVFVKNGNKYKDRFLPPVFAHVSDNLGLVSANGEVWAEMRRFTLLAFRNMGVGRDLMEERILDELDARCAEIDADAVNGKTIVHTSDFFDLTVGSVINNTLVGKRFDAHNKQEFLELKALMESTADLFSIFDLSLPVWIMKTFFPNRYSRIIEVQQKVLNFVSREAMERYNKWKSGEYTVNSEDPQDFVEAYLAKMEQEEKNGESSLYTMECLKHVIGDLWFAGQDTTSTTLVSGFNQLVNHPEVMEKCKKELMRLTENGSRPLRLKDRAESQYLNAVIAEIQRHASILSVNFWRINHEPTVVKGYPVDSGSVITAQLGALHVNNDIFKNAHEFYPDRFIENEKLLNQVIPFGIGKRSCVGENIARSEMYLMIGNLILRYDIKAHGSLPSTDDKLPYSAGKLPDKTMKLEFVRL >CRE09202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:670470:670987:-1 gene:WBGene00080926 transcript:CRE09202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09202 MQSSILILLALVSITAACPGLFGMMGGGGGGCGCSPPPPPSPCGCGGRKKRSLPDKPTFFGIAAGDDDNMCNNPELKKIISENMQSTAVHSSKAVNGALERKQLSRFIVVCSENPFVFTVRADTVYCGTRKNDHNCHAFSM >CRE09464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2255692:2257607:-1 gene:WBGene00080927 transcript:CRE09464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09464 MASLRQFRINIFRYRKCLLFPVVTILMLFMYYYKNSMGDRNITDVFHEKPKIVPKVSRNSIPKLLPGVLNDPTRILNRKLPVSHVYIVSAYYYPHSQALGKNAVVLNSIVDSVNFNIEKSTFNVVGSNETQEKSSSAILQSEGTDACRYASVVATTNTVDKLKKLQLESEGSTIEIPFKLARYSAPKPVIICIAPQYVAEQWQIFMIQAHVAHRFGALLHIYITSMVDSYFKFLKEYERQGYITIDFWLRMKFAESVTSYFEPNVNAEFKNQAGAYTDCLLQYKEAAKYIAFFEMEDILFPTIYPSYLREFHTRFSLDANTSSLYYLREKHEFVKSPHILEFSFYDVLGSLRSSTKFGYGAVVVKPYLHNSIGISGTRNEILENRQTIHNSHITHIQWPLEKNRNKEITELVEADFGPFTGKIRPADIIAIEKDITRIKGNPEIFSAAQELPSADYYFPIISQCKKNILFFESESNERKCPNTESCELSQNEDVKCVHSNAEYFSGHHMEPFTFHFSNNSFWSYEIGCYQ >CRE08711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:332726:335567:1 gene:WBGene00080928 transcript:CRE08711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08711 MRQALSTHSSEDNALINFFESSMKKIDGKSNDVAIDGDQPMEFAQILKTVDITLSVLSFILSNFHFVVLTRKWMRSNLMNIFLLSICICNLIFLITHLLLSSGNSMPGMDECRTSAHLFIVYCDWFIRFLYDSIRRCSVYLCFAMMMLRWISIMYPKSQKIKTISNPIFGVLVILGVMMICCLWDSSRWLQYSIRNLKERKDCFGQMIENNRYEYHSSSKHRKTLQSLAQMDGVSHVITCLIYLFTFSGLVVQLHKIKNSRRNFHKKEVDKNSIILIFATSFFIYELFGGSVFLMNKLAPSLSLNSHFIFNQTKCFSSKIFVICSLVQCVIPYILSAEFRQTAVRTFLRRSKKMFKHTTIHVLDRGIYHKIAHSFLFALLFCAMKNQHPLPTSEDYDPSDYYEDYDLFDKNHRKITINWRLLMSFVRVIYIIDTTVTVISIITNALHLIILTRKSMRNNFVNIIMIGICFCDLLMLSLATFLVFGDSISGLDECTTSESLVAIYVDWVIMVLFDITRRTSTYLGLFMAFLRLLVVTFPMSEIMKSLTKPVSAVVLLMSVTTICAIWDCSYWMQYSIRNLKGKRDCNGKKIKTDRFEYTNLPSQDAIIEFYWTMDSVSHLINSILYFIITVCLVIQLRKFEKRRRDLHMKEEDKTFIILVFAVQFFICETYYGTIFLLDTYWSPKNVNVFCFPFVINRISFTTATLFAINSFFQSTLPFFLSSQYRQVAKQVFWKKSTKVQIVSVSSNKSLPTHPKSFLRSRNTTT >CRE08649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:76731:78007:1 gene:WBGene00080929 transcript:CRE08649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08649 MPKKIQIDEKTVIKHSIRERQVDFDGFLNKKITNGVLSGGIKWRLDVFYDYSMFREKKIEVEASIGNEQSDGKKSEGDEEGKVEKVEEKVEEEEEEKEEKEEENEERKDKKEEKIEEEEEEEEDEEEEEVELRLFAFAKLTFDYSETKKFGIDDMYCNGFMSFYTKSADGERNIVNNILIDQSGLTGFNPGYMIETVEKLKENLPIYCYCDFSLKFEKETLPEEVTQRFGKGGYAKNIEIYAGSKLLLVRNSIYKSSKYFKRMYEEIDNPVIIIEETETITWKKFCKFLNEFYEFESHIPTSEDLDYFLEYGNIFETTILLRKIKNLLTKNCDLGVGDVLYLADKHGLNKVLEKYMKLITDLDHIRKITESAKYYQYSGALNTLILRKLSPLVL >CRE08660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:140154:141472:1 gene:WBGene00080930 transcript:CRE08660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08660 MITTTASNIITETTNFKVKTTTTSTRTPFKATSTTLKPTTTATPQNLTCTNDFTMVHGKCWRWFNENSTRADADQKCKWHNGATLVSIRNYEENQALADFIKEKNIDSLWIGLYCTEWTNIDSCIWDIQAGSAANYSSFGEGYPNADIGKCVYYTGRGNNTGKWINTLCNQNMTYVYSTCDFNYNSHCYSPFPYRKYVTDAQNFCVSRCSNLVSIHSANENRFIQSMYPDVPYMYTMIGALAPSLDYIIWIDGSESDYNNMRQTTESPRGSCVAMATGSVDTGYWFVFPCTEYWYYTLLCKRPAGIKC >CRE09313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1270028:1271224:-1 gene:WBGene00080931 transcript:CRE09313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09313 MFSTDKINISRRMEFLKIIDEGGDLGEYTGRVKKAYVDAKFNEMSSEQVQCLMWIKGLRTNGTVDIRARAIQIMETRPTTTLIQLEHEIMKFLEFREDAKNIGGCRTSEEQKRSSQRHLLRKEKQRENEGSSTVEKDDSNEEMPTRNHNQRKKKCHRCGGEHNAMNRWSDRSGHRVNHVVAKTPNRRRDIYKVNGIYVKESKPRKRSTEFVKNGSMKRHVRRWTDEKFVKIQRNREETGKERCKSSVTRSPNPGRKEKVGAFERHDRWEKKNPKENSRMQHELKVSFPETSTPSLPIPPQPSFAMYPWRNNFGCSEMTGRYVWIPNCYEMRMMSGRDANWRGFDQNDVAPVDCRC >CRE09009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2034497:2034712:1 gene:WBGene00080932 transcript:CRE09009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09009 MDYKDNMEVNIFDSSKERNSAENVFKIEKPSEKDRKIAEKEKGCCDKKKMECHEDEPMDKRRESDVRNKEM >CRE08641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:8125:8691:1 gene:WBGene00080933 transcript:CRE08641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08641 MTCLVCGSRQSEPHFGGNSCRACAAFFRRYFNSNKSVIICICKTKKANSHPCRSCRMAKCFAVGMTPLKIHGQRDPNGSSPRKLEKSALFQNRRPIKKSEAHGRIGGPWQNRRPVKKSEAHGRIGGP >CRE08689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:263271:264724:1 gene:WBGene00080934 transcript:CRE08689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08689 MYNPSITSHLTCRVCGESARGKHFGAVVCRACAAFFRRSVSSPLAKSCKNEYRCNSFKKGYFTCKYCRLQKCFRVGMSSENFQFDRDLYFSHQKADLLKGKVPQSMDVFCGKSNLIIFCAPGSSSSPDPTRNFIDLQFLLDSALKIFQKGPASPVHSKNQLSKLSVSLPFVRESQLPYQSENMTYGQKEALDLLQFNILKLTKWLTYFDEFQKLSDTLKLKMLQGTWSIWTRLEGLANTATSIKRKLHEETIKQMKNDTLIFKRDSMKLDMSWCSKYALEELKFFLPIPTETRLDELTRAMIELEPSDVELSFMLGQLCFHYVGKKFQGEILQVAERFQEMLANDLHDYYVNDQKKPHYVSRLASMMKINNQIQKEMYKHRERVDVAMVFDVFCVDVSHPDMFLV >CRE09255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:938131:938367:-1 gene:WBGene00080935 transcript:CRE09255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09255 MIYSLLFAYFVPIHYSFGTTELTMVLVIDKPLPRFLVRFSISILWAVFGATQSIYAVHFIYR >CRE09396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1836781:1837753:-1 gene:WBGene00080936 transcript:CRE09396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09396 MKFLKYSRLELSQTSKKLSILISQAAKRQFEVILDCRPRCLTINTPRKSYHINLDKKVEGVMNIGSYKRHTSADEQKMTLHWKKHWISLFYQIVRIFKCPVTAFYTGSFLSLNEFQLIMKFIMRRQSEIQQLHIRKNNIEEKFMLKILNTLAVRESLFLNSNSLPQNFHYKYGLNSISFWSCKWFTLNSLLATRSVTIELLGSSLTNEDLNVFLLGWKQGKYPRLAYLSIGSDSFNDSVPILGMMPPIRNENPNPEVIRMSFGNSVFSITGGVQIRRDDGMAAWIKFGKDDGLSPNLMKLSLIVGN >CRE09004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1975122:1976318:1 gene:WBGene00080937 transcript:CRE09004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09004 MCQLIRTVPKSKEALYNFSVWITSDHAINLQFTYFPSELWVFYLEKYPESKHTKRNKFWSMLPQKFLKTCYSRKPTKSLCQKMAEPIEGIHFSSWNPSVETINYWLNDGTELTSRHSLDLHTSDNLTLATRQLADYISEIFNENVNGFSLEWNRYNSKENQMIMDEFCNHPVKDVEITGDTSNDSSKHDVLTLILKNLNGKRKFGLWINPSSDFSFDFGQFKNSLETLDIAYSHWITIQNILDADFKLLIDKWRDGWTPNWKTMTIELNEDIDVDTCVEGEYIDLEPKDYKSKKVVCGNFPIQLNRFEGYFENEWGTVFMHGYHILRSDGFIATIEILDDNYRLGWFRIA >CRE08887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1244578:1245713:1 gene:WBGene00080938 transcript:CRE08887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08887 MFLTWEHFVWSSQENMPLLVGLGLFNILIILIWFISVVPYYWIFNKNRDNWKELSDYPIFLHANYILKRYFPIFQVISVAQLVVFGFLINTDYSSLLLVGFGVPLVFFSYVIIVFRQVHQALIAFSFVEDVVWCREEPLSKYEIGVKQIEKKLWIRYLWKIFIAKDFILITAVYFHDRNYSFYYTQLISISIIDAFVPLALFCWVFKKLLNRGLNDPQRALNPLQRQIKYQILTITAIQIMFWAFTVILNYFDCLPDENVNASILSLTGIISHYISHYMSHIIGFILPTIIQVTCWVDNMSKSRESAVRSTSPGIYSIS >CRE09318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1281441:1282335:-1 gene:WBGene00080939 transcript:CRE09318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09318 MIQIGFVILTGLSIATNSTPVKKDVDTNIGHCLSSFYAAAYHKSYNCTSGFEYLSNNCALQKAAFTDGKSCFLEVAKDVCVPTEVLQINAEYNSFIEILTEKSSNETNCSEPYYQHSALKCFPVLQDMAVKLKDVVDVHTEINDTRVLKLINLCEQATACIKQTCLKETEQTRIIDTCEMIKMKNSEFIACNHKIQEKLADLSNHTCLDDFDFNLETNELTVELYSKKKECTVKIMRDSCGESAVDNFDYYADLLVKQSSPVHQLD >CRE09276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1048681:1050027:-1 gene:WBGene00080940 transcript:CRE09276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09276 MFFLFLAIVSTVSAAGNTCKTGNVVNRLVNSQPYYWPTTWNENQTTPSLAKDQTCSWIVTVPKGYYAKLSISGKTTDKDSRFQMIDSAGNLMQTTSEEMQPYYFPPAKFTLAVSNKAVATFAFKIQWFLLPTEITSYNSVGPQVTLINATNSLYYRTYYAPQGVSLLAFPVSTTSYYSLRSTLVYQGSSLDGTYISNLYSLYQTNNQWISKDDTIVLVNLETSKNADLLLIQEALRIKDITFTELIPTVNSKVSVTVNSTKKKTALVAAYQVKQTLTDVQMDTAAYVTIYYGSLDPYNFYKNFTKTQFQEVLPVNFDNSGGNVYEFYVYNGKATFTFQF >CRE09191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:624251:627046:-1 gene:WBGene00080941 transcript:CRE09191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09191 MILLLILIAGFSFWFLNTWRAISKLPKGPFPLPLVGNFPQIGYYAWKNGGLVGGLNEIKMKYGKVFTLWMGPIQTVHVADYDVAYETHIKRAHTFGHRYSEGGMDYIREGRGIIASVGDLWVEHRRNSGNFRRGFGALYRFDDFKKTHWKNEAVQVQTNTFFDYLVGSIINQLLVSERFEYGDQEFEKLKKYLTQTLENLSLLDAFAPLWLLKSDLMKWRTKTTLAPFDYIFGLVKKTIQKRVEAIDKGEHVISEEGDDFVDAFLMKMKKDKEDGVNSTFNLESLSIDLYDLWLAGQETTSTTLTWACVCFLNHPEVVGKMRKELGHVTGGHRSISLTDRAHTPYLNATINEVQRIASILNFNLLRVLKENTTIDGQPISAGATFSTQLCLLHTDEANFKNPTEFQPERFLENNNLEKKLIPFGIGKRSCLGESLARAELYLILGNLIMEYDLEPVGVKPELKTPSPFAIMKRPPNYDIRFVPRNR >CRE09467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2304751:2307014:-1 gene:WBGene00080942 transcript:CRE09467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09467 MSCTYRNNYFESKEFLNLALHSLSVVQAPIYILGAYIIIMKTPNKMGKVKFPMLSMHLIFASNDVYAATLALPRMVFPICAGYSTGVLSSIGVPIWIEGYVGLTMFFRKFSEFRFLFSIFGPAITMFFENRYNYLVRLDCDTHCRRFKRAVHYFINYFIALNVLMPSFLNLPDQSVARQIALKKLPCLPLEIVNHPRFFMIGNEDLNFVCTGLFGIFIWTQIIFFVAKTVNFVFRTKAQSQQTAQLQRKFFRAVCIQIAFPFVVIMIPACYNLSATYTNNYDMAFTNVSVIVTTSHGLFATIIMLLIHKPYRTETLKVLGIVRFYKSNKLTVVRMSISVNKNKIH >CRE08973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1776072:1777143:1 gene:WBGene00080943 transcript:CRE08973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08973 MCFQPSLIDLPYVVITKLLEVADFRSILVLQKVTSGLRNFIEDICPETHLKSISITLSLDSIYISMDPFLDWSIDVEYRKQENGCSVQYGMSKEHFLMNHDYLSIFLNDFQVNLKNQKSILELLRISFEYFLEGFLLGIKEVLESRESPLKVRELEFEVKNQEEVMSVLPYVDSKSLHKISISSPDYLIDLKMDQIVQLEQWREVKELEMFNCVVSEPLTNFSHFRRAKMCVQSISSEDLFMLKEEFLASPNFESSTIKYKLFEENIFLTQLFGDPVIQGRDSWAFPCEDSDQSIQLTHYRNQTFCFSRVKSCLITCFYDKLV >CRE09453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2212620:2213386:-1 gene:WBGene00080944 transcript:CRE09453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09453 MPLSIQCYIGLTLFLLYGPAVTMFFENRYNYLVRLDCDTRSRRFKRAVHYFINYFITLNVLVPSFLNMPDQSVARQIALKKLPCLPLKIVNHCKFFMLGNEYLNFVCSGLFTMLIWTQVLFFFAITVNFIFGIKSESQRTTQLQREFFIAVCIQIGFPFVVVMIPACYILSTTYTNNFDMVFINFSVIMITSHGLFAKIIMLVIHKPYRTATLKILGINRFCESNKVAVVQMPPYATYN >CRE09079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:25123:25808:-1 gene:WBGene00080945 transcript:CRE09079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09079 MDAPKDLVLNATYELVGLNGKDRETALKNPILMRIIMQLSSEIMKNSKSEKSEITMKNSDDGREFLPLTDLKKVIAKKLAKLYGEVFKVRSDGFIGIMEDEEKVLKFCEQIKKESAENVMKLMALKEVIFGNIGPEKKDSETKESASEVKKNSSFYSKAREMEENKEEIMKLKEYEKRLKTVVENSSEVAIIWK >CRE09099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:172087:172634:-1 gene:WBGene00080946 transcript:CRE09099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09099 MLPLIPLLIGGLNIASSFLPGAPPPPVQAPLPPPPPPEIIYVTEQPNAKETKTIYITHPPQTTTEESLTKYSIILLVIIAAMCMCSLAGGIGFWFYQNQKMEKEKRDNEMRGGRGGFYDEDYMESGGRRSGRKGRRGRRTTSSGGGRSTNRTKTTKTGRTKTRY >CRE09444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2165465:2166657:-1 gene:WBGene00080947 transcript:CRE09444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09444 MTPSLVILFFLRRPFIMTDNSSFLSEYFKTIYPSKCSRDERYLASKDGLVYWSRLITLFSLPIQLLTTFCILRMTPKSMSFVRTSLLNLNSWCILIGLITSFVITPFSFLPYNAGFTIGLASDLGVPLAVRLYFSFTATFVILISITILFENRNSMITCNIFAIKRSGIRIFWISLNVLMSILLPLPVFLNLPEQSTAKLGILKVLPCPAREFFTDSFLVMASDPSWVSYFTYSLMTVYFSMLLQILFFSICCIYYLLISKNSQISSQTRRLQIRAFFGLLGQTFIPIVFDALPMTFFLNRQNPDQYDQYNNNLMSLSVVIHNGATSLCILLVHHAYRKFLVSFIWRSDQIKVTVVQTASTISSKT >CRE09299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1224289:1225374:-1 gene:WBGene00080948 transcript:CRE09299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-276 description:CRE-SRH-276 protein [Source:UniProtKB/TrEMBL;Acc:E3LI23] MESCKANVGLIATPEYLSSALHFTSIISTPIHFFGFFCILFKTPDHMKSVKWYLVNLHVWIVLLDYSLGFLFIPYLLLPFLAGFPLGILRLFGIPTIYQCLFLILVLGYLLTSIVAVFENRFYVVCSFSGKESWKFWRRYWLAGHYIVTFLIVLSLLAVVPDQKSARQRLLEKIPCVERYAYEEELLVFAEDPLLCFILCVVYAFLMIGQPFLFVGFLITYTFRQLKKRTMSQKTSTLQRKFLLALGIQMEVPLVMFLLPFIYGWISILSGYHNQSFVNIAMTIGAFHGFVSTVVMIFVHYPYRETLFNMFIETRMLRQKLVEKEVTQRAGY >CRE09124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:317574:318835:-1 gene:WBGene00080949 transcript:CRE09124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09124 MTRKATIQTCQVCGDISRTTHFGAVSCRACAEFFRRKVVSNEKLVKRCSGKCQLNRRSRKTCKSCRFERCLEIGMLKTVVTSNTTLQTDDFSTEERNSTLIELQNRYRQLEDDRKRVFGRQSYKQSRYSSYDETGEVIAKDMELISKHIISYFKTRQSVDECQEHILADNFVIPFILLETSFRSIGEISTLYCSKYQIDLGVQSLILPTGSVVDAAQLDKFYLDWECDEQPQRNTILMLQPYWTTSFRLLKEPMNRIKLDLAETLLLSALIYWDFGIVNQSEECIEKCLQMRNVINWELVNYEKFKYGIEDHSLRIMEVMSYLQGVQKASTAIKDGGHVAKIYNLKGKESPLYGIADD >CRE09071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2384982:2385834:1 gene:WBGene00080950 transcript:CRE09071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09071 MFFPKVETFSVPGRTFFMKSFKKISFRIEPGIQNLYGTSSFTTTGLLVKGTDQESSATYRILQDSSDYTGVTGFNTVAINNKHNTQVQVAVYNNPPDNSYYNTTIIPGNTVMSWSIPFVGDKLDIKSKRDNTSTIFTQFYIIQGPAHLTTTTQTPLTTTTQTSTSTTTTKVPATTTTMKTTPTTTKPPQPTTNTSTTTTTTTTTTTVATTTKLSVVSNLFVPAFVTLSFAFLV >CRE09340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1363120:1363976:-1 gene:WBGene00080951 transcript:CRE09340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09340 MIFLWPKKHVLEDQWSWTLTSYGRRAQWVDADKQPEDVIKQEFNLKKILLSVWWSVHELLYWELLPEGKNITADYYSSQLQKVKSKLKTSPLHGHGVHYLHDNAKPHTAKTIKSLLATLHWTVLTHPPYSPDLAPSDYHLFSDMHRSLRKGIASLPTKWQTVVDKGGHYV >CRE09193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:630147:631930:-1 gene:WBGene00080952 transcript:CRE09193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cyp-34A10 description:CRE-CYP-34A10 protein [Source:UniProtKB/TrEMBL;Acc:E3LHE8] MNGLMSYQIEKDLECIKGRPGWFFSSLIKMLIILLLVTFLAVATAYQWKRCQKLPKGPTPIPIIGNFHQFIYHGLKLGSAVAVYHHFEKTYGKVFTLWMGPLPTVYIADYDVAHESHIKRSNIFSKRFATGTMNYIREGRGIIASNGEFWQEHRRFALTTLRNFGLGRNIMEEKIMEEYRYRYSISDETTILKLPFFRIADFKKTNFKNGAIEVHAGSFFDLLVGSIINQLLISERFEQDDKEFDQIKTALAESLENFGIVDIFFPTAFLDSPLMSWRQKKIFKPFDFVYEVSKRNIAKRVAQVESGDHVIEDGGNDFVDAYILKIQKDKRDRVKSTFDYETMAIDIFDLWQAGQETTSTTLSWAFSCLLNYPNVVKKLRAELMKVTGGNRSIGLNDRPDTPYLNATCNEVQRIASILNVNLFRHIEEDTVIAGQPLSAGTAVTTQMSMLHTDEKVFKNSTEFDPERFIVNNNLEKTLIPFGIGKRSCLGESLARAELYLILSNLILDFDIEPVGSPPQMKTLNPFGLLRRPPVYNIRFKPVKL >CRE09419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2026335:2026607:-1 gene:WBGene00080953 transcript:CRE09419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09419 MSFMDRIEDKMSNAYVDAKLDPTDKPQAPEHHAEEHASGEHYHGGSRLSDAFISLSNAIHGDTHHKTGEAFNEDDDDDKMRKMSSDGKEW >CRE08910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1375692:1375981:1 gene:WBGene00080954 transcript:CRE08910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08910 MLYCIRLGETHDIMSYTYTAEEFAGGTKIRFKNALIAGLATEFFSICGSALNFIQFVMIRRLKEYVITNNQNKKDSPLVF >CRE09151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:446033:446488:-1 gene:WBGene00080955 transcript:CRE09151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09151 MDSEKFVQDLKDSGWLRELGAYLVAELREQLESDRRAARRAVRKERQEIKRRIRSQKKTWEMVQEELQIARKRKMMSQRKTRKLYRRKMKKAKDRHWVKFREMKYKFVSQSRVIMRPPILCVSPGIYRVWSLLLTFETFFKAKNKKRKYSK >CRE08700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:296804:297173:1 gene:WBGene00080956 transcript:CRE08700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08700 MFKLLLISTCLISVAFSAPHRPTGDEYRAELVAAGLSTSAVDGIMKISEEAYISFSKYGKTPNFQDAIEAVTKLMFNLEDFIKTQSKEDQTKYAAYCEKKKEEYRH >CRE09348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1421257:1422653:-1 gene:WBGene00080957 transcript:CRE09348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09348 MPKRINTIEEAERLFPSFKNAWFLYKLFVVLEEYTQACVKYEFFISIVGLTFTLFHLYILTRKGMMTSSIFSIMIGIGICDATSTMIAIYVEHIIEQLYGDECTPPVSLFILRCYWILNALRQTVIRSSLWLGVLMALIRYLSLKFVTRPKFQRMLRISYGFYATGISFVLSSVLSTLNFVKTKAVEYGFWSPSEKCDVQIENGSTLVIYGLRDSDVFSANNGFYLRIFTLVNSISSKLLPCILFPVVTILLITEIHEAKKKVLTSSLTMYYLFFCCLKFRVSKFSSAERTTSLVIFMAITFFIASFPAGVFTFFSVMNTDIGFWSLSVLVDHFCNAILTTNASIHCVICFIMSSNYRNVVRDILRIRLKRQISHSISRNITQI >CRE09127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:327636:328169:-1 gene:WBGene00080958 transcript:CRE09127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09127 MSSTIQSTSDPHNFWYIMGDFIGPIVFYIDYINLVSSIIGVAANIFHVIVLTRKSMRALTINVFMCGIAVFDLERMLSIIILFLPKFYKFYQESQMPEYCIPPESYFTMLSNQFFSATFKISQEISVWLGVTMAILRVLVIRFPLNPK >CRE09031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2157252:2158410:1 gene:WBGene00080959 transcript:CRE09031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09031 MSSNLSLINLPDTSLNNVLSYLDYKSTQSLRKVCRNLRNFIDDVIPCSSVIKISLRINSKFIVLKFIECGVLMEIEYRYHEKGCVVIWSKGEEKNEKLMEDQEFVRMFWNDFEEMLKHQKSVLEEFTIVFMHFDAENNLQKDEEIYQVSTGFLELVKTNLSARIHPLQTKFIQINALHQNEVMSILPYIDSRKVERVTIMSAKGSTEIKFDIPEILNLEQWKNSTRSGIFKSVDLSSPSDSVDQTIAGKLHLVALDGGSVVSMGSKFLNSFVFQFFEIYYNESMGSGSLTGQLIEKLGEPYKDGIHHSKSTWFFQYDGADNVFQLCNDSSGEKVVSYKRIRPEEVPDDADILG >CRE08966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1698684:1699021:1 gene:WBGene00080960 transcript:CRE08966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08966 MKYYCEFIQLSNCNLFMKPDEVDKKSAKINYNFSKNSEDPYVGAIPQIMVISPREFTPRHASSIFNIQISETLENHTCSFFLSPNDRYM >CRE08642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:9889:11039:1 gene:WBGene00080961 transcript:CRE08642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08642 MTFSIYHGPPILPWASDSATGLLFFYGPPILPWASDFFTGLRFCHGPPILPWASDFFMGLRFWNRAEKSTSPLDLKFPPLTTHISPRHTSNISSSLPNFVEYEKKRWEIFQRPESMGLYKATSFTKQDFDLTWEFVSEIFPEFQKLLANDKKAMLNNFLIKIWNIEPVLDHVAERVKYEKMNEEEMMNVAFPMYDGSFLEGEEMGKDEIWRTFGVHWNRYFSLLIDPLITLALDKMEMMAVIWILFFDYAYINISQNCSDFCWNMRNVILQELKNYEVEKNFTEEQAQFRFLEILEIPLIVERGEKQFHEEMILCELYKLRMHDDFRAMVKKQRI >CRE08933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1450491:1451608:1 gene:WBGene00080962 transcript:CRE08933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08933 MKLVLFFLIFCSFPPINCDGMPFYLEMLNHKTKNCFLKFFEAVVLKEFECSSRFEFLTKDNVKKRESFIAGKECFLKIVKQKCHPDRHNTFAYYYEELVDTLTFIPAHSGCSETYYRLNAQRCYAQKNVMEQEIENQLLRLPRFKNVTEVMVKCKEIQDCMEGLCFTEDEQYEIEFSLAVPELTVSHFTVCIQTIDKELPDFSKYHCLKNRSFFRKTPEFLCERYQISKRECLRAVTKDYCGRDVVKPVEKFLDEFIDLKCKDLSES >CRE09013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2063538:2063858:1 gene:WBGene00080963 transcript:CRE09013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09013 MSESADHLLDELSKKLVDEAIPSAQHHLEQEEKETKSHQKTPPSSLNDSFADDVLDKETKLINTDDSSVSSSFLFFCLFCPSANRTISSRWGSFIFLFSFLLFFSS >CRE09054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2317828:2318414:1 gene:WBGene00080964 transcript:CRE09054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09054 MKIFSWLTLFLLLLSSPVNSLPIAAIALQAGARVFVREQIIDFLVEKVGKPLLLEVRKFVNEIIDEALSTEGIQEDPIENRTENAWEDAVEDAVEDAREDAREDALEDARDDAREDALEDARENARDYARDYAREDAEEDAREVAGENNPPENDQTARVRGGGRGGSWGRGGRRHDGF >CRE09287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1154612:1155358:-1 gene:WBGene00080965 transcript:CRE09287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09287 MAPPLSKRKLTISDDDDPSGFVPFSAYRQLHDHVGTLTNIISDLRNALLSSSAKSVIDKVSSLVPAIPTIPVLPEPQFLPPLPLSTVPGTASLTTTTIPIPSNTQASSLSPTPPTPFDIAMKAATILEKSKRAVLERFPDDPNDPNQDQSQLNFLTKLAETHGLPKPSRIHRHPCKSKFRPLKIQFISSDDRDTFIRGYNSIKNSDSTIKSIDPKPRIRRDLTSEELLKLRESRKHVYNENVKLERLS >CRE09246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:881734:881931:-1 gene:WBGene00080966 transcript:CRE09246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09246 MIFVLLLTTFLAFLIVKQYLNARKLPPGPISLPLIGNIHQLVYYVWREKGVVPAFDLFRKVRIIN >CRE09385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1762168:1762548:-1 gene:WBGene00080968 transcript:CRE09385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09385 MDLDRYGLDMTLSPPHSSDEESEDNAMDAEERTVRPSELLEIAKWKRSLLDKKQDENLKRWMMQKMLIVVLDKHVEEAKKLRRERKRRSTGSNSSSSGSTSADESDEKINLPEKTRKTSGDTTVDK >CRE08983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1853866:1857149:1 gene:WBGene00080969 transcript:CRE08983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08983 MSFRDMPAEIVEKITDNLDFRDRFSMRSVCRFLRSIIDQSYSHVKRISFIANIEEKSVISVDNSIRISFEGLVDGCHVENRKRSENIKNEYYLDVALKTLIGILKMKIRIDEFRVDIVSSRYADQISFFNKMQSFLECRKTKLPVVRYEVYSKLSESVTSILPYLEPKTLQKFHLSTRMEYKEVEKAEMRRMMDSDQWKEGKRFEFSGGPQFPVSIENLAHLDSFRVDMKTLTDADLVMIRDISRQCQNPADSIQKLCDHLFSMNIYKEKHTTQEAFENFRRIVGDDVITLQDFAFWFMQFSSGNMNVDFEIKSEIPEEGEEEEPHPSKIQKLDEPSLEIKSEHLDAEDIDESIEATNPKIRSGMENPTVCVEQNKCDEHRCRLCGFFVRGPDENKRRHAIARHSVTRHLKCKECDYRDKSKVPMRNHTISVHGRVIPPEDITDDNMKAEWKEIMEICFPNKNENMLNCAVCKLCDSRLKMPSVNRYQNLKRHILCVHCPAKQFKCTECDYVEWRKEMFKKHSIITHGRDIPPLDLLDDKMRAEWNETLAKCFPEYADLTYFR >CRE09421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2029936:2030244:-1 gene:WBGene00080970 transcript:CRE09421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09421 MMMMNSLNKKRHMESEMDPMENANVTERLDKQSGKLSNAFDGMKTQISGEGECKRKNGMCCKNDCPCGDKNQCEEGFCCGQNNEEDEDLKKRRESDVRSKEI >CRE09200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:651341:652270:-1 gene:WBGene00080971 transcript:CRE09200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09200 MNEEFYQTAFALLPISFIGVVVNWLVFFSFRRIHNLQHSFGHLTANQSLADAIHSTTFFFYFCPMVLLDQKTMKSHIHHLVFVVLVCYELSLYSHLAISLNRFCAVWFPRSLPIVFSKANTYRIIIAIWVVIGSLDIWLYEYLCHAIYDEKTHTLEFGKTELCGLVGWYGDLVKNGCTVIFIVASDVLTLIGVRRIRSFVNFSDEAQKRIYVREKRFLKQIVFQGIIFILELSTYFFVPQFTHNTLIIFFATTFSYVTVHVLDG >CRE08772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:658128:658364:1 gene:WBGene00080972 transcript:CRE08772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08772 MAVPQLYYFDLRGFGEYIRLLYLDNKIEFEDIRYNTGGEEWPEVKKKMIFGQMPALKHNG >CRE09172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:534610:536097:-1 gene:WBGene00080973 transcript:CRE09172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09172 MSISEFLCRVCGRDAHGNHFGVFSCRACAAFFRCTCKPCRLRKCIEMGMSTEKFQYNRDVIQSVENTQICVTPSMSHFVGRPEFVLFCDPSVPTYSNFNSRVWIDVQHLVTEASRLLDKGCESPIFSENQLKKLTLSFKFVKLDTENLKIFEKMGQTEFMDIIEYYFVTVTKWISHFDEFKKLDKNLQVLYLKSVMKLIQIIWHVWSKIHKCVTTAMYRKTHNNVNPTQKIMRNNVLDRERAKLDTSWMSDYPSEYVTKYMFSQNVYDFGITSAIEQLDPSDVELTYMFAQLCFEYAGKRFPGEIQIITDHFQQVLSNDLHEYYVTEQRRPRYFNRLSELMKVNNLIQRSIWETRSYRDLGKVFNVLKIEFSHPEMFEDSPFNSSAAN >CRE09146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:426051:427525:-1 gene:WBGene00080974 transcript:CRE09146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09146 MSEEIVIELHDDIEKILQTLNHLSSYFGIVALLFIIPHLVVLTRKPMRTNSTNSLMIAIAICDFIVLSVIVYRKVLVIFGVRSECSNEYTYFLQYSTWIELPLAETFGRISFWLGVFLALIRLLILKSCFKSNILSKCIVGYIIFIFLVTINSLLTSEKYLRLQIHLVETPWTPNIGCIHFPENYSEPRYVLEFPVFEEAKYHKQLYFALSGISQLLVSVLHPVLTLFLYLEIRKSAKNIAVMSEHISLERYKSGRMVLFLSVCFMITSCPPGILLFINVLLAYYFNSILMIISRYSAQIAATLFCANATAHCIISFVMSSRYRKSAKELFRAGKKTSTYRTSQLINSLLMVFQLYPRTIYCITSHLYLCLTHVLFSFDKKYLEKSDDFNFSNLKSSTERLRVNFRSSVFILMTSAENAISNKFCFFLKDVLQYVEQS >CRE09338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1353500:1354186:-1 gene:WBGene00080975 transcript:CRE09338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09338 MKLLVILATSALIFSVHCDYASGGEGDAAPPAPPVEAAPAPVPEPAPAPEPAPAADAGGYAAAAPAGGGAYPAKKRRVARAYADAEAPPAEPAPAPEAAPAPAPEAVPEPAPAPAPAPELEPTPAADAGGYAAAAPAGGGSYPAKKRRVARAYADAAAPPAEPAPAPEAAPAPAPEPAAEPAPAPALDAAPAAPAAESGGYAAAAPAAGGGGSYPAKKMMARFLRVSV >CRE09103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:191543:192718:-1 gene:WBGene00080976 transcript:CRE09103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09103 MLNATCWFEDPIHIVFAHYFCSLFSIPIYGTAFLVLLLKCPPHFHQYRNYLVIHIASGVLLEIQMGFWRVNVTFPWGALCSNGYMAEYSPNLFQLWIMLFHFTAFSTINLFIHRMKVAVFHTKKTILHKLVYFMKYAFYLNVPILFALNVLIYPDLIDQKDYKIKMEKVCLCVCLSNSIFSSLQSRGKFPNFMWCVNCFFMQFDSWIFIVFYIFAYSAVIFAFLAGGLAAYVTIRSLRSINLQLSARTVSIHKNFLFSLVVAAFIHISFIFIPLSIFFAANFFVLQLSSISHYLTLVVQEHGTASTLTMLVTNTLLRKEVLNTFSHPFSGLKTRNDIPSILISYAP >CRE08894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1304355:1305466:1 gene:WBGene00080977 transcript:CRE08894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08894 MVLIFINYQREYCYDGGDFSAGTRAKDNVLDRISLSTFSKYASAFISLICQIWFMVSVLKKKSKEKNSLIVLLIGFSFAIIFQTLILFLHIPLLLTLKSSHYGTFGFNVNTWLHISLYIDFVSYFFYISLVFGMIVNQKSRAIRRSNSAAACILSLCLTFALAVSMISIEMSEIVRSFSKVFVHTGKVKFYEQMISRMCYIFPVASVIIYLIYYRKKRKLAEQSALTMYPVYKSSQDNIIFNIMITSVIYGVLLIVHDVTSIFPSDESRCFGAEYTPTVQLFNFVPELFLPISFMISTRKSWKKVGIEGSILVIRISTIAVH >CRE09221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:756010:757110:-1 gene:WBGene00080978 transcript:CRE09221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srh-231 description:CRE-SRH-231 protein [Source:UniProtKB/TrEMBL;Acc:E3LHK9] MITCSSSIFLDTSIFLTLFLHGFGCMTIPIHLFGAYCILFKTPPTMSSIKWNLLNCHFWISFVDVIISLLTTPYLLIPTLSGYPLGILKTLGVGTNEQVFFAMSTLGTLGVSMIHLFEARLLSFLDHRHWWRKVRVPWFVSHYVYGLVFITPVYLSAPDQQTAQKLILQQFSCIPDYIQVNSIFVFALDTTIPMITFIFSFCSLALEIFFSTVITKFVLDQQMTKNVSRNTMKMQRKFQRALYFQVIIPFAVITVPATYLGSSIVFGYHFQYLNNISVIFVSFHGILSTIAMLSAHAPFREYTLSLFNVRIVRKTEAGSFARVGNLVHAGPTS >CRE08774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:659593:660717:1 gene:WBGene00080979 transcript:CRE08774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08774 MYISGIIVNVVSLIFPATVMVVYSKLFLSIFCFKTLRRVPEQSLFYFRFGLDTIYNFSIFLNLFLILLSLTKYEEDLASNGRVVFFIIWSVYVIGMIRDLLALVIAVDRTFATYLPVTFYKYRKVIPTFAIITLVLSNFFPDIYVLYIYCENGIDLPPGCVTMLCAPSVCYISYWLNYESANRLVLIDAFILILFNLTPTIILSLFRDSAEYLGPLNAFFKTFGFVVESHLVSINLGGKAKVMRVTSSVLI >CRE09455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2216950:2217396:-1 gene:WBGene00080980 transcript:CRE09455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09455 MVGNEYLNFVLIGLFIIFVWTQIFFFFAITVNFIFGIKSQSQRTTQLQREFFKAVCIQVSLPFVVFMTAAGYILSTIYTNNYDMAFSNFSVIMISSHGLFSTIIMLFIHKPYRTETLKILGIKRFYKNNKVTVIQMSSSVTHNGFSSQ >CRE08717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:364885:365127:1 gene:WBGene00080981 transcript:CRE08717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08717 MKMSAAIAEIVSEFSGFQHIHTSKSASSMTSTPPVSRKTSTSSESPAPQNQITRNDSSNSLNDFNCVQRKNSSASYLVIE >CRE09217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:745474:747988:-1 gene:WBGene00080982 transcript:CRE09217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09217 MHVNKLLSFLSFSLLGLSSGKNILIFNPIFGFSHVKFVSFMADVIADHGHNVTLFQPFHNAMKNTEGLVKNKNIEFRFFLPMGSPVSIRKCTICQRKASGNNYGSFSCDACKMFFRRTIILDLHFRCVKKQCCFDSESWSNYFKQTLISLDLTEDSKLPHCKSCRFHKCLETGMFIKPSVLLKMSCRNEDTMESVIGQLLYLDSRRSTILMTKFSFENPRLEEIVKRRKMEIVAQKILIFSDASYQMNDEDWRFFGMFTTVEFLLNLDFMEELEISDQMNLLKSFAAKATLLFTSSRTIREKHDRIKTPGGHEIVPDVLSTLFNVSLNFLSRIRSLLVNKLIELNITNEEFLLVTVILFSDPAISTLSPNAVAIKTARRASYTSALFQYCQLTHQQTGHTRFMDLLSLCHVVNKNIEDIQSLTTIVKFHLKIGECKNLP >CRE09352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1441796:1442895:-1 gene:WBGene00080983 transcript:CRE09352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09352 MYSKLVEMPDVVLSTILQTLDFRSIQSLKKVCRTFRNFINEMKCDYNLLGVSMFYSSTIIELWLRTDDRYLKFFYQPEADGCLMLEERRLEPPDRKIMVQSKDFVDVFLGDFQNLMDHQKDEMADKWMKGIQSVLKSRPRILRVENLQMLILSQYDVLRVLPHIHPMFLRRIWLNHTVDWPQRNLAIDKVVDLEQWKNSYEVGIYRCVIIESIRSFTHFSKVELVLKECCLEMLYDLKKDLCNSYYFERFKLYIDMNKHKLPRKRCFTRQFDCDQVLEVSVYDMNFSRNYKRIIISRLPLIFVPRKVVKKKMIR >CRE09226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:768982:769104:-1 gene:WBGene00080984 transcript:CRE09226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09226 MKINNTIQQRIHRDRVKSELMDIFDVFYADCSHPDLCISC >CRE09037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2198404:2198759:1 gene:WBGene00080985 transcript:CRE09037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09037 MAGSPSPMLVILILAFVILFYILKFVQESKYFRKLLNKTSNENNVLKDRSDFNIPQIVISCGNLPPSKYFEAEFQKTAPPAGLLNNVVFCEKSNQFLAPPKFF >CRE08836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:929664:930185:1 gene:WBGene00080986 transcript:CRE08836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08836 MVPNKILQMLPELSHDIYNAHVFVLATDFRYVVLPVFVMSSLLVMESVCFIILIYSNINERTKQLSLSRNTIKMQRKFLRALNIQTCIPLLILMLPMGYLVASRIINLYFQSANNLCFIIIAVHGLSSTLIMLYIHAPYRDVCLRIFCSKLTKYSRRWSTINCHVTIMWWPKN >CRE09261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:991236:994079:-1 gene:WBGene00080987 transcript:CRE09261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09261 MHVPPLLDLSIHRVMSYIFAGTLPPTSYQLDPDMSNRIFEEYCNIFDVKITRRIVKDICALLNVTKVDCSIWGHNRKELIILRNMNLVSLVLGSLTHLGPNKTDSHEPIKLDAMLKYCLNKTTLQQLRHLDLSSTNIKYLDGWVESISKILPSLISFSVRDRQLSPLEFGPICSNFPNLRSLDISDTGLTSLEGISNLPNIEILAIGGLRDLSWQNMIDIFELKKIRVLNLSSKHKFSRINMFERFLLCDKVLPELRSIDLSGTFVEIHLLELLLKTHPTIEQIEILSSSRQYPPEAFHDVQILSCQNLTVSTQLLKDYASLKNFCSIYHVLIWTNKLMDERFDIENEIAIRDWFRAIRETIEKFPISANIHLLGLKCLQQISRLVQAVSINISLYFRKERISKFSLADRHELVNILFAICDVRIDYKECKIEARMIEGVWDILKNKHFLSTTHLNIQRIYENALEYCLIEKAGIIQNVCMKIMKYTFEIMKPEDQKEMFGNLGICRDLVESLNFFYRTKQFKKYQFVLKFIIKMVEYHPENFVKAGGVSIFVRHLIRYSQVESLTMLKALALTGNSEFIKELSSPENVRGFVRYLQKCKPKLNYFTNVNSVSEKTFLVCCILSVIVYSIDEKRFNSIYWKNIVKLLKKVLTTLAEEPGYPCVHLEEVFETYFEKRTMDVKQGPIQDIQCHREEKGACFYC >CRE08986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1866445:1868257:1 gene:WBGene00080988 transcript:CRE08986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08986 MSETNRFDSKTVRSFILYEYLQGKPFEEAFENFRRTVGDDVISLQDFAFWFMQFSNGNMNVEFEIKSEILEEGEDEEPHPSKIQKLDEPSLEIKSEPLDAEEIDESIEATNSDIWSEMGPPVCVEQDKCDEHRCRLCGFFVRGGDGNRKSHVIARHSVAKHLKCKECYYQDKSKVPMRNHTITVHGRDIPPEDITDDNVKAEWEEIMAKCFPNIRPNKIKNISNYVACKLCDSRLKMHSVNRYQNLKRHVLCVHCPVKQFKCTECDYAEWRKESIKNHSIITHGRDIPPLDLFDGKMRSEWNETFAKCFPEFADLTYFR >CRE09061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2361810:2362073:1 gene:WBGene00080989 transcript:CRE09061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09061 MASYDSDGRIKIESLQNITVDLFLVCSHYLAIIYCGIKMHFNMKRSEKVLGSTEKASEAVLQRSYTESWSHYFSGSASCTGSVDPSY >CRE09161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:498951:499989:-1 gene:WBGene00080990 transcript:CRE09161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09161 MVFDVSFGLVSRLISPRPSSEILNYSISIAWIANFLLIDYFYFAPSLVVRIDGIMTKWMDSYSLYQISFITYGFSMASSQLIFCNRVFLIMNMYKGLRSIRQIFLEALIYIFVGLIPLSTIPVVWEMTPDQKKAKKDVIENCNVYPDCVYEDTSVIICNPMNHCAVIFAIMNLCYIFFSTFIMFFATHMAYKLLSKRMVTKSNKTKKMHKKFNMRTRLQAIVVFFFAMFPILVANLTLLLDIEIAWPSYFIDFLWENQPNASLLTLFLFYDPYQLYMKTIWKHYKNRFSSKIMRVKSARPSENHIVSVRI >CRE09337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1352701:1352904:-1 gene:WBGene00080991 transcript:CRE09337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09337 MHGQEFWKYVYEEILAETRERIPLIKGQTRIWCATRTNNSLSSIFTIPASPTTSEKKTKVPKRKTPK >CRE09362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1510521:1511149:-1 gene:WBGene00080992 transcript:CRE09362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09362 MKTLLFFLFILAACSAVTKHFRFDTTAVCRDRVTHSLLEHWCYRVEIWHASTLWNEKLFEMKDTICSEQMTDTTLIEGNHTYPSAYGNDYQIYTKIYHTCTIDGRELMRKRQFSYIRAIEDSLNYTLTVDLFDDGTEIKETEATTESAPDVSDILTMLK >CRE09420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2027469:2027732:-1 gene:WBGene00080993 transcript:CRE09420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09420 MNMLDKIESNISDNVVDKKLDPLDDIDPNKKMDRETGHRVANALNAMKESLSMDSSHKTGSALNSSESEEEEPMEKRRESDVNNKEM >CRE09386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1763952:1764730:-1 gene:WBGene00080994 transcript:CRE09386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09386 MVITLDEYHFKNYSQCNVPYSSLSSWQGLAYPSHVIQSVSLPLQVLTFFMIMRKTPGHMKTFSYPLLFNHFWCTLLNLLFCSLATPYIYLKFVGGFGVGLLSWLGVPFMYQLVTGFFALSCSTSSYIFLFESRSSSLQENKFRITRKCFRIVYHSLIFFINSSIFLVFFKLPADQETVKLNVLELDPCPTPEYFESDVFIVSTDQNLISFYVWFLQPSLLKPIRYGG >CRE08644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:12754:14075:1 gene:WBGene00080995 transcript:CRE08644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08644 MCLVCGSPEAEPHFGGISCRACAAFFRRYFHSKKVFTRCTCKTRYTNSHPCRNCRIVKCFAAGMKPDKVQATRDKHSKKSLENSPTGTLPSLLSARIVSRDSSSLTFATSNWREFEILRDKMNGGKIGYMNIFQLSSTVRQDIELTWKMVYNLFPATVNLEDSDKSALLRNFQLKLWQIEPILENIENAEKYAMMDAEEFENLIVHFYEGTFPKGQELSKTEILKIFQPIWIYYYTKMVLPIVYMDLERAELMAIIWLLFFDNGYTNISPDCMEMCQNIKKVILRELKNYQNEKDFDDMRFIETIETLQLIERGEKKFMEEMMICEMHNVRIHDDFKAILKESKL >CRE09435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2111965:2112480:-1 gene:WBGene00080996 transcript:CRE09435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09435 MIDYLDFTTPQWLVNYYHSIGILSFFLNFYTFFLLVFISDKIDSLKYYLFSFQFICFLVDIHITLLMQPQPLHPLPAGYCTGVLSGLLSSHTLMTVLMALMATEIGALAMCFLRKFLAFRRMKRVKTSIWLLVSVVLGLVVFIVFIVSNLYFCGLTEDEEYRIINQVILVG >CRE09312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1264842:1266231:-1 gene:WBGene00080997 transcript:CRE09312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09312 MERFAETTEGLREHSIPKDLVFKVAIKDSLCYFFQIEDETIYTDPPIKQRNKKYIQQYEHLHQLRAPATPLLC >CRE08771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:656892:657622:1 gene:WBGene00080998 transcript:CRE08771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08771 MAVPQLYSKDQEDPRGLGEYIRLLYLDNKIEFEDLRYNIGGEEWPEVKKKMIFGQMPALKYDGNEIAQTGAIMRHLARIHDLNGSNEEEATFLDMFFEGVRDSRMKYLRFVYFNEETRENHVQKTLPEHLANFEKLFKIHSGDYIIGKKTNYTDYSLFEELDVYHTLDAHILDKFPALKAFWERMWKRPNLKSYLEKRAADKI >CRE09065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2368228:2368613:1 gene:WBGene00080999 transcript:CRE09065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09065 MSRRPLAPLNAGSIQDQERQHTVDFRATIRENYGVVFFNNGIWVMPPPPPVPNDEDEDIEEEEDEDVEEYSDSDGDADDEFEDEDESNDEDVEYVFDSDPKEPMDEQREQ >CRE08814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:825615:828529:1 gene:WBGene00081000 transcript:CRE08814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08814 MSRRSNRLAGISSSQDGPAKSTRSNAVPRILKTKNAEMEKLTKELKKCQSQLAAEKKKTIKMTNDHKNQIFELNLARGRLEREIDFNRAEYRAEINRLLSGHEHDNVKLKHLELQLKALTDDYNRDEVLQRDRRTIAALKNRNKDLTRRLSKKDEQKIGEPMAWKVCEICLNEYSQNGDKTPRLLSCGHTLCLGCIKQIAKPDYVVCPFDRILTSLAARSTEQLPKNFLVFNM >CRE09454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2213806:2214890:-1 gene:WBGene00081001 transcript:CRE09454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09454 MSCTYRNNYFESDEFLELALHSLSVIQVPLHTLGAYIIVMKTPNEMGKMKIAMLLVHLTFALYDIYTTTLAFPVIIFPICSGYSIGVLSSIGMPISIQCYIGLTLFLLYGPAVTMFFENRYNYLVRLDCDTRSRRFKRVVHYFINYFITLNVLVPSFLNMPDQSVARQIALKKLPCLPLKIVNHSKFFMLGNEYLIFVCSGLFTMLIWTQVLFFFAKTVNFIFGIKSQSQRTTQLQRQFFIAVCIQVALPFVVIMIPACYILSTIYTKNFDLAFTNFSVIMITSHGLFATIIMLLIHKPYRTATLKILGIKRFCESNKVAVVQMPFCATQN >CRE09298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1220730:1221464:-1 gene:WBGene00081002 transcript:CRE09298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09298 MSEKASESTREKEQKQEKGKQTVTETEKEKSSKSLITQTKSAGPSKFSKRKISTSLEKNEESGKRTKPDCETVPVERMELPLNLFKSIILNNISKEEDDTNSIQLDNILDWFKNFVSTLSSSALQNIKIMINEAKDKFDNKGYRVPLDNFTILMKNIYYSIVAFS >CRE08750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:556785:558062:1 gene:WBGene00081003 transcript:CRE08750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08750 MCSPSSLSESSQTSLSPEYNESCQVCGRTAHGVHFGAITCRACAAFFRRVAAGANFVIKCRKGGGKCEIITNGRSCCKKCRLKKCKDIGMDIKNFQFDRDPLRFSLKITPSLAMFLGRPQFLLCYDSESANTNPTFIDMSELLRKAFRTFTKGPNVCSGQNRLQKLSMALNLNIFTKNEDIYYTLITTIGLEESKSIWEHDLLTTAKWLTYFDEFQDLQLNVKMTFLKTMWIVWNRLEKLATSALYLKHNSNKLSDPCGVLITHDCILDIRNMKLDVRWLTKYSLEDIAYYIEGVGDWSLFQSIQPIIDLNPTEVELTYMLAEISFSYAAKQLGGQYSGIAETFLKILADDLHSYYTEER >CRE09417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2018202:2018668:-1 gene:WBGene00081004 transcript:CRE09417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09417 MDAINSIIEEQFVFGKETAKESAGDAKEKAGDAVESVKEDASETGSKIAGAFHSITDSMASDSAHKTGEALNEPSSDIGDNLQKNVEAVKEIAGNVAEGVKDKADDVAEDVRGSITEAVGKLSEAFNSTIPEDVVNEAY >CRE08849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:1011229:1011802:1 gene:WBGene00081005 transcript:CRE08849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08849 MTFFSRSMEIDGGPPPFIGAVDKNPHEDELEDVVYEDESPDSSHLPLPPDANRSRSVTPDRSLNSDSIDVTQVSLSASPPNVLEDNDKGDTVKTAISQNDCIEFQTTSDKEDGSQKENDDDDEWGDFGEVVSAAPIQNVSFYRFSKSLSMGKIFHVIKILKISRGKSSTFS >CRE09055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2329409:2329849:1 gene:WBGene00081006 transcript:CRE09055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09055 MTSMTIKLYHFFYVILFFMISSLFIPTLLTYCSNVPPEDVSIYSSSATLSTTQASPITTAQCTASSRQTSTTTPVGVTPITVSPVTTTPATVTTTPVTMAPTLCTKCEIAAITPVIEANTVFENTWALQM >CRE08733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:488410:491398:1 gene:WBGene00081007 transcript:CRE08733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-btb-2 description:CRE-BTB-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LJM1] MKRNYGNLCVSTHLVGRSEIAIRRATQNHPIVGEISEKMCEKAIEYTSADKISSVSSTTQLDKGSKDGLNWTVTYSHQLAMLSKLTDCAFLTWNFEWTKLQKQGIDGFSGYIVVKYTNERSIRAPLKFDVEFCNAEQQVTRRIGPTDDVKYNEKFSNCYITFDLFLKPYRLSTRNLPIDQAFLHSSFTDAILLVEGRKMHVNKTYLSIHSNYFQALFSANFMEKSMAEIPIGDVRYEEFARLLAIISTKPVFPTDETVETLLILAERFLMPSIVDHVANYLLTATHFDFFQVLAIADKYKLKSLSEKCIEMLTALGQISRNRDSSEYINLTRDTKSKILDHLVQITKKLFSVTNTITLQKMEEDKLIKYKSEPKPFTYKTASELEKGSQDGLNWVASYSISLTMLTKLIDTGCLTWTFEWSELKEKGVDGFTGHILVTYARNEKIPLLKFDVELTNSGDKITRRIGLAPDIARSSAYIDGLFTYEFTLKPYRLSTRNFPIDQLFVSSFSSDVVLVVDGYKMHVNKSFLSIHSDFFRSLFSNNFKEGSMTEISIEEVKYEEFSRLLAVISPNSVLPTGKLQHESVEGLLKLAEYFLMPAVINVVEQYLLGASHFSFFQSLFIADKYKMDKLLDKCISLVTTLDQVKRVKAFPEYDNLNHITKSKILDRLMQVV >CRE09180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:562373:563998:-1 gene:WBGene00081008 transcript:CRE09180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09180 MILLLLFTALCLYLFHEMYWKRRHLPPGPIPLPLIGNMLSMLREKPGYECFRRWTKQYGDVYTFWMGTTPYVMIGSYDRIKDTFIRDGDTYKDKFPQPFTEKFRGGTYGIVETNGHLWSTHRRFTLSSFRDMGLGKDLMQEKILIEVQESFRKFDEHLGVEQDIPIVLNNAVANVINQIVFGYRFADDKEEEFSKLRDLMEYQEKAFTTFKVHVQVFAPKIGQWLPGKSLDELLGDWKENFHTFFNTQIENHRQKIDFDSEENLDYAEAYLKEQRKREAEGDFELFSNKQLMNTCLDLWFAGLSTTNTTTNWIVCYIMNTPGVQEKMHEELDRVIGGDRLVTTADKNDLPYMNAVINESQRCANIVPINLFHATTKDTVIAGYPIKQGTGVIAQISTVMLDEKTFPDPYTFNPDRFIDENGKLKKVEELVPFSIGKRQCLGEGLARMELFLFISNFFNRYQVSPSSEGPPSIDKSERVGVFPRKFNAILTKRH >CRE09026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2:2133776:2138455:1 gene:WBGene00081009 transcript:CRE09026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09026 MAKDLNAYYLKNYSQCNLQYSFLASWQGLAYPSHVIQSISLPFLVLTVYIILKKTPSNMKSFSIPLLHCHIWYSLLNLLASTLATPYIYIRFFAGFGVGVLSWLGVPFMYQTLLGLLSLSGSTSSCIFLFENRSHSLRENTLKIKGKYSRIIYHFLMFMINCSILVVVFGSPSDQEVYKLQLLELDPCPTPEFFESDLFIISADKNLMRFCAWVLGPFLIFNSTGHVLLVVYSMDCGCQELINLSTLTIQLHGIAGSLTILTVYSCYRRAVIRIFHECKRESERNSESNIGRTMNVLQDWIIKRQVWSDKLKTYEVDLKRGISENDNEMKANLVRDTQLQLDCLTFFEVKELWMKEGRSLVEIWNWWKNTQETADPSYKEGPM >CRE12766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:751793:752991:-1 gene:WBGene00081010 transcript:CRE12766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12766 MNSTYTQQWKSIQNGLQHVSETVSLVINSLLICLIIFKSPSKLGPYKYLMIYISVFEILYSIVDFLVTPIFYSFGPALIVIINLKESLFNSHQLLATFSTWKITLWLSLPVLYGVIWGLGSYYACGPTDYTSEFVKMDVLANYGLQMKEVAYFGLHFYRKNEKGETFIVYEQVFGIIINSFLIGSSLFSAIYFGVKCYHKINDLASQISTRNKSLQSQLFYALVIQTLIPIVLMHIPVTIIYSFAFMGYGMGIICGIASITISMYPAFDPLPTIFIIKNYREAVLNFIPFCTKWNTQPTVTTSVEMENQNQSALSV >CRE12462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:7860:8690:1 gene:WBGene00081011 transcript:CRE12462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12462 MARFHIGTQRFICAATRPCNHWARLLLIVAFTSPPKVALVQKWKKREGVDSQTSLLPVPLTATWRHPTAGREGKLLTLRKWLPQYPSMLKSIAFCGSSNIAALFENNVKLASTTTGTHFATFEMNQYSTDVHGCDDFLFVANRKQRMGLLDLRTSKMLPVNQINVDQHESMTSSCYNANNDILYASIWNPTTR >CRE12722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:459610:460418:-1 gene:WBGene00081012 transcript:CRE12722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12722 MAEMQKASLLNDCRDVVEVQNGSEFQIRKLMEVYPQYLWKYSEGSTIPGYMMKIAEQLEEEFYGINKVPTDLYPSEYFRIMDHSTPTELAIQPKRFDKAQDQLNDKMQKFYNEKQESLQIPEDQLIPKVACAVCIQNKWYRGKLENVPNIGGWIYVYLVDVGMSRQVTKNDIRYLDSKFGHYPPMVVRARIRGSNSLYL >CRE12656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:114671:115832:-1 gene:WBGene00081013 transcript:CRE12656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12656 MNLYDLPQYLHIFNNCASSLAVFFNSVLIYLILTKSPKQLGVYKYLMVFISVFEIFYSILEVSLVPIHYSYRSSVAVLISISDKLFSRKFLLILNSFYWGFFGSSLAIFGVHFIYRYLVISGNPLLRTFQSWKFILWLLIPVVIGFIWALTGIYLCGPTEEFTEFMKNTNISEHSCMKDMVSFIVIIVSGIKCYLRIKRLMRNASTTSSRSQALQAQLFNALVVQTMIPMLLLHTPVSLKFGFAIFDAGLGSYCFIMSMTIALYPAIDPFPNFFIISPYRKAAYGCFKKRLLKTILVGKRKANEMDQIESTPATVA >CRE12642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:32004:34019:-1 gene:WBGene00081014 transcript:CRE12642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12642 MTCHLTIDSIIYSIDSYCYDKKLLKMKKEKRRNGYEEQERRRNLIENSEQSERGGRSDPKYERVGEYKVRATNTCNGEKEQGQNTEEDELERNEEEAEEEEQFKKKKKKIEEIYSK >CRE12648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:68911:69580:-1 gene:WBGene00081015 transcript:CRE12648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12648 MNKLALFVLFLTFIQACAQWGWGPPSPWGFRPSPFWGGRPGWGGGGPGWGRGGGGGWGGGDRGGWGNNGGGNWGGGGNNGGWGGNNGGGGGGGRGGGGGGGRGGGGGGRGGGGGGGRGGGGGGRGGGGRGGGGGRGGGRGKG >CRE12575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:811640:811837:1 gene:WBGene00081016 transcript:CRE12575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12575 MNRNGVKLFLVILSGKLIRRTIFFRIHCNMNRKLLCFGASSARRFLSNSSKRSAGGGDSNRADTG >CRE12674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:201274:202249:-1 gene:WBGene00081017 transcript:CRE12674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12674 MARFTGKVAIITGSSSGIGRATALLLASEGAKVTITGRDEGRLDESRKAILQAGVAKANVNAIVADVTSAQGQDLLISSTLKKFGRIDILINNAGANIPDPSGKTRVDCTIDNLQEMFKLNLQSVVEITQKARPHLAKSRGEIVNISSIGAGPSAQPQSVYYSSAKAALDQYSRCAAIDLISEGIRINVVQPGFVSTGFSTAARGLSSDESLKFYNGMGELPHCIPAGYCGQPDHLASVIAFLADRKASEYIVGQTIIADGGTSLVLGMHANFGQKK >CRE12519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:451420:451859:1 gene:WBGene00081018 transcript:CRE12519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12519 MRALYSSLILFNFISFATGTATSHEVSRCPPLTHVRCFDGLTCIRKSWMCDGKIDCADHSDELAHICLKRSSRNNLQLQDISVGLKCPPSWFRCTDSSSCIAPKKVCDKYKDCR >CRE12676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:219368:228334:-1 gene:WBGene00081019 transcript:CRE12676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12676 MLHLACLYCHACVRSSTDGKLFGELVNDRLDTTSSFPDGEKRKPCTGICGHSICLECVERSLNGKCPLCQKEESFISKTVNYQSLEVIEGYHKDYWNILKNWWNGTDTRKNKCSKCTEEAKKLWICLTCDKLRLCEQKGDSLRLRMRFDSHLLTLANHVFCSDCFYKHNEEQHEEHKSMKLDDIKYAAEEIKARSSNIILELFRYQMNNSRKSIPCKLRHMRFELTGLSIWKLFRETSNTIDENSCGNLLVEIKKELIGKRIQNLDKQLKDFFNEIESEESKCECTLLYEKLELSGVVENLDDEFFLMALSCLETEMLGCPLKTWKVEEDERISVVKTSDKCRLKSSNTKLLTRKFLNVCCILLPKILIRLKGNIGSRKERPTKHFQTNHENRSGKGRHQVGNKLSEQFFFNHK >CRE12765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:750628:751545:-1 gene:WBGene00081020 transcript:CRE12765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12765 MNISRLFFTFSRRLSTVLPQATEKYYFETVKNSNRNEVFEFLNKNFRVDESLSRAAGMTESDIQICFEGVLDRVLRNEVSILARERRNDEVVGCMLNSVWKRGDAKKNESSEEEVSIFESINHEFLLVFQEFQFGGDRKGVVTIGEILNELHESFWKLRSNHHTVLHFEISSVNRNHQRQGLASKFMNWTENQELLKSVEASGIVAEASSLANQILLDKRGYETVAATLLNSRIDSNGNQILVCDDGTDRVNLVFKEFQ >CRE12769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:756387:757084:-1 gene:WBGene00081021 transcript:CRE12769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12769 MARNLIFITIALIAVVVAGPGERGQKGPGGDHKRGGPGGHHFLPPFFGNVSDEGKKEIGAVFKNEALTLAQVDTQIAALAEKYGVAKDKVKSQAAYKDHKEKEAARHAEIKKNTTAVISSLSSVASKLSAIFENKDQTRKAHHEAIEALRKENRVAVDTVEFIGKRLGGFGGGRHGGHGGRRGGHEGGNKKDFGGKHGDKKGPHGGDEKKKTKEAVAAPAAAH >CRE12496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:199846:200827:1 gene:WBGene00081022 transcript:CRE12496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12496 MSRFVGKVAIITGSSNGIGRATAVLLASEGAKVTITGRDSARLQESKDAILKAGVSEYDINSVTADITSSEGQDNLISSTLQKFGKIDILINNAGANIPDANGKTRTEGGIDTFLKMFQLNLQSVVEMTQKIRPHLAKTRGEIVNVSSIAAGPAAQPQGPYYSSAKAALDQYSRSAAIDLISEGIRINVVQPGFVETGFSTVARGLNAEESSKFYNTMGSLPHCIPVGFCAQPEHIASVIAFLADRKASEYIVGQTITADGGSTLVLGFHANFGQKK >CRE12692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:289004:290143:-1 gene:WBGene00081023 transcript:CRE12692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12692 MKLFSLPYLAYSRIISSMCPMEVISLSFCSKKSKEKIKEIRFHIDYPGISTIVYKSKIPVFRLRFVSLTHQISIPFKSDQSCVGSKGRRFTANIDGVEHIFRCVDNQNGSVLYSNILNSGFKITNYIVDLVRGNLKYLVLDLNLIDDLKRFITETCLKSVSHIEIVSETVTSEKLSEFFSNIENPTKNVRIFSKVQGRVDPNLNIFQSDLLISDEAEWVKREHLLGFKGKLLLLNHPIFDIETIIEFINQWRNGNNTTLVALKMVELPQEALNRDRFISEFNAKPWDPTKREKYYIYEKEFANRLHNAMDCSEGLDFERHDGLLATINVIPSCGFFHFYVWHKRFNIA >CRE12592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:894408:895466:1 gene:WBGene00081024 transcript:CRE12592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12592 MTEAWITLATNDRYAQGAITLLNSLHSSGTNRRIHCLITNEVTSGVRRELEEKFDEVTVVDIFNSNDSENLALIGRPDLGVTFTKLHCWRLTQYSKAVFLDADTMVIRNADELFERPDFSAAADIGWPDMFNSGVFVFSPSLVIYRALIALATSSGSFDGGDQGLLNEFFSNWRDLPSAHRLPFIYNMTGGEFYSYAAAYRKYGGETKIVHFIGAQKPWSLNTSDIGIHKSDHYHQWHKFSQQQFSSLKKEQKSGLVSPPKPSDDSEMQRVAWEAGHPDYLGKDAFKNIQKALDESMADEKTKNN >CRE12535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:601964:602221:1 gene:WBGene00081025 transcript:CRE12535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12535 MRFFIIALFFCFISNVFAWHYGDQIALDDDEYSPEAVANRQQIAKEYLIREKFRTRIREAIAKEELEHKYQREKIRKAMEEFNDM >CRE12635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:1219877:1221211:1 gene:WBGene00081026 transcript:CRE12635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12635 MSLIPVLVLYFVTSVTSNNSMIVVWGKPTKFFNPTPYLNSTLNWQQCIRECYNFERCVLSFQNASGCNLYVYNALPAIQKLNSSQGLQVAFKIQNSNDECPVGTNAPTFNNKNASLLWTNTTTGGFQNKSSSTCKSFGGTLPTLNDPTDIGAFTWITELQKGYFKSSQFFVRIDGVRKQACQSNPTTPTCMTPAGFTFTDPHFAGNFDNYDWTTNAGAKVADDDNCLVLVYPNTTTCTTSGARQALGMLCVRDAFLP >CRE12523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:466658:467007:1 gene:WBGene00081027 transcript:CRE12523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12523 MALSSSLPTLPPPSKPPLALNAKEEEEAPGKSGGAMVAGRCCVSIQRPRGRPHLNSLLCVLQRMERSFVSRCLRAPRRALFGGEDNDFYLFYRVFRHVKQN >CRE12755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:711091:711770:-1 gene:WBGene00081028 transcript:CRE12755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12755 MPCRMTVENRSFCQLICHINVRIGWTIFGIVFGISAVLTYAIKFHNWSATATCAIATLFACETLYLYWGLKKNTIVNWKESTFQLMIWPNVFIGLLGLLGCLVCYIIAGITHQGAGSIQAMYGENLWFTGSWSLVITKWTWQNAFFARKYLKKVGRGSSENGDDLNDDDDVEVIKH >CRE12580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:837790:838613:1 gene:WBGene00081029 transcript:CRE12580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12580 MPDGNELTEKRFRCFCGICHVVTGTQLCLIWYILTSGLSLLFGMRSTCTWLVVPICVVGLGMYAFYSKRHKFLYPFLIITVVQQLVCMLMATIITIFSLCSFDTMRQIIGHTLDMAEPPSKTLALFVVCGTVSACILLSFIHVWQAIIIYTCLEYYEDEYRKLEEGYCVETSRLDATDYDDSRSRCTPDPPYQQREPRFPLV >CRE12682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:257353:258212:-1 gene:WBGene00081030 transcript:CRE12682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12682 MSSLRYRRPMERIPVERSFRRSRSFSTIPQDIHVTFYNNSYQRSKVLFLNKYNAMEKIIEAAHEMFPSDRTYRFFSDSTLGARNELTDSFQVMDCVQNQDHVLPQLYIRLEETTRRSSLHNNYYETIPIIRTPSQVSHRHSVRFDDRSRAHSSASSHANFHIHCCHCRLPIHGSRYHCLECADYDICGKCEKDLVHFEHALLRIVSPRTTRIPDYVIANAPRHVFPEDRYYVERVVTTL >CRE12590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:890069:891415:1 gene:WBGene00081031 transcript:CRE12590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12590 MTEAWITLATNDRYAQGAITLLNSLHSSGTNRRIHCLITNEVSSGVRRELEEKFDEVTVVDIFNSNDSENLALIGRPDLGVTFTKLHCWRLTQYSKAVFLDADTMVIRNADELFERPDFSAAADIGWPDMFNSGVFVFSPSLVIYRALIALATSSGSFDGGDQGLLNEFFSNWRDLPSAHRLPFIYNMTGGEFYSYAAAYRKYGGETKIVHFIGAQKPWSLNTSDIGIHKSDHYHQWHKFSQQQFSSSKKEQKSGSVSPPKPSDDSEMQRVAWEAGHPDYLGKDAFKNIQKALDESMADEKTKNN >CRE12583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:846143:847680:1 gene:WBGene00081032 transcript:CRE12583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12583 MRSNTPIQPVNRLRHFPYENENMYFENSKMDEKYRTMTQHNPFSTHGQPSNHPSEYLPESFFGYENHTSPFHQEPNTSLQMYPNPTDDMGNDWIKREEDREDEEDEEEDEKLPVIGRGKSTPKPARKYMKKSEKERNDPIYRDARDRNNAAVRKTRQKAKKQQEEEKKKFEELKKEYQLMKKKNEEMEKKMCKATAAINLIQNFRREFHNNPCRISSEIFPSLTEMLNFA >CRE12705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:364402:364533:-1 gene:WBGene00081033 transcript:CRE12705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12705 MTWLVHRRRAYLSNGVKHHDAHGAISNKLEEENKKPYWKKITD >CRE12667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:178023:178569:-1 gene:WBGene00081034 transcript:CRE12667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12667 MKSTFSPIGKLFTWDDKNITLAGTENELKCLDTLHVLHRSDIDDNILMNLKALDIELEPANITVNGINHIVQKWVFEGVPIGSRFVYYVDEPGYEITDIVKNVSGVTSDGQNKVIIQILPDRFLKVWVDDSSVNIECFKNKLLILSKN >CRE12743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:602891:603148:-1 gene:WBGene00081035 transcript:CRE12743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12743 MRFFIIALFFCFISNVFAWHYGDRVALADDEYDPEAVANRQQIAKEYLIREKFRTRVREQIAKEELRHMYQREKIRRAMEDFNDN >CRE12658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:117530:117886:-1 gene:WBGene00081036 transcript:CRE12658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12658 MIETSSTISAKSKSLQSQLFYALVVQIIIPTILLDIPITVFFILTLANNGVEGYSGYLSFLITLYPAIDPLPNFFVIIPYRKALLGGFLPEAGPSNNCRNYGTS >CRE12640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:25300:27466:-1 gene:WBGene00081037 transcript:CRE12640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12640 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M721] MEIIIILWLFFGIFSAALTIFLIIILSIHKSFSYSFYRVILVHLILNTLCWINTWPNRLIFRPEAEKLILPIYENAKFILDTSMLLIVFFFHVQSLSIIIICAHRLTTTILTETNCFWNRYYLLVYFGTIVFAFIATKPVSFHNVIFDYELRQFYFITLVQDDGENSFYYLSTFMVIYFFIIFIFGILTIRQVKKQLVFHANQHKELLKIRSKIAITHTALYASFLLWQASTNFFSFNITITMMMTVSDMVGNDKQNLIYEFTFQVTFSMSYMIFIFDQNIREVRSKPYLSLVDISEMLVHKNLFSTKSVIRYVNNNHFVSQILLLYGSNATLLLISDLMELILFVWLLFGIFSAVLSIFIITLLSVHTFFKYSFYRVVIVDLILNLMCWINTWPHRLTFRPEGEIFILPVFVHTPIVLKVSNFLVSFFFHVQSLSTIIICAHRLTTSIFSSALKFWNKWFLVLYFVVLGLSSLGSNLITVRPMYFDYELKKFISLPLSQGESEKNRVVLFTFIVFYFIIIVVISVVTFLQVRKKLSDQNDAHKKLLRRLTQISVVHSAVYSITLAWQITSFSVSYYLTVDILMTVSDLVRIPKSVNFHNIIEFQINFSMTYIMVIFDQNLRQAIKTSIFVRKTNVRPSGIQNSSRSNIT >CRE12668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:181693:182572:-1 gene:WBGene00081038 transcript:CRE12668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12668 MDVVQLHLDESEISFMGSRVQENVTLIRCCSERGFGRSEPRFITENSDSRSIEKQYLRNIVFRKNVICDRFETNYRYNDNFLKESEKINARNLYVYKPFRPENIEPSEPSKTVAMWLKHMNPRFLRSVTVYMDDKNITLAGTENELKCLDTLHVLHRSDIDDNILMNLKALDIELEPANITVNGINHIVQKWVFEGVPIGSRFVYYVDEPGYEITDIVKNVSGVTSDGQNKVIIQILPDRFLKVWVDDSSVNIECFKKK >CRE12754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:705642:706321:-1 gene:WBGene00081039 transcript:CRE12754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12754 MSSRMTAENRSFCQLICHINVRIGWTIFGIVFGISAVLTYAIKFHNWSATATCAIATLFACETLYLYWGLKKNTIVNWKESTFQLMIWPNVFIGLLGLLGCLVCYIIAGITHQGAGSIQAMYGENLWFTGSWSLVITKWTWQNAFFARKYLKKVGGGSSENGDDLNDDDDVEVIKH >CRE12526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:503609:506022:1 gene:WBGene00081040 transcript:CRE12526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12526 MKTALTSSSNKISTGSRSYARRPIRPSAYRNPQITNSMPDRHMAKRSENGRYDVKRILLTRSYKHHNVYNDLGVRVVGGKRQKNGELSAYVSQLHSIANNQTLGQIKIGDEVVEWNGILLRGKTFEEVERIVNKSHGEIEMVIRTEKNPPGCYDTLPLNRNTLRDDLSPDRVPPVPMHRINGINNNSVLHHHTLSDSSFHGHIQVSLGYDGNSRLVAKIIRARGLRSRDQSRSAPNPFVKVYLLPGRKVSHKRRTRFVDSSCAPEWNQVLEYQVAPHTLNTMFLEFTVCDYQRDVDDLPLGSVQIPLADKSAINTGPRWYPLQGSYEIQAPHHHHSMNGTSQISSIAAASTHASAQNHLHNHNYSEVPPSILYPKGGVNARHPDKPVRHATFNYNPVSLDIGYPAIN >CRE12688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:274409:275611:-1 gene:WBGene00081041 transcript:CRE12688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12688 MFYDIFTSGHVISPYYYNCTGTSSLTESKVAIGLIYMTIGLISQFVYFLVLYTIFNSKTLFSLPCYKIMFFLGIPDIFSLIFCADFAGFYSIRGLHPCYSINFTFTTGCLVFGSWHMSCLYVLLLAFNRVCELIFPEKCAFLFQKWYLQVLLFLPVPYFFYFAFFTKPTFFNSSVSAFLLNPMTDVTKNFPSEYYTVEAYVFNNFFVMVFIGFCYLIICSYLLKKSWKIQMKQTSALVKRVSYHWNYILTNLIFQVTYQCLTVCTCHFIGCFLYVYIQYFWVPEFLSVVCHLAWIGNHSELNNQTSMQMHMSPFRSPSLYLYYFQPIYSFIYSKIVY >CRE12465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:22595:23172:1 gene:WBGene00081042 transcript:CRE12465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12465 MHLKIFLLLLLAVYTSQKHLSGTNKTAEFLAKTQLELLKKATNETNLARMSKLASIDIGTLDNVEKLFRLVTILEFSVLDAAAVEKKDIYAMIESYSAEHKSHSIIHLERTNKSPSGWKIIKGQGIFVSNDVCDFTCNINSLNTSAVDKFVLNPVLALIGYDQ >CRE12601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:966282:966614:1 gene:WBGene00081043 transcript:CRE12601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12601 MSYSTVVLALLVSIVLSGAEDQVGQIGGMEGQMGQQGGENGGQFGLGGQTGGVQPGVGGFGGQPNEAGQLSGFGENGQGMAGGQPGQPGQIGQPGQPGSGIGNNVQQPGI >CRE12659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:118448:120823:-1 gene:WBGene00081044 transcript:CRE12659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12659 MPLEILKFPYLVQQEMMKMMNYPELLMLSLCSSKALLLVRSFKFNIHMVRYKIHANTIALFFETNNGDSLHPLSVFKACSQDAQDTFEVVMRGSKLNCSFSIEKSFLSIEDQGNLMVYAFSAEKMQEILVDHMNYLLKEEFSNRLIVTIDSRLKYIPKIKNLECIFIYGDEQIVEAERLEQLLSVNPNQKTLRISAEIDGVLGENSSIPHINWMHLHVRNNLLSQLLPRFTGDYLQILPEKCDDSVIIKFLQEWTSGRKYQNLRTLLLFSQRNSFSNPAIVLENFETMPWDPLRRPAHYESSRNRITFEKSMVKSAEVHKLLNILNTTSCGFSIIFNSYLIFLILTKSPKELSVYKYLLVFTSIFEMFYSLLEAYLVPIHYSFDTTDLVMISVKDKSLSRSFLLILNSIYWGFFGSTLSIYVVHFIYRYLAISKNKLMETFNSWKFLLWLTIRLVQNRKSEIPIFPIFRLFSENFSDGKFSDFFFRKIFPNGNFPNWFFGKFSEIVGKFSGKKRKIRFFEVLKKKAKHLVDGFDHQNSGPDN >CRE12729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:520909:524894:-1 gene:WBGene00081045 transcript:CRE12729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12729 MMISTLFLQINDDDIQQQVLNDLKRTVVDFSGYSWRIGELAKLTKSQNKEDRNENREARAMRPHEEFVEKKITASHINTNTIGTVDDYNLESDSESEEELDGPAFFDAINETTDQLLSEQIENDGVPQEYIEIDAIAMDSANTEINRILNADSSFGFNVLSPETLKNVTDSQWASLGSEEDPPRIIDSNMQPQTFDIQLFKRNLSSCLKNYKRTEEASYFSNSFSKLHISKQEETENLRDVECISADEEKYISEVFEPLKRCEWNDPIVQAILKRNVKLFFYEVLRNLSQNGSCVDECSCRMLVSVKAKETPLHLMAAYSSSENLIKYWSHRFNRHGMVPVDIAASRNCKEVIRVFMDYSFTLSGAVLSTQKPENSPSLLSHLALHSNLEYIDREELIALVYTSSRKKLEYVGSMATLNGNTKFIEQLEYIRNDESVIESFEKCDIIKNISHCTRSREPVTMFNQREYERLLRYEYTASGGLKPEKDVLADVTNVVPGQMLANGKKITYNGDKFTIAQKLAENGHYEAFNGLRDHQKRVTDCYGFTPLNSLLQFNDEEVRTQLPFFRSAQREDLFFFISQVIVRNRRGLLKDALDMTLSNPDNFSAVPKLSHLYKEYGLPDYVDLPMETTLSRTGDMRIIDQISELYKRTDNFTKKMKEEDALRCIKSIQDRASRCSEQTRLAVILLMLHFVDVYSSRFRAIMRMYLNRGMEDNVARYVLRTYSKHKNTNIFPTNWMDALDKASTAVAEWNGSVEQTIIENINETVYNRVTETDKTARIIPIIGTKEKLAERRKEVQQEMMPRKKRHIITALANIIELLDNLKL >CRE12689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:275999:276814:-1 gene:WBGene00081046 transcript:CRE12689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12689 MISSFDFLHVFVMLFGPVLLLIGCGGKKKTPPPKSKSKMTAPPTAPAPPPSVPEAAAAPPAADAPAAAADGDKKSEKKDVEKKEEEKKDEKKDEEKKEEEKKDGDKKSEKKDDEKKDEEKKDEKKDEKEKSKKSKKSKKSNKSKKSKKSKKDKKDGDKKDEEKKDDEKKEDKKDEEKKDEENKDDDKKEEDKKE >CRE12763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:747786:748123:-1 gene:WBGene00081047 transcript:CRE12763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12763 MLREDTVTQLSDGRFEQKIQVDRRKLESMITGRVDNTTHQLPTAESFFANVMAYSNSEVIWPSQLKIGAKTKKDPYNTYFE >CRE12529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:519027:519724:1 gene:WBGene00081048 transcript:CRE12529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12529 METWRILAILLIFMSPAFNQSQKKNKNQVSTPPSDCKNVKCFGSANCTMLPIKNMTKCVPVVQKTSSVDDVIRMNLNFTDDFYENSTWTNSTSNSTSSASCVSVFTIVLSITVTFVN >CRE12661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:137963:139382:-1 gene:WBGene00081049 transcript:CRE12661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12661 MLGKQWSYLLKLIQDISAGFSLVINSFLMFMILTKSPKQLGAYKWLMIYISVFEIFYSILDVVLVPQHYSHGPTFLVIVGTKDKLFGPLFAVHFVYRWLVVSENPLLGTFNGWKIWIWFSIPLWYGLTWVCTGYFLSAPNDSTSKFIRENVKEIFELEFDEYIYLGPFLYERMENGTVILHIVPFIGLGIISATIISSIIIVLLFGCLCYIRINNIVVTTVNSAKMRNLQRQLFYTLVIQTLVPFVLMHIPAAIMFLFVFLDIDLGVYSAVVSMTIAIYPAVDPIPTLVIVENYRKTILKFFGCFKKKPLLVTTTIQPTIFIRRTEATMHL >CRE12733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:567596:568324:-1 gene:WBGene00081050 transcript:CRE12733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-flp-13 description:CRE-FLP-13 protein [Source:UniProtKB/TrEMBL;Acc:E3M7H9] MMTPFLVISLMFVVSIQAFDSSEIRMLDEQYEPKNPYFPFLENEKRSDRPTRAMDSPLIRFGKRAADGAPLIRFGRAPEASPFIRFGKRAADGAPLIRFGRAPEASPFIRFGKRASPSAPLIRFGRSPSAVPLIRFGRSAAAPLIRFGRASSAPLIRFGRK >CRE12714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:422130:424521:-1 gene:WBGene00081051 transcript:CRE12714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12714 MRRKRARSSNPNGCKTNQTTLTVGGRVENNNGKVLLPNSTSQPMLSTQSARGCNTLPRRDSIITANHCIHTYTLVSFPIVYVFAMSLSSSETTTPISKLKFILIHVVIEFKRFENHDDQSINNARNA >CRE12744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:605526:606152:-1 gene:WBGene00081052 transcript:CRE12744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12744 MFSISKLIFVTVALTTFASSKSLGNHVADLSVVIAETGLLPPAPELTTEAEQEYMAILTNSSQTIPELEQNTKLWAEKHGLTEKLEEYNTKVLEKVKEFKDKYMSVINNILSAEKKYQDILNSQTLTMDEISNEVDTLLQQYPLEFKTLGCLFDEMLREMKENSSAGDNQQVLNGIQSKHEVMKAMKFLRRK >CRE12574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:810799:811420:1 gene:WBGene00081053 transcript:CRE12574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12574 MKKNLLSDITKFAGIVFDKVQRALYCRNKKVPTXXXQTTQQLATQQAEISRALYSVANRDADLSRVESGISTIKSLLLSQHNFAPIVTPSVTSSIPSWQQSAIPTSATSMTTTTTSEAASGYATPPANFKEASLADELADIESIE >CRE12573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:808486:808683:1 gene:WBGene00081054 transcript:CRE12573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12573 MNRNGVKLFLVILSGKLIRRTIFFRIHCNMNRKLLCFGASSARRFLSNSSKRSAGGGDSNRADTG >CRE12483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:111839:112677:1 gene:WBGene00081055 transcript:CRE12483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12483 MDGIVNSNFEKYFIWSFSENIRKFLLDLKALTETRKEMQEKGLLTPFQLQDKLSMEEHMKNEIQNCMRRAQVKIDEKKKEEEKMIGQITYRLERKIREMDKLKEEEEYRQQVEERYEARMKKEKEAMEMQSLAEIWSKEESGHKEEGTISEKKETTSSKNKKNGKKNKKGKSEESGSSGSEEVNELKIEELSL >CRE12750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:678989:679690:-1 gene:WBGene00081056 transcript:CRE12750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12750 MKTALILAVSLVFCHLIPSDGGQCQMGWSPLYPYCYKAFHQRAIFGEAEGICTANSAHLVSIHSLEENDLVKMLTKTGHLPVSYWENYVRIGLFYNTATNLWAWTDGSPTSYLNWAPRAPEYMYNMQYHAALMPDRSYNDSDYNKEGGQWYNIQNWPTRAFVCKKLNYEH >CRE12521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:458236:459527:1 gene:WBGene00081057 transcript:CRE12521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cec-4 MSKKSAPSTPTRSSKRDHKKVSIFQVETGTPKSHGLAKTPMRRADNFKKVVGHRVHDEHYVEYEVELNDGSKMRATDYDFKKNPGILAEYKTNVTQQTDNSDGGKTWSDLQLKCDLFAEYIVEKVLAHRVVNGKPLYLVQWRGYPNPVWNSELWESDLNKGSLQNCKNLLAAYNSQSKEPVVSGQSMRTPTKPASKSTKKRSHSPSDDEEEHVERTKSTPKKTAKKMNLNSAQSSSARVQRTITAEEQEDDEEEEGPADEPAETGPVRRVAEIQEEVDQDEDEDDGKEEERVVSDDSQEGEQKGWFSRWSFGKWF >CRE12736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig20:575098:575763:-1 gene:WBGene00081058 transcript:CRE12736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-emc-6 MSEKAAKTEKKNDECYNTVAITNNVEALEFGRTCQSCAAGMAAGILGLTGFHGFILYFITVGIQALIWNVKAQGNWLSYFPERNSFTWSHGNGLLTFVLLWVFFYGMVHVY >CRE01353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:164959:165402:1 gene:WBGene00081059 transcript:CRE01353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01353 MTETELSNSFHENFHLFFSAFLVSSTGFILCYYSELVPDIIEYDYITFFAVLLVCSLPFAVLDPTIWYCIFMVSFAHLLFFKGFYSSTLVTVFVMMCGLFTRIVKNVDEDGTKLLDTV >CRE01350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:128081:128426:1 gene:WBGene00081060 transcript:CRE01350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01350 MGVPFSVDYSLDYVGKRHFQIVQDKNIGIVQLVRPIRGPTVETIKVNIHTKSRTGVILAFNEAIIEISVSKYSF >CRE01365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:63202:63481:-1 gene:WBGene00081061 transcript:CRE01365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01365 MDVPILSKAARGLCITSCSMQNCGTGNCQKRGGRPTCVCSRCAKGGGNIPLAAFIKG >CRE01362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:39985:41773:-1 gene:WBGene00081062 transcript:CRE01362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrt-3 description:CRE-WRT-3 protein [Source:UniProtKB/TrEMBL;Acc:E3ND72] MWCQLVILLFSFLGLCLSDYCGSDQIAYGMEVHHSGVIRLLCSKPSCYDKNYSDCPERADSPKGCKKTNDWVGGFEKNIEGDLSIMCCEFDGLEKYAKIRYSDVRIRRGEFFEGEEKENSDGDVIRFDAIKDIRMHRDTEGKAYYNLTILSFDCESIPDVKPAWLQKSQWPYFQYAKN >CRE01369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:76257:76613:-1 gene:WBGene00081063 transcript:CRE01369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01369 MFWNGGNEKMRGRLSHEEKEKFGRREANGENFHGVCPLKVNIPGSAWNNRPAAGNRSWRDTGYGVGRMRPRGPSMRNNYWLPSHDNDGWFYMSGRKLRWILEEKSNRANFSYCGGGVV >CRE01376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:112624:112988:-1 gene:WBGene00081064 transcript:CRE01376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01376 MTHNLYVVDAEGRRSMDARSRELLDKDSREIQTILVMGGITFFITIVMFLSKMDVSWDQKCKMQGNRVIHTCQPGRLVTRFKLSMVI >CRE01358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:10177:11723:-1 gene:WBGene00081065 transcript:CRE01358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01358 MLNFFEYFLQNKKETRKRRMVTGTLTITDEEKETVKPRPEFSTSSSSSSSSHQQQYCVQKDRIELVVIIVVLLILLQIGLALFFYKKCVARSVMDSSSCVYSEGSSECSATSSAFNTCHRQVQGPVLPQRPARFADGAPFTENPYNRLHHFT >CRE01380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:160149:160684:-1 gene:WBGene00081066 transcript:CRE01380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01380 MNRSANIREEDIYKLIKPFFDEEPSIRRYVSSSITRHLGKQFEYVLKKYPVHEMIFENLCSQNENQNPRQSLASTSQLPPKNLNGAIGASHPAIPFLIPNWIHQXXXXRSTHHSQFRPIIFFPLFQSPDHRQVREKPI >CRE01348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:122193:124280:1 gene:WBGene00081067 transcript:CRE01348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01348 MGDTIANELTRCCAGGTRHFKNSNTCSSIKSEGTSMTCQRAASICCLRSLLDNACDSGTDIAKEEESCPSNINILGGGLKKECCDCCLLAKDLLSRNEACIAPAGFSAGCLRSFNKCCNGDFEITHASEIITGRPLNDPHVLHLGDRCSTAKCEHLCHDRGGEKVECSCRAGYDLAPDGMACID >CRE01355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:1:1031:-1 gene:WBGene00081068 transcript:CRE01355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01355 MAAQKIQGGDIVEMQGDEMTRIIWDLIKEKLILPYVDLNLHFFDLGVEHRDATDDQVTIDAANATLKYNVAVKCATITPDEARVEEFKLKKMWKSPNGTIRNILGGTVFREPIIVKNVPRLVNTWSKPIIIGRHAHADQYKATDFVVPSAGKLEIKFVSADGTQTIQETVFDFKGPGVSLSMYNTDDSIRDFAHASFKYALQRKFPLYLSTKNTILKKYDGRFKDIFAEIYVEYEAEFKAAGIWYEHRLIDDMVAQAMKSDGGFVWRKARTTTEMFNLTLLQQGYG >CRE01354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig200:166063:167832:1 gene:WBGene00081069 transcript:CRE01354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01354 MALTSSRYSVLAKAELSRLGVDLYTARFEMKCIDYAGFRRLIVRFPFSDLRDTVPVELVLRHLVEIVTRTTWSLNGDESKSGQIHIPSTYFFRHEVTFSGDYIRISARVRQVYGQTFQLQTTVRLQDSTLVGAGHTTIFVSHMVPEADDPNTSEESKKSSQETSASLRIKSSKTVDKCGSARHVKPSAPTLDYLPSDGEDVDPEHVERCYAFFNSENEEKQREVGENDEDGAENSLKTPSEHTNRVVPESSLADGYGISSSLKKISEIPTGPVLLTTGFQSVPSSIGKRKQAETSVPVEKRPKQMLSSRNFVLINFTTRSASYQNELKVMLRGMGIHTARISNIQKLPIASENRHIAHFHVDSKEEVELMNEQIRSSEWQTLFGEIEKIDSTSFCWHDIDVKGVLKRMLISKEFALFKKRPCSSLYKLLQNRKQMKSVVNNHILLAHMSQALTGPGKDLLAEIREEVNKNR >CRE26911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2005:1344:2316:1 gene:WBGene00081070 transcript:CRE26911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26911 MQIESNVEDGEFRCIGTFQHHGHQANLAIQRLRDDELSEIKQLMTDGFTNRQIIEKLNSKHTPDDRLRYMLPDDLRNIRNKENINPGQFHREDLISLETRVAEKRYDDGIRHYQPPINETGENFQLVIVTPSQLDSLKKYSHRGVTLDDTFHVTRYNLKLTTILVCNGLDRGVPAGFLLSNSTTTEDVAILFESIKKIYPEFRPRSVMSDEAAVFFNAFQRVFPESTAKKYLCRWHIFRTWKKYAKNEAKLNVRVKKIDSSEIYKLRGKVSTSFL >CRE29386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2009:3231:3572:1 gene:WBGene00081071 transcript:CRE29386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29386 MSGWYEISQAKDGQYRFVLKAGNGEIILTSELYKAKASAQNGIASVQKNSPDDARYDRLEAKNGKPYFNLKAANHQIIGTSQFYSGDAARDNGIESVKKNGPSDKIKDLTEQA >CRE29384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2009:27:971:1 gene:WBGene00081072 transcript:CRE29384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29384 MSSSSSRLLTGHFPEYELLENSLTQAFHGRAALLFNSGYHMNIGILPALADSKTLILADKLIHASMIDGIRLSSAKYVRYRHNDLNHLTQLLQKYHADEAFERIIVVTESIFSMDGDETDLAALVSIKQQFCKVMLYVDEAHAIGVRGAQGLGCAEQYAVIDDIDLLVGTFGKAIASVGGYLICHAVIRDYLINAMRPLIFSTAQPPICMAWTNFVFQKVLGLDQRRQYLQSTSQYLQQAVQSKGFECPSTSHIVPVIVGDSIKTVEKAKALQDAGFYIMPVRPPTVPQHSSRLRICLTAQITQADLDQLIPLL >CRE02636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:118779:120586:-1 gene:WBGene00081073 transcript:CRE02636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02636 MSRFFLILLVFLFVQFSIGYPEMESLEYKKMHPTTDYIHFTGQITCKHIQTPTIQSFVLWEHNRILKDFLPFQQVTLDQTVYPYKYDVKARAFGDWPTDEYEFYYYIIHDCNYWRESKVQEVWYQDFKTEGNVTHNKNIQLE >CRE02618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:66928:71051:1 gene:WBGene00081074 transcript:CRE02618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02618 MSPSSNEMNDEEADFETPIESGFEYALNDVIYQGAEGKITKCLYLGREAVVKERFSKGYRHPTLDTRLNKARTKQEVRGMWKARELGIITPTVYFIDSEKNQLIMEYIRGPTAKWWISQLKPEEFDQKMDEFGRILGEILGKLHRGGLIHGDLTTSNMILKDGCMEKLALIDFGLSQQGKVTPEEKGVDLYVLERAVVSTHHNSHALLAGLMEGYKTADGKQFTAVEKKLNEIRLRGRKRDMIG >CRE02621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:97354:97752:1 gene:WBGene00081075 transcript:CRE02621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ulp-3 description:CRE-ULP-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NDC1] MNFPLKNSFFQIAFITNNNTDVTRPNGGSHWSLLLFDRPSDTFIHFDSSSRYNHQTAEILAKKSKKLVKQRKIGTEIVAARCLQQRNSTDCGLYVANFLSVLMEKRDIKSVESMKKIEKTRSFWRDLILNFE >CRE02631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:47365:48126:-1 gene:WBGene00081076 transcript:CRE02631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02631 MKVDYFEVTLDKGIDEPYLGGDPIKGIIEMVCSKQVRINGLIVRLTGVAETGWRSRQDDLPYESRHTFMDEQIDLTTSTGT >CRE02628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig201:175323:177788:1 gene:WBGene00081077 transcript:CRE02628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02628 MPPKTRKTKKEDVEEEEAIDEILEETKPAKLPENDPSYARKIVVAKSGSLPNSRIIKLRHPKEGCALFRISDTHFDEILAINDGHRSFFYGESIIENGTVHLIAPFNPIFICLPFLHKTADKFLEIDE >CRE11622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2017:2657:3236:-1 gene:WBGene00081078 transcript:CRE11622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11622 MRKSDPKKLFGDKEPGKKVILTVGGEMKSEKEERKDTKKKAGRERGKNRTVEKKPTLATGVVPVDLGFSLAAELARVRTTGPEPQTVQMKEVVGRATKAGEEARVQQQLTEGGEFEVRVYFYKLFIQ >CRE09940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2019:4269:4856:-1 gene:WBGene00081079 transcript:CRE09940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09940 IRLILSCLRRRINILFNILKHAPLEIFLKCFIIYARPLLEYGSVVFSPVLKELVRKIENVQKSFIYRCYKKFEKEYNGYFNAIQECGFESLEYRRLLADLSFIYKCLVTKDVEIPNHLFYTYPKLSHLRRHKYYVRTTLPNKYECFSQYLSIRSLACWNSLPESIFISIPTPDCFRTRLRALPLHNYLTLSDLNY >CRE09811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:36519:37499:-1 gene:WBGene00081080 transcript:CRE09811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09811 MTFSIYHGPPILPWASDSATGLLFFYGPPILPWASDFFTGLRFCHGPPILPWASDSAMGLRFCHGPPILPWASDFFMGLRFWNRAQILIGKELEVTVVGPKYMTQCFYIITSKEEKSGKVAENIWNDWKQLLVWKYSNNLVEEWKQLCIYVLEIFKKQTIRVFSIALDVYVDQNVSIIDFLKTNVKSVEKCHLYHLEEKNDVDEHAAYVLENIQITFELLLCLHIKSNDFILKIPKELMELTMIKSQWVGYDKLLEIDFKSVILKENEMSDEQWNLYFKKWITMETHLNLEHLELDYRDIEEFRALVLHDIPHEVVDRGVKRILKT >CRE09798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:85155:85730:1 gene:WBGene00081081 transcript:CRE09798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09798 MTFYSKYSVQLCVDKTMGIAIIGTDERVTCTYLMTSDEQMNGNVEESGGNGYIIRKVFKYSKDPVDEWKQLSKYVLEIFKRQTIDVLLMIMDSLVDQNVSIIDFLKANVKSVNECYPYQSKEENDVDEHAAYLLNNLTVNNELHSNLRIKNYHFDEKNFKNLKELNIYNSKWIGYNRLSLSPITSPPVPYL >CRE09807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:116473:117690:1 gene:WBGene00081082 transcript:CRE09807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09807 MDLPKPFLILRLPFLAIEEVFKAMHPFEIINFSMISKRSKGITMQMSVCPRYSIELHIHETLGIRFLGTKSDVSCSYVMTSNKEMDGRVVETDCGRHLNWNVFKYSDDPMDEWKQLCQHVLEIFKKQTINVLRMTMDAFVDHNISIIDFLNTNVKSMDKCSLYQQDKKINVDKHTAYLLDNITINSEFHSNVYIKNEKFDGKLPKNLKKLSIHNSKWVRFDRLFEIDCKRVVLRKNWIWDMEWNVFLQKWIAMETNQNLEYLELDHRELNVFRHHELYGIPHEVVDRGVKRVLKTRRNKTKKISGGIDIRRIDGKTATFFVHRVSSVKRFAMSIH >CRE09777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:8533:10610:1 gene:WBGene00081083 transcript:CRE09777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09777 MNPPNPFPILRLPFLAIEEVFKAMHPFEIINFSMTSKRAKTVTKYMAFYSKYSVQLCVNKTMGIAIIGTDERVTCTYLMTSDEQLNGNVEESGGNVYIIRKVFKYSKDPVDEWKQLSKYVLEIFKKQTVDVLLMIIDSLVDQNVSIIDFLKANVKSVNECYPYQSKEENDVDEHAAYLLNNLTVNNELHSNLQIKNYHFDEKIFKNLKELNIYNSQWVGYSRLLEIDCRSVILGKNLISIKEWNLFIKKWIAMETNKNLKYLELDYRELDEFRALVLHDILHEVVDGGIKRTINKLSYPNRNIEINGGIDIRRVDGKTATFFVFQMNFLAMSVH >CRE09793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:66596:67487:1 gene:WBGene00081084 transcript:CRE09793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09793 MGIAIIGTDERVTCTYLMTSDERMNGNVEESGGNGYIIRKVFKYSKDPVEEWKQVCKYVLEIFKRQTIDVLLMIMDSLVDQNVSIIDFFKANVKSVNECYPYQSKEENDVDEHAAYLLNNLTVNNELHSNLRIKNYHFDEKIFKNLKELNIYNSKWIGYNRLLEIDCRSVVLGKNLISIKEWNLFIKKWIAMKTNKNLEYLELDYRDFEEFRALVLHDIPHELVDGGIKRTINKLSYPNRSIEISGGIDIRRVDGKTATFFVFQMEFLAMSVN >CRE09783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:25037:25328:1 gene:WBGene00081085 transcript:CRE09783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09783 METHLNLEFFQLDNRKLDVVDEGAKRVLKTRRNKTQEVSRGINISRIDGKTATFFFVFEKEFLVMCVH >CRE09787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:43024:44322:1 gene:WBGene00081086 transcript:CRE09787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09787 MKPLKQLSILRLPFRAMEEVSKGMHSIIKMISNRRDNKFPILCLPFLAIEEIFKTMDPIEIINFSLISKRTRTVAKLMSFYSKYLVHLYVRNFTLDIGLLGTKKMVTCTYIMTSDKKMNGKSEEKEQNGCIEREVYNYSKNPVEEWKQLSTHVLDIFKKQAIDGLLMQMDAFVDQNISIINFFKVTEKPVNNCFLYQLNHQNNVDANVAYLLNSVTINNSLDTWLDIKKYYFDGKIPKNLKGLNINNSRWIGYERLLEIDSKNVTLENDKISNKEWNLFLKKWIAMETNVNLEFLQLSRKHLETFRAHVLHDIPHEVVDGEVKRLLKTVRNKSTEINGGIDIRRIDGKTATFFVYREFWTESLAISIH >CRE09774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:1135:2025:1 gene:WBGene00081087 transcript:CRE09774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09774 MKLWMSGFMELKRRYIYVMASDIKMNENSEEKKQNGNIIRKVYKYSKDPVEEWTKLSTLVLDIFKKQTINYLSMQMDAFVDHISIINFFKATAKLVDDCFIYQLDQQNNVDENVAYLLNNVTISNSLETWLHIKTYFFDGKIPKNLKELYINDSRWIGYVKLLEIDCKNVILKNDRIWEKDWNLFLKKWIAMKTNFNLECLQLSHKHLETFRALVLYDIPHEVMDGGVKRILKTRFNQTKEITGGIDIRRVDGKTATFFTHDNGFMMSVH >CRE09803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:107585:108241:1 gene:WBGene00081088 transcript:CRE09803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09803 MTMDAFVDQNVSIIDFLKTNVKSVEECNLYQRDRDINVDEHAAYLLDNLNVIDELNCFLHIKNNLITNKEWNVFFKKWIAMEINQNLRSLELDYSKLDKFRNRVLHDIPHKVVSEEVSRIVPCRYHKAQKINGGIDIRRIDGKTATFFVLRSRLEESFFMSIY >CRE09775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:2870:4054:1 gene:WBGene00081089 transcript:CRE09775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09775 MDPSKPFTILRLPFLAIEEVFKAMHPFEIINFSMISKRAKGIAKQMSFSPKYSIDLYINKTLVIMIYGTKDLVSCTYVMTSDKKMEGKSDDAEHNGYIIRKLYKYSKDPVEEWKLLFKYVREIFKKQSIDALSMQMDAFPEQNVSIIDFLKANVKSVDGCWLFQTDIKINVYKHTAYFLDNIKINSVLFSNVYVNNVNFDGRIPKNLKELYIINSEWIGFKRLLEIDSKTVTLKNYKISDEQWNLFFKIWIEMKTHVNLEYLELDYRNIEQFRALVLHDIPHEVVDLGVKRVLNISFNDTQEVTGGIDIRRIDGKTATFFDHYTGFSMSVH >CRE09805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:113298:113669:1 gene:WBGene00081090 transcript:CRE09805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09805 MGGLILVLRTVAMETHLNLEYLELSRKHLETFRAHVLHDIPHKVVDEGVKRTLIAHRDGKAEISGGIDIRRIDGKTATFFVYRELWKDRFAMSIH >CRE09815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:97695:98728:-1 gene:WBGene00081091 transcript:CRE09815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09815 MDRPKPFPILRLPFLAIEEVFKAMHPLEIINFCLIAERNKQITNMMTFCSKYSVALDINKILKIAIRGTNNIVSGIYEMTSEKNLDGVIGYVVGGFKLTVFKYSKDPIEGWKQLSKHVLDIFNKHTIDTLTVDMDEFVNYNVSIIDFLKTNEISVNKCSLFHWYSNINIDKHAAYLLENIKINNEFNFYLHIKNVNLDLKIPKGLTKLKMINTNWIEYKQLLEIDSVTVDKWISMETNLNLELLDFVFESMKEFKDGVLFDIPYEVVDNGVKRDIKTRSGISRE >CRE09799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig202:88948:90122:1 gene:WBGene00081092 transcript:CRE09799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09799 MDPPKPFPILCLPFLAIEEIFKAIDPIEIINFSMISKRTKAVAKYMSFLPRYKIDLYIKETLEIWFFGTRNMVVYVMTSDKEMDGKIEEKECCGSILPVPLIFRKVFKYSKDPVDEWKQFCKYVLEIFKKQSINCLNATMDAFVEQNVSIIDFLKANLKSVDICTLSQRNREINVDEHTAYLLDNIQINSELCTDVYIKDVNFNGTFSKYLEELCIKNSEWIGYERLTQIDCKSVILEKNRISNEQWNSFFKKWIAMETHLNLEYLELDYRDVDEFKALVLHDIPHEVVDERVKRTFKTRRNQTQELNGGIDIRRIDGKTATFFMLRFRWGEIFSMCIH >CRE16574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2024:532:2920:1 gene:WBGene00081093 transcript:CRE16574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16574 MKTALTSSSNKISTGSRSYARRPIRPSAYRNPQTTNSMPDRHMAKRSENGRYDVKRILLTRSYKHHNVYNDLGVRVVGGKRQKNGELSAYVSQLHSIANNQTLGQIKIGDEVVEWNGILLRGKTFEEVERIVNKSHGEIEMVIRTEKNPPGCYDTLPLNRNTLRDDLSPDRVPPVPMHRINGINNNSVLHHHTLSDSSFHGHIQVSLGYDGNSRLVAKIIRARGLRSRDQSRSAPNPFVKVYLLPGRKVSHKRRTRFVDSSCAPEWNQVLEYQVAPHTLNTMFLEFTVCDYQRDVDDLPLGSVQIPLADKSAINTGPRWYPLQGSYEIQAPHHHHSMNGTSQISSIAAASTHASAQNHLHNHNYSEVPPSILYPKGGVNARHPDKPVKHATFNYNPVSLDIGYPA >CRE16565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2025:407:790:1 gene:WBGene00081094 transcript:CRE16565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16565 MADIPVECSKPLA >CRE16568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2025:3372:5311:-1 gene:WBGene00081095 transcript:CRE16568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16568 MKNVLIDVKNDASNENLTSSSIEETGLSSSSSMSLLNCQSIDLSAQKSDGSGETSPEIVPFQEDQFEMVQDKIREDSCVQSDSSSMDYPATEASSLGKDVRDSKPKPFSFGRMPTSFSERAQTTSSVVVNEVQKNDIEYCVIVLDNKNGAVIGFETNLLKLMTIVMEETDLERYGTLRFISEREENGKVYPKLGTVRFTEPVDSVIVNDATSIPARVMFSSNQKHGSYNKTVAFSDSYGLVEIPESDASLKHMAVYNTLIQLLVLLNLNIQSDKINFRCQIEDKLKPIFISVGPLKQDENDPKQEFIESMYNYEDKMFTEPRNHGTSSENFFQVLSLLYRRMVLSGENETPIHMQHPLSCWGRNFLQSEVFPSAGERISMIWHLILLLYDALCLKVIGGSVSLLVTLYLVLSKIPAEFMVYISACFIAVIALMWLLEYKTLTVRNRFKVVYAMRRDLAKSAEERDIEPTDREAYAVSKNSDDKKKQRH >CRE15846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2026:2115:3180:-1 gene:WBGene00081096 transcript:CRE15846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15846 MRTTTQATPTFSLEHDGRGLKSATQGLGKGPSRCRPEEEESGNLQVAHHTALMEMGPGAWHLGSPPRRPYGLPGGEPGAYVPSGVRERLPIDHQPATAVETAMISQWKDNNKTILLCS >CRE15847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2026:4979:5436:-1 gene:WBGene00081097 transcript:CRE15847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15847 MRNFQNNYAKDWFGSDVALVQHPLLAPAKSIKPGNPVSLPTIAPLVVKWSDLNNVKINEQIGQNFEKRVDSHVWWPNK >CRE19470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:121916:122380:-1 gene:WBGene00081098 transcript:CRE19470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19470 MLRPGLFISGQHCINTPXXXVFGMKIELCRGRPGWICEGSRKRSLRERQETKPLEFGRYHLAPPIPTNAFLKDRKWESEAHDDDNNNGVDPNSIAAQEEMPSDSRHSEVEVVEAEEDLDGVVLPDEELFDEELARIAAESSKLRPPVGHGDRTR >CRE19474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:161142:164046:-1 gene:WBGene00081099 transcript:CRE19474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19474 MEILALSELTLQSVVDYICSGTYDNVDYKLSPNLSNQIYHSVKNNSKEIPNENIITQFQTKLKLTKINHMYHKLNRHTMQMFRSHILDHLMIGFLDFDDFGRKYKDENDHIDVVSVLEDSLTDYSRNNLKLLTLRMQNKYQSGWTEVIGPRLPNLETLNISGIEIGQQEFLNLCKSFPKLKTLDISSCAVTNLNGISNLKNLEVLIIRGLNLATPEDMDDLFGCKKLRMLDFAKSNRNEGPSIMWNYMKCGKVLEELEFLDCNGTDIDKSMVEVLMSTHKKLRTIVTFDSILDFSTIPGIKLINSSTPQLMIEGFQHYISIKRDGFIGWMLCLIRETYLLEWNKDKDHQVLRDFLRIVCLAMKSSCWKAHVFHDGVRCLMEITKEQNIKLLGPMEISLMIDQLVESGTKSRTLTYDTEILEVAYTRSWHIIHQNLNFPQLKIKKLCWYTVDFLASKFSPSIPTKQFYILENLMEKLDLEDLKILYRRTDLLDSLLDFLHLCNTESKVFMEQHRKNVNFILDLIYTVTNDNDEAARYFINKKVKKIHAIPLLVHLSDFKWTEWPEKVKALKILVNLTSVEDFQEKWGRYFRLGEWLFLRNQLKGYYKHLNTSTGTYLATDKAYCSMTILSCLMCLPNKNKKKYYKWKTENTEMVKACQKIQTSHISVNLDFYSSGVILMGTIKNTKYDGPVMWALLTMKAMLERDIDLVEIFKDSGLLSAVQRIQSKENGVMKLRLEVLRLLY >CRE19464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:73622:73927:-1 gene:WBGene00081100 transcript:CRE19464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19464 MRGTGYWNSDELNRRSEQVGKTSDVLESWKVERKEVRFELDQFYDAHKKSCDKIQLLEERNQELTKDNQIYLQMIEYNSDRIAKLTAQMEKLAKSVKKLEK >CRE19476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:170065:171467:-1 gene:WBGene00081101 transcript:CRE19476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19476 MKFVTIRRILNAFLNVFRKNPKTFNELDKYLKERNVWRFQPEQYFELWRIFGESTIDTCPIAVQLVCDQIAAEITAHENWEKDPRGMAQHLKKIQMKLGAASTTEKYDHLKGKKRFIPGGGGATAALYYIKAIQKKFRPEQIMELAEIDMLPTIEVTQDLLNDGIMWEMKHDSLGTIRRHVLETSQKKGGETGEAGGKAARPRERRHAPLPIQPRLLEFYERVAPYIRGKPFTADVFKKFGEEFYQIQKELNEEEMKRKLQKTMTMDEIDGAPEDDLIKEKYVNEASGDLGIPEKVFIA >CRE19461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:159334:160046:1 gene:WBGene00081102 transcript:CRE19461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19461 MLSFLLFLLFPSVIQTCLVIRYSEPPKCECEWIALTSSNIEEFIGQSSFYIQNITGKEVKVPLSTEEDCSLSIYCDKWSLVIMDKTTARMLGEYSADALCDPYTQKWRVDNGAELVTYDELYGVCVDYDFETTTTRRTTRKVPVGNNPPRPTINFKRK >CRE19477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig203:179334:179540:-1 gene:WBGene00081103 transcript:CRE19477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19477 MMAWYGPIFYLDLGMWNNYFGVIAFSAFPFLDPLAIIFLLPNYRNRVTRKHVIEPFVNTIFNRTTTVN >CRE27847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:66558:67745:1 gene:WBGene00081104 transcript:CRE27847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27847 MRPHILYFNCIKEVKLEVFQREYADCLSSEKSTNCASYVVGKMCHKDRHHLANTFLSITFLASIPWMIMIVPVGLILGGIRAIFGPKPAGRPEKLAKSMPDDDSGISAAECDDSKAATTSPNSSENSVDFKEVTVRQKRDVAILTNVTSSDNSMAENRKQNSTHSNKFIFN >CRE27850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:1602:3341:-1 gene:WBGene00081106 transcript:CRE27850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27850 MKRKASPKVSTSAKKVAIKREVPESPVEPPPRPITEIDIGNISALDEIKSHPFLRMRTGRMFEVQKILGEGVFGLVYEVVNKKKERLAAKVMKTSNEPADLWKIELIILEKLSKKPHENILQMISSGTCLNPPPYCSRNVIFLPVVGPTLLDIIDSE >CRE27852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:16696:17577:-1 gene:WBGene00081107 transcript:CRE27852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27852 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3NDK5] MLQTKFRRPRMRSYGGNDLTMDDLIHAYSLISKGISMDTPEVEENVDNSTETNSSKLEHVGSNSAQFPIVEHGHNFVYSTSLELRVGAEIAIDAIIQSRFLIVIYNHNRRLFRFDTYIHSNGTGNREEIHFLMSIREEFGSIVFNSFFRRTWETEERRIYPALPNNFISFRIQVLQAGFQVISSLETHTYTQVHFQCSINSSWFKFFEHRLPLSSIEAITIRGSHLVRVHLDTEDYTEGEEMAEDEEYTEGGEMTADEDFTEEE >CRE27854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig204:64378:65631:-1 gene:WBGene00081108 transcript:CRE27854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27854 MKFLHIFLIAFSFLTILTVAQGVSLNNMDDNGTVPTQRNVPEHVPGSNMIGAGRGRRVQDWKKSKTTSEKIPQQPPNQPQQHDATVPAEHKQ >CRE02778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2041:2757:3392:1 gene:WBGene00081109 transcript:CRE02778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02778 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNPHRSIRKMAKGMKISSRSMGRIVKDKLKLTCYRVRKAAILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVMVFGAVCADGKSPLLFVDQESNQ >CRE15151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2042:6067:6903:-1 gene:WBGene00081110 transcript:CRE15151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15151 MAEGETTRNIPTLNQPVVDQAKVLSAAEKQNLEQQIRDIYRQGKAQIGIIIVPTTGQEGIFDFAMRVAEQWKLGSAKQDNGLLIVVAINDQKIHIATGYGLEGVLPDIMVSRIIRNQITPDFKQGQYAQGLQAGVNEIERILNLDPEIAKQAADELKARQTQALQEQEAKDRTLTTAMVILVIGIFASFIVGNRLSAAVAGVTATAAGLIYGSGIVMSLVLGFGIFFLLITSLAQLIFQMFLSGGGRGGSSGGGGFGGGGGYSGGGGGFGGGGASCSR >CRE15152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2042:7277:7867:-1 gene:WBGene00081111 transcript:CRE15152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15152 MNSMKKGLVALTLASTLAFSGCGYNTLQAKDESVNAAWSEVENQYQRRADLVPNLVNVVKGYATHEEKVLTEVTEARAHVAGLKVDKEVLNDPELFKKYQEAQSQMTGALSRLLAVTENYPDLKANEQFRDLQVQLEGTENRISTARTRYVESVQDYNTYVRQFPQAMTAKVIGMKAKPNFSAEAGASKAPTVNFN >CRE31639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2047:272:4048:1 gene:WBGene00081112 transcript:CRE31639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31639 ETVKQVLEPQATQAEKEEVVKEIKAIAPQGTEVLDPYDGKIKLTAIQTSQSVAEVQGKENTVGFSYPKGLADTTPANSEEAKLNQDKNFKKTETEVVVEPPRGKRSTYTVLAGDTLATIALKNGVNWRDIAKWNQVDPNGTLFVGATLYLYDAKPQIDTPVVSRKSTDSAPANYVVKPNDSLTSVANQFDLSVSQLANYNNLNTNSNLFVGQKLKLKDASDDKLSEINKAVVRADTSKPVAKIKTKAYAVKRGEYLKVIADRYALSVHELADLTPGLDTSGSLFVGQKINVPLNEVNEPEAKLDSKVIDQSKFKNVKAETNYKTENYKVQSGDSLSSIAVQSKLSLAELAELNKISTSKNLLVGQIIKIPAGTTTPETYTVQSGDSLIALANKYNLQVNQIADLNGLKSTSGLFVGQKLKLTGTPETSSKEKAQARNEAMPYANSNAPKGGYISTASIGTFDNLNSMNGKGSSTEGINYLFDSLMDSSLDEPGVLYPLLAEKVTYDPVKTKFVIFHLNPQARFSDGSPVTAEDVKFSFDTIQSKANLGFQMYLSDLAKTEVLSKYQVKMTFKSDHNADMPFILAKIAIYSKADWKNKDYSKVTLQPILGSGPYLIERIDSGRSISYKRNPNYWAKDLAINRGRYNFDRMKYVYYRSPEIAFEGFKSGQYRFYQEKNARNWVTEYNFPAVKQGLVKKYISKIETPALTQSLVFNTRKAPFNDLNFRQALTYAYDFEWINKALLYGQNIRLQSHFQNSELAATGKPSAKELAILTPYLKQLPALQRQGVLADWKYPVSDASGFNRQNLLIARSMLLKAGYRFHNGLLVDRQGRPIQIEFLIHQDGALRTLLPYIRNLKKLGIQANIRQVDLPQYTERMRRLDFQMTAIDMPQSLTPGAEQAQMWGSKAADEVGNYNYAGVKSPVVDQLIQQIIYAPNREQLVLRTRALDRVLRAGYYQILTYGNDKNWYAYWNMYQQPKVKPKLSLGLEYWWADADQAKKVEQYLKK >CRE31640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2047:4162:5232:1 gene:WBGene00081113 transcript:CRE31640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31640 MGTYILKRLLLIIPTLFFVLLINFVVVQIAPGGPVEQAIQQAENFQGVNMTAGGLSSSVNNHEYRGAQGLSEDMVNKIKQQYGFDRPAHERFWLMLKNYLALDFGTSFFKDKPVTELLWEKMPVTISLGAWSTFLIYLISIPLGIKKAKQHGLFFDKSTSLLLAVGYAVPAFVFAILLIVFFAGGSYLQWFPLQGFVSDNFHSLNLFEKIADYFWHMALPLLAMVLGGFASLTYLTKYSFMEELNKQYVLAARAKGLTENDVLYKHVFRNALLVIVAGLPEVLVGVFFVGNLLIEIIFNLDGLGLLGFEAVQQRDYPVIFGALFFLTLFGLILRLICDVIYRVIDPRIDFESRGAK >CRE26776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:4037:4687:-1 gene:WBGene00081114 transcript:CRE26776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26776 MYYQLQHYKTMASNLTKKFLKEGRENREKQLEFLSMKTRYHKNLERVVRNLRTEKRVSEQLKKRLDDANKLIKSLSEQKGLAGNVKQEIPELDTPRVIKEIKEEIEEQEDEDQDSELEKQSFPIKKSPETVAIHWLKIENKRLTQELRLQESRSKAEPKKKLEEFKPKKLRSKKKKDQKRNGRGGRIGKLAPTGFLIDT >CRE26763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:297:902:1 gene:WBGene00081115 transcript:CRE26763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26763 MKMHVKLSKPKTPQDALETALSVEGVMTIPKHTDVLSNPRVLAATAGKVTNRDNSLRESDDVRRSSVSSQQCYYCQEEGHYAWQCPEKTRRHHHPGSSRAQVGCIHVNTKQRLERLTEQEHEGNFRSECYTLQCRKGNAQVAEPRCNAEYEDFGASANTISASIPIEANDHACLALVDITFTLLAVLVASPVYVHKTSPRN >CRE26774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:167642:168695:1 gene:WBGene00081116 transcript:CRE26774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26774 MTLAHLLIVSLWPPGKEVFTLIWSISFWWSSIHSTSRILPSIHNIPNWFFYSTFSVLVCLPLPTTLQMGHTSRGSLEEKRDNHQSIPDPKRFTKLQLPILKARFSKCALVNEQERIYLGKEIGLSPNQVMWWFARQRTNRKRAERRDTTTKTGTLTKFQLAVLKKEFSKCPRVSHGKKVELAKITGLRETQIQDWFSRQNGKNPVLRAQPSHPFPVGFTPIRTPLIPMPFMPMMFPIPSLPIHFIGGINNKNSTMQVMENYIEKKEYSVSPKLNESESEEPLIEVQEDEEVDIIN >CRE26769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:98080:98550:1 gene:WBGene00081117 transcript:CRE26769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26769 MGLDDWNIEKFVDEAARRIAEGMVKAMEKACRENPRFREQVLQHERMRIAELREQVKDNVSEQIQEWVEKRAKAEQQRKKKLKQRKQQRKDRKKKTKRHRRRTWIIFREFVMIFPCQIVALELLGIKFKSYEDANLSPIILEEAFVPRNKKKKKLN >CRE26772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:155077:156633:1 gene:WBGene00081118 transcript:CRE26772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26772 MSFAATFDFKSGYHHVKIEENSSEFLAFSLTDPPKAPFYKYRALPFGLSTAPWLFTKIFRPIVGKWRRDGIKIWLYIDDGLIVAETKEELIRAVSIVRSDLERLGVALADEKCSWEPSSEFTWLGFVGDLRRKTVTLSEKRYKAVLHRLEVIKGSLAPTVLDRERFLGSLSSMLLVAGNDAQARSRHMQMTVASARREQLPETRRIEKTKGELAEIRFWSENIRRLSSTKLEENFRPVWRAYTDASADGMGALLKNLEGEVVCRISEVGADTFKSESSAMRELKAMRMLARRIAGWIRGAIVCYVDSQAAVAILKKGSMSSELQEVAEQVWDAFQTVGNVRFLWIPRELNKEADFASRDFDFDDWGVDQKVFLWAQTRWGEFKCDWFADEANAKTQLFYSRDPGKCSQGANVFDHIDVAKQLGFAWWVPPPNLVPRLIAECRKTSMRGVLAMPLWENHVSFQAILDSRGNWIRQLVDLRVYPAKDRIIVPGAGSVYCGRMSTPVCETKFLVALLDFSR >CRE26768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig205:56375:56739:1 gene:WBGene00081119 transcript:CRE26768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26768 MSRVAAFLCDAACSFHGFCMQMLESFQCVCDEGWVGEICEQMAETAASPLVNTTVSAPVVTTVASSSGAWTIFLVVGFGFVVLVLLLLLCLFLTRRQDQLMDDSLC >CRE03577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2050:2223:2885:1 gene:WBGene00081120 transcript:CRE03577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03577 MTPFQFRFPSQTTVIGATQSGKTSLVRKILENLDSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQSVMSKPYNAMMINNDPNADPCFRIMEDFLHEFPIVYNAFSVRLEYSHCR >CRE07960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2055:186:920:1 gene:WBGene00081121 transcript:CRE07960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07960 MIDTTEDESLVEEGLCREVTNRVQRLRKQAKLVSTDTAHVHIVVHPNDSQLAQVVAAKLKDIESATGTPIKLGAPSASAKAPTATSKSAVKDSEVELWLFAEGDNFEGITVVDGTKKVRVHLKTENEKLNGYADLLYHVRSALDQWNGKITLNNADGSRVHPTVDVNSLAGKTLQLAR >CRE12447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2056:33:6002:1 gene:WBGene00081122 transcript:CRE12447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12447 MNELTATTTELKKLLSKEDLKKRIQFLVDVSDGMKLSIAEKSMTSGFFNGLDDFKNLSFSIARFSELEGVSEMISSVLNLAQQLEPLSSAKTASKDPNIVINGLSLIKKLESAKQEMGSFDEYESIQKCVNQLSTLESGLSLSIWGQEQSNVKSLGKFGHEFTGKYNGISFDASKLTSLMGLITDDVAGTIGAIRSGPDLSTVQSELNALKGSLDGVTTSQLTTWATASKVDTLVPNASQWLKNNKVDSITSCLKNKIVFKNAKKVIDQRDSLTAVLKLKKDNKEMREISKLATSQKPIIKAWNDFKSAQKSRQTRSTKSDGKLEDAMKSVRGISSAVKLFRDLAAGFTSNKDIQGLLKAEKTIDDAIKKNFLVLSNEVNKNIKTKPEKLNEYSKFFEMKLNFDGMAMLKMRSLSEKLQYNDLPIPDYDTLNRVKNLDTIDFVNAKTKLKFGLDSFMLIVTFLSAQPRLKKMRNILVTTTIAAGFPGYVYALIGCGILAIVVAVVVGICVYRKKKKKDHTVVKPDCEKKSTWKWAGPIKRCLDQCIEKMKIKEKPKKRVDNDPAPPPPPRPVINSTTPLLPKPDKNEDDNDNDDYQNDPVIEASLKKIKGRPDSGITLEGEKIESNVPDPVKKEKELSTDQIEFAKPNTISREIEIRIPSLEDDMTPETTTDLTKTISSSTVIDMEPTPNEVTVDVAKSESTHTVAIKTLEKTQSDTRTATSGDSQSEFLDGGSVSPQNREGDESADVCIKGKEQSWKEYDNIERKDEKTPEICQYFPVRILQHLSDWETFAELEILPATTVDPRHEGGGGGRKRKDTTSQGNLSVAELIHKLMTEQNMKVAKWKEGEFPLTYEQCMSILKMAKKIVIEEPALVR >CRE11625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2057:4049:5172:1 gene:WBGene00081123 transcript:CRE11625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11625 MGFNQFSPINFHPLFPFNKLGFGLNCVLLSACTAYHLVSSHTQTLHLIPRTWVGPPTPTRPTRPQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKEKGKLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKEKGNLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGYLK >CRE25867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:4023:4268:-1 gene:WBGene00081124 transcript:CRE25867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25867 MIWEKLIESFETMEKSGIVEEVFCEPENGYYIPYQQVFNAGSNTTKVGTIFDASSKSSGERSLNNALHQGPSRLPELKEFL >CRE25861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:69065:71121:1 gene:WBGene00081125 transcript:CRE25861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25861 MDIHAPTLWLMHLLAFLDQKCGVLSKAIVCASLLFLETHNVHLTHSIGTLDGFFGFKRQKLDEDTVDPLGSVSPAEKIKKKVGNKERPTTATLGTMQGKEQKDFTTVWQDQEQTIESRRQHFGTTTTRTILEIKGKNVHFADARKIIRTAHKDEVRGLPGPNVEDGMEKPQCEQGPRRSKS >CRE25860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:67596:68260:1 gene:WBGene00081126 transcript:CRE25860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25860 MFSVARFRENFSFEMSRVKTPTLRSRVEFPRERGPFRIRNPVRGRSPPRRRQETGGRRGNNRRENNGRRGGQWIKKKEGQGAAKKQQQKKKKQRNNVQRQQQQFQYATPMYQQPQLVQITAPHFPPQFPSQMPSQIPSQIPSQLIPQPIVHYVPPQPAPQFILPPQYPQYPFRI >CRE25859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:61543:63587:1 gene:WBGene00081127 transcript:CRE25859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25859 MNFEDIKTAMEELLTTMLRFSGEKDEDPYVQRIENFVRESNSLRLGRQLTIAVLPRMLSASARLKYDGLSNEEKADFGLATQALAEKLISQWIGKRTKNRNLLSRIKAKMLEKDTPEDLEEAIFLAEKMEEIIKEEDEETINLIDRVKISGRNNEEESLREQIRELTIESKRQRRMIEQIGNSNHRRLNTPRNQGFSRGRNVLQGFQQLPLAQKATWTDVVENLIRAFEVPGDRELAQQEITILMPQNAEFSRKAEDAR >CRE25856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:51680:52011:1 gene:WBGene00081128 transcript:CRE25856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25856 MSDMENWRTALRILRQKLHEELIEYAEKKAAGWQPYYEDDEEETFAEIRYCIRDCETKIRQGEEMERLAADGKLRHKG >CRE25876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:126126:127684:-1 gene:WBGene00081129 transcript:CRE25876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25876 MLESETCLGNLQAASNLTTANLISLRDTVVMDFRSIHKRKHAITSLSADRYDFIFGNVILQRLPMHKDSSKWPMKDYRSEQGRISRFSHQETNKPTHRPTKQCPTTRRIRRSTINHHRTTPPQHRNPREIHHRFLEDRSSELNSVVPHPILTTVPSDFADPSNHPFAPHYTFVKNTPPRMNEHARIS >CRE25866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:140398:143158:1 gene:WBGene00081130 transcript:CRE25866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25866 MVHRNRVEQSGRIRVANQANQDYLQSQISAVIFFLTNIHWCSAIYFYLPTLLFYSSLLFYEMSVLRYVQGVVTKSCGTLKTYIEECEVNLVDWGHLDSNDEAIRTELAKTIHILEAKISTVNVAMNNFLIKAAEIDEKNSAEQEKVEEKISQVIEITDIANSVMARAQAEMKRMSQKAEKKEEKKMGETIFAHRNNQMAATMPKVPKFNGNRSDYENFWLLFETSLKVNGVENDLLKFNFLLNSLEGEPKELMRQFRLSEENYQKAVNLLQKKYYDKKRIVTELTRQLRSIRAKSESTSDQRKLLDTITIIVSQLNENRGQIDAEMTKDIIVEKFDMRIREKIEDMQLDAQDDWTIEELLEKMDSVITREEKLNIKLDRSEKSEKSSKETSKVRTSNNYATNNSNNGKSKSRENGRDTDRPKTCVYCKADGHWGFECVKVSTAKERKDILKSEERCIQCTMKGHNLDNCRRKRKCYHCKEIGHHSSICTSKPKDSSDDSSSRRRETADKNKQKTKTTAVAQIEEDETTSERKEEELNSHVSKVEKKAKTRAFIPTLRTRAYNPQNGQWDEVSMMLDCGADQTYVTASLAKKWNLPMYDKEQFWLRTFDSEEAALKTYGRTNIKILAGAKTMEMDVLISANLAGKVKKARLTEEDWMYVNEKQLQINEDCKEDISFPDVILGCDYLGDIETGRRIRLPSGLDVIGTLMGYTTTGKMTHPAQEKENKEEKFIMVAIEDSEKTGQDIEESQKRDTAMKTQEEFTGSAQEEKARIDKEVWEFFKRTVVKKNGRYYARIPFKKGHPVLPDNFSIALKRLLSVHRNSSKEVLKMIDDVFKDQLQKGIIEEVDPKKHTQFLVHYNPHQPVITPQKTTTKCRVVIDGGSHYKGKPSLNDVIHQGPVILPDLVDMLI >CRE25854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:39215:39517:1 gene:WBGene00081131 transcript:CRE25854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25854 MNSDQFAAMFDVIEPTFTAMIEANPEDMDSKTEAFLAVLRQFDNHPLKDRVEVFREYAILLRFEREKESNKENRNGVVEPPPTQPLRRPRRLYLLMLRGW >CRE25871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:55852:56279:-1 gene:WBGene00081132 transcript:CRE25871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25871 MSREAEIAEIERHILDAEIEYNRAILESCMAQQHSTRIAKEMRRHPLPNKSFLQSFTQDVTTRTQAELHRASEKTEQGLKRVREVGLKLVELRDIAAWVKKGGKLRDIGNGEDGQNGEGQNAQT >CRE25863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:101271:101566:1 gene:WBGene00081133 transcript:CRE25863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25863 MQPSINTTGSRLRRGEQYAEKIRKLGTYAYDDIEAASRDRLMATQFFHLQSSLALSTTSFN >CRE25858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:59780:60077:1 gene:WBGene00081134 transcript:CRE25858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25858 MIFSDTHLLSGYCTSWSLWTKNVDAESNCGCFTSVPVKTHNVHLTHSIATSDGFFGFKRQKLDEDTVDPLGSVRPTDYEKTRI >CRE25851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:22777:24549:1 gene:WBGene00081135 transcript:CRE25851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25851 MKKALQHLKVLIIDEISLATATLIAQLDQRLQKAFETDEVFGGVSIVVFGDLLQFPPVPTVKGGKQEYTFKGIPQEYNVIRQVVTPKSPYYLWDLFELTELTEHVRAKSSDDASVLAAIRQREKTEKLVDYLVNHCSMDGDGPADIFRELRWLCHENPAGDFIVLAPNTEQVKELNTWHPAVIMPNKCVNGLVGTLISVTAEFIEMKRLDNGRVVKITHLPFKRANGSGDIWFQFPVAMAEVITVNKSQGMTFDGVVMLSEHMERSENFYPALPDRCEVSASSW >CRE25857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:53982:54282:1 gene:WBGene00081136 transcript:CRE25857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25857 MTDLESKRNELRLLRECLLDDQARLAEKKASPEWMDLDVSLRDNEETRLVELRTYIRLAEWEVRQLEERERLERQTLRHNG >CRE25874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:103428:104105:-1 gene:WBGene00081137 transcript:CRE25874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25874 MHCLPKQKTPYPAINKPPHLFKSKRSKRPAPAFTAQETEEMMQEAKRMATTYQCKELLDAAKHHQQKEKLLASKPPPKCTICQQQHYASECKLPLEEKMKLIKQRHICHICLTRDKHTPINCKTLRFPQHLCSYKHCGKSYTFHHATICPFTAPTTTNMSPAESTGHDEILD >CRE25852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:27097:27333:1 gene:WBGene00081138 transcript:CRE25852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25852 MAPSRNKIARIERAKQASLFKAAKNHEIEHEAEKETMFPKLKKEVFYLKKEVEELKGNLELANKKLQDAEIEIQHIKS >CRE25862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:94768:97569:1 gene:WBGene00081139 transcript:CRE25862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25862 MKYDKMNTPHANNVTILKAMVISEEGGRVTLFTPQFEENVIIHNQSKRRQPGELFEIIVYCKDYDPKKGELDETTCSAWKIKLDGKKLGIESIYLPSQGQNGGELLLKVVFHDQFSWFGETGHYYNEFIPFGKIRQTNPGVMAQPRLTPLTKEERVTEITGIMIGTYHGNTHLAAPQFERPIIMENAIPPQDLPDHSLHPQL >CRE25868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:5783:6225:-1 gene:WBGene00081140 transcript:CRE25868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25868 MSKNLVDIPTFHGDIEQWEAFYQVFKIMVEEDDSIPNVMKHNILRNHVSGVAENRRMPTTDGEGSQTSTNATKPRNADSNNINAKCQLGVTTRLTQMMSM >CRE25877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:137120:137355:-1 gene:WBGene00081141 transcript:CRE25877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25877 MSKETTKRRFHLQDQRIPSLSSAFDATKRARRKTPVSGRSHFYEIWKENKGKLYFVFEILSK >CRE25865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:122239:122986:1 gene:WBGene00081142 transcript:CRE25865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25865 MFFACWEPTPIRRRNSTSDRTSLKETKTLKNGRVPFVKVKRNDRVGLESELCRHKSLSLSDISVTSLIFILTFFCFFVQMVRIVWNRWTRYRLMRNAAKEEPQMLGAANRDVHAIKLV >CRE25853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:36819:37109:1 gene:WBGene00081143 transcript:CRE25853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25853 METFTERISGSNLVPLIDPHFGKLLEAKPEDLSQAIDVFLNHLKRFDDHPDRQVLITYRQCALFLKEKRERDAEKEKNVNEGVRRRGMVKQLNSSE >CRE25850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig206:10437:10886:1 gene:WBGene00081144 transcript:CRE25850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25850 MSQRKKEKVRKMSGEEMEYDAVELTGTLKREATPDSAKSKDYPGFRKRRQSLSQHRN >CRE14437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2062:2511:3986:-1 gene:WBGene00081145 transcript:CRE14437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14437 MPFVIGGIVLVVAIVATAIALSVSSTASAAKEEISIGLVNEPQNLDIRSTAGVALDQILIDNVYQGLVGLKPGTVDQIVPVLAERMPEVSSDGRTYDFALRDGVVFHDGAKLTAQDVVASLTAALTPEVVGGAATVTAPDAHSVRVVLAEPNSQLLWLLANRPGLILEAKAKNRLSNSANGTGPFRFEQWKQGDSLTLVRNDDYWGDAASLKTVVFRFIPDGRAAVSALKEGDLDVHAALLPSLRSEFDGNHDFRLERADGTDVFTLAFNSAKPPLNDLRVREALSRAIDTKALIGTQHGDGKQIGGPITRLEPGYQDLSAVNAYDPASARKLLAEAGQQNLSLTLTAPNFYDQSTIDLISTQLADVGVSVKVKRVEFATWLEQAYTNHDYQLSYVDHAEARDFGNYANPGYYFGYDSAKVQQLYAESLRATDPTTANELLSAAEKQVAADAPAKWLYNYTPTNVISTKVTGFPRANTNSRLNLEGVSIHG >CRE31483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:36302:36811:1 gene:WBGene00081146 transcript:CRE31483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31483 MSRFRIPRSPFWLPPCEDDEIDFFLKDAITHNGHHLENLSPYITEKYTSFLNQPKILHVWDTITYETVPLPIIIHDCIATYTRMLNNRRSVAEVYLEDYGYTLTFPFSPVIADVLGRYHPIEVCAVRIQNPSVNSDID >CRE31494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:14:469:-1 gene:WBGene00081147 transcript:CRE31494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31494 MSQNYPRMSSSPITSIGVLYTEKSLKCELYFNDPYGKQSFEYKETRKRNDFLKNFVEDLEEIINNQKSLVDSLKIKYSGLKENYTKNKLDPVINQIFKCLESRKELLQVKRLLIDAVDMSQAMRVVKLLDPSVLKKVEFCFEKGDEDIDMED >CRE31484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:36883:37452:1 gene:WBGene00081148 transcript:CRE31484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31484 MLSRFESFLDQNFPNWKSLSDDVLNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIASYLKREWAVQSNHPSGPYVYIKKDENSLYPIDLVHHIEAETDEEIQERQQLEKKERWDALISVSKQITVLGRKHGYVKDLNFKNELSKKVEKLARRMIGITLNS >CRE31482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:23717:24286:1 gene:WBGene00081149 transcript:CRE31482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31482 MQILPFLDPNCLKKIELNDPRSEYGRLGDRVKYPKSMLKPFVLDEICQLKQWKNATELKIRSQPISTSIQKMNITHFSNIWIDVETISSEDVLYLKDHLLLSTVFRRFIIHFKNTTIDYETLHGLIGPPHRIFSDDDRIWFFQMEVNHQFLEVRLDKRCLDFDLSFYIRQYR >CRE31489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig207:74094:74443:1 gene:WBGene00081150 transcript:CRE31489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31489 MNITHFSKITMEVETISSEDVLYLKDHLLLLTTFQSFIIDFKNTTIDYETLNGLIGPPHRIFRDDDRIWFFQMEVNHQFLEVSLKRGCLRFDLKYYIRQYR >CRE17774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2070:2933:3583:1 gene:WBGene00081151 transcript:CRE17774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17774 MRALLITTLTAGALVLSGCQTTGNNIGGMEYDKSAIGGLIGAAAGYGLSRGNANSSAQNNRAAAIGALLGAGTGLYLDNKEKKLRQQMQGTGVEVNRNPDGSVALIMPGSITFDTNKSTIKPNFYGTLNKVAQTLMEDNKSVILVTGYTDNTGNDSINIPLSQNRAYSVANYLKGKGVASRIDTQGYGSQNPIADNSTAAGREQNRRVEISIYAAN >CRE17777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2070:1633:2550:-1 gene:WBGene00081152 transcript:CRE17777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17777 MSSQQQKQPILKKITKGLTVGSVITGSTFFHGPPVLALGLTKLFKKSKKVDETNINITNSWLSVNNWLIDHVLPETQWDITVDENLDLNMQGRYLMTCNHQSWVDTTVNQYFGLTRMPLTRFFTKWELIFIPFVGQAFKILGFPMMKRHTKEQIAKNPELKTRDMEEARKACEQLLSQPFTLLNYLEGTRFTPEKHAQQQSPFTNLLKPKAGGLALALSILGDKIDALVDMTIVYPDGAPGYGEFWLGEVPRIAVNMRKIEIPEWVLGGNYEDDAEYRERFQNWVDDLWTEKDQLISTIKQKYNH >CRE17776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2070:6177:6629:1 gene:WBGene00081153 transcript:CRE17776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17776 MKVQVKVLDQRLGQEWPMPTYATTGSAGLDLRACVEEAIQIEPGQTVLVKTGMAIYIEDTNFAGLVLPRSGLGHKHGIVLGNLVGLIDSDYQGELMVSVWNRGQTTFTLEPGERLAQYVLVPVVQAQFDIVSEFEATERGAGGFGHTGKN >CRE24352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2075:2031:2402:1 gene:WBGene00081154 transcript:CRE24352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24352 MGKKNSDSASGGAIPEGDYEKGKKIFKQRCEQCHVVNSLQTKTGPTLNGVIGRQSWQVAGFDYSAANKNKGVVWDRQTLFEYLADPKKYIPGTKMVFAGLKKADERADLIKFIEVEAAKKPAA >CRE23270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2077:3772:4219:1 gene:WBGene00081155 transcript:CRE23270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23270 MCRSKMIYSQSTIIISLLACILIINILSCFSVFRLEQKFEADELIDIYNPNALKDLRAKYNLKADKYSLELSQVARGADHKRFFGKVKLEAFCAIKERIGDIDDGGKYVCNPRAVRKDNCT >CRE22737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2078:5729:6265:1 gene:WBGene00081156 transcript:CRE22737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22737 MVKNALQAQLLKAGLVDNKKAKKLSKQAQHEQRTGQSNEAELKAKIEQDKQEKISKDQALNQEKQRILDEKALKASIIQMIGQHKITDTDGDAVYQFIDGSVKKIYINQQVYNALVSGSLVIAHDNNDAYAILPKALGERINEKMTGFIIVNNSEKNEQVTDEEDPYAAYVIPDDLMW >CRE30446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:111861:113138:1 gene:WBGene00081157 transcript:CRE30446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30446 MNPQQRVAPSNFSILEDDDVETRIVVLEEIGKLWKTQSTDPSLYSKVSDGVYARIGKRFSPAILKKVLSKTEVEYRKLLNSAIATSNVSNQVMQWWLHSWPYNKAFAFRFKDFKAFEKKKREEKKKDEEEEELRNRAARRLLSAQRRAQRNQTPQPLGNGLSTPTMPAALGGTQARARAPKRSAPQQAQGAGTPRIPRSASGMGNGFLTPSKRAAPQLDQRAGIQQTPHLPSMRNGSPPPAIASAFGRFGILGAGPVQNEPSRADLAVQEVVQVANVVNTFHPNSAGLFERVMKDALLKFWSEMAKGSNGGV >CRE30445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:108352:108887:1 gene:WBGene00081158 transcript:CRE30445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30445 MRAQKRCHGKNMENVASKLQKIDHSLDTTMDAETKKGATAINNGMSLDTMVREELHKSDESLCTVAESVMKRLSEIFARGDKKLLYKWNTDLEEIMLSMRTTLFQIRSEDLNRHAQVSQTIVPPEATEPSSKPKYGPKSNHLEPE >CRE30449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:126208:126560:1 gene:WBGene00081159 transcript:CRE30449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30449 MMEHPLTKDIKSITNVELQIQLLLAEEQRERLQRELAQVAEELRRRREEAEEARQMIERMIIRDNNEDQFEQPAAEEVQAEEPMDEGSDQPDETPDRNNNE >CRE30469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:114222:114596:-1 gene:WBGene00081160 transcript:CRE30469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30469 MAEQNVDAEGRRRQMTELLGGHEEFQQLLDYVVDIAVEVNRIGQLPASNVGREMVNNYFHYLREVVDNIIDLLG >CRE30440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:68613:70168:1 gene:WBGene00081161 transcript:CRE30440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-23 description:CRE-UBC-23 protein [Source:UniProtKB/TrEMBL;Acc:E3NDY1] MANLDFLTSEEKEKVQQFMEITNTKCAETAHQLLSDSNWRLDSAIETFFIVSSIDAGEPSASDQSNSENNRNVGFVETIGESHDNIQEISAGYDSDWNASHTIREPLMTFSDQPVPDQLNNFISNFHERYCTSPDTTMPTFYTDTLQNAIDIAFGHENENLCRPLALFLNNEKSRHTENFIKSILCNPLVCEFLREKFILFPWDTTEELNKERLFQMMIDSRMRAVCDELVDFARSVDDFPVLVIVNRKRYSFEIINQFNWRSDVNEAFEGLHETFDKFKHEQLVEISKEIERKKEREIFDQQRADYDASLQADLEKKKRKVENKVMKEKEKNVKEAKDKVQQEAEEEKVKEKEKEDQKIKILLSKLPKEPEASNPTCVTVQFRFPDGTQGSRRFLQSDRIQIMLDYLTTKRYSPEQCRFFNSEFPRKDIMKCYDCQKSFGDVNWPKRETVWIVLN >CRE30462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:59592:60804:-1 gene:WBGene00081162 transcript:CRE30462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30462 MSFPKCGICSFEYSDETGHRVPRTLKCSHTVCSSCAGKLVENCAIECPLCRGITSDIVNNDVGTLQKNFGLLDFMRNLKVESDVVYVNSPPQCKTHSYNLAEFVCIKSDCTAEDKLMCRTCEEFGKHKGHQKGLLVEEGMKIRKKVGELINETKSQKELIETHLEKIKSVQDSYASNGDNYKMVVNGVNLHFESIRRIVDEAEEKVLQALRDKSESATDKVDQLVSNDEFDIVVLNEYIEKMNQLLLNPDSELIGKNPSLQRTNFNLRIIEPPKPLTENDVLLPTITLNETGSGQQ >CRE30459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:48943:49396:-1 gene:WBGene00081163 transcript:CRE30459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30459 MPLTALMDEFKMGDYHLAMVAKALNVKKHHNGKFVDDKMDNFILKSMKLVEATVLPAVDASEDHPVTLVGLITLEDITEELLQAEITDETDCYITDDAQKKRRTNSSKKSVTKMYCSEKRVIXXXXCDFHP >CRE30465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:81065:81582:-1 gene:WBGene00081164 transcript:CRE30465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30465 MSFSPFSEINNITLLGLFRLAVEKRSKAITDRNQNLRFRTMNTKFTRDLQIFLRKNREMYLAPVNPNAAQAAREQAAQGAATGEPTAKRTRVEPATVNQGASASTAVPPRPFKVPNILKRSMKKRANTIVKPERGTLRK >CRE30453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:131662:133949:1 gene:WBGene00081165 transcript:CRE30453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30453 MGEICNVPKIDIDTVGVIDALMENDRLNEELAELILERERAIALYNAALDRLVHIQDDFENLQIDAVEDSENEVEDSENKDDDSENEIEVCDRQTQTIDSQEDNVIVQEDTTDDKEKNEE >CRE30451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:129488:129893:1 gene:WBGene00081166 transcript:CRE30451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30451 MKEDLPHNEECVERVAGNDMPNNRVWFDVEPERNVAADINANFVLNIVFQNRREAAEQARDENRGENLNETANNNDHQAVEDREEEEEPRDFEENDHDGDGRGRVPDNN >CRE30450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:127329:128170:1 gene:WBGene00081167 transcript:CRE30450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30450 MVLPFHTSKIVFPSLEYMIVILKLFFQDDWSITIPNKSETQNNASHMSEKVMKDHSRTRHVLTHPYLKKNPKGQSAVAERGRIHDVDQSSATLFGTIPSAPSFGTVLSTPPFGTVPSAEPSGTVTPTTPIGTISSASPLETVPPNGFISNFLHYNQIMQNFGNNGPVTNILSTFQVPPATPLSFSSSDLPTTSNTHLQETPMVNLMDGNPEMLEQINELLTKSILEYQKTL >CRE30468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:106499:107552:-1 gene:WBGene00081168 transcript:CRE30468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30468 MNACNKLNEVKQKISEERKIGISSKVFPMLEQQRYYLAQMIRIGAEPYSTENSFVVANNYAFVHHLQSKIDCIPK >CRE30470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:117330:117707:-1 gene:WBGene00081169 transcript:CRE30470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30470 MAEQNQPNTNITDFERAGRIHGLEEYQALLDTVEDISEEVIRLGELPASEAARALVDLLFDELFDMMQIFIELLN >CRE30455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:148739:149657:1 gene:WBGene00081170 transcript:CRE30455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30455 MFSPSSSCSPSSSQLLPRSSRSPSPASTLFPAPSSSSQSASPTASSRASSLSPPSQIGHTKDGFLIVFEFTDGYEFEVKYVDDRTSRIHYEPSRIQLTDDLKKKMIEIKRIEDFFKRFESQMEEVKQLPNPKLAAVNLSSGDLYKVDTMTLLSSEMIEVSWPSVLANGLAIREVIDPKEKELEEANKRISELEEENEKRIAELEGVVDRMRNPPQNGSTSQNGST >CRE30437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:55655:56006:1 gene:WBGene00081171 transcript:CRE30437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30437 MISVQSRNYEKIKYLLHPDFRYFRCGSWENREKFTRAYALMPKGMDLSFELFDTYNTTGAIVFEAVFTTRMGNEKCTTFFRMTLDTQPSVFSFGGTLDC >CRE30457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:32678:37219:-1 gene:WBGene00081172 transcript:CRE30457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30457 MSGHDRPPRPPPQQPPPPPPPPGQFFNQGPSNSSWPNRRPQQHHPYPPQQWQYPQRPMGPGYGPPNGPPQGPPHGPPHGPPHGQNFGPPHGPPRGPPHGPPHGPPHGPPYMGQRGPGDPRMQDPRGPRPLMEMYDPSYHQAYYDHHSQMAHAHAAYLAHQQAHPDQPGPSHYPPYAPPPPPPRAPTDEVPPPPPPPPPRGPQEVLGDAYGGPYGYTPPPWNQLKTSPGDGPVTPPPLIGPRTPPSPSPPPRIGPRTPPGPSPQTSPEYIGPTYESPPTHDSPPQKDERESRKKERRAMRSNRHLDGNRAVPYNIDEVLQGPGENNTTWGVIEYGKYAEHLVNIQFRKIQLTRESHKHVLRQVASAAKHFRFNNYEIRAHLMAGLRFYTNRESRNGQRQFIIPLTERPATPFLWLIENRISSMPPSPVYEPSAAERENNFPPTSPTYAPVSPLRGFGPASFMEWEERLILSEFATIGRHFGQTETECPAVYEEDGELTEWGKVLAKIGKERQKTRMFTYFHIESYEWDEGEDRGTFGQPPQPPKAEQQGQAQEQPGQEQQEQQEEGPSQPPQPEVAIKVEIDESIPVAGDEAEQLELQPGPSNAPIKAEPKDNVGESSGRAGEEGTVKRLSREELINKWSDNHDARDDPGAGPSNQVFPANRDDDEEAKKAKKKKKKRKSKKKKRRRPPAAYADPKESSFTEDNTTSSSQSTSGSSESSSSSSSGASTPVERQQEQEPNAAPKIEEIPRGDRSPRPAERRAERQQGSPRPNRINSPPPVSPNRRGARESSRSSQRPQRQVSNRPIKARETSHFPSIGQNNPTGCNFFAERQMEIQMHLERIEREKIEKGNRGKGGRKNMRIPFDQNPGRGFQGGNDNGFRGGRRGRKRSRSRTPPRHQFNRHNSPRGRRHSPNRFIAPPERNRNRRDDHHRGFNGNNQRGNDRFFPHGGNHGRRNSPVGGPPRDIHDGHRRHNNVRDVPLSDRIAWPNNEQHDRRADNPERRDRPDRIRQADGR >CRE30452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:130836:131238:1 gene:WBGene00081173 transcript:CRE30452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30452 MADQINPTQDEGIATLRQAILESNRVITETVEDLRYVSDNLEAGARSEELDRLVLDFQEFLEEVNGVKQDLEDYLANVLQNLNIQDNLNNNNDQDNAGKEGNNEELVGEEPSNQG >CRE30447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:118733:119072:1 gene:WBGene00081174 transcript:CRE30447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-puf-2.3 MEHPLAKDIKSNTNVELQIQLLLAEEERERLQLAMAQVAEELRRRREEAEQAHQMLQRMVIRDNREDQAQQPVAEADQVEEPMELGVDQQAIDRNNN >CRE30471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig208:124926:125303:-1 gene:WBGene00081175 transcript:CRE30471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30471 MAEQNRPNTNVTDFERADRINGLEEYQALLDYVEDIAMAVIQVGEHPASEAARQLVDIFFDELFNMMQIFIELFN >CRE26019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2085:3111:4051:-1 gene:WBGene00081176 transcript:CRE26019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26019 MLIIVFLTCFFPLTLTKPINEVRIKNITPGANVNEDVKCYFSQVRKTSHETVERSEKSIVSTRCSGKVEKLEKYSTLPVGPEVKGEHPLSVIMCRGAGMCDLNFLDPLVMDKWKTIGICEHHVAELLTQWNTSPTLRDWHIYRVNTESYGRVEACSMPDSIGTKHENGRPIGRFHLSVKAADTLIKQDHTLVHPGIPLCRSHETYISDLMSKPGPPPTKKSRASSETDSCSSEDPPYASSEESCTQKKKKRCLNLFTSLLSLLVKRKYAL >CRE26018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2085:75:3039:-1 gene:WBGene00081177 transcript:CRE26018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26018 MLGIMVPDDTEEFKKLVERKTFVGKQWSTGSSASFEAVMEQLAVQFFAAESRRSRLLVLSFVANSVSYLEMVKYIPHLSRYMYESAKIFGRRKRSENAVKERQLVRYDHEKVRAFIDFITSPTVMIGLPYGVRNVKLSDGTKMEIPNSIRQQSATEVIEMWKNVCMENGQPDLPLSVSTMYKILEACVATKRESTTCVDYFIAYGMQGFEDMHRVVDGWLAEELFSQSLTQLKTALFEVAQYYRTDYRLHIKSHSRVPDHCATFALSDPSDKRLSSPCSSDPHKHSHDLKCDRCQHVNSTLEKLRDYAEEFLLDSREALKTAEGSMKQNIQAILERREDDKKVIERSIAYVHEMKKHLLRAAFTSQEREQIISGLKDNEALVTLDFAQKFLPKFHRELQSQYYGKKGVSYHISHVVAKIGEKLVQHSFVHIYSGSVNQDSTLVVLTIAHFAAELKKVGIKKISLRSDNAGCYHGAATIGSLHWLNSTTGVLFDSFTFSEAQNGKSASDRDANRVKNKARNHVDKGKNIPTSDDFFNALKENPLNGVSVYHGSVTPSKDSGKTEWTGITNLNHFVVESNGIRGRRYGSIGNGILLEKSALKPIKGKFNFEETGSVASKIVSKKEERSAVLTGKQTEFWYYSHCTRTSSCVDEPDTVSETIEDDVPEKNVAELYSCPKPGCSSTFLKYFNLEKHILKAQHKIAPERMTERDFALNLFARRLEDVNESRTFPAVANALKELKETKEDKHVKQGWGLPEKRTRKAFGEDVKKFLIECFDVGFNTGRPLNPFTVARKMRTERKHDGSKRFLEEEILDVRQIAGFFYRESEKRQPQETPQNRRKRWWKRPSPHRRRRENESENPAAVDIEDQHDDSEWISFLKSEVFWTLDMNFIMQH >CRE25027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2086:339:1874:1 gene:WBGene00081178 transcript:CRE25027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25027 MLLVYAIGQAPSVSGDAFANGNLLPNTLYMILLGGMLNAVLVPQIVKAAKDPDGGAGYINKVLTLVMSALTAVTVLVMLAAPAIVWIFTIEWGSDQRGLALAFAYWALPQIIFYGLYTILGEVLNARSVFGPFTWAPVLNNVIAIAGIIVFIAMYGADSAGTRTPGDWSAGAIAVLAGSATLGVVVQSVVLFISWRKAGIRFRPDFKWRGMGLGQTGRIAGWSLATILVMQLGGVVTQNVINSASGAGASALAMQNAWLIFMLPHSVIAVSLATAYFTRLAGWGQNGRMTEFLLDFSASARQILLVMVLASVMIFAAAPFVSRVFNFAGNPDQIYTFTLVLQCYMVGLAAYSFLFIVQRAFYALSDTRTPFIFTSVQIGLLVVLSLCLLVLPKAWIGPAYGLIFGFTTVVQALLAVFLLRKRLGHIDGSRILASLLFYTLAGIPALLVGFGLTLVFGLVFPGYGVIAAVGLAIVDALVVTAIYVGVLRILKSPDLAELTAFVSRKLGRNRS >CRE29390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2089:3580:6764:1 gene:WBGene00081179 transcript:CRE29390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29390 MNAVVIAIAVMFILSLARVSVVLTLVISAIIGGLVAGLSLSDTVTAFNAGLGDGAEVALAYAVLGAFALALSKSGLPDLLAYKLIGLLGMEATQKQATKVKFLLLGILLIAAICSQNLIPVHIAFIPVLVPPLLKVMNHLKLDRRAAACVLTLGLVGTYIFLPVGFGAIFLEQILMGNINKIGAAYHLHVERSMMPMGMAIPVLGMVIGTLFAVFVSYRKPRIYVDRNVAPITTIDLDKPLRAVNVEVLKADGIALEQEAKQEAPVIAKKTILMALLAIILTLISQLYSGSMILDWQVKVGGSVIAPTQDTKVAGVGTVKADHEYAFTPSVEYIFGETPFSAEVLLATPISHDVKLDGEKAVNLKHLPPTVTFKYNFKNSTRFTPYIGVGGTAFLAWDEESSGALAGTKVKVKDDFGFAGQIGFNFQPADAKNWGVFFDARYAQLSPEVTVKAGNTTAAKFDLDIDPVIYTLGYSYRF >CRE29388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2089:1493:1780:1 gene:WBGene00081180 transcript:CRE29388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29388 MSANDKRIASAALGGAVGGGVGNHAGNGVGAAVGAGGGAAVGSKANNGSNRNATYSGIGAGIGSVLGKGVLGGDAGAAIGGAIGGGAGAAIEQNK >CRE30166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:78777:81292:1 gene:WBGene00081181 transcript:CRE30166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30166 MFFQKYSNFAGDIIALTGKPVNDPKVANPVICNQGNRKLMENENINKADKDVLNRMAQCLQKLKHKKSSANNIVIIPNCNIVKSKKPKKDTPASIEKSNFCVRHANSMRKKSRIPAKTTVSRTMDCLMDGNQTCFNDLAQFLANIMAAGIKLWAIPLLKISVSSTPPK >CRE30169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:87408:91212:1 gene:WBGene00081182 transcript:CRE30169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30169 MLMRYYSMFG >CRE30168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:85897:86713:1 gene:WBGene00081183 transcript:CRE30168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30168 MLQRFCLATIMAAGMKLWTIPLLNVIYLPLLQNKKNVEGRTERQRKAMDCLKENAPEIFLHDNGAHDSVVKCNKKVKKSGGISLARCLVITITSAPLFPNNRNKRDCRLFLPYIIGPRDFNISYDIY >CRE30167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:81786:82265:1 gene:WBGene00081184 transcript:CRE30167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30167 MAETKLASKTSLNVLVSGPPTILTIVLTLKKKEIEEENLAIILHITKMDLVTSKLLDSIYHNLRSAIPNK >CRE30171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:133984:134513:1 gene:WBGene00081185 transcript:CRE30171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30171 MLPGTNKSIVLPDDFVPPPPGFVDFNLASYLLLKFGKLNQCSPDKIRDMNQNLLNLEVCRIWNGCNGCPIDSHCELSLDATPRNYSFVNFVTKTGIRSRPHYVPVVRYFEEKYKVVLQFLHSPLLRDPTGRMFPVEAVWFRLRVF >CRE30160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:13113:14002:1 gene:WBGene00081186 transcript:CRE30160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30160 MALIDKVLSIISIPDKNTNDSTGNSTDLYEEWARGDGQLLLPLMLIMIFLFCSICALIQISIFVIFLCIRQLIGDNDVVEEAFSERTQSSRRDPSERSEKLMGTDAESSIPLGGDGTPRSRKSIVSSPATGTQSV >CRE30176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:14572:16201:-1 gene:WBGene00081187 transcript:CRE30176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30176 MPSGGSRKPKKAGIIKKKKKEELTRSSEASSRGIRERTKWRKHRREMELKRVEEKRIQEEAEQLRRKTEEQKKVFKKAYEEFERSLQDSESLQKEVETVLSDYRKAKNHFKIKVQFESNDFIATQQIENTQFSAAADRIRSSEIQLFKMEGELEKTKQIRDDYIRDLNQSWYTRLWRFLY >CRE30163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:36187:37251:1 gene:WBGene00081188 transcript:CRE30163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30163 MGAYIYSDGGKLQKNSQFEAVPSTLTRTTDTQIVKTGNLAAYIYTEVPEPQSYAPGDESLYWLIRAVPSDTNGSRSYTTRLHDGSLLLYHGMPMLIKLKN >CRE30174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig209:144376:145557:1 gene:WBGene00081189 transcript:CRE30174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30174 MDVPKTFPLWKLPDVPRKLVFQQMDIQDLLDLSMTSRPVKRFLTAYKTHTIQLFWEFGENRRRSRLALMVKSLDDPPTRYMRLSLHFVNVADDNVTFYGIFNFFIVQSRNVGRGIERTVNGERALRMNRRHNKEGFIVAGRENRNESDPGAPDMKFMESLSKHVKDIFFIDKYILRYYASMKDFNLFDSFIWNITRTFGELSIEKEYAEVPILKIDEENIKFLFNKLNVTNMKLEVKSALSRIVSGLVLNQKNLNIYYSCNWLDMNDVINSTSQKIHVHFTRIIEVDELINLVKQWKSGEKLKNMNSLIMQMMIEEKEEEDDDDDEEGEAEEGVDEVEDSEDEEENDENEKRQKE >CRE03579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2090:1340:1867:-1 gene:WBGene00081190 transcript:CRE03579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03579 MAAPSMHVEVHYCFCFPHHDVHQNKLHSLRFALKFKILNQKNNETVILINEDTDRQSIEEMVAFEFPGASVRVALYDTILEDIEQNLEGQVSLGIGESEMMSLIANFSLILNL >CRE13255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:79339:80042:1 gene:WBGene00081191 transcript:CRE13255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13255 MPQSKFIEDPLSDNPYRKHIKQYSWPQIVSFLTLVAVGIPRCVQSINSENGPERLPTIKKRMVCVLIFGICQSIFGMVSMFVFKPESETRFLLVSISQFVTMVLVGLFAFEYLFTCILHYDYLAIPAAISAAVLAVVLKNYAERCYYGLYIAWSIQLFSGVLELYLVLNNRIMDGMFHEESQ >CRE13363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:227526:227920:-1 gene:WBGene00081192 transcript:CRE13363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13363 MRPWNAIHVPVCKICPWDIHNREECTEHYEENEKERARLEHIEEIEEIRYVITFEDWRNALWGEPLAVPKEENKTKKKFGKIWGKIKTKCRKIINKLFHVFRYLLGTVETRLLLC >CRE13296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:553560:553900:1 gene:WBGene00081193 transcript:CRE13296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13296 MGAPVGGEKDVELISKSVETKTIETKHETPYGGVKWSSELALKDFADPVYDVVDEHILDKKTRSKVSSKSLQILAAPRKRSFEAKKANGGIQQPRK >CRE13343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:957967:963341:1 gene:WBGene00081194 transcript:CRE13343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13343 MSVVIQEKAIPVIGVPPPPQPPPVPKLSDIPPLIPNKPKTLQNPTKRIPKKAPQPQNQNPPKNPGVKKIKRSKWLGPKNESELITETGLPKSENGSFVKANEQKLTAMEQKAYSLYLDAHMSLAADNMTKKAKRRHRFQLQLKWLLNKAVMMNYVNKGELAKELAKEAAEKGEKALPKEDVQKAPAPPKEKIPKTPKAPQQQKLPSMRQPKQSKYKLDNRQKVASLMDVQLPKSDDMYYHNQSATTDYQSSSDSSRGYQYLEENWINADKPWRSFQTSSSSYPTSSDYSQYHSSSMNEPMQSYPLSTSSTIDYSAYPPMSSSYAAPLNFATHQPPPSYSSFPSQLTTNPMPPSFSQPPPDFTQAPPNFIPSISEFNIPQGYVSEYRQQFPEQPQSIQNSFPPNQSYSPFSTAGLPLETALEVSEEELARQKRLLEIEEELREINAKKLRMQMEDEMRQREYQLALQEQEIQERERQIRDREMFMQEGRAPGRDRRRRYDDRGSYYQDERDDGYYRSSEPRHSSSSSKSNSHSNPRQIKIERDPEDAAAARRHGQPSQQQQQQPQKKSLPRKMMKRNGGGEEGNTSLKRSAESGSHDDIGNNIAGRIQPAKKQRVRHQKVPHAKLDMQAISDDEAGTHNFDYRPISDDEADI >CRE13293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:547341:549131:1 gene:WBGene00081195 transcript:CRE13293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13293 MPIACPTLNEQVANWLNWDKNETTRGEIQKLVDDMNEEGLKARMTSRLVFGTAGVRSPMQAGFGRLNDLTIIQITYGFARHMLNVYGQPKNGVAIGFDGRHNSRRFAELAANVFIRNNIPVYLFSEVSPTPVVSWATIKLGCDAGLIITASHNPKEDNGYKAYWSNGAQVLIQIEYLFQVSVQIIGPHDTEIVRIKEAEPQPRDEYWDLSELKTSPLFHSADVTIDPYFEVEKSLNYTREINASTPLKFTYSAFHGIGYHYTKRMFAEFGFPASSFISVAEQQEPNPDFPTIPFPNPEEGRKVLTLAIETADKNGSTVILANDPDADRIQMAEKQKK >CRE13273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:301616:303667:1 gene:WBGene00081196 transcript:CRE13273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13273 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3M8F5] MDSPDILKPASVDAPFFIDCDTSYDSTIEFSKYLCQVIYLVPALILHLALLRVLLYTHRKEYLDNSYFFIFSMDCVVPYYLRVAKLCIQIFATINRMTCVLSPLGYSRMWKCFTPFMVVIVVLSPFTVIWNILISRPFVINIYGGISFGYLRRFEWASLSLFFLIIHLVSILITLISTAITLTYLCRLSERIKSIERTLCSTSICISVCFILNSCFQIYFVFVRDKYFKTVYAFQYIAFDILILATPMILLIYSKQLRSQVFGFCHKARVISVGSGTS >CRE13402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:716146:718168:-1 gene:WBGene00081197 transcript:CRE13402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13402 MALKVNVYHNLSYMKTHQRLHVTEIPSLVHHYVPQLEKLPFLIASLILDVDYDDEQKCFESISRAIGDLFTIHTHFITAEKKVSEFSTMHWKPLIKQILMPLVKRKFIPPEHFKEREVIKQLADSHDLYKVFERCGS >CRE13416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:841643:842347:-1 gene:WBGene00081198 transcript:CRE13416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13416 MMPSPGGFLECRGYELVDSPDDSTPVPPIVWFPPPVPIRSSSLKYELVESSDGVTLPLNWFHPPVPIRSSSLTQKSIPLSMETTDESGYQVPRRKLLQKELLHPDDPTPPPKSPRKFRVLHFRVAPPVPFCAPFGKKKIKEYRVMDKDWEVKSLKF >CRE13406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:754081:755101:-1 gene:WBGene00081199 transcript:CRE13406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13406 MSSSKFEFSLISTKTKNIAASLGMTAQSVRIAISRIVGVTVYGRYFNMSLMFYNDSVDRNALIHLDSNQPISAYLPYERRTVRPSTPFSFNNWLDHKAVFCYNKPPNVDFSEGSRQFEMESLKNVIKNVNQLVVSGENTEFRSRELLEHFKNANELTLGWNLFGEACEVQKFFIQNCNNLIFRDDVSLDDMLLVNSKSVELYRSISEKQFIQFLKHWIRGSNPRLQYMNLFIDTTDLVDGKVYLNGINWIETSEESKKEIRQKHGIDD >CRE13410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:809197:810014:-1 gene:WBGene00081200 transcript:CRE13410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13410 MSSQLALFFPKLHLQQQKLRKRRAEEKRMNAFNTAIGDIREELIQKKYGNEQDLKSHPSILILVAEIINKVDLKSKYPVEARRKCEGGKMKREVEKEVKARREQMRRDKMTDALEHIREFIIRNRLGNGKLEQVTVLQIILDYLRTLPINVPDTPIASPSGSPFSSFSISSILSRTTLSPGLPTLTPSPPLTQPAGLSMGTPPQLLNFPSPFPMTPMDRTAFQLQFQFQYLQNQMMLQNLLNPNKATLLSHSYNSKL >CRE13304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:648632:649321:1 gene:WBGene00081201 transcript:CRE13304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13304 MSNTEMSKVGSQLAADVIRATRRKEVQKRQQPKAVSWSELQVVAGTKSNDEKGERDTLILLLSHQALLRAEEAANLKWSDLTQTNGILEIRVRKAKHDQQALGRSTFIPCPDGSDLDCRLKRWKVCESLRSRKSEYLFSNLNNGAGLSASAISSIVKKKLMEFGIDGTHHSLRRGAANDLQRQGLSEGGDQGAWEIGDLMLVSKGTWWIRRKRKEYQAERRRRRMDHRC >CRE13260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:105750:106170:1 gene:WBGene00081202 transcript:CRE13260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13260 description:Ubiquitin-like protein ATG12 [Source:UniProtKB/TrEMBL;Acc:E3M8D0] MSEIQPQDAPKLKPPKIRVRIDKIDDESGEVKKKNYDIKLSDTVAHFTATMRKVLRIPASDSLFFYIHERFSPSLDTTFEILARHYAEKDEKGKEVLHLKFSTTPVYG >CRE13394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:616243:618046:-1 gene:WBGene00081203 transcript:CRE13394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13394 MDMTIEEAPLRPPNILPNNETTVISSQPVPNQTDAITTFDKNLECLSEVEKAKFLEHVQVMLKKEEKDKEKRELEKRRAHLKNESKEYQEEHEKKLRKCLGRYYSYVSRCKSLKGFRPDLTWIHPHEVEDELETYHLDEFDEFMKRLRKAERPITSLEAQYFPGVITCYPEDITEFFEKRWKRIKKSFVSAKNNICNCFKRSTPINQ >CRE13426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:972364:974813:-1 gene:WBGene00081204 transcript:CRE13426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13426 MTTQLHEGIDESEEVTVLRDIKPLQLQIVIVCGTMARQLDAARLLVPLIDTFVQLLQSSQIDDEFVVQLLYVFLQLLKHKELSARLMTQDSALGAHMIDLMHDANAAVREVCDNALLIMGEHSEDWAKRIAGERFKWHNAQWLEMVERDDHEFAPYDDEDFGADFNFDTFDNDFDINEKLF >CRE13328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:845690:846176:1 gene:WBGene00081205 transcript:CRE13328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-decr-1.1 MGLATTLRQILTIVSRTAEQLSHPDHPEYTRQRVNVGQEPSPTSGDSPCNNIRTRLRPVTVYKRILRPARVVGGGVDPSSLQPTGEAIEVETWTSIRQGQQNQQEFQNEQGTQEGSLNQQQGTSCK >CRE13378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:458255:459386:-1 gene:WBGene00081206 transcript:CRE13378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13378 MAVPPNTKPIPILKLPFIPLNYIIQHFNPLAILDFSLLSRKCRYIIKSTNLEKYDMGLSFHSDQYLIRFQRKDIFLFYFSVDMLRARNKYLQTKISPFTNNGNEVSIEFAKFWVNYVCDLFRTKFNWLFLNSNASIEHMSAVAEWTKSLISECWCCYFAGDDANSESITRFFEIANFPIRFLVFDLKHEYEIAPINFGVLNAEEVRIFTKTSKNPVNWFTVEQMMRTNCSKMILGACTFDENDLNQFIKGWINGNNSKMEVFITVVKTIDFVLVFDGIEVDVRDPMLVRPFYSSMLTHPLEFLIVGGLDIRRNDGTVASIQRGLEFAGPRIMHYFTMVVWPQGSS >CRE13422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:942403:943834:-1 gene:WBGene00081207 transcript:CRE13422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13422 MTENQKKYSKRIKGAPLLEGAPLLFKPLFKVHIVLDQNMSLKVTHDIAESLQTGIESLPEIERAFVHCDYEFEHHPQDEHKAV >CRE13311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:711301:712246:1 gene:WBGene00081208 transcript:CRE13311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13311 MIYIFRLRASDIFLEQLRELGHVKIGQNGEIEQFILGDLNIGKPTIASNPAATTTTVNAEEARPDTPYPESTTPRAGTPEPKALLAVIRQKELWGDEGRPPGNGTVDRTGQKQNQRCAHLLLLLHL >CRE13298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:579085:579423:1 gene:WBGene00081209 transcript:CRE13298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13298 MLSFNIEHVHFTRSISKKLFNRFLKHWIRGSNPRLQCMFLSIDKIDSVNGEIYLKGIDWIEMSEEAKRDIRQKRRLSVSVDMIQIRRTDGTTAVIGTNDSGNILHVRFIVLH >CRE13353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:90850:92073:-1 gene:WBGene00081210 transcript:CRE13353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13353 MDTQEVSAIQIRKSSRILSFQINTSNSQFPNVEILPKMSTKKEIAEKRIKQLHLTPGTIFMNRWSIEGMIGSGGYGQIFLAMDTKKNEVRAVKIEPKMRMEMITKRMIMEMDVMLKMQGKQHVPLVYSSGYNNEFNFIVMQLLSENIGDIRKRSPAGRLSKETVGRIVYQTVNALKDIHEMGYVHRDVKPANICFGCHQQNRHILYLLDFGLLRRFKTDAGIRKPSRPNAGFKGTERYVSVRVHEKLEQTPWDDLFSVMYSAYELVVGEVPWRHLEDVEEIHAVKKLMESIVQYHFQYLQNELNNNGEMFKDSASVLIDFHKILVELDPNVDPPYEKLMNCAKVMYHPKELNDLYDWDEGFKLTLSDEKD >CRE13360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:151842:154953:-1 gene:WBGene00081211 transcript:CRE13360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13360 MSVAMKCFYLLVLLAVMTVATWQNEEDTGCAGAEGCDEETNNKFALLFRQMLAKQREANVDCTVTEDCPESFDCVSGKCRSMGPRRTKMFNLYSRW >CRE13326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:835902:838158:1 gene:WBGene00081212 transcript:CRE13326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13326 MSSEFPLFRLPLIVLNHGLKLMTPFEILSLSLCSKRCKTVCQSLRNQLKCKEKAVKFQLKCSKKREIQLEFNYYPNTRWIFESEKFMATKVNRETIIDKLYSIFQKKSSDNHKFSETTYGVHIPNWIPIEHSLEAIRDHIAGEKKLRPIIQGYIEKGYIVIIGYIESKGTVNLRAHRGAIIMGIPDGVLTIRSEETIEGPDGLSHRIKYSISREDGTIAEFLVENNKYLYIKMRDNTDIALSTFITKTKTMI >CRE13391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:576424:577485:-1 gene:WBGene00081213 transcript:CRE13391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13391 MSVKNHSVTEILYAKVFTNQHLLENILSYLSDDFKKNLDVRLVNKSINHKFLRLIRRNHRTMKIEYAYNVENVITRSKDFIYINYRKINNQDVLPYFIKLWMLEKKFMRRLHDIIHSQLIENGTHIQTVIGLEEACDGCEKCSNTAKKCLEYGPLRFSTLQTMTHSKNYKKLHVTDKLFEVIAEYCISRSKNKKECFEELDKTILSTISCEKLVIWINESRVLLNERTRPKFNHRHMPREVIDIILKKWSVKSIKLNILHFTNEPVCSLEWLQYDYFSRVRLNDPYSETEQSGKAVSPNLFENW >CRE13397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:652074:653930:-1 gene:WBGene00081214 transcript:CRE13397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13397 MEPTFPLLRLPENVIIEVIKNLAIDSLFDFSLISTKTKNIVASLGIEASGVRIIISRVVLVIVYGRYFSMNRSFYHGSVYQDALIHLDSNQLISAYSRSIYDRRTVRSSTPLFSFNNWLDHIKTVFCYNKPPNVEFSAGNRQFEMESLKNVIKSVNELVVNGYNTEFRNRELLEHFKNVNKLTLGRNLFGEACEVQKYLIQNFKSIRFCDDVSLDDMLLVNSRRVDFSCTISQKQFNQFLKHWIRGSNPRLQYMNLSTNLVDGKVYLKGIRCIEMSEELKKEIRQKYESSDIEMVQIRRKEGTTAVIATKHLGPFLCVRFYVLY >CRE13415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:828535:828744:-1 gene:WBGene00081215 transcript:CRE13415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13415 MSKHNAVGIDLGTTYSCVGIFQHGKVEIIANDQGNRTTPSCVAFTDSALLIGDAAENQAYRNPENTIFG >CRE13389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:567121:568137:-1 gene:WBGene00081216 transcript:CRE13389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13389 MRRRSITTRQLPFVLLLLSTVFVVIFAKIDHVKEKTAETHGDATKLKAKEAPEHIDHKKLFTENFKQRRSEHKAAIESIKGIGKDKTRLFLEDLLKNIKNLLKESRETLERTAHLADSPFPHSSDLLKDALSKLHENTALFTDLSLAFSKFFETKVQKDRKLKTLLVWAYNYSIKTGIFDSETEKKVNLMAQQHELIEKDEKFFNSYDKERVKEDMEAEEAQRKQKKSKKAENKQPKGEL >CRE13330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:852475:855601:1 gene:WBGene00081217 transcript:CRE13330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13330 MNSGSSSTSSQTERDTHRETPYDSPYVNVSSLTVPTLPTNVSTLMETKTEKPIKKVPVKKSTSKTPVLPSSPPTCLCGSPECHGAEAYDALVNKAADIDFRRAAPVMMQHPGTFNELRECELVEGQDDSYPVILPLHSCPSPSCAKEYENLVKKAQTVDPDVPWKHVKSVLLESREHDYINLTLRSPSPPGFDALPPPPRAISRAHQSSPPPSPQFPGPRRCCSIM >CRE13398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:654274:654912:-1 gene:WBGene00081218 transcript:CRE13398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13398 MFLTREPQGVASLESFQIATRNESLGRNPFEEACKVQRLFIQNYKSIAFHDVFSLDDMLSFNIEHVHFTRPISQKQFNRFLKHWIRGSNPRLQRMFLSIDKNDLVNGEIHLKGIRCMEMSEEAKREIRRKHRLSVSVDMIQIRRKDGTTAVIGTNDSGNILHVRFIVLH >CRE13408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:757032:757952:-1 gene:WBGene00081219 transcript:CRE13408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13408 MFCIRISRVVDVTVYGRYFNMSLMFYSDSVNQDALIHLDSNQPISAYFSLEIRTVRSSTPLYSFNNWLDQIKTVFCYNKPPTVVFWTGDERFEMESLKNAIKSVNQLYKGIQLKKLVKFIFRDDVSLDDMLSVNIESVIFSRSISEKQFIRFLKHWIRGSNPRLQYMKIPIDPTDLVNGKVYLNGINWIEISEESKEEIRQRHGISDIDMVQIRQGTLSPHPCNGHAHFLQYLASI >CRE13387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:561036:562156:-1 gene:WBGene00081220 transcript:CRE13387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13387 MPPPNLLEVPELPLQMIIDYLTPAATVNFALSNEKIREFIETINLNLETISLKITEKRCTITLVFFYSEKSLVWKFVSTPSNDRPRPNLKIKVCENPLDFCIKAVNWLTKLTRFPVTSVTIEGPDFQGTRELLEWEAIRECERLTMSLIDEMSGNLDDFKDLEYIDLVGCDWMTPEILESCQAKTISLFSVNWTLEQIIQIIRNWLENTENRKLEYLSVAFKDSRDDALTIIDAFNTKPWDRMMRHPTYPRRNTGVPLDLSGARDIERSDGLLASILVDSDGVDFVVWHDRFPVQEEL >CRE13308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:693022:694364:1 gene:WBGene00081221 transcript:CRE13308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13308 MSSEFPLFRLPLIVLNHGLKLMTPFEILSLSLCSKRCNTVCQSLRNQLKCKEKAVKFQLKCSKKREIQLEFNYYPNTRWILSILQISENEEIGFSRNDLFVTNWIPTEEDTPLEQIREKNSMLNQYLKVYISRDYDDKFILRQYIDHLSYIFNITLTDLELHFQDFTRDENETIIDLYCGNRRNTNCVKALTLIGESENTPEDEEVVDHILSRQEAKSDLTLDILSSTFDIRRSQFRYIPNQLVIRNPNWVSSIDLKYFNSFSVLIFQYNPLMWWWHVEYLIEMWYFGWTPKWTVMMIEFPFINIDDTINKIRRRIPLQKKLFAMRSEETIEGPDGLSDRIKYSIPREDGTIGEFLVENNKYLYIKMRDNTELSLSTFITKTKTMM >CRE13310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:709602:709830:1 gene:WBGene00081222 transcript:CRE13310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13310 MQLFDESRSQRPPRGESKLKTPVEPPKMPDRDSVDRQTPDRQTERVAIHSCQHTRRTVGL >CRE13259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:101228:105522:1 gene:WBGene00081223 transcript:CRE13259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13259 MSECAQRLNLDEYIANELSAIIELPVSSRNQFFGLKRLVAQIDQTHDPIVKSSQKLHDLQLYILQKKTIFEEKVERHRETLRGSIQLLIVTLVLFILIALYYGIYFYLNQERPWWIEQLLSGSVSLIIFCGFYSYLFYPRPLETISEQLERVKKLHRNFKFSSGSLNDRFEEVDKEVDCLASIYSESVITENKLLAVGAVILLLISEYLFIRFWISVYLTVNSTNLNLEPLNCIFFSITGFFVGPFWYFLVERFLIINKVKFNIAMPEKLGEEDTSEADIISQLYNLTGESSNTRPTLYRLENVLERLQSSPQTGEKLSDDVEKLNRIECEILEKRAYYEGMQKFQKESYYFTRNLLMAVGGLMIPVVSIFIVASFMEKELPFMIRGLLSETTFIPVVAFIIYHIYTNKPRLPSGIQNEKIRHKTAEKMTSTEFTKRQLKLAYILSDCTGSMRRQILELRNQEMVMILATLLLVLIYSFIVSNSSFGLFNGYLMFVSACAIISYFRLDKTVI >CRE13412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:815049:815876:-1 gene:WBGene00081224 transcript:CRE13412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13412 MKRTAIREKFDIEKERLERRKVQTRRKTQEILDSALIRKRREIGEFENRISNNVSIEDNFEILEPMSSEMIDLNWEPQPSILHFHEFKSSTRAITTMHDSIEEPDDFGFSSKFCNKSLNAHDEIKYRRQVRDTVESVINTSINYSLQNLRNYHYDIDLEQLVYCSVKSEILPFALPMGRCEGNETETHRILQSTTT >CRE13423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:947950:948566:-1 gene:WBGene00081225 transcript:CRE13423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13423 MGRPTFFREFWKTVHIVLDQNMSLKVTHDIAESLQTGIESLPEIERAFVHCDYEFEHHPQDEHKAV >CRE13425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:968587:971929:-1 gene:WBGene00081226 transcript:CRE13425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13425 MRCPFSLFQACQILLPIGICKQICHPHHIGTVNANYAQKGQKQDVKPGTKDNRYPEDVTFPPSDIEKVYDIMGDEDARKSKTLTLVSLEEGSIEEKAPPVKENENEKKKPTVTPTVPAPRTPPNHARLPSREILLTPKQSLKTANEGTRKTTSMETIGPATTKGTPSREQLTPINNGSGGARRGRSREMIGPPGDDVIRRPNGTPSREQTSGSVERRTPSKETNSKKVSALYCTPKSEFLQANPPKMGTAEPI >CRE13421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig21:911789:914164:-1 gene:WBGene00081227 transcript:CRE13421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13421 MCNIGKLRITGGSWFSDNELQALRIYFSSTSFIFQVYVPVLQSSAGVLPIALFGASNIINSEKFVLSHLLDSVCVLLPAITIFIGCAIIVKMGTTCEFGFRRVLVTGILNLEIKRNIVKWALNYSLFCNTELSDSRNQDMVALILHFRSVEKCQKFVEGVTDIYTEQGLFAQKLEDLEGLKGLETSRKVNPVHSCNSSTSFKNGYKKGEKSIISRVIETVLLRQVNARKLVVRLFRWKIDWNLVFNAMVLLSSTASLFSTYVSTDFASKTLQHGYFFGIAYGIIGSVMGIFARLWKGDADKPTMVGVIVVPERIEGSNDIQWILHIRNSSTYN >CRE03434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:764:1093:1 gene:WBGene00081228 transcript:CRE03434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03434 MSVCVSAIPDVCLSVCLQFQMSVCLCVCHSRCLCVCVSAIPDVCLSVCLQFPMSVCLCVYNSRCMSVCVSTIPDVCLSVCLQFPMSVCLCVCNSRCLSVCVSGIPDVCL >CRE03454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:86337:87546:-1 gene:WBGene00081229 transcript:CRE03454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03454 MSGFAAGTPADFSYAGTPADSSAAGTPADFSSAGTPADSSAAGTPADSSAAGTPDDLSSAGTPADSSAAGTPADSSAAGTPADFNAPRTPANSSAAGTPANSSAAGTPTDSSAAGTPANSSAAGTPADSSAEGTPADSSAGGTPDDSSAAGTPADSSAAGTPDDSTSPQAPLTILAPQAPQTIQHRRRHPSRLKPRRHARSRILAPEAYLKTTHEQKSKIAGDQNRSAQRPVTSD >CRE03453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:77496:78339:-1 gene:WBGene00081230 transcript:CRE03453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03453 MTICVPINNDRIDQAAVRTAFQDLLTGFIDGFFDCEMRTSNTGNTGLGNFVIYSAYGIGGGIGVFYRKLFNLR >CRE03439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig210:73083:73457:1 gene:WBGene00081231 transcript:CRE03439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03439 MSVAVTALSSDSASFDLSVSLFKAFDSTPMQLAFQLNAQQQKRRNSSLNLFLAEDYLSLIQKKEHGRLPKVEGNTWKCFSGKTIKEERLLKEEPLVKNKRPDPFPVVKLTIFEGDSVTTHFFDV >CRE13223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2100:59:527:-1 gene:WBGene00081232 transcript:CRE13223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13223 MSNLKEEDVQDEEMIRQRTLNMRKRVEEIMRNGATLVRESNGLPKAGADFELYNSFPTFNAFMKRSEERLNSLMGKVTNSIGCAMRVPDVGASVEHYTECVIEAQDNIAERVATLHEALKKAEKDEIVKVPEFITKA >CRE16579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2104:188:715:1 gene:WBGene00081233 transcript:CRE16579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16579 MIDTGDSLSSNTTELEDDPVFQAMRLNMNRLVKHVKRKMFMLNALPMIWEKVVPEILKKVKNQENLLEFDVSFLFLFHLLHFQFLTVQIIIFQKSLISIDPSLARSRYSKLVSECPKCSLIDYKPLFYNNSTGTWRFYDVENRGLTYFTPQNHLSFHGLERVRKVYTGICDNFGE >CRE15230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2107:529:1460:1 gene:WBGene00081234 transcript:CRE15230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15230 MEKILVTVSATAATFAVFAVLFTVPSLYNTINEVHDQVLDGVSVFRVETDSAWTEMMDIQITVTPPTKPRVNPFNSIFRQKRQTFSGLPAWCQCEPTKPTCPPGPPGPPGQPGAPGTPGAPGPKGEDNTATYAPITCAPVSQDCVKCPEGPAGPAGPAGPAGPAGPDGQPGNPGAAGNPGADGQPGAPGDNGQDGTPGSDGQPGAPGQDGQRGSGAPGGPGAPGNAGPAGPAGQDGAPGQDGQPGPAGPAGQDGAPGYAGSDGQPGAPGGPGLPGNDAAYCACPPRSAVFVSRH >CRE04318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:71076:72304:-1 gene:WBGene00081235 transcript:CRE04318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04318 MTTPPKPLSFLSSKSVALYMDPNKRLQLYLRCPSFGIAHKNEAMRIRDLKVRPDNFEINGTIYRLGVITQYKDTPNPRLIAVDNAKGGVQEDIDIYEMTRLRESIDRMEQSNARRGFPGYLGSRTDIQRLDWKSEAYNMRINNTPPPYRHYLQLTISTGKLVKIERVVYDKQFGTAKEYIERMVFGNKKIQVENLQIGADKDFNDYKAQYGIQKDPSRPEPLFQLTPQADSVKPSLSIRNLDVGVLKVTCIMTIALASLRPVLSQTPLKELRALCYQKTFPKDPIVNTTEFLEIAKFCPINVLSNRPNHRIHIGLSFQINGEKLTNLVNEWKKREIPVGTYYSMGDRHDRLITAIFQTFRNLPGAKFGENEETR >CRE04303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig211:196:510:1 gene:WBGene00081236 transcript:CRE04303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04303 PSKQQLLQEEVKKEEDSPPVYRSTRSSRKKAETEKTTKTTRKMTDLEDVKPNIKALQQQQQHKKTPASFQCSNLDDGSVREGECNGGDKERCKWGGGGGLEIWK >CRE21094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2113:2883:3418:1 gene:WBGene00081237 transcript:CRE21094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21094 MASDLQQQLAASSLYDAESLKAFNQMYNSSQNPYGNGFDKNYPASALPFFQHFAPYTNPNATASSFSPSGSSTSSTSSQQHQHPTKKKPVPVPAEQKDETYFERRRRNNEAARKSREARRKQDNDNGTRVIQLEQVKVSGVSLTRF >CRE20657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2114:1374:2286:1 gene:WBGene00081238 transcript:CRE20657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20657 MLATRGNFIQVVDLLLTREPNVNVADQNGLTALGMAARDGYADICESLINSGAFVNQCDRFGNWILTSAVRSGNAAIVRMVLDKFADINCQDSEKRTPLHLAIDKSFNDIAYILLEKKPNLELKNKDGETPLLRAAKCRHVHLCTYLMSFGAKLAAVDNCGDNALHLALRARSRRLTQALLCKFSFVFPFSYFFSSANPSDSRLLYRPNKLGQTPYSIDLSNPQPILPLIFGPIDAEDKMDTAMGYDVYSNVLADIG >CRE23271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2117:1117:2240:1 gene:WBGene00081239 transcript:CRE23271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23271 MKVMALLDAEILESDAFNPDDIQIIENPVKKRSKIDKNRSKNGKIPDLVKNIAGFEIRVIRAKHEACRVFPDVV >CRE23272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2117:3459:3725:-1 gene:WBGene00081240 transcript:CRE23272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23272 GRIPLTSFFSGNATWNAETCKCECNLKCEEGRVVHTPTCECVRKRNHGGGGGHSNRHHGHRHHGRSRPIETEEVEKIAKLQVGKVGGF >CRE27998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2119:3822:4472:-1 gene:WBGene00081241 transcript:CRE27998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27998 MLLNTEFNQIKTLLSGLILSCISTSAVYALPLDCKHQDSSLLKKLCGSQYSELRAQLNESYLTAYLVTDAPIRLINDTHRLWLNRLSQCKSNDCFKQQFDIRLEDLNFYTSMNQSLTQHFIKYEHGKISKQPAHLQIHQLTKDRIKVEGIAYRNPNNRKDSQIVSLLAYTTPEKKNQILDNEHDCKYVLNFQKAILSVTTTQKGCERFTGIYRLYD >CRE27997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2119:4573:5064:1 gene:WBGene00081242 transcript:CRE27997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27997 description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase [Source:UniProtKB/TrEMBL;Acc:E3NUC9] MIIPIRIGQGMDVHAFEEGDFVTLAGVQIPHTHGLKAHSDGDVVLHALCDALLGALALGDIGQHFPDTDAEFKGADSRKLLKHVYQLILDRGYKLNNADITVACERPKLAKHNLEMRQSIADVLDVDVTQISVKATTTEKLGFTGRQEGILSTATVLISHLAK >CRE05214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:137469:137840:1 gene:WBGene00081243 transcript:CRE05214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05214 MVEIGGDSVLLEDRNGQCLLYEIRRMDKPQWTIVSKEPSLSINVTSLYVCLKTNKNTVRVVKICFGNEIPWISMRKNDSFCGIWREMRVKNFLLYCSVNLFSNMNYI >CRE05227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:128966:129187:-1 gene:WBGene00081244 transcript:CRE05227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05227 MSETAVNVISFISEKYVYFEYIPACVGTFLNVFHLIILSQKSMRTSSINAVMIGIAICDFLNNSEFRIQNHET >CRE05213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:136188:137112:1 gene:WBGene00081245 transcript:CRE05213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05213 MELMTTNLSKIIDSSGRLDEYDASQVLKSIGEALSYCHFKRLIHCDVKPDNILISGDSVKLGDFGVSIFEQGRTICGTEGYMAPEIITDQMYSYQVDSYALGVVVHQMLTTTMPFNDEKDGGKSKKWKFETNESFSEDIMDVLSGLLEAKPKKDGQ >CRE05228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:139389:139694:-1 gene:WBGene00081246 transcript:CRE05228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05228 MSETAVNVISFISEKYVYFEYIPACVGTVLNVFHLIILSQKSMRTSSINAVMIGIAICDFLNNSEFRIQNSEFRIQNSEFRIQNSEFRIQNSEFRIQNSES >CRE05216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:156834:157112:1 gene:WBGene00081247 transcript:CRE05216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05216 MGLLDLRTFKMLSVNLINVDKRESMTSGCYNANNDILYASIYLESNNETISSGNSRQKKPANNYKFAYGEFVIQSIYLDRIQTLLIASIISA >CRE05210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:112023:113524:1 gene:WBGene00081248 transcript:CRE05210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05210 MNTNLCIRMGFLRIEGVATVFLSVPSRDTKSFCSERSKSLCYARTTKSQRESKNEDFVHIRDVGQGTYGLVGEYRSKRTGHRVLRNVVHLYQCFSTQLHVYLVMELMTTNLSKIIDSSGRLDEYDASQVLKSIGEALSYCHFKQLIHRDVKPDNILISGDSVKLGDFGVSTFEQGRTICDTEGYMAPKIITDQMYSYQVDSYALGVIVHQILTTTMPFNDEKDGGKSKKWKFETNESFNEDIVTRRGLLGKKKNATPSGSTPPTRRRQRAWWQRQSGASRP >CRE05221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:46459:46740:-1 gene:WBGene00081249 transcript:CRE05221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05221 MNERERFYSLVSAGNPNVRERRITRRRRKRRRTRSTTESNASSTSDSRESTGSYGYISRDVLHLETRNCLKIIERIK >CRE05229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:152092:152319:-1 gene:WBGene00081250 transcript:CRE05229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05229 MSETAVNVISFISGKYVYFEYIPACVGTFLNVFHLIILSQKSMRTSSINAVMIGIAICDFLNNSEFRIIKPRIQS >CRE05198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:4574:6517:1 gene:WBGene00081251 transcript:CRE05198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05198 MKLLIEHQKLDNIVEDVFIEPQILYIPLEEPLSPRRIQMIKRRKVILIFIILLIIFLFYNSFKAMNETIHFETIESDPDLSNGEELEAPLKPGILIDPTRKLTRKLPVSHVYVTSAYYYPTSKSLGSNAIAMAMIVDSINFNVDNATYNVVGSNETHTISSIATSQTEGVPTCRYTPAMAKTNTVENITKLEMESNGVRVEIPFKMARYTAPKPVIICISPQFVAEQWQIFLMHVHVANRWVLFLGSFKNTSYRFGGHLHIYLTSIIESFFELMKEYEREGYLTLDFWLRMKFEHTTTPYFEPNENIEWRNQAGAQTDCLLQYKEAAEYIAFFDMDDILFPKNYPTYLEEFSAEWALQPDATSVFYGRREHEFVKAETLSQFSFIELVRSLRSSPTVKRGKVVVKPDRYNSTWIHFSNNESEGTRYDIVSKFPINLNYFRRTIDNPTLIHVQRPLQKHGNNNITKVWKMKFGPLNETIRDHDVKAIEDDIERIRRISKVSEIAPNLPSSDFYLPIVFKCYYDAFYDNAFDHRKGKHGCPNADTCVLPQREEYKCVHSHAKYFSGPHMKPFTFHFAKNPFWSWNIGCYQ >CRE05209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:111104:111842:1 gene:WBGene00081252 transcript:CRE05209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05209 MGLLDLRTSKTLPVNQINVDKRESMTSSCYNANNDILYASIYLESNNETISSKKKVDRRNPQITTNLLTIKRSPKDQNDPKNGGNRWGFGSAGESQRTMPSIRDKKNGQASVDHRLKRTEHIDQCNIAICLFGDA >CRE05212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:133422:134325:1 gene:WBGene00081253 transcript:CRE05212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05212 MATTISFHAPIKSIAFCGSSNIAALFENNVKLASTTTGTQFATFEMDQYSTDVHGCDDFLYVANRKEWVFWTCEPPKRSRSKDPQKIEMIQKMVEIGGDSVLLEDRNGQCLLYDIRRMDKPRWTIVSKEPSTLINVTSQYVCLKTNKNTVRVVKISSGQELPWYVLMRI >CRE05220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig212:42854:45915:-1 gene:WBGene00081254 transcript:CRE05220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05220 MENCLIPSEDVVNLKCNTTDEQPPCSCSPQLSDDTSLLLVPTMKKKKKLLKEKRQDLQGIRGLAILSVLGFHFLPYIFPNGYLGVDQFFVLSGFLMCMLLQRSHKSLKENETSWIGMPTKLISQFYYKRLKRILPLYFTIIFLAIVTLFYVFPDTAYETNIESGKRALFFNSNRAATQEEDYFSMVLFMKHILEIAVDIFTHTWSLSVEFQFYFIIPFLFLFGTIRYIEKYQICFYSGLGLISYWFSIEFCTKNQSFHSVFARVWQFKIGMIVFHITDSNASKIRKNTFPIIEHSSVLLGNRIKQSIKYIMTFVMIGIMVYPYELSDKVMRLVPLLFRQFAMFYQLTRPFFTVTTGLLMTITENNSILSSALLTYIGDISYSLYLIHWPMYAFWKLDMSDGSVWNGQLILVFLLALLVSILSYEIFEKYEQFCGLMQLQSFRWYLKLSATPITCLCVSLFVLNILVLNRDKIEDWIANRQPGNFKRLDGLDGNRTYNFDESEYLNRRWSKDDIKNLIHPTCEYETGNGPFGWCRHTGLKGKFKFMIIGNSWAANHARIIYEECGYKAKSILQGSAIGCDPLYSYSYGGKRCKDNVKIFEKRVAEEKPDYVFLLSRFIDISDSINATSVENDVIYQSMKKQTEKLMENVKYKMFILTSIPEIEHENIEKIVRTIKNRKNLTKFDKSFVHASPESARRRHSKLIEDCKRCIPIDYKPLFWNTTTNTWRYYDVKNSGLSYMTQIDHLNFHGLELVRSLYTSICKKL >CRE02779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2121:449:4129:1 gene:WBGene00081255 transcript:CRE02779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02779 MDPLDNVINAVASQAQLSVNVINAVVSPAQLLDNVIDSVVSPKPPVEKPVRYCLICGDKSSGCHYGALTCEGCKKFFCRAYDKEYQCRYKTPCAITPKTRNDCKACRLKKCREVGMHKQSREYSGQSFKKSFFKKINFRASETSQQPPTTNRHHSTISAPSHQQRISATSQQPPTTNRPHSTMMMMTIKDVELIQYLLKARNVSADTVTHKTIPRAVTDHTELSTLFNESTRHQIRHPVTRNVPISTTYPRRQNHSLKRHAFSIYLVRSAPAFTDCGFLLKNGGIIAWERFHKLFYGGLGIKMKSFATAIELMHFSEAELGVFLMLIMLQPILMKDVVKTGFDNVFMLIENYALISRTLYYKLSTRDQEERLFDRIQGLLEQVNTINNLHNQTLDLIRKNLICFSVPRLFSEIFGIPRTVLDEEVAEHIRRIKESQDFLKSRGLLNIL >CRE18371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2123:4510:5331:-1 gene:WBGene00081256 transcript:CRE18371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18371 MQYLRYVGNIFQGDLGTSVQSHQPVAKELGAAFPATIELALAAIVFSAIIGIGLGLWAALKRRTIVDQVIRVVSLIGLSWPTFWLALVAYYLFFFLLGILPGSGRLEPASIPPPQVTGMYTIDALLAGDLWTFWDALRHLILPASVLALYTIGLLTRFSRSAVLEVMDMEYVKAARAKGLPRRTVVFGYILRGAFVPILTVLGLAFGSLLSGTVLVEQVYSWHGLGQYSFAAATRLDLPAIMGVGLIVGVVYIGLNFVVDLLYGVVDPRVRVA >CRE25030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2126:2915:5491:-1 gene:WBGene00081257 transcript:CRE25030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25030 MVKIPSGAVPPRLCVIEKLNGETEYGYNLHAEKGRGQFVGIVDANSPAERGGLITGDRIFAVNGHSIIGENHKKVVERIKANPNRCEMLVISEEGAKLYQEHNIPITLDLPNVERVSQKVSSG >CRE25029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2126:106:981:-1 gene:WBGene00081258 transcript:CRE25029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25029 MDSNRNPPGGDSPQPITWISYLYTSFLYYFWLIYARLPQRITDDKSTEEQIRRDADTPRAQISRTSDETQTVRQVAGLVASLPAPKDSSLLSLTPPSSFDCNNCLTPHSSQKTCSPTYVSNLSLRLRSSSSSSKKKPSSTSSSTVSFHTPSRSSSTSSVSSESTISPKKVIAVVPSGSHVLVRVPKLDWKTESIRIKRTRMSDWLDAEQRDVMRQFDEVIRIEEEAEDQKTSSRGKKGILKQHYGSTRSIESIPSSSSRRSKVSDDFGVTIELDLSWFLLFQRIYIFSQFH >CRE30619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2127:1844:2071:-1 gene:WBGene00081259 transcript:CRE30619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30619 MHNAVYMENSRNYTSPFGYTLTLSDGYDIQRSDGVTATVHYHPPRTFVIAVWPEATQNSN >CRE30620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2127:3602:3835:-1 gene:WBGene00081260 transcript:CRE30620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30620 MAFFFALLLCWIVSFFFEAIESFLIPNIPLPTPHFPPSQTVSIRQPDVPIPSSPFPLVRVPFVPLGRIIDFMEPKTL >CRE06128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:6261:8796:-1 gene:WBGene00081261 transcript:CRE06128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06128 MEPSFPLLRLPENVIIEVIKNFPLSQLFKFSLISSKTKNLVSSLGLEASDVDINIWNTIKVAVRIGINSLDLYFYKDSNDPNALMPVDVNIPVAPTCDYEDTRIQSTTPFNFSNWLNHINSVFCLIKPPDVYITQGCARFEIELLRNAIGNANYLIVCADVSNTCGKKILKSFNTHNRLILDRNPFEDTCQIQQIFINNFEMIIFYDVYSLDDMLSLLIIFSRSVRLSKQFNQFLKHWIRGFNPRLEDMSLSINKTDFVKGKVYLNGIKCIEMSEKTKRKMSENFFRPYFDDIIQIRRKDGTAAVIGTHKFEDILDIRFVVLH >CRE06143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:116675:117174:-1 gene:WBGene00081262 transcript:CRE06143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06143 MIDSYEKTINDTETTGKGGNPKKADNANKLRFVWLGESGWERKPEDWEFDPKSKTNQSNPGFQNKGRPVPLATVSTPRVSPFIAPGAALGAWIGSKKGATIGTAFCPGVGTVVGGVVGGIAGAAVGVIVNKAIL >CRE06110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:51901:53031:1 gene:WBGene00081263 transcript:CRE06110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06110 MNAVHQHFMFSFEHFCRVFRTKFELMKYYVIVNFFYFREGCERFEVQSLKNAIGNINTLFLTVLTDDSSRKILKCFNAPSELFLSRNPFEDTCQIQQIFISNCEILKFVDEYSLDDMLLINSEKVKFARPISQKQFNQFLKHWIRGSNPRLRRMSLLITGLVDGEVYLKGIRCMRMNKAAKREIRRKHNLSYFLHMIQIKRKDGTAAVIASEKSVDMHYVRFIVLH >CRE06111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:54048:55070:1 gene:WBGene00081264 transcript:CRE06111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06111 MEPTFPLFRLPENVIIEVLRSTDHAEQLLIFSQVSTKAKNLVTSLGVRARKVYIEISDAIDLSVRFGPRSLWGFRFRNDSNDQNAELDINRPMCPNYIFPYKIIQQSTPFYFSEWLDHIRTIFCYTKPPEVSFWPGSERFELESLKNMIKNVTCLTISDEIIDIQSKRILNTFKNLNKLNLYENPFEDTCEVRKLFIQNFGKIRFSGIYSLDDMLLVNSENVRFWSSTTQKQFNQFIKHWIRGSNPRLQRMSLSIDETDSVSRDVLLKGIRYVDVAKEKQLEICRKHKIDSDYMVEIRRKDGTPAVIAVNERRHFLNVHFVVLY >CRE06129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:11162:11425:-1 gene:WBGene00081265 transcript:CRE06129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06129 MFYRVCRRNLGKWLCGSLHGVPTGEAHKLGSGISPTPSPPTTAALEMPNFKAKRTLSGIHVDKVVSFLLFLLLSYNVFSVRLEQRVP >CRE06100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:20916:21938:1 gene:WBGene00081266 transcript:CRE06100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06100 MEPTFPLFRLPENVIVQVLRSTFDAEQLLIFSLVSSKTKNLVTSLGVRARKVYIEIYAGISLSVRFGPRSLWCWVFRNNSNNQYAELDITRPVCPNYISQGKIIQQSTPFYFSEWLDHIRTIFCYTKPPEVSFWPGSERFELESLKNMIKNVTCLTISDESTDIQSKRILNTFKNLNQLNLYGNPFEDTCQIQQIFIQNFGMIRYHDVYSLDDMLLVNSEIVKFCCSTTQKQFNQFLKHWIRGSNSRLQRMDLDIENSESVSRDMLLKGIHYVDVAKEEQLEICRKHRIASDNMVEIRRKDGTPAVIATTNDGNYPNIHLIVLY >CRE06135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:48991:49285:-1 gene:WBGene00081267 transcript:CRE06135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06135 MKKKRYKSLSLARAAFMSTRGFHSCLREALREIKRASYEPPSTGVGFGKWTYRNRNFKRRSQQQLQ >CRE06101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:22435:23531:1 gene:WBGene00081268 transcript:CRE06101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06101 MEPTFPLLRLIENIIIEVIKNFPLTQLFEFSLVSTKTKKLVSALELKPKVFDIIISHSIRVNVSNERSDLNLYFYKDLNDQNVLLPVDITLPVAAYVGYEDTRILASPPYNFSDWLNHIQSVFCCNQSPKVFFCQGCEKFGIESLKGTIGNINTLIPIDVLTDESIRKVLKCFNVPSKLHLGRNPFEEACQIQQIFIRNYKRIVFHGVYSLDDMLLINSESVEFHHRPTQKQCNRFLKHWIRGSNPRLKYIELAIDDPNSVSREMLLKGIHCVDVAGEERLEICRKLLVDKFILNKFQTLSYHMVQIRRNDWTPAVIATETYENNILHICVFAVH >CRE06145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:134567:139064:-1 gene:WBGene00081269 transcript:CRE06145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06145 MPKESEAKTMEKKMMEERNKQIEVRKDGKRRIQPVFCGTTAADTPSVAPAPEAKKTSTVAPPPAKKKAPAPVRDDEEDLEDSSDSDDDDEEESEDSDDMETSDVESSRKRKTATTTSRVMLAMDLKKPVLRPLEPKTLKVTDGDAYLDAPEQQPRLSQHVQERKGMFVEVDNRWKHGGIETTQIRLVKKKQTEEMEDDEEERRRTVQECIWMAVVGAPVIMVAANKYHVMLGCGDKCIRAYRTICGTYTLSVRLGALPVMLGLKENAAYVVTQTCRLSTWNLKQGKAVVARESLLECLETSTQDNNILSVDVSESGVPLVVFTNGSIFTFNVSLSCWIPAISTNILARLTTPISDAQLEISNTSSSLSVGPLVRLLKRMRRQTTAVGVAPQVVKAVKESQLEQLLHCAEQLGNPHDYQTILMLYVETLCQGGSEKKLKNILNELSRSGAPMQVCGLRRAALCDDVTRMIKLRQPAIADRIVAGGTATATTSTKNRSLF >CRE06133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:29881:30981:-1 gene:WBGene00081270 transcript:CRE06133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06133 MGPTFPLFRLPDNAFVHVLGNMYFDHLLNISFVSTRARTLVTSTLLEADLINIKVSRFIDTEVRFRNKTTMNLYFYYDGNDQNAAQPVDDPNRLSPVDITLPVVAFLLFNYIPIHSSTPFNFIDWMNHIQTIFCFAKPPSVRFYHECERFDAQYLKEAIGNVDVLRVDSEQSTFASGEVYLKGIKCIEMNEEAKQEIREKHRLMDSVDMIKIKRKDGKIVVIGIKDSGDTLFVHFIVLD >CRE06114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:60866:61891:1 gene:WBGene00081271 transcript:CRE06114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06114 MEPTFPLLRLPENAIVHVLENMNLNQLLIFSLVSSKIKRLVTSLSIEANDVTIAIFSKISVIVHTTKSHLTLNFYDDSNNQNEVLPVDISLPVAASFNNEDRTIQSSTPFNLSDWLHHIQLVLCCNQPLNIYFDLGCERFEIESLKEIIGSIRTLIPNRLLTNESSRNVLKCFNTPNKLYLFKNPFEDTSQIQQIFIKNYNGIVFRDVYSLDDMLLINSEEVNFYRPISQKQFNRFVKHWIRGSNPRLEYMFLKIDKTDFVSREMLLKGIQCVDVGKEKQQEICQNHGIGIDSYYMVAIRRKDGTPAVIATKEFQNVIFIRFIVLY >CRE06102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig213:24446:25465:1 gene:WBGene00081272 transcript:CRE06102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06102 MEPTFPLLRLPENAIFNVLKNTNLDQLFIISLVSSKTKSLVTSFGIKARDVHIGISRRIRINVYTTKSHFTLSIYNDPNDKNELFYADITPPITDYFKYQSRRIQTTTPFNFSDWMKHIQLVFCYSQPLDLGFYQYCERFEVQSLKDAIGNVDVLSVAGQVTDVYRKEVLKCFNAPNELFLERNPFDEACEIQKFFIQNYKMIAFPGVYSLDDMLLVNSEKVRFTKPISQKQFNQFLKHWIRGSNPRLQYMFLSIDNTDSVRREEPLKGIDCFDVEEEDQQEICQKYRIKSYYMVAIRRKDGTPAVIAAEDFLNVLCVRFIVFY >CRE10776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2138:3607:4604:-1 gene:WBGene00081273 transcript:CRE10776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10776 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3NUE4] MNTANGVKTAMDIEEEATIKELVGKDGSLEEIVEQAETGLEDGFLDYFLDDGETPEDPLCHLLDQICKENAGEKDNKENVDPFGNRSNGQQSYNSVLFGLYPDQQAASSIGPIRLKEKKKMVSKPYDLAAPVDASVHRDVTAPEVRHILDSPVADAIDTKRTVGDVNNWLKLNGVNQTKFAEKVLEKTQGHFSVISRNPAPWEELLAPEKAVFVRMHNWMKLSDEEKNKILNTEKVSMKKDLQEKEKKPRFTFSKEQMVVLMGIYEINDRPGKELIEELAAKFSLSFNQIKDFFLNRRRRAKKSNL >CRE07015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:49205:50485:1 gene:WBGene00081274 transcript:CRE07015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07015 MLVELMTMSAISQSQRTDSSGLRDLLFDVTAVKKLTRRPCLTMHQRSIDKNGTLFCVRVNIQVYIAGIVCPTARICTIEITFLLFWAGISVLCMSIGIDFTRAAFGHFGKVLQIEKDYGPIWPFNVSVVSFFTAAIAIWTRIIVQGAYDYLLDKDYFADKLNVELRESSKTR >CRE07013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:42005:46870:1 gene:WBGene00081275 transcript:CRE07013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07013 MDPLDSVINAVASQAQLSVNVINAVASPAQLSDKVINAVASPAQLLDNVIESVVSPKPPVEKPVRYCLICGDKSSGCHYGALTCEGCKKFFCRAYDKEYQCRYKTPCAITPKTRNDCKACRLKKCREVGMHKQSQPPKPANNRPQPIDTLQQSLLPAINNVSLQPANNRQQPIDTIQQSLEPANDSQQPFNPTQKEKFEFAWITQHIHQFHLPTYGYSNERMMMMTIKDVELKTNTETLQHFINEIDSDIKSFIPFTRNVPILNDISSEDKIILLKRHAFSIYLVRSAPAFTDCGFLLKNGGIIAWEKFHKLFYGGLGIKMKSFATAIELMHFSEAELGVFLMLIMLQPILMKDVVKTGFDNVFMLIENYALISRTLYYKLSTRDQEDRLFDRIQGLLEQVNTINNLHNQTLDLIKKNLICFSVPRLFSEIFGVPRTVLDEEVAEHIRRIKESQEYLKSRGLLNIL >CRE07028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig214:75076:76241:-1 gene:WBGene00081276 transcript:CRE07028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07028 MSSEFFHRQGVFKRVRSVIIRPSETPPTSISRGILTRSLSARGDADKRRRTVLRRQNAMDVDDDQE >CRE13851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2141:443:526:1 gene:WBGene00081277 transcript:CRE13851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13851 MALHASYLSFMQPFNNLLVEIVSEPEF >CRE13853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2141:2032:2676:-1 gene:WBGene00081278 transcript:CRE13853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13853 MSTDKLIHIYAPQEERINALSHAFGAILAAIATVLMLIKGNYLHGWQFFGLLVYGLSMILLFSSSAIYHFSVDEKKRQWYKKLDHTAIYYLIAGTYTPFLAIAIPTAKAHYLLIALWVIAAIGTLFKLIFIHRFEKISLIAYLVMGWLAVLVMDDMQQFLKPEALKLLVIGGLAYTIGALFYALKRVRYTHAIWHIFVLVGAGSHFLAIYLYVI >CRE19614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2149:4205:6187:1 gene:WBGene00081279 transcript:CRE19614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19614 MYPNYYQNSHNYPVAAQNQQTDANGQHGNQDYLQYAANQYWTQTGYMNNYNPPAPPVQTPFEQINPDVIKVTLHDSKLWKAFHVLDNEMVTLPNGRQIFPTLHYDVAGLPHSANYIFGLKLQRVNKNYLMEYRGGEWKETGKSVKADLESNEIFAGVMGGGVNFKNARIQSLRRYNTKGKDKEESQKPKGDQNKDISLFVTSHCRYTPILSVYYMQSSEKKFLKSFVFKETQFVAVTGYKNDAVRKLKTNKNPFARPDYKEDYKEDVVENSEKDSTWDSGISSMNSTVASSIESPTSRRDSGVSSHSQNQYQFWGSSMTPGPFIKKEPTPENSRFDMNYTSGGASTSDSQNQYQFWNSSMTQGKSSGVKMEPISFNMDLNSQYNNLPPLHSWQQDPYPLTATPGPSNQPWDENSFGQKQNYKF >CRE07812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:26439:27207:1 gene:WBGene00081280 transcript:CRE07812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07812 MKFVLCCLAIIGCVNAQFSATAQTAIVKAHNDLRSAIAKGTYDAAGTIEPPAANMRKMKWDTTVAASAQKYANLCPDDHSGYPDLGENLYWSWSSSAPTSLDKFGVAASQSWEQEFQDYGWYSTTLDEDTFNSGIGHATQMAWAETNLIGCGVKNCGRDASSSNMYKVAVVCQYKSAGNMIDGDIYQPGDTCSFCSSGFKCETASGLCA >CRE07810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:20865:21376:1 gene:WBGene00081281 transcript:CRE07810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07810 MHLPILLFLFLGMVASGETEKSAIQIVAHHVMTFVNAVSSKKKDVILQLFNTTADDQKNVDQFIEKFQGLHISFSSAKFNKNGEIESTVRIAEKIPARVNPASPTGWIITQLGGQEPGSAGRKPFSMCHVGLLWCAIESVVEWGKHIHEQ >CRE07820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:9513:9973:-1 gene:WBGene00081282 transcript:CRE07820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07820 MTITAPCSISLTGPDTDKNERMKLSRFLGVSIIILTISNPGGFKPASTEVNLSPDKYRLLQRHARSV >CRE07822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:19202:19677:-1 gene:WBGene00081283 transcript:CRE07822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07822 MVASGETEKSAIQIVAHHVMTFVNAVSSKKKDVILQLFNTTADDQKNVDQFIEKFQGLHISFSSAKFNKNGEIESTVRIAEKIPARVVLSKNSASPTGWIITQLGGQEPGSAGRKPFSMCHVGLLWCAIESVVEWGKHIHEQ >CRE07813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:36010:36777:1 gene:WBGene00081284 transcript:CRE07813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07813 MKFVLCCLAIIGCVNAQFSATAQTAIVKAHNDLRSAIAKGTYDAAGTIEPPAANMRKMKWDTTVAASAQKYANLCPDDHSGYPDLGENLYWSWSSSAPTSLDKFGVAASQSWEQEFQDYGWYSTTLDEDTFNSGIGHATQMAWAETNLIGCGVKNCGRDASSSNMYKVAVVCQYKSAGNMIDGDIYQPGDTCSFCSSGFKCETASGLCA >CRE07825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:37327:37912:-1 gene:WBGene00081285 transcript:CRE07825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07825 MSASLRASHDNVHSAYTSPGPRSSAHNSPSRQSSAFAQSAAAKRSQMLRGFSQDVEPQPVPESSTMRRNRMLRTRERSFQYPDEYYHAYQPHQFDPQEQY >CRE07837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:120638:121299:-1 gene:WBGene00081286 transcript:CRE07837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07837 MKSNKTPKFVKILVICLLSWISFVFISGCITQNIIFLDPGWGYDPTAPYSELFNVLEISLTIPSLVISYLSYLIIIFLICTKRTLKSHGRSRKEEIRILLQSTFITTYLAILVITWNPDLFPFVKFLDVSKKRNRAIINGLWILHCYINPAMIIIFNKFVFCFLFFIKLSVFRPIRESCKKVLNRKCCGSNSISVLKPSNSLFT >CRE07829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:71571:74181:-1 gene:WBGene00081287 transcript:CRE07829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07829 MYRSICRWCRFEKCKEIGMDPEKVILDYDPTTSQKVPTMSKMDPELLKEHSSELEKSKNKMKMKIDFSELVEKIEEIFETKKETTEEEEDGQDPSASVYLNDLQNLTKGLQEFRAPIWSKEEIQQLGMADMKKVFDWLNARIRKFAKWFSHATYLMENLPMSQKFQLYRTSWNVMRIFDRIAMTWKHYGHEMFEGNFILISDDTVMMVNKSLVHIEEISEMTDDYFWRLFQPFINKYIEEVARPLSELDLTDEEVVFCMVNILGFDVTGLTPETLEILHKFKEIISDQMHNYYMNSTNFKMYSHRIIKLMKLVNTMTTIARKKAKIKEVIWIFDIYKAEISDPYFFQMF >CRE07815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:107107:108149:1 gene:WBGene00081288 transcript:CRE07815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07815 MRIHINKKFLVIISILIRISFQFGVKNEPFGEKIYSNFELIDMKTGNALLEGQDLQFRQIVHTRALLILHWQQREETSQFLPPNLKLNLTVNWMNCSSWDAFLHRLPRKNFTIDIENRSITLLDFDCVPDLFRNWCGESPGRDLHFLYVLEKIWHTVEMRIVCDPEISKIEVAGSNSWVYGVIAAFVILTGLLYKKQKRRQYRKLQEDSVNLDMSHPVVQTTRFLTVPVRYRIRREDCGRRMMEARKDYVPSKPLEMTKKPVKNKTWKIDRKMIPKIFVYGPDQ >CRE07830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig215:75760:75930:-1 gene:WBGene00081289 transcript:CRE07830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07830 MNPERQCQICGKTSNGMHFGAITCRACAAFFRRAVVLKLEYSCKERKMCPLEGNGR >CRE08563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:83183:84190:-1 gene:WBGene00081290 transcript:CRE08563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08563 MILESVSNILNHIFQISYRYGCRTTIFIDFFGINLVFEDFVRSQQSPHWIVQVSREPYVWRATLQLFVYLRDLFSPTTVYFDPDLSTKIKGNHALRLLDTGMVSNTTQETFDATCSKTSTVPTSQMFARGSHRSTFV >CRE08565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:99832:102533:-1 gene:WBGene00081291 transcript:CRE08565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08565 MYNSSQNPYGNGFDKNYPASALPFFQHFAPYTNPNATASSFSPSGSSTSSTSSQQHQHPTKKKPVPVPAEQKDEVTIILH >CRE08559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig216:104918:105945:1 gene:WBGene00081292 transcript:CRE08559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08559 MCKLYLRPTFATLYRITVCQEVSRLLENCNGYSVAQKRLFERVVLKPIKSELAAQLSKKQYGFLSNRSCPLALIDAVSQYHLTLSKPKACMDVILIDFRKAFDSVPHNLLLLKLMNFGLDSALCDWFKSFLSNRKSKIKIDDYISENSFHNISGVLQGTVTGPFLFLVYINDLIQSLPTDVYSIAFADDLKIYSENPSSLQKTLNVISDCCSIPSFVQHSSTLANCFTLQPLTLQIN >CRE01505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2160:5185:5430:1 gene:WBGene00081294 transcript:CRE01505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01505 MGLKILERNAHLVHFPGFAFSIREPIFNATYKRTATRGIRIESRCTNGYLVLDGSTKIPFPRGSIATIEINSNDALKTVIV >CRE01503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2160:285:497:1 gene:WBGene00081295 transcript:CRE01503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01503 PGFAFSIREPIFNATYKRTATRGFARKIRLESRCTNGYLVLDGSTKIPFPRGSIATIEINSNDALKTVIV >CRE01504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2160:3421:4222:1 gene:WBGene00081296 transcript:CRE01504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01504 MSYQTNGYCYFATGDDLSMAFTWMTATLQHPYQFIAQNFVVSGSGRIEIPAFKAPIPMGYIGLLRYAITIQNHTLDNSFVSMSYTIASTDGSYVFQFPSTQSHPLYGNAQSDFFL >CRE18373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2163:5485:6776:-1 gene:WBGene00081297 transcript:CRE18373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18373 MALLDQLTSKKLLEYVEEGYKWSYGICYIVLMLIFFGLHPFYVYVNKVNRVRDESIILLNFRNYCVPAFCTSCITCFALYIIVQVFHLLISLLAVQRLVIYFVPSMEKQTIWVQNKLYGNIWYIYIAFGLKEIIGIVCLFVCEVAACSPSKQMTFRIFYMSTFFFLNAFLVTSALLYIPITLSIWKLTSLTMNQDKPQKYIFFQTVVILIFKAACVPALIAFIFCDYSINVSIVFVASMDIIIIPLIIQISYLGCNKRNINTLFHSFNLKRFAKVLFDFKVETTVDPQINLTHFRSPRHSDSQ >CRE25031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2166:943:1953:1 gene:WBGene00081298 transcript:CRE25031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25031 MSQHLSLPKDKIRFLLLEGVHQNAIDTLNAAGYTNIDYRKTALEGEALKEAVKDAHFIGIRSRTQLTEEVFAAANKLIALGCFCIETNQVNLNAAMIRGIPVFNAPYSNTHSVAELVLAESILLLRGVPAKSASTHRGGWNKSAVGSFETRGKTLGIVGYGSIGSQLSVLAESMGMHVIYYDAVTKLPMGNARQVGSLDELLANADVVTLHVPDVPSTRNFFAKAQFAKMKEGSIFINAARGTCVVIEDLADAIKSGHIAGAAVDVFPKEPKANGEEFVSPLRGLDNVILTPHVGGSTMEAQANIGLEVAEKFVAYSDKGMTLSAVNFPEIALPID >CRE12290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:23771:24589:1 gene:WBGene00081299 transcript:CRE12290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12290 MVLPKNAPWWLKWCSENQLIVLGTLFGVSILVGITLFYFCERSGDALIARENRNFQQVTGMSYAEFEERHKRAGERMDAVNAAREAARAEEEARKKARRDEERQAFQFMREMEREREWAKEQQAKKEREEELEKQKRDAEREEDDEPGPSNRFAPERERLVDGTSSDDETPPESDEGSQEPLRTFETNGIRETEL >CRE12296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:111057:112608:1 gene:WBGene00081300 transcript:CRE12296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12296 MTRNIQSVPKPLETENLYLPIPVHNVYQRPPPQLVSDAGVQVEIRQEPVAPPPLPVVVPPPPPPIQGPTMAEMMRLQQMQQQKQRKQQQEEVVYQPPPQPQLRAGIEIQRRNQNPQLVDEMNNTLGKTGGEILRPSVPRATRVAINEKSSPEDVTRWLQEKGFSPRVIDLLDGQDGANLFSLSKLHLQQACGRDEGGYLYSQLLVQKKRSGFRTHTGDELKAILNHRRTHVELSNEAPADEPVFTINPIH >CRE12297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:113472:114701:1 gene:WBGene00081301 transcript:CRE12297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12297 MCFRLFRNLKQSNVFIANLFPVKLCTHFQRKQQQEEVVYQPQPQPQPRAGIEIQRRNQNPQLVDEMNNTLGKTGGEILRPSVPRATRVAINEKSSPEDVTRWLQEKGFSPRVIDLLDGQDGANLFSLSKLHLKQACGRDEGGYLYSQLLVQKKRSGFRTHTGDELKAILNHRRTHVELSNEAPADEPVFTINPIH >CRE12291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:28949:30058:1 gene:WBGene00081302 transcript:CRE12291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12291 MTSSLPLLCVPYVPLKKIIDFMEFSSLVSMSLCSQKCQSVIKTYRRKSIDWRLYVSCFTHFFLSFHKFCHHQFVVVASGLQKMTNRTRFVEMNGQNVALTVNEEKGFLETHWKDEVNGLKILTDYVTQLFNIDVLEITFNRKNIWMIDWVNSRQQSHVTSVYCEDWKDTLTEDELTHILRDCPASFRTVIYPSPPPNFQFRENFRSIDYLSISDGSWVTIDNLLTMDGREIMLFKSSLTSININTLLKHWLAGGSPRLKLFSAKTVNLDLDALFADINVVLVESLRQYTSPSQIKCRFSFGYDLRRKDGVTATVHYYRPDGGIIIAVWPEIVCYH >CRE12309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig217:116711:117441:-1 gene:WBGene00081303 transcript:CRE12309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12309 MLLRKNDINAVIGCGLAYDICVTHTLKDASKHGFLTCIVKSGSKGLSSLKMDEANKMFQKRGVAIIDDEMTQLISRREDFPIEWIRLLVFQAHNELHGKK >CRE04468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2171:4492:5427:-1 gene:WBGene00081304 transcript:CRE04468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04468 MSKKHQYEPGKFYWAFLHPKYWGIWIAIVFLMMLAILPWAIQYRLATLLGNIAFDRLKSRRETTTRNLEVCFPEWSPEQVIDNSRQVFVDQMIGVFETLNAWYSPQWFKNRVSIQGLEHIQQAKQNGQGILLLGTHSTMLDAGGYLCAQFFEPDVVYRPQNNPLLDMLIYRCRATIYKAQIDHDDMRGLIRHLKEGDAIWYSPDQDFGLKQGVMAPFFGTPAATVTAHRRLLKISKAVAIPLYFYRDGDIKDPKYHVLIEPAVDPLPSEDEMDDAIRVNKIIENQLRIAPTQYMWFHRRFKTRPKGYQKIY >CRE06256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2173:108:1865:-1 gene:WBGene00081305 transcript:CRE06256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06256 MAPSRNKIARIERAKQASLFKAAKNHEIEHEAEKETMFPKLKKEVFYLKKEVEELKENLELANKKLQDAEIEIQHIKSEKCVILAEKNHEKEQLLSSFREKEKEGTYLQSRADQLQKRVDTLVEESPSRGKCLKQYNLIRTNETKKDRYERIIKMISSFVGPLNVDAFLYDFLKMADEDEDLKFTLKLSPWNSFFTVVKHQLSDGFLKDFKQFTKQHLHIDIFASRHQIEEVKKTFATSKYYTFERQNVMKPSRSGKQIMAETALVKANDVHELLSKRLEMLSRHERLRFDDGTKDNIVIGVGGDKGSDTTKLVIVLENVDIPNDPHAVLLLGLYTGNDSHSLLKQNFASVFDQLNQLHSVRYFDGSNNVEKAVVMKPLGDCKFVSAMYGHAGQNSKTPCYVCNLAWSTHGSDTASLENFDFEFSGEIRTLSDLKKTGVPLLDVDPLNAGPPGVHTILGICQYYCIDWLIAMAINFDTGSSSPANLKQLKKDLKKLVLETEETTNLVDSLESSLERINDAVTTIQKNCKTTKPKQKNSSHCTSSFCIVGSSKKSSFRDSSIFQCTSCKAAVHDVCAFYITKSSDF >CRE07181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2174:2332:5487:-1 gene:WBGene00081306 transcript:CRE07181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07181 MYRFLFFCVILCGVTNANKVEQVHLSLSGKMDEMVVTWLTQGPHYKVGSSQDMSDVYHFKQPDPSKELRAAIFGDLSVYKGMPTINQLIDATHNDHFDVIIHIGDIAYDLHDDEGDRGDAYMKAIQPFAAYVPYMVFAGNHESDTHFNQIVNRFTMPKNGVYDNNLFWSFDYGFVHFVGLNSEYYAEKMTKEANAQYKWLQEDLSKNKLKWTIVMFHRPWYCSTRSSGGCDDPTDMLSRKGTNDLPGLEKLLKDYKVDIVFYGHKHTYERMWPIYDKVGYKSGDAGHIKNAKAPVYILTGSAGCHTHEGPSDTTPQSFSASRLGQYGYTRLKVYNSTHISTYFVDTDDKVGNFLDRFYLEKD >CRE12448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2176:1111:7474:1 gene:WBGene00081307 transcript:CRE12448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12448 MIPIRNNQQSPDGMNYTLTQLTTIKYPIDTDGNSRFFRGVGFNEWDIVIKEDRFEMKNTPYSSRELPIHFAFSHADYTLTELTTVQYPFFTHGIHPKRQESITCSERKMLIEEKKFEMRRQMTYGSGQHPNSKTLLNVSNTYETETNRFQRIVDYESEKWAENMNQGIIKEPKVSTSDQYLRKPSLPLLNASSTSPMTVEQAIRFLTQPIPANINVNTTEIVKEIKEWLGSSSYTNKYFASNILNIKEKNLTNIFAQKRDFNSLRNTKETFIKMYNWLEMSEDVRAEMLKMNLYEYENNESTLQDKNGKSRKFYMPQIYNTQIFSEPPKKIIRQDPAIMTAERIDELMNQPVAYMSTKKITQDIKEWMARTRTTRKWFAESKESTSRQNLRQPSQSSMDSFSSLPLSNASSPFSMTVEQAIRFLTQPIPANINVNTTEIVKEIKEWLGSSSYTNKYFASNILNIKGNHLTNIFAQPRDFISLRNTKEAFIKMFNWLEMSEDMRTEMLKMNLYEYESTSSRR >CRE11481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig218:90620:90874:1 gene:WBGene00081308 transcript:CRE11481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11481 MSLLVKTRLEICKNRENRKNLLEDPYENLLDNAFMLGNSIQYDFLEFNDYDYSLSDEMELNIMKKEE >CRE13855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2181:1866:4764:1 gene:WBGene00081310 transcript:CRE13855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13855 MLLNKNKKYSPNDRKNNSVPPAACLPYQNNTVLYAYSTDIDYYTYYYGVDAMVFYAQLYTTMANVRFDTKQEEEIEYHRDPLSLNASLWAHQPDPSLGYGDKTTGSNLYKILKKFLNHKKVPICGALVYIAVKRYPDESDVSDIISQLRANHVFVYIVVDSIPSGGSNSATLYEMSLLTNGYCVFATGSDLTNAFSSITGMVWPYQFIAQNFVVSGSGQIEVPAFKTPIPPGNADACSFAITVQNHTLDSSFVSMNYTIESTDGIVVFEYPGNQSLPLHGTAQSDYFHLSGSLSYKWTIYFDYNTDAPQIIECRMYSAFYHDFLPLPDFK >CRE15231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2187:1350:1541:1 gene:WBGene00081311 transcript:CRE15231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15231 MFADCVLIEAPPIPWIPPSNLVPRQFLRSANGSRQRTRSQSAKSVAKRSRSRPATTTSLRPFR >CRE10668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:109853:111103:-1 gene:WBGene00081312 transcript:CRE10668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10668 MANLEIYAATFIIFLLSFSGCLFNLLAGFIVLRNPILKNAFGALCFSHTIANFGVLLIFVVWVTPTTILQYEYTDLTFGKILGQINILFWNACCYSHLVISLNRFLTICMPVKVTNLFNYRNTCFIIGFVWCMAIGHVIPYFWRDTCYVAYDPVSWTWIFGDTPCGHIITTYTDYYTSVAIFVVMSTLDFCTFVSYTELHTLSMRLHFQTMLVLYRRKSHLTSNNETKRRRHVEIRFFTQSCIQGVLFFYEVFMFNYVVTLNNNKWFVFITTTFAWELCHCLDG >CRE10635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:3552:4594:1 gene:WBGene00081313 transcript:CRE10635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10635 MSDDKNPTGGVQKGDTHPTPSFRTIKTQTRPSIRSFGCVVKPTRIPDLLVHQNVATDSIYQSSTGSQCDPVHTSEIECECDIPPENRLMWLTEEELVCMRLGKALKDIDPSTFPKLTTQKHVKNSGEEIMRNVGTVVSKIKESFGDDAKYTCFNNVAKATSDVCGIGRSTVIRRTPLRVNPPKKRLRDMSKKEKNRHYVQEIDILKRSKIIKEIHEIWKKGKDVVTDELWKWAQTEIQFDKCHTIFLHVLHGLGFVYKKKGENTIVFERKDIIQKCAVYLKNKK >CRE10662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:56497:57827:-1 gene:WBGene00081314 transcript:CRE10662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10662 MASKPNKLIIKLPICLNQLQSGGTSGKSKKDFWGFKWELYVVRNKKGFQVALECSAPNIMDTEKWSIEVESKLRITGNRGALDTNNNSMQFSNWLPSSKLQKLQKLVGYDCLKEYSADGKCVVEIEMSIQKVEFKEIDIVSGCESLKLYEDDKDLVSLIVEKNREVKVERKLLCTKSKFFESKMSAKRYDAFQLDNTTLVELNQLLAALRNQPDSITDVTIDSVLKLADRFEMDSLIENCEKFLIAKSAKRPKTLARIAVDYQLGQLKAHLRRNRPNSAATPLTFAWDTRQSKIEKLEEALICTVCYDMFKEEPRTLDCGHVFCEECIRGFNSPIIRCPMCRKVVDATVVTPNYVLKRVLEVMKMS >CRE10654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:106118:107759:1 gene:WBGene00081315 transcript:CRE10654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-14 description:CRE-SRX-14 protein [Source:UniProtKB/TrEMBL;Acc:E3NEU6] MSGSYSGYVSTEQYAETLLVTMLNFFICFFALLMNTLVIITIQKSPHFVNSYGTLSLNHSVLNMLSLLVYFLWVTPTTYTQSVSTSTTTVLGKIIGQLHLLFLFSMIYSHLSLSLNRFASLLHPTRTRSIFSHHMCIFLNISTWILGFLHVIPLFWYQDCHITYDPATWQWVISTSKLCATYKLLVLQYLSVIVSTISFLVNLFTWAKVSAETIRKHPVETKLLKGEFWRSFGFLIAVLTSGVLSGWVQERWQKYVVICVIWQVYHLVDGLVILLLHFHRKLLAERHILDPNHHTPSFIHVEHLHSLPHRSPDFNRNHHVSKVDTY >CRE10648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:66951:68286:1 gene:WBGene00081316 transcript:CRE10648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10648 MLSDRLDYCLTPKLSRLPRGILGSDEEGEDSMNLAFMEDQETGMGDFGSAKTSKPLQKRAKHAIHPTAHPPSAKFQWANAKYLELLKTRRFNRLEGFRFGDLKGPDFEEDDGIQKDMDSDSGTSEGRGDFERQEKLKISNDAVEGHLNSMKFETPRGKSDAKHIQKYPQRMKDLDLKHELKMEKEKSQRLQMELAKTQQENEEWKLRYEELMKNMRNLEVTTKIQ >CRE10636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig219:4691:5740:1 gene:WBGene00081317 transcript:CRE10636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10636 MYQRSQLMDLESHQAGPSKGKERGRRVIVAAVLTEDGVLPDSELLLVSGVREEDQAADYHKEMDGDNFEKYYMSVIPLLAEAAKKKGRPAVFICDNAPYHNKAIKKPPISNSSKAEVRSFLTEQGISLIRKTETFSLIWQKILSSPTVAAICSQSINFLNITVSSMQSNFYGLNSSNISERRETHWIVRDRALRFLRAFSAQSAAKLLDHPRKLVQDVREMTLERNLTLEDDDFELLYDIDEDGNLVNIHIGSDEEEDWGSEEFDGGEDFGEYEDDDFQYNDEDSLHSDFEMLDDEELEI >CRE22255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2191:3574:4825:-1 gene:WBGene00081318 transcript:CRE22255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22255 MTCPFPLFRLLFWIISVLFQTIKSLFIPNIPLPHFPPKNTSPVIPDVPVPSLPFPLLLVPYVPLRRIIDFMDPDALVSLSFCSQKTHSVIKTQRRALFAGRLCVSEFDSNLSFHTFRNRDCVLSVRDCSCLSSSERSNYVKMNGQYIPVHVHSVDGYLVSRWENTTDGLEIVTDYVTDLFNINVSEVCVSKDAINIIEWAIIRQKTPLESVTVCGVTSSEEELIYILRDCRCSAQTQIYSYAPPHFRFLENLRRIDFLEIWHGQWVTIDNLLTMDGIDIILGYSYLSNSDLNVFLRHWLSGGCPRLKLFSAEIGSVNIFQVLAGLLHNAVLVEDSRYYTSPFGYSRTLSFGYDIQRADGVTATVCKQRNENVVIAVWPETTHNYN >CRE21694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2192:141:653:-1 gene:WBGene00081319 transcript:CRE21694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21694 MLQLILGGARSGKSKLAEQIAKDSNLQVVYIATAQAWDDEMRQRISHHQTQRPDAWRLIEEPLYLADCLKHIDQQEQVILVDCLTLWMSNLLMLEDSNLQRIECEKLLKILPTLKSKIVLVSNETGLGVIPMGEITRKFVDESGRLHQLLGQIADKVVFCIAGFPMLLKG >CRE20658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2194:3158:4915:-1 gene:WBGene00081320 transcript:CRE20658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20658 MTTSSNSSNPSQAQTSGMWGGRFSEATDAFVAEFTASVQFDQRFYKQDIAGSIAHATMLAKVGVLTEQERDDIINGLNTIKAEIEAGQFEWRIDLEDVHMNIESRLTQRIGIAGKKLHTGRSRNDQVATDIRLYVRDEIDAILTLLEKLQKGILGLTSKNTDTLAQPVTFGHHLIAWFEMLVRDSERLIDSRKRVNRMPLGSAALAGTTYPIDRAYTAELLNFEAVAENSLDAVSDRDFAIEFNAAASLIMMHLSRMSEEMILWTSAQFKFVNIPDRFCTGSSIMPQKKNPDVPELIRGKTGRVYGDLMSLLTLMKGQPLAYNKDNQEDKEPLFDAIDTVRGSLMAFADMIPALVPNIEIMREAALRGFSTATDLADYLVKNGVAFRDAHEIVGKAVALGVQESKDLSELSLEQLQQFSDLIQADVFEKALTLEASVNARNHIGGTAPAQVAAAIERAYIRLEKLYA >CRE22740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2198:97:570:-1 gene:WBGene00081321 transcript:CRE22740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22740 MSYLLSLDQGTTSSRAIIFDEHGKVYASAQRETQIKTPHSGWVEQDAMEIWTTQITVVQQAIASARLLTKDIKALGLTNQRETTVVGDKRAGKPLAPAIVWQDRRATDWCNLLVQNHLSEKIHTLTGLRIDPYFSAVKLVWLLENVQGITALSEQIM >CRE22739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2198:1243:2385:1 gene:WBGene00081322 transcript:CRE22739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22739 MKLTDTECRKAQPKEKRYRLSDENGLSLLVTPSGQKYWNIRFTVLGERKSESLGPYPDMSLKKARELALELKYKYSKSVLHEEIKPFFKEVAEDWFENQRETWSSKHISNVRASLDELYIALANKRINQIQAPEILQIIKKIEARGSLEVAKRTLSRCGMVMKYAIAHGYRFDNPASDLVYALKNKKVKNLASLSESEMPEFLRKIKTYPADAQTHHAIIMIMLTGVRVSELLQARWDEFDLNERKWDIPAERMKNGLPHRVPLTDMMIDELQALRLTHNQDLLFPHRLNNKEPMRSESILAVIKRSGYAGRMTTHGFRSLFSTVVNESNLFNPDAIERQLAHVPQNRIRSAYNRAQYWDERVKIMEWYGEQVKNWLLKL >CRE14033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:627766:632092:-1 gene:WBGene00081323 transcript:CRE14033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14033 MIFVASRRSLSTSPMPPPRYHQPPPPPQLAPYHPTHRRVPAWCNMTSDERQEHKKQLEELRLREHMKEQESQRNRRDPGGEQQLLTSCAPLLSHAPRVIVFDYENEKKVKSSRLRRSRLDNSNNSLFPPNQMPTKLDIVGNLKFSSSTDDGDKGDEDENGDVTACFVLPSPSSFSKLTILDNDEEYFKEFLDKAREDFKQRWENPAQVRFTTFVEKLIRSEKCQFHVSATDTHKLLKAVEKIY >CRE14008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:420292:421084:-1 gene:WBGene00081324 transcript:CRE14008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14008 MIHRKEGCAKIKRDALEKYCSRPNVKNETFCDTLFTTTTTTSTTTTTTTTTTTANPLMKTQYVVSYAAIGAVIVILSISLLICYLCNRRSEKKRKEQEAQWNIPGWSSTSTDGSKKSDVESGSWGYGSFGGDGGGKKKKGKKEKTVMKTAKSKTTGTGKSSGTSGTTSTKT >CRE14001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:392000:393062:-1 gene:WBGene00081325 transcript:CRE14001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14001 MRCVKSLMMNVLLETSNDAIMRIIQEELRDHRNRKKERKVTSSAPKIVGNSSALAALAAFGDGEDSDSDANDDRQRGDENDDVATTSKGAESPGEFKTPVGIPIHRKFSVKTDSSPPVVNPIKVVDGDVSRAQDSEKSERKIEEKDRKSRKKSKKRSRSRSRERDSSSTSSASSSSSSRRHRRSRSRSRSPERRRKRSRSSERRRDRYDRDGGRR >CRE13999 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:336094:337216:-1 gene:WBGene00081326 transcript:CRE13999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13999 MGDVNAVSTSQPSTLSGHALVALDNIDTSNTAGFVKSVIGGTSLVTLLLGIRSAWKHARQPEAADLAATQLLSGAAFAGKALGVATIITVSGFTCIIVGVSALLHVNTPRQFGAAMKQTFGDSLRLPQSANSQTFEEFIKSIETKTGITEGQEELGSQQIATQ >CRE13909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:184819:186132:1 gene:WBGene00081327 transcript:CRE13909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13909 METAVSGAKLWGIGEERARSDGDSSKQCEAIKYRRRETEVKIVRRKLLDGVDVD >CRE14031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:567964:568518:-1 gene:WBGene00081328 transcript:CRE14031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14031 MWSDITACALVNGTGILVYSLCDSCASTITIRNRKYRSKFCTLCEKRTFALKYPAHAQKFPKTLYEVKTTADGKLVEEGESKWKKMLPCVLTGRGASVTVALAPCCRPSITISNEVLEYDFCVDCQKRTTLAKFPKPIPRMSANHQSTSTEQNK >CRE13969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:43883:44714:-1 gene:WBGene00081329 transcript:CRE13969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13969 MMMTANAVRLMILQLLCLMNSREGMMMTANAVRLMVLQLLCLMNSREGMMMTANAVRLMILQLLCLMNSREGMMMTANAVRLMVLQLLCLMNSREGMMMTANAVRLMILQLLCLMNSSNFNNFKEKA >CRE14015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:438178:439862:-1 gene:WBGene00081330 transcript:CRE14015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14015 MNILLFILFFSILSVHSAEGYELKMMLIYGESVENTSSLEFQMSWEQCYSKCYGMGACMIAFYYSNICKLYDSKTIKINKLDSAANKLLAIKVGMLTQNLNLSFLKRYLENGTCPLTSWTSDTVSDLWIENEQLHNNTFIATSTSSITFSRSTFKCENGTKLFQRGVYYVCIGLQLFENPECNDQPSAINMCEQGGWLSITGPRGQEEFDYLYDQKQIYSSAPLNMITLFWIDGEDGKLIDDTIGGYYKMNLCDNRWPDNCAYIGYPECDIRISQAE >CRE13927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:419166:420015:1 gene:WBGene00081331 transcript:CRE13927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13927 MIDSDLSLEMFNLKVDNYVKACTLATCDAMVKGVFKKFCASRPVDKKDAAYCKKLFPDSTTSSPPISTTPPSSTVSNQITLTTASPETSNNLGVLIGCLVGAFFLVIIVIVLFCWWRRRKLMDNELNGGNSESKSKKPVIAEKKRKSIWIVVDDGDEEKK >CRE13957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:739533:742950:1 gene:WBGene00081332 transcript:CRE13957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13957 MKVKKRSRVISTTSFHPYGIHPIPQLLTIMDNEEYRGTEEQKRELEEWDKLLFDCQEDPPHSESMEDQLTTKPDAEMQSEMLMDIQDGEDEKEKIALDYWFPDDLLEERGEEEIEDIREFTAEEANNLLNLHKDLDELYEIETEHTTKNGMTYTKKTVKPPMNFECKGNEAPTEEYIAKVTGNPPKWSIRVLKRFTDVGICDGRTAGISVPQLKNQLPDKVKPSFAESDKLIRQSSGLNKKSTVGFTPQPTTSGMLGSARNTMQKQKQPSLRVQVLHKLANNLHQHPNLSMRVG >CRE14013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:432596:433427:-1 gene:WBGene00081333 transcript:CRE14013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14013 MQLLLLLSLILSAFSITFDRSSDSSESCEDTSEYHPHPHRPRPHRPRPPPHKPRPPPAELTKCPDTWILFRRPQGNWCVTLFHKSQTWQGADNMCKTYGGRLTGLQTGQERMRLAEAARRIVQPLTPRNASVWIGARRKPECPRAGVCQDHNSFYWTDGHTTGTAGFQWAALQPDGLVEGKFGVQSCARLAVFSGGSAASPRHGAYDDYTCEYLPSRVTLVACGKKATRY >CRE13975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:119673:121155:-1 gene:WBGene00081334 transcript:CRE13975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13975 MENNENPNLEEIIEDIRANRRRLEDIPVDHLQMVLDHAMEEDHENMEQLELQLRVIRRLEMLDAEMLYFHDMRNQNQLNDEERQAFEDHFEEELREVDFMMHMFMEDLEAELAAWDEMDRENQEPPEEPEDDDDNIPQEPN >CRE13920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:378287:380477:1 gene:WBGene00081335 transcript:CRE13920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13920 MAETVLHIEDVRPYQDQVEREQQQNRVMSVVSKVTLITFSLLLLISQWFFYSFACIDLWQAEMTRDLSSTQNCVYLVVVVVSRTFEIPCLSKMELKHTSYLFSMSKLLLCVICFLDQDVPRRIAFGICLGFETTQNKNFGLPRIMGFVLEDWLKVFIYEGIACSTGLVLGILILVLKITKEYYFSVKWISLAFLVLQVLVCVSINICFKYSFFQKKLLLSRMKSEKKVALEAVGLFNAKRAKFGMPETIRMQGAQGQIGQREAEGA >CRE13967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:38982:39465:-1 gene:WBGene00081336 transcript:CRE13967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13967 MMMTANAVRLTVLQLLCLMNSREGMMMTANAVRLMVLQLLCLMNSREGMMMTANAVRLMVLQLLCLMNSSNFDNFKEKA >CRE14007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:417603:418765:-1 gene:WBGene00081337 transcript:CRE14007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14007 MSKYFNKELENLAYGKCVEADVNCEVFVRQTISFCYREFGEAYCYELVPSLKPTTTTESTTTTTTTTTTTTTSVPTTQKSNTGMLIGMSIGVIILLLVIVICWVRCWLKRRRARRNQLTIADGGETGANGGKKRTGKGTTTGTTKTGKTGKTKKTKGTTKTGKWTTTGHTKTGKTKSGFFKKNKKTKTGSGSGI >CRE13986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:166494:167104:-1 gene:WBGene00081338 transcript:CRE13986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13986 MSPKLNRKTNPKLSRKMNPIMIRKMNPILIRKINLIRNRNLNLKMTRNQITNREILVLLVHALVSLGQAGIDTENMIRNNTYLIRLKLDQQLKIKQLNHPWLLHNIPSRPIRNLRSPQEHRRPLNLRRLRRLRRPQNLRSPRSSRSPQKQKKTNKKG >CRE13942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:564042:564892:1 gene:WBGene00081339 transcript:CRE13942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13942 MQSEYSDDPCRGPKHMPNMIVAHMFCNECRGKAGCPNCKEPIIFSYKDDEEEDDDDQQFSGEEEEEEEEEDSEVGSDSGCSMDESEPEERSKTLTKFSKFLMTDPRANGAYVIAHNGGRYGHVMVMAEMDRLAGPEATPPSFIMNGKTFISAEFTYKKPRIHFRDSLQYLQKVLSRMSSAFGLTGEAKGCFSYLYNHPEYYDKVLTTLPPKEYYSPDFMGAAKKEEFEQWYEENYNTPFNLYTEIERYCLSDVRILRWLSLRLVVFFNT >CRE13968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:40379:40964:-1 gene:WBGene00081340 transcript:CRE13968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13968 MMMTANAVRLTVLQLLCLMNSREGMMMTANAVRLMVLQLLCLMNSREGMMMTANAVRLMVLQLLCLMNSSNFNNFKEKA >CRE13982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:149081:150371:-1 gene:WBGene00081341 transcript:CRE13982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13982 MSPLHLLRLPLLPLQHIIQTWNIYEICQFAKVSKRTKNLVKLAVKKTAYIILNNLENFQIETTISESGSMSLWDTWLFKMKDVSESDNTESEAYNENNRTHTFTLVSENPLLRFLETLKFLFEVFDCSIHSVYWNSWNSEDMRQVIDWMNGCDKLTKVENVRFCLNVNNQMTLALFLGTFQKKLGRLFLHGDVPPQGNTDLTPLNFSIEMERFSNFGSARWVNLPILMSMNTCKSVDLGVSLLTNQDLNVFLRSWKEGRSNSILEDLNAAVPGQEDWKTVLNGLRAVVRHPTQVTRCLKQYHWYYGGVDIQRVDGKIGTVMWTHYFGKNEHEEIPKNIIEVFEKTKQEWVGTDSDVVFEERGNEIEVSDEEEIIEQHLPENCFNFTFVVWK >CRE14030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:557481:559729:-1 gene:WBGene00081342 transcript:CRE14030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14030 MDHITLLHEKIRNHIKSVDTGNAILLFSFTALAVLGLCLIGSPIIREQWNNFNNNHHNHQHNPRGASESHRRSIPSQIKSGLKRKRRFAKKDIRAMEIEEKQRKKEEKKEESKAERQKQKKAESGKQKQIQKTTTTAKKANSVETGRQKQKSATSGVRHKSD >CRE14026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:522360:523587:-1 gene:WBGene00081343 transcript:CRE14026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14026 MTGVFRLLRLPWIVRNIIFRQFEFYDLFNISLCSKKCKTYVSSLKLLKSLKMRIRRVDGLGSPLWGIDLIDKPYNCIWRMDFEKRVESKTKNEYGHRTVDGINMRIRQDGNNFFVVEYGRKMKSIISRRNCSPPLTVSSPQFLGLQLSSPPSSCLLSLSSPTLSRRHRHNDIPPPRGLFDLALNRHGANLWIY >CRE13904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:93338:95658:1 gene:WBGene00081344 transcript:CRE13904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13904 MDWILTKPTEEQLYKYYKEKKFAEELLYDLYKTKKKVEEILKKETPTDISSRKSNGNVVKPFKVMDLPLVAFRHVADFWDPNEQYQLSKLSKKSKERIKSAIKKRPSQLILAPPLKVILEYSDTKRFNFSVPIHRNPVYVLGDHPRFSLEFPDRCFQMINDLKDLFDSQFKRFAFFPNHLSWEDLSRIVNWINLDESLPSIPNMTMAAIKDNGMFLKILMENLEKNVHNFTIWGMERGQKSLKIRHNFEIKDLYVNDTSSLDVDAFTSLKFSRANLHRVDIANKEINEILMSWKMGRFGEKMESITVEKMTLINLRIMLIGLEAELRDPRITKRSWPLADGTPGWLCGGIDVKGANGKTATIWLYSYQSTNEDDPIPEECIQKYENAQRNWNRISGDDGDPVRDAIFLDTHLTITFE >CRE13974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:111262:112926:-1 gene:WBGene00081345 transcript:CRE13974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13974 MATYIRRRRRSLPSDSEQSDSDSDQDTDTEDMDPEQQDTPIQQRLHLIASDPHNDYPYHELSHNPFEFSPSDLFDSIGSTHFRPRPFEELAPSADCLNAELRSMSQRMRVVQRHRRVRDGPPTDDQDAPPTTLQRLLELAQPLDSVNEHPPDEQAPPPPAPISSAPSGSAATPQSS >CRE14010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:424512:425427:-1 gene:WBGene00081346 transcript:CRE14010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14010 MTLPPIGNTSSTPVNLLGSLGCLNGIQTFLNYCHDQESAEQCDKWFKIALKKFCAKDDSLEPCILLHPTSPHTRPPSTSSTTTTTTTTTTTTPEPTTVPMDTSILLFGAIAALGVCIIACLVISLMCVNKKKTVPRGRGRPKRKPKTKTNSGKSSSESASGSKSKKRKNPV >CRE13984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:158781:160056:-1 gene:WBGene00081347 transcript:CRE13984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13984 MSPLPLLRLPFLPLQNIIQNWNIYEIYHFAKVSKRTKSVARSAVRKPLDISLTNGECFLIKTSFAGNSGIVPRGPETWLFELRDISERDNTESDVYNENHVYHTLNLFSDNPLLLFLETLELLFEMFNCSITINWIGWKIEDMRQVIDWMNGCDKLTKVENVHFSLNINNQMTLALFLETFQKKLGRLILYSKVSDIAPVKFSMEIERFSYFGSARWVNLPILMSMNTCKRLYLGVSLLTNQDLNVFLRNWKEGKSNSILEVLHVYVPDQEDWKTILNGLGAVVRHPTQVTRCYINTLWYYGGVDIQRVDGKIGTVMWTHYVGSNEHEKIPKNIIEEFERTKQEWVGTDNDVVFEKKGNEIQVSNEEKIIKEYLPENCFNFSFVVWK >CRE13997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:328877:330519:-1 gene:WBGene00081348 transcript:CRE13997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13997 MFYYGNRANSKIDAETRGRLQSRHALFNLLLFFINPIVSFSNLSVQFTSVYTSPWTHFIVWHFFASHFILSQSIFYPLSEERNDIKNNRWCLTFVYHLLLFASIYYVSSEILPRVQKLWNIQLGFIGSLVSSWCLMFAYITVDLDGFYLVSLKLVLSCNHTIFQISRCVYHVSTFFLFQFFLLTTSYLGNVYIDIPSENRMKPAFLFGSLHVLFGCAVLVVYTKFMFNITICLFLVILSFMFSLNSYNYSTVNSYLLCEHRRWKWDFQESKGIICHVIRRRRHLSKILDSTIYLSSQKGYFQYDDDIQLDQKYYKKY >CRE14011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:426156:426584:-1 gene:WBGene00081349 transcript:CRE14011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14011 MTQHCDRYAYMQPQYINWGPCNYKLFATTTTSTTTTTTTTTTSVQTTKSNSMVIILSAIGGGIIFLIFGLAIYFWNRRRRAKNREGGITGGTSTGKTATGKTTTKKTTTGGTTTKTKTTGGKTKKNKKNKKTTGTTGGTAYY >CRE14024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:517268:517525:-1 gene:WBGene00081350 transcript:CRE14024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14024 MVHVWYKERWETSDFSRFSPKPWDPKQRDQIHREKNGSQMDFADGLDILRADGMLATIMDSPRDFHFKVWKQRFTVWNEREDWNE >CRE13912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:220929:223316:1 gene:WBGene00081351 transcript:CRE13912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13912 MQKITLFFVFSLFGVIFARFDSSSESCEDSDSNSHEHGGPGNGGNNRGCGRGWHRFDRPSGGWCMRVFKGNVSQMEAESRCRREGAALSGLLNENEIGRVAGHALRTLRPLTSGSIWLGAKRTSQCSTSPISSTCTPLNSFLWTDGSTQGSSGFQWNTKQPDNNYAKTQQCVVLLASRSTVIQDQWTWNSNRLDDVACVNPGGSEQRAVRGFLCGKRAN >CRE13944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:595621:595878:1 gene:WBGene00081352 transcript:CRE13944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13944 MSKIVEQEFLEVPPPPPTTPTRFSVEMEKMKKKKPVLQRQEAFDMDDEEKKKEFDLCKEVEKIQIGKEEEEKAEANEGDEDFEKV >CRE13985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:160393:161655:-1 gene:WBGene00081353 transcript:CRE13985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13985 MSPLPLLRLPFLPLQNIIQTWNIYEIYHFAKVSKRTKSVARAAVRKPLDISLTNGECFLIKTSFAGNSGIVPRGPETWLFELRDNSERDNTDSDVYNEKDVYHTLNLFSDNPLLLFLDTLKLLFEVFNCSITIYWIGWKSEDMRQVIDWMNGCDKLTTIRNVHFSLNINNQMTLSLFLETFQKKLGRLIMYSEVSDIAPLKFSMEIERLSYYGARWVNLPILMSMNTCKRFYLGASLLSNQDINVFLRSWKEGKSNSILEVLHVYVPDQEDWKAILNGLGAVVRHPTKVTRCYINNLWYYGGVDIQRVDGKIGTVMWTHYVGSNEHEKIPRNIIEEFEKTKQEWVGTNSDVVFEEKGNEIQVSDEEKIIEQHLPENCFNFTFVVWK >CRE14017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:448157:449129:-1 gene:WBGene00081354 transcript:CRE14017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14017 MIPILMNLNPGDSGKRGHQKPRPPIAQEPVEEKCPTNWLTFDRPQGKWCVKIIHHTENWCMYL >CRE13978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:139579:140128:-1 gene:WBGene00081355 transcript:CRE13978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13978 MNTCKRLDLGVSLLTSQDLKVFLRNWKEGRSNSILEVLHVYVPDQEDWKTVLNGLGAVVRHPTQVTRCYINNLWYYGGVDIQRVDGKIGTVMWTHYDGSNEHEKIPRNIIETFEKTKQEWVGIDSDVVFEEKGNQIQVSDKEKIIKEYLPTQNCFNFSFVVWK >CRE14005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:413098:413352:-1 gene:WBGene00081356 transcript:CRE14005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14005 MIHHLKLFVTLFTLLIPTLAIQDRSSCESESRSSSAASSSSEEHHGGHHPHRPRTTTPKPHPHCEEGWYTSYRPQGIWCMKVSL >CRE14019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:451799:452581:-1 gene:WBGene00081357 transcript:CRE14019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14019 MIPRLLLFLSLIGVTVSIIVGGGGGGGYNDHSGHHSHSDSRDSNSRNSFYWTDGHTQNGTDAFTWNAGNPAVEYGYKDVHHVYGISSCTTLTIVSNGGQINGYWNGLMDDMWCNAKQ >CRE13922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:382846:383245:1 gene:WBGene00081358 transcript:CRE13922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13922 MSTMIFGYLSSLWFISFGKSEMMWIIISFVVYTLCLLHVLTVVIVFFCLKSHYFELAEGLRHLKVQKNNILEEYGNYKINKNKFFGSRRR >CRE13906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig22:99483:102042:1 gene:WBGene00081359 transcript:CRE13906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13906 MFDIILTCFGLGEKKKIEEDEMVVFDISRYEENGKPFKVMELPLVAFRNVADFWDPNEQYQLSKLSKKSKATMKLAIKKRPSQLILAPPLKVNFNYSVTGSYHFHQPVYINYGLGNHPRFSPGFLDRCFQTVNDLKDLFNSEFRRIHFFPNHLSWEDLYRIVNWINQDESLPSIPVMTMATIKDNGMFFKILMENLEKNVHNFTIWGMERGQKSLKIRHNFEIKELYVNDTLFLDVDAFTSLKFSRANLRGVDIQSEEINEILMSWKMGRFGEKMELFYAKDIAILHLRAMLIGLEAELRDPRTAKRNILFPDGTPGWLYGGIDVKGANGKTATIWLYSYQSTNEDDPIPEECIQKYENAQRNWNRIAGDDGDPVRDAIFVDTHLTITFD >CRE13103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:41071:47755:1 gene:WBGene00081360 transcript:CRE13103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13103 MRNLKQFIIIFHVFLFEIAFNTNRLNHVYKSKRLFQIVLYLVLIATLIISTFLIYSVEGQCSGTDDRYIGDLCYTIYNQQLSFQNAQNYCYGLNTNLAVIHTTLQANFLASIVRTETGSNEALFWIGLSRPSLNSRFQWDDGTTMSWSNFDSILSPNFITRCYSFPRFQLNFQDARTYCHSQNKYLAVIHDTTQSSFLATIVRTRSTNANAKFWIGLTRSSANSSYVWDDGTPLLWPNFNPNAPQDGRYVVENSDNSQWQTETACVLLDFVCSYDPSTTGFYTKEKTEQPTTVPISTPNVTCLFMVDLQSAGIDQAAINTYRSYFNFAQLVAASLNNASDFSGYIDTFGYNAGLSDHDYFSPYSYNEFKSTPFPIDSTDDEIDLDLKDVDSTLTTATWVPPTNDQTCLIFFSAAPKSEFGGTTIKTTYNSFTTVVGVLIGGATSIPGLTDSIPASTMTDGDTQAVVTKLLESLP >CRE13111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:98432:100743:-1 gene:WBGene00081361 transcript:CRE13111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13111 MTHRFGLVANERKCRCNTGTMTLVTDGKNLIWRCTSCRGSSKMSLKRDSFFEGLKTSVQSLIYLAANWIENPQKTIRQTATDMKLSPDVVVDAYSWFRDISQIWFERESANQRFRLGGPGQLRFNKSHCQWFHIAGTVVEIDETLLYKAKYNRGRMLNRPQIWVFGMLQRGSNKVAMFEVPNRSAATLLPLIEAHVEPGTTIISDGWAAYGGIRNLQAGYDHRWVNHKTNFVDPLDRRVHTQGIESTWGAFKRLRKQRFGDPHESLKGHLFTYMWRRYHNHKKLLNHLLTEMLTYRRDVDGTSPPTNPVLPLLQPRQGVQQQVAFPNAPVNQNAPPPPYQQFLPYQQLPPYQQQQYPFQQPAPYQQFQMYQQGAPPNFGQGLQPQPPQNAPAVPLVPQIAPAVPLVPQIVPAVPLVPQIGPHPPQLQNGQNNQDQESDEDDDTQMQGESDEDDDTEMQVDPTSDDDVDYSSDSDSADVPVTVSNTGRRNDVRGRPRTVQTRGTKGARGRNQGSATGATRGANRGSATGAARGRNQGSATGATRGAKRGSATGAARGGNRGSATRGARGRNQGSATGAARGGNRGSATRGARGGNRGTATRGARGRTSVA >CRE13105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig220:91737:94566:1 gene:WBGene00081362 transcript:CRE13105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13105 MDTLNQSVSEVLSENLQKVVDYWYNENSGIDRHSTTTKDVGLISLLKESIEKGDEYSEYPIVKFMWEKLPESDMKVLKNLVKRERAESREADDEDVRMETMEFSEMSVEQFEQLEKRFCMEPYPTREERKEIAQMVGMSLEKTTKWFKGRRYEEGVQREAAGQESLKEELQRKAVRADANGNSLPTLENSPQEQETARELRDTRRELREARRELECYRQIYDNKEAELQEKTLKIKELRSRCKNMNELIEDLNYENGQLKRCLNGANGYEASERMMELEAQVEELSEKRRVKNHQLTRLQKELTEHKQMLEGQRNRLEAEISRLQRKVEEEKEAVPEKAELDGLRVALSGAKYEIKKLEYNLKIEQGKVEALKIPLGSKAEEKLITQQRYIDGTLIPKIHFLEAELKEYNKTLKKVNLMRYEERQEADLMKIQNKWMQNTVFRQKAELEKQKGAEPENQELHEITRLKMTYYDRDVEETNVEFIKKLILENLELTERLALWEQ >CRE01506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2200:638:2739:-1 gene:WBGene00081363 transcript:CRE01506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01506 MSGVGSDANLIVNQLKEIGEIRDLKSLSDIDGTWKHQLLGYTEELVKATEEDNGKDFDSRGFIDTTREDCNVSASANKDRIATIDEKTSEDDKKRAFPTGRKNLATTWDAVDTIQAEQPSNLVSRTGQMNRASLEAGRASHLVDSPSTKTKCLERKVSETCLCSGVVDMVGKWDDHRVISPTTPTSPRARSLSSNRGLRPQILHTPVVVRQQGVSRDESAADMDLSQRLIDASEGILENSHPPMANILTPIRNGGPQSEEEKDESIPHANLDNTVRYEVYVSTANERNEESPNPEDVQAAVTASGGTTSPGSTPPLRLSNGNFPKIQEAVASEANDEDEDEANQVNQRRAEITKKKAFYLTSWHHRSNASQEDSRRAFLTVLKYRARRRAENILIEKPASTLNELVQGLKERFECTSHVQRNKTHPRSSKQSPGESSSDSLFHRTIKLAKQSYHEYQKNLEYQKEDVTLEKFLEGLNQSVKSLVIREARPITDQTRNTTLEGEARLVPNEQPLEPTQLPAQLEASLANTATDHRDGDYRGRSSENDSQVSRREIFLTFTGKCRYCGKVGHMARSHNLKQRSVANQQKSKDPTSNHQTIQVDAEEEASCLREMILWQDLRIHELKEWNDRLRRDNSSSTSRDQTSQADPPSAMALWTIFGQKNKFPRSKFNLV >CRE02780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2201:3851:4372:-1 gene:WBGene00081364 transcript:CRE02780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02780 MERAVKESMFPQVILKCVYRCHPVITSLLGELFYNSEIISGISAEQRSEFMKNRPHFWPNPNFPIMVVDNKAPAQAMGTSFANSTERVVVKQIIDFLTDTERKKFVISPADVGVISYYSAQTSLLTDALRDVGVKCGTVDSFQGSEREIIVLCCTNDDIQVKKLVKISRKLKK >CRE26916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2204:2352:3557:-1 gene:WBGene00081365 transcript:CRE26916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26916 MPIKKIAIIGAGPAGLMAADVLSQSTTDYDVHVFEQKPSAARKFLMAGKTGLNISHAEAFEQFIQRYDHVEWLRPWVEKWDATWIQSWMHGLGIESYVGSSGRVFPVEMKAAPLLRAWLKQLAAQHVQFHYRHQIIDLKQQQLEILDLRNNATQQLDFDAIILACGAVSWSRLGSDGAWQKWLSASEIEAFQASNAGVVRAWSNYMQPVFGQPLKRVDAWVENSAKTQGDIVITHYGLESGLIYKQGRLLRQLVQHNQPMSIYLDLLPDQTVQQLTQKLQASKKQSSTNIWRKAGLDAAKANLIRELVDKSVWNIPEKLAQQIKHLKIELEGFRPIEEAISCAGGVKQAVLTQHLQLKSNPSVFCCGEMLDWDAPTGGYLLTACFATGRAAGEGVHQYLSR >CRE26917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2204:6831:7103:-1 gene:WBGene00081366 transcript:CRE26917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26917 FEVRFAPGHTPGHVIFYNAKYGVLWTGDVLFKGSIGRTDFPKGNHQQLLDSIQRECFTLPDETQFISGHGPMSTIGFEKQHNPFVASKAG >CRE26020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2205:2889:6574:1 gene:WBGene00081367 transcript:CRE26020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26020 MSSVASEAINAVSTVFWTTVYFVYYLFKGKQEWKCEKNSNPVIQYCCVDGTPCSDHQSNGVRFRKNAPSTTTNNYSNHVQDNHNSTPKSFYSENSGIRGFFRSVWNNVKKGSVKVWEFGKKAGRSVWNWVKCGVQFLWKVIKCVFGMIYAFIVSNNVPSQTLPSTPKPYKATPSSPNYNKVSFEYPPEKLNEAELRAREKSVPPPPPPPPPPKPVSREPSRARSMTPARERKEVKGGIGGFKSDIMDELEEHQRVRQERTSVNREMTQSCHPDMAQWKANETVDRSANSTPWRAASVGPTMRRLEQVVSRVEGDDQKEANFVFRPQKIVHSSEEYQNRNKQASDNGFIMGRSVFSPVEEAEQMRKDINGKQQSYSSPQYQSGNWMEGQRSRNIHSRLVRKYRKSGGEGVLMGLKNKKAWLKKLFCGKLCVCVHAAPFSHSSC >CRE13731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:16340:17799:1 gene:WBGene00081368 transcript:CRE13731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13731 MDGAEDLERGRTSSSDYNLSYNHQHLDNQMDDTEGLATKWKRTKGVTLCLLRLERRRSERNRTNGIVYDAECVVVKSGEYSDDPCRGPKHMPNMIVAHMFCNECRGKAGFPNCEEPIIFSYKDDDDKEDYDDDDHRFAGEEEGSESDSECSMDEFESEERSKTLIKFSKFLMTDPRANGAYVINGGRYDHVMLMADMD >CRE13739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:37691:38603:-1 gene:WBGene00081369 transcript:CRE13739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13739 MVVVLENCVFWLKILLILNQFPEKSIERPPLNDFHVADHSSKNKMTVENLATIFSPTLFCSGSIPAMPNHQLLHFLINNPRVVPKHR >CRE13735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:9086:9626:-1 gene:WBGene00081370 transcript:CRE13735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13735 MSSTSGNDRSVSPTRDQETSETVAYIKSISANILSQEELNKKAVTILRKTTEEARGAFQGSVVTVKLFVELSRVITVHPLGPEAFGECVHQLINDHNPFDNANRTHVSVAFESTHSAVPLASFFRSLNEITPETIVDCLAESDQCLELDIPRVSIILTYIRSPF >CRE13730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig221:10527:11380:1 gene:WBGene00081371 transcript:CRE13730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13730 MASVDLNQRVFKYKAKHHLLIFCALRLLVSPIGLLGAYIDHSKLSSKDKKGGLGAFSTDIFGAIILVCYSLLVFNCCLGRFWFKFFCKVHVLGPIILICIEITDIIWPSKVLGIMMDHVREKWPPYKYGVIGAYISFFLGQLITLYLGICMAKLLDEPPRPPPRPSRRREEEGEEDSDSDEKVKKSSNKKEVTEKVDKSGKSGKLEKSKTKEEE >CRE03583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2210:5308:6741:1 gene:WBGene00081372 transcript:CRE03583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03583 MNKFRYDINGLRAYAVLFVVLFHFGIFGFTGGFIGVDVFFVISGFLMTKIIMDSLQENNFSILQFYTNRAVRIIPALAVLCIFLLVLGWFELIPSDYRSLSKHVIGSLLFVSNIIYWKESGYFDVDSHEKILLHTWSLSVEWQFYLFLPIYLIIAYKLLKHKTIYSLILLFICSLALAHVISTYRPSASFFLLPTRAWEMVLGGFLYFLPKPNLKNATSYLLEIIGFSLIIASLYLFNAKTPWSSIYTLVPTIGTALILYTQNQKSIFTNNKIAQFLGNASYSIYLWHWPIVFWLFHKNQQNNIIYLLVGIIISIALGYLSATYVEKFIGNQLKGTSLFKSNLIISMSCISIIGLGLIIFKTNGGNSSIRGAANTPQALLIEKYINEHKNLDDAYWLQCDAYSNLTKYGFNGIDKSCINQPLSTKSILLWGDSHSQALSLGLRTTLNDYSFYQIGSSGCKASLTPTETLKGALKQAL >CRE05359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2212:498:740:-1 gene:WBGene00081373 transcript:CRE05359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05359 description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:E3NUJ7] MFIFQSFLIFAILSLSILIMMEGLSAFLHALRLHWVEFQSKFYLGTGHPFHAFYLKESLENAQLITEETDRLADISRGQH >CRE10778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2218:2957:3929:-1 gene:WBGene00081374 transcript:CRE10778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10778 MNLSTEQIESFYVSIYLNPEYEKISYHFDYVTVIVIICFICFIPTVYATIKMVLFRNPQKSSTDIHPFVFKSFLCMQVSKVIGSILDLIVIRIPLTTILTSFYTTLERDSPLRFFTAACFSLNNLSQLFTVLFCLIRLLVFMNNQECLKVSCITLRFQILKQIISDLSLRFLDLVNHLIYLLCCYLYYSFLLRSNLSAASLPFSIRCYIGHIPTLLCDCMFIFCIYNSVIRKFQRLSAVVEVVFNAVISICVVVSTLLMLVKLKNMKQLSSISSKNTKAEKTLTITMFIIVYPTVLDEFIAVNSSSSE >CRE09942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2219:595:1748:1 gene:WBGene00081375 transcript:CRE09942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09942 MTTTFPVLRLPYPVLMLVLEQMELLERISLSILSKQARMYVKLLKMKINYIDSKLRYDTIEMEVFLDNRETFELELYTSGYVELRYREDIFLCNTMGVHPMDYAVSIMDVLHCKSIYFFRIAEISPCDVLPLLVNLPKIERVVVPSDLSAFSLVDPRLLKALRIVLPVASTVTVPHFFLLKYHREILQRNFDAVKVRDNWVEDDVLNRKVKFSLNNLKMTNAKTLELYNVLIDFKDLNRYFKLWMKKKSNPRLEYLKVWRNGSVNKDLLLKGLNAVPVPIETKREFRILGHVEQLRSSDEETSAEFDITREDDRRATIISNRDNSIHFYVWPESDNDTTNIEPNQSLFMRLFSWVSTFYNSCIDRFK >CRE14317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:70556:70759:-1 gene:WBGene00081376 transcript:CRE14317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14317 MTFFIYHGPPILPWASDSATGLLFFYGPPILPWASDFFTGLRFCHGSPILPWASDFFMGLRFWNRAE >CRE14320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:106947:107925:-1 gene:WBGene00081377 transcript:CRE14320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14320 MWTRLATSQELDALEVAPELTLYQLDFLRRKGRFNSLQLEEEVQTKTIEEILKKNEFGGMYRKMADMRHWAGKSEGPLTFKDYLNLFRFRNRFEFVLGSVFREYYDEAIESERNAIQQKVSNTMQMIETAGKRLRELARVQLDERHSYIRKNITGTAKRLEKEVASCQALDAWKAYLESKSKVNVFEAFAYWTTEQKTDYQTSYRKLEYEMFKLNRLEDEVKTLYSLVKTVEGAALMRGNAKTGCK >CRE14308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:73509:73784:1 gene:WBGene00081378 transcript:CRE14308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14308 MTFFIYHGPPILPWASDSATGLLFFYGPPILPWASDFAMGLRFCHGPPILPWASDFFMGLRFWNRAGYSRIRAQEKDIIQPKPFGNLLTKR >CRE14301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:32363:33958:1 gene:WBGene00081379 transcript:CRE14301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14301 MANQGPDNNGYSFFITAYDMQHFDGKRVVFEDDDKLLHKIQIPPCGVDEEPTGCKMENEQRTINWLMRRSKSSKRDKKTKDRKNCNCRMESKAERVTSSPSPAEQGTLQDTTSPKTTREQEADVLNKTWKEAAANLRAQIEDRQAEETRVKQRQASTDFSEANHENFDVPKSSRNQKDNTSPPGLPVHQLLVIRFDIKAVISCYFQKPASSPRANMKVEHCGPTHSSRNTNFSRTLIMDYANSDVESNKSFITSEVWSNVWKSKIERPVAVYSDRYFNI >CRE14316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:64757:65233:-1 gene:WBGene00081380 transcript:CRE14316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14316 MSRQLSFLVFLALNLSVPVFGLTCHKVNEWEASMVHDQHFCTAYFEVSEGHASFGGSQAHPKDLPKNYAYDFLNMDDCQLQTGVDIMDGSGTTTSIWACLCFESHCNFPFSFEEFVRRGHTLRPSFVPLVMPAEDSVGHQ >CRE14314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:42625:43073:-1 gene:WBGene00081381 transcript:CRE14314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14314 MKASKLLTVLFVFCNVALPVYSLSCYRVSSIENGIVENKSMCTAYYETVSGEAAFGGANVTPAFRQKAKFNFDLEEDCQLQRVKLIDGSGYTGIWACFCATPMCNYPFSYAEFASRGHTLRPVPLPIESQ >CRE14307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:72181:73297:1 gene:WBGene00081382 transcript:CRE14307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14307 MAEVLAKDRHALKGCFLLRCLPGLSAMETYQNIRETIKYLPLADYHLFRSLQNSFAGQKFDDRMQVKSYLDNFFSSQPPELYAAGIAQLPQWWQDMFCVHLCVKVQTGKGG >CRE14313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:20290:20824:-1 gene:WBGene00081383 transcript:CRE14313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14313 MPVGQNRYIQHFEVKNRSERRRMSQECNNKPDWKQKWALSEIIKDIAKPFFVHYVRLEVPLRFIMYTTKYPKNESYYGVLSKCKCKTHVKSCLADERFINEVKENLNEKGKTDFDEIIKKAIRDFANDAGTASRLAKCFLLSAP >CRE14297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig222:8547:9103:1 gene:WBGene00081384 transcript:CRE14297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14297 MSGVHLDYGTPTKPKMNGQREKGPCSEDGKEANHVPMKKKKKIPKKKLVVPEGENPGAKLWLYEKAAESIIPTEDKANVMPERLFKGLDPLIVHMCTPCKKFNSTRETVKIEDGMIQIPLALCTVCRSHLIAQKNMKFFQHDCPSLKKEFNL >CRE15235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2227:3470:3905:1 gene:WBGene00081385 transcript:CRE15235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15235 MTCYRNGVKYMILLFFMGLAALNTYSYWKGSLNVMTENTSMQPEVTVEQSSITPFQCPFESWNQVHSDSVTNKNLHLEWIQNNISRRDNILESQIRLLSSFVYSDHISITTNSQRSYG >CRE15234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2227:888:2443:1 gene:WBGene00081386 transcript:CRE15234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15234 MERRMRDSTSFIEGNTKDLSVESFAVVIVPRTTVAPGVTNKVSVVPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVSPDFTPAVRGRIYQQDVNSCVDMISRRQRSAQPWIVVNVEYSNVAPFLECASQGKDNSECCRHRGIVQKTGPQYEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRI >CRE20156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2228:3165:3788:-1 gene:WBGene00081387 transcript:CRE20156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20156 MLQQLFVPVLFLVAVSGKPTEKECELEDQHARNCASECKIRMDYNFDFENEIPKFEKWVKCVGEPVCPLNAKNLRKNQTPVQFTNIKSCRPPFECEDLSLHVCATDIMKQSDSCTQKDVNTYISTISDLVRYCNVKKEIRELSGIPPSRIYEERGNNTKTAP >CRE14977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:99735:100235:1 gene:WBGene00081388 transcript:CRE14977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14977 MSEAICEVAVLFKDSEQPCIIKEREIIEKSPVLMKAIEGENPDWKTTDIKINTPLDIPFPKAAGEFVFDNLLKYTPPAEMDFEKKPEDYPEANAKSVDELKPILELASYMECEGFMRCIGFVIGKKLSEMPVDTIAAYLGVEMISEEELLAQEDGWLHPPAALFDN >CRE14976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:95574:97913:1 gene:WBGene00081389 transcript:CRE14976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14976 MGRGNVFLLKLIRSLLFRIYTKHGTIVENSVEAFRRLQNTTRCTKIHFILHAARIELSFLEELFSNFELQSWCKLSLAGREIEAESVNFVLNTPIFDKHFWFLNADMPVDFRHVNAFKFRSNDYEDARLARSPSRVYPLLAISYAFNLVILSAWRREEVFNSRYGDDFQKYENTYEKLKVLERKNTLEKEMELEETDSAGRRKMSKELEVLNEKIWRLGVFFLNGKAVTN >CRE14978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:11829:14236:-1 gene:WBGene00081390 transcript:CRE14978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14978 MKTCLISLCLLFLLISTNCSPSSSSEICSSLNCKPDEVCDSEARTKIFKKGRRKKEEGITFSKRKKEKGRRNENFQKRKKEEGRRNNIFKKEEGKRKKERKFSKKEEGRRKKEVKKARKKEEGSWVRTRKSEKEEGIKFQKRKKEKGRRK >CRE14981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:55840:56420:-1 gene:WBGene00081391 transcript:CRE14981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14981 MSEAICEVAVLFKDSENPSIIKEREIIEKSPVLMKAIEGENPDWKTTDIKINTPLDIPFPKAAGEFVFDNLLKYTPPAEMDFEKKPEDYPEANAKSVDELKPILELASYMECEGFMRCIGFVIGKKLSEMPVDTIAAYLGVEMISEEELLAQEDGWLHPPAALFDN >CRE14984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig223:74429:75461:-1 gene:WBGene00081392 transcript:CRE14984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14984 MRDGDPPLRLLCLPNTSLQCIVQCMQYIDQFALSLVSQRCKDLVKSIDLKCLDVYVLVHSEITIRVRITDSSWIKCLLDDDQATAAKVLTLRDGKGFAHSKPEYEVEHCLRHILEIYHQSEINTIRLLTPLRDVQSFRNTFTSCSTIVFGARSESEAVELASTFCPLKKLEFGVGRIAEHNENDENFPKIFIQNLEEIAVHLMCELNLDDLLLANSRIFRIYCQRISNFPKMLNRFIKHWLAGSNPRTRYFEFHCAGEGYLFLDMNEVFKGIKHEIVSKMDPTARQAFRAFGAAYVKFHGGYNIRKKDGTVATLSFPRYSHFEMLVWS >CRE22257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2231:2696:3232:1 gene:WBGene00081393 transcript:CRE22257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22257 MPFMANDNQNQVLEEQTAIADDKSVKRVRKTKPKTNENGTTASLFGIEPYQPKKNEEYMSEGQLEHFRKILLAWKAELMSEVDRTLNTMQDESSSLPDVNDRATQEEEFAIELRTRDRERKLIRKIEQSIEAIKNDDYGFCETCGIEIGLRRLEARPTATLCIDCKTLAEIKEKQNNG >CRE21095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2233:4683:5069:1 gene:WBGene00081394 transcript:CRE21095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21095 MDVKDIVQVLTESGCFRELAASLVAELREQRESDRRAARRAVRKERQEIKRRIRSQKKKWKMVQEELQIARKRKMRSQRKTRKLHRKKMKKAKDRHRLKFRELKYKFVFCTRVIMRPQSCVFHLVSIV >CRE17557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:34386:35267:1 gene:WBGene00081395 transcript:CRE17557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17557 MTSPFSLFRLLSWIISVFFQAIKSFLVLNGLLPPPHFPLLHVPYVPLRRIIDFMESDSLVLLSFCSRKSHSVIKTQRRAPFDGRLCVSEYHNFLSYITFRKRSCVLSISSITSKESEEMEYVRINRQYVGVYRSNGNLVLHWHGKTGGLRDTTDYVTDLFNIDVSEVCVFKDAIKMIEWVNRRQKTPLKKVVNIAWGVIPSEVLIYILRDCTTLSEISIHAEAAPNFRFSGNFRNIDCLEVWHGKWVTIVVELTSHYFTTFNNQPNNSMASIRFTNI >CRE17574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:86619:86798:1 gene:WBGene00081396 transcript:CRE17574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17574 MTFLFSLFRLLSWIISVFFQTIKSLLVLNGLLPPPPFPIFRVPYLPLARIIDFMEPEAL >CRE17554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:16946:17562:1 gene:WBGene00081397 transcript:CRE17554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17554 MPLPGIETFKMEEIEKRRQLTNDGMRLLENPETMPIGQRSEMSVLDEENTESIPENESLVESNESIPEAGSLVGNYGSIPEVGSLLGNYGSIPDTGLLVRSNGSIPEVGSLLGNYGSIPEVGSLLGNYGSIPEVGSLLGNYGSIPDTGLLVRSNGSIPEVGWTCETCGANGRGQRELTEHLKSHPTGV >CRE17569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:74115:74612:1 gene:WBGene00081398 transcript:CRE17569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17569 MFEVINSSPYQFIAQNFVVSGSGRIEIPTFKTPTPPGYSDWCEVAITVQNHTLDNSFVSMNYTIERTDGFVAYKFPSKDAVPLFGTAQSGYVLLNGSLSYKWTIDYHYNTDEPQIIECRMYSYYYNDFLPLPDF >CRE17576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:90799:92036:1 gene:WBGene00081399 transcript:CRE17576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17576 MSFQTNGYCAFATGGDLFSAFGDMAWILGNPYQFIAQNFVVSGSGRIKIPAFKSPIPPGIHADFCYFAITVQNHTLDNSFVSMNHTIESTTGYDVKTFPSNGINLL >CRE17555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:31039:32284:1 gene:WBGene00081400 transcript:CRE17555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17555 MTFLFSLLLFWIISVLFQTIKSLLKKTSPDIPDVPVSPPHFPLLRVPYVPLRRIIDFMDPDSLVSLSFCSQKSNSVIKTHRKVSFDGRLLIGGSDKNASFLSFTNYTFGIVLKRNQVLRAHKLVDNINYENMELVKMGGQHVRVEVDHLHGYIISYWDNTVNGLKVITDYVTNLFNIDVSEIWASKQSLHMIEWVNSRQKTPLRNVSYADSSAIAASEEEMIYILKDCRPISRLGIHLKSPKNFRFTEKFPKVDCLEISDGKWVTLDNLLTMDGIDIILKSSTLTNTDLINFLRHWLSGGCPRLKLFSAATGSLDILHVLDGLLPNPVLVEDRRDYTSPFGYKRTLSFGIDVRRADGVTATVCKQGNGTLIIAVWPVTTYNSN >CRE17560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:45819:47077:1 gene:WBGene00081401 transcript:CRE17560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17560 MTSPFSLFRFLSWMISVFFQAIKSFLVLNGLLPPPHFLQSQTVSIRQPDVSVPTSPFPLLHIPYLPLGRIIDFMEPKTLVSLSFCSQKSHSVIKTQRRAPFDGRFCVSEFHRNVSFRTFRNRDCVFSVCDCSCLSSSEKSNYVKMNGQYVPVHVHSVDGYLVSRWENTTDGLGIVTDYVSDLFNIDVSEVCVSKDAINIIEWAIIRQKTPLEIVTVCGVTSSEEELIYILRDCKTSSQIEIRSYAPPNFRFSEKFRKIDFLYILYGQWVTLDNLLTMDGIDIVLGYSDLSDSDLNLFLKHWLAGGCPRLKYLNAGIHSVNILQVLAGLLHNAVYVENSRNYTSPSGYTSILSDGYDIQRSDGVTATVHYQPPRTFVIAVWPEAAHNYN >CRE17567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:71368:72023:1 gene:WBGene00081402 transcript:CRE17567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17567 MTSPLSLFRLLFWIISVFFQTIKSLFIPNIPLPPPHFPLLRVPYVPLRRIIDFMDPDALVSLSFCSRKSHSVIKTQRRAPFNGRLCVSAYDSNLSFFTFRNRDCVLSVCDCSFFPNSERINYVKMNGQDVPVEVDHLNGYIISYWHNTTDGLIETTNYVTDLFNIDVSEVRVSKDAINVIERMSRRQKKTIGKCHCIKRHYL >CRE17558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:42257:42639:1 gene:WBGene00081403 transcript:CRE17558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17558 MDGIVISLEKSTLTNTDLNVFLRHWLSGGCPRLKLFSATTGDVDILQVLHGLMHNAVFVENRRDYNSPYGHQWTLWDGYDIKRADGVTATVHYEPLGALVIAVWPEASDNYT >CRE17573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:81314:82517:1 gene:WBGene00081404 transcript:CRE17573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17573 MTFLFSLFRFLSWIISVLFQTFKSFFISNIPLPPPHFPLLHVPYLPLRRIIDFMEPKTLVSLSFCSQKSHSVIKTQQRAPFNGRLCVSEFHSNLSFCTFQNRDCVLSVCNSLFFPNSERSNYIKMNEQYVPVEVHRSDGNLVSYWGNTSDGLKEITNYVTDLLNIDVSEVRASKESFHLIEWVNRRQKTPLKKVVYMDWGVIPSKDEMIYILRDCTTLSEIDIRSDDPPNFRIVGNFRKIDCLDICHGQWVTIDNLLTMDGIVINLKKSTLTNNDLNVFLKHWLSGGCPRLKLFCARIGSVDIFRVLAGLRHNVVRVENRRDYNSPFGHKWTLWKGYDIKRADGVTATVSYQPPGGFVVVVWPETTHNYD >CRE17571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:76478:76814:1 gene:WBGene00081405 transcript:CRE17571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17571 MDKLPSIDTFSYEAIQLRQNGQGPLPQSRPNVATVSSTRPEGRPRVATIGPTRPDGQPTVAVMFHQIIPRAFQCSSCDMRFSSDRELKIHAESH >CRE17572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:79317:80455:1 gene:WBGene00081406 transcript:CRE17572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17572 MTSPFPLLHVPYVPLARIIDFMEPKTLVSLSFCSQKSHFVIKTPRKVPIDERLLIGGFNKNASFISFTNYTFGIIWKRNQVLSAQKFVDNINYEDMESVKIGGQHVRVEVDHLHGYIISYWDNAVDGLKALTEYVTNLFNIDVSEVWASKQSLHMIEWVNSRQKTPLRNVSYADSSAIAASEEEMIYILKDCRPISRLGIHLKPPKNFRFTEKFPKVDCLEISDGKWVTLDNLLTMDGIDIVLRSSTLTNTDLINFLRHWLSGGCPRLKLFSAATGSLDILHVRDGLLPNPVLVEDRRDYNSPFGYKRTLPFGIDVRRADGVTATVCKQVNGTLIIAVWPVTTYNSN >CRE17593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:83615:84752:-1 gene:WBGene00081407 transcript:CRE17593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17593 MTSPFPLLHVPYLPLGRVIDFMDPDALVSLSFCSQKSHSVIKTQRKLSFDGHLLVEESDKNSSFLSFPRFVFGLLRKRNCVLSAHKFVDNINYESMENVKMGGRHVRVEMDHLDGYLMSYWENTTDGLKVITEYVTNLFNIDVSDIWASKQSFHMIEWVNSRQKTPLRRVWYVDWNATSSEEELIYILKYCRLTSKLSIRLKPPQNFRFTEKFPIIDCLDIIHGEWMTLDNLLTMDGIDIVLETSILTSRDLNVFLKHWLAGGCPRLKLFCARLGSVDMFQVLDGLMRNAVFVENSRYYTSPFGYSRVLSGGYDIQREDGVTATVHYQPPRTLIIAVWPETPYNYN >CRE17566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:69345:70821:1 gene:WBGene00081408 transcript:CRE17566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17566 MATVRFNTKQEEEIEYYTNHTSLKNYLYSHIPNPSLGYGDKTTGSNLYNVLKKIFQKFINNGNVSICGAQVYIAVKRYPDGLDVSDIITQLRANHVIVNIAVDSIPSGGSNSATLYEMSYQTNGICVFATGNDLSNGFDNIFRVLDSSYQFIAQNFVVSGSGRIEIPTFKTPTPPGYSDWCEVAITVQNHTLDNSFVSMNYTVESTDGFVAYKFPSKDAVPLFGTAQTDILPLNGSLSYKWTRVARNSDFRLPTSDFRIWNFTSDFRLPTSVFEKITSAQL >CRE17579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:101282:101705:1 gene:WBGene00081409 transcript:CRE17579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17579 MSNIPSIGNTFSYEAIQRRQNGQGPLPQSRPNVATVPSTRLEGRPRVPTVSSTRPVGRPRVPTVSSTRPEGRPRVPTVSSTRPDGQPPVPDMFHQTIPRAFQCSSCAMRFTSARELEVHAESH >CRE17570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig224:75005:75471:1 gene:WBGene00081410 transcript:CRE17570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17570 MTSPLSLFRLLFWIISVFFQTIKSLFIPNIPLPPPHFPLLRVPYVPLRRIIDFMDPDALVSLSFCSRKSHSVIKTQRRAPFNERLCVSGCDRNLSFRAFRNRDCVLSVCDCSFFPNSERINYVKMNGQDVPVRVHCSNG >CRE26918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2244:1491:2174:1 gene:WBGene00081411 transcript:CRE26918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26918 MNPPTDDTESTQDVPRVIPTPRAVKPEALAPADVKPTVCRIIPKSERQDSEYEESVVRDQGYPDEDLLRVKPEQFDDGYQEEDPCESLPSKLLANRFFVTLEAFFNGFQTKEFTGLLQKVKQVREEGETRGFRFTSDQISIVIDICLTSMVKKSMQDEITRQSTLIPFMNEFLDDFLAQMKAIGCPKITMAVTEHVNCEKKRISKEQRVRYGIINAHFSTMIDNLVN >CRE25034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2246:5136:5333:-1 gene:WBGene00081412 transcript:CRE25034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25034 MKPLTKNILIGLAVAITIVLILLIILFVVMYVMLVIEKNEEHRKLGHCVPVGQIFRVLRILTVNF >CRE16428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:48747:49085:1 gene:WBGene00081413 transcript:CRE16428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16428 MFLNASDWERSEHLRKEGPSGVSGITTTTDYELEGIERWLNNAKKMAKASKAAGHNNVLSEGNAVTTLSRSRPDNFSETHAPPPANLITTPSSDSGQNLPYLRKNCGIPAPS >CRE16443 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:79269:81409:-1 gene:WBGene00081414 transcript:CRE16443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16443 MIFNTILPAVISNYVCFGFQPYVISPHFIIYCVGPIRISYYSTIIYIIILVLLLAPFTFVLSSQLSYHAFIVISPQNTLNMFRVNTVWITGTVLSIVFNAIVMFLAIDRQHHRLESNILVVDPKFQYVIDTYAPYIIDMKSATIPMNILLLEGLWAFFLFAVIVFYAMYRVFYALYTNRRIVSERVTKAQKNIVITLFIYGTTFFGLIGFPTVAASSAIVAGVDLRFLPLGGLYFISISIPAILFCLINILTVSPYRRAIRSLFRQLISPLSLQSSIATVSDIRHAVMAPVPQSLFTRPRETQQNINN >CRE16434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:86758:87166:1 gene:WBGene00081415 transcript:CRE16434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16434 MFKVLLALSALTLAVYSAPPRFPNAAEDRAEMAAAGISAQAADGILKIANEFGAKKLTKKEENDREVVRSVFHQFLDQVDKYIKTQSPADQAAYEAFIAKKKANFDANIAAGRIEEIDK >CRE16432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:71278:72945:1 gene:WBGene00081416 transcript:CRE16432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16432 MDFWAAVLIVDYIIAAIGIVANMVYFKLVVLNKFYDGYWRISSFIIFSGMSLFIFSHISQSVICFMYDGSYFATRHCGKTALYNYMEYVNCFGEFSVAAGIFIMVFERYGSVFLPTFRLTSKYKFLFLCIILFYFLGVYTYLYLRVIDPTGVNQLSGFFLVSLLFTMSFFIMIHLLFTAKKRYYKTLGKLPLKERYLLSESYQLCQTCFVATFCALAINTALFISFWLFVFNIIPYNVLYQYFMVYDMTLNISATLFPILFLRDAKKYRCKVTSIKSGHNESGNLEIRQLDGNKISTSQTQEEYFQQLQSSWA >CRE16436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:2410:7746:-1 gene:WBGene00081417 transcript:CRE16436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-36 description:CRE-LGC-36 protein [Source:UniProtKB/TrEMBL;Acc:E3NF67] MLHLRELCVFLSIWSVAAENLAENFKFRIENVKYRNPGSTRIKRDAFVKRESGIAQNVTLLLNNVLKNYDQHFRPGFKSGKPTKVYIDLFIRTMGPVADLAYTYSFNCYFRQMWTDDRLVFNNSGIGFRQLSLSMAMLDKIWKPDTYFWNGAGSYVHGITTSNRLVRLETDGTILYSSRITVKAKCQMDMSRYPLDKQACRLIIGSYAYSSDEVQYKWRIMGEDNGVKIDYESIADLPQFSLSGFKVYEAANLTRDKEYSALEVRFYFDRHFGYFLMNFYVPCALVVLLCWVAFFTKREATAERIGIGITNVLTIVLISLDSKSDSPKVDSPTALEVYIWICYLTHLICMVEFTVVHYHTKYNTGDPEIQEVERERLRQIIKQIPKNSNSRSYPSMERRNAAKPVSITRSLPNKATRFMSVRHPKKSEQHESIQLMRKISHMETTNTQKEAENNTTNMESFRQENVGWRLYYWMIDHNIKTDPFGVAQNSISRIDKISVVVAPLIFILTIALYYDFYVNRKFTFHFDNDFRNI >CRE16423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:17614:18417:1 gene:WBGene00081418 transcript:CRE16423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16423 MSSIRVILFLYSLYSLASATGHLRLELTASTNCNLRLLTDSSDETLQLLIGEKRITSFHPRGLIRDTIRVGFSIPNGKTTAFEFSMKNSGQPQLPNVFEDAGVVVLIQSMYECNRGFHGLTCEFVGISSTPGTSSTTTTTTTTTVTTTTEMKKDTPSSTVTLPIKSTGDYDNTIIIILIILIATLTILIIVFGTLLITSSRSSQEHIIYPGIAMKKVEDSKKCKTLLEEGIYEEVGEEARYTAAPFKASDL >CRE16445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:86018:86426:-1 gene:WBGene00081419 transcript:CRE16445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16445 MFKVLLALSAFTLAVYSAPPRFPNAAEDRAEMAAAGISAQAADGILKIADEFGDKKLTKKEENDREAVRSLFHQFLDQVDKYIKTQSPADQAAYEAFIAKKKANFDANIAAGRIEEIDK >CRE16440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:19601:20407:-1 gene:WBGene00081420 transcript:CRE16440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16440 MPSSIQVILFLYSLYSLASATGHLRLELTASTNCNLRLLTDSSDETLQLLIGEKRITSFHPRGLIRDTIRVGFSIPNGKTTAFEFSMKNSGQPQLPNVFEDAGVVVLIQSMYECNRGFHGLTCEFVGISSTPGTSSTTTTTTTTTVTTTTEMKKDTPSSTVTLPIKSTGDYDNTIIIILIILIATLTILIIVFGTLLITSSRSSQEHIIYPGIAMKKVEDSKKCKTLLEEGIYEEVGEEARYTAAPFKASDL >CRE16449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:100592:101238:-1 gene:WBGene00081421 transcript:CRE16449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-164 description:CRE-CLEC-164 protein [Source:UniProtKB/TrEMBL;Acc:E3NF92] MFQLISDVPNNCTTHPHCLGFTPATFNHSIVFMNTAYHTCTQVLDKKFKMPKCPTGWNSWTRPTGRLWCYSISEDSQTWDSAESYCSDTWNASLNGFQTNEEREDFVGKMNNLEITQKWLYLGAKKSCGTEACPKSVEFLWQNDISTDQSLANDNFYTPYFDGSGDCLAMLIENGQYDDISCVEAKQTTFSCGKWADIYV >CRE16442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:63828:65095:-1 gene:WBGene00081422 transcript:CRE16442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16442 MQYFSLFFLATIASFTDTYISPNTAPTHVWHQLVLFLVCIIIFAVRGAYSFKNENVPARFVKCRNEMTVAYVYGIFYAVLGILCAVFMEPHTETRFIISTTSRCLLALIAGYFAGNTAMTCFIDYNWVTMPTFIIFWFVRYGVQSVLKENYYYMGNMWSFLIVSGLLELYHVWTGKLVNNYAYQSYQDNEVLQQRA >CRE16438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig225:10883:11077:-1 gene:WBGene00081423 transcript:CRE16438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16438 MENPFYLKLTSCNFNQYKHWQNVAVLWAPVYSFPVYAAAVFLLKYYSDTQSQYIRAAQFIAVIL >CRE04470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2251:4405:4647:1 gene:WBGene00081424 transcript:CRE04470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04470 MSKKSIYGNQTYMDFEFKFNTFPVYFALLPMSYVLPTLYIVCYTIVVFMEHYLRRKEFIVNSQIFLVVSMAHIVVSYIML >CRE16372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:65313:70104:-1 gene:WBGene00081425 transcript:CRE16372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16372 MGNVPREPGTIRLSMETETPLRKVEDYKLLPQQDDLQNQEVELLLGEPLPEENISMKVVENPTGVQNPSNPVEELHNEDVSATSVKQEGENCDHQVAEGAVGVRRDTVGRNERVYKISQGSPEMIRKWLREFERSIQTLGVEKEIGIKILPLFLKGEAKEKFYKLSQEQVSTWENIVVHFANAFDALENPHQVQFQLTVFRQNRLSLKEYTNRIRELGEFAYKDLPEEFRDNLLRNQFINQANDYTRELLLKLDPIPQKFADTILIAEQLQTLAGVNTIQKSDDRLEEEMQKLSFKMQHHFDKRSNYQSKPESRRRPHKHQLAPPIKEVEKPFQGNKSVTNSSLPAKSPADRRTVRSSEFLVNMKERRTSCKRPRSHRNWKNPAVARNTIIAANVSDYHNKKHLLQTSPEYFISSKDNCTAELIIPVKDEATRVRPSSTKQDRKEIFLKIVFHRSMKENQRWFKNKTTPRTALVIPDERPLVSRSNERNSNILIEA >CRE16362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:26424:29906:1 gene:WBGene00081426 transcript:CRE16362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16362 MNKARREYQKEFEQQIRDVRMIDEEINKISKLNDNGRAKSSQRCSQVYAHTLSLPQIQKMSHSLGLGDVASVIQKASDLTEHQENDIAQLELDLEEKRKLIAKVEILLSLAESRALLFDLLNEQKKIAEKMENYALDLLQKTNFRPHTPSPFSAASDLSELSSAVQQVLNAKSAGSKKGWSMMKRKLHKKSKTPKKFEVIEPEDVEIRNNFIEVNKKAGHLQETAEIVEPVLSVPFIAQNDQFGRQPVAVAVHRRPLPFAPPTMSPKVLGRPSPQRARAAHHLTASKPLAPPGASSNDESETVHELIDMLHEDIIKLPAEEEEKICSQVQCDFEKADLCNYESSHDETTRFRFSKSRRTHRQKRSANLPQLTDDLLADPSNIADDLLPYTFRAWSIWAGRRADRQKQIDIGPTYSSRNLHFAAVFLEPQQAGILSIPLILSPTSTKIRLRLFEGTRGLRLRICCDRYCPLETEQGLYRGHKSWLRKTVTCPANTQTLSFECLNDGPDRGACGIDDIFVDSNRCHHFFKGTDQN >CRE16368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:125569:126669:1 gene:WBGene00081427 transcript:CRE16368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16368 MASYTAQQAEAALKPQFDVYAKAVDDEKWDVVEGFYHPNGVLVHKDKECTFGNKAITAYLAKFAEGTGKSVATISNAKYEGAGDYLIITADFSADTEKAGTVKGKFLQIWKKEGDRHLVYHDEFEITA >CRE16370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig226:134025:135480:1 gene:WBGene00081428 transcript:CRE16370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16370 MYKKAEAALKPQFDVYAKAVDDEKWDVVEGFYHPNGVLVHKDKECTFGNKAIIAHLAKFAEGTGKSVATISNAKYEGAGDYLIITADFSADTEKAGTVKGRFLQIWKKEGDRHLVYHDEFEITA >CRE13226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2260:2278:2484:-1 gene:WBGene00081429 transcript:CRE13226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13226 MSDEAAVFFNAFQRVFPESTAKKYLCRWHIFRTWKKYAKNEAKLNVRVKKIDSSEIYKLRGKVSTSFL >CRE15849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2266:1907:3251:1 gene:WBGene00081431 transcript:CRE15849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15849 MRAVTNTLDHYQVLSVNVPGQQHSGSNRRARSSRRNNTSKKTGGNRKKRNHHGSSKSTTAKQWKNLAPAKSIFSKRAKKTALMIDGIQLILDNPDEPEIASILQASYTKLSEQLTKLDNSDSEALSLVLKHPALCSNSEVRIKNVVALCDHIKERGYPELITKGKAILQHIEVMLKNLADTQPLAPRRKTSDETIINPRVQSALQALPSTSESDNSQDHDHQRTHSNKTEKEHTLSSPSNDNQDRTPSSPTTSSKDDYGRSPTKDKTGNHFFPKSSEPNGPILTGTQPTKGHQQDKDTHTNSKPTTTAFPNDNTSEPEITYEVLETLLRRFSEKVKVEVTETIHKAVQKVDKKVETHARHKVYSCNLYSHEKQSGDGSRPT >CRE20157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2268:675:2000:-1 gene:WBGene00081432 transcript:CRE20157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20157 MLSRIDPKSQDFITEELDFSTLPATQCGIINSRFSYVPLKNQLTESGPWELNLANNNLSYMNPKKTYIVFTFKITDEAGNRVEMGGTPELLYGPINNIAHSIIKSYTMHINGQMVFHNSTNYAYQSYLESVLMHGEEIKNSTLTSAGFFHDEVVGSPKSSGFLKRCEMVYKDGAVQVACNISIDLMNQNKVLINGCDVKLTLYPNTSEFLIEGYNLGANKLKFHVTDVFAMVNEFDLAEGLSNEIELALQSHKNIQYPLISPQVRSFYIEPNRFDAPANTIFTSKMPRRIFVGLVSAEAYNGSYNTSPFNFQHFDISQIHIDYCGQSVPGRPFNLDFESGKFIEPYILMQEALGHARTNFTSNSISKEMFRSAGYTIFGFELSVIAQDHNLFELVKQTNVSVRLNFAKKTPAGGLYAIIYGEFDNLLNINELRVPLISTIV >CRE15739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:75981:76945:-1 gene:WBGene00081433 transcript:CRE15739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15739 MMILTTFLELDHFYFHFAIATCLFIIIIFLILKIVDCSNRIHGLAFITALILHLGLFGLSAILMFNAFWNSKTLLFIIYTISSIATFGMCKLIKYVMNKLKNDEQKRLENKCYVPVGTLDDIEKLTINVAP >CRE15727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:104579:104907:1 gene:WBGene00081434 transcript:CRE15727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15727 MAEAVTKASEPSGVPPQNQEEVDKLDPWAIDMSGTIKLEPVTDLNQEKKITQTVLTNCVVIDSEIRKAKFFGCKIENSRIFDCKVIDTSKE >CRE15734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:55875:59522:-1 gene:WBGene00081435 transcript:CRE15734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15734 MSLLKKCVGLDPNFRKPLDVALIWEGNYWDDQDVHDCCDVDWETCEILVRKISKALSLPTAPTSSEDVDAGQKVLIFMPRLMQLPLTILAAYRAGLVAIVMDPLTIKNEILESVVLTEKPKFVVTVDAFWQAQQLTEVKKNIENLRGMSETQLLVIRHVAPNNGIPPPKKHFPARRPSYQTSLDLRNGQDWEWSTVMADIDVEENKYSEGEDDDDEFKWNDEDIVVKMVDEMGSSSLRLFS >CRE15731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:51239:52171:-1 gene:WBGene00081436 transcript:CRE15731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15731 MAIENILVLDAPKNLETLIDLMVPWYMGKALTLYEGPLDYPDCSRLAQIISKHNVNCLLGSDTYSIPNPEYLKFFPIPSLKFIDMPRFPEISDYFLNFSA >CRE15736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:68320:69162:-1 gene:WBGene00081437 transcript:CRE15736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15736 MSCNLSSKDFHPSLSITQNLLLNTTKNDDDQLSYSSLQRKFEKFLEKIEITENDRNQLNLLENSVKNQWIDYEKMSEANEAQLRSAKTGNKILNFVLFLMAAYIRYYIFIDQRMPWFMEYLLSGETIFLSFVFLCLWSLSTSFLSYVIACFESTTKMTTENEFLHF >CRE15725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:83805:85691:1 gene:WBGene00081438 transcript:CRE15725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15725 MNLFLIVLIAAFHMLPLIYYPLFILFIILVCTISLALTGFRYSRQTRWNAFETAWTVNCILLGVFVTIVIYSSYKRNDTVLAIYTGAILVSIGLWMFLNYTLNNLDEEQPDGQTHVAESEL >CRE15722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:310:1456:1 gene:WBGene00081439 transcript:CRE15722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15722 MVSARNKDPLIHNYFLSEFGNTALHLSSKRGYPEIVEVLIKNGADRSLVNYQNRTPEQMIPENYQETYPEKVEKYQKIEAIYRKYRNKKFRKRVPEVFPSSSFHIYVEDKADIDLTNSFMAKFKAIVTPTLIPATTHVIVKTDSDGVLEIDGFEYLTWIMNGVIIVKESWMTDCLKNPKLIEKDSKYLVEKVRFKDVEYDTVTQWSKAMAKGEMPYLYGVYVAIVMKEQKNVFYIASIVNAHGGTMCEGFPMKEDYNTGSHPYLHAHLGPLFLISDGSTDLTLYRNDPDKMYTILTEDEFVHLLLKREINRDTKLDPIQATKESDD >CRE15737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:69488:72778:-1 gene:WBGene00081440 transcript:CRE15737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15737 MIMFHDPGDPIDLSNINNDEKIVETSDNKSLKTILRILHFFLHESNIPKFTAGVTVLSSIPLVVFEKLELVEWYGVVMSSAKSSIFLIALFDILVTFLLGKGLQDTIIKVFDTPAFRIEVRTSFNTTLECTDVLDLECKTTAISRPARKNNFIGRGKPDEIAEFTLFEDSLQGKGKVKMLVKFRTDKRSGFFQVTLKYLLCVNYVFFRVFETKIYSTGNKLTKVSVKKESTFEDLLKHGSDTFLDENKLSKWMTVVSEKFELMMPDRENN >CRE15726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:92272:93578:1 gene:WBGene00081441 transcript:CRE15726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15726 MSSINKRDEPSKTVRPALPSGRFLRFSDAPENSLAYGMERAKPKYSARAVHIEAATPLPWENLGRNLFRKSIASTRNRTPPKLPPCKLPRVLTPTRRVPSLRAGLTVKKTEISSQGKPSAKRRLRVVSPSDSSDSEGGSSSPIRNSSGKFLEKSWERKPSFQCSISPIRRRKRTRSLRSFEFESKRNEPSTFGVHERFLESIPQSADTDTTNRLRESTPKFSGYRTPRLEEFSYEQPLRSPSHRFYGNIEVVRTPETSGESSGTLKHPESNFVSSEPLESSTTEVAIEQSQKSLREHRLSDMSSGFFEMSANDSDNQSSPGTRENNERQEPIPEFVEPATVKSEPVEEEDNQEVQEETTTTQNSLSNCKIFTSEVFYATITNCNIERSTLVGCKIFDEPCPP >CRE15740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig227:78701:80328:-1 gene:WBGene00081442 transcript:CRE15740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15740 MLTGKFCWLSGEKRDVLKLLNLLIEILELFYLYLSIVTCLFICIMFLILAGIRYSERLHEAALSTVYMMHSILFCVSTVLMIHSAWVSEFFISLGYGFASCISILMCEWVATVMYDLQENQLQRHRAKRSNNTPDFIEKLTIDITF >CRE21696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2272:946:1095:1 gene:WBGene00081444 transcript:CRE21696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21696 MSRVRVQRTAHHDLPHRSNSDGSDPHHQPRPGGRSDPHRRAPRCPKPAR >CRE20561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:88468:89726:1 gene:WBGene00081445 transcript:CRE20561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20561 MRSLWLVVTVIDKRRHVRLVMIVLDDNTFKNFANNIAFQTSYASAILASVILSLQEPPENITKLKFSHRNGLQE >CRE20563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:40006:40813:-1 gene:WBGene00081446 transcript:CRE20563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20563 MNASIGIALLLLFASAQPVSSVKRNSHNVLDIREQINELNKARLKVAKRNEIANMQEVTFDPTLESKVKKMTCDELTSPGPDYVVFGLLEILRAAIALESKTEGGDLMKSPLFHPLQTKIACTNLATMCDGRTAMCLMGPKNSPVKESDIKRGSPGTGCSGRDGSSGLCMGGGGGRAVEKVPAEKQSDKKSGDGDVEAKGSKDSEEAVSSASMPMALLSLAFGFIMA >CRE20560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:78357:78572:1 gene:WBGene00081447 transcript:CRE20560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20560 MKSLRRHPYYLRGNLKNSNKINSQYITNRTLTCWNSLPVNCFPVKVSSRAFKSNLISLDLSKHLTLSPLNY >CRE20564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:46987:48854:-1 gene:WBGene00081448 transcript:CRE20564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20564 MNNSIGIALLLLFASAQFVSGVKRNPPSELEIREHVNELNTIRLEFAKKKQIANMHGVTWDQTLESKAKKKTCDELTSPGPDYVVIGFLEIFSDVLALQSKTEGGDLMKSPLFHPLQTKVACANLATKCDDETAVCLMGPKNSPVKQSEIKKGSPGTGCSGGDGASGLRMGGGRVIRGTPCRHTPLPDVRRRNSPVKRSEIKKGSPGTGCSGGDGASGLCMGGGRVVENVPAENQSDKKSGDGDVEAKGSKDGEVAASSA >CRE20555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:43839:44551:1 gene:WBGene00081449 transcript:CRE20555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20555 MSNKPPMKSSIGIALLVLFASAQSVVGVKRNSHDVLDIREKVNKLNKERLELMDLETNKKDDIKTPLYHPLQTKIACGKAMCNDEYLCLLGPKNSPLKKSEIEKGSPGTGCSGGDGSSGLCMGGGGGSGGDGKAVSSASMSIAFLSLALALIMA >CRE20552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig228:2422:3694:1 gene:WBGene00081450 transcript:CRE20552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20552 MRILCCIFVSCLLTLLIVPFLVYLASKHPPVHPNQMVRQPERTEMGGVRGLPVEDAENEQNRTEMGGATDAEKRFPLGGEENEQNRTEMGGATDAEKRFPLGDEENEMNGTTSTVIPVATVPPVAKEDEGMELIEGSVDSGAVDVKDSEEKRKNQDFEVIGEKKKMENQGEIIEEEEEEVVVKKKKNKNSD >CRE15155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2282:7:899:-1 gene:WBGene00081451 transcript:CRE15155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15155 MIFDGPIDEAVEFVKPRGEDNENHHPIVGAIYDLYRRDPGRWGRPLAPQREISENGGGFVQEFDKGMITSSVALESRLGAENATVGLGKGVFLAAYRKAGGPAGPWGFMIGAPTGIIENYELRTMEFQNGTAGFAIEGGMRFDPGALKSCRSGRRRLRTFRRDTSLRLGDGAQPPRRQRGRTDRDHRAEQDRRPLAGGEDPERLAHRDRGDRYAELRGRHDGRRPRLPQQHRDAVDEERAEAEHDPEEDRLRVRHEVAQIDGCAQPDEEDRGEQPLREGEQLLAEPFGLARGRE >CRE20033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:40110:42898:-1 gene:WBGene00081452 transcript:CRE20033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20033 MTRVNVEKYRVDGFASSRTKFPRGLVIEFFGCYYHAHKCKYAEQSMIGNKVAIDIRTADAKRIEELEACHDVKVVWECEGMLDCERALTGGRTEVFKLTITNNRVRTHFGTFLYPTVMKFEEFPIGAPKNVRRSEYTVPMTDPSEIHFKGFIACRVGAPKDLKVPLLGLKTGGKLFFALCLDQQSPQMHTHTDKERSFNGVFTTAELQKISDLFI >CRE20022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:26489:31054:1 gene:WBGene00081453 transcript:CRE20022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20022 MPSVNSKPFPIQKLPELAFEAVVRQISTRERLGLALTSKKTMNLLLAVKFPKDQVHLIRFGETSEEFYATVEVKNPHNKKQKEPFKFVCRVSKKKGEKVFTKYAVDQWCDVIGSYIEKTQCAYQKIAKLFPARDVTIRFINLKTEDVRQILNAPEFENWQEVGAFGIKPPAIKLIMDKATSERRFRCDNTIKLPPSFHHPKAFDFQVAQYSNAMWVSSAKQLLSIRDVELIGLGQTSLRSQDVGIVLKKMLESDYQMCTHLVLLVAGGFDEEAVMSDTVRFKFTCGEIGMFYVRTKTQTTTKIAEIRVMDRNTINITISSDETEYEEARRVLTNMSNRIRIDNELEGAEPGEKRRLQMEREMANREVHVASRVLIASLGFE >CRE20034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:48408:49870:-1 gene:WBGene00081454 transcript:CRE20034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20034 MTKNENGKTLKQVHTILDERGVPNPFPSLRSIRRELHLTVLITFSRLYIADTIEQLNNLTELKLNIGDVTSSHPVEQYLGGELKFQYQIIGHKGAAAKKSCMLIGSYERGKCLKARTETDYLTDSANDKINNIVIPGSAFAFNNVRLPNIVSPSLHILMGKGAHRYGFKYLLDLAMDIDNKSNMEIDISKRKA >CRE20026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:103610:104441:1 gene:WBGene00081455 transcript:CRE20026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20026 MPPRSVSYVISCAACDELCRQSKIRKSQTYSGVAKIVFCNLLFFSPIMKNVSKNLKKKTDNISLQRCNISFSSYSQRLELLSMHSIRHRRLKAQLLLLYKFIAGASHFPFLNTYVRLSNSPRRPMAFIYLSPLSDNFFSFTVPFWNAITNNVNIFLSPSQFIILLDSSITRF >CRE20024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:50304:51807:1 gene:WBGene00081456 transcript:CRE20024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20024 MSTPVRPKPYSLRTEWAVCRNSVIRCPAVGERRKPMTSPMRYSPMTRRGGPKDVTNAPSGKRTRPFHSSGFSPSPPDSAHLPIPRLQFENEDFMDLYSDDNQGVRPDSVSLLSQNLARSVITEQPPPVVVVSQSNVNRRHKMTVRKCAPPHTHILNF >CRE20031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:12180:19251:-1 gene:WBGene00081457 transcript:CRE20031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20031 MPSVNSKPFPIQKLPELAFEAVVRQMINKQRLSLAVTSKKTLNLLLALKFPKDQAHTIHFEKDSYGFMALIIVKDHGVEKARKIHFGCDFYKRGRKIEWADNVFEDWSPVSGSYVEKAQSAYQKIRKLFPACELTLRFVNSQPEDVLQILNAPEFKTWNEVNVYEVMTPEAIKLIMDKASLQRRIIFHSSLELPLDFYHPKAFDFKVAQYSRAKWATVGQLLSIRGVEMIGLGQTSLRSGDVRVVLKKMLETDYQMCGRLEISVTGGYDQEEMMGDTLRFSVWNGEESTTFATTVVQMNTKIAEINVFRNLVRICTSSNEDDHKEARRMLTNLRNIIRIDNQLEMAKPGEKRRLQKERVNFNGDLQDALNAFMANRRRHIGNFEFPRLFI >CRE20038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:97260:97790:-1 gene:WBGene00081458 transcript:CRE20038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20038 MTHSNSSEMFDESLSSKVFDNPHLLEIIVSNLTWNCESNLSTRLINKSFNYLFLRIIRRNHRKMKIEFIGKAERCEKTAKDWIFINYRKIKKSIIPGYFNFLNKVVGVKVEEIITKNLWKPEEMFARNLHDIINSDLIGRNRKYTGVTRRLGRQPPQSLS >CRE20027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig229:105147:113559:1 gene:WBGene00081459 transcript:CRE20027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20027 MTDSNSSEIFDESLSSKIFDNPHLLEIIVSNLTWNCESNLSIRLINKSFNYLFLRIIRRNHRKMKIEFIGKPQRFEKTAKDWIFINYRKIKKSIIPGYFNFLNKVVGVKVEEIITKNLWKPEERFARNLHNIIHSDLIGRNRKCTGVTRWLGRPGFRVRQPRERERERERERERERERMLTPYTEEGVTLTRRSVRKLIGLEEVCEGCVYCMDMATRCVEYGPLRFQVLKEIKKPIHYKKLHISDKLLEIVANHCTLRSTTKEKCFEKLNNIIRSTISCDTLLLWICETKRYYVNGVRENAHFSMPREVLDVMIRTWNVKIIRMNMIVWTSEKICHENWINKGYFTKIKLDDTYWKTGRSSDLKLKHVSVKVTDSYDCAGGLIYSIPKTGYQKSFENYIANLRRLFQMDKLSIDFSHWAHKYSGSLEEFMRNILRVIQLEKQRKLEVNIQFFTEIRSFKVGNSEKLAEIPSEYSLLSDRVECNQMFVPSDVVESGPERLNMMKWVGRRFQVKDMDNHFTLNLNIYVKETELTKNASSIRLVCDELCRRLTRERELQHESAQTMKYVNDNIEQLQKENLEMQSKIRESLGVSKKSSTSNNKENAPPRVASSEAPSTAPPSSSQKTSTTASNFVNPTRQLLHESTMAVDSIVQKLKKTYTMSGMGAELKETIGNLIIESRGLRDFLHQKLILFKGIDMTMWKNDSVDQLVEKLAQYLQDNLILEEQIKKYKKELKLTKNVIPNLGADVQERIKREIGGIASDMGAVKALRNKK >CRE03584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2290:13:792:1 gene:WBGene00081460 transcript:CRE03584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03584 MLEVGEIATPDGDRVITSLGDTANCKAATGECEIYDARIVWSSEKITKFCKYSKVETTEAFVTKTKIAIPSLQMALEIKQDQNETHTENCGLRMAVMTNNGFVISITNHKQSLSELIDSVENKKTRRKRSLQLKNRPSDLLIQRLYGPNATLSSYPMFSYDPITDPRILHEIRRYDIALSHIRYQWENFDLPDKQIAVLRAIREGEYRKQLIRELREDVGNLKNAVTIKQLEQPSHHFDGYLNEEFGAARALVGSEKRE >CRE03585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2290:1012:3195:1 gene:WBGene00081461 transcript:CRE03585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03585 MQNSHIEISKTLLAIDPTLGMRALLKRQDVVAKRAGMVYLVSQCSQVTVDKVYYDHNVNGTCYVDTPVRLQNQTWFIAPGMEKDLVKESAEIPCDEVTLGIYKDEDGNWKSRNGPSVVRNIPITFMKKVGKINLTLSAPPVFNKLENIDNPLVYLATWTVSMMRLKETQIELMRNLRSRGLSSSPIEHILGDGAGKLLGIVGEIHNSIEEKTGFIRTHIISVIKSVVIPILIILVLAAAAVIALKIYLFKKAAGTAISELVNLTRSAPLSIQKVIRRWKPEVHNIMLQDEDGTKLDVFSIERSDSVVTIPQVHTILTYEGMYTVPRLPIQVNHENAIALIDTGASISLITDMMIKRLGAEKKIQKCSPAYATAANGELMHFQGMVSEIVSIGTYHVLMKLFIVEEKGAPEQCVLGMDFIQQLNKQKLNVSFNPAGDITIGEMNVKMLSQEDCQRISKSQTEIYIDKVKTPEKDSERDEKPPIVIQSQKEGNNSTVSFPPKNFVFGRVTVSPTDIMLKTLRPCYQNDDDLVENLAGSIRQCHELVSRTLENSQNETKKTHDARRKVAEPDFQIGDKVVIKDHTAGKLMYQFARPVIITATTASTITVKTERGKLETVHKNRVKKFIEEDQDKTTRTSRNSATDAEEEECSPTPGDKRGRQPRTRRTNSAGSILDHPTQQQQRRFTLHGEPNQMPEQSEGSLDQSTPTSLDNALRRSRRLQLPAEHTSL >CRE04473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2291:3086:3712:-1 gene:WBGene00081462 transcript:CRE04473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04473 MFSISKLIFVTVALTTFASSKSLGNHVADLSVVIAETGLLPPAPELTTEAEQEYMAILTNSSQTIPELEQNTKLWAEKHGLTEKLEEYNTKVLEKVKEFKDKYMSVINNILSAEKKYQDILNSQTLTMDEISNEVDTLLQQYPLEFKTLGCLFDEMLREMKENSSAGDNQQVLNGIQSKHEVMKAMKFLRRK >CRE14731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:935058:938809:-1 gene:WBGene00081463 transcript:CRE14731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14731 MTCWNYLPAEIKQHVVKKLDFMSRVALRSTCRLNYHIVNSTKLELPRVRFGYKRGRSLIVIYTGIEKFLRLEFGNCEQGPVVMKSENTCDPKETITKLLPSPVNSIVYSILTLISLLAHQSILIKVMEWEVSPEDFERFIGRLILIFLDGRKFRITEIAMRQQKWTQILHKFPFDMLYFDELENIRQMALTIHPQRLTLFMVYQFKQCINGKWLCSIHRTLGTEVKSDDIVSCCHKSECGAFVYTVEKQFEYHLDYLKQFVREDLRGKYDRDEKIQKASKNKKMELSWGFGSDVSIGYDVQPGNNFPTNPILDNFKDLEDVGDPENVTLEYFEDS >CRE14550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:538919:540081:1 gene:WBGene00081464 transcript:CRE14550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14550 MPPLTYLSLKIILPYMDLNTRVNLSLKCPSISQILKHLPVTIDHIQLGITNITINGTTYTLHSHANSAHAVPKDNNHQLVGKHFIRLSRDVFLWSQSKSQLMNQIIMEQTEFNRQLVPIHRNAYLKNVAYFRWQMMYLIIFWKEGNCAVGTHLAMETTGGFGLESMRFPVEDELVGRKGAIKNKMSNQDVECINVPMENNLEINIYIEQLNPESNIVHFEVYPHGYAIIV >CRE14487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:189590:192094:1 gene:WBGene00081465 transcript:CRE14487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14487 MHSIIVPKDTKVQITDMGVAKVVSDLGENSEIFQSPMYRAPEIFSGGVPNKKTDLWSFSIMLLQLYTCTDEFWPTHADHPQVQYFRNLQQALGQQMTTELWEEVAKIKRKNSVMASFRPFFDEIFGVYHHQKLLIIQFQFKKQRNSQNQLENQLLHRKSEKS >CRE14585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:773505:774615:1 gene:WBGene00081466 transcript:CRE14585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14585 MMSMIRLNVLATLDKDSPAEIEALKKRYNDGDGHTMDYVKSNCPYFVDSEAYATGNKTVNSYKVCCEMVDYCSFYVQTWFYVVCGGAVLLIVITLIVAFCCCCKKRGGGGGEDVESIEKSDATEKEDD >CRE14661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:464459:465630:-1 gene:WBGene00081467 transcript:CRE14661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14661 MYDYYDEESGQWYLDESYNNFSMQHKEFSYADVMIDVAVEAVLLILLKFAPIHPTLRHFYQFSCFMMIIYTFYIVLIIVTSLFFYDQIVDVFGILEFTNYCISIVVSPVFNIILILLAVQRFLLYFYEDSERFLNFKSIYWSVLVVFLYLFFAILHISIRIKDYFIDKFEIINLLAFLNGGIENSLLENVLVYLSFILEFLAFISVEVYILMFISIRKLLYLPSLAQSQPQKYMMYQIIFIFIGKLFVLFVIVTSGLVAKFSGWAFSNLAFVITNLFTFFMTPLIIQMTYLFCNKRNTQLVIFFNRSDSSLIN >CRE14491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:211448:213804:1 gene:WBGene00081468 transcript:CRE14491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14491 MDDLIHAYSLISKGISMDTPEVEENVDISTETNSSKLEHVGSNSAQFPIVEHGQNFAYSTSLELRVGAEIAMDAIIQSRFLIVIYNHNRRLFRFDTYIHSNGTGNRNLNHVRQQSILYFWTHARIKWGKNNRYNPQLWNFGRMWVKH >CRE14681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:614475:615751:-1 gene:WBGene00081469 transcript:CRE14681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14681 MTYNLSYPGQRCIIEFLEANKRIHLVSRSPALQKAEKSIPFNLDHVQITPFSLTLNNICIQIYPATEQIPEDDARYERRPAEVRMQPGFIRSGHSDSVWHRKFNEVVFMRNRERIASRPIPENVKDYIALEKLNQIITNREDFKYYLPLIDSSSFPLKKLKIPFLGSEIFEHPIVQNSDCLTIDELSLINDTIPLNDIRNLTNKTVVIQHSKMRIEEMMTLIRDWLRGEKSIGTTLMLEKSFMPINQAIFRSIKETFKEFENDLEHVEENFLLNCPRFCIPINNMSNLLVYGISRGIVNNNINWPVSAFVMKVVATTPEVMPGNCQFEDGAPLILPSMIFVLFAFSYFCGPSTSP >CRE14589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:821655:822317:1 gene:WBGene00081470 transcript:CRE14589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14589 MAPPSRHRSSILNLFKEGVLPVDIIKRLVVLSKTVYDLISCFKKLGTFLDRRGRGRKATVVTPDRIKAVKERIRRNAHRSIRKMAKGKKISRRLLGRIVKDKLKLICYRERKAAILSEATTKKRLERSKKLLQRTLNGEHLVTVFFDEKLFTVQAEFNPQNHRVLAETSEEDFANGKAIHQGSHPASVMVFGAVCADGKSPLLFVDQGVKINKKSTFRRF >CRE14567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:639444:639617:1 gene:WBGene00081471 transcript:CRE14567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14567 MDTSKPLTYFSCSQECVLKKRHYATRKHLTDKNMSVAKPLTYLSLKCVLKYTNPNIR >CRE14607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:15655:18688:-1 gene:WBGene00081472 transcript:CRE14607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14607 MVFFETYSRRQPDRDEIEDEEEEVEDEEDEEEEDEAPRVPVEEEDMEEQPPLNIKQYLNFSGEDSQFSDFTFIIGRHHRKFHVEKGILIRHSPILKEMIVDIETITLLDVEETAFQRFLEVIYGASYVLNEDNIEKVLKLVKRWQAPFARRVCEDFVHNCRVMDRIKKLKLCVLYELNELGLKALIVRSFVSFEEIMDLRSSKILSGDAEMMRLMFDRVMALRNEARRHEMLIQNNNAPAPRAPIPPPENQENFRENQSSSSNDDIEHGRAPAPPPYQELAQPAPPVDFIEIRRNLNGFMASGSTNKRSGDHQEPAGGAKRPRKGIIDFSVGDSNLTDVVLVVEDQKFHVLKGRLAFHSQPFYTMFYGTYREAEQQEIELTGFGAEEFQVFLEYLYGDCGCRCDIVSGEIAQKALVIADMYDVDLVRRRCETTITKSSSLTKKMKFEIAVKYRLENLKGTILGEVKTFVDLEAILPSDLADLDPPTMKVVLEKSLEFNRCSKAPSPVPVPAALPRAAAVPVAAAPVRRAPGQAGPAPPVPVQPAAPVDLNRRPRRQRQAQRAQNRAPQ >CRE14593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:835806:836204:1 gene:WBGene00081473 transcript:CRE14593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14593 MYDEAKKPCEEYFKSDSYNNGETDTYGYGICCDIMGMCGMSGWVIFLIILIVLLCLAGAAAAFWFFYYKRKMGGRDEEKEIESTADTANTQHDISVETY >CRE14677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:574439:575438:-1 gene:WBGene00081474 transcript:CRE14677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14677 MQLSTRSTRLPETRPCSSATPVTGYVRGNQRYEAMWRIAQKQYNDTHIDDTFDKLFRLLYEKDEQRLLHVISQQFNVNIIAGDMIEEEPMNFDDQMTGHFQEAEFVPTDDVAMNEQLYDNSIPFT >CRE14691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:685047:686553:-1 gene:WBGene00081475 transcript:CRE14691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14691 METEDYYERSHQSPDSDNSLRTFLHYLVITEALTILLSDFMNICDKSIKKQLNSVVLPCIIVLSYAETRQGAIKQC >CRE14536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:466126:467594:1 gene:WBGene00081476 transcript:CRE14536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14536 MPLSDLETKDFFREEVFVYDSMYEDYFSTDEADLKIDVETNYLHKYSFGLSFSKQTDAVVLILRFIGYRISMVASPVFNIILILLAVQRFSLYFYEDSEKFLNLKSFFMSLLVVFLYLFFAILHLVIRIEESLIAKNAFMYFLASFAVYISLILELLACFSVLVYIPMFISIRKLLHLPSLAQSQPQTYMMYQVKFIFIGKLFMLILLVGSGIVSKFSGWTFSMLAFVITNLSTFYMTPLIIQMTYLFCNKRNTQLVISYLSFRFVIDKLRRLLRKNNAVQPIVPTDGRV >CRE14712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:787633:788151:-1 gene:WBGene00081477 transcript:CRE14712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14712 MSIPQELQAFMAYGFGKELPPSEVEKGHKFCNDLTSEQHDKLYEAFKGTCEKYLDTESYKSGKTNTAGYIICCDSFGMCGMSGWMIFLIILIVLLCLAGAAAAFWFFYYKRKMGGRDEEKEIESTANTANTGHDITVETY >CRE14652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:380718:382128:-1 gene:WBGene00081478 transcript:CRE14652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14652 MSEVVVTEDQTNILNIALQILVAKQHYSKLPVVEEYEAGPNNFDSLALVESFLGKLPAEDENVAEKKQKSMENLLSSLLSQKPPSEIPKPRDPRAERNEKERIRVRELDKAMDKLKEHIPFQPKNMARVDVLRMAINYIQLLQEMVRTNEQPSPWQCAGRMAKGLSRQATWLLANSLEFPMPSLSNNVHQSLHSLDPQNQLPPKPSEPSSTSPRYSHSSTPANQ >CRE14566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:630898:631383:1 gene:WBGene00081479 transcript:CRE14566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14566 MFNNISENGILFTEGAGCDRNITCGSKSTTYVDIQFKNSEIVLDDLVNINSGTGLLTHIVGEDTGLAVDIFSFFGMICENKVWYVTKYPAGIRYRTTNREWKLVGTNGKYDGKKSKIEVMSCASVTASIE >CRE14664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:474397:475676:-1 gene:WBGene00081480 transcript:CRE14664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14664 MFFRRNANSVYTEGCKFDGKCEITVETRRFCKGCRLEKCFEVGMRKEWIMTEEKKTQKRKIIEENRIKRNKENSSAVEANGEVGYALEDDSVYTVPNSSLTPQSDTVTHPSISLKISSPSTSAKNLLLNKLEQTSSDMVIVPKKVLTQLLQENSKTPCICTCSCGRYPQGSCFVNEVKK >CRE14590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:822460:822687:1 gene:WBGene00081481 transcript:CRE14590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14590 MASVLARPQSFGLFHLGVLQNKVNAKPHSSIEALKKTLVKEWDALSPDYLRATIDAYPRRLRAVIEKRGGRMKQD >CRE14481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:157755:158431:1 gene:WBGene00081482 transcript:CRE14481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14481 MLKTTIVILLVLVSTATSDIFGEIKHQNPWPVKIPTQEELYGRPQIGGPEDNPPPVAFEKKEKEPESECPDGLKTDDGLCIKGTSTHSTQETSSANLIGFPVAVEILQLVITFYFY >CRE14472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:61147:61836:1 gene:WBGene00081483 transcript:CRE14472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14472 MSSTWSGRGLPCRKIFKLSTIVTAVEASKWTSSRFYDDSKNLFRFVFKAVSLDNGLHLNNIYPFQIAKRDVFFAGYSAQDSSNVGFVPYGDRFYKPIVDFVVAGFRQPRDVNTLTSLHCAARESMTNLLDRFRKEYKEKMETTAKEVAGSLKDHLFPWLRTVRIMNFWTSPTPLRLMLSSPA >CRE14713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:788681:789594:-1 gene:WBGene00081484 transcript:CRE14713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14713 MMAAQQMPANPFQLRLTSTQIEDAKREWSQASSNDRDIATKAAKSLCEEFMKTEEYKSGDKDYFGYVTCCDMMAYCGGVGAWVYVLIVIGILICLSGSAGAFFFFYFNRKRGGRDEEKDIESSDNIVNTGHDTSVETDKTKSEQEISVETY >CRE14592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:834578:835095:1 gene:WBGene00081485 transcript:CRE14592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14592 MGPNEINAFDQTAPAFVMEEKKKLYNELSSKGKQEMRDGLKSYCEKYFESESYKSGKSDTFGYLYCCDLLGMCGMSGWMIFLIILIVLLCLAGAAAAFWFFYYKRKMGGRDEEKEIESTADTANTEHDITVETY >CRE14720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:828619:828914:-1 gene:WBGene00081486 transcript:CRE14720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14720 MLAISQPILEKKPDRAMKAEFFGRVTCHVVWCVQLMYMEEDDEFEP >CRE14697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:729791:730741:-1 gene:WBGene00081487 transcript:CRE14697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14697 MEYYEHSKFGIDWAPYQTMFPGESKSGTINRMTEIVIFLNPHWKGLVAEEKWKGNWDVYRDHFSQSLAHKIEKLNFIDFKLRALLLFVSSVRVTEAFKKQLEEYRCICRYDEHKQNAWIQSDFNEICVEGEHRKVAKNGKKKGKKNGKNGKIRKNEEQEDDDDDDPNILDVDGTSNAMFLQKIRHYGGARFDGVGTRGMRQFGATWNDMKNLGRDKKISLVTLAEMMISLLEEWKRELEL >CRE14704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:756405:756892:-1 gene:WBGene00081488 transcript:CRE14704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14704 MRIHKFFNYVKYFLASRCEDEGLEHSLLLGLELETDDKEISNHQVLQIFERLARSVVESPDEAQDVVKIKKYYVVKICDLMSWGMPGDETNERIEKMSKLVCDSGEVSKREQKIKLDNFLFQKISLDVLAKKMVEIFEECCNN >CRE14636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:283893:286695:-1 gene:WBGene00081489 transcript:CRE14636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-140 description:CRE-CLEC-140 protein [Source:UniProtKB/TrEMBL;Acc:E3M988] MNSHILFALFSLFCSTYAASTPVCRDGFKLVNRKKCLKSFPDYKLHDEAEAVCRGYGGTLVTIKNAADNRAVSDLAGGHSNPFVWLGVYCFSNVTTSCYLDDNSGPLTYSNFATGYPKRDAQYGGCVYMTTYGPDVGKWFSSPCEYTGLEYVCEVPSTVDDKACTHNYNGNCYLPSHELSINTPNTTYQTAQNICKSINARLVSIHSKSEIDYIKSLYTNSGIQQITLGAQAFMQDTFDWTDGSSFDFDNFDPLATTKGNCLQMDLSSRVDNGMWSQINCQTVNYFVCKRKAGVAVTVAKKEEQEEEEEEKDVNPKFKFQMVTEKRELPIQHLDLSDLCNSTLFIAPGVITSFGYPAAQPPITYCTWKVAVVGAYRLGIYFTDFSVMYPVNIYVEKNNLLASPKYEMKPFSVLAPYNMVTLTHDSAKDAELQFHGFSATILPY >CRE14557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:571242:572234:1 gene:WBGene00081490 transcript:CRE14557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14557 MNGSVIKCEVCHKTFPDINSLRKHGVTHSNERPFKCEICGKSFKTKVSLKQHIAVHENVSFECSVCKKTIRQRNNLYKHMKNVHDLVGNQLDTAMEKSINKKASEVEIIWVENPQPQLHNNLSILRMLAMDSEGPLSKIAKNENCSQPIKRVGLNDSQPFSTLLDAIKLEEYENQLVQEASESTLLEDQNLFPAAEVSTSKSVMGNHESQIPQQEFSEASQKFQATHFQNPQPSNFDEDSRQISHQIMRVAVNSTKDRQDCFRQLLFATVFAFESSPTCTNVEEFFRMMGERYAKK >CRE14615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:48795:49213:-1 gene:WBGene00081491 transcript:CRE14615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14615 MHQPDIFQYYAHLHRELFFGIRSKGDMLISMMKVKGNPGSECFDGYGNNDGLCSFLVPSTSTMKITTQEQIETTTAVESVSHSRHLILQVVFVLSLVTIDLQRFFS >CRE14488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:195910:196743:1 gene:WBGene00081492 transcript:CRE14488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14488 MHELIYDETLYQEFDLIDNNDIPWFTPTSIDIFQTDNIVLNIADESKIYFYRKKPLSGVKNPYTAQYLSPLQKRIRCLTFENGKLKCVFGPIRETMWWYYSEGSPGSRCDDDYYNNEGLCTRFQTTTTRKTTATTSLNAVTTEKPYPTIDAEEEEECECSVSTCVKITFIFMTLFVHYLV >CRE14645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:349367:350190:-1 gene:WBGene00081493 transcript:CRE14645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14645 MTVQLDIGGTIFRTARMTLTVKAQGSLLARVAENMNAAYLVFADRSPKHFELILSFIRYGSDIDLPDSEEELEEIKNDAKFYELYYLVEKCDEKLSIIEANKPKLSVINSEKELNQKIACLGRVRPIFYYFQAPNSEFQPMIVIRFNSKHWGEVFHSTDALTLVNQYKSVFDIHFMPLAHDATYFRFSIHDNTLTKFATISHARCNKTFIKFLEKRITRFLAEKFNCYIPFIDVFE >CRE14586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:775994:776496:1 gene:WBGene00081494 transcript:CRE14586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14586 MAGAYGGYMASKGKEQLGIWDGMSEANITSFIEDYKANRGNSHSLVEYACPYISEMQSYKDGKKGGSYKICCDTVDYCSFYAQSWFYYTCGGVALLIVIILIVVSCCCCCKRRGGGGKDAESIETSDATKNEDY >CRE14722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:852411:854159:-1 gene:WBGene00081495 transcript:CRE14722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14722 MGDVDKAFKGGQAEVNSKPFSFIEAQYDGGDAKMKHLLESLCNNWFRTDEYKNGDDTNMKKFCCDKLDMCKFYKQTWFYLACGGGGFLILVIIIIIVACCCCRKGGRGKGGQDEELSGSEE >CRE14709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:781384:782194:-1 gene:WBGene00081496 transcript:CRE14709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14709 MSIPKELESLVAHEFGYPLSAAQIEYGKKNYNKLSSEEQNQVYKFFEGSCNKYLNSKSYDSSKTDTLGHLICCDWMGMCGTSGWVIFLIILIVLLCLAGAAAAFWFFYYKRKMGGRDEEKEIESTVNTANTRHDISVETY >CRE14507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:305183:306415:1 gene:WBGene00081497 transcript:CRE14507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14507 MIPSNWTNHFDISNSPIYPIVDHFFLYSCLTFAYIILPFLFWFVGNATAVALIVIGLGLHFLMVTVSPIFNILLIILTLQRFVLYYVENSEKYASFKILHWRLFFGALYLISIIFNFGIRVAKYFFYIREPVNCSTTWTDNENINFIDDTYMNISFALEVLVVISAVLYIPMLCSIQKLAYLPSVAQYEPQKYVKYQALLISVIKMCVFLLAFYSGEFLSRNVYLFPQREMLFNFFHDSNDYSNDLYILQQEERQDYVILYIS >CRE14523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:411448:412665:1 gene:WBGene00081498 transcript:CRE14523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14523 MIINSIQEDEPFEYLQKQDVLKCNGDEFAGYSADERKEKDKQILQFFRDTVQKRIEGYFVKLPLKTNKIATLPDNYRLTLKRLIGIVKTTPMEVKKMIQEIFEDQVKKNILEIVIAQTPKGEWTHYSPIQPVLTPHKATTKCRVVVDASAHYKGNDSLNDAIEQGPTLLPDILDILIRFRSGETVILADVEKAFLQVRLNEEDRDLTRILWIKDINLPATPDNVEVYRFTRVLFGLNASPFLLAATIMLHLENHANSKLASKINENLYVDNLIFTFDGSPREALELYKEFKAIFADANLNLREFMGNSDEFNNNIPEVDKAQKSDLKVLGIPYDPDKDTIQLECFVSTDEKYSRRTVSRKIGSDFDPQGLMTPLMLTSKPFQRVLWQDEFAYKWDTPLNQNHESQ >CRE14699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:733020:733310:-1 gene:WBGene00081499 transcript:CRE14699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14699 MCRYDEHNRIVSIRSDCNEVIVEGNHRKRTVKKNGKKKAKTRKDEEQDDDDDEDDNAEVDDNEDDEVKAQDELLLSLKAET >CRE14639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:304290:304941:-1 gene:WBGene00081500 transcript:CRE14639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14639 MSSDTLTMFYNISILNDSFEIFIFGLFLQFLVLPFYISVFRKNKERVEKTPLYPMLNYIFHSLLIFYIALAICIVCVIIEVIIRVVLNTDFDWEVIISFFLSLIIIHEQIKVFHLLLFFVAAQRFVIYFFPKTNPYSNSVNIHFKKLISFINFFVVSQDIYLYFKYFGVRSFSFPVGLLPSNFIFSEV >CRE14580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:719888:722338:1 gene:WBGene00081501 transcript:CRE14580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14580 MAPEVIFVDSDIFLEFFWYSEAGESDKITENKKANSRPSRLLLSPLSTHMKHSPIPANPRKTPTFSSVRRPHSIGNGNFSVMIISQFVHLESAEIYIEDPLNLSEIASALGIEYDNSGEIEYRYQIPNSDKLLVWYFFDGYETSVTIENN >CRE14498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:261122:261917:1 gene:WBGene00081502 transcript:CRE14498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14498 MSAYEFTKAVCEACKFMICLSLTLFLLTADMESPDYKEGHYKSPAYKQCCEEFDFCSFYVQTWFWALVGGLIALAIIASIVGSCICCRRRGGGKDANFNYDDDSEPSDDSTSSTKPNPA >CRE14711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:786864:787043:-1 gene:WBGene00081503 transcript:CRE14711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14711 MGWLIFLIILIVLLCLAGAAAAFWFFYYKRKMGGRDEEKEIESAANTDNTGHDISVETY >CRE14540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:498409:500103:1 gene:WBGene00081504 transcript:CRE14540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14540 MDENCALRKLNVGGTIFSTTKRTLTKCPGRLKMMVEHETVPGTDENGNIFIDRSPKHFELILNFLRNAKINLPDSLEEVKEIREEAHFYALGDLKKLCDEKLKSQIIKNDDEYMQIVTKPPVFVFHYSPIEPEKFTFSYNFDIEDFLKEYRDKFDIYFKARKAKNNEKVLWWWTMHYHNTYYEACDQQGVPGYIGVKLDIDRDIWRFSEIAGLE >CRE14700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:735177:737350:-1 gene:WBGene00081505 transcript:CRE14700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14700 MDIHEEDEEEDIQQVLNNETLNDVPKNAEEVLNWYGMEDETDDEEAEEVQNISENRVEEPDENIDDEDEEAHAIDRNQDKDHFDEYPQPESPLIFEPREWILLWILKKEEMTHKIEKLIFLDLKLRALLLFLSSVRVTEAFKKQLEEYRCICRYDEHKQNAWIQSDCNEICVEGEHRKVAKNGKKKGKKNGKNGKIRKDEEQEDDDDDEDHDEKADDDDNAVVVDNEDDEVKANDEKVDDEEKDGDGKPDDDYMAVADDKEEDHLNAIPTMMTVGKLFAKLKEQLETYFEEKQQDMSLHLSLKKETEMKKKSLSGLAKLVIAIRDE >CRE14701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:740772:744188:-1 gene:WBGene00081506 transcript:CRE14701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14701 MEYYEHSKFGINWADDKEQGHKQIYGDQHVTTTCQKDMEYYEHSKFGIDLALYQTMFAGECKSDTKNRMTEIVMIQSLMEKALKLLDRGIKIQKPIILNPHWRGLVEEEKWKGNWDLYRDHYRQRFAHRIEHLYFIDVKYHALLLFATSRRVTDQFKKELEEDRCICRYDEHNQNAWIQSDCNEVIVEGNIVDDNEDDEVKAHDEEDDNEEHEEIDAKDENLKATEAMTVGKVYTKLKGVLEYHFKNKNLDVSLLLSLKAETEKKCRIRCAEQCVSDAPNTDMDVETVEKYLIFMALDLWALGITGFENGEINRMMAVETARKEKFSNGELFALLEKLTKVVVESENEEKDVAKVGKFNVLLIINSMATVIPGDKGTKSRIDWMFNMERCRCSYSTRSWSKYSKRRETKGQFINRFMEINMVQELLKHGLEKKLPVSLSDFWKKKIIEEKWNGDWTVYKQHFNSSLAKKIEHLHFIERKFRALIMFVANMRVSVEFRQKLIEDNCECEYDEHSRIVSIISDDNEIRVSGNHRKVAEKEYVSSEKEDSEEDSEDEIKTIGNLYDRLKHILDSYFKCNQMDYVMYLGLKEEAKHKEVSNHDMMTLLEKLSKVVVESEDEEMDVAKVGKFNVLLIINSMATVVKEDRGTKSRIDWMFNMECHRQQKMLMLLFDQKLVEILEEIYELIEL >CRE14534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:460104:461584:1 gene:WBGene00081507 transcript:CRE14534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14534 MPTIMPTSDLDQYGFYDDEWIHIQDYYESYNNFSMEHEETPIYPILRHFYRFSCFMMMIYMFYIILIIVALLFYYDQIETIAAILPITTYCISIVVSPVFNIILISLAVQRFLLYFYEDSERFLNLKSIYWSFLVVFLYLSFAILHISVYIKEYSFEKFKFEFEKFFASSTDAIKNSLLFYFLVYISLILEFLAFISVVVYIPMFISIRKLLYLPSLAQSQPQKYMMYQIIFIFIGKLFMLIVLGIWFYWVSLDSILPYFMITNMSTVYMTPLIIQMTYMFCNKRNTQLVIYYLSLRFVIDKLRRLLRKTNTVQPIISTNFVYSHG >CRE14717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:810597:816199:-1 gene:WBGene00081508 transcript:CRE14717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14717 MFLLYYFVLLLMINVHARHNNSNDFLNRSKRNPRTVVTSIVDPEGVGSVEDLLDLEQLDSRNFANYIGLLSDEAIKVAMKGLEFFARKTVKVCGFQLLMEYQYTVEQEWIHHSVRQTQVKLRGPGTEPCFTWHRSWLREPKSNNLLWESYYCCCHRNQVIKSRKNSKCNGISRDVYEAFERFLGRLNVLSDVFVFKGHQNWNRSVPSIKLRHNKLHIQVSVKSVESKIDCIMTQRSKYTGCYNCLKGATQNITCNSRQPTHVKLSCDNEEFVEMLTCSSTGTINEIHRKFNTSKPTGVCTISCGNKKKSFIIEGELMYVAHDTLTKYFNQILNSEKSITDIHPSLLPDWTSILDMVINLWISGQTEIFLFQRRENVLYDCEFISKDHENSPKIWKIKKPEFGCLVDHAF >CRE14522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:410466:411161:1 gene:WBGene00081509 transcript:CRE14522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14522 MYIEENITLEEDLELLMKGKNEPKEKADNPKKDRNQSNKSDRSEKQKKTRLCLFCKDSEHHSSKCTKFVSIKDRKDFLNREGRCLNCHSNAHKTAECYSTRPCYFCKGRHSSVLCTNRGESSSPSSAISSRDSKHNQQAKTKVKTATTNVTHTEEVEEAVQCETQTTERAPTTTSKAFVPTIQAKARNKVSGEWTTISTHYTTENLWIAYSEGTREVQRSLHCRLHRKRVS >CRE14553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:547187:552133:1 gene:WBGene00081510 transcript:CRE14553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14553 MSAGLTLLSKKSVIEFIEAEKRFLTTSRCPSLQKIDRHVPLRLESLEFHDWGLIINGFSYRLQEDRLIFKSQVSRRLPVNFPVALKMLHDYWLMGRKVCANSMVFRLENDENLFIPQISRIKIQTLDSGNLDLDLLIPLIDSSILPLKELRVNLQMSPRFVEHCEISRKLIIIDQEINDDALPLILRILAEPEVKSVVLEGAPENNDGRNFVQQWIKTNREIGNSLDMCLPAERSAWWLSDGTLGFLKESNGFTALVLMLSIQNSFGMPHKIEKPHIYLYCQSKQFFTHDIFLLFTDSTTSAFPFATNQEENHSNDDTEQEKCSTTTNHQKPQLKHL >CRE14705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:758091:759772:-1 gene:WBGene00081511 transcript:CRE14705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14705 MTLRDIMENSGDADTTACRNSRSLIAPLRSPGRTPNNWYAELEQCVNRKSDAVPVCVSNILKTNITIVVNHEEGDNWLFCTVPFYGKRRFMEDTNREIDNRRTLPEIIFSIWTTCRIIKPRWTNKSCSEFVDVVSTLS >CRE14537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:468153:468478:1 gene:WBGene00081512 transcript:CRE14537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14537 MDVTEGINAVVGRKRLADKICRVCGDNAINVMWSLASRAKRFFAETPTACIRRDASLTGSARLL >CRE14665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:476822:477496:-1 gene:WBGene00081513 transcript:CRE14665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14665 MNFEQHQSIGIMWWLERSEVVHNSTFYAVKTALMTQVNRLHKKRKETKVKKEKKKIVDEINNKIIEFHDTYCLQNEYDLHGMTEKGALKYVEKRVNEVGHNFDLFIVTGRGKHSANGCSAIKNGLMRKFKSRVQINPRNPGELMLEKREK >CRE14708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:779023:779621:-1 gene:WBGene00081514 transcript:CRE14708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14708 MKGNRLDIELQGLVNQFEKTASAEKFEEKKKYYNSLSSEMQQKLYDVIKPHCDKYFESESYKSGNTDKIGYGICCEVLEMCGMSGWVIFLIILLVLLCLAGAAAAFWFFYYKRKMGGRDEEKEIESTVNTANTEHDISVETY >CRE14505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:297523:301312:1 gene:WBGene00081515 transcript:CRE14505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14505 MNSSNSTTQLEISEVINYLWTEVGGFGLVIFAPLFIIALALGSVLSFPIYCVIRNKNLAKEKESPIYPIVDHFFLYSCLIFGCITIPFICWLFDNHVLLEYGLGIIVTVVYILMVTLSPIFNILLIILTLQRFLLYYVEDSEKYMSFKILHWRLFFGALYMISTTFNCGIRVAKYVLVVSKPFNNSFEIPMTDNEIINSIDDTFMNLAIALEVLVIVSAALYIPMFHSIQKLAYLPSVAQYQPQKYVKYQALLISVMKSFMFLLAFFGAGPVHNFLLWPTRELAFVFLSFSTFFTTPLIIQMTYIFCNKRNVKIMLSYMSVEKLVDKLKLLFRRNNNVHPVV >CRE14653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:382334:382933:-1 gene:WBGene00081516 transcript:CRE14653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14653 MQVLLPTARFRITIFFLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAFRLPPSAISKKNVEKI >CRE14562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:588729:589405:1 gene:WBGene00081517 transcript:CRE14562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14562 MQKLEEYENQLVQETPESTPLEDQNRFPAAEVSTSKDVMGNHESQLPQQEFSEVSQEFQAAHFQNPNGIFPKESVLVVQQQTPPNFDEDSRQICHQIMRVAANSTKDRQDCFRQLLFATVFAFESSPTCTNVEEFFRMMGERYAKK >CRE14508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:309062:310172:1 gene:WBGene00081518 transcript:CRE14508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14508 MQNLTMTDEEEYTPWFYFWIYFGVWITIGFIICIALIMLIFTMLSFPFYYLLYQKNRSKEEASPLYPIQQHFFRWSNILFSYYTWILLISLLCLIYESYRENLEILLFYSVVLAYILSSAVSPVFNILLIFLAVKRFSLFFLEDSERFLNLKSIYLWVSINFLYLSSIFCSFYIRFQKLFLITNNGTEAYIHGISFTNEESLDFIDNSIMNVFLSSEFLSAISVIAYIPMFKKVQRLSYLPSFANSQPEKYIKYQILFIFASKTIILLVSFVGSETLHYLTGLSKKEAIFVVTNIATFQMTPVIIQTTYIFCNKKNVRALISYISIKPIWLHLKRILWRKTSSINAVVPLEASTRY >CRE14635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:271533:273664:-1 gene:WBGene00081519 transcript:CRE14635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14635 MCPHGRVVYTVYEQLFNKYIRDGTVVSGSLCLYGIPEFLKERREKEDDLKIKVTNLKFTTETTEDYEHFIRFIDLDVLENVTVIADENSLALIDKQEIKTCKNLTVIVNFGYGPRPTNFSVDQFLRLQNQHFQLEYFRFNCHDLQLFVEGWITTGREIGTRFSLGQIRYEDVRGILEHLKTHFGADEAGSNLENYFSSKKFMVVL >CRE14605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:12188:13416:-1 gene:WBGene00081520 transcript:CRE14605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14605 MASTTSIQYPPNWDPAINGTSYPIWDYFDTGITETSVSTLALISVLGVVYLLNLAIFPFYRHVFNLNQTRDKGTPVFQVLNHSYQIARAMFITQNLAIFIAMLAIFLPAMYHIFQWVAIFLLFYLVAAAEVNHYLLSLLAIQRFILYFNTESEKYLTFSDTTMKRIIKGCYGFVIFHILSEPAVFIKNKYIGFWMYTSVYTTSNLLLIGSAVFYIPIIKNIQKLSHLASAQLHKPQRFVLWQIISIVCVKCIYIPIIVVLGLQYGMFDDANNLIRYTQLIVLCKSIDIFTLPLIIQMTYLGCNRKNLEALLASLNSRSVWRIVLCPCSKPMAPRHFATSHFGTRPFRHQTISAPTISAPAISAPVISAPAPLKNE >CRE14489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:197620:198027:1 gene:WBGene00081521 transcript:CRE14489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14489 MSKRPNSDDIMSDAKQVAIAIATQQLLSQARRANRQQQPRECFFCSSNNHKEDQCNQPNTKLYKFRKIQENNRCIICLGQKTGNHTIRTCRKLRYPENLCSNMECEQMVIVHNHSICLNDQLPQTAQPPPKQSKK >CRE14588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:819393:820680:1 gene:WBGene00081522 transcript:CRE14588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14588 MVQMTRQNVDKMTGEELMARWRKMTPGDITNAVEEYRASAGIDRVQNDHYYEVGCTNYFDTSDYSQGKKGTAGYKFCCEMLNYCMWYNQSWFHWLMGVIALLVLVTCICISVCCCCCNGRNSSGKDLEEESEKEKTEK >CRE14556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:568053:570442:1 gene:WBGene00081523 transcript:CRE14556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14556 MGRCWCDRVKREEMCSGPRKLTPFWRLNQRKNLWKHMKNVHDLVGNQLDTAMEKSINKKAAKVENGETEKEPRPQLHNFFPMSASDSEEPLSKIAKIENSTDHSLKTSCYSNRLDALKQKLIKYENQLKLIQETPESTLLEDQNRSPSAEVSTSNDVMGNHEPQLSASQEFQAAHFQNTNDIFPKESVLVVQQKTPSNFDEDSRQICHQIMRVAANSTKDRQDCFRQLLFATVFAFESSPTCTNVEEFFRMMGERYAKK >CRE14515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:350669:351860:1 gene:WBGene00081524 transcript:CRE14515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14515 MTVFRAAHSLKKAVHYSLLLPKTPLLTTRRHAIAYTDGSCFNPGRRNAKAGFGVFWYDGCEYNCCGKVTGIQDNNRAELCAACRAIRMAYNLKETEITIKTDSPFVIYALETPKDGRGGANLCGEEELEISTPDSSLINQNVTGSSKSINNNREI >CRE14693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:706734:709732:-1 gene:WBGene00081525 transcript:CRE14693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14693 MFYTVLQKTPAVQLLAVLAKSDSMTDTFVHSIGSNSLTPFFVENVQSLKIAASKVMNAFLNGFLAKCSQKGNKMDGEEDYRILFSNFLQELLVAINSSEWPASEMILSALGLLLVENFSLRFSAAYRNFCVSRY >CRE14688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:669396:670132:-1 gene:WBGene00081526 transcript:CRE14688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14688 MSYNGDRQEDCQKEYIREQTDETQCDICFKLIANNEFLKHLEDCAEMNKVSGMKKKAIFYRFMSSEYSINQQFSALREKMALLENMTSDFESVFKQEGETIVGKTMAHFGSYLYLEKQLGLEEIEKRYEESDKLEEEKSKFFDERSAENLEEYRLKKKSVKEELQNQLERMMEYVMEKKDEIN >CRE14552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:545498:545950:1 gene:WBGene00081527 transcript:CRE14552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14552 MPTTKDPRMSDFGNALNGKGKAEVCRDYVSRGHPEKTEEDKGYHWICCEMDNYCKIWAQAWFWYTIGGVILLLILISIGGCVYCCCIRKRNSGGTDGDRYTVEEPVKKELEEDLSEADSSESSCESD >CRE14564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:620480:621121:1 gene:WBGene00081528 transcript:CRE14564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14564 MIVLLLLLLYNSAASSPFGFIVHPTPDLGLLIQATHNPSNTSVDLDNENYIMTLMDYSDPEDPLSRLIAKLEETFKKKQRDLLDEFKKNANEDGEFEVALPLNGKEARLANNYEISIKRLLHLILTLKKGTNLLQQYNDIVQEQLAKGIISKVAPQMMEEESKRGQVVYSISHRGVVKLSPMTTKLRIVAPVAPGSS >CRE14676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:563635:564311:-1 gene:WBGene00081529 transcript:CRE14676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14676 MASSGSGGPPPTEDQLYDAFKTGLKSGNEEDKKTIKKILAPLCTAFLYSKDYKSGDKTKSSYKLCCETVDYCSFYYQDWFLMLGTGVACLILYIIIMMIVICFFKRKNKSGGGGGATKPMKTGKTSTGKKGKGKRTTGGLDEVEIHSFDENC >CRE14627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:173407:173967:-1 gene:WBGene00081530 transcript:CRE14627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14627 MDTLEPARSAPRLSTLEVAITLKQGRPEACSRSAPRLSTLEVVITLKQGRPEACSRSAPRLSTLEVVITLKQGRPEACSRSAPRLSTLEVVITLKQGRPEACSRSAPRLSTLEVAITLKQGRPEACSRSAPRLSTLEVVITLKQGRPEACSHHAPWVWTLEVAITLKHGHSGACSRSASWLTTPRK >CRE14594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:837399:838019:1 gene:WBGene00081531 transcript:CRE14594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14594 MPSKNEVEQFVEQLIAYGFEQTAPASEIEQGKKNYNKLSSEKQQEAYDHLKPHCDKYFKTDSYKNGKKDSYGYGICCDILGMCGMSGWLIFLIIVIVLLCLAGAAAAFWFFYYKRKMGGRDEEKEIESTVDTANTGHDISVETY >CRE14519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:397553:399649:1 gene:WBGene00081532 transcript:CRE14519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14519 MSNFFVIYDHFLYFSTKTILKSNNFASKKLKEVLIFRLVKLNALYAVLITRHNCVLCILFDAQRVRTLNVGGTIFHTTKFTLTKFGGTLQKMVEYEIRTVSDENGDHLIDRSPKHFESILNFLRDGDIDLPDSVEKVKEIREEARYYELANLLELCDEFIDEKLAPGTRDHRNLKFIKNDDEYLQIVTEPVKPVFVFHYSPVEYGKFTYPYNLNIQDFVEKYRIQFDIYFKAQKVENNEKVFWKWSIHHYNKYLEGQDVYKYGVKEEIDDDIKKFLNNMPFP >CRE14512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:337718:338806:1 gene:WBGene00081533 transcript:CRE14512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14512 MADPTKRHECNICHKKFERNATLKIHKVVHTGERPFECDLCGSRFSQKSNLNVHLRTMHASQTPKSHNTKRNLGRQARKSTKAVLDESRTASTPKTNKNRSKEATKRSRQEEEDLEAMDDENDRSEEQPEIDSESVISSNRDTGNHGNGDEVIDLFESHEDETEEKPRLMLAAQSKSSKINTPLIKSTPFPIKIEQKVPIVQEVGNLMPLMDLSTSSFPPSASQQGIVDMDMESEIGNISNISPINTPQFSFDEDIRQIAHQLSRVATARPDAHDALREVMFGTIVAFGNGGFNDNVGEFFRMMGERHANK >CRE14669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:506444:507501:-1 gene:WBGene00081534 transcript:CRE14669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14669 MQSVKLHQAVHTGEKPFECDVCSTRFNCKSNLYRHLRSHEKTSQTSKSSRNTPKNLGREVGKSTNPVLDKNRTASTPKTKKNRSKEAPKRSRQEEEDLEAMDDDNDRSEEQPEIDSDSVVSMNQETGNHGNGDEVIDLFESNENRTEEKPRLMLAAPLPKKSKINTPLIKSTPFPIKIENPIVQEVGDLMPLMGLSTSAFHPSASQQGVFDMDMESEIGNVSNSSPINTPQFSFDEDIRQIAHQLSRVATARPECHDALREVLYGTIVAFGSGGFDNNVGEFFKKMNDRYNH >CRE14464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:913:1239:1 gene:WBGene00081535 transcript:CRE14464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14464 MFRMAGRRILNTDPEYRSASDRLIDYNLQTLKDRREHFDVKFFQKLLLGKIAIDHNNYFSYSPTKTRRGHSYRWKKSKTKISRLFFTNRVLNKVVNQSSRKNTDSDIS >CRE14485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:184772:184984:1 gene:WBGene00081536 transcript:CRE14485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14485 MREEGLAVYSETVYDLIGVGRFTFNTGREIHSPNGEPAIQNRLIKMFKRRPRCDVRIVEYNEGLVILERW >CRE14646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:352154:353747:-1 gene:WBGene00081537 transcript:CRE14646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14646 MKRKIALTPVGSNHDCQIGTKMSKTKNKKVLARVGIEPRLSDWYQNVKNKKQKSFGTSGDRTPVVRLVPKCQKQKTKKFWHEWGSNPGCQIGTRKQKTSKTFFKNDKRLLGGLALFIAATIVTQDLTFGFEILLLGIGWIREYEWDKIEALFIFIYFSFIFLQFFFYVLLLAAADSKSYSINLVRGCCAITVFTAVYVLNPWIITYKQNAEFIHRTLKYTYVIHT >CRE14695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:723967:724257:-1 gene:WBGene00081538 transcript:CRE14695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14695 MCRYDEHNRIVSIRSDCNEVIVEGNHRKVAAKKNGKKNAKTRKDEEQDDDDDEDDNEEVDDNEDDEMKAQDELLLSLKKET >CRE14721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:850719:850919:-1 gene:WBGene00081539 transcript:CRE14721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14721 MRNGRVQQTVDKKAMDRDESKDDQFDRRKLKTLESRYFIALNFDFPHHSYLCRNRIDSSIRGCSSL >CRE14728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:916275:916704:-1 gene:WBGene00081540 transcript:CRE14728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14728 MSHFTKKSKNRCKFCKLSLPESTYSSKSEKFADLESPEYETGDKNHEDYKFCCVENSYCAFYNKSWFIILCVTFGILLVVCFLVLLYRIFRKKK >CRE14662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:469726:471300:-1 gene:WBGene00081541 transcript:CRE14662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14662 MSTTMNSSDLDKYDFYDEECGKWIHIQDYYESYNNYSKQHEEFTKNNTPIYPILRHFYRFSCLMMIIYMFSIILFSGTLLFLHDQIKSVNGILLFGGYYISIVVSPVFNIILILLAVQRFLLYFYEDSERFLNLKSFYWSVLVVFLYFSFAILHIPIRIKDRFDGKFEFINWLAFPTGAIENSLLENVQVYLSFILEFLAFISVVVYIPMFISIRKLLYLPSLAQSQPQKYIMYQVKFIFIGKLFMLIILVTSGLVAKFSGWAFSNLAFVIMNLSTFYMTPLIIQMTYLFCNKRNTQLVISYLSFRFVIDKLRRLLRKNNAVQPIVPTDYSRRREVA >CRE14493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:239310:240452:1 gene:WBGene00081542 transcript:CRE14493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14493 MWFDRNFENWNFLPNEIKLLCINRLDFKSRLSLGATAHNERSLVNNASERIYINSFSIRDRSDSRDLEIRFRERGNPEQIKIFREDQIVLKAIPLLSYLFNNGYIHSFGLYLNKNQESIRILTRLTGESPFYIRGVRGQLHLEHFPFFARCAANSMKGMTLRYEKLEMFPVDRFLALPAVNSIEFWRIDVSFSRDLGVAIIRKWIEKDVKIGSTHKISSCGGKPMDDFIAEFGQELILDRTDTILRIKTRNPSKHLILKTYNHSDGCVFKMVPSDFKPSDYSKIPNRDHTLLNNFSRV >CRE14583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:769874:770751:1 gene:WBGene00081543 transcript:CRE14583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14583 MAHSDEMKAAMFAFFFLDTFPPEEVENVKKRYNAGDADTIKGVKRHCPYFVDTDAYAKGNKDLNSYKICCEVFDYCSFYVQTWFYLVCGGVALLIVIILIVVFFFCCKKRGRGGGEDVESIETSDATEKEDY >CRE14514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:341438:343565:1 gene:WBGene00081544 transcript:CRE14514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14514 MADPEKQFECNICHKSFPSLYALNSHKVIHTGERPFVCDVCGSNFSFSSNLSRHRLIHFEKNTEKYSSSNTNKPQFSFDEDIRQIAHQLSRVATARPDAHDALREVMFGTILAFGNGGFNDNVGEFFKKLSDRYNN >CRE14638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:296957:297208:-1 gene:WBGene00081545 transcript:CRE14638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14638 MMAKSVLISFLFALFSVHYVAGQACPTGFKLVNSNKCLRVFTNKLKHMEAETDCKYIGGTMVTVKSAIVSFCNHTKNMTTCGF >CRE14479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:136565:137752:1 gene:WBGene00081546 transcript:CRE14479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14479 MSSXXXKGSIKKELSSTFFEKSDVEKRSFVSINSHKTLESLEFLNPLQKFIACSRNSNTRFICLLGTEGKFTMFDYSHKSSETPGSNCHKRYRNDSGICVAIKPEEESYFGRQSDFMSDINEIRRRYAKEYRVSNMHALTWSNELAEVLDPLDTTGVRAKAKETWRYGALNTYDNTIYHIKADVTRFFEMNRTAKNDHIVKTLSDKDTMDRLEFLNPLQKTIACGRKEDEGVTLIICLLGPEENFTIFDTSFQSQSAAGSKCDKGYYNEDGLCIVQIPTQVPIIDYRKMAEEERNKALTEEPEPETILENHGNEISLGFLFVFLLANLIFNI >CRE14608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:18845:20079:-1 gene:WBGene00081547 transcript:CRE14608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14608 MEQGPSSRSEVVPPHNENPKFKNLIVLIEGKPFFVNKKMLSRRSPILAELIDAMEPNQDTITLTDVDPSKFQNFLDFIHERRLSYNEGEFMDILEVAEKFSAFSTYNNCQHLVVISREIYPVDKLEIAIKFKFEDPLKCAIVDNFRSVYQLELVMSSEIILQDVYLMGLLFNRAISIRHSPTRFMGCNSLNSLNS >CRE14490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:209284:210941:1 gene:WBGene00081548 transcript:CRE14490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14490 MPFVQREPHDKDQSLSSDLFIGASTNCDPRISHQVLALNDEVEKMQKQLRKNINDSISKKLFLDQDNMIHMTSPQMGSLGISIALISTQAEHCCYKN >CRE14506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:302130:303372:1 gene:WBGene00081549 transcript:CRE14506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14506 MFHSKIETHIMNPSNCTNHFNISNDIHLLYINAGGIGLVVIVPVLFIVSGIFSILSFPIYCVIRNKNLAKERESPIYPIVDHFFLYSCLKFGCIAIPFIFWILDSAGPQDLLFFFTVVFVYMSMVTLSPIFNILLIILTFQRFVLYYVENSEKYVSFKISRWRLFFGAMYITSTLFNCGIRVAKLVSFIKNGSLVTSISDNEIIDFIDDTFMNVVFALEVLVVISAALYIPMFHSIQKLAYLPSVSQYQPQKYVKYQALLISVMKSFMFLFAFFGAGSFPELKGESAFIFLSFSTFFTTPMVIQMTYIFCNKRNVKIMLSYVSVENVVDKLKSMFQRRNNVHPVA >CRE14718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:817000:817335:-1 gene:WBGene00081550 transcript:CRE14718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14718 MKLKKVTLFKILDKCKATTREALECSGIKMKKKELNKTPPNCTATRDCIPIGALSAYLINRWNVKNVFCMLNGRTKCE >CRE14574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:683634:684400:1 gene:WBGene00081551 transcript:CRE14574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14574 MVKAIMEVSEDSLKFAKTEIRDVEFFFNGRKKLYGELHQEDKDIVMAVAKPICKEFFKSKEYESGKKEYVGYYACCEAFDFCSFYKQLWFLMVCGGAALLLFCIVIGVVLFLICQKRKRGNRGVSEKKEDVMSKELF >CRE14732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:965945:970249:-1 gene:WBGene00081552 transcript:CRE14732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14732 MVAFMTNRFQLNDFYKCPLSAERMDLMAVSWKPIPLYFFGSGIIFTLAYFVCFLAMLQLKRHPSNILMLKLAIYDILQLSVNSTATGFFGFYQIDYCDYPKSIFIAGTIGNGAWLAGAPFAVLVSFERLAEVAGGRMSDVFTKRNFRTLLILLLFWEFYCFFIANPALFNSEAHAWFFAPSFSKNPDIYYNPVHSVINYGLPILLLAINASLVHFLLFKTNYHISQWLYKKKIQIIAQGLVLTIFHASTGIIYEIMQHYAVPSWMFIVGELSWQQSSAMMPVVYLTLNRSIQNQVMYLMIPKNLRFKWGIKNVKETIAQENNQNAGALYVAPPAPAGGAAGGCGAKVGPQPQVSNGIHWD >CRE14698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:731827:732132:-1 gene:WBGene00081553 transcript:CRE14698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14698 MYRKRVVTPKGRYHVMMVDHGGNKILNLTTDSIVEMFGFKWAVILFAEFCPRSNGHYVSWMRCGRKWKCVDDAVVKKESRKVIFSEHNVRALVFEKYEPSQ >CRE14678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:579784:583139:-1 gene:WBGene00081554 transcript:CRE14678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14678 MVVEVQLIGWKVKIFAPLQSMKLERTSNSEKEGGVAAGVATSEVGTWDDHRVIPPTNACGAGEASTVIHTQPKDASGVNPSIIQNPTEAGGISGVGSDANLIVTQLKEIGEIRDLKSLSDTDGTWKHQLLGYTEKPVKETEEDNGKDSVPRKTSEDDKNRAFPTGRKNLATTWDAVDTIQAEQPSNLVSRTGQMNRASLEAGRASHLVDSPSTKTKCLERKVSETCLCSGVVDMVGKWDDHRVISPTTPTSPRARSLSSNRGLRPQILHTPVVVRQQGVSRDESAADMDWSQRLIDASEGIMENSHPPMANNLTPIRNGSPQSEEEKDESMPHVNPDITSPNPEDVQAAVTASGGTTSPGSTPPLRLSNGNFPKIQEAVASEAIDEDEVETNQVNQRRAEINEEEGFPPHVMAPSFKSATSDHLVTINPDASQEDSRRAFLTVLKYRARRRAESMLIEKPESTLNELVQGLKEMFECTSHVQRNKTHSRSSNELPGESSADSLFHRTIKLAKQSYHEYQKNLEYQNEDVTLEKFLEGLNQAIKSLAIREARPITDQTRSTALEGEARLVPNEQPLEPTQLPAQLEASLANTATDHGDRDDCRDHRSERQGRDDDYSGRSSAIDSQVSRREIFLTFTGKCHYCGKVGHMARSHNLKQRTVANQQKSKDPASNHQAIQVDAEEEASCLREMILWQDLRIHELKEWNDRLRRDNSSATSRDQTSQADPPSAMALWTMFGQKNKFPRSKFNLV >CRE14476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:127134:128459:1 gene:WBGene00081555 transcript:CRE14476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14476 MPFSDEHHSYAMKVMMITGVTGVLSTIAVATAIAIRMIFLSKLSKSLLYAVKNMQNAGQILKKRVLEPSVLAVIANERTGITDKEICDEYENCMKKPIKLCADLFGIDQVTTDFVKKFDSKLLSADKKSKKKSGKPGKKVKK >CRE14647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:354773:355638:-1 gene:WBGene00081556 transcript:CRE14647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14647 MSSKAIHQKTFGIKNETWFEKFYSIGLLIIIIPTISLVVELTSALNFGVPPIEKSSDYLVFFHLLGFFVLQFVIAILMLTGSIVPPQKYKNLVWSIGSLTIFTAFYLYNPLIDSIKKEAELAQMVTKYTLMIQSISFPIFLLNSIFACCILLKAARIQHLYDNTMVKQEEEDANKKLMETF >CRE14466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:6279:8120:1 gene:WBGene00081557 transcript:CRE14466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14466 MTIKYCLLVFFLLKICTAKIAIHVDVGGTMFKTTLFTLTKMDGTLKTLVESMESGDTGPIFIDRSPEHFNLILNYLRDEEVNLPDSEEDVREILKEADYYSLEDLVEQCKSKLPQDPSYTLKFLENDMDLLQITTTPEKAVIVFYYPVTVHGKVRWPEDLEVKSFLDKYDDEYEIYFKRAQMTRADREEWMWSIHKGDDRGDFKYHRHNFPKQSFITLFEDSVKAF >CRE14690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:682204:683345:-1 gene:WBGene00081558 transcript:CRE14690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14690 MSFSYEDGTKYLFTRPSDDKFIEGLREFYAKRPVDEQEAENEKAVKDCKLYFTTESYKEGETETYGYHYCCTMHKQCPSFLLTGWGILVLILIILLCLAGAAAAFWFFYYKRKMGGREEDDTSNSSSVEEP >CRE14685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:656095:657429:-1 gene:WBGene00081559 transcript:CRE14685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14685 MRAAHRAKRTEQERNRAHGLNGALDTLKEHMPVVGHHKKLSKIDTLRLAINYIHTLQQMLESDKQSTLQEHAYTLQEGLSNQGILLLGESLNLPVEFEPSNATSPQHTSSSSTPSSSTSPQYSLVKWVYLENGVWVQSGVPVPENLQTRKADGKPVPSFSMSHLLREEMMN >CRE14510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:324811:325473:1 gene:WBGene00081560 transcript:CRE14510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14510 MKYFLLLSIIFFVLYQSGNGCMKFKLDTTCECPDILKHYDKIKEETIPVIKKGGCKMSITCATHTNTNFLFPLYTNRGEILRPDDMMENSAYVGAADAVQFSDEAYEAPPGPPIDIISYFGVLCDGGVWYVSKYPTGIGYNMKNLTLKYIGTNGEFDGKKARIARFSCKPLA >CRE14703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:753879:756232:-1 gene:WBGene00081561 transcript:CRE14703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14703 MAINGLKRFVASDQGYLLLEYNSTDPECSLSAICNLLFSCKEIRQIFQDYCQNEILDLMTAIFRRDKQTTSDLRRILGGTFENGVHDVRETFSNLTKKLKVDLTPIQFLKQYEKNCEWCTKSWKSIDAFADTMSISRMHGYNRIAMRFVKVAKNGKKKGKKNGKNRKIRKDEEQEDDDNDEDHDEKADDDDNAVVVDNEDDEVKANDEKVDDEEKDGDGKPDDDYMAVADDKEEDHLNAIPTMMTVGKLFAKLKEQLETYFEEKQQDMSLHLSLKKETEMKEISLSGLAKLVIELLDE >CRE14707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:777392:778393:-1 gene:WBGene00081562 transcript:CRE14707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14707 MVQMTRQNVDKMTGEELMARWRKMTPGDITNAMEEYRTSAGINRVQNDHYYEVGCTYYFDTSDYSQGKKSTAGYKFCCEMLNYCMWYNQSWFHWLMGVIAFLVLVTCICISVCCCCCNGRNGSGKDLEEESEKEKIEK >CRE14675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:540947:541950:-1 gene:WBGene00081563 transcript:CRE14675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14675 MPHIAYSKKSFGIKNKTWLRTLCLFITATILVQDLTFGIEMYATAVRGCAITVFTAVYVLNPWIITYKRNSEFIHRTLQYSYIAHTLAVPLHLLASSVVIYILWRMSKVKPDYNGEEPYEVIYGGQDSETKKLLDSK >CRE14578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:700269:706287:1 gene:WBGene00081564 transcript:CRE14578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14578 MPVFKCSRLSTTQFVDFMRLYLFPETAFTQFSSTHCDTRSLIDLLPIIVFRESLILLSSLFIETPDNLLQTMEYFDENMFGINWSQYLIMFPTEGKSKRINRKIEITILQRLLEQGMVNKEPLVLNDFWRCLVEEEKWRGTWGSYRDHYRQSMAHKIQDLKFIDSKHRALMLYVSSRSVSETFKEELAKDECVCQFDENSRIISIVSKDGQVDVGGNTLRKFTGNNKRKNKKKDEKKDDKDDEEDEKEEDDDEVKVTGDKTALQNYQLSIDDKISELTRTVTEHAKTIEELQLKLKSVMSSGNPDSITSSSLVPESAPIQSAFDAGVPQIAEPRRRRTT >CRE14518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:390711:391588:1 gene:WBGene00081565 transcript:CRE14518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14518 MSSKAIHQKTFGIKNETWFEKFYSIGLLIIIIPTISLVVELTSALNFGVPPIEKCSDYLVFFHLLGFFVLQFMIAILMLTGSIVPPQKYKNLVWSIGSLTIFTAFYLYNPLIDSIKKEAELAQMVMKYTLMIQSISFPIFLLNSIFACFILLKAARIQHLHDNTMVKQEEEDANKKLMETF >CRE14598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:900267:901323:1 gene:WBGene00081566 transcript:CRE14598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14598 MTIPLKLLGLPILAIAEILKPMDPIELLNLSQTSRKVSRLIFLANTHRWEVSFDKYIIIHTKKYSYNKIITQTAKWMSVMMTKNGNSEAKGWRTLYWKSADWISLVFHIIQVFKCSITKIRTGYSMNFQDFQRVMEFIMARQSEMKLLELTPLELDENVLEGIFKRIKITDTLTIFHKFSPKFCYRNDPKHIYIKHSGWFGLKNLLDSNCVSMELSWSSLSNRHLNMFLKKWMAGKYPNLHYLKISGEKLNFKGKILGIELPIEEIEDPWVVEDTIGGTIRTCVGSVQIRRPDGVKAYIHMDESGAFPFHVELTFFVWK >CRE14543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:518637:519225:1 gene:WBGene00081567 transcript:CRE14543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14543 MCSSSKTTVTRAAHRAKRTEQERNRVHGLNDALDTLKKHIPVLEHHKKLCKVDTLRLAINYIHTLQQMLESDKESTLQEHARTLQEGLSYQAILMLEKSLNLPVEVDPVVTSHTSSSSSSTPSSSTSPQYSLDKWVYMDNGVWVQSGVPVPQDLQTRKADWKPVHSFSISHLLREKMMN >CRE14509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:323460:324081:1 gene:WBGene00081568 transcript:CRE14509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14509 MKYFLLLSIIFFVLYQSGNGCMKFKLDTTCECPNIIRYHRTPGETVPIIKTGRCKLDITCATHTMTYFYFYLGRNGSEIPRPDDMMIEDGSNYVGAALGVERTNETIEAPPGPPIDIFSYFGVLCHNGEWYVSKYPTGVGYRNKDSVYNYIGTNGELDGKKAKIEEFAW >CRE14724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:889378:890012:-1 gene:WBGene00081569 transcript:CRE14724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14724 MFSEILRAGGGGSLISKRHGQNDLVFVSFYSQYHFFITIIAILAILAIIAILAFIAIIDIISILAIIAIIATIDIFAIIANLAIIAIIAIIAIVAIIAIIDIIAIIAIIDIIAIITIIAIISPSD >CRE14610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:33271:34433:-1 gene:WBGene00081570 transcript:CRE14610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14610 MSDPTPNGTKRQSEAPAYPTAAKKRNAVPFDGSDPDLHDVVLVVEEKKFYVNKKQLALHSKFFHRMFYGGFEEAKKEEIEIKEASADDFQKFLEVVHGLEDVEDTTVEAVFDLSGRFECKHIIKKCKKFLLADNQNKVRPQTKLALAIRHNFEELKIEALAAIKKQESRRDLIFNQWARLSGHNYN >CRE14694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:712583:715408:-1 gene:WBGene00081571 transcript:CRE14694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14694 MSFSTERTKKRSSSVTSSGKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCQELRERFEELGGERWPLEVIGVMRENGVETVEELRELCEKGVEMVSRGIVENAKKARDELALLQGAWNEERKTLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWSSRDSDDEFSMHGRRGEFSDSERSWGEDWKSGRSSRYSAGNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDDELVAILEERYLKGAAKSLFKSLRDRHERSIAELFVEFEAKLKKRQGDAKAEALQEFDRLHRAPGQKLWEYLVEVEKWSKKAYPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVLQRENEKLRKQKERMGRLGDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVENAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVENWVEKILIGTNAFESIGVELKWKEPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRSASTGDVGEVFKSEGEKERKKVKIRVSVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSERVEFVKSVAKEVEKVWMMPRSLQCEFGDVAKVTEKWKIWMEKSVNVEVVDPLMPVGKHKIPLILEKWNQKSLDGLRQYLRMALPNNSTGCQLKKDETLGQDTTIWIGESLRKRTPDRREEGEVASPRFFSHETHWKRRNQRREGTWNPDDPSHVKRSNMNSS >CRE14547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:530004:531118:1 gene:WBGene00081572 transcript:CRE14547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14547 MSVDKIEYSSGAFRISTDTDTIETTTINGLTCIWKGTLIDNDISIKYSWQFNWNGILENVESFVGHIQVRRTLMSPVETTRFDVKLTTQNQIIDKVVANTGMCSSIRAAFDFCFVPFFVLSEQICLDEMFLPSEKTDAVLLVDGKKLHVNKAFLSFHSDYFTALFSSNYKEGQMDEIPIEDVSFEDFGLLLSTIYPDSVFPTDKNVEKLLELADRFLMKSVICHAEHHLLRISTIQHEKMISLADKYKMKLLLKRKIREIGNIEDGKKLKRAAEYDKLSNDTKVMILDQLLN >CRE14484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:181686:182371:1 gene:WBGene00081573 transcript:CRE14484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14484 MRQLVWSEDLVKIAERLDLDVNWPEARVTWRYCILRRYYGIAVTIRNELASFSRMGKDEAVRFADMNSKWTMDRQELLVPMQTKIGCVRKREDKALCLLGPQGAFWYPEGSVRTEGPPGSDCGKGYTNNNGLCVVSSGIDEEKGENSESAAPGLLHVIVLLIAYFL >CRE14535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:463162:463720:1 gene:WBGene00081574 transcript:CRE14535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14535 MSKNRSKGRRGYSFERVRVVYSPKYTAGRASLQSKIKQLYGKLNKNFLCDKKNSIIDEINKAVIDWNAEFSPRNEIDLHGMTREAALDYVEKKVEERGHIDDLTIITGQGHHSKDNQPVIKQGLLKKFRSRVQVNTENPGKLVLVKRQKLS >CRE14584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:771719:772682:1 gene:WBGene00081575 transcript:CRE14584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14584 MVDKALALDAMAKLDLESPAEVNRVKTVYNDKDAPGHAQLKQILKKMCPYFVDSEAYATGNKTVNSYKVCCEVVDYCSFYVQTWFYIACGGVGLLLIIIILIVVFCCCCKKRGGGGKDAESIETSDATEKEDY >CRE14719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:823699:824131:-1 gene:WBGene00081576 transcript:CRE14719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14719 MKAEFFGRVTCHVVWCVQLMYMEEDYEFEPYVLIQHDCLKDNTKYRYEHKYPAQSNKINCTSYKYDLNLFELG >CRE14539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:496231:496635:1 gene:WBGene00081577 transcript:CRE14539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14539 MNGPSITSEIIEAAKQRAITIHTQRITDQTMRAIQQDNKPPAKCRLCKRNHLTYECTTIPQDQKLQKCLDQRLCILCLNKAFHHPTNCRLIKKPHLICKNYHCGKKFSIHHASICDKAPEPVPITEMDEEESDQ >CRE14633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig23:223883:224921:-1 gene:WBGene00081578 transcript:CRE14633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14633 MKFDKLPLLVFDCILPQFDGISLFSLMQTNRRYNAFVKSLFPALQMPEFQYDLLVQEKMITVTVTDDEDEDLRIPLLPASTDADLDIIQNHHIFESVVVKGYTQDDETWWNTVQLGNREATKARHLEFRGKIKTEVQAKILNGMDFQLMKTVEMPHLSPFLVLDDEKKTAPIKWIITQRKEEKKLHEVTLGLFVLRAKQQLRPGLEVQFRAKDPLPLFNWSLGDEIIEEHSNEDVSIVHVSGLLVSLTRDLMQPDVWLFEVEERRISRH >CRE18255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:131043:131360:-1 gene:WBGene00081579 transcript:CRE18255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18255 METKLGTIEAIKLSIQATSKRWNQWRNKYLAELRVHQKLRMDSKRGTRQQQPKVGQVVIIQDENQPRNVGKLGRITTLNASKDGVIRERKNSETIHKPTDSNGTG >CRE18236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:96240:97558:1 gene:WBGene00081580 transcript:CRE18236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18236 MASNMDLELSKDPVLPPSSGTSLKGCVCGCPSCNGAEAYEHLVNKPRTDDPDFRRAPVMMPSSGTFLEYSFPTNTTLHPPPKPPRKFPALAPLLPA >CRE18248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:65157:65558:-1 gene:WBGene00081581 transcript:CRE18248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18248 MMPRSLQCELGDVDKVAKQWKEWLKTSANVEVVDPLMPVGKHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNADRRPQSGLKKDLGKGCPIAERRGKWLSRSSTFSKPHGITEVKGNEYSRGNRKT >CRE18238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:119263:119496:1 gene:WBGene00081582 transcript:CRE18238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18238 MSSEKKTDDQGVMPTASSVNKEEFETLIPTIQVKVFNPKKNCWETMSVMLYSGASQTYADMKIFKEWNLPDKRQMTD >CRE18252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:99193:99405:-1 gene:WBGene00081583 transcript:CRE18252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18252 MGMNGDQTTTHSTCGPNTIALSIVAPSPEKWLARYINIDGTFELFHYGD >CRE18243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:6964:7509:-1 gene:WBGene00081584 transcript:CRE18243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18243 MIRLSTVLVLLLLSSDLFGYSSPLVPNPGRMTRKTVLNYVRFYGNITCQYANDPAIALLELWEHDSPVNADDHLPQTIVLDQTVYPYKYDIHATIDGDESNHYEFYLWVQHNCTFNRHTAKRKVWVQEKLVSETYINAAASFELFKYGD >CRE18244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:11492:11641:-1 gene:WBGene00081585 transcript:CRE18244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18244 MVEEAGDMNVRITMGARSVSQRTREINDNDNRKRRIRTRRFDSETDREF >CRE18250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:80254:80777:-1 gene:WBGene00081586 transcript:CRE18250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18250 MWFGSPIPFLIVFVVVSSAFVPDDPELEAHLNNGIAPTRSLGFIPYYNTEKVVLNFMDRMERCMYMKYPNALSSLFAPGFSLQACDRKMNRERVIDWIRSKRPNLRKVRFANVTDESITFHVICHELTGPGKYIELVLDLEYFQLQSGRIHGCDLSGN >CRE18231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:39573:40635:1 gene:WBGene00081587 transcript:CRE18231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18231 MDLQRRRQEIRRLVEKNRATSKAATTFATHTCPKLSNACVQKTKSKKVCLMNQEVTVIAKTVQHPHDNADALDILHC >CRE18229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig230:23292:26503:1 gene:WBGene00081588 transcript:CRE18229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18229 MVHRNRVEQSGRIRVANQANQDYLQSHAIYFYLPTLLFYSSLLFYEMSVLRYVQGVVTKSCGTLKTYIEECEVNLVDWGHLDSNDEAIRTEIAKTIHILEAKISTVNVAMNNFLIKAAEIDEKNSAEQEKVVEKINQVIEITDIANSVMARAQAELKRMSQPAEKKEEKKMGETIFAHRNNQMATTMPKVPKFNGNRSDYENFWLLFETSLKVNGVENDLLKFNFLLNSLEGEPKELMRQFRLSEENYQKAVNLLQKKYYDKKRIVTELTRQLRSIRAKSESTSDQRKLLDTITIIVSQLNENRGQIDAEMTKDIIVEKFDMRIREKIEDMQLDAQNDWTIEELLEKMDSVITREEKLNIKLDRSEKSEKSSKETSKVRTSNNYSTNNSNNGKSKSRENGRDTDRPKTCVYCKADGHWGFECVKVSTAKERKDILKSEERCMQCTVKGHNMDNCRRKRKCYHCKEIGHHSSICTNKPKDSSDDSSSRRRETADKNKQKTKTTAVAQIEEDETTSERKEEELNSHVSKVEKKAKTRAFIPTLRTRAYNPQNGQWDEVSMMLDCGADQTYVTASLAKKWNLPMYDKEQFWLRTFDSEEAALKTYGRTNIKILAGAKTMEMDVLISANLAGKVKKARLTEEDWMYVNEKQLQINEDCKEDISFPDVILGCDYLGDIETGRRIRLPSGLDVIGTLMGYTTTGKMTHSAQEKEDKEEKFIMVAIEDSEKTGQDIEESQKRDTAMKTQEEFTGSAQEEKARIDKEVWEFFKRTVVKKNGRYYARIPFKKGHPVLPDNFSIALKRLLSVHRNSSKEVLKMIDDVFKDQLQKGIIEEVDPKKHTQFLVHYNPHQPVITPQKTTTKCRVVIDGGSHYKGKPSLNDVIHQGPVILPDLVDMLIRFRAGKYAMISDVEKAFLQVFLSEQDREVTRVLWIRDLEKPLTEENIVTYHFTRGVSVKLDGIQREELMRRTELKKNT >CRE16582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2304:1186:3198:-1 gene:WBGene00081589 transcript:CRE16582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16582 MIPIRNNQQSPDGMNYTLTQLTTIKYPIDTDRNSRFVRGVGFNEWDIVIKDEDRFEMKKTPYGSREFPIHFAFSSESEYQNEFETETIRFNGLHHKPQKCTKMAQKKISEVQKDWMTSTPVSQGFFASNILNVVGVASIICSTIQDCMIMSMDLYGTRSVGKVNKAIQISNDMEMFEDVDEPPKKISRKRPASVRSDTSSESSSSPPSPPAFTHQMVTELLNKPVDFVDTKRVSAEIKEWLVESQATQEWFASTIVGRNRRTMGPAINYPRNWNDCPSKGQEMFMRMHNWMKLSEMQRQEIMRQYKLKSSKWPKTMISSMKSSKKSFRNAASDMIIYFNY >CRE15850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2305:1134:4100:-1 gene:WBGene00081590 transcript:CRE15850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15850 MSLIPVLVLYFVTSVTSNNSMIVVWGKPTKFFNPTPYLNSTLNWQQCIRECYNFERCVLSFQNSSGCNLYVYNGLPAIQKLNSSQGLQVAFKIQNSNDECPVGTNAPTFNNKNASGYLYVADSSVYFPTKVFYNISLSGSSWRLSYSILPLCSLDFSFYMNYRDGRQSCVALLWTNTTIGGFQNKSSSTCKSFGGTLPTLNDPTDIGAFTWITELQKGYFKSSQFFVRIDGVRKPACQSNPTTPTCMTPAGFTFTDPHFAGSFDNYNWTTNAGAKVADDDNCLVLVYPNTTTYMKADTSELTMMLVYGVPSSLKNADLDSSLNWTECIQSCYNSQPCVMAWQVSGSCATFEYSVMGSVTKTDSSNGSIVAFKVETTDGECPIGSNPPTFNNQNATGSLYIDYDTNLFPKWVYYTIYLSGNTWKTFYTENNSCTPSDNFIEIVERSDGSSICVTGYYDVRNTSGGYSYDNSVEYCNDLSTHPTGVWYPEDAAYFPKLAQKMLSYLAFNNTYIRIDGIRTTACQSTPSTAECMSVKGFNFTGPPVENFDYYDWVTDSSAQETLDDNCIVMVVNGSNPLKMDVRSCFSDGSPFPPKLIFCSCPAWIF >CRE22673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:53140:56089:1 gene:WBGene00081591 transcript:CRE22673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22673 MAQFLKCPDHVLASNIVYSHVYVYILPELRPCLHNSKPNESTKLVEDNGEEVIQKMLDKSNNQLRMYGSAKELAENIKIFRSFSDSFRFFKDQQYPFNATPVIYNSLNGEKYLCKPDIYTIIQNIALHTINHHKSLGFHLMLAKVLRSKIVLTDGHLEFVKFDEKMFDEIEKEMREGEKKSVEQAVIAHLKHLGMSFLTKNYAAIVSKLRELNPSIWDDDMANALLIQLQYSSRTQMKAQKEGILTWLTNTCIVNCIVQIMEKRAPLFMSTPDTSPITVRLFEDGEERYVMEAELYHALNRLSTGYEIFEIRNDGLNFEGMSMNDVEKKYGDRIQTIEFIHTPILRSKHRAVPIKSHFPGQFVIPAVDLFFEFWRNVILGQKLFQKYQCSDWKKFAPTFHNIEEFLYTGKKEQYFLTTDIRVESVVGNSLKQFKVSSINEVRNVKKSGFTVQNLKEELKYLGLTNTFPEIQDYAEDVYEEIYKAKKERYLKTCDLFDAVESCQLICVLNRVPNLKMFVHNQKGCGRVFGYKCEHCEEKKDASDETEEELQKPLKNLKIESSEETRSQKEEEEVPNLEKNPTNEGFNDSDDDKENQNPIKDQKTSKKMVSDIMNLLAQRSKVPIEILTENSEECISESQQQSQLQMELKEKISVKTEENQRLQETILKLTAENEANQRVIQQLLDKLTVGRQKKDMEEVSDDSGALLAVRIPPVVICYVCHKEIEPSDDDWLDCSRTGEKFHQVCAYFHIRIHEECPACDDKIPNYF >CRE22676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:62862:65583:1 gene:WBGene00081592 transcript:CRE22676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22676 MAQFLKCPDNVLASNIVPSHVYHYILPELRPFLHNLRPNESTKLVDDDGEEVIQKILDKSNNQLRMYGSAKEIAGNIKIFRNFPESFLFFDEDSYPFNASPVIYDSLNGEKYLCKPDIFTIIQNIALHSIKYPKTTSFHLLLAMVLKSKITQTYGPVEFVKFDEKMFDEIEKEMKEVENESVEQASAQRLYLEMFVLNKNHAAIVSKLRELNPTIWDDAPTISLLQSWFDKPIEQQKQFIFVMLIKSYTMKCIEQIMAKRATLFMSTPKSSPITVRLFEDGEERYVMEAELYHALNRVSTGSDRFEIHNDGLNFGGMDMNDVKKKYGNRIQTIEVCSDVKHKTIPTYFQFIRTPILRTKHRAVPIRAHFSEQFVIPAVDYFFELWKNVILGVKLFQKHQCSDWGKFVPFFHEFDNFFDIEKLPDDFEPFMRNLQKQQYFLRADIRVERVASKSLEQYGASTVKDVRNAKKDGFTVENLKNELKYLGLTNTFPEIQDHAEAVYEDVDRVKKERYLRTCDLFDAVENCQLICVLNRVPNYQKFLHNQKGCKRVFGYKCAHCEREEEVKNTLDIQDSMKNLKIESSNESGSNQYSQPALSAQKDCEKCMESSKTLEETENELKMSQDQLKEMEKKITNTEKELSDSKKEHEKIVESEAKKTEELAEKKEELSKEKEKNQEKEEEILKASRENEELQKTILKLTAENEANERMIEKLLDRISNLSSNNQKTNEIDEKTIEELTPTASVTSKNAPLIIDCLICSSQIKAGQEVIRCPLCKRRFHSNCAFKWRKDHTQCPACNGDLPGI >CRE22680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:4666:6011:-1 gene:WBGene00081593 transcript:CRE22680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22680 MNTTIEQCLDSLDYYLNNWGFQHKTIAPLVYGIHLKVERATYPEELMIKCLGKVIKAIDYGTKFNYVREPFLTLTDLIDEHRLQRITPSQRLSLARYLLAKQPRGEGVLQYYFRLFQRCFTSDAFLASNYINYSMVCSKAIDYVFREISGGGFHRQDALEMGVSILSKCLCKISRSDETLLKKRFDCLFNYLMTGFNQRSRQAAVAILVHVFSFSTNRNMTEDEKSNLTTEIISCFHGYRRNSIDIWNVHCFIQTSCKSSAVKDWIDWVMMNMSGDKSY >CRE22674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig231:56469:59143:1 gene:WBGene00081594 transcript:CRE22674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22674 MSKYLKSPDNMLTSTCLHSIAHSYILNDIRSSIDKNIFYEPECFVKGNGEEVLQKMLENSNFKLRMYGSAEELAQNLKIYQNFPGSQQFLGTYHEPYINAPAIFHSLKNEKFICRSDLFVILQNMAVEISLMPNVDTFRYVIAGALSGLPKQQAKYLEFVKFDQKVFDEIEMEMRKANSKNEIAETVSQVSSGNFETLVEKYFKVKTAGYTKEDFRNLIKNYYKGVMNSNKNPAEALTVYSVNMTMAICIRNIIETRPEMFQQKAPITVRVFEDGDQKFVIAAELAYALWPERLSEIFENYSKVGPHMYTTMGLEEAKEKGEGNIEFIRYPIKRTKHRAVPIKGPNPEDPNDWCILAVDAFFEYMKSIITGFKIFQKCFETFAVFKYIFNALEKVFKPEVESPYFLQIASVDNLLTTLQDTMKSFSPNKDVRNAKQDGFTAQHLKNELNHLGLTGLFPEILDYSEDVYEEIYKAKKERFLTTCDLFDAVENCQLICLLNRIPNLKKFLHNQKGCGMVFGYKCENCEKEKDAQNSMRNLKIKSSNEPIPNQCSKPALPASTDCDKCSESSNSLEETENELKISENQLKEMQQKVLDTEKESSDLKKEHEKIVESEAKKTEELAKMKEELNNEKEKNQEKDEEILKASKENEELQKTILKLTAENETNERVIQKLLDRIRNLSTSNHKTTEINEKTIEESTASVTSKNAPLVIDCLICSSQIKAGQEVIRCPLCKRRFHSNVILFNFPSIFTHFPYFQCAFKWRKDHTQCPACNGDLPGI >CRE17782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2310:3528:4523:-1 gene:WBGene00081595 transcript:CRE17782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17782 MNTKRNLYKDFEHPFAQFVRIVGKGKNGARSLTYDEAYQAFSMILKNEVLDVQLGAFLMLLRVKEESVDELTGFVQATKDQLNFQPLAVNLDWSSYAGKRKHYPWFLLAALTLSKHGYKIVMHGASGHTMNRVYTEQVLEYLGFPICQNEAQVKQQLDTQNFAYLPLNVISPILADLINLRNVMGLRSPIHTLARLINPFNAKATLQAIFHPAYRSSHQQAAFQLGYKNSAVIKGEGGEFERNPDAKTLICGIQDGELYEHELPKLTDNRSPTEEQLDLAVFKSVWEGQQAHEYGEIAITETMGIALYTMGIVNNYPEAMSKAKHLWNTRF >CRE17779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2310:2267:2554:1 gene:WBGene00081596 transcript:CRE17779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17779 MDAIALVPMHPHTLSSRPIVVGGQSEIKILIRENRVLPMVSADGQHSVSLNVGDSLHIRKHPFKLILLHPPGYDFYMACRTKLGWNQDFDSFQRD >CRE17781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2310:432:1384:-1 gene:WBGene00081597 transcript:CRE17781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17781 MKTERGIGLIALIFCVLIIAVFLAFSVYLIRLDNVIRDKFEGNRWDIPAKVFARPLEIYATAPIAQIEFEQELKLLGYKNSDSYTKSGTYITQPNTIYVHTRGFDFGDSVDPEQVLQVTFAGDTVTDVKATKPTNTGIARLEPMLIGGIYPQHNEDRVLIKLNKVPKPLIEALIATEDRNFYRHHGISFRGTARALLSNVTGGKRQGGSTLTQQLVKNFFLTPEKTLKRKVNEALMSLLLELHYSKDEILEAYLNEVNLGQSGNYSVNGYGLASQFYFGLPLSELNISQQAFLVGLVQGPTLFNPWKNPEGAKKRRD >CRE23846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2316:12:579:1 gene:WBGene00081598 transcript:CRE23846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23846 RLTQQWYAEAERYKQWAQQWQNYQLAQVPNAAEVGVTQLQQQVAELEQQLGYGYQAFEQQSQTILHYTAENAQLRERLVKADASISELTSKIAIVNSQPPSNGVVENGDQKSDDELVKLKQEQEELLMLLADQHNKMSVYRRRLKSLGQPVTDDEDE >CRE23848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2316:4147:5080:1 gene:WBGene00081599 transcript:CRE23848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23848 MNIVPETKTIPILKLPAVPLSNILRNFNQLEIVDFSLASKKCQFIIKSIKFDKFHIGLGLQPKRDPILFYWDYYLYFEFSIDDLKKRNKYMNGGGTWSQLSNDENEMRIKCATVWADYVCDLFRRDIAFLYLNSNESLQEMSAITEWMNTRQTCLEFCEFSGDDTNSDIIDLFFEKTKFSIQNLCYDLRHTHEIRQFNCGTLDLNGLFASSSTVNYPVNWITEEDILTSNCVTIVIGVCYFNENNLNRVLKGWIDGNNPRMAIFTLAVKRLDFEMLLDGIEFEEKDESLKRTFES >CRE23278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2317:168:389:-1 gene:WBGene00081600 transcript:CRE23278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23278 MSVNTLNCELMKDIAQFPPLSALLLSFLLMSVLILPLMGWLIVRIAKNQLYHLNTRILLIVHCSGIFVHCLDR >CRE23279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2317:3804:4816:-1 gene:WBGene00081601 transcript:CRE23279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23279 MIFQFLLAAILLAFVYAKQSFGGTALYCMAASSSLPVHVLTAFVLTIIVQLVSIICYRLLINKNEKLRETLQKTGGDLIRKYQVEETLRAFRILSQPVHLMSLFQFFYSFNSFFVLYFNPHFSRPLYFFLMEANIYLPEYSLAFVFTFIRMENYVSDSSSKGLRKSIEIDTAVYFENYKKDWS >CRE22113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:100182:103861:1 gene:WBGene00081602 transcript:CRE22113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22113 MESVIQSTATIVFMTVSVTYFVYLTIAKKGKTPRLPKQDALVTNAIPNLATLGYVGLIWLVQPIMFDLATIIPSLACSAGIVGYLVIWWILFNSEKPKTIPQYKIIMHLTTAYNLFLMMETFVLSPSYHIKGNAFFISFSSILLPTDSIPTFFTIQHWSLVSTKFTILLLKIIALEILITMSPKGYRLFIIFGVLTALTLIINGIFASCFWQPAETDIAGTIGAINTSQNWTTMLPLTPIVITMAFLPYELYRLRLAKINKEFAGFIPSSTKAVYYRSIIAEMIVAVIFGYLPIIGVYILAMLGLGHEEMGSFYLNYSIGGATVVESIPLIYFLKKQKGRSIARVSVIGREEESRGWFKKVIRFIVNTLLGRRHIYTHRER >CRE22111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:96305:97353:1 gene:WBGene00081603 transcript:CRE22111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22111 MLSLTLTYTAIVYAAITLLVFIGFSIFLHFQKHYTREYLLSTFLTSYSVLFWALSFGVACPSFHFTEISSPFIVINNPWQGLAGYEDLIKDLFVAYIMSNSVVQFIKIQDHLLFLKNHWLSEYLAVKIAMGATPFLGFFLFYSFGDYIIFESVPVNNPAWVAILMSLSVLMIYATDHYMFFRTEEHQNINMNRELRKKLLELSIIEAGIYLVLFLFPLAFGTCFQWQKCGTYLTVFYAGIPLVIYGPSCYWVWRTAKKLSKIVPEEVAPSSQSTQMEEYNF >CRE22114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:105138:110697:1 gene:WBGene00081604 transcript:CRE22114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22114 MDTVVLIINVVAICIYVALKGIQFRIHKKYALREPLRSLVIRNAVHNGVQFTLGLLLRPQIYFSVFHFSITSSPNTWIPMPPQLLHLLLCTYFAIIAIRPVTNLVNYLKHKKHHDAKFLWTLIEISLMTGILLTQAGIAYADWDYEESGVISVAKIKVAEGIQIFLTVVAMIVHLSISLLDSPIDSTYRQNIQPSEVATLNAYFNALKWQNYITTLSVCVSLGIPGVLHAFNLDGNNYTENLKSLMTFSVVLAPLFEEIVVMWIGRKDSSNKMNSAKLMEWLKEHSPFLPRALAGLVTLVILFAALVLLRYRKHKLVENLMPGVMVRGLLLAHLVGLMAPEYQLEQDRITVTVDNSKAVFLPDGSMKWIKISYQMFLLENASAILMKMLWRFNLLSTSRIRVIMVVIHILLCAVNFLFIAADITVVPMILLALVETSLFLTCIPFYRISAKLQANRTLIKSDTQYIKYRCYGKLGEVVISSFFYLPLLAVYFGKPSTTIIWNYYLTYSSQATVILMVGWLGVLAYKEASRPIYNGRPIGENRESRF >CRE22112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig232:98392:99307:1 gene:WBGene00081605 transcript:CRE22112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22112 MFSWISSGVSAILHIVSWSFLWKRRGLKSHHLTTLQLSLFPNLLLAVCLATLQPSFHFTNSTVSLSTDATAIPFPQSHPIIEMSLIGAKSIAIIMKTSEHVNVMAEYKNLNSWYIRSLYSACPVLAGLLFGGASGFLISDSGLGPGAITMSNSWPAWLMVLLFFMVIVIAIAYTIYIYLTLKDVLKNKNLRTSLLYSSLFELWLTMSTFYIPFTICLLLTTLFNVSSDWLGLYFTVIHGVSPIVEAIGSFAIIFGLGKSSVDTLRSGVVSL >CRE01507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2320:451:3912:-1 gene:WBGene00081606 transcript:CRE01507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01507 MCLIEKLRNNSNLLREYNKIIKEQLKAGIIERVTPEMQKQGKSYYAPQNAVFKANSANTKVRIVGDSSSHQKGQLSLNDCLFEGPNMLRTAPGLHLRHRIDQYPIVGDIARAFHQVRLQEKDRNVTKWLWVEDIEKPPTGDNLVEYRFTRIPFGMKCSPFLLAATIRHYLLMAASILSIEIDKNLYVDNIMVSTNYAEEVLPKIKGIQEEFRVMSMPVREFGTNYHPALLEIPEADRAESHISKFLGYSWNTTDDTITVLIPEPSVNRPTKRDIASFFAKTFDPMGYSAPLHVKIKKFVQKIWQNGLEWKAPLTDKLNKEWEIIKQQYKDTALVIPRKLRQKYHPNEKPEIVVFCDASQHTYACAVYIVYRHPDGSVESNLIGAKSKVRPSSGAGWTIPRLELLAMEIGMRYTESLIHELPEKDKPVSLDIFSDSMIALYWILTEEQKKQWVNNRVTTVHEVDKTIKESGMEVSYHHVTTDKNPADLATRGIDSTSLQNCTFWLNGPSFLSEPRKNWETKLEGEIQCPIEDRDEVNLEMRNTSKTKNTSIRQKKRAEAMANLVLVETSVNATVSTSKKSKQTTEIYTSFVPFEYTNSLSSLTRITNMVLKFISTVSKNKTLQSEPLKEYTECNKTTDTVERETRQRKLARLTVFTEHYKEAESRDWKFKETLNPFQSKDGLWRTKKHYQSPNIPLETSEPILVHREHKLAVMLMDEIHKENVHLPANYLVTALRSKYWIQTDGRLARSTISRCVACRKVKSFPFLYPYNTSLKENRTVPSTPFAKVGLDFFGPLQYKNKNETELEKGYVLIYTCLTTRCTHLEICADSSTTSYLNALKAIFAQRGVPKYIYSDNAQTFQLGERILKEDIKSYEPESRLINFLAREDINFRHITPMAPWQGGVYERIVGIAKKQFRKEIGKQIFSFPELHSIMKRVEGAINSRPLIRNPVHINDVPVLRPIDFLLPAVLLEVPNDTDNLKGDILYDPTVSTTEKETREHLKKMDRVMEKLWKIWSTSYLLLLRENAKRNNRFSKVSPRVGQVVLIQEEMLPRHTWPVGRITKLIGTPPLVQSVEVLYKGSIKERAVNQLIPLEIDEEQETIQTPEHATTSRIPHKVNQNESRTDNSDHKITSTRLQPPRRAKEGVHYSLDSDSE >CRE26920 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2324:1963:2451:1 gene:WBGene00081607 transcript:CRE26920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26920 MYLLFLPLVTCVSIFTKTEPSIEFDLFNVPVETNFFGHFEGYNMFGKPKLVHFHQFEDTLVDNRSQTYKINKNCTFDVIGDQELLMHCFGRLLKITRNETHLLDIYSDLFTFDHVHRQIYLWRDPYIYKLEAGDSNPSWRVENLQDFNVVSGLLTILFTNGTI >CRE29395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2329:4407:5597:1 gene:WBGene00081608 transcript:CRE29395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29395 MNAQLDISQNKALLWLMAIACGLCAGANYYSQPLIHSIQLYFNMPESQVALTVTFAQVSYALGLLFIVPMGDIVNKIKFIPWLMFCAAIGLLMCAFAVNLPMLWVGTIITGLFSVAAQVLIPLATMAVKPEKTGEVVGFLMSGLLVGLLLSTSLAGLLSNLFNWKLIYFVSAVLMLILAYLLKKRLPHVPVFKMSYGKIFYSMGVLLKEERRLVYRAIIGGFAFAAMSILFSTIAVLLTSEPFKLPDVLVGVATIIGVFGALATAKIGKIADRGHTSILTWIGVALMIVSWALLYFGGKYLTSYILGYGLISFGLAVVHTSNQNIIFRLRPDAKSRINSIYMTAYFTGGACGSALGVYAWHHGGWTMTCLVGVCLVMGSALFSLLDRLYLNRAQTI >CRE29394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2329:1317:2243:1 gene:WBGene00081609 transcript:CRE29394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29394 MKLSLSSTQLGSIFALGAAFLFSTKAIFIKQAYALSPLVDSTVLMALRMASALPFFLLLCWFNRHHNKNMTGKDWALLVAAGLMGYYLSSWLDFAGLMYISASLERIILFLYPTLTVIATSFIYKQKLNFRTILAIALSYGGTVIVMLQEQSTVPHEGNFWLGVSLVFASAVCFATYLLMTPRLIQKFGSWNYTGLALSIACFGTLTHFIIATPQPIQLLTQLPTQVIWYGIALGLLVTVLPTILVAQSISRLGAAQAAMIGSIGPILTIILAVALLGEYMNAIQWFGCLLNIIGVMMITLSKKKLAH >CRE29396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2329:6:386:-1 gene:WBGene00081610 transcript:CRE29396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29396 MQIGIPKESVVGENRVAATPETVKKLISAGHSIVIERGAGKKAAYIDSAYEQVGAKITDDAYTGSQIILKVRAPQGEEIQKLAAGTAVVAMFDPYRNTELDQFASQQVSAFALELLPRTLSRAQNMD >CRE21550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:135:371:1 gene:WBGene00081611 transcript:CRE21550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21550 MFFVSANPWVSFTSFDLNVANMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA >CRE21571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:108510:109130:-1 gene:WBGene00081612 transcript:CRE21571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21571 MSNRQRCDIQKLSDKKDIPVAYVKGTSRSSSHMITSPLDSKRDVPVAIVQGVPRTPNQVADAPSTATYSVPVARVTGVPRVPIRETALSNGQLEHPTYPTIRPLIFLNHDLTIGSRSYVDYGQFHAPRIIEQGESRPSLQG >CRE21563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:1130:1317:-1 gene:WBGene00081613 transcript:CRE21563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21563 MSNHTEEKDNQLLLFKEYRTDLKWSEFEDIRRIESIFQFSEYSA >CRE21572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:111441:112140:-1 gene:WBGene00081614 transcript:CRE21572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21572 MVEGKTKSTPEPSKISSPSNRIYLKHRPPRSANDITDNDTKKFDSDASVEEIIELLQNFSFDEAKQLKLTRIENIKLKEKLTTAENLLKELQKQNDQLKKDLRFSKITNEQKGEYIKTLIDYIG >CRE21562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:110140:111325:1 gene:WBGene00081615 transcript:CRE21562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21562 MTNDVRPDYSSMSYGELKRFLDFSKREINYFCLETINYQDNFDEDGLNERIRLENQLTDMTTKNARIKILLLEYYQRDEEKKLDEAFEAAFLGLKTIYDANVAPEIKKLYFVKTELFRNYIKLFRKEMTAKKKEIHKTRKMSYKSKQIYLENLLKRNGNCVNLKPYNESQLRIIQLLIKDAEIKKSIYEEWHSIAACMEKMDGFQIITSTTRAMLWQHVFSGPVETLRQTASLTNLEIMKICEYCELIAPGYRFIHMYKPAVSQVFYDCVINNNINFHANSSTGCGILPSPAHSLTHYRPLVPNIVDPGPSTSTATDSGRNLHQCLDSNTNILENFFDLTY >CRE21551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:7878:8845:1 gene:WBGene00081616 transcript:CRE21551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21551 MFLKALQSTCKKLLNFIDENWKLNEVHIHLDYHKVKVRILSFGGKEELEFTDRKGKLQDDDQESNSSHKCFLQETADKFEQTIQDTKTQLGKLFIISTNENDMAKKKTRKTSASYF >CRE21568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:51122:52573:-1 gene:WBGene00081617 transcript:CRE21568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21568 MTNLEIRKNHTKEKKKKKIYLQYLKLFRDMQPPSMGVNGFGRRSHNFSSPSLVDYRSQPHHYGSQPSIVQNGGSQSPPDYALLFDQPVVVLRSKKPSTVRSAKEESRLRSQQPPSNIQRVQAIRSRPQSTASYNSLASSGMENIQWRNSVMSSVTPDNRRHTLYDEEDNPRV >CRE21553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig233:45402:46068:1 gene:WBGene00081618 transcript:CRE21553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21553 MFKVVRYLFVLACVCIAVDYLFSQGNKSSDGGKNRDVNADGNAEGVSVWRNLDGINFQAWGRKLKDLPDEIVETTEETRTKRSEATTQSTVENGRRYITIDIRKTFMGRVSAPFMSWPWYPVDSRLVGFPFGGRGQMKHIFGEHMKKKRSLAIGRSFWRPGKRFSRFSRIGSGNAITKLVRFSNFDNN >CRE04475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2331:2301:3631:-1 gene:WBGene00081619 transcript:CRE04475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04475 MSQNDCQEHENEEKDYGKDYRSLNAEQLESKLKTLISNVAVIFELSDEQCLKLLQKYNYDKERLLEEGYSGEDALKSIEDVSQTSEHNDRQAMINDYVTKSRYLKWCPNGGCTRAIEVDYADIRTVRCSCQMEFCFSCDRGPHDPVPCDLLSHWLENNQRDSLEKIIFESKPCPKCGHLIQPDDKEDMKTGSVWCLNEECRQQFCWYCGVEWVGEHYDCEDFELPLNEKHEKLVSDFKRYSRYHELFTTQKENLEMEEAVRTYDNLLLKYTKFQLREKIESRETKTQYLQETLSKLLDCFRTLMYSYVLEFYLKEECNANIFKQIREDLQTDSAKLLEMFLKLEEVDDSIETKKIVQEMGESAVKKRINLLRNCAEEMKNDNWTFDEHKFIEM >CRE04474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2331:73:1955:-1 gene:WBGene00081620 transcript:CRE04474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04474 MNTENLENEGLPVDSTFGENWHGVQKVKADDRQCMGDAMNVEESRDEEGETEETNEEIEYDESEGVTDEEDEEEENDGCLESCDNDTDYLKNNKILSLDKLESEMKDIISDVETILEVSTGISQNLLQKFRWNKETLLEKFYGSEDTNEFLMNQNVIPSDPEDFPSEENTQCAICFDDESVLTGLSCNHQFCIGCWNSYLTQKIVDGETEISCMAPECTLLFQPEQVLYQPERHIFIVFRFQITFYINDPTVMSMYRKAVVSNYVDTNRLLKWCHGAGCEKVIKVPHASIRHVACSCGSQFCFSCNKDSHEPASCHILTHWLKMDDQESSKWILSNTKDCPKCQAPIEKNGGCNHMTCTNRNCRYEFCWLCMGDWRKSSEL >CRE05361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2332:4499:4690:-1 gene:WBGene00081621 transcript:CRE05361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05361 MEFVLYQAIHTFLSVFGVGINIFLLYLALTKSLKIMRPCSALITNKSLTDIMSSLANLFVMQR >CRE07183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2334:2218:4365:-1 gene:WBGene00081622 transcript:CRE07183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07183 MLALLLSGFLVWRLLPVSALPQVDYPIIQVYTFQPGANPDTVQRTITAPLEREMGKIAGLKQMSSSSSVGASVITLQFELSAELGVVEQEVQSALSTASSKLPNDLPAPPIYRKVNPADVPVITLAISSETLPLTTVYDMVDTRVAQKLSQLSGVGMISLAGGQRPAIRVQMNAAALAAYKMSAEDVRSAINSANANQPKGSFDGPYRTTMLDANDQIRSIADYENLILRWNNGAPIRLRDVAKVIEGSEDRYMAAWAGSQAAILVNVQRQPNANVIQVADQIKQILPELEKNLPENVKIRVLTDRTESIRSSIDDVQKELVFAVCLVVMVTFLFLRNLSATIIPSIAVPLSIIGTFVVMYFLGFSVNNLTLMALTIATGFVVDDAIVMLENIARHREAGESLLQAALKGSKEIGFTLISLTISLIAVLIPLLFMGDVVGRLFHEFAVTLAAAIAISLVVSLTLTPMMCAYLLKNAKHSSSNSRWSLDRIIQQYGKALQWVFRHQILTLAVMLSSVILAGLLYWMIPKGFFPVQDSGVIQVVTEAPDDISFQAMSQRQQALAGQILKDPAVESLSSFIGIDANNPKLSNGRILINLKPHAERDPVDQIMARLRGQFAQVEGIQGWMQPVQELSIEDKISRTQYQLSISAAKTTDLAEWTPIFVDALRKQPEFAQVTSEEGGQGLQAFIDVNRDAAARLGLNIEDISLALQNLFAQR >CRE11638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2336:1568:4610:-1 gene:WBGene00081623 transcript:CRE11638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11638 MQSQMLMPKQEPVARPLWEELPVPPHVVLPSLPIENPGAHMTVPQYLFAIQAPNVQEQKWTTVVSRNSKQLLEWIILDSSTKYPMEGAKNRANIHKWYCTTAVSVYKRTGLIVHPSIIRDCLRSAKQHLYNRLLKHIKTDKLSPKEVEEKLWAWPTYPFVKPFRTEKMERKMRSANLVDENGAPIVIDLGEDDSDDDDDEDLMPPAPATSAPATPASVKPTLPLNAIKAEYYSATPAKRRYAEVVPDQSTIATSYLPATMPKASFAFVPADQTMDTSGPMEPDSSASVNPPPSEQDLQDFEADMMAIHRDVMRKARKDPKKMDLIRAAHAQMMAEINTTKTNDLGEMFMNVGRRNLGNVFNTD >CRE20970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:98972:99462:1 gene:WBGene00081624 transcript:CRE20970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20970 MTPRNCEFSADIENKPKTYYSHKNIHLRELRALHTMKIEATSKFRQFLDEEIYRTVVRWNQEHQKHPYHFDFHGLTRKSAEWYILDVLDMMKKNNITEARIETGRGRHSWDNRPKIKPHLMEMLNKRSRCSVEPEDHNDGVLRLKIC >CRE20972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:2484:3795:-1 gene:WBGene00081625 transcript:CRE20972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20972 MSSNTVCWTEFIQKCRFLLRAYDLHFKTGHFVTGHFATGLFETGRFETGHFETGHFETGHFETGHFETGHFEIGHFETGHFEIGHFETGRFEPGHFETGHFETGHFETGHFETGHFETGHFETGHFETGHFETGHFETE >CRE20971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:99812:100394:1 gene:WBGene00081626 transcript:CRE20971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20971 MSAAFSGALPNSSYVSKTPLKNPNKFQQRTTGKWKRSIEDPHAGANPIKRTPTQNSGEVEGQSPGDNATSGTLHDAAQARKDYLESKASGVELPTEESAKLDPKFAFGESTLIIHMCTKCRTASRILDCNDMGNGDKGLVINLCTICRALFNTQRRIKFFQHELTCIKRRQIQ >CRE20962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:385:1461:1 gene:WBGene00081627 transcript:CRE20962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20962 MDTSSLINHYSKFLREIYFFHGEVSGSFNREIKELYTAVENQNHGMNITPSKIKSHLEVCLDEICTDKTSESDDTLNLTTMLNDLNQMARHLGDDLSMKIVPLVSMYLEETKESDTVSKKGAKEAIENMINRLKKCAKSS >CRE20978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig234:128486:129648:-1 gene:WBGene00081628 transcript:CRE20978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20978 MDQEPEFIEAPKSAYLLYCDAKRPNIRRENPYLRNNEVNKIISSQWKRATSHEKLPFVTEAKRLKSIQKKYFGEIQKPFNAYLIWINEQRKELKNQEKEKAQSKTIVSELAAKWKTMTMDEKLPYLEQERIQKEEYKKAVDQIKTDLNLKYRAKPGRNQPRNSYMIFYKMKKEESGKVNKALVEEWRKIWKNMNDEEKKPFREEAEKGKAKTEISNPEDAIQEHDEESDSSLSNPLSPISEAHSKSGNPSRSSSLEPLDYFSEIVVLDNDEQKSLENIEPTLKISENFPWELALKSIL >CRE13227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2340:1563:2766:1 gene:WBGene00081629 transcript:CRE13227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13227 MTFLFSLFRFLSWIISVLFQTFKSFFISNIPLPPPHFPLLHVPYLPLRRIIDFMEPKTLVSLSFCSQKSHSVIKTQRRAPFNGRLCVSEFHSNLSFCTFQNRDCVLSVCNSLFFPNSERSNYIKMNGQYVPVEVHRSDGNLVSYWGNTSDGLKEITNYVTDLLNIDVSEIRASKESFHLIEWVNRRQKTPLKKVVYMDWGVIPSKDEMIYILRDCTTLSEIDIRSDDPPNFRFSGNFRKIDCLDICHGQWVTIDNLLTMDGIVINLKKSTLTNNDLNVFLKHWLSGGCPRLKLFCARIGSVDIFRVLAGLLHNVVRVENRRDYNSPFGHKWTLWKGYDIKRADGVTATVSYQPPGGFVVAVWPETIHNYN >CRE13857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2341:4213:5202:-1 gene:WBGene00081630 transcript:CRE13857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13857 MVYAEIASAAITADGSAYLNEDLKVASAHMTDVPLRRGVLERMLGALSTQPMVTCTSGHRPVNSVTRNAAKDFTVAQKGTAGAEERMAIVFEQAVQSGRIDEMRFVAVTSTNAAKMVNLYPKKGRIGVGADADLVIWDPNGKRVLESTRSQSNQVSSMYDGITLHSSIHSVIVNGVIAYQNGEIRVESGGGYLPIDGNCPYLYANVRKRDKFSSTQKVEREQSKPQAPQQQNGQTHKNSGEFDRNRTKVMESSIDFGGSSSNRGRNPPGGRTTGFW >CRE16584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2344:3502:3588:-1 gene:WBGene00081631 transcript:CRE16584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16584 MATRCRCATPPHSNVSACATHAESLREG >CRE16583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2344:255:1490:-1 gene:WBGene00081632 transcript:CRE16583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16583 MPPTPRTKTGTKKLSEVAKHLVLPSGIVSTGWPAVRDQCRKMGVRHDEWQAGLGRAMLAKRASGLYAAGIGGIIISICRQVGKTFTIGSIIFALCIIFPKLTVIWTAHHSRTSNETFESLQGFAQKRKVAPHIRQIRRVNGQQQITFKNGSRIMFGARESGFGRGFAGVDVVVADEAQILGNKALEDMVPATNASKNPLIILMGTPPRPVDPGEAFRTRRNEAIELKKLAAAGEDTESDMLYVELGADPDADLDDWDQVAKANPSYPHRTPREAILRNRKNLADDDSYRREGLGIWDDDRDTAKGIPTKLWTDSAVDEPLDGAPAYGVSFSASGVRMALAAATVEGEWAFLEQLDADEGALEDGMKSLAAWFAKKDEAGHARWRRSRGIAIAGSAHAPALKQLLRQQGVPG >CRE15244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2346:3046:3525:-1 gene:WBGene00081633 transcript:CRE15244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15244 CSTGPFQQSSDPCAIPIYHNTDVPFVYAEYLSWKQQDNYLDFEGAEEKQGTHDGAVAFGTPLAYSTNDNTAVEYQPYNKYGPGYWMAVLKMDCSKAEQGWFEVKGYESPDIGWEGDVKQGSCSGAIGGTAPFSSINHIAKCGAVNVFTWGSGSCIVDSA >CRE24797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:700:4735:1 gene:WBGene00081634 transcript:CRE24797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24797 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3NFR6] MDYLHKSFLRLHGNLRSATCLVNDSWQVKLAEFGLDNLIEEQTPPKKRLLWVAPEVLRGSLTVSQMESSADVYSFAIIASEILTKKEAWDFLDRKEDCEEIVYMVKKGGAFPIRPEIVTDCPDVNPALIALVKDCWSESPEDRPTSENICRQLKNMMSKKSKSNLMDHVFNMLEEYTSTLEVEVEERTKELTLEKKKADILLSRMLPRQVAERLKAGQTVEPEGFDSVTVFFSDVVKFTILAAKCSPFQVVNLLNDLYSNFDTIIEEHGVYKVESIGDGYLCVSGLPTRNGYAHIKQIVDMSLRFMEYCRNFKISHLPREQVELRIGINSGPCVAGVVGLSMPRYCLFGDTVNTASRMESNGKPSMIHASSAAHSLLTSHYPHQYETNSRGDVIIKGKGVMETFWVLNKKSDSLSYSSRETPPIHELERESAMNQIKETKLEPILKKEKEIRSVSSHGSRPASSVFNPLDDHRKYKMDTLQVMCPV >CRE24800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:33926:35962:1 gene:WBGene00081635 transcript:CRE24800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24800 MWSSTSYTNAMPLPLLCFPYLVLKNILLQMSPHDMVALSFCSKKASGYVKSAIRRQYSLSIEFDSDNEFDITIYRNASPEVKGIISVGAMSEKSRKNSLCRWSNNVLFDGFECANQLMDLCSIQSIGRLDLNLEKQEKVEYVTKWLSNIFVEKCSISTKNPVKSFSVTRFLESVQVSKALSVDLETLDELVYERVFDLDEIIIPGTLRNLLDMNCANIHLYGVISNEDMNQFFERMPLQIPLPLDQYAPPPSQFASEHDGESIPDSQKDSGKNDSSVALNLLSFAGSMVKKLFKSRKQLLETPVKKVPISKARATKPKNITKEQFGEISFNDAPLRVLDKSKGKLDVAVMKCCAGKLVWAFEEGRMILKMCNRCVDLNRGYARILN >CRE24806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:107126:107812:1 gene:WBGene00081636 transcript:CRE24806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24806 MRSLTIILLLATISLTSALEAENPQGQVIQGEDYTFVLEDPSVSGLRRIGTEGSQGAEYWYFCERAKGEKKKQCGAWVDEKGTKIKGATLKVTLKGKNAVLLKVLKKDEGVYFTVFEKEPIPSYVDLNVFP >CRE24811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig235:104477:105245:-1 gene:WBGene00081637 transcript:CRE24811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24811 MRSIVLLLAFISLATSESVPLTGPKLGEDFPFELKDPDAIGVTRTLYQSNSDAQFYYFCEKTKKKQCGAWVDEKGNKVKGADLKVAFKGKEAVFLKVQQKDAGSYHTVFENAPISAWVNLEVVVPKKPKH >CRE23849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2356:3555:5156:-1 gene:WBGene00081638 transcript:CRE23849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23849 MQKFKKTVVIGFVGSTLDQGKKLDRWQRWRPTMSLLMHEDLVVDELVLLHDRRNVSLIDHLRQDALEISPQTQITGHKVNIRDPWDFSDMYGALYDFVKSYSFDTENNHYLLHITTGTHVAQICWYLLIDAHYLPAKLIQSAPTGKQQPEGEYRIIDLDLSRYAALTQRFEHEQAENWQQLKANIATKNQNFNQMIQEVELVATRSSAPILIMGATGVGKSHLAKQIYQLKKEKFHLSGRFIDVNCATLVGDSAMSTLFGHSKGAFTGAIAARTGYLKSADNGILFLDEIGELGLDEQAMLLKALEDKSFFPVGSDKEVQADFQLIAGTNRDLREEVRAGRFREDLWARLNTWTFFLPSLKDRVEDIEPNITFELQRFAVAHQRQLRFHTDALEKYLKFAQSADASWQGNFRDLTASVTRMATLANGERIGLHEVNAEIGRLQQIWNIAENKDALTQSSLMKILSAEQVAQIDEFDRIQLQGVIEVCQKSKSMADAGRRLFSVSREQRQTTNDSDRVKKYLAKFALSWDDVNG >CRE22744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2358:58:927:1 gene:WBGene00081639 transcript:CRE22744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22744 KKTPAAKKTPVSAKAPPKKRGKAAKNIKEEEPDSDAEEEPDEPPDEKPDIADTSVVLESPAPTPKSRKKAPVTKKQISVKEEEPDEEPEVDDAPVEVPKGRKKASEVNGRRSVKREVPEELAEEPEEVVPQETPPTANRRTSRKQNKENTTPANKKEPKKKTVTIKLEEPDEPEEPREDIPSTSDAPPPPATPATPKVLEAPETPKSEEKRARKKSARLLASEQMEQDRIELRQQHSKRKSLWRRKSHSSSEPQEPERKKQKVVIKEETLMKMSRESKSHRESTKKLSE >CRE24246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:113697:114232:1 gene:WBGene00081640 transcript:CRE24246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24246 MSLWVCNVDTFTEDSSSLLPVIVFVVFLLLLLVGVGIVVVYRFCLRRSEPDRDIEFGGVPRNEAVSLWQKLMKQELEDLKNNYEKVVESEAKKEEELSKLKEELTLEKEKNRETILTLKAENAANERVIQQLLDKLTPVCSNDDRQKSTHFLPPSDAFTNIY >CRE24248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:52719:53441:-1 gene:WBGene00081641 transcript:CRE24248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24248 MASPSPHRSPILNLFKAGVPPLDIIKRLGVPSRTVYDSISRFKKLGTFLDRRGRGRKATVVTPDRIKAVKERIRRNAHRSIRKMAEGMKISRRLLGRIVKDKLKLTCNRVRKAVILSEATTKKRLERSKKLLQRTRNGDHLITVFSDEQLFTVQAKFNPQNHRVLAETYEEAFASGKTIHQTSHPASVMIFGAVCADGKSPLLFVDQEVKINKEVYILQILEKIFLPWVQKYFNGRHWVF >CRE24250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:89352:89770:-1 gene:WBGene00081642 transcript:CRE24250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24250 MSRKLVTLIEWQKRRTDIDVLVDQMIEECFNVFLLESEDEMKEEERNRKLTAQNAKMFTATYKNRERVGEIEREIEKLNRKCKKRKDSEGRHIERRKLRILKRELVKLESEFKEAVDRVRNET >CRE24240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:57167:58393:1 gene:WBGene00081643 transcript:CRE24240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24240 MLSLVFGTTTVLISGFFILFIVTPEHQKTSQFSLFIHAMSIWLFLVFSFLKKVWDDDFYAEERSQMSEAKHRMFYAWGVVGLILCAILEQVSIWWMNFCFENGYIVYLIPHFCTFFLIRLLIFPGYRVYRISYRKPIEYAFFIIISSIIATEMMSPFMVYKTTWIIHLFYNTSISIYLVDLHAAVCYPFRRNVLHETRV >CRE24252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:112220:112628:-1 gene:WBGene00081644 transcript:CRE24252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24252 MDSETNRNWEAEWKVIKQELEVGEQVEDAHYILLMMRVTRQDAEWERRGRRKEELDRQLIEARRELAATERNMQEMNMKKTTDGMQRELRNKRALQREIRKINNELEEIYSSWEIMRP >CRE24244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:99077:99625:1 gene:WBGene00081645 transcript:CRE24244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24244 MKNLLIFFLLVVGVSAFPDPIECRGHNDCSVVELCVRGACVEKHFPRFVVGNGCITYQDCLANEECDHGKCISMSPRKPLSRFVAPKACSTGADCGSQSVCVDGNCVVDNGYGGKCSVGAHCPNGYNCVNARCVPSSRRFERTCRVNCPIGTWCQNTSCLPFPGK >CRE24242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:81991:86192:1 gene:WBGene00081646 transcript:CRE24242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24242 MKMIRHNTAQLDQKIQELERRKTTDGRKKCTFYGKNNQMKEGELVFKTVKTHRARITESQLDILHWKVLEEEMNTIIEELNILDTLIFLISRLLNEEPSREKKNKKNGAASPRIENSIPLTGRHELENGDMATPSYDSRKKLYRSSGGRRSVQENRKTVKILVISVYTCCSENNIIILSWELEISKRYNSCQGHASKISFRSLEGFSVEEYNINAFMTWRCDPQMSFLVLN >CRE24239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig236:53768:56329:1 gene:WBGene00081647 transcript:CRE24239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24239 MAVKFDRRFWSTVDADDGIKAQYFGKVPDSKSDRSLFNIYYDFSGKDATGNEVFVLMSYVTAEHVNLVNELSDEKVAEKFVETLRKMFPKAIINPIGQMVSHWGADPYIGMSYTYVPFGSSGDGVYNKLKETIDDRIYFAGEHTIAAEPQTMAGAYLSGLREASKIVMSWKRDIQESP >CRE26022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2365:109:3866:-1 gene:WBGene00081648 transcript:CRE26022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26022 MSATLGTMISQVEPDWSIELFESRTEVATESTNAWNNAGTGHAALCELNYMPEGKDGSLSAAKAIDINEQFQLSRQWWSSLVKQGVLGEPSSFINATPHMTFVRGESNVDYLRRRYELLKEEPLFSDMEFSDDPEQIAEWTPMLVERRSKDEVFAATRSLSGTDVDFGAVTRQLMSHLEGQGVTVNLGHEVKKLRRRADGTWDVYVRNRAGYGKRTVNARFVFVGAGGGALSLLQSSKIPEIKGFGGFPISGKFLKCDNPEVVREHRAKVYGKAAVGAPPMSVPHLDTRIVDGKESLLFGPYAGFSPNFLKKGSWWDLPGSIRLHNLWPMIRVGLSEFSLEKYLLTELMASREKQLSTLREYMPSAKRGDWEMITAGQRVQVMKKDPKKGGVLQFGTEVITGADGTIAGLLGASPGASTAVHAMLGVLLNASPSCLSMPRRVMSTDVDPPLRTSSSGRRSATAARRVFFFAPSGSHACFAATGARWPRHGLGGGLRAAGEDRRDDGLVLRVGVREVRAEHRDLVEQRVHAGAQVRDEGDEAGGARELRDEEVQARVEAAMVRVVGGAALLERAGERGPLIGAQITGCGLARSPDFEHAPQHGRVLHLRFAELGAGGAQRGLVGQRVDDEGAAVAAAAREHEAGLGERRERLAHGVAADLEHHREIAF >CRE30622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2367:2597:3230:1 gene:WBGene00081649 transcript:CRE30622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30622 MNKFDLTPIPHTSVASDTEETDDTDLDSFLDCSMRPDGSVVNDLKSELIRYHAFLTTTRPTYKNPLVFWKGQCANFPKLSEIARILLASPASAACSERTFRFVPTVRNVIYNFHFSRCSDFIRQKKRNRATIGTINSVLIVNELSRSQRPVSDTEEEETDSELSETDQDTMEDDSDEDIDQ >CRE23741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig237:17896:18324:-1 gene:WBGene00081650 transcript:CRE23741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23741 MIYFVLVILTSLVPLIDAGYQNSGNYYGWNQQYQQPYQYQYPYQYQYPQQQYQGYNNYYNYQYQGYPYQQQQQYSNYYQGYNNYYSQNYCNQQPCQPKKPYYGNDRYIGNGIHVDSHGNGYIGDKKTGWYIFCSSRGCVGRG >CRE23740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig237:4297:5959:-1 gene:WBGene00081651 transcript:CRE23740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23740 MQLINMLAAAVPNWRHGDLERLNEVLQAPGGDVRLIPSGIIQICKESSLLSFGPPNAKRTVATHFWKRYGLITNSAVDRMVYVKSQPAKPPQHHYQHINTFERERSTVLVDCHLSYWIYEKVRQEKHERIQPEIPRRFLPKKFSKKTFILEATIMMQPFGSKLALPGNAEW >CRE23738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig237:80250:80564:1 gene:WBGene00081652 transcript:CRE23738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23738 MQYGGNEFVKIGKDGSQWKDYVSLPDGVLPEPEILPYDKAKDDIATEEICQKVAPFFRLFLPDPRPDLQSDPAGQTQEKYRPGPARPGQALLKHVEFLIFFWKF >CRE06258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2373:1780:3383:1 gene:WBGene00081653 transcript:CRE06258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06258 MDHKMLIRVFTHFPVVINWIAFFLALLMNYFLTYCIRSSETKHFGNYEKMMYANMANLLFFSTLHTYLHPIISIERNVLYVFTRLDYFQLPKLSIRILMALYGMSYCMSLVLCAVTFIYRFDRVCHSTLQYFQTRKQMLLWLFVVFFLGLYWGFCIFHFAQATSYVDKIIEKPLEEDFGVAFVNTTYVAAVYEIQNLETGLTEWNQSGLVMGISFGTIIVGSMVTIFFCVGRVWMKVNTFVHSQIFDKVQKELFKAQCLQALIPVAVIFIPILSFLALPMLNIHGYTGLSFVSVFIGLYPVIDPIALMYTITEYRELMFELFTCR >CRE07184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2374:1104:2134:-1 gene:WBGene00081654 transcript:CRE07184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07184 MSTLPLFKLPFLCINEILINMDIISLVSLSLVSRRCQRIVKLVKTKLTGFNIQIKESGIELLFVDSQRILGYWIFEPEKKENRGSGDMEMSFHANLIRSYHSEEDIQQSMKLGLDYLRDLFKKPINKFYLHPDGLPECPLQIELKECNELLVKGKKAMKDEYLKSILETIIVKTKCTLWIPINPTFECNTNLLKFKELKCVEYEGCGHWITRNVFLNLKCTHMQLYHSLLEADAVMSFFERWFHSDDTEFHVLVVQTDKLFDGLNFDRFQPKPWNPEQRSRHFL >CRE11630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2377:58:852:-1 gene:WBGene00081655 transcript:CRE11630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11630 MKAFNKKIMFSVFSGLVMSLSHAAEVETSNTQEIHFPEVKDSYLKQVNRYEYDDVARLDKGLSKDQIRHVLGNPQFSEGLFAVKTWNYVLDVREPSSNQYKRCQLRIDFDKHYRSENLYWKGEQCQGLMAWGINNQSATEQTALSATGQSASVLFYFDHSDKNGVKNPEVISKIADQIKQTDGNSKIFVAGYTDRLGSFPYNQRLSAARANTVVDLLKQQGIPADQIQFSAENKTDVYQKCNGMNKKIQLVECLAPNRRVNITW >CRE11631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2377:915:2915:-1 gene:WBGene00081656 transcript:CRE11631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11631 MNTDGIKFFHTNADMSKGDLGTTNDSSAGGLNSTAIGVNAIVATGADSAVALGHNTKAEGKQSIAIGQGAEALGTQSISIGTGNKVKGNHSGAIGDPTIVDGANSYSVGNNNQVLTDDTFVLGNNVTKTVAGSVVLGNGSAATTGAGVAGYALSAATSADKTAISNTTSTTGAVAVGDAASGIYRQITGVAAGSADADAVNVAQLKAVGNQVVTTQNALVNGLGGNAKVNADGTITGPTYNVAQGNQSNVGDALTALDKAIGSAATTSKTTVSNGQNIVVNKSKNADGSDNYEVSTAKDLTVDSVKAGDTVLNNAGISIGNNAVVINNTGLTIAGGPSVTVAGINAGNKTITNVANAVNATDAVNKGQLDSAIGNVNNNVNELANNAVKYDDASKDKITLGGGTNGTTISNVKDGAVTQGSKDAVNGGQLWNVQQQVDQNSTDISNIKNDINNGTVGLVQQAGKDATVTVAKDTGGTSVSVAGTDGNRVVTGVKDGAVNATSKDAVNGSQLNTTNQAVVNYLGGGAGYDNITGSFTAPTYNVGDSKYNNVGGAIDALNQADQALNSKIDNVSNKLDNAFRITNNRIDDVEKKANAGIAAAMALESAPYIPGKYTYAAGAAYHGGENAVGVTLRKTADNGRWSITGGVAAASQGDPSVRIGISGVID >CRE09944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2379:75:446:1 gene:WBGene00081658 transcript:CRE09944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09944 TVPQVPENADSRWSTSPELLNDVSTYNIPSSSSFGLPIEPMTWDENQFYPLAYNQYQYHQSAQQSSYDQMQPNQMGHVYPGSQYSSPGNSSPSDSTSSTPPHSTSTDYCVPHDDMSGFSSYPL >CRE23146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:36974:38369:-1 gene:WBGene00081659 transcript:CRE23146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23146 MPIAPQQNLENDKNLFQAWQTNLNNFQEEGEERASDRMRAKEEKKNKILSSLLAIKTVFAPQKPQPILAPQAPPDDSSAAGAPADSYAAGTPADSCAARILGDSSAAGAPADSSAAGSPDDSIKRF >CRE23145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:31377:35183:-1 gene:WBGene00081660 transcript:CRE23145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23145 MNEEEHRLYMRKMKERSRQKKKDLIAQKKPRAESPKMEENEEEERDTSSRAATSESPEAMEQEEEVPEVAMNQDPMVPVASPSNQAASVDPAGGSYQEKENHSSYRVVTITCIRLSRKTTFSTS >CRE23143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:15988:16968:-1 gene:WBGene00081661 transcript:CRE23143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23143 MSALKARQLMDSSAAGIYKVFKGNERKLCQSILFLTFSKISLTQTSQKTKIIVTHLSQRIRLDIKLCALRDSSFSHILLTGDNWTSEFQFSNSNQVFYEYQKLIDSLNQFFDLKTVSFDFGWSVEQDVMLAIGYVKSLGLSLGDIKLPENISFNDPNDDLLELCNGAQLVILPSPTRCHLNHIKVGKLVIENPISFNPVDLCTMMQSKSDFISNVDFNTDFDYLKSLNSFLRQWTAKDELRHLELKSDWHQFYLPEVVRLLDAEIVRQARIKDDEIQKFQPNECFRVKRRDGSRVLVYCLDGCFHAQVE >CRE23135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:23875:24621:1 gene:WBGene00081662 transcript:CRE23135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23135 MAAPLEPFPIQRLPHVPLLEVLKFMDPTEIFNKMQSYLRRRRP >CRE23155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:111912:114711:-1 gene:WBGene00081663 transcript:CRE23155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23155 MKYSCFIKNAQTGDYLKKIQIWSTELQNSITESMHHGNLAHYSVRKWRLVELDDYKKACGVVKERWKAYDNDYMKKYIENFALSDHYHHELELFNPHQNEIGCARFNSGNGFRVTCLIGPRSELAEVPRYQSNQLKCTSPFKKFKGLCTSSDLFADSSQLMFISALNEKRRQLAKARSFSNMHELTFSNNLKTTAAILNFGSILPSNRTYRAVEFKTYSNGFEYCDKDCPTLKFQNDKNQEVTFYKGYEQYHPKQTEIACVEKKDGNKGNIILCLLGPMADFRGGRAEFITGDPGSNCTMGYENKNALCSKSKLDAQATVEAPPTSPPKETTPKLVQQPPPPPPTSAPSTELVQFFLFSVSCSYFCRVTVEPAQPPPHTTTQPPTDSDDGTCPNTPPGPSQTRFTTEKVTPEPPPTHPPELADYEEIDGDEYDEDFPTGSPPSQNVYWYNDSRCGGDGLVLLSCLFVFFVFV >CRE23138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:59456:65136:1 gene:WBGene00081664 transcript:CRE23138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23138 MSLPISRFDDPPSVDPPTHPLIPNFKFPKSANVPPSNDVICPELWNQRAEDVLVTAYSTDFNQRFIDNVRENMSRSYDAYANVYLDGKPYFMQTDATFEQWYKDPIPPPTSPDSETPSNALEMIQNYLYIPTERPCGHALVVLIKRLPEVEDDSLLLALIKRAHVNFNVIISTSTLGTDAKSFWCEIASKVNGLCFFDEEKNFVKNS >CRE23144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:17981:22077:-1 gene:WBGene00081665 transcript:CRE23144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23144 MPLPFDLARNAYRPISQFPEYQSFSYNFDYVTIYILFLFICLLPTIYSTSKMLIFYQKKKNSSTDREIHPYVFKSFLSMQFMNINCTMLDFFVYRIPFTSAVTFYASTMKPDSFIRYLVAALYGFEYISQLYTVMFCFVRVLVLFHPKRHLKISRYLYILWSITSLLISLIGCSPHIMTNAMAMQLDYPFQYGSIILTTMVGYASHAQVVGGYTFAIFVTACIVLTTLAMLLKMKSLKLMKISEFRTLKNVRVSKFRNFGISELSEFQIFGLSESRTIPNFGFSEFRNFGIFRFSDFRTFGMTQNSRRKSKAEMTLTITMCIILIPSLFSLFLTLTVLVAVRYTSYVILIRPIVLDCRVNIVSCYFYWTHPYFKINPVSKSTTVRSLSTN >CRE23147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig238:40151:40796:-1 gene:WBGene00081666 transcript:CRE23147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23147 MILAPQAPQTSSTPQAPQRIADLKRHYRVHFKKAPKEFVTEWGKLKETARKQCSHSSNNDPLSTAVAIENEEEDGMQRWFQLVLRESATPELLQKIKI >CRE13228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2380:533:1467:1 gene:WBGene00081667 transcript:CRE13228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13228 MERWLFTPNKSNRLAADERKRDELMECQAIEIIRSEMLAYASRLPHEDVQRLISLLHRGSISQTDSTDVLDSHTQRNELAKACFDALLMSTDGAQADTEEEDTRGILGNVAVTSLLQRCTQVSIRLPITRSVLFRRMGDRASIKIMNLFQVMSDFCKDWSAAGDLRLPRSRILEIISALQAIDSLIARLARDPRMTELYSQLVSLFPSVVDVMPCCHADAQLEQQLIKTIKSYQTLFLLQNIPQSTV >CRE14445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2382:3430:3669:-1 gene:WBGene00081668 transcript:CRE14445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14445 MFSTPPIHQTLGYINIFSSSFSLFFNGFLIYLILTKSPKEFRVYKYLLAFISVFELFYSVLEIVLVPVSDKIQSSLRET >CRE14447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2382:6147:6422:-1 gene:WBGene00081669 transcript:CRE14447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14447 MHLYVRNNLLSQLLPRFTGDYLQILPEKCDNSVIIQFLQEWTSGRKYQNLRTLLLFSEENSFSNPANVLGNFETMPWDPRRRPANYKSSRK >CRE14444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2382:1753:3103:-1 gene:WBGene00081670 transcript:CRE14444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14444 MVPFLNGIVWALMGMLLCSPTQEATEILRPFVLRDFKMDIDNFDYLGGPLYTKSENGTIQPYFKTLFTISTMSVVVVSSFTFSFAVILYCGTKCYLAISESANSQSIQSQLFYALVIQTLIPTLLLHFPVSIMFGFVFADNGLGMYSSMISITISLYPAIDPLPNFFIITPYRKAVFRSINKNYQLSDNHAPPNSKNAVAPAPGAPISAI >CRE15245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2386:426:1098:1 gene:WBGene00081671 transcript:CRE15245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15245 description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:E3NUW7] ITFRKYTYRLAVCRSWELWESIRQEPSIACFSERDYAWRLPPGFSPERLLTAGRRFEGEQVMGSFFKHTNREKRFEPITPSALKYILHVGLSNGEAYSINNDIYDYYNVTIVAKSFVREQVCRFILMMSCLVNYSYDRIPLATVDWLLNNPISSNFFDMGIPIAPPQGLFLTDVVYDPNMFTKPVPYYLHSWDYE >CRE20158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2388:649:1173:1 gene:WBGene00081672 transcript:CRE20158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20158 MSYSNIPAGKDAPNDIYVIIEIPANAAPIKYEVDKDSDALFVDRFMGTAMFYPANYGYVPNTLSEDGDPLDVLVVTPHPLVHGSVIRCRPVGKLNMEDDGGIDAKLIAVPHDKLTPLYKDVKEYSDLPALLLNQIEHFFAHYKDLEPGKWVKLTGWESSEVAKAEVLKAIEAAK >CRE29213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:74303:75172:-1 gene:WBGene00081673 transcript:CRE29213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29213 MVKSSHVSTAAQRVNHSLKNGDEGKRLSKVKVQGLSETLVKADFICPDLDAGRSGTSKGGMKIPFAPDSGACRSDASGDGAKREVPTLDASRSGASNIRIGLKSSGPGIISDSGSPRNANSLAFDGTPRPDTPRPVESATDLDVGRSGTPKEGMKIPSAPDSDACRSGTSGDGADRKVPTLDAGRSGASNIMDDLTKPLGPAISHGDDGPPDTVNQSPGVSYPMMSARPDGVDLAKARLSSHRVRPSNPRIPKAKLACYACITQTAGPQTPRSVDSLLVPESGSCPTPN >CRE29195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:13562:15702:1 gene:WBGene00081674 transcript:CRE29195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29195 MGNWLSDYNSELYAFGHRIGAPEISENEYVKALTNESFFERADVEEQVERAQPGAEIGTEHNGELVRKGEERLSIWLKRYLRFHLAKAPEELIDSVDSHLLNDECLAGIAGHLGIDHLVRTKEFPISQQSSADAFRALAGIFSDEKVKNLVIDFIVPQLVDIDFADIYPLADPLAVLTDLLKAEGVTEIEPRLLRSAGENSAEPIFVVAIYADKQKNVGQSAGESVTIAIDMAAREALLRLWNITSDRVLFFGDRAASVPLEKYSEPNYSLTKKCAPGTNTSLLDESQPTESPDNLIEAVLRYRNIVDAEVGKSYTKRLRHKFSRGSLAKRSFRYLVKPKPYTVA >CRE29208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:90312:90980:1 gene:WBGene00081675 transcript:CRE29208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29208 MDSFTVQINPFTNARRFVCSCGKKYIQEKSFRKHMAFIHKKETGIELIEVNIYCNFCNSVFNRRDNLSTHLQLYHSSGSLCLLCDKRLDGNETRKMHLKNEHGMKLTVTCHCCNNTFENKTEYNKHIKGQNSQMIILSSHFVQEVLQMNIEIPSTLEVPKDPKPLSVSTYQISVDIQYFLCQNF >CRE29206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig239:84891:85106:1 gene:WBGene00081676 transcript:CRE29206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29206 MVTSSTVVVDSLPDSRKRSRVAMEMAERRTSASSCLVGGNRADRTHRANSSQSMIGERMPLKEFRRGTSFV >CRE21699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2392:4813:5763:1 gene:WBGene00081677 transcript:CRE21699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21699 MKLKHLSIAIIAASLPMTGVFAAAMDRSGQSISAFLQPNNYFEAGISVLDPTVEGREAGDTPKPYREINDMGDDYYFPSAAIKLQLTDQISFGLLYDKPFGADAAYSGENAFVSSDHDTVLPQDRLTALRATTITKNVNEQVVTRATQQAIAATGSPTPPQAVIDQIAAQIRGNAGAMALITNGVTQAVDQGIAGANSTLGKGNTKVKVDTQNISMIFGFQPIANLNFYGGGVYQTIKGNVSLRGQAYSLYNGYDANIKETGGVGWLAGAAYQIPDIALKASVTYRSEIDHDVNINESIPTLGALALLGDTGTAAAK >CRE21099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2393:2065:2847:-1 gene:WBGene00081678 transcript:CRE21099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21099 MEIFRCMEYNPVARIQIVSTSLNSYKNFAKTEEIKVQSGKVVSLKDITITVNSMQIPSSPVLNSWFLKNGNQTATWIENQMPSFQCQRSTGNCTLHEKCTCSPAETVMNCYCEDDEVDSLFQTVDRRLPVQKGIWRFETDDEKIMARTENSISTTITLKINKLWQTKVIRSADTCHATTSHAVGCYSCESGTQVDIRCSSKHAATMANVDCGEEVFTIPCTPEGTNTNITFFSDKAKFKRICVLDCGSKKTEEFEITGVL >CRE22746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2398:2233:4521:1 gene:WBGene00081679 transcript:CRE22746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22746 MPVVNIPIKILGQTHSHSRSNSSSSVDNDRQQAPQQQQVPQPSQQVPTVNTSNMHNNGFSSSFPSRSPIPDFPSPFSSGFPNDPEFGRDGGLSPNPHQMSGRFTRSPTPQSPNGTMRRPPSSQQTQNAPPHPAQQQYQPRQTTPPSTTTTTKPTSRPPSRTREPKENEVPERPAVIPLPYEGKLERNGSRDSGKSDENNIEANIAKITLGKNNYELCQERETDGDPSPLTSPITEGKPKKGKKLQRNQSVVDFNAKTIVTLDKIEIQVEQLR >CRE17262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:970930:972296:-1 gene:WBGene00081680 transcript:CRE17262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17262 MSYHLSTKRQSNLEIRTHHRLLPRKVGTSSEQNQLIRKRNQLMAWIEQADHKCDQAHLLKMLEEYIKAHNLAIKFYSEKRRRRFDKIIRELRRKEDAYIKKIIRLKQQAKNGEVVEDEDEHEETFLEYLTNGIKSMFCCFK >CRE17166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1073449:1073963:1 gene:WBGene00081681 transcript:CRE17166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17166 MAITRWDMSKATESEKKSSVNDDSMTLNEIVNDLAKKNPFLKTPMKRRREEKEEVSAGEKRLEWLKEKAKKAVIPTEQVSTLKPEHIFLENGPLIIHMCLECKKFNSTRTVTNLGEGKIQLPLGLCTICRSHINRQRAVKFFEHELPSIKKAYDL >CRE17122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:712920:716049:1 gene:WBGene00081682 transcript:CRE17122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17122 MSLTSTPFSTDDERYSGYTNSAMSPPLQKQLIQEVSLSYSAPYTSTVKPSRHDLPLAFSPYSIESEYEKIDDLHSHTYSNDSRLSKHSNSTSKKVDSVERLLNTGRKETEFPAQSEQVPYFSAQSAIKAGRVTPAHTPRGTPRDTPRDTPVSFDQISTGRLDSPGSQNLIVSESTTFEELTTITTSNNRTTRKKEIKTEMPTVNALTKQFEISSHQERVVPLRPRKNSNTPVSVNRNAKSRSRVVVIDKSERNANYASNRSAVVYSPGGILTTTIVDIEPARFGRRTEEYKIEEQYIVKRYDNDSPNNYQGQGQGFQKQQTTEYRAYQTNQKQDNYNDRTREKSQRSYSAAENRQNLESPVDTSPIDVVKNVIDRWETKIGGTTTCTNEQQLRSVSRVDMNDNVSRNSQEKIIVRQRSESQPVERVIPISPLPPPTEPIRQTRGLVQTYEEFKTVVVKQSVDPEPVAPLAYTPEVVTSPIETVVSPPPIPTPSPTLDIAEEEIVRTDETVTTQHTITEHSILTKETKQEEEKKYVTDSKKVSVEVAETKVVEKAVEEKGKQKPLTPTPTPVPQYSELKEEEKIETVEKTETKKDEEYLHHFLDRDYRIKTYEEWSTTRRLRDRSQPPERPPTSYSSYSDRSKSIDRTYERRVLGSGMSRTYSEGKLKRTHRQENN >CRE17276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1103798:1104285:-1 gene:WBGene00081683 transcript:CRE17276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17276 MASVTEEFDEFATETVFFVALRSGSDDLSKKSKRNSAPCFHTQQDTVDLSDDSILTPSLSEPSIVNSQLIECALTWRPSIKNPYNSCYSEKLSEEEVEKEEFSPTLHKPPLDQKIKQYFYEDEPPLSTTGETK >CRE17151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:964391:964588:1 gene:WBGene00081684 transcript:CRE17151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17151 MAQMRTGQESQMTANHGSAGFGDGYGGGVHGGGEGSHGGPQGDQSHWRR >CRE17124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:719283:719946:1 gene:WBGene00081685 transcript:CRE17124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17124 MVTTYKKIFSVSTFFAETRSSVASLISEKRPDRVHDEKGVDASGRNFHSWYLGTISINQVTPSYIELKNICKIRRVDVGGFRVEQSVNGHLLGSAQINVPLILDPQEVVRFNHRHGKYLGQFFMDVDAFDNSVNARTSMFNYSEPDEERAWFVYLD >CRE17066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:261729:264281:1 gene:WBGene00081686 transcript:CRE17066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17066 MDKFHSLLLTFFLLKTLENSYSTYHGNIYGIKRLVSNKTTHPVHQHFWFKRHHVHLRQRYPKTLVFAKASVHACSQKQNFKTIRVKRIGGNYPYGPKLSSISENKICHLLNTNSHSHPSSISFSTSRLNIPKRPVAYSQPLKKVQITKRNLWRQNKAWCAHCESYWKMKITSKELKSRHGCC >CRE17266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1021354:1022106:-1 gene:WBGene00081687 transcript:CRE17266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17266 MVNWKTISDCCFIAFSAVVLTNYLIQRTEYMESYVEYKMGLCRTDAERIAQLEKWDANHKFETPPFRSWEAWSTFWMIYREKAYREYRHLFNDDYIPPHLRENKIVLTEGGKFLFFAEP >CRE17086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:448307:448474:1 gene:WBGene00081688 transcript:CRE17086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17086 MAAEGHNVDANLVDMRPNHGHYFVKKTFGKPAYCHHCCDKIWGMLTTGYSCESKF >CRE17187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:239195:240266:-1 gene:WBGene00081689 transcript:CRE17187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17187 MPPGGSTVGPFTPDVFYEYEPHALSQEEIDNRRIQQNTFRLFQETLEQVRALAEQIQEGIEQTARIQEEHRRIQKAMRRQKRLNRKRARQMEEQDEQRRLVIKMFFSCRERRQAFPDLARQYFQSIS >CRE17162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1051925:1052449:1 gene:WBGene00081690 transcript:CRE17162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17162 MNTEFAAKTNDSLPNYIIETDCGQQWQVALKVINQSLTIMQEIRNRGSEESPILISEIRAEAMEKVLEWCHRHKDDAPFVANRPCIKHHRHSKARPIPLPKWDKTFLGDMNTILLLQVLDATTTLKIPKLMEYTCQIVGKLAMKKTADDIRKLFTDGEEGRDLTQPGPSHSRRM >CRE17115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:674453:677058:1 gene:WBGene00081691 transcript:CRE17115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-irk-2 description:CRE-IRK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MA81] MIKRCVTYEGELLPFHQFDMDVGYDQGLDRVFVIWPITICHEIDERSPLWEIGADDLKSAKFEIIGMRHENYRSSKSIITAILEGVVESVGSTTQARTSYLPSEILWGHRFEKLVHYKKENGQYNIDFGKFHNVYSVNTPTCSAAEIERLRSEGTFNESEYQMYPPSDNKLNLLDNDEMSPVRDSMDLSNQVPQIQILRRDSDEDPDAGEESMELVDLGIHHKCSIRSQSPIINSTAHLLQPMHKNSCSVRRGMLAPPASHS >CRE17047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:126315:128372:1 gene:WBGene00081692 transcript:CRE17047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17047 MSKDFLRYHKKDQYPLLKKAYIYTSYLLHNFHDDPDWCS >CRE17137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:797403:798696:1 gene:WBGene00081693 transcript:CRE17137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17137 MGNYIALSSFGPEDFASVGTEWGSEDFRKKLQKKQFSSRRDVAVAASEDEKMAYGSCILEADEATKDNFELMMYLEDKELDLGKDHPIWDKYNVMAVEHCSEIGLPISTLTALAQKLVSLRARALQHMVPADYDAVKDYHIYDHLINNWSESITLDIHLLHTFHQNKQFRTVETRVLHWIASRMKNPPRIY >CRE17052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:153714:155906:1 gene:WBGene00081694 transcript:CRE17052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17052 MSKIEKDDLPPQQDEKEEPPKTESGNSDKDLSSVIVPVESPILQAERNLQHTNSNLGIPQVESNRLNQMLSDSNNDNKTQCYGNQESFEAPTEIGLIVKLYKEPHRYHNYGLIWTKNKCYGIDYQRNLPTPAWVKFVMPEEDEGVKNVLSIVETDWSAGWERDTLKNKVIAVKGELMLHSFYYDRTDCYKYYIHELLGQVKVGKKHYDTQPPVSCIKATLHYTRKNISVKGRGMCWWYVESSTPIYDGQDMKASKKVDDFDQRTNEACKLKFRNGFENERQNNQRFPNTSGPRTNGIFHFDRMKQNLEPNSGTWGSKVAQSNTNSVPKPIPFANRSVAPNKTIAVTNPIGAESKCSQGMSVPNDENSPTREQSRKNEVDVISAPHPMQFNQKNDPGKGVSIQAAYESKGNKDTKKSDVHKIQKKSLIDQLTMPGENGEMAINAFIEIAKDVMKEYNKLAEEKKIQELEATREIGAEIEQIPSAKSSDSQNIVRKRGGKESDTKSENRTVSHIKKSAS >CRE17174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:12312:13033:-1 gene:WBGene00081695 transcript:CRE17174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17174 MHERSEPQKEEEDEEQEIETKKLSFNSLVVKDLSVTEPCRTVRVENEKLIKLSPSDQQYLLKLKVKIVLRENWQELFVHDQNWALFEVEHVCCAAVNFRVIFFS >CRE17157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1017384:1017962:1 gene:WBGene00081696 transcript:CRE17157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17157 MSTNHLDSEFAAKLEEQIRIINERKRLEDERMANAVNAATFSRTPGQIQMYPSHRFNEFYAWLPREIPTFFRRAEVIEYQAALHMFQNYAPRQQVYYALPSYSITDENIWKCFAAVKRNAPPVAATQGGSPPKPTPPVDHQFDHILKGILDLLEDDE >CRE17147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:892881:893984:1 gene:WBGene00081697 transcript:CRE17147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17147 MLKFAFLALVLLGLVSGLDVDSQNKISERLSSKLLQYNSFGLPEVSQRFQPEFAAKSAVAATNAPISCYNRSIDILPHDGTAQYGDLVESDFSSTCSDTFTFYVDNFIDEFFVIITTLDALNPRATVYNPIGGEVSSCKDYASSATQSIHLICNGKNIHGTGAYTVKLAADMNKPCIFEIRAPTKLTVDGGFVEDIRDDDVQQIILSTANQGIFRFPLENQASYLAFKVESEEFPIHPEEVHLYTNGQFDIKMDLNLR >CRE17044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:98516:98977:1 gene:WBGene00081698 transcript:CRE17044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17044 MFYEENPVVKCILKKYKNAYRDTIKYLADRLEEAEISIPQMEEILEEGKEEMKVLDEKMIENKEELKDKTAEVAEMKEELEIALKKIKELQLEVELEKKNSESIEDFFKSKIGTLHHEAEHFADRVISLKQENEQLALQLKQLNEDRSQLTKT >CRE17171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1129879:1130419:1 gene:WBGene00081699 transcript:CRE17171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17171 MIRLSTVLVVLMLFSDLFGYSSPLVPKPGRMIRKTALTDIHIYGSITCKYGSGPAITFIQLWEQDLFEDWDDHLPETVFLDQTTYPFKYDVKGTVDGDEFPSHTYDFYLWAEHNCTSDRHTIYRFGRVIPAV >CRE17270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1040651:1044808:-1 gene:WBGene00081700 transcript:CRE17270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17270 MPDSKDTTTGDQAMAMADLTRQISSLLEAFSKMAQATGTSTGSSSVSNTSSQLVESLSTRIPMFAYEPDEDKTFDSWYSRYEDIITKDGSSLTDDAKARLVLAKLNAKEYSHFANRILPTLPNALGFTDLIQKLKETFKSTSSIFRKRQDFLRTEYNGSAIEEYTGLVLRRFTSSEFKKMSDDQICCMVWINGLRDNSYSDIRTKALQVMEAKPNCTLLELEQDIKRLLDVRADSKSVSKVEAVSNEINAIHNAIKRDKSQKAPPSPCYKCGGSHWAKECSKKVTCSNCNKNGHIAKFCRSKQRDSSKVHKKVRSVVISTASTSGSSRIYRIISINGKKIQMQLDTGADVTLLSIKDWNRLGRPQLQAPSIKVKSANHQPIAVKGSFQCNFIINGNSATGQAHVAETGTLLGTDWIAKDTKLWQLLNNNQVNAVRSDVGSACDYLDGSREQLKIDLKKEFDHVFQPGLGLCTKTKASLLPKPDAQPVFRKARPVPYAALTTVSDELERLQQAGVISPVDHSEWAAPIVLVKKKNGSLRMCADFSTGLNDAIQQHQHPLPTADDIFSTLNGGKYFSQIDLAEAYLQIEIDEQAKQMLCINTHRGLYRYNRLPFGVKSAPGSFQQIMDSMTSGLDGVAAYLDDIIITGSSVAEHNQRLETVMSRIQDFGLRVRIEKCTFLSPKITFLGFIIDKDGRRPDPEKVSAIRHMPVPQNESQVRSFLGLIQFYGSFVKELFKLRPPLDALTKKDVEFKWTSECQNAFDHIKQILHSDLLLTHYDPKLPIIVAADASQYGIGAVISHRFPDGSEKAIYHISKALTAPQRNYSQIEKEAFGLITAVTKFHRFIHGRHFTLRTDHKPLLSIFGEKKGIPVYSANRLQRWAIILLNYDFNIEYINTHDFGQADALSRLISEQIQQKECEDRVISQIESEVVTNLVSTCEQLPVTADMVRSYSRKDKLLADVFQYTISGSWPKIIEKNSQISLFHNRRDQLSIVSDCLMFNDRIVIPTSLRPRVLKMLHRAHPGIVRMKQLARTLVYWPSIDKDIERIVRSCDQCAAVAKDPVKNTLCSWPISTAPWQRVHIDYAGPIDGTYFLVIVDSYSKWPEVIPTSSITATATINIMRKLFAQFGDPETLVSDNGTQFTSTQFAEFCIQRGIRHTRSPPFHPQSNGQAERFVDTLKRALGKLKGEGTTDTALYLFLQSYRSTPCTASLNGSTPAENFIGRKIRTYLDQLLPNDQLTVSHDTEMEEQFNKQHGARPRNFQKEEKVYVKDYRNINTASWIPGTIQSRIGKTLYKVLVNDTTWIRHSNQLRRRDDPIKDVPLDALDLMDFMPSPTTRPDNFINAPAKVKTPVKPRTPSPPPQLRKSTRNVKSPSKFIVKPSLKSYR >CRE17233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:686983:689429:-1 gene:WBGene00081701 transcript:CRE17233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17233 MLAGRCLEARKAPSKGNEPHEPTPNSIENEFLNVSTLLFLEIDYCEFEEVFVTMGLSCPEKKQLVGVLVSTTNCGRKRYLLLGLFLTTYRCVGWYCCVADKETGGDSQKYKENEDNNGVTVVLDVVYGPFLYMIKNLSHDLEGKIAFLNAVVFEAFITSKHEELFCRRERYNGAIRREILANVAKFEDCSEKKQLPFKQKVTFLKF >CRE17175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:59294:62521:-1 gene:WBGene00081702 transcript:CRE17175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17175 MCFVTVMLTARSDGVEYPPFPVVENVILPTGNKWDYEEWTTADILDWLQKFYPNPEMANRWIPSLPMLPRPGVKHGLVQGDQDQPWNCHEDPDDLWQSPQHHLRV >CRE17152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:975671:976131:1 gene:WBGene00081703 transcript:CRE17152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17152 MSYYLLKEEEIFDLHQKYCLVKGIIRSLMSCDRTDPKIEEMLKKHREYLVQLWQVMNMPTNMLKTPENREEVLKAYEAINDAEHIYTRLTSTSPSPSVGTLARLRNSLKNLFKKN >CRE17172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1160234:1160657:1 gene:WBGene00081704 transcript:CRE17172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17172 MSYSIFLLLLFAVLVSSARGSKNPKPDPKLIASNTLEAALNAARAEDFGRFKTLVAYYKGPGLSDYDMRMFANYYEYIVLKAEFNEDGHVETICTTSPTDRLYFFKFKPSSESPTGWTSYLAK >CRE17213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:510070:510399:-1 gene:WBGene00081705 transcript:CRE17213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17213 MRLEATSFYDNQYICPAEIVSVHGRVLKIKYNGHDDANDEYVDIDSHNLLPAGWCEIHGYQLQYPRENTEADTPVEPFRRLPKRENEDEMEQP >CRE17258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:938344:939331:-1 gene:WBGene00081706 transcript:CRE17258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17258 MVPIELTQDESDALNAMETCMLHKELIGQHLGSNSVVLPMTPERMAVLQALLNQNAILSILPTMLSHIGVDFYKCNIFDVINYVSGFFYEGDRLQPRVRILDPTMLVQYGVFCDFIGTPINIFKIWYEFSRRYYPNLHVMQTQVLQAAQQIDLMKLVNVNMVTGEIQGPQDKVQIEADWLRYD >CRE17169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1106100:1106462:1 gene:WBGene00081707 transcript:CRE17169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17169 MSTAVKNTTARGGVKRRSTVWVSPKRPRRNPVRAARPDRIPPKKRRRAPKKKKSVEKEKEEKSKEEEKPRRRLPYYALASYGMRKSAPCVIPSVMRPTMYLHEEVAAKRALAAKRALLSK >CRE17154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:978987:979456:1 gene:WBGene00081708 transcript:CRE17154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17154 MNEPNRNYTIEREKIPVKLNYTKFDKDLQDHVVKHYDIEIWHIKKTPLAVALNTVGSAVVQQTEFLVLVIGNDVYYREVQSQKPQMRRTRRPGIPEEIRRQGIDVTIQQESFWQFLRRGYHSIF >CRE17125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:720359:720887:1 gene:WBGene00081709 transcript:CRE17125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17125 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3MA96] MGVVDFPKVPQTLKVYSKVTPGTRFMFTGRCEAKNFSFALVSSTEIAIFLEFEMENERLVKAKSFCKGEWSSEKLLKNPIRANGDFFIQIVACKEDFEIYFGGSYLFSLKYSLSLDSITGIRLMETMEMYRVEMEQL >CRE17177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:84828:90162:-1 gene:WBGene00081710 transcript:CRE17177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-her-1 description:CRE-HER-1 protein [Source:UniProtKB/TrEMBL;Acc:E3M9V5] MNPILIFLVAGSLAASFTDEKIEAAAAKCCVKKEECCREVIRFGLPVRCRYEKEKELPRIVYNCLQKELFDKEEEKHQRVELDDIVCCQVFLKDKFDPKGRCERICKTAMESPSYSETVKLQRIKECTIVENPRFQCFNQCRALRREGINIEVMRQDEYCNTTTTHPQLQKKMFLRRRWL >CRE17165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1066183:1068989:1 gene:WBGene00081711 transcript:CRE17165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17165 MSLVLQVQFKMALYRRYLKRKCPSYSDDEEEEECVIQKLKDMAQSPPVIEGARENSSSPCLKHKDQSGAYQMHLYVCWDESEFICHQCWIEEHQGHKCYDINFLVDYYRRTLRDSIALVKKKIREVKECQAPHEE >CRE17178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:92440:92904:-1 gene:WBGene00081712 transcript:CRE17178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17178 MSQQTPTAKQFKKKSEEDYQKELEALWHKVEETEKKDVEMREEERNMLEKTKKMMKGIAAQEEEIRMKNEELSDTTNALEKAIREIEDLSVTLNNEKNSKISKEKDSKKIVLSLDEEVNHYALQIIHLTKEVDQLLKKIEEAKSNNGGKSKTSD >CRE17275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1100025:1100306:-1 gene:WBGene00081713 transcript:CRE17275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17275 MSVLVRKSEPNLSSSSREKEKRYSVDHKRMTDVSFEIHKIQNEKKEEEKEEEFWAPLQIKRLDPEVMKYFYRDDVSEK >CRE17280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1126342:1126533:-1 gene:WBGene00081714 transcript:CRE17280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17280 MYLFRNISRFQYGFLSNVCVLVHLSTLSRNIDCSSPIISLWTLFILTSERHLIVWITNFLFRN >CRE17057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:202127:202716:1 gene:WBGene00081715 transcript:CRE17057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17057 MKLLLVITALLVATVSSIWPFSEEKTEVYYNVTFKCVRDYCVEGWLVEEDCIRDDRITKFKYCSGAGSLTRMITGTISNGDGIFDKYLEPALLIKHSCRPVRLGFDYLNALVRLGSIRKNETEWKKNVTVQLTELGTQFQVRGECF >CRE17274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1097682:1098081:-1 gene:WBGene00081716 transcript:CRE17274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17274 MNSALQEQLRKQPGFINKSNSASQLSTDGSMLAGASVAQPMVWTPQAIGSYAEVVSMIEKNVEACRNALVNCNGSCCSGQKK >CRE17121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:710747:711253:1 gene:WBGene00081717 transcript:CRE17121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17121 MLVSSDSGGKKRENHVIPSNVVKGKECQLKEAPLCTLIVSFTDDEAKDVTSAHVFTMTTPQLYPQTRKTVYKSGNFLFLRA >CRE17195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:322449:324880:-1 gene:WBGene00081718 transcript:CRE17195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17195 MTDFNIDQYEITVTLDDSNNSKDDDEGTIKPKLYPDLSELSEKEKSDETTECTVVLKQEPVSVPDQEEPTEKEHLHNPNLREWLLDNLSYHRNHNPAKIALIEEISEVRCVTYEELVDGSRRTANYMSHHGVKNGDRVLMCMENTVEYVFYQLGAFLLGAIPVLINPSHIASEKLADIGCTTAIVDFEHYGHVLRISQNTLNSIQRVFVLAEDIAAISLARHVWIIDAFGFLSFSPNYDCIQETSNDISFIVPGSLETDQYISHSQESGFHLCHDYFEKLFNMFTKSEPLESKNHLITDGLHCHDALSYLFTILTKGETCVLAESTLDVWRASLLDRIAGIIEQYKVSLLFSNSQLLKCFIKYEVHKIYDLSSLEIIANHGAVVSVSTAKKVKKILDVTLLQAYSGAEFGVASFGVFDEESEKNLLNCGIPIDDMKIKVAHMETDKELAKGKWGQVILSGRQLFKEYLQNDELNKSRKFGKSWFKTGDYGMIDENGRLHIEGALTDLITAQSKLVSSEMMESILCEHKLVHDVVVMQNDQHVWCGVLLKNENEVPTSDTLEKLLKKHKIAMAINKVIILDFIPRSEKGKILRNEIPYLMNSEKEPSEPEENTESYI >CRE17132 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:766098:769011:1 gene:WBGene00081719 transcript:CRE17132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17132 MVMTIFNVLSTVVVIIWATPWAGIAFFVLGLVYFVVLDVDVIDSRLPACIMTFVGAIVQGVTIFAVPAYATPASLLLIVPVLYGYVRLLRFYVSTSRQLKRLESASRSPIYSHFQESIQGASSIRAYGVVDKFIRESQHRVDENLATYYPSIVANRWLAVRLEMVGNLIVLSAAGAAVYFRDSPGLSAGLVGLSVSYALNITQTLNWAVRMTSELETNIVAVERINEYTITPTEGNNSNSLAPSSWPQRGEISIKNFSVRYRPGLDLVLQGVTAHVEPSEKVGIVGRTGAGKSSLTLALFRIIEADGGCIEIDGTNIADLKLEVFLSFCPTMLNFFSATPLSSYNCSTRPCSIFWNNENELGSFRCDDQIWEALGNAHLESFVKSLQEGLHHNISEGGENLSVGQRQLICLARALLRKTKVLVLDEAAAAVDVETDSLLQKTIREQFKECTVLTIAHRLNTVMDSDRLLVLDKGRVAEFDTPKKLLSNPDGIFYSMAKDANVV >CRE17105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:588860:589120:1 gene:WBGene00081720 transcript:CRE17105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17105 MIVGFYDQKFKLLMKAMNNKLQFDGFENKKLAGANNGAANQRTEPLSSGNGSIIKQLYNLQNFGKESPKQLL >CRE17161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1048356:1050021:1 gene:WBGene00081721 transcript:CRE17161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17161 MDNEILENEMDVRPYQLAQRRDFEFRFENQPFVVNEEVQNPLNVRIINLLHDRTLDALYEVHDKVEQALEVSQATFEALMSCEETSGDYKCLFDTEVHSNIFVEVCEGDAFLDKLKEFPSKWTVHDAFYQMADLITGRVSCSSSDQMIMFKMRWNVDKRHFVIESLNHITNDAMFPPPIFGQDPPVLRYHRP >CRE17045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:113012:113363:1 gene:WBGene00081722 transcript:CRE17045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17045 MWVPLYEVLDQNIPNWRNLSIREINSIMRGWGQVRVLLTTNNRKYRGVLKLWEMSSETIFGRGQTIQDDLENRGFLVCYPRDRVTYMEGMGAFPIQFIKIQQ >CRE17267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1023592:1025760:-1 gene:WBGene00081723 transcript:CRE17267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17267 MACLREVVLAYGPTSSNASDFELMHADNRRKGIQCHLLDIYRKQQGVREQASLPFSPEGREKYLKMLRELFFAEAMFEKRFGEKDDVEEEVVVRPATSRVDFRAWLRCFPRRRSV >CRE17084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:420092:420761:1 gene:WBGene00081724 transcript:CRE17084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17084 MEKETDYAKQQAEKHKRKIILPNVISWEYGTNKYASQKGQSAFGTIRNTKLNNDSDVQYWKKNDVVHYVYEQVPNDDEKDSKSPEEPSDSRPTSSASSVPRFESQHNTDASDEQYKAWIGGQTTTFTPAKSNE >CRE17183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:199038:199627:-1 gene:WBGene00081725 transcript:CRE17183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17183 MKLLLVITALLVATVSSIWPFSEEKTEVYYNVTFKCVRDYCVEGWLVEEDCIRDDRITKFKYCSGAGSLTRMITGTISNGDGIFDKYLEPALLIKHNCRPVRLGFDYLNALVRLGSIRKNETEWKKNVTVQLTELGTQFQVRGECF >CRE17053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:156585:157871:1 gene:WBGene00081726 transcript:CRE17053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17053 MGQFFKTPQLLVKVKGVMLEDSQHKGRLLLVMTADGLADVDKELLPSGNFRIEIGTGILCDVEIPIEVYKSPPFFHEWKTYDIENAILEKKEDEKCEVDAKITLELENYLLKCSNKKLVKQLRKDMTKIEELETNQRVGKFRVGTRAYRAKIRMTIQKYGQVENTANWSDSD >CRE17074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:352311:354860:1 gene:WBGene00081727 transcript:CRE17074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17074 MSHHYPLHQSEILACLISPSVHSSTLFTPFNFFLVYRPPDASSAQSLALIDHLDEYLPLKSCILAGDFNYPSLTWNPPSSNHPFSLFLQSKGLYQNVTFPTRTSATSSNILDLVLSSPDIAIPHISKEPTLLNSDHFSVDFSLITTVSNHSSASLPTSPTFRNEHRLNYKKCDFKSLNADIANVDWDLVLSSISSPTDKFALFSKMLSDFIISHTPFSTPPKTHRTSFSIRQLKRARQRYSKLLKLPNPPAEQIFRLRTLIASTSKRIKSNMVTEERKILSAPNSRSARLLIKKRTRSSPKIPPLYVNNQLISSNAAKSSIFSSVFFSNYNLSPSSSLIPVSNNSSNNISPSELFLPWIIENTLRKVPLRCGFTTHHANFYIIRNCATTLAIPLSIIYSDSFLKSHVPASWKHAIIIPIPKKGSLTSPENYRPISLTDPFSRVCERILCNYIKINLFRNISRFQHGFLSKRSCSSSLVHSISEYRLLLSSHKSLDVVYFDFRKAFDQVDHQFLISKLDSFGIPSNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLCIAAFADDIKLYSHDPLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYLDRLSQLDLFSMRHRRLKAQLLFLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE17096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:521271:521724:1 gene:WBGene00081728 transcript:CRE17096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17096 MLAAQFQCSPSGIRKLIKRCLDQLAKHPGRPRKTTHVMDRNIIRASREDPRRTSTDIQVVVTSPNEPVPSRRTIRRRLQAQGLHGRRPVKKPFISEKNRRSRVEWAKAHLSWGRQEWAKHVWSDESKFNLFGS >CRE17163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:1053644:1054973:1 gene:WBGene00081729 transcript:CRE17163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17163 MSYYLHDVFFDKRRTYDFDVDEDPEDQNKPMSHWQRNIRKRLLGGDEVKLKSNFAVASHVQLGTRPEEEKNSMRKTKMMFPGYNIGNLIVIPHSKWKMDEMRPTPRLEMLVYPVFNTPFEEKKPDGTSVVYDTIPLRKFQRIHHQGESKEQQVEVYVPAKPSMQPELEDFRAGIIPFKSSGDNCKSGDIYQNIIKILQPSKF >CRE17153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:976837:977354:1 gene:WBGene00081730 transcript:CRE17153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17153 MSIRLIVHSKNWSTGSSYFLGQYESNELHKKYDLIRHKIYHMFLFDKTAPEIHEKLQYLRAYLIRIWRVKHMCTNLKATEKNRQYVRDAYNAVMEEDRGEAQDMTTPRNTTTPKTFYTRVRRFLRSVTSVFKKKIPVRK >CRE17189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:258423:259178:-1 gene:WBGene00081731 transcript:CRE17189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17189 MDVAPEKCNIIKFSHLKSNSNPIANCAVFNGANIPPVTQIRDLGIIFSGNLVFNAHINTIVGNAQRRINVLFNILKHAPLEIFLKCFIIYARPLLEYGSVVFSPVLKELVRKIENVQKSFIYRCYKKFGKVYNGYFNAIQECGFESLEYRRLLADLSFIYKCLVTKDVEMPNHLFYTYPKLSHLRRHRYYVRTTLPNKYKCFSQYLSIRSLACWNSLPESIFISIPTPDCFRTRLRALPLQNYLTLSDLNY >CRE17051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig24:149688:153241:1 gene:WBGene00081732 transcript:CRE17051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17051 MRNENNQNNVVLQNDVAGELDDGDHQEENIQNLNEELLEDEEQEDRNIVEDFPNMESEDSDGGSDAPNSRRGSRASSRSRGETTPDSSLSRPSSRNRYDLNLIPKYTPPDQPRRPALIDIVRTAIGIVCLVLEEYFVVFRFDNGEFAMLEKNEGNINWNVAQGMEIVFLYKDFVQEFRRMRSFITRKSYGRSVFAATSDFQTGAAWCRLEMNLECKWFVYESYEDPNSIRPPTQRMGELQRPSVLNSSTYQPDDGSSQMPGRRSKPKTRKPSESDSDSDHDDVKWEDIAPVGIFVPEPQFERMPSTESWSNNGWGRQENSNRERNHRRGDRDERWNREEIFEFNNRTSRDEFPVDNGYQRAQRRNFELEEIPRGESSSGGGQNGPNIQQSHQFDQIARNKGNGVNFFGRRNYHQEGSSNMYRNEQNLAETNRGFDYWNLPSSRHSTSQHIPSLAVSSSAQGTPSNSGTMEPVKPNSAQLTQKIEDRAAKKDAEGNSAHVKSYESICEEDKIRKELFETVKAALRKEPKTDVTSSNKRNCTPSGNGHQDVHR >CRE01382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:18319:18795:1 gene:WBGene00081733 transcript:CRE01382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01382 MEDRNDNSSDSSPSTTVEENALSVSQLVNHFNEINLTLFSPNPPPPVQFRPLVFRELAENLRVKEMEIREKFKLIDQLTQEIDEGDAGDCEAKKSMRVQLEKEVSESFKQWSQIARTANEAYKNHQQ >CRE01388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:5759:10388:-1 gene:WBGene00081734 transcript:CRE01388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01388 MTLNTFILIFLIIPLISSSSSMNRVKSLKLELTNETDSLQWELDLAEMAGYALEANALIKFEVNTTEPITFSLNKCMDMILTKDRSFQTVTLFFGIPWLQYFVKDSTEKCLHGYSELTLEMHFGSKNTSGFVEFSEVVFAPSHLKELQPSIVPIGGHGQVVQTFSMGTLIKNAMFQRNTTTLVAIYNYTDVDPIFVGFGKCGVQPSTFDFVGGRPFLIRGELLDHLYRLSTLYCHQDPYEYTLEVSISAPTAPLDGAIAFELLAYQTPNEAWGYIKALITILAIIVAVALIKIALSMKNEPVENKKIGEDNELMAREPETEMVEFHRIEE >CRE01383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:50535:51044:1 gene:WBGene00081735 transcript:CRE01383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01383 MENINDDSSDSSLSLTVEEDALSVSQLINHFNAINLTMLSPNPPPSVQFSRSVFNELAENLRAKEMEILEKFKLIDQLTREIDEGDAENHQAKRSMRVQLQEEVAESFKQCDQIAQTLKEAYMNNQQ >CRE01392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig240:44041:45997:-1 gene:WBGene00081736 transcript:CRE01392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01392 MALDNKSPPSAQQASENVEGQSVTTSAAIPAPTTADASSNQSENSDNSRDTEAEDDVDDNSEDSATEINIRMPGAPRHPRPQPSMLLRESHGRVQPLTLQQWIDSIMEGRGNQPDREFGRRTATAVSVDAFTGQPLRPPPVRIDAAIGQPARYSRTALLEANSPRQTPPSASEPFMDRIGGVVQRAVCCSGIMESIRQLVRSRSDNEQKLTEILQRVSLKPMPRDSTTIINGLSKWSESREFLGTRFNIRIHTKSSTQIAYYSVHGAYAKQLLYLDDQHPQFNEKKRIADKKEEDWAKETTSALVVMELVQHPPVHSFRPHTFGRRQCPCIKTMMHHYAAHDANIQCGARRVLQEAILEVHHQMTSHSLSELKSLKLGWNPLDDGANTRRH >CRE02781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2401:1359:2708:1 gene:WBGene00081737 transcript:CRE02781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02781 MTTMNRVERIVEGFDPVKNGLDDDFVLTKLTAMKGCGCKVPRDVLLQLLQTFKTDLVINNDEVDIGLDSCVIPLRHPGLRLVQTTDFFYPLVDDPYIMGRITCANVLSDLYAMGVSECDNMLMLLGVAVELNELQRDIIVRLFIQGFKDAADEANTKIRGGQTVRCPWLLLGGVATSVVHESEIIKVDRAIPGDVLVLTKPIGGQVAVNSYEWIKKNNGKIEELGLDTAKIKKAFKQACEQMSRLNRNAAKLLHKHEAHSSTDVTGFGLLGHAENLSRVQKQPVEFLIEELPIIEYMNEIVDRMIEKGGEGFRLYEGRSAETSGGLLIAMSEENAKNYIAELSSLDNAPAWIVGRVLEKTGESIARIVPNAARRSITSSF >CRE30623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2407:553:933:1 gene:WBGene00081738 transcript:CRE30623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30623 MESLRMFLYDLSNLMSTSNNSIKTNEEIEEIRDLLSSMISNLKKAHPKKGIILKLHLLCAHLMPYLEKHRSWGKVSEQGIEMIHQVFKKLQLLYAPVRDLVRNASLLVQSHANNNMVYDVGEWWNE >CRE30624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2407:4379:4975:-1 gene:WBGene00081739 transcript:CRE30624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30624 MMQKYSTDLSEIAGLTLVAYDEDGNIRWFNICCTLNRTFIMLVQYTVIIYCGIWMYIEMEEKVQMLSLSLRNLHKQFFKTLLLQIVTPTVTIFSPVINIIYLPFFDLECDLPTGVFCCAFTLYPAMDDIIVMYIVSDYKKAAKKIMKNAQGKATAWLRTAETDQSSTRARSTEANLPAALSPN >CRE02651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:2080:3720:-1 gene:WBGene00081740 transcript:CRE02651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02651 MTRCFLTAFSKGPRPREFGVAVYADDSHARDGTNEDWNQNRLNKSALILLNLETSTADPTPYFQVLIREIDNPSGNLLDGTPCTPFGFTGFGCNTLLTGGVAWLRHHDYPFGHCIEYAWRNKNLKFKSYYEGCQREYCY >CRE02644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:40928:41379:1 gene:WBGene00081741 transcript:CRE02644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02644 MPGHHHPQPSTGACGEKWHNWDPEGRQVKDRNIHLNRIPHMNDKEMWWQFPLAPAETTTILIVQGKTFDGVVLVTGPPLPHHGAVYTPLSGARSLELCRIMKFNVEDWKVSVLAVEEYRRLNQEGF >CRE02647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:56099:57379:1 gene:WBGene00081742 transcript:CRE02647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02647 MDAIKVFNNVAHGVKNVKTILSVFDGIDKSIKEQKKKILKPRSIMDICILQTILEKVAKSQNEELIKDFEQHEITVRLEKVALLIIQYEARDGKNLSKKEKQRLGYVVEEIECIYDHSKALMLANVLDVTGSFKL >CRE02659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:49279:49952:-1 gene:WBGene00081743 transcript:CRE02659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02659 MNPMRYTFVLLYELVYFFVYFISFNAYTYVTTDRDLISSNMNLTTEQPRLFYVPIYLHPEFQSVSYNFDFVSVIVIASFICFIPTIYSTVRMVLYRHPQNSSTDIHPYVYKSFICMQVSEVASTVTDFGVVRIAWTTAMTSYYSTAAPDSPLIIFVAAFHSLAYLSQLLIVLFCLMRLLVFMNNQNRCYFGFLIFKTNQFRLTV >CRE02664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:73370:73862:-1 gene:WBGene00081744 transcript:CRE02664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02664 MTSATKSATKTGATSQVSATGSKIGEEQSEPSQITQRSLYGNVKPPKMSENPLPKPNKNLEHLESKLKGNLRCGVGCLALGAIIASGLIFLAFLAFRGKVIFPDIPDMKEFMTVHNG >CRE02650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:74479:76387:1 gene:WBGene00081745 transcript:CRE02650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02650 MKRHKKTAQWDSHHAKTKSIELDLGLSSSKWIVQTWLQDAVTKNLENNEEGDHDEHLPQTVILDQNDYPFKYDINATDDGMVMDRLCSKIGGP >CRE02657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:47598:48026:-1 gene:WBGene00081746 transcript:CRE02657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02657 MKQSLNPYSIINTLPEYQNFLYHFDYVSVIVLMTIICFIPTLISTFKAVLYYYKNSAQNSTNTIDPYVFKSFVYMQVSNIVYTVFDFIINRIPSTSVVTSYFSTMESDSPVKYMVAGYHLFEYISQLFTVLFCLIRLLVFMD >CRE02658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:48801:48956:-1 gene:WBGene00081747 transcript:CRE02658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02658 MQYSAYFLLFCPIFLNCRVNIVSCYFYWTHPYFKKKTSVTSSFTIKHIIMT >CRE02643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:22432:23176:1 gene:WBGene00081748 transcript:CRE02643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02643 MWRIVVVQNAARQAHKRISRNCRHKDAPGFTQCFEGYGGPWCNWCGRLDSSCLFNRIYAEPTFSDPFTSKVADIRCVITLISEGRAALVTSYSAFLCMAG >CRE02653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:20381:20864:-1 gene:WBGene00081749 transcript:CRE02653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02653 MTSASAKTGATSQVSASGSKIGGEQSENSQITQRSLYGNVKPPKMSEDTLPKPNKNLEHLERKLKGSLRCGVGCLVVGVILASGLIFLAFLAFRGNVIVPNIPDMKEFMTVHKG >CRE02648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:61043:65614:1 gene:WBGene00081750 transcript:CRE02648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02648 MVRESKAQADRKAADAERKRVNRKQEQSKNGGDSQEPRKKQSEMSQEELREYKAENKRINREKQKCLKSSQLSQCSQENLLSEGGKRIKDMNPDELRTYWREKREAGRQKERISTTSDIELSQRTLKFEINSQHIFFSFDSGKTAIRKKNIDMKHDPPRFILLFFQAADSTWNLFIQKCQMYSLNQPISAYSPKWSMNEIAEFKRVKVRYNTRSKNALMSQSSYDSPDDEQSSVVTSVPQELPEQKFNCKIRFALVDLLQVETDCIVLPFFGDLNLTKRTKIYSQFWRKMCREIDEQEEYKEFLEDQCQELEEGDIAGFIWQRLGRSKTCFHIREPVSEKNKYTTVYEAQLRAAIFKCLYQADRNGHSSIAFPIFGHVENRLKATAITVQTIWSYFQVVRRSNLKLVYLATKIAPLYEDIGSCMCYIREIDLSNWSRQHFFQFEKMLFDKIKSDVIHSTVPGTDMTMRAFKFNFESKKVKEQKEKLKSIHAKMCSITGLPATEFVLNKDGQRRMPHQYISDVEISVDESPELISLYFPMENVCGSTAVLRKLWVVSYYYMYYSDRLGDTFDFAENSLEQNTRREMFNKLKNLHREVLVQWKRTVRKVCYTCKCRKPDGYHENLSYFNTQMSHPDIVFDKWILFDKCILIDSENVLNLQNLTIQTSPQSSEEKTKIMLKLVTEQEEMVNKKVLEWFRNVKEYTRNQTRNFRAIIEHLDLSYIRTGIDDNQAVFDDLEVYDEYLDMRGSGGEENDALTEAETIYRMAHGMEEIQKTSEEVNRCLRDVALKRYFEHEINEGHLETSLRHRIFRKNKYTLSPQVVELWKTENNRTCTVDEFIAAEMKELGKNELNLPHDIDTTLDDTIRISSYPEAIDLGIRTDKCYYCGALSFPRERLKSCCKNGSIWIPPVKKIPPEITQMFQEKFRGCLISANAAFSMASVNYNRQQQKAHGVQSMKVKGVVTFLPSAIHPRETAKARYANFIVLEHDNETIASMRFESLRVKNPQLENMFRDIQNYLDANNSLYKCFKSMAQLEKEELERRGLSQSDASNEFIRFTILSPTELDHQDKLVAHPGVYAQKKRMPKHHVAVAISMNPEDTSARPRGLTIYPKNPSRGKPQQAISIYSDLCDTMGYPLLFPDAQGGYALHKYPRRTAKDQKPSYEQNIRNHIEELLSNEENPEDYYNLGPEFNEMLETLMEPSTFASRMEVDEPDE >CRE02660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig241:50335:51155:-1 gene:WBGene00081751 transcript:CRE02660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02660 MQLSKWYCSSIHRDHQLIFILTSINHFFVCKYPKLPAVFFIWFSSLTTALTSYYSTLKRDSPLRIFVAAYFSLHNLSQLFTVLFCLIRLLVFINKEERLETYRCVFWIWSITSVIFCAVIYIIHFLYGIVCLPMYFPFQYGAILVTSNLYYETILYSAVEVIFKAVFCICVVVSTFLKLVKLKSMKQLSSISSKNTKAERTLTITMLIIVFPTVFDEFISVNSSSSK >CRE03587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2410:2097:3902:-1 gene:WBGene00081752 transcript:CRE03587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03587 MTRLSAEEEIELNHYRFEMPKHSYIFYGFWSTFIIIIVIMTHFNEYSEPVIPWDNCDMNTTWEPPMRSFINDMQLKSELHKIAKFREKNMPFVDGIFEYICAASIIAYNFINAQTNVEMYITPTSEDVKSVVSYPPEVYSPVGCSDKSVIYESLSSVRR >CRE06259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2413:1247:1603:1 gene:WBGene00081753 transcript:CRE06259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06259 MAKIDLTPLTEIDGFTATALVDSTSGLSLASYGTGIDLELAAAGNTEVLKAKMKTAEALNLRDTIDDILITLGKQYHLLRPLDKNSNLFLYLVLDRQKSNLAMARHELRIFEKELDFS >CRE07185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2414:84:482:-1 gene:WBGene00081754 transcript:CRE07185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07185 MAPSRNKIARIERAKQASLFKAAKNHEIEHEAEKETMFPKLKKEVFYLKKEVEELKGNLELANKKLQDAEIEIQHIKSEKCVILAEKNHEKEQLLSSFREKEKEGTYLQSRADQLQKRVDTLVEESPSRGNV >CRE09945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2419:3136:3636:-1 gene:WBGene00081756 transcript:CRE09945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09945 MYLDHHDWLKQWLNSRVRYPFTAADLVQDTFVKLLQTKQLFSIQEPRAYLVNVAKHVLIDKHRRYILEQNYLETLKQHADDQDLLISSNQIEEAVVILDFLTIALQNTTALTRKAFIMYYFEGYSQSEIATEIGKSLRTTQLYLAECLQLCYEAKNNLLELGLDER >CRE09822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:22516:25291:1 gene:WBGene00081757 transcript:CRE09822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09822 MVWLVFLAGFVVGFSLACIPFSSQIQLDQVYFAPRNSNFGEDIDVEIRVSISSVLQNGHQWVLSFEKIDKQEKLVIGNFATVAAGVGAYRAAIRTALPHDFQSRFVAENRVVGFITLEGVYSGQVFLKRSWKVKKIIQQGANRSLDTVKKIIDTRKSSIERNSIQWSAESEGGQINPFLT >CRE09824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:70162:70266:1 gene:WBGene00081758 transcript:CRE09824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09824 MMLLIRMLHNRRRTFSPTFAKYFLLTQITAVTFL >CRE09821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:16251:18550:1 gene:WBGene00081759 transcript:CRE09821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09821 MNFLSRLSKLYKFIRIRCHRYPRFPLLNLPYVAIKHVITTMSVSERIKLSLTSKRMEAYVILSRQLSPYCIIHFDSKLSYILMSQEGIMLFSENKFHKYCDYGNEKMKYSDYSSWLNKNSTSLEKFSKLTQKVLTLFPTNYLILQFCTDWMSAEDLEALINIPIFRGCNQLEVFGQWPRGELLDMIMEMANSKGDIKLGRVMVDYSHAMAFKFRNIVYDDSRWIRIEHLLSLENSRFVTLRQCNLNCKDMNILLKYWISTDRNMFEVLKIEGNERFFCPKDVFDGIAVLRAVFHENPYYWITTKSADPSKRNMLACQLDGRTMQCFTGRHDKIRITTGVEDLKVLEILKLLEDRREEKDQEHERTSETLNSFGVLFKDGIAWLR >CRE09819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig242:5918:8035:1 gene:WBGene00081760 transcript:CRE09819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09819 MDLFFWLSKLYEFIRFRFHRYPKFPLLHLPYLAIKQVIESMSVSERIKLSQTSKRMVPYVRMKLPFYDVFISDVLSGIFMKEQGTLLYCGREIHRFAGKYECLTSLDYGEWLPKNASNLKKTELLFHQIQNIFPSKSFSVCLFLSEIFETTIEQIFKIPAFQKCGRIVLCQGKISSIHLDKIMEMANDKRDIITIYIAVAQDYRHEMAFKFRNIEYDDARWVRLEYLFSMNNCENVKISQCRLNLFDINTLIKYWILCQRDMFKNLTIRFEKQDIEFDWNVVLKDVAAEKLDNSSFLM >CRE13858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2421:3:1792:-1 gene:WBGene00081761 transcript:CRE13858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13858 MEFSCQSHCEKTTALNPYDSEFREEPDSEFSSKQVIPTFDFHGKIEKSDGESQKSDFSSEKVSDSNSEPRMVIPTSVSSHRIRKSDQKLHSNVEKERNFEFEAFCVENGSEKSIFQAEIQINSVKNHFSAVLIPLAPPFVHKQRYFSEKSLKIAARNAAKSVSLTAVSASLHSLHTNDKDTLLHFNDSDGSRTSKCTYRMVESDGTDGIGYGDDSEIPSKNDFRDVIRENCPENRLFIVFFLRKTMINLVSFEKITRLLPEELLRNLLALSANSLVSQPNFWRPAEKFAGKLLILAKRKLELMKKSLLNLKNEGKSREITAEMRDLLIFGADDEISNKKVFFIVEKENFFDFNNIFIPEPSKNRSEVAMDAKTNETGQERWLERRPRRPPKDLKGIAKKEVVSDLKICKKPRLVYGAESVDVSSSADLSICSASQLRHFYQIVYVENVKIDRIMKFMVEFWRESAVMRVFTSFNNWFMLVDKEKILALPVFSSFSLQIIDRIDKNGVNQKLFIFAENQCVMSENNRSEPEISREFLENATKTWFLWENRAKKLENIDFVFENANFVS >CRE14448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2422:1187:1339:-1 gene:WBGene00081762 transcript:CRE14448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14448 MFKVTKIRQSILTAMWGVTASVSTLAIANEEIETTPAQQAQVEKPKMSKN >CRE14449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2422:1591:4203:-1 gene:WBGene00081763 transcript:CRE14449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14449 MKQNFQLKPLVIALGLFGAIFPCAIFAEETSEQAKDSDGDVITLADVVVTGANKKTTILPKRKVTSIYGTDSSVLDTPRVVSQVSEQQFREDVIRSADDLVKYAPSITRGGGQNANFAPQIRGQNSEVFQDSQRIYSTRHPTNLNAYEAADIVAGPTGVIFAPTSGSGGYINYLTKKPNFNKAETTISGSVGSIYAGQGAEPNFSVSIDHTAPISKELAFRVSATAQRTDDYYDNVKNNFNAFYGALAWRPDDSLRVDWNISYDDYSDFNVTHGWNRATQQSVDQGLYYKGRATPIIQNGSNFWSPVFESGAAHSKVIGWQTRQKNDKNQYIAVGPVQTSPLPSSTADQAGTIRGWVYDPSIAGNGLVKLDDDVSGRSEDKNSAKRFSTQFKLVKDINENWSVANSTLYQKSRDLGDSVGSFFTDLDHELLDNRLEFLGDHDVELLGVKINNKSSTGGAYRHEKFTSLAANNSFNINPYDLTNDPSQKNPGDLLGLVNHGGSTGSWIGQAGVPQYSQYFGYLNLPRMYPVGHGLYAEKGGFPPNGGGAVYTGTGFWDTYSVFTQQNFTFNDVFGINLGINHSSIKAKLENPLVLVPTDVRSDSNKYSLLSYQASTFIKPTEKSTLYFTYDKSTALNTGVFGPFLIWGAGNQLNPLAFDSQSELKEVGVKYEPITDQLLLTLSGFEQKRDLSPDTNGNMARFEIKGLESSLRWQLQKNIAIGANLTYLNAEYSSIIPASFSPFGFHADNATVWGDSNALNQRKAGRYDAAGIPKYSASAYVDYQHQSGFGLNLSGWWTSNWYTNLSQTVKVPNNYNLDLGLYYRQPQWTVGLNILNLTNERNFVNGLAGANSEFLQPMRPLTIQGQFSYKF >CRE15237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2427:90:3373:-1 gene:WBGene00081764 transcript:CRE15237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15237 MAPRPPAPRSARQRIGTIQSFVTAFDKQVDSVISGARLWQRELQELQDKEASDGGQEHAVDRIHAPRIAESLQRMLQKVSELPVVLGTKVTKAKAEASESGSDPEEVESLGDTDLDIARDILLEKVTGQAVVHRSMLTDATKAIRATFHNLDKIYMDRVSVTSLVRELEGVRVPYDTSANLTHYLGVARQLYERIHEADPNFFTYHHTLSLLARMPYPVRQKCALRRENGSITPEYVFDKADSLLSEMLADEELTGICPDPKLKHQSEKTSINTVKATAESDEDDTDSSNESEADTQESEVFAYNGKRERTYNNSHKQHSNNQYKKQSYNQNQSNSSSHQNGHSQTQRSNYKNEDINVNPFTQNQNQPQANNTNQSQQQAPVQQNKMEPKQGFNQFDMNGAPSQG >CRE19498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:57890:58369:-1 gene:WBGene00081765 transcript:CRE19498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19498 MTRFLKPTTPFWLSPSDENEIDFYLKDAIRHNGHHLENLTSSITEKYTTFLNQSKILHVWNVITYSTIPLPLVTFDYLAIHTRMPNRRSSVTEAYKEDYNYVLKYPYSPIIVDVLGRYHPIEVCAVRVQV >CRE19484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:38604:39365:1 gene:WBGene00081766 transcript:CRE19484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19484 MFKTKINKNQLKTMFKGILTQCGHTVCEECAWNVSEESYKIKCPVCRQVDDIDEPASRDVVPSAPVEELEDRRPENQILTQCGHTVCEECARKLLGHQGNITCPVCREETRVDWKVENLPMNFAVIGMARGN >CRE19501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:67623:68408:-1 gene:WBGene00081767 transcript:CRE19501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19501 MRYPPFLPNYLQFLNLLTIISTTIFCYLLDSYHPERIHSILNIFILISLCLSLLFLWFGKDVVWTFALIALCGIAVKIVVFFLRDYDLVIFYYCFAIFHFLLCLYIPIHSSSRKFQMNYRNNIISYSFIAVGNFSILVLELYMAWKYEERFALIFFQVYYQIFALSASRFFEWNGDILEDNESENRTPVLSECPISTTDEYSQTETFQH >CRE19494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:35322:38150:-1 gene:WBGene00081768 transcript:CRE19494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19494 MRPFPPFLTNYLQLINLLTTIITTIVCYILTAVRHEQIDFIVLNVFVLISLCLCLTSWWFGRDISRNSVLCLFVLIALCGIAPKVFVFLIWDSVLLEFYYCFAIFNVISICLYIPIHSSFGKFQMSYRNNFIIYPVVAIIHGLLLVIGIVHSTGFENTFGCIFFQIYYSLFTLSAIDFLVGWKGCKEHYDVVPVPSYTKADKSKDCQPENQMLVTGAELTNVPKEPKEPEVPKIPKVSKCPICSFYTVSARILTECGHTVCEGCARKILAKTCKIRCPVCRQVTIVDGTTKIDVPVSMHVEPSAPVEELEDTVPRDAQRKKQNENRPVNQFPTLSECPICTDEYSDTVIPRILTQCGHTVCEKCARKLLGHQVDITCPVCRKVTSVDGTVENLAKNFAVIEMARGS >CRE19489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:9418:11121:-1 gene:WBGene00081769 transcript:CRE19489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19489 MFSIPFGLKDVILLFQSVNFIYIFYLYTIYYHAPSENHGSMGVSLLAGIVFCLAFVKYNMSWDDMESKQLILRKCLIIGYGVMAVSGVAAQLCTMWTLQKDISILLFITFSCSIVSFTSIILFILPYYKDYKMNPKNVWHMVLVLFVTLIIYLLFTATLLDIVRLSVSYETIRSIIIISGILSFLCTVEFAMVFFNWVINQDGVKFPNFWLTNWLASKKINGCCWKKSSVSTTPQVYVIEERPPRRVVRKCRNDHGVICNMCSLYYNFTTVTPRTLECGHTVCEGCLAKQMIVQEKWVVCPFCFQWVKVLGVLENTV >CRE19507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:92904:93647:-1 gene:WBGene00081770 transcript:CRE19507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19507 MLQELVNSCETVGLEINANKTKVLRNKFASSHQINIRKNNTMTAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKDLHREDIRKMSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLRKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE19506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:89519:90049:-1 gene:WBGene00081771 transcript:CRE19506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19506 MKHTIAFLSCTNFAILICLLYFINQANVIKIFYLKNLKEVFTCIFIHIFVFYVGPYLLDSTSPEVYSAKKAFFQVNIYYLFLINLDLYLFYKYQFLIGDRQTSMDPGRSSVYYVNTEAACNPAANLSNGVDIMTGGPMNEEVDIDY >CRE19500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:61211:61770:-1 gene:WBGene00081772 transcript:CRE19500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19500 MDCEICFEPFSDNLGNHVPIIFPDCGHSFCKSCVDSLENRKCPKCRKTRFQPHEINVEVVEFIQTNARPVCGGCANEYNIEGNHNPRILPDCCHTICSTCIDDIADVEIGCPTCFNPNFISLFDSECFIKNYLLIEIVRNY >CRE19488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:61966:62558:1 gene:WBGene00081773 transcript:CRE19488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19488 MASEIFHWIPTIPEWLGQPNETEFDVMLKSSIGGFNLDNMTFLHTSNLNTMLHGPTMIVAWNVASEEKILNPTVLYGEKIGFIQMPNSSETMVDYYKKNFGINLKHESSPVVRGMDGMPHPIEMISLRMSVELTEEMVEEDDEELDSQEEEDELQELDLDFGIFEDE >CRE19490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:11762:14106:-1 gene:WBGene00081774 transcript:CRE19490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19490 MDKKRNTKKIWRLRRDLMIGYGGMACCAVAVLLVTVTLVKQEWRHIQSLTFLCTFMSDVFFCLFSMNHLHKYKMENGKQLKAVFLRLSIISLHAVLAGILLTFLHSIASGVEEFENVKFTIRNHLIIFSVCTAELAVVGMDWVVLKDAECQKNVELVNRSTATSRNICTLPYTTTTVIPRMLVGCGHTVCQECIQKLPRQQFLLCPFCRKPPSLADNLPNQELSLWI >CRE19493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:32350:34263:-1 gene:WBGene00081775 transcript:CRE19493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19493 MFSLPFLTNYLQLLNLFTTVTTTIASYVLILIDYSRDNFIYFNIFIVANILSLYYNATIFNTISLCFYIPVHFSIRKFQLIYHKHHCLILFGAITHIITLAYGISKAFEYQSWFWILIFQVYYTSFTLSAVDFFVACVGEIEDYVNETELESSSPIVVVRDHPPVLLRFNIPSWFTVADVMDYQAALQG >CRE19496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:51625:53047:-1 gene:WBGene00081776 transcript:CRE19496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19496 MYLLFLPLVTCVSIFTKTEPSIEFDLFNVPVETNFFGHFEGYNMLGKPKLVHFHQFEDTLVDNRSQTYKINKNCTFDVIGDQELLMHCFGRLLKITRNETHLLDIYSDLFTFDHVHRQIYLWRDPYIYKLEAGDSNPSWRVENLQDFNVVSGLLTIPFTNGTIVHNDSVLTCVNPKLYTRLPIFAAPDFEYTRPDSNSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQSVMSKPYNAMMINNDPNADPCFRIMEDFLHEFPIVYK >CRE19504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:77789:80127:-1 gene:WBGene00081777 transcript:CRE19504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19504 MVAPPFLTNYFQILNLITTLATTVTCYTLVPIYYSRDYFIRLNIFILVISFIFGTFYIIGKLVKSAGTNDRHVVCLKLARRRLMGCFAMVTIIGVVAKVWVFFIGEEKDLLSLYYHFTVFNIILLCFYTSAHTAIGKFQVTYRPHIGIFFLGAIFHLLTLALAITIALDYKYNYGIIFFQAYYSFSTLSLIDFFVVLIGGVKRFQKIPKSMEVLRPLSASECEICSQEYSDTVIPRILTQCGHTVCEVCAGNLFDEENSKITCPICRQETAVNGTVESLPKNFTVLQMARGNEEA >CRE19497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:57244:57816:-1 gene:WBGene00081778 transcript:CRE19497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19497 MSSQFESFLDQTFPNWKLLSDDVLNKILDDFVGSIHLRIRHRRCTAFGVPQISRSPKHEYWWTNGQLLSISGYLQREWAVQSKNPTGPYVYLKNDENSLYPIDLIHYIDIETDEEVQERKELEKKERWDALFSVAGQIVVLGKKHGHVKNLNFKNDIGKKVEKLARRMIGITLNS >CRE19483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:29519:32174:1 gene:WBGene00081779 transcript:CRE19483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19483 MYRLQAISILLTIPSILYANSKIDRYSEAYVLRCILAVCIVISILVLLKFWLLYRDFKHKTGKIRRLQRDLAIGYGGIAICGVVPQVTVLLVGFQPYKLLLCICVCNLSPFFFIVLFFLEHSSYYEVNTSKKAFFLKLCIVFLHLVFMTSCWAYSIKFPNEKDQLAVAIVTTYYAVMFSLYTAEFFVVLNFAVTIVEHRASFWNPNDSHADSENENQIPPDTALLATTEEIPVIPSEDVYSNQPTSPTGLECNICMLGYTETVTPRVLIGCGHTVCQECIVSLPKPENRVVCPFCRKHTKVPGGSATQLPKNYAIMDLVRSRII >CRE19495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig243:43821:44407:-1 gene:WBGene00081780 transcript:CRE19495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19495 MWWDITPACLLNGPGIVTYSLCNSCANSMQIRDRTYYSLFCATCEKRTFAMKYPGHAQKFPITLYGLKTEDGKLVENGESTWKNMLPCVLKGRGSVVSVVLGGCCRPSIIVDKGQLRFNFCEECQKRSSWAKFPKPMSKMPAKTIERENPQPTTHQSTSTEQK >CRE22747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2438:1918:3066:-1 gene:WBGene00081781 transcript:CRE22747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22747 MENVPIETVKSRAKMRVDSVHYDNMQNTQVNLITFSDIYGCRCPTSCVFYPKDAMSARTTSPTQLQRMIALTKEAPDLLLRDNARELLLATQTPIPGLNSTPDAETFLALSNCPTVALVVKDLRGWEHEYLKFRHSLLEQFLGSGFERKETIQVILAPGVIREQFPLQTMHITALTTESILEDDVHEQCEKHSTSIVVVVVPVSKKDTDLDTWRSIVNAIPTKSVVYVIPTHMSDFDHSVMAVFINLFDRIKRDHGEIVHVSPEEIVEDKQHRMLYLASEIMKAPEYWLAVKEMLEKKNRPWPQFQLASITKSNENTPQKTVSTELQPSSSAAPTATRTEGWDRGWPPMRGRGNGRGSHRGGSRHHNNGHHPYRRDGTSNRN >CRE27879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:90855:92475:1 gene:WBGene00081782 transcript:CRE27879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27879 MSLKVVVEKIEKVCGILSVFTISILLYLIIFKSPQRLGVYKYLMIYLSIFELIYASLNFWAPSQIYTKDLGFLVAMDQKLMIFPVGMCNLLYFFMFGTSLSNFGVHFIYRFLAVNGNKEWTCFNATRVFIWITDPLILGMVYTISTYMFFGMNEATEQFMREKVLQNDSIDNLSFIGFYIYPTISISMMLYFGTRCYTKLHSFTEISTVSHHYRSIQNQLFFALVIQAAIPLGLMHLPSTIIAITCFMNSAPEALGPVTAIFISFYPVLDPLPNIFIIKSYRNAFLNLIFCWKPRLSETSLQAVSSFNAVSKSIV >CRE27886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:40178:44587:-1 gene:WBGene00081783 transcript:CRE27886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27886 MYSYFYRINGIFGAILELIGCLDRTHPPPTRLPQLASCDVSPAHPENQQIVELRTILARVREINEVYHLPVRTVYLLLSIFLKNDVHLGRKISFSGTATSKSTQPGSWGKHLPDCRQGVHFPSKRTDDWRKDSLFSDSKSPGMSSSLLGSRNSTRSIICRSAPSTYCKEAVSSTTTTLKLLNCSMKTDILNGWTIEYDFRYHGMLRDTLQ >CRE27884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:25306:25547:-1 gene:WBGene00081784 transcript:CRE27884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27884 MPEPPLLKDSVRLLKKWGIRNCVYCGAREWRSIVCNQNCEQVEADEANPRKIGEKGVENP >CRE27892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:95254:95786:-1 gene:WBGene00081785 transcript:CRE27892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27892 MPDKKNLQPPGNPAKQGWDSAESNKILMERIRVLQHQEYSLQASITKTTGPNYRKLLEEKDEEIEELKNKLEHYKEAYEALKAKNDKRNSNGDTYSLFPSNQSRKHKMAKTIKQLTREKKREAFQYFALCSFYVVLILLTVHVIPLGFLFALRQLK >CRE27891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:92909:93460:-1 gene:WBGene00081786 transcript:CRE27891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27891 MVTKDNLRSSENPAKQGWDSAESKKSLMERIRDLQHQEYSLQASITKTARSNYRKLLEEKDREIEELMHCKEAYKALKSENDEKNSNRNTYARFPFNQSRSGKTVEKMVYQYQKISEADLTPSEVLLFFVACPLLVSLFILLPFVLFAYAYPRTK >CRE27881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:106365:107391:1 gene:WBGene00081787 transcript:CRE27881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27881 MKAYFWSVWILQNLLCGTVNPEKYTGTAFGLRTTVAEEGARALVKGWAPTLIGYSAQGLGKFGFYEVFKNVYADMLGEDNAYLYRTSLYLAASASAEFFADILLAPMEATKVRIQTAPGAPPTLRGCAPFIYRTEGLSGFYKGLPPLWMRQIPYTMMKFACFEKTVEALYQYVVPKPRAECSKGEQLIVTFVAGYIAGVFCAIVSHPADTVVSKLNQDSHATAGGILKNLGFAGVWKGLVPRIIMIGTLTALQWFIYDSVKVALNLPRPPPPEMPASLKAKLATQH >CRE27875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:48426:49724:1 gene:WBGene00081788 transcript:CRE27875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27875 MVFLEHFRRCLDRSRMSHEVHSGELQHRASESSWLLENGTLESGCAVALAPLSRSSEKPHNSPDRVPNHGVHQYLNGVYELLSKLIQSSSSLYSRSERRRGIGRKSSSL >CRE27880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:103690:105291:1 gene:WBGene00081789 transcript:CRE27880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27880 MSVFGQLSESAKQNPFSLPFRTANCASAVSAPEQVEFGTGKYYAYCAFGGVLSCGITHTAMVPLDLVKCRIQV >CRE27894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:99223:100903:-1 gene:WBGene00081790 transcript:CRE27894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27894 MPRVISLVLLCSLAFYVSSDQIVVGALQKIFPYAAVAKVKALTTNVNKETTKPKAKAVVTKWIPANWKAAGATVDAKNQLSKQAYAQKKALTFIDFRFSLKKYINYLFAQAVSTKYLTQADADSLRTLYWASDAKAVNNFTLTSQIFMTEAATKVKEPSTLKAKVQELSGKFAAANPADYANLQWTL >CRE27887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:62547:67466:-1 gene:WBGene00081791 transcript:CRE27887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27887 MRNNGSLILWKFLEKSIPFNSVCEIIVSGFKLQPFNRNNANKILDIIDVMRRRNENFMHIKKICGVGRHIAKWDSMVKLRSPSFFPEHSVIYYKKFDSSISKLFRDEVTDSLQMLDQYAEDVPINTMLKETTTQEYYQAQKMEKVGAISPNIVKNASNRITTTRTIVVMCVEKSIAIAAKFRGKKITNAANVAGREASRSCMLCLRMYNSDTVLPKTLRCGHSCCEECVGRITVKHREDSYAVCAECRRWNFVSAVVGFPTSISMIPGRIPPHLHIQLLFLLFFFSQIKSFLNRIELKKITVFKTYEFRSSLLLSDRFQAVDNFFHIYLSFLDTCLFPPDSQSPHCERTYEKTTVHLRTQLTFISY >CRE27871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig244:17642:18695:1 gene:WBGene00081792 transcript:CRE27871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27871 MRFLLLFSFSFLLSSATFSFSTHGAGILEILIESGSPVHTGFVVTFANKSHVTWRQLKADTPELLIFEDFDLLSSLLMNVTIHDNITSNSVVFSTGNKKVVDFDHLPLPYTGLQMKMKCAENWYGYYCHNHCVVKNELRCDEYGNPACAQGYCGSNCHKSGSDCPVFGNCSCINGGECIRRISESETICHCPTGYWGKDCKELEMYRQKRNLTTNFGGNLTVPNKFWNRTDIYQLFEKYGEKSRTSGHQDAQTYQSFGKLAEICVLIWLVATMGYYIVKCCGELEKNEKKIVETSGDEKKKTSEEEKKCILIEMNDM >CRE15157 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2442:966:1712:1 gene:WBGene00081793 transcript:CRE15157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15157 MTSIRFPENVEDWRSVEMTYRRRNMIPTLGSLDGKHVRIRAPPQSGSLFFNYKGFFSFVLLALVDGDGKFVWVDLGKLRKKDVHDFISDFKGSPGSTNDATIYNNSRLKTVLEDGATLPKCTFWDSDIVMPSFIIADGIFPLSKSLMKPFGRRSLTPEESIYNKKLSNARVRVEHTFGMLAKRFRILDRSIECSYECAIEIVTAMCHLHNLLVPPTQSNSIDSVEECDVYPYKDAKEQREYLKFLLNK >CRE18379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2443:5322:5477:-1 gene:WBGene00081794 transcript:CRE18379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18379 MLDEAEKLDCREFVTANDVAAGNYKLNLAFVANLFNKHPMLPDPGADEVGK >CRE26797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:6403:6549:-1 gene:WBGene00081795 transcript:CRE26797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26797 MSFQSDGFDAICNDNTSKWRVRQQNSTFGKEQIFENQEWFSFACATSY >CRE26808 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:67056:67442:-1 gene:WBGene00081796 transcript:CRE26808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26808 MELPKRGATQPLPASSFSCFTVDQLPPLDRQIIIIGLLRSTASRRPSISTTCYQEAGTLLPCPSSSQLKLFEMSTSADPSRMSTGPVPRVSTSAQRTSC >CRE26807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:66143:66493:-1 gene:WBGene00081797 transcript:CRE26807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26807 MDADRLLQKCDENGTESLVRALLNKNEEIYKIVKKYEMLKMGQKENQKTVETADKKKKDKNRRWKKRYQLSQGIKSRVSFDKNDQKVVQYVAEVFKKEREEYVTSSGATSGRANNR >CRE26788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:4692:6368:1 gene:WBGene00081798 transcript:CRE26788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26788 MSESSDDEDRKRDKKSTKRRSDKPRDRSRSPITRGQCKSPKFRESRSSSRGTFDGIDLPPLQPPRPRSPQYHSCRQCRRTFDTVRELAEHEVKGHDCEILCYHCDKVSEGIVD >CRE26796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:3127:3662:-1 gene:WBGene00081799 transcript:CRE26796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26796 MGCKQPESEECREWPEKSIPLCEQVLQSGCKKLITDIVKTACDDESSYLACDFVYPPTTTSATTIPTTTTTTSTVPPTTSGSNPTPIIIGCVVGGIVFLVIGVAGLYFHSKKTFTDDVESNVVKNNKSKSRKRKTSGASSGTNTSKY >CRE26795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:617:1971:-1 gene:WBGene00081800 transcript:CRE26795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26795 MSARPGPGPLWIFMEEQVGGAAVKVINVHHEKHEGDYEKYFKTVTLTVKLSDAITADPHGPETFGETIVELVKKFQPDHVNDTTKVGITFKSLELDAAEAVGLSLTPLKMVTGEKVVYNLELMTQSNKSPLEIQNPTLDIILKYVVPPSGSGKRKSGFSMRDILDSDMFEKRRRVNSDGEMMDDDDEDDLIMYEAVEVDDSEDVRFFLEEMGRKVSSWK >CRE26789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig245:18395:19383:1 gene:WBGene00081801 transcript:CRE26789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26789 MVNSGKAYHHKSVSVERLAAHTKHRHAPKSVICGYCEEPFGKSGELMSSADWDEFIDHITKEAVKKRMYRHGTSCTDGSVPVALRGVGACPHGPPVKCKNFPLCPGAKCIYSHNMCRYESTCNKTSCPFDHPNRPRTCMTCVNDMKIKRNRNY >CRE03590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2450:822:1501:-1 gene:WBGene00081802 transcript:CRE03590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03590 MKLLLVFIGILAMTIHHVSSAISDKKSISNKEEYLKSINELRRNYAKESRIPNMHKLVWDDYMETQVQMNDFSEDGKTMRVSRRDGNDADKEWRHKMVYDHYWADSTRETMIKQSIDHHMAGLEDLTPHQKRIGCAPYNYSAVLDGENIITETMCFLAPEGSFTSWNMARGDAGSKCTEGAA >CRE07967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2455:741:890:1 gene:WBGene00081803 transcript:CRE07967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07967 MADFLIAYGSQTGQAETIAKSLQEKAELIGLKPRLFPLDENEKKVSFEK >CRE25893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:188483:191056:1 gene:WBGene00081804 transcript:CRE25893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25893 MPPKLSKVASKHNGVKGVEALENRHEKIKRELRDNLSDAIGRLTESESAIVELKKIITQKDEEIAELKLTLMNKRDIENQLKNAQKALESSQRSSEFVSNQVVNLHRQNNNLKDKINLLNNNILLAERNKEESIRDAVLARNSENMHRKKALDMNNQLNCLKLQSPSGGTKLKKYGDIRKTDAKISRVKRCLEFLKKDVGEDDFDAFITDFCHYIAKDPSFSYKNCLSDMDSFLAVVKFKFSDTVLKDLKAFLTEKLGYDIFSSRNKINNLRTLHSTVDDYEITTKETMKKVGSRDVNVKSAVIKAKDVSALISRRLERLSESNQLRFKSKDDPVVIAFGGDKGGSQTKLFIMFGNIDQPNNPHSLLLVGMYEGSDDYKSLQENVGSVFDLVNEISTLTCEEKGHEITRPVDVVALGDCKYLSSILGHAGQSCSTPCFSCNLAWSCRAPHATLLADFDFSIPANEYEPADLKPPLLNVPASSVGPPMLHVMLGVVQNYVLNPLVALCNVLDYGYDLPDDLKDQKKMLRSLEQEEADYKARVGVLESSVRSIDSLVEVVKKTKNSGRRNIDISSSCCESEHCLMPHCQNTEFRRSDSFVCDSCDKSIHYVCCFVIDSTVDTVSTCLDCRFSFETFDDRFEALTEIRDKICEQLESDEDVLKDVKIEKENLQSLFSDTKETRKRLESALDSIGCGHRTWYQQNTGNQARKLLRPSNIEIVLAIFPADCSPKLPLIEKIMHDLSWIMSFCNNSEKSDAEIDELQDVLWNLEANMKTAFPSATVSPKLHLLFVHLVPYVRVHRSLGHLTEQGMEHMHAIVNTLNVRFSTVTNPESKAILIVKHLANLNFLFDTNQSWFQSE >CRE25897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:72387:73704:-1 gene:WBGene00081805 transcript:CRE25897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25897 MKEGTWHAGILGCFTLSSFNRRVEKCKNKLDPLVYDWIVLNKQMLMQHASSAAKLRGGHLIQFSTNNTNETFNKHIKANLTKMHSASQLIQKMDHFVSGTYYSACTYLLNGFYLDSLKECWLSSIGASDCVKLKVDISAYTENQKLSHFKEIGLSGYVAMGLGCPRSLANGFDYRKVIEEEEKLVDLKITFSNSDVFHLENEKYSKSDAVNRYVTVRADSSGIHCNLCVITLPSYLCCHITLCLKSMEVSDRQRYWTLLARPKPTQPKNGFSKVCGQKPRDRLGTKPSAGNHVRVIKDVTNMSIFDSTSSTMASPAASLNSSSVSTPGDSSRRSSNRHRNRPDRYSPPYGSDTSVMASDVSQNPPSHNVSDFLSSPVY >CRE25899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:76633:77204:-1 gene:WBGene00081806 transcript:CRE25899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25899 MSAAFSGALPNSSYVPKTPLKNPNKFQQRTTGKWKRSIEDPHAGANPIKRTPTQNSGEVEGQSSGDNATNGTLHDTAQARKDYLENKATGVELPTEESAKLDPKFAFGESTLIIHMCSECRAASRGLDCVELKNGDKGLVINLCTICRALFNTQRRIKFFQHELACIKRRQIQ >CRE25882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:23825:26987:1 gene:WBGene00081807 transcript:CRE25882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25882 MGVSSDGNEDARTNFEKMRDFVRKKTRRPIDDYYDWVPRPGTQFCIHMTIRSIQTAAVVGSVLGPVSALLMHRTDKKDLVEAFRLGGLHGAMVGAALGPVISLATCKNMNRVRKFQNLVKSKFDQSQLWQDHIAIASAAVGYMSNGQIGLVVGLDLSLLFAVITRNW >CRE25879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:640:861:1 gene:WBGene00081808 transcript:CRE25879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25879 MEMAKFLNVFLTVEQVVAVEKLLAIGVPPINIVRLLQSVSPNQQMSPGNRENGI >CRE25905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:150896:155417:-1 gene:WBGene00081809 transcript:CRE25905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25905 MRSSSIACLVAATCLFSVSVGALPIEYFYGEDGQLQQHEEPIEETEDNADNFQQDREIVALFDPSKPIGQVSGLAINKNGHIVAFHRSGRVWDEKSFNSDETFNKDLGPINNHTFAIIDREKKVVDEFGAGMFYMPHGLTIDSNGDYWVTDVGSHQVHKIDAKTQKIVMSLGEKLVPGEDQTHFCKPTDVAVAENGHIFVADGYCNSRILKFDSKGRLMAQINAAIEDGQPSEFAIPHSLALIEDMNIVCVADRENQRVQCFSAGLSEGDRTLPTGIPITSATDIGRVFAIREREHYLIGVTGKSEDVEAQMFSINMKTGKTETFAKGLRDTHALAVAADGVMYVSQLEPSRILEVRLL >CRE25885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:93231:93731:1 gene:WBGene00081810 transcript:CRE25885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25885 MSPQPITEGEAQFLQKDGLESQKDFWRFLEDSDAGNWTVSSSQFDESVDFNDLDQYLHTEDDSVQKFKEIVETPPPQQPKRAVNGKTMITLSGGVKSSSSRRKGSNPKRAPPKKSCIKICIDCKNGLKTKKNTLTIKLCKDCSKNFS >CRE25890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig246:177865:178804:1 gene:WBGene00081811 transcript:CRE25890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25890 MSTLPLLKLPVLCINEILINMDIISLLSLISKRSKRLVRTTKTSLTGFNIKFYKDVTEVQFVTSEKKEERSWFFKIEEKESSGGQVMEMRYAWFVCFSKCPFFFSFKDDVIHSYHSANDIQQSVKLGVEYLRDLFAKPILEVFLFPDDIPYPFYIGFKECNNLYMKGEKPMRNEDLKNVLENVLIKSVCIIQLPINADFKCNNNALKFNEFSSLSEAGGHWITREVLLSLKCSRIHLQHTLLDAHDFMAYFERWYYSNDKQFEVMILRTDKTFNGLRLDGFHPIPWDTQLRGQHFL >CRE20159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2468:1236:2501:1 gene:WBGene00081812 transcript:CRE20159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20159 MSDKTSSKQSTLKVDDNRMIVVETQMSVKERRKKVKKFTKLIASSVRVEDETRLQLGELTAKCSEQEADAILEPMRIFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKATRVQVEENLLTECWNEEKEMLGEQIREIQREKEMLGEQIRKIQRGKEVAEAQVRKLEKALTKLRKKLDQEERKPNGLRDEGQEIAVETVTGDEKTSEEKKVFMVGNLGIRVENRNPYRETAEKSKEIAVDTVTDEKKEGMKPKKTVIRESKVLITPRIGVKGKSIFEYRKSALNTWKDKFDFANVESIVFLLELTEDEETNQKSGDLVRKLAEEVKEITIIPYKMDCAKSGLVESWKRSWITAGHVKWSDSAASAGEKFKTWEQLLEFLDARTTENVVVAQLRKESVTSEPRIKEDKWSHQ >CRE31532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:46387:47247:-1 gene:WBGene00081813 transcript:CRE31532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31532 MSPFPLLRLPGVVLCEVFKSLSIGEKIKLSLSSKKVSIQINMARLYSQKVIVHLDCSKQTIEVRSENDRDAFDIFICPDFGKTLSSNTQQVSIALTCYTLRVTSNREEIKTFWKNNQKEGYLSVIRHLLKMFRCRISTYSDCFYSDLFQPTISMLFDLQAEFKMLYIRPKGSEDENLLWNKISSNLELVECLISYSSVGPDFRPVFNSWPQKISIWCSAWFTLECLLACPCTTIALVQSHLANQDLDDILKNWKAGGFPNLERLEIYSQNF >CRE31533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:48086:49136:-1 gene:WBGene00081814 transcript:CRE31533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31533 MFSPFPLFRLPRLVLTEVFKLLSIEEKFSLSLCSKRISTQINNDRLYSQKVIIDLHGEKIVAHFENKKDRFEIFISPETEKTLRSYARQYSIAGYTVRVIKTRKGIRTLWKNYQDRQEGFHTVIRHLSNMFQCKIPTHNLCRDSDLFETRVSLLFDLHVEFERLCVRFTGSKYENLLFNQLSSNFRLVEDLCILSSFNSDFRPVFTSWPQEITIWSSAWFTLEYLLECASSKIILLQSHLENKDLDEVLKNWKAGGFPNLKYLKVKSNSITNNGTTILGMKLSELAGKVIHTDDGTKKAIIQLDPYNIQISVPPFKSDFPPFPKYIFIESNLY >CRE31522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:14987:15979:-1 gene:WBGene00081815 transcript:CRE31522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31522 MSPSIPPSSVFLDWSCVRYSNRWVSVRIRLSLGSRKISIQISNDRFDSQKVIVDLGMLNQKIIVCTENSKDTFLVSIHLDFSKSRNSNDQHSSIACCTGTVVSTQNGIRTYWKNYREGFISVIQLLLKMFQCKISTSFICHCSKLFQPTISKLFDLQLEFKMFCLKGSNDENVLWNQISNKLGLVECLRIFSNTTNPGFKPVITSWPQEISILGSDWFTVEFLLACTCTTITLEESHLENKEMDKILREWRAGGLPNLKHLTIGSLNLTDNGEQILGINLNELNGMVIQTDDGSKTAAIEFNQHWIQMSVTPV >CRE31536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:54385:54539:-1 gene:WBGene00081816 transcript:CRE31536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31536 MKAGWPIYGMADHDGAVAVAEAVATVTATLP >CRE31511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:58867:59704:1 gene:WBGene00081817 transcript:CRE31511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31511 MEPHPNVSQMGSFSGIADFPPTIHFWENPQMVFASKQERSLWLYEFAERPDRTEKRTVEKR >CRE31523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:19333:20035:-1 gene:WBGene00081818 transcript:CRE31523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31523 MSEEGLVEGRSSEAVFHQQGTKQSEGRCSPQTHLQTSPLAIMLLGHRQILEHFGHSSTIRSIKDNWTKKGRHPKNESNISYPEGQSGKTCRSTNRTTIKTNQEVGTQGSLLPEEHHHLINRTTPSIDGNNNCGQQYRRITTTSSFANEKRQDNVPDNAMLPARSERSRTRSTITFAGREPLSTLRDIQFNDAARTKEHIWTIVSNINFVEGMAQPQDNLSL >CRE31524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:20709:21506:-1 gene:WBGene00081819 transcript:CRE31524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31524 MSSPFPLLRLPRLVLCEVFKSLSIGEKIKLSLCSKRISTQINNDRLYSQKVIVNLHDEKIKVHFENDRDTFEIFISPETKKTRGSVFNLQDQKMKVCCLTSYPVALDWLRIFASRLVDSAWFTLEYLLECASTKILLWQSHLENKNLDEVLRKWRAGELPNLKYLNVKSNSITNNGTTILGMKLSELAGKVIQTDDGTKKAIIKLDYYNIQISVAPFNCAFPPFPQIIFI >CRE31528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:27984:28966:-1 gene:WBGene00081820 transcript:CRE31528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31528 MSPFPLLRLPGVVLCEVFKSLSIGEKIKLSVCSNKTSIQINIARLYSQKVIVDLGCLSHKIKVHSEKNKEAFEIFIYPDHWNRPNSNIQKCSIACCSVRVMSAGIGIETFWKNYREGFLSFVRHLLKMFQCKISASRKVIQTDDGSKKATIELGQHYIEMSVTPIE >CRE31534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:49995:50225:-1 gene:WBGene00081821 transcript:CRE31534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31534 MYVIPTNEEDQTMIESHELLSMACAKYREEKNARDKEPRLRYTTMQMSLIGHLCDVVRKELDELKRAEEQKKRIKK >CRE31512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:63619:67478:1 gene:WBGene00081822 transcript:CRE31512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31512 MKNIDYSSIYYSFTKIVLNRAREEEKGPLPEEFHQNIKNLDLKITTVEQCSQLVLLLEARKDCDKTYDKAACITDMLNVVEKTAKSSFLDPSMKSTSQRSNFLKYYGPTTTPTSFGSTLVVKKDRGDTTNETKGTSKQLSSKEALVSIWISVARHTNASMASSAARLSPQHSDNNQGHHNDRDSWYTTMQKAMIDMLCVEVRKEIQEEERLKND >CRE31537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:56329:56774:-1 gene:WBGene00081823 transcript:CRE31537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31537 MCEEEKEVSQKNLGKISKAHYEKEKYPDRYTIEIIVKLLKCSYNKVSFASIFCFKICLFQIYNWFRNQRHKDKKNRIVLSPRPNEKTKRTICDKSSTSSAAEEKKIRENGSSPENSFESLHSRIIFRMK >CRE31530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:35076:35505:-1 gene:WBGene00081824 transcript:CRE31530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31530 MPPLPLLRLPGLVLCDVFRSLSIDEKIKLSTCSKKISTQINNARLYSQRVIVNLDMINQEIRVHSENDEDKFDIFIDLDSWKIRNSRKRFKTYWKNYREGFLSVIRHLLKIFQCKIVIKKKKKDCH >CRE31521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:11812:12808:-1 gene:WBGene00081825 transcript:CRE31521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31521 MSSPFPILRLPRLVQCEIFKLLNIEEKIKLSFCSKKIFTQIHNYRLYSQKVIVDLDCFKQEIEIQSENNQDLFKVGIHPDSRISHSSTIQQISIASCTVRAEYLQIGMKTFWNNCLEGFLSIIHHLLKIFQCKMSTSLICYRSDLFQPTISMLFDLQLEFKGLYIRLEGSRDKNLWWNKISNNLGLVEYLSISSSLDRAFIPVFISWPQKIRILDSDWFTLETLLSCTCSKIMLEESLLENKDFDVVLRKWKTGGFPNLKLLWLDSPNITDNGEQILGMNLNELDRKVIQNADGSKTATIDLGKHWIEMSVTSSE >CRE31527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig247:26147:27081:-1 gene:WBGene00081826 transcript:CRE31527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31527 MTSPFPLLRLPRLVLREVFRSLNIGEKIQLSLCSKKISTQISNDRLYSEKVIVSLDMINQGIRVHFEYDKDAFKVFIDLDIWEINYSNSGRNRQEGFLSVIRHLLKMFQCRISTDISTQNSYFYEPIISELLDQQVKFKALNIVLDGSKDQNLLWNQISSNFGLVENLKVLSNTTNSGFRPVFTSWPQNITIRSSYWFTLEYLLACTCQRITLGMSDLENKDLDEVLKKWKAGRFLNLEYLYVGSHNITTDRTTILGMNPLELRGVVIQTDDGSKKATIKLTPFWIEMDVIPFK >CRE30475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:33743:33877:1 gene:WBGene00081827 transcript:CRE30475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30475 MLHFYKPGEFVTPGVKHLAKKYNVSRRSEVASNTLCDRAALALV >CRE30479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:49542:50283:1 gene:WBGene00081828 transcript:CRE30479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30479 MVFEDIQQKNPGLALTLLGVFIGATATLNITGVFTSCWISDGQNCTGIVPFDSSEPAWLAASWLLLILVADMVIMIALYIVIVMKVLKRGHHITLRKWLNLLDISVLLNVVLIGASIAVFQSSIDSTQYVLGWSSWLTLTALAATSFIVLFRIGVQRECTVN >CRE30474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:33415:33714:1 gene:WBGene00081829 transcript:CRE30474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30474 MKLDDVLQFFDVRHPNLALILLGVSIGAATVLDITGVFTNCWISNGKNCTGIVPFDSTEPAWLAASSWMLFISVGVMVILLLY >CRE30477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:37056:38453:1 gene:WBGene00081830 transcript:CRE30477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30477 MVIMIATYIVVVIEIRRRGYHITVRKWLTLIGILLINVLLIIIPIIVIQCSLSNYTNEKLGWSCWLTSIAIGALFLLEFFRIRVKRQCIAT >CRE30486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:38819:39491:-1 gene:WBGene00081831 transcript:CRE30486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30486 MTCLDNLQKKYPNLFLIILGISIGISAALDITGVFTNSWVCFDGLCGGIVPFDPSGPAWLTAASFMLFISVGVMVVVIALYFVLVIQVLNRGYHINFRKWFLLLFIISSLAVLLIFSSFAVIQVSLDNFNINYLLYSPQKLELGWSCALSYVAIVPLGLVLFCIHRVDLQCI >CRE30488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig248:76336:76842:-1 gene:WBGene00081832 transcript:CRE30488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30488 MFAKSEILLREVFARMSLGTTPDQWLVYCSMRLGVSSTRTEYTRTMFAKSEILLREVFARMSLGTTPDQWLVYCSMRLGVSSTRTEYTRTMFAKSEILLREVFARVSLGTTPDQWLVYCSMRLGVSSTRPEYTRTLFAKSEILLREVFARVSLGTTPFRSKFYSHNSR >CRE15159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2482:1760:3658:-1 gene:WBGene00081833 transcript:CRE15159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15159 MSALPILELPILVLMKILRIIDVETVIPISLCSRKMYHLVKTFRDKSDTLRLKMDGIDLRVQLATPDGNYHEVEVVAGTSETAERVKIDGHLVPIDRSRKHHGWNTYWDDKVKGMQSIMEYLSDLFGIKNVTTIIVTRGTMRLLDILKERQENDYELVIYHQLSEKESHFILENHPAKVLRIEGFSHNFPIAKHLKSIDSLIVGSKLSITLDDLLDMDCVELVLMETISDWLEHRPYKPR >CRE30188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:22754:25623:1 gene:WBGene00081834 transcript:CRE30188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30188 MPSLPRDSLFDVIAGSEVTRNTESSREAILVYQNVGSPGEGESELPIPQSQVFSTPGAASGSSRESDTPILRSIAQVEEESEVPGSQSQDVTTSLAASGCSRESDQSTPILGSVGQVDMEPIEPTRPSAENLPRYENLENLESFRVIRQLVPVYQYVDCRGQFELNSLIKSVMFSAEEPVRFRPQPEEIPIETPCLDFTVNFVLDYWKILILGLLILGLIIFLIIFFATSKHGSVAPTFGTTTSFATVTTTVTEPMQFTISTTVASKLTSPPVDDTKRKNPVNSQYPMSSVEINIEVVPSEDSDESIFTYCRVFFFVMLAILIICIVLFVVFMSSKPAESSTISTRSKTTITTTSLPVQNCTPKTDTTFLFAYSNNLSPSVVEKGRDLIVQYFQTPKIVNFANIRFDTTTDDLIYFHSSKEEFQKSVTNNLPDSKLSFPSTNTGSDVLNVLRKFLANQESPLCGAIVYILMKRWPNTQDVSELISQLRQRHIFVYTVSDTSQSGGENQSLICEVTHGTNGFCDFQSTGYMKDDMYQSLQCISNTFQIISQSYEVTGKGVIQVPAFVRPYQNNGLEPMALVITYQNHSKERDGNLKSVGYRILDDKNENVWMNSLKSWDGNVFLDHPVLKNDVTYQIVINYEYGGVREEKIEVRVYSSSAVESWLPFSCYVYPC >CRE30200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:84294:86091:1 gene:WBGene00081835 transcript:CRE30200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30200 MFHVTNNGNGTANQNLQIIQIIGDVTINIHPQPQQAPPTSSAPPAGSPPSSDLRGSIPDVMTGTRLPSFSFPPFPAASRNGFAIKSEPTEAKGSMSKQPAENSNNELMPRRLIQKEVKVEQVEPAPSSTARNVLEGSEIGEQESNGMNNALKYEETPGHFIPIADTCSNHGGHARSNGVAHSCTNNLEPIKHIQSSDHPKFVSGGFSTSFQQPNNSNTSTGPTRGVSVVQGSRKRVYPDGQSNSSYSHKKHYYATTKGFWFALVNVELKSPKDDAHLQAEARRLNVEISAISSFRMPCGNNRFVQAFMICGHKNRDRMREERVKEDYWKSCWSIEHLVNDKATPFFWGSIQEKQVFKLILCATKLSHDEKDTSQLNGVLGSIDRPRFMSRRVQDTGFNDDFKRKLNNEGHREWEKMLEWIELNDAQRRENERNVPGPSTSYYN >CRE30203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:11165:11336:-1 gene:WBGene00081836 transcript:CRE30203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30203 MPGLPKDSLFDVIAGSEVTRDTESSREAILIYQNVGFEGE >CRE30190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:28234:31498:1 gene:WBGene00081837 transcript:CRE30190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30190 MVNTCDYNYNNYCYTKLNFQFTFTDAQDACQRDCSSLSIHSKLENRLVVSMFNMTRSLLLGGVAPSENLGTRADRTTEDYNNLKLFDMELSYAFGNRPASSNEDPTTEMEEIPKQKHFALLNRIVDSQFRKIVLIGLFNIIILGVFAVALFFLLFLNHKDSHTPSDSVSTAVSTGTTASGPTKSSSLTTNTVSSGTTVSAHPTNTKPTGTTTTITRNPSDCTPTTPATLLFAYSNDISTSLIQHGRSWITDYFTSLKIVYFANVRFDTTTGDPIYFNTNKSDFTDSVSANLPDSTLSFPSQRTESNVLNVIRVSSITIRIFYNIKFQKFLSHQEYPLCGSILYILMKRLPNTKDVSDLIQQLRELHIFVYTVTDTNSFGGNDQGLMCEITHATNGFCDFQSTDMRNEIYQTVLEISRTYQFVSQSYLVSGSGVINVPSFVRPVQGNQSGTMSLVITFQNHGTDDNLKSVSFSMLDDQNNEVLSDHYKSTNGNSFLRHPVLKNDVTYNIVINYEYGEERKEIIEVRFYSFSRMKYFITITVLLVFLFNLMESLTICPNGFDPSTQFMKMESCWKYMPDLLDQEAADAECLKNNGAALVMISSCETTHALQQYLRDKGVSRIWTGLTCNQTGVPTSCYWSDGRPSTSVLQADPGANDFAPGSPNVTVGECISYEESSRHWISTNCGVSMTFVCEVPPTSNDNCTHNYNHNCYFPSNNSLTFGDAQKSCAQNCGNLVSIHSELENRYIASLFSEPGTIKIGGVAPSKNTVIWSDYSNAVFNNLHTFNNGNCLFMDVNSDDSTDGLWYTDNCSRASWYVCKRPNKLKCSNT >CRE30206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:43835:44564:-1 gene:WBGene00081838 transcript:CRE30206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30206 MLFPLVFPFLLTIPFVQMCIRMIPPEDVSVGSISATQSTTQASLITTTQCTASSCPSTTTAVRVTPVMVSPVTTVTVTVAPVTTATVTVAPVTTAPVTMAPTLCAKCDIAAIAPVMEASTVFENTNTVGADGCTQTNAICRRTDDQVCTGVTLSATNAAGTSTISSAMNANQISGSLTCQADGTYSSGSV >CRE30199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:79393:80553:1 gene:WBGene00081839 transcript:CRE30199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30199 MRLILRNVCKSLRTFVDQQEVFPCESIEIGCHTDYIRSRFNYKHVIYTSTSWRMPIEYENWMYGHAKLIPIELGLDHNQAECSKFKCFETLFSSIGRMVMPGNVKITVCGPAITVNMLSMLDPKTLHSIELKSFEHPDAPGYDRWSTEKVTQLTSQFEALDFTIDDIDRDSVSKLRNMFWDAHNSLDLCFVKSEYELDISLFFDSNSFVQQPDVGRADSITFHYTFPDVDHYYLEFDLHLHEDAITIRKLRR >CRE30186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:5512:10183:1 gene:WBGene00081840 transcript:CRE30186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30186 MISRIKNIYAEFQSRRGGIINNDSASVSSAPGTNEEPTTVEIIDTNPPSEFGLVDKQRHFGILRYTVTNRFRKLLLIALVNLVVVFAALIIFVFFLILHLNKKHEDIHISSTSHNHLETTTTSTPTTSAQTTKTDTTSHSYSCVTTTELFDNTAAKSVDDTGCSPNQKSTFFFAYSYDLTIDQVLNTWQSISNNSNFFFEKYALGIFDMMNSNNNITFSTFDSRDSFCGIYDTLLSGLPNSTESLKDPSEGGGVLSIIDIFFFEDITHCGATLFIITKRLPTDTSISELVSMLQKYHTYVTFVVSNNSFGGLSPESLYRLASETNGLCIFTDDDRIQETPTWLPSIWPSYLVYSFNANVTNSGSVTLPIFNSPLVGCYYICMTLQDHGSLDTFRMVKLTWYNAKSSKSGYFEETVESHADYGNTTYVKKGPYTLDAVPYNMTLGFEYSDDKIKILQIRIYSVSAVDFWVPYNN >CRE30196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:72110:72187:1 gene:WBGene00081841 transcript:CRE30196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30196 MSFSDFKYWYNKFSRGNFGMEACRR >CRE30208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:49339:50234:-1 gene:WBGene00081842 transcript:CRE30208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30208 MLFPLILCTLLTIPIVQMCIRMIPPEDVSVGTTSATLSTTPASPVTTTQCTASSCPSTTTVVRVTPVIISPVTIAPGTVATVSVPGVTMAPGSLCTTCDIAAIAPVMEANTVFENTNTVGTDGCTQTNVICKRTDDQVCTVTLSATSAAGASTISSAMNAIQVSGSLTCQADSTYSSGSVTGITKLLCSFDRCAPACATCDIDAARPLMNPPGTSFMTM >CRE30211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:61758:62750:-1 gene:WBGene00081843 transcript:CRE30211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30211 MKLNTELFCFFLLISLFFDVISSTCTNGFSEIYKNCYKILPESLTKADAKTRCERDYGAAILTIHNAVRPLPDFVCQILKFQADDFISKYMQGVGVTRLWLGLYCDHSTPESCLWDYGQGNALLTNRFLSDSPDISKGKCVYMDGKSGNWSSVDCEEKMPYMCELPQTLEDPSCDHNYYGYCYIPHSTLLAYGDAQKVCTQNNADLISIHSEFENVFVSNIFKTPGAILIGGVALSKGTIIWTDFSLSSGYNNIQSFNTGNCLFMNVYTDNDTDGFWYVDVCSS >CRE30198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:77446:78038:1 gene:WBGene00081844 transcript:CRE30198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30198 MVVPGNVKIKVCGPAITVNMLSMLDPKTLHSIELKSFEHPDAPGYERWSTEKVTQLTSLDQWEQASKLTATDPFDYCEPSHFSQFEELDFTIDGIDRDSVSELRNRHWSFADNVTLHNAFPNVDGYYLAFHHYTDEDALNIIKLSRD >CRE30191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:31874:32840:1 gene:WBGene00081845 transcript:CRE30191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30191 MKSFFFTITCVFIFFVFNPTESLEVCPEGFNATTQYKKVHTCWKYIPHELDQAAANAECLKNNGAALVMINSCTTTNALQKYLRERGVSRIWIGLTCNETAVKTSCYWSDGRSTSSIQADPGYNEFAPGSPNVTVGKCISYEESSRHWISTNCGVNMTFVCEVPPTSNDNCTHNYNHNCYFPSNNSLTFGDAQKSCAQNCGNLVSIHSELENRYIASLFSEPGTIKIGGVAPSKNTIIWSDYTNTVFNNLHTFNNGNCLFMDVNTDNSTDGLWYTDNCSRASWYVCKRPNNSK >CRE30193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:35966:36340:1 gene:WBGene00081846 transcript:CRE30193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30193 MANVIGVLQELNNTLIAEVRNFTTEISNLKNTVAAAPTNNKKTFADMVRKSLPVPAAQVSVLRAAELAQTCDARKSCVIVRNADLASDTSKDNDFGAKVAKECRTAEPVSVFPRKLLMDHTDQG >CRE30189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:25974:27812:1 gene:WBGene00081847 transcript:CRE30189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30189 MTTLRDKLYAERQLNKHAFGNRPSVSRIVDVPTYNDDPTTEMEPINKQNYFTLLNQVGDSQFQKVILIGLLNIVIIGVFVAILFSLFFLNHKDPHTPPDNLSTAVSLGSTVSAHTTNTTLLIPTTSAPTTFATTISAPTTRTDTTTHSPSSDTTTYPFDHTDCSPNHKSTFFFAYSNDLTADQVLNTWTSISNNTNFFFETYALGRFDNMYSRLNETFSTFDSSDSFDDITDALLSNLPNPADSFNDPSRGGTVLGIIDSFFCSDVIHCGATLFILTKRFPTETSSYIDYLVSLLKKYHSYITFVVSENSLGGLSPESMYKLASETNGLCIFTGDDKIQETPFWLPSIWPSYLVYSVNAEVTRSGTLTLPVFNAPLLGKYHISMTLQDHGPLDTFRMVHLTWYNAGTPNSGSFEETLESHAGYGNTTYIMKGPFTLYADPYNMALEFEYSDNKINILQIRIYSVSAVDFWVPYNN >CRE30201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig249:46:273:-1 gene:WBGene00081848 transcript:CRE30201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30201 MSGLFFVIQRIFLRSFFSARNLLLANKKTTATSGGLFAGSRATNSFSEGNWLQQSADTKYCSSSVAVVGPHFRTL >CRE16604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:36294:38805:1 gene:WBGene00081849 transcript:CRE16604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16604 MSYKVLRNIDLTDERVVQVLQLLPDDIKISYTRIIKKYHLHFRKNISEIVIIMKFNTQPHSVKLMRTDVADAHKNTQFSCIIPVIHKTTESVVGVTDVNDIMLDTVNHFEILQTLFPAPINVVTVDTSLFDSGESFFQTQDYMNIISNCKILRIGKYVKDEFQKSETCKKSLEFDVFFNKMKATDEITVHNVYVQGNLHFNGTCKKLDIALERVSTKDILEHSVGKTKLCLKEFSLDDLNKLICEWLKKRCKGKNCREQKISTLMNVGVDFRREDGACATLFYSPSSHFTFALVFVNAKSIIQRLFSSESLEKANPYYLRLRTHFTQIQTVISDHESQNTPTTKDYSRKIVLLGHLMASVYYWEIYSENIRTNLEKINAVMTKTKKPRKRFGYMSLK >CRE16772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:562692:563350:-1 gene:WBGene00081850 transcript:CRE16772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16772 MKSIFLILLFMLTVEATRFYLNGTVVCEAKDPEWCFTIQALELDSLSRPEEIARYHKCLPGNITTVRYVLDGVEEDDGWFDSTFELGYKITHSCGTFDTEYELYALQFQLPIWKFFYFEQKWFNLTDNTIKHVSSICDFPEYQCMEQTKFYFTVLANFDVKRPEYKIVKHWE >CRE16770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:509327:509645:-1 gene:WBGene00081851 transcript:CRE16770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16770 MTSSVIIPLFYSTSRSESETSARFSAKTTVNGILQNTKNFYGFPHLQWTTAIKLQCKCITIRTGNRISLEKNPAFIFAADLILPLKSLF >CRE16665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:610243:611724:1 gene:WBGene00081852 transcript:CRE16665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16665 MLPAEIREEYNRLRALNQLSFLNSIEPSVTPGNPPASIYISNLLALSSQVYPATCSAFTPISSTSQPISSTSQPVQPTNNTSEDPPPPQKRARKEVGNNAQMPAQASTSTGTEAMQDRPPSVMFLGVTPAPPKPSTSSTQPESRQPMEPSANTITMSQTSTTSSGLFPIIHPGAAFAALQNNFCMGGMECRTQIKCLQEDLIRTRTEKDNLLLKLKNEIENKVYIYRRLQLKSTGQPNDSSSLSSIHLFPAILKFEEDVVLPEDENGMAKTAVEILRNATIRSRDSPLSTNPVNISVNDKEIVVKSIKIKLGSLVLINQDRVDKNFFSFIYRSSEKTDKAKCMVFCTHTSFSAGNIVESIVRICQKEGPKLGRGLQFVQL >CRE16632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:315752:316015:1 gene:WBGene00081853 transcript:CRE16632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16632 MSICPVCKKTVFFAEKITTLGSDWHKPCFRCSNESCKKLLVLGKQSDRQGKPYCARCHSILFGQHSNGPSESEIHQFHESEMVVYRM >CRE16686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:793140:796175:1 gene:WBGene00081854 transcript:CRE16686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16686 MNIRKVFFLCCGLPGMFFQDFTDLKFDVYYRNLTTKGNLIANMYRVILDEVDPPPAIRVVVHSENVTERNPFTVTIVRGTSIMSVTLGQPVIVSVASAQITSFRFRVKSVENFHIGYTFPDYVEKVNVRITSDSDVCAKIYARKANCPAFDASGLVELSDSSYYQSFTTFGGFSLRKSDIGPKFHLAFTVEPDDVVCETYTNLTENPYRVKNVNISVIPIFDETVQPIVPVVLYISVSFAVIVMTYFQCKWLNRNENNEPNSQQEIDSENSLVVDKIILFDKPANIVSYNKYHKNKLINESKYFNFLLFQFITTIILSVPVLQQLKSIFPNENTLTKNVNLDNCYLNYLCSSSVFGFNCFNGMISSNSMVVVGVVNLFIVFRKKIFGYKSPKPPTAHGVQKQDAAKVVTLLGMFAMGLFWTLISNCPHKSSLHFYTYTHSWTFISAFMWLHAKRHGTHVWQHFFIIALFSVYGCLSFLEYAYEMTSNYVAFLFKNILFVFVCVSSTVFIAFNYVYERPSGLQKHQWISSPLRKKEIIQCDENEIYRPLPSKKVFAVGLILLSVGWSVFSFYPDDISVTKCIFCLEQCQVGFYLVYYVVQKIRFERSTFNRKFVLTLVLIILTSIPVIIFYLIIINYESPWYLLWTPAKSRTLNRECITPGIDWADMDYYMTSAIFILFIIFMDFIDSNVKHIPKKHIFVF >CRE16694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:827676:828017:1 gene:WBGene00081855 transcript:CRE16694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16694 MVCSRMLSIGIFFLFLPNILSDHVTFFGRYACNVDFNVDYCTSDTYVGLAFYYGLQDGGGVNKNGTFVNSNIKVEYYDPTVQGKFKIGDQTGHYMS >CRE16716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1084251:1086526:1 gene:WBGene00081856 transcript:CRE16716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16716 MLRYCLSFFILMITVNKLYSSILDNKTRPEIAANKTVIRAERNDRDKKQIYMPGPYIAHYNSSAKVANVIPNSFPVWHSCGNSKCDYGFNESIVPFIAASVFMILAIVVYIILFCFVFRYMT >CRE16739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:162620:163512:-1 gene:WBGene00081857 transcript:CRE16739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16739 MSYSLPQDVILSPQDDLVLIKFIFDLSHKQVQPFCMAEFMYRWPWVGTNSHAMRKRIDGLLNNGHTLEGIEFHRIIQMAFVMSVSISKEFEEKIRLEADLKLDNRRRILRVHKRGTSLPWYTWAGEHVNVPWREKTLLEIEEYTEESVAIMAEIEADMKKASRKANNKRKFGQQSDNHCINPNFAKKVKFEVEDSNVNAHDDDTDDNDDCVIVDEVSSGSS >CRE16696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:833636:834640:1 gene:WBGene00081858 transcript:CRE16696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16696 MATANLSLPTTSTPIMIGGQECDLTLTIAKLNFNGTNYLGLEVKYNHFLPPGCSCEYTTEVNVMNKKFILKHYRYKNDRMYLPTFMKWNDVVNMMDTESSIHCSARVIDFKRFESVERIISNRTYHGTSNLRNVSCVDLTIVVGRYKIMMNKDYLMAMSGFFKQILQNADKYSRHDDLILTESRDDAFVTLIDICHHKFWCNYHLKDDLLEIARLYEVPFVVEFHRKFFDVDPEIPPLNADKEFSMERTLDRDLSRLLTRHVRHS >CRE16648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:464300:465627:1 gene:WBGene00081859 transcript:CRE16648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16648 MDLAVPVVIDNGSGVCKAGFANDDAPCVVFPAIVGNPKTRNVMIGMGEKDSYIGHEAQEKRGILSVRYPIENGVVTNWSDMEKIWHHTFYNELRVNPENHPVVITEAPLNPKSNRELMTETMFEVFNVPSLYVGLQAVLSLYASGRSTGMVLDSGDGVTHTVPVYDGYAVPHAIQRLNLAGRDLTEHLQKILTEKGYSFTTSAEKEIVRDIKEKLCFVAVDLDKSMDTAINSSALDKTYQLPDGQMITIGSERFRCPEALFQPDLLGMESPGIHETVFSSIMKCDIDLRKELMNSIVFAGGTTMFPFFKERFQNEITALAPPSVTVKALAPPERKYSVWIGGSILASLTTFSEIWISRNEYEEFGSAIVHRKCF >CRE16795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:922845:923170:-1 gene:WBGene00081860 transcript:CRE16795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16795 MELTFEKHLELVAEVKHDTEKLVKLINDTMELTGSVLADSRNWSLEMELKYPDHGKNTLSINNNIVSNDRKRNSAITHDAVVSIIPAKKSF >CRE16675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:710741:715734:1 gene:WBGene00081861 transcript:CRE16675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16675 MQCFNRWSHPLILFFQDGKRLNDSEEVEISENKLIIDSPTADAAGRYTCIAENKAGRSEKDIVVEVQVPPKMTKTHQVVEVVEGEPLALECPVEDTKGVVVEWHKHSRGPIPQNSRQLSGDKLKMFQAQAQLSDQDTYSCIARNEAGYDEAEFEVIVTYPPSISGEAFSTTEVVANTTLALKCQAQGSPHPGISWLLDGLPIDGMPGVRIVNSSNLYIDNIKPSQEGRYTCRAENKLGRAEQDTYVEISEPPKAVMASERMKVVYGRQATIRCEVFGDPEPKVTWLKNDEPFTSDLLQHSTKLSYLHLREAILQDGGKYTCIATNRAGESRTSTEVEILNDTEYVTHSDSYHMFSVAPRIEDDERIVSGKENNTLSVHCRVSGHPEPTVTWKKNGKDIGNEYETIHNNHILLVENASKNDQGKFTCTATNEAGTTTADFILDVLTKPVIDPSKTEYNVVEGDYGRIECIAEGHPKPTITWLRGGRPINMENIILSPRGDVLMLLDTKRYDGGSYTCVATNTYGKSELDFKVNIHTKPYIDEPIDQTPRYIVGETVELKCPVYAYPKPTVVWKRGDQIITPNVGRYTVEDDLLRIRSATEADGGSYTCYAENEAGNLTTKYSVDIIGKPVFERKKGDNIYHVVEDTEVTIECGVVSRPMPDISWFRGGSPMYIPPHYTISEDGTRVTIHKAQLSDGGKFTCRASNEAGSSDIDMIVRVLVPPRIDKSNIIGNPLAIVARNIYLECPVTGIPQPDVIWTKDGKDINTTDSRIILAQNNETFGIEKVKVSDQGRYTCTAINRGGQMSHDFNLDVLSPPAFDITGTTPTIKREGDTITLTCPIRVADDVADQVMDVSWTKDSVALDGIDTDNVHIADDGRKLTINKATLDNAGMYTCIALNRAGEATLEFKVEILCTNLATLKCRELENNYIARHMPHDVTKTRESQADATYREHMRKYEEYLKEYEEGLQRQRDESIRRQKEYWERRQRKQTVRAVITRPSTTTTTTPRPTTTTSVTTTTTTPRLPLPWVYNPQVGHPPGASGTMNVKATVQHPTRNFRLKRIRPVLRCFLYDPFRHVFLREKSAESLPPNRKGFLKYPLNNRRLKRL >CRE16721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:56427:58265:-1 gene:WBGene00081862 transcript:CRE16721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16721 MLLPILWFLLLLSPFCYGELECVKCDGRVVSPDKTCTNCTGDYCFIVKYNNRHTSLKAQQSFFQGCLTFPTDMPLGCSQNNKGSVFCICNSTNNCNEFQNVRDEKNLTYLTCQTIDNAMWPIVENCVQPWCYKKSSSYDEERVYCTSQEKEIEMYDIGFVQTGMLLPINACYSIQVDSRFEKDQYCVYKTNKTTPFKQKVPGNIKCYAPSEIKDQRMKNSTCVGQFCFSAEPDFGCISQFNKEGAALKAGLYHLTPFITPFHICDSDFCNNQTIADDEEDRYSYPTRYVWSSGIRASGFIPFLILIHVFSSLFLSNCFFANANKV >CRE16660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:583438:584333:1 gene:WBGene00081863 transcript:CRE16660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16660 description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:E3MAZ3] MICSTASSLALGLTVLLATILNVSCNSEVDETTRWTECVNSNQSIYDFQIETLQGDYTDLSQYRGKVILLVNVATFCAYTQQYTDFNPMLEKYQGQGLVIAAFPCNQFYLQEPAENHELMNGLTHVRPGNGWVPHQELHIYGKIDVNGDNHHPLYEFVKQSCPQTVDKIGKTEELMYNPVRPSDITWNFEKFLIDRNGEPRFRFHPTAWSHGDVVTPFIEQLLAERAN >CRE16796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:924782:925410:-1 gene:WBGene00081864 transcript:CRE16796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16796 MCEQFLEPPPINRARSNSDPSHLKNSGKGIRPNNNSDKKAVEVVARTDHGTVVIKNDVLKLAQESKQPDPVFRCPFLPNTVQSINTSIYSTSLPLKHSAKKEAVFKEPQPVFPAPYKRPSPTNKDERAHATLVELLYGNSIPQIPFITSAGRKSPPTEDTEAAASALTLARALANPISTPIGLKTSSDLPTLI >CRE16629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:301150:302721:1 gene:WBGene00081865 transcript:CRE16629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpa-12 description:CRE-GPA-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MAT9] MVCCFGKKDERTKTIEKELHKERKIMRRQINLLLLGSGESGKSTFVKQMHIIHGAGEFTADEVRAYRQQIYQNAISAMRVLLDARNKLGIAWEDPKRQVEVEKVMRFTVGDLLKGIDFTTFVEVAPIISDFWNDAAIRKTYEQRNLFQIVSHISLKIYSIPLVFQSDSCQYFFEHIPRIAMPDFYPTNRDILFCRKATRGISEHIFEINKIPFRFIDVGGQRSQRQKWFQCFTDITSILFMVASNEYDQVILEDRRTNRVVESRSVFETIVNNRAFSNVSIILFMNKNDLLQEKVPKSDIRQYFTDFTGDHTLVRDVQFFLVDKFEASRRDRARPFFYHFTTAVDTENIRRVFRDVRESILEQNLKTLMMQ >CRE16812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1040543:1041485:-1 gene:WBGene00081866 transcript:CRE16812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16812 MILEKANRPVQLSSLLISFSLSMMQNKHDLHLVYHGDDHQVVQFNKSKTGLERIFASEMTHDINFCRFIRKLEVVNFEVTIYGKNKREGDFKLIVEPAKPKKIDNKNQSMMLAPHVIEPPSVWIGNPQRGIFRFMVKLQNETLMMKMDDDTDWFAVLYYPKSVEYYNMRLSLCKTIRSVMIVSSIEKNNPPKINDPHFNKLYDSKKLNNECPCLSRRPS >CRE16617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:196815:197095:1 gene:WBGene00081867 transcript:CRE16617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16617 MRLDINNKFEEGGAATRNEGDRDVEREGKFKDSEERACLINRMIKKAAGFESSAEAAEQRRAAGILNF >CRE16728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:96788:97911:-1 gene:WBGene00081868 transcript:CRE16728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16728 MSESEQKELNQRVSVLPLVVLEPILAKLDLIDIIDQSLKFPNIHITAKLLKIQATLKWLVRAPGHYVINILPDIPNTENIVFRLSPPERNNEESLGSLATKFYRRQRVFFITCGESLAEKHEMFKNLSYFLIRLFKIKEFNFDSNIHFGFIGNYMSRFNLFKLVCPELTVEEVDILMEKKKIEHLQLDTTVISRKPVKISLKYPLVDLPTSDWIAPESLMEMSCTNLRIGKGFVARYGLREPDNYFIIAPKELAACINAWANGALEQTQTMFMNCQEGCKREYRNALFKLKSINVVVDNTYADVYQFRRRDGRMVTVAFQSGEGDRNRVMFTFQ >CRE16729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:99242:104436:-1 gene:WBGene00081869 transcript:CRE16729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16729 MLSNKRPKETSGQQHFGESGQYQNEQNGYQGNGHGNHAGHPIYNGEKQSGHTNGNGGYETYGHNSGSGNHGRYGNRNGQHRQPTYQNMPPPMANNSQYQANWNFQGPPVYGNGNRYGPPTGYGMNRGYQQYQAYTVPPPSQNVSQNMIPPHQMVQEQMMMPPPGMISLQSQQQGMMPQQQQMVPPQPMYMPAPNPTPAPAYTTPPSQFPHMVALSPHSGFNGMQASNPHQMSYNPVSQPPNQPNGHQEKFIAPEPIPPPPQIAIDIPPKKEPKPALFVNPAFASANGEVQVYQNADGYSEIHSSVPQNQHNAHLANNQTQPVKTETPLQAIESNANLILPNSVLAASPSDLAGKVEEMNLTNSNSDSPSSLSNSMSEELRTPSPVETVEKLQPQEESKIESEQISHEENTLFVEEIAETEQRPLETYIFNALNFPLVAKRNLSEAAAEEYEKLIGDVQDQVKTFFRKYPDAWESANVSNRITAPAIIQDYDGFEEERVSNPGLDDSQKGENGKQLKIEFFMSKKDVAIPEGRESERDFSKMCFDSPRLSATFEKYIKDTPKTVKLEDDYFSINLNKLEHEQMTELLKTGQRATIIMYTEFPNAEDDGDNSDNSTRPSSPEFPLGASSSQPSSSSSASRSAQPSTSTSGSTQPDTNTVSSSGPSTSNGCSLQPQRSSRFSTFTTQGLPSNRGRSTSSTTYPTNMPTLRTNPMRQGHPPLTRQPAPLFDDRLPGPSHRRLPEIPPQYRNGNSNNVQNGRVGEHHTLAQISGEAPPPVNREEQQQQLPQPPPRLRPIPVLRRRHQSHESTIRQMDGIYPRAWVHDHDLLRFIIPTQREFMARVPFPPYRIPLIGVARRAPGVNYIPHRTLPSHENFEEFRRRMLEHNDDVNDPEHVRGSGPLLLEPRGFWYPTEAEMEALERRHQAELAARALQKRSGHNEYDKIDEWGPDGPSCSNNQSLSEKDKEKKKKDAAEGCRNEPFANKHNNNSDDDDEDTRGYSCPSASSSYSKHCSTSSGASTSGQNERNSQSTPTSTKQKERPVKDTAHKNQKRREKELLRAQRKEEEKEKMERAISKKEEKMAKQKIIEEKKKIQELELARQKELEKLRLREEDEQKKLREEEQKSQKRRLMNLINREKKKRSKINKFEAKRIAEEEEKERLAKVREEIDKDTLEKLLQAKYLLIENTRERIQQRMNPNVSKEDEIIWKATMDGVVSNLCRFEMLDMRPIRDRSEKIARYFDLDPAFHKDARDQLALPEKGRFRMSEGICFLRELDALRRYQRIPELKYVRAIRQYRYWKEHMYQDLSLFINYTVGISEPDAGDPTIELFEEWAIYHDDYEKILTQETVL >CRE16607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:68781:73777:1 gene:WBGene00081870 transcript:CRE16607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-aak-2 description:CRE-AAK-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MAN9] MFSHQNRDRDRGRGGDEDDAPDNTEMKSKSRSQPSGLNRVKNLSRKLSAKSRKERKDRDPIDNNSKMSSPGGEASSKAQPELKAQIKIGHYILKETLGVGTFGKVKGKYFLRFEEITKPIFPVGIHEGTQYKVAVKILNRQKIKSLDVVGKIRREIQNLSLFRHPHIIRLYQVISTPSDIFMIMEHVSGGELFDYIVKHGRLKTAEARRFFQQIISGVDYCHRHMVVHRDLKPENLLLDEQNNVKIADFGLSNIMTDGDFLRTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDEHVPSLFRKIKSGVFPTPEFLERPIVNLLHHMLCVDPMKRATIKDVIAHEWFQKDLPNYLFPPINESEASIVDIEAVREVTEFQRYHVAEEEVTSALLGDDPHHHLSIAYNLIVDNKRIADETAKLSIEEFYQVTPNKGPGPVHRHPERIAASVSSKITPTLDNTEATGASRSKRAKWHLGIRSQSRPEDIMFEVFRAMKQLDMEWKVLNPYHVIVRRKPDAPAADPPKMSLQLYQVDQRSYLLDFKSLADEESGSASASSSRHASMSMPQKPAGIRGTRTSSMPQAMSMEASIEKMEVHDFSDMSDFQCDVTPPPSPGGAKLSQTMQFFEICAALIGTLAR >CRE16764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:442863:443889:-1 gene:WBGene00081871 transcript:CRE16764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16764 MEKEILRRPATYMLPRDSYCFFCNINPVQVPHRHTHIMYITPVCLNQKPNDCYLLNNEFLAGSRLVLIIDTRYTEENFSFVVLESDTEFATIPLQPRSTNYLELVKMPTVATHFASEFEPSGLDFEIAVELNGERPRMVNLSGDPRETRRLQTNRSMLVMTYWKKAPPY >CRE16798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:934061:936261:-1 gene:WBGene00081872 transcript:CRE16798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16798 MSAASKRYNGDKLPDNILKYIKGGSFEGTNRDYMIKFIEDLHQTGKGGTMLGQAKQLLLDISECWDRFEVIRTKQMVYMSRMIKCEEIIEILQSNNTSAKQDYLTKLCVQFHDDFRHTCDFREKCDKNIQQATEVRQPSIEIFKENRPPNEMIQLENFANLESTILDELTEIRLIQSRKEKLDSKFNALIGCLSKTESEDRRAARYVQLYLSALLLEESNSVYEDLVLYKTETFDKQWEIKEIQKKTLENQLQLFDEYSTKKRIADSTVYHIESPQYRASEEKLAPQTLAEDVCQYTGNASLLRREMKSRKDQSMSEYSNLLITNMKILADKTKKQKK >CRE16701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:855812:856089:1 gene:WBGene00081873 transcript:CRE16701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16701 MNKTSSDKVFTLRPIRPLKYVGHIAITEYGFVIQRTKIEKYGKALTDLIRRSRPQVIVPNPYFEKKDGK >CRE16663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:600665:601402:1 gene:WBGene00081874 transcript:CRE16663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16663 MFPGFRFFPALLTSIPEAEVEESPPSSRTATPPASSPEPDYSDSDQSIISDSDKKNDSGHCTLPTDTGCSSESCTAGSSDYREDSFLDLNQTKATVFSYGDLYSSTDANFLDESDTSNRNEVCSNSILSPVSVFAYNGKLATIEKNSHQKSTFTVDAPSSSENSHSSSSSFGYATITESVVWTGRPTMDNRLELISNEVDHTDHSDKHIESLDGKTAEENLDDREVSDTAMRSKNKKKSWWKKIW >CRE16691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:817175:817878:1 gene:WBGene00081875 transcript:CRE16691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16691 MRYGSYRTIIDNLVDRGCTIKGDFNNYLYTMSSKCWMLFGNVNLDDKSNITLLQEKMSSVTRTNGGLSVTNTNFENLTFLSTIEMIENDPQKSEKTAKIIMTNNPNLTFLGLNAKRDRLYLTIRDNPKLCVTPFELENLFNGVSLDSDLDTKICFSNKTWSNWCELPKSGYLQDMPDGCIHLVGDLLIDNTFDFANSYKLYLVE >CRE16714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1077531:1079917:1 gene:WBGene00081876 transcript:CRE16714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pbo-6 description:CRE-PBO-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MAM2] MQLFEIFIFLQTVTLGISQFTEQYQGSSSRLTRHLLEKHNKCSPPDGRVDISHNIELVHIIGINELNQNMQVLVYIVQQWQDTSLSWKTEEFRGIRNTWLPENSIWIPDIIVFNTLEHKMLLDAVRSPIKVSFTGEVTYAYPAIYTVLCQIGIANFPFDDQVCKIRFASWAYDEDKILLNASHKPLLKNYAPNEEWALQDVDMARKEYEHEETVVSEIIYYIKVARKPFYYLISLVVPSYIICVLSIAGLFARFSTKHERQERFTLGVTAILSMAVLSLVVTEKVPHSSESVPLLIVYMHFIIIMVTIATILTSTVMRVHAKGFRTHFLSPPNWIRKGLFIARKHAAFFQQHGQVYMDIHTTAEQWGEVSRRMDYLLASVFIIIISTPTLYLFYMCYQMDHATAERILLENAKRRDQLYY >CRE16625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:257275:260071:1 gene:WBGene00081877 transcript:CRE16625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16625 MTGHSLSDDNHRCRLFTDASGYGIGAVLAQAGKDGKVHPIAFASKSLSPAEKNYHVSDKEALAVWFATRRFKHFIFGCPTTVFTNHKPLTSLFKTKNLADRLLRWSMEMQDFALNMVYLKGKANAVADALSRGGAQETEEMKEAHEQARTEIERVINVVEVVKENERKEIGWRELIMKEESWKKIVEKLENGNQEVKVEVPGCGEKDMREFMMMGGELMLVTKYGAALRVVPAEKRKELFLEAHGGTFGGHWNPEKVDAMLGKKFWWPGMRAWIGKWSKECQQCLCGNAKRILTSPLTPIEASEPLEIVALDLLDFGLSSSGNRYVLSIVDLFSKYAGVVAIPNKSAETVAKAFLESWMLKEGRIPKAILTDQGLEFANATFEKIATMSKIKLIKTKGYHSRMNGGVERFNRTIQTALKKITLIPTEWDEKLQYAVFAYNACKHETTGESPHFLMYGRDPRIPLKVDQEELVGKYQVDVDEYKLRHAEQMHLAQEAAREHMKKERESMKESFDEKHNVAGRKFPVVGDRVMVKIPAEKLGARNAKLVNEWKGPYRVVETTENSASVQFMGGEEKLWIPWEQLRKVPKEIVDMKCETSARRGQRGRKKKAVVNVVEQRKLCEGEKENLTLDNVKFYRKADGEECGCKRGNAHFVDGYGDRSYDPKSLALKMLAKNEKITPEDVHLMVFDEEFQKKLGKSERLEALRRFAEICPTWAQKVMTGAVEEFEVEWKEAADSLKKEVLQKNQPKKSTIKEKFILIGQRMNVKSRAVFEVRSAMISTWKQKFEWDQVEKAVILVEWTRKEQELEGLMHLVEEIAKEVQEVVVVPAKMECAFDEVGGVTAQWKKTRKTALNVEIVDPLTPVGTKKTPLILSELRSGSLEKIVAYLELAVPSHPVVDRLKEEVTGEPRAKKSRGQ >CRE16813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:1042571:1043281:-1 gene:WBGene00081878 transcript:CRE16813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16813 MELPKEEPILFKCIIPKTFNQQGIEATDFIRRSHWSFFCHVRFSRPMMEGDDLDFKVIFHDTPKVDGQLLLSVTPPEYHSAKAKTIIKRNPFVIDPTTSWISFLKDDDSKRYMRFGLKYLNGLIQLRLPGETGHFPFYHVQNNNESIRIDIFFCEYVKSISLRDPITYEQEGDEEPMIYRSLSHVLIM >CRE16775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:587050:587406:-1 gene:WBGene00081879 transcript:CRE16775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16775 MKLNVTTAHLQQISPLSPFLPEQIQYILNTFQNNKAPGGDKVTAGFLKTIATLFTDFKQKDSSPYLKKGKVPTKWKALKIVVFKKGDKKFWRTVVRIACFLYYTKCSQKLSSIENGYH >CRE16624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:256444:256870:1 gene:WBGene00081880 transcript:CRE16624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16624 MFEEYITADTVDGKVQQLIGFLVQRPAEEIDNDFNFKAVDEDRAEYFNTMVAEALTSFFNVPTESTDVEPLSTVQDIVNRINNA >CRE16606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:60538:60968:1 gene:WBGene00081881 transcript:CRE16606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16606 MPKQDFNPLDYTGPIVVGAIFCVTLFLISFFVINFFCITKYDDITKFELMGGKYGWRLGPHPLVIVKKGGFVAEEDVDDAESV >CRE16735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:145429:147586:-1 gene:WBGene00081882 transcript:CRE16735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16735 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3MAQ5] MIPRTQFVCLLLSLIELSDSKLVKTSYGTLEGSTVWSEDKNHKYTFKSVPFAKPPLGKLRFALPEVPDTWHGIRDASNYSAACMSKQSKRRPNEVASEDCLYINIFSSERCLVKKCPVIVYYHGGAFHSNSAVMFPDDFILERYVSEDIVFTIPAFRLGVFGQLYFGRNNQLKENLLHSTYSHSIFDAVRALEYVNTEIANFGGDPNRVTIMGHSTGGTMIDALGFSQLIDPEIKLFQQIIGLSAPGDFGYEELAVESSLFISKKLGCFSGNLMDFDLAPVLNCMRNMNAIDILKMQIQMTEEDGMNFTRIIKGAPFMELGGKLSEFKKTTPSRSLLFGTTEHEFVFADPYIAGHFLDLENPTAVYKYFDNIVMNQSWSCIVFTFKNTFSAGIFMKHDSAAVFVSTATYSEAMVNTGADVFVFETTQKPFSAHVTDMQYFIGLHREVVHYPDMDLLDYFYSKFLANFTKYGCPSPLWPKYDPVRMNYLKLEIDTELGITPKMEDNFNRKIVEFWLNDMVQLDRNISEQKTKTIGSERSKELQLSNENFRLYKQRWFYAATIIFCVMFFMLFKLLKKPKSDECKPLISH >CRE16797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:929458:932796:-1 gene:WBGene00081883 transcript:CRE16797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16797 MADKNVNQPLVKYRGYRTFSRASTQNAGSKSSRNLDSTHNLSPPSVLGVRKYPRIPAKMKYDTQVIFLPSKDEQNVVNETSSNRNRINQLASSSSHRDQTGNGANGNGGDSCGDQENMRSSSSSNRNDHRTNMSQMDNREQRTSFDSRPISRSHQEGGQPEYDNDSRNESQNYEYHTDYDVKSWVNQTQQRARISYDGDAFYDNEYETMENKEASPKRDFQECANRPFTDNGSSPAIGATSRNDGNLDNVQSSEPIVNDSRESKIEEVRSILQTQQPFVEKRQLIFGQGFGIDHYPDTSLPKKINSFGSETGYARRTESEHPKSSNSTYHSEKNVNSTYLRSGSLMDQNRVTAPDSKRTPERSYTNHNENVIVDQPDGYNSPRYGPSLLNESRIQTSPSNRSSTPKPLLDQVYSGNDLQCSSSQPNCQYNQNATRHSSFLQDTGFPSAETISSSDSLDCMEKNHESTDCKKSQQHDPFSFSREDLMAIKSNSTYPPRAIRTITSKIGKLAKACEPKYTFNRFAKEFVPSELKTNVESFGKGCKTSTSTSHYFSPCANAETSTRVSIESQTDPKKVIATESQTDTDDRFEMYENKLIGAGREIEIAKGELEIAQVISNNKQAKINLLEAQMEQLKTLLKQKESLIEAKNEEASLLIQTLAVKEKLLAEKREIIEANDATFQKIKELPAVKEATSLDDVLKFLEAENEKIVSSKLLISGNMQWSGMWAPELVSEVVDQDEFVIGHVEGDTAHSSVTKDLETLKLDELSNDKDTKCKALDSNPIVTVIRNLDSDPIVGNSHKFTTEHEKTDYGMDGLEKLNESPKNAAESDVTAAKKETTTYFVE >CRE16700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:850238:850646:1 gene:WBGene00081884 transcript:CRE16700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16700 MSRVCYSQFVLTDCGPAVRYLTEEIVGNVPQYRVGILFLNPHPAVIRPFPLHANPFNAYPLDFQYLQQVNPQIFEGANVNILPEERPEAQQTSVIVKNPNHRKNQNN >CRE16734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:142900:145237:-1 gene:WBGene00081885 transcript:CRE16734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16734 description:Carboxylic ester hydrolase [Source:UniProtKB/TrEMBL;Acc:E3MAQ4] MISFRFYCTVSISLLCFLISSTIGQRVVTTSYGKLEGKTVNRDQHMFKNVPFAKPPLGRLRFSYAEWPEPYDDIRDAREYSPACMSNASKETATNYLPMSEDCLYLNVFTNENCLISKKCKTLIYIHGGGINSGSAIQFNDTFILERYVQNDIVFIIPAYRMGVFGLLFFGDDNLVPHNLGIHDCKHALHFIHQEISHFGGHPNDINVMGHSAGGHISMVFAFSRLIDPNHQLIQRVVVISAVPSYDMPELLIKNCYDFANRCFKPNETSDEEIVACLRRKDSHELILMQREMEHDHLYFWNFLAGEPFMHLNESIAHFKANAVTREMMVGNTIDELGWPWREKENPSIAGSFMDWENPYEVADKFNDYHDNAANGTVAESFTQGIYVSTATYAAAQVNAGGKVYLFQSNQRPSSHVSDMQWFVGTHREDYHTPDMDLVDKFYSKLIVNFTKYGVPSPMWEPLDSARMNYYAIEVNTETGVGPKMEERFHEADVNFWFINMTAFDREVTRQKQLLNITGRYPMYPGPVILPHQTNESSSFDVSSKWWFYALIVVVALIIFYLIYVLKKAFLKSPVDDDETTPLFK >CRE16659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig25:580755:582176:1 gene:WBGene00081886 transcript:CRE16659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16659 description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:E3MAZ2] MALWQLTLAALFALAAAQPGPKMVDETTRWSQCRDTNQSIYDFQVETLQGEYTDLSQYRGQVLLMVNVATFCAYTQQYTDFNPLIEKYQSQGFTLIAFPCNQFYLQEPAENHELMNGIMYVRPGNGWKPHQNLHIYGKLETNGENHHPIYEFVKESCPQTVDKIGKTDELMYNPIRASDITWNFEKFLIDRNGQPRFRFHPTAWSHGDVVTPFIEQLLAEQAN >CRE03462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:20244:21010:1 gene:WBGene00081887 transcript:CRE03462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03462 MFGMTSPRTPINQKIDGQSRIPEQNFKEFVFNRIKETPDTTMRAELQYKTPYEGSTCSLHTFLNFARNQRYEYSKWFKICKEIRDRIEILMKHNEDEEHDSAENKTGEVKKQNENSHDTNLYSVHVRPVRADNREEVREIKYGTICGFYHRNTTRYPSADFAFIRYTTEEAKKRALNARRVSDDQDGWLLIKTALKSENKCQPTSTTRQNFCKNNQKETKEEEWRRDREANEEYLWMIF >CRE03463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:21946:23670:1 gene:WBGene00081888 transcript:CRE03463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03463 MSTTTSPTKVEEIQQKEEPLKTEKSPEPSDNSSFFEDENHPPSSTEEASKQKKKAKTHQKEEIAKMLKQHPHTVKIISTMGDALALTASTVDELTAKLTKSEEEVAGLKEDLELLETRVRTDTITEANHAHVLTRISHIEILMASLKRRPSLQEAAGPSKIAKASSSAETGVKSRPEDSTTCSLCDGAHFINNCVLFPTALSKLNEFKKTGRCLKCAQLGCSGKSQCPNSVKTCANCQDRQSPPSCYHLPIVCLYDEVFVKRQRDKKERERRAKMMETPAKSEQPQPSQQIQQQQTVPQQMHPQQQPQQQVPQQQQLQQFQAPSQQYYQQQHQPAQPVFAMPRVPPQQVMPQQMMYQQPQQQQYQLANAQGYAPAPQQHYGAYPQY >CRE03474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:101825:102854:1 gene:WBGene00081889 transcript:CRE03474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03474 MSNCSNSMIILLFVIIISVTSQNNSNLGIFAQEDLMLAKCTEPYQIYISSTLFNVSGHEILDPIFMKKFSEFTKNVSTCIGPNAVGNTARHYRFFLDSLAFIGETLYRPSVFRCLHNMSPKINYCFQTNTHIYYENVVRINKKKTSDFKTIVDCLIEEMKVDQMCRNKETIQSIGRSMNAIILVAQQFKYFKTGRMRPMVFNPETLG >CRE03482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:91204:92396:-1 gene:WBGene00081890 transcript:CRE03482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03482 MSRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTRALVSLVNWNQPDIHAIFYENSQICLKFPNDAGLEWILDFESEFDGELDHTTRAIDGNQFPSYIDSDLHGPKVFHHLIFPNDEHFETMRKMAEHVSAIFRTPIASFEINQQSDQLTMSIVKWFSTLQSSVVDLDIDTTDEITASTLLFIMDNVTDYFSWELKMNTPDFEYTKAIDIPSVILSHSQWITLKSILNSSSRVIVLGESNLTFCDINSFLKHWLKGSNPQLEYCSIRRSMKGKAIEEDIEEAFQIITKDLEVREHEEDGRRPMQVRISLHRKSSYSLPNDLCYDIVRDDGTIGTFHQTYFHRSDVPDFKFHYFYFHVWNNKI >CRE03471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig250:65223:66360:1 gene:WBGene00081891 transcript:CRE03471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03471 MSNPLNLFEISKEKNAKEDSIILEGVKGEPISERVYNDGLDAKAVKERKVNETVHKFPTLALAAGAPQSAAEIGVNLAGMSGPGAPIQTHASRELIKELSIEGKGTKELHEKRAKQRLLEDGDPGSAPGPSTSHTGSPASGEPSTSASASSDTPRRY >CRE13859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2501:121:2640:1 gene:WBGene00081892 transcript:CRE13859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13859 MKINYKHVTPNEDYDNTRMDMILGNDILPHFIRGSQRICLPSGKYIEIGPFASMTFPNAKHCPVMDQNMIPTGVSTLEDSHPPSINVLMSQKYGTDSDDELTNLILQLWQTENCGIESATMLESEYLTQEYLLQLFEKEIVTGEDGLLYVALPWNGKQDRMGNNKSLAYRRLTCLIEKLRRNPELLKAYNRIIEEQLEAGIIERVTPEMKSQGPEYFAPQNAVFKENSTNTKVRIVGDSSSKQRDTLSLNDCLYEGPNMLKTAPGILLRHREKKYPAVGDIARAFHQIRLQEKDRNATKWLWIKDINKPPSGDNLVEFRFTRIPFGMKCSPFLLAATIRHYLLLAATILSKEIEQNLYVDNLMITTNNPDEVLPKVLAVQQQFREMGMHVREIATNHQPTMQEIPEADRAESNRVKFLGYIWNIETDTITILIPEPPEKTMTKRDVASFLAKLYDPMGYTAPLQVQIKRFVQLIWNDGLDWKQTLSDKLNTEWRKIKELYKHKTIEIPRQLRSNYSPNQEPEMAVFCDASKHTYGNAVYLLYRSENGSAESTLIGAKSKVRPSSGSEWTIPRLETLAVEIGMKHSLSLIKELSDDEKPSKLDVFSDSTIALSWILTKEQIKQWVHNRVNSVHMIEAELKEMKIEVSFHHVASDQNPADLATRGMNTTDLQNSTLWFTGPALLKEDRNTWETQLEGELQYASDAEEMFEKELKPKPKNTNIRRKNKEKMNKVAQNIIMTDTEVFTVTIQEKKSTNVTTNYQSFVPYDYTNSLSSLTNITNTILKFITKSLNTKTPENPLLREYKDCDNIVNRTEREVQRRRIARLAIFQESQKQKLEIQR >CRE15247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2506:744:962:-1 gene:WBGene00081893 transcript:CRE15247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15247 MVDNHFHFHESQKRSVIVFISSAESQKRSVIVFISSAESQKRSVIVFHFFCRISITICDRFSFLLQNLKNNL >CRE15240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2507:19:610:-1 gene:WBGene00081894 transcript:CRE15240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15240 MASPSPHRSSILDLFKAGVAPLDIIKRLGVPSRTVYDSISRFKKLGTYLDRRGRGRKATVVTPDRIKAVKERIRRNAHRSIRKMADGMKISRRLLGKTVKDKLKLTCNRVRKAVILSEATTKKRLERSKKLLQRTRNGEHLVTVFSEGQLFTVQLNSTLKIIEYLLRHLKKLLPAEDDSSTSHPASVMVLEQCVLMA >CRE04327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:26366:27404:1 gene:WBGene00081895 transcript:CRE04327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04327 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NGR2] MSSASITVTLSILYGFPSLFLYILTIIIVLKNKKKFDSSFFNLYVFDGFMNMFTYLNVFFAGRIPSVTCDTCVLAPVYRNVGRFISLNFVLAMIYHMAYVQYSITTLVSLNRFSVMLKSHMFEPIWKKYTWLFIILIYFLPFLNTKTVFRYEAEMKYIKDLSRYSLVSPDMNVSLIFSISIPFMILAMSLTILFNIASVVFLHGMSIQRKQTESKFLFITIITCGFQLVGTIMSVCFVYLEPSDFLEKLALIVPFMSDGLSLIQPWLLLGFSSAIRMEMKKTLGWEVNKRKVSQVQSVTI >CRE04331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:36385:38180:1 gene:WBGene00081896 transcript:CRE04331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-39 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NGR6] MWLFVLALIYSIPSLILYFATVFVIIKYWKSLKSSFFVWYLLDFSMNLLTTCVTFITLKLSSVTCQTCFLSPIYTWLSSNLISNFLYCMMYHLSYVQYAITTIISINRMTIIWNHYLFEPLWRNYSFVLIFVIYFLPFISTGQLFESDCTFGKRLDDTFVLSCSLVRGSPCLSRGQVFFQPTSILFTPLIIFQIGCMVCSIICNATSLILVVRATKEIKAKMEINFLILIIVTTVVLFLGAAISFILSSYPTHLMYSFFHTYGLPLISDLFTIMHPWLMYVLSNPVRLT >CRE04339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:49535:50113:-1 gene:WBGene00081897 transcript:CRE04339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04339 MAPNQTSVLSAQAIDAQFDSTRIQTKVYAGKSKKINPTTPTITEEDIFEEKFKKEFPEYVERKKEKYTWKQFYQKRMDKKQKKQEEKLNKLTMRIGKTSALQQKSAPKTKMIDIPSKLRPLSTNQASQKNRTTIPANTAPVTKPRQVIAPLQGPTQIRRVPPAAVARTFTQNGASTKKTTPLMRKCLQMMKK >CRE04328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig251:28277:29267:1 gene:WBGene00081898 transcript:CRE04328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04328 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3NGR3] MSSASITVTLSIIYGFPSVFLYILTIIIIQKNKKKFDSSFFNLYVFDGFMNMFTYLNVLFTSRLSSVTCDTCLLAPVYRNVGRFISLNFVLAMLYHMAYVQYSITVLVSLNRLSVLLKSHVFEPIWKKYTWLFIILIYFLPFLNTKIVFYYETEITYFDDIDQYSLISRELPVTQIFSILIPFMILAMSLTIFFNIASVIFLRGLNIQRKQTESKFLLITIITCGFQLVGTIISVPLSLLEVSPVLMKLSLILPFTSDGLSLVQPWLLLCFSAAVSAIFEETYLEILKRNQKVVNYKNVLLV >CRE21701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2512:4638:5259:1 gene:WBGene00081899 transcript:CRE21701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21701 MATRITNQKLSKSSRAWMREHLDDPFVKKAQKEGYRARAAYKLLEIQEKHKMIKPGMTVVDLGAAPGSWSQIAGKLVGDKGLVIASDILEMDALPDVTFLQGDFREEEVFEKLLNILNGRTVDVVISDMAPNTSGNRAVDQPRQIYLCELALDFANKVLGPKGQFLVKVFQGTGFDEFRKHFVDIFDVLKTAKPAASRARSKEVFLI >CRE24363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2515:3333:3413:-1 gene:WBGene00081900 transcript:CRE24363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24363 MPGGPARCRPEPGCAPREPVRVRLSR >CRE23282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2517:33:3437:-1 gene:WBGene00081901 transcript:CRE23282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23282 MADVTTGDQIKQLTEQINTLVGVVSTLAKNQAQFQNSGNTETSTTTTNDSKMFDSICARIPMFVYDAEEEKTFDNWYTRYEEVIIKDGASLAEDLKTRIVLSKLCQKDYALYTNRCLPKLPNEISYSDTIKNLKALFKSTTSIFRKRQEFLRTEFGGGSLEEYTGTVLRRFATSEFKKMTDEQTCAMVWIAGMRDPSYQDIRARALQVLEQKPNLTLLELESDVKRLLDIRADARAVGGSVATTSDVNAVQKFQKSKKNTPEKKDSKQPPSACYRCGGNHWVRDCEHKKVTCSYCKKPGHLEKCCRSKNRENKSPKVKTVYIGAASIDGAERIYRQVQINGHYVKMLLDTGADITLLSRNDWTTLGRPKLEKPAIKVKSATHEPVKIFGSLHCKFMMNGRQESGVAYVSNTDTLLGRDWISKDKELWKFLQTPEKINRVSVTESACNYLGGTRERLIEAIGTKYKEIMKPGLGKCTKTKATLTLKPNARPIFRKARPVTYSALPMVSTEIERLTQTGVISPVDHSEWAAPVVAVKKKNGSIRLCADFSTGLNDAIESNNHPLPTADDIFAKLNGGNFFTQIDLAEAYLQVEMDPDSQKLLVINTHLGLFTYNRLPFGVKSAPGIFQQIMDTMLNGLEGVSTYLDDIIICGSTIEEHNERVLKVFGRIQEYGFRIKMEKCSFLMEEIKFLGFIINKQGRRPDPEKVRHIKNMPEPTNVSQVKSFLGLIQFYGQFVKQLFRLRQPLDNLTAKDTDFKWNRECQKSFDTIKEILQSDLLLTHYNPNLPIIVAADASQYGIGATISHRCPDGTEKTIYHISKTLSKTQRNYSQIEKEGFGLITAVTKFHKFIHGRKFTLRTDHKPLLTIFGGKKGVPVYTANRLQRWATILLNYDFDIEYINTKDFGQVDALSRLIDEQNAEKAPEDFVIAQVELDPVDQLPQNLSFLPITAKTISFQTGKDTLLTDVLNSLKSGKWPKSEKGTEMWNMCNRKDEFSIVNDCIVLGERVVIPTVLRQKVLKTLHRAHPGIVRMKMLARSYVYWPGIDKDIEKLVKSCDECASAAKNPVKNLLYSWPIPKKPFERVHVDFAGPVDGMYYLVFVDSLSKWPEVYATTSTTTAATIKILAKVFGQFGNPETL >CRE26028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2525:4031:4600:1 gene:WBGene00081902 transcript:CRE26028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26028 MKSIQYLALPLLAASLVMTGCASRKPAATVESGTNPNSATTVNTSGLSEDAALNAQNLNGASSKGVTEANKAFLAKRVVHFDYDSSDLSNDDYQTLQAHAQFLIANANSKVALTGHTDERGTREYNMALGERRAKAVESYLITNGVKANQLEAVSYGKEMPINTGHDEAAWKENRRVEINYEAVPPLLK >CRE25037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2526:2436:3395:1 gene:WBGene00081903 transcript:CRE25037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25037 MNAIKNLYLLLLLLLCFNVKASITSDKDVIIDDNYIFKKIKLHRLGKGKNNVTQGLVIDDKTDGLYTLHVTGKPEKGVVNYFLLVDESNKITACSFQNPTDFIGHQGLTFDYSNGYLVSSAGDAFSYKGWFITHFKYQQQTFPYDMKFTKVFDRPYNTRVSTMPVLTLDAKYLIVRSKLNGRDLLRVYNSEEVNFRIESDISSEQNTEWFIDAGLTNDNYVLQAITADNKYIYLLSGGGNRENKRIYIYTLKGELVRKFNNVTVGKKDSLNSGKEKHWEPEGLAIDRKSKSLVIMFALGDHKKRFAQLYYIPLDKLIEE >CRE25036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2526:1262:2401:1 gene:WBGene00081904 transcript:CRE25036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25036 MSNNSLYYIYIDTKIKGAFEQLIRYFQSQVFNNKDCISVFCKYYKEIEHLAVEVFNKHNITFKFIKKNSDLSFIKGKIVFYLFNAQSNCRVVANRDLIHVFVTHGESHKLASVKPIIRIYDYVITSGDVGVDRYLKSGIFTQYDINNGKVLKLGDTFIGSNIFKYESNSHSLVYAPTWEGGVPEENYCSISMSIAKNIDKFCKKNNIKKIFIQPHPNLGHRDAKYRYYLNECIDFFLRSNLTVFLVEDGFVRQYYYFVFWKGRKKKVENIGVSFAITDISAMEVQFHSRRIPCSVVLKENKAERIHIPKRMLPFYKNILFDKVVCNSSIPSCPNDIIDYMISYSLPTLKHKTYSERIQWLCNYVYEDKKIKTKLLESIY >CRE30626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2527:7:704:1 gene:WBGene00081905 transcript:CRE30626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30626 MTLDEFVDHNVSIIDFLKANLKSVDRCTVSQMNREINVDEHTAYLLDNIQINSVLCTDVYIKNINFNGKFPKNLEDLHIKNSEWIGYERLLEIDCKSVILDNNRISDEQWNLFLKKWIAMETYLNLVYLQLIYRNFEEFRALVLHDIPHEVVDEGVKRTFKTRRNCIQQLSGGIDIRRIDGKTATLFVFGPGWHGGFWMCIH >CRE30337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2528:3765:4739:-1 gene:WBGene00081906 transcript:CRE30337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30337 description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:E3NV60] MSNQEVELVIVGSGPAGYTAAVYAARAGLAPVVIAGSVTAGGALMTTTEVENFPGFVDGVQGPELMESMRAQAERFGARIVYDDGTRLELDGDVKTIETGAGMTYRARAVILTMGSAYRKLGLPEEERLSGHGVSWCATCDGFFFREQEIVVVGGGDSAMEEALFLTRFASKVTVVHRRDEFRASRIMAQRVLDDPKIEVAWNSEVAAILGDEQVTGLTLRDTVTGAERTLGATGVFVAIGHDPRSELVIGQVDTDADGYVRVAHPSTRTNLAGVFAAGDLVDHTYRQAITAAGTGCAAAQDAQHYLSNLAPATVSAPVLEVSA >CRE29399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2529:1772:2041:-1 gene:WBGene00081907 transcript:CRE29399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29399 MSQVKDPLVFITKRKLGWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLMKEISVRQGTQLIEPMDSCDPRPHQLSEERID >CRE06146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:21170:21475:1 gene:WBGene00081908 transcript:CRE06146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06146 MSIPFLHFPYVVQEAIFKSMEYSEVFVMSLCSKRMKYCVIRAKRKVPKIWYVVFPHDIRIAVEEEGGQVETVFGFVEKPELNEMKSTMELKIGEDFKGYGT >CRE06150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:65293:65940:1 gene:WBGene00081909 transcript:CRE06150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06150 MERSFEESTLQEEESENPETNLERLRSYNNESVSNQQRFLQNYPHFPSESNYQLDSEVYSNIKNAIRQQRPTTYELCEGRHPLFACTVNKDVLMIYCAINVRPSYTHSTTVLYVL >CRE06148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:58460:59117:1 gene:WBGene00081910 transcript:CRE06148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06148 MKYTVLFLISISLFTGANCICETNCSCPNLRAFAWIEDNIMTYEEGIGCTRKIMCYKQYYDDGIRLVFNATEIPFPSDKDPNNDWLQAPTQDEMWGMDVALIDFFSYFGFVCENGVWYITKYPHGIVYANAGSGFTGPTDEFNGKRSKVKSFYCHDWREYVESTPMP >CRE06159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig253:113099:114297:-1 gene:WBGene00081911 transcript:CRE06159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06159 MPNWNPLPIFLCITIFPYSYAIYLPTYYFNTCNASDTSKARDCLTPVTTSFKGATINFENFTTDEGQEIVKKCESAKTCLSNLPCLADTQISKMLNVLCDVTTYYFNGYADCQKKMTTPPACMAEAHDLWVQLGQLEYPCYIIGTYRECIEKGIIEVCGMNTWKPWEKIIGEWKVADNANCTPAVPI >CRE07049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:93126:94484:-1 gene:WBGene00081912 transcript:CRE07049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07049 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIPLHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVTDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYFENLLMYSNEQKRSTLSISGYSPDSVIDNKDDSAFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE07039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:89194:90400:1 gene:WBGene00081913 transcript:CRE07039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07039 MTEFSKALPLFRLPLIPLTKISRYMHLREILLISLASKKSAYIIRCLLPPNWFFLQMSFSEESKIFLGAKGPWAPVIIKGQKTGDVYELQIAQHNGVVSHRWTSPDLEDIVKPMLIHFALTFNPTISIKFGHICHQDFAVSVLEHVKQLNLMITSLKILSDANISPENYEHILDRCKNIPELVLSSEVTSDFQYRVGPDFSVDDFLVRDGHWMHLEDFSNCKKVTVWNSSGHTQQTYANPEVPRALIKKWIDSDCRLEHIEVSGYRFFSKEVTSVVENGFVSMNLLKLSYVFFRTTKHIEGYLYPVIFNFNLILQGLEFRQTANSHSVEITRRCDGKKATVKCRPLRFELKVID >CRE07040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:91913:93063:1 gene:WBGene00081914 transcript:CRE07040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07040 MSRFRIPRSPFWLPPCEDDEIDFFLKDAITHNGHHLENLSPSITEKYTSFLNQPKILHVWDTITYETVPLPIIIHDYIATYTRMLNNRRSVAEVYLEDYGYTLTFPFSPVIADVLGRYHPIEVCASFLDQNFPNWKSLSDDVLNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIASYLKREWAVQSNHPSGPYVYIKKDENSLYPIDLVHHIEAETDEEIQERQQLEKKERWDALISVSKQITVLGRKHGYVKDLNFRNELSKKVEKLARRMIGITLNS >CRE07038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig254:86895:88118:1 gene:WBGene00081915 transcript:CRE07038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07038 MMDPPSPPRPSSPPTLLKMPKEILTLIIGDTTALAMYSFTWNNFENKPFFRQSLRKTSKSLRAFIDEKPINLNLPPEAIYFVVSENQIVLTIMYTDEDRPKEHGIEQFQMLTTYKQTDEGCVYYNSADADLSMGKLLRGEDSYEIAYNDFESFLRGHAGVLEECSVEFQPIQSEMKRQKSADLQQKLIAYLQSRSELFQVEELSITAPTGTEILSLLRCFCPLAVERLIFSDGRISSMDHLLFDARGAHRQLDISNLALTEHWKKAFSIEIYRCLVQSPIYFFATPMSTFMLDTLKLEHLCMMRDSFKLFPFERQCSMKFNNFENEETASVEFGRYSNDGRTTCWFFLFPGTNNVMRFEKRFTGQREIKIENVVIPPSDLARIPFNILQV >CRE13230 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2540:9:241:-1 gene:WBGene00081916 transcript:CRE13230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13230 MELETFRLLKRVIQLTCVVFSLFVNSILIYLIIKKSPINMGTYRHLMIYFCCVSIVFSLLDIIVQPVAKLEIIESKL >CRE13231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2540:649:2643:-1 gene:WBGene00081917 transcript:CRE13231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13231 MELETFRLLKRSIQLACVVFSLFVNSILIYLIIKKSPTNMGTYCHLIIYFCCVSIIFSILDIIVQPNVQTYKSAFFMVVEVQRRNMDPWIAKIATALWCFGVAHYCIAIHFIYRFFALERKGRVRYFQGFSLIIWFLIPIFSGAMWFLITAEVFTQTNIEIDYIRNIVKQTFSADMHEIVFVSGIFYPIDETGQRVINWRSFIGLGLYSVLMTIPFTVIIVFGFRSWKIVRGLLDHGESEYSKNLQMQLYKALVAQTILPMIFLFIPFGLLFSLPMFEIDCQFLSSFITLIFAMYPAVDPLPILYFIDYYRIPIIGLKL >CRE13233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2540:3110:4301:-1 gene:WBGene00081919 transcript:CRE13233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13233 MTVPSKSTDTVATCHELEPLKSQKTELKTVEINDKSDDTIENIPSVKTQEGVSYESDGQTEKWPAEKKKDGIATKLLEDKKINSQICLCSAGNGFMEKGPKKENMVVEIAVRVCIKKTGAIGRVRKTTNVFKRQQLKKQPRVAFENDSGARTTNGK >CRE15248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2546:5:534:1 gene:WBGene00081920 transcript:CRE15248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15248 TKVDELVQNARDKLRKDGNLELAKLVVLEMKKKTIISLTKMFTSIKLSEIQGLAFLKNRAQVIELIDMLVTENRIAVNFDGDMVFWSEVTPVPTKENIETKIRTVDYLNQLLQERNKDMKSGAGRQRPSVLFNDDEGLSMPPVE >CRE19622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2549:30:287:-1 gene:WBGene00081921 transcript:CRE19622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19622 MRFFIVALFFCFISNVFAWHYGDQIALDDDEYSPEAVANRQQIAKEYLIREKFRTRIREAIAKEELEHKYQREKIRKAMEEFNDM >CRE19620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2549:489:1514:1 gene:WBGene00081922 transcript:CRE19620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19620 MRAIFVFLFLFVALTTADSYDDVKAKIQDLIDDGFGKDNRASSNGKSVSDDDRDSYNQEKKMKKKDWRSADYFFESDDEDDSYKSKREDKNQRKRSSKNEDEPVSSHSRSRSSGSDSNSDSGSSSSRTSSEHAASSDDDYKKDYYKNGKKKGIIGKFVEKVAKKLKSIPGKMAAGAAILSGKVVSSVGSIPGKVVDGVKSIPSKAKSVLPGGNKKNGDITLNDYTQGSSEQENHFHVHKDKHYHKEQHRHQDDSTNLNIYNDWDEDDLEKKYKSAYDTFRSKRSAEVNLLNRRPNRKLHKKEKPSNRLKLIVF >CRE07848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig255:23784:30417:-1 gene:WBGene00081923 transcript:CRE07848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlt-2 MCSKLEGVVTIPIMKQLTKFILEEAPVVRLLDHGANIHAVNQLGMTAFHYAAREGKLPVIETLLQRGASNQKTFLGVTALTLACAGGHADVVRRLIRLPNENQTAKRSLAPTSLIVATCSKSPLICGYLADARVNMDETMELLRGLSALSVAIICTPGLYMVRTLLDRGASATKKTLMRKTPEEFASEFHRKDVYNFFREKRNHRSRMNTDSDLRKEVKKDQIIERELGPPPQNGCTLLMYAVTVRSINSAKHLVLHRDSDVNMRDNMHITALQIASLLRVDDIIPLLLQRSADATVANKFGCTAYDLFLMSCDTVEPGQIRGQLHCHRPTDMRLNLSNSSSNIYKALGTKNLLNKVSSQVFQVSAKAEAIEPKQWLEAKVKYQPAKMRNAYKFASVEDILRSARVAKRPETNEYDNETEATREYMEECQSFAALCFTDFYGERDNKTPTSEFYDIAQYHAKRSGTLRDDYIPKFNFRPRRNSIERTEYNPRKPRNVTSVGSPQNQMRTTPRMLKKSRDATATSSTTATATATAEFYSARGRASGLVNVPTVIVNRPRVVTTPTVTNELIWNYLARRGKIDLMQALKKEEIDKHSFFSLRKANLEELNIYTPENMAVIEEIQQMYPNYH >CRE20659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2554:1763:4201:1 gene:WBGene00081924 transcript:CRE20659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20659 MRRRALIVEVGSPGAAPTDRAARDPGLRADAQRAAVGVRLGAAARTRPAGRPRVLPAPARPRRDRQVPVPRPPALVAVGRRGGRRDRRRLGDRPDGRSLLGDAARRARVSGLLRAAPLARHRAHRRDVLGAADRAPARGDGARHRDRDRYRVRGAPGPAVDRAAVRAVRRLRAAALHPRLEAPLDEPLRAAELRRTGAARRRLTAARRDHRVGRMADLHRDLDRRRDPGDRRPGRAAARSANPSRLIPLGGVRCRHARNAGGAHEHRGDRDDRTGRVGRAGGRPHARLRRAQGRPAEAAAPGRGPGARDPAHGRGGLLLHRRDHAGERGDEGARTRRARAARRPPKPLRLARGRRGRRDRRGEAQGGFGRDRAPRPLISGSGENDAHPRRIRDPIHLGETEEQHGQSGHERAEESQVDEDLHEQEDEHDRAGRGQRELVHVRPRHPARADAAHDGRREVQEEAEHGEREADAERTRTPPGRLGRGRGAGQGRDAAPGGLLGGGRLSRREAGRITAAVGQIDRVEHERDRVDDHRRVEGESGVQFQRIEHSSAFYPLSLSARTGSAVEHEPERSVAAPQHLRVGQIELDPRSSAAFRILREQVRERLGDTGDAEERSRCAPGLVRDDDPTRVAHDPDRPGHRAQHRH >CRE24364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2555:2181:2339:-1 gene:WBGene00081925 transcript:CRE24364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24364 MNIYLRKWKEGKTFSRLKLCFIETTDVNVEKLLEGIDVTEVPLETVRKYKTQ >CRE08570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:48285:48732:1 gene:WBGene00081926 transcript:CRE08570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08570 MSSDVLTPDDALTDPQEQPTQQTSADLKSDRTQEESKKTKKKNISKEESSKPSENSRAQIVEDDIEDSENRFRLDSTQVPLIAESWEDTGKKKEKTENSGGKTSKKGERVLRWKGITVEEVKKKKKLSRVILDNG >CRE08581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig256:62091:63976:-1 gene:WBGene00081927 transcript:CRE08581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08581 MMTEMHVKAKSLNNRIQDKANTAALKKEGARICNLQAELLYRRREFSQAIDVCKQALQYHETDLKANLLLSKIYKEENKWTLVLQPCQTVIQVDPHNDEANSILADFYYIKSEADNASTGYTALLNKNSQHWHALSRVVELFCRNGEQLSAEKYLDKAKEVNPRCVTESGYNVCRGRFEWYTGDQNQALRYYSRTKDSCPIWREKALYYMIDICLNPDHEIIIDANSVENPETTVIEEASEQQKLALHYLDLLGKLPITDRYLLAQNFIRMHTTDKSSIQAALEEFNKMAFNADRTQIVNVGAVFGVARGHMLLKQLQKAKTVLKMVIGRIWNFDDSDYLEKCWLLLAEIYVNQNKTDQAVPYLDLVLKYNCNCLKAFEAYGFMREREQKYVEAYKMYEKAFGATKERNPMFGYKLAFTYLKARRLFPCIETCQKVLDLNPQYPKIKKEIMDKAIALIRT >CRE26029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2565:68:2287:-1 gene:WBGene00081928 transcript:CRE26029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26029 MDVYHSKRTKLTRPKTRKTDLRICTFNCRSVATDNRLAELLEETNRIKYDIIGLSETKRSAEVHMTNRDGTGVILGKRNDSSVSGGVGFIINKSLMPKIKEIKIVSHRIGYITLQVNKNQCTIIQGYAPTADYEEEEHSNFYENLEDVYKSCKSRYKMVIGDFNARIGERKGNEVFIGPHSMEKRNDSGERLATFCEVNRVFHMNSQFIKATHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHRMLRAKIHINAKQAKYEQVKRRKPPRRVLDPTAALLTTETLESCEDQDIDKEYDTLVHVLKNAQDAAVTMPNNHSRNRLTDNTRLLLTKRRCTDRSDPNFKTLSKECRQAVKKDHENFTKDRFLNAANQKRSLKKVARDINEYQSYIPCLISGETGEKLTSREKMEIEVRRFYSNLFATKKPTTLSQQTEALPPFLPEEIQHSLNSFQNGKAAGEDKISADFLKSCHFTVHKLLAKRFSRYLKEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPVLYKVFTKCILNRIRKSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYHIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSVYIKLLKECYNDCSTTITPFYNPVNIPITRGVRQGDPISPNLFSACLESAFRRMSWPHLKEDKDDYDNSPGIRINGRNLTHLRFADDIILISKTPQIAEKMLQELVNSCETVGLEINASKTK >CRE12318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:43535:45077:1 gene:WBGene00081929 transcript:CRE12318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12318 MNVEHEFAAKIIGTEKDWIAEITATEDVNDWIVGVDRLWVDPYCRRKNVATCLLDAATTQDRQMEFRSRRLRVAFSDPTDDGAKVAAKYLETRYLPEHRYDGEILVY >CRE12312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:6201:6685:1 gene:WBGene00081930 transcript:CRE12312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12312 MPVGRKGYNSKYSRFIKNAVRGLGTEFNKRAVGSICENLDIELIITGHQSKHQGFEWVVLKWYSTQLVTIFSASNYADNTENFGTICYISADGGVSIIQMRNLEKVKCPQSDE >CRE12324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:45305:49069:-1 gene:WBGene00081931 transcript:CRE12324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12324 MPERRFMICNLHVISGNPDERATEEQAEEALIEMFKKICSPLESSNPLISIQEHGYMPDEESDKDERYWVTFIVDPPTADTIHENRRGLMKEIQKMNELKWGNERKEKHTRMRIYRGLQFEVIQKTLRSVKRILEEETFPERDGFKITFGKQVIQIFYEKGNLETLSIENVIDEHWDAFREAVKKLDAAERESIDNFVELHHRKARKRALVGDDTISNAASPPTPKIVRFANPIEKMRMISPVERS >CRE12310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:184:627:1 gene:WBGene00081932 transcript:CRE12310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12310 MTDNRVPRVKMLERHFNSSNWKNGRSIEYTVAELKTLTDDVTEMLRRGPSLIEIEAPVTVVGDLHGQYEDLMRILMIHEKTKGKKAQDFTGRKYIFLGDYVDQGKYSLECIVLLWV >CRE12314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig257:11792:12665:1 gene:WBGene00081933 transcript:CRE12314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12314 MAKVSKVILKTAPKETFGKFHFHFKCYFHRSCDFTGWKIERVPLNISQECQCGEGNCSGWIGRKKEEHLLQSKKNLIFVRFL >CRE03593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2570:4146:5081:-1 gene:WBGene00081934 transcript:CRE03593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03593 MDVLSEILDKVELTSSYWYRTSFAGDWGISLPQEENLARFHIVTHGEFWYEVPKLKLKALAEQGDILIFFKGMEHTMASAPKIKAEPAVEFRAKANLTEAQVLEYGDQSKLKANVVCGHFCFNGGPDHPFLNSLPNVVHIKSADNSHSPWLAMLLSIIEQEAKSGLPGSNTLVRKLTEIIFVQALRIHMYKSNKNAGFFKLIENPQLSKTLEAIHHSLDKKWGLDDLAGIAGMSRTNYSVKFKELSGMTPLDYLTYCRLEKAKQLLKESGKSVPEVSEAIGYSAHEHFQKLFKKKIGKTPSAYRKENSEKV >CRE05366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2572:5582:6406:1 gene:WBGene00081935 transcript:CRE05366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05366 MLKQLKEDIQAVFARDPAARNTFEVLTTYPGIHALIMHRVAHELWEKDCKTSARILSSFSRFTTGIEIHPGAKIGKRFFIDHGMGVVIGETAEIGDDVTLYHGVTLGGTTWNKGKRHPTLADGVVVGAGAKILGPFVVGKNAKVGSNAVVTKAVPDGVTAVGNPARFISKDKETVTTPEPSCPEEMTSGIENTEFQAYAAVPEQSDPMLDGMRVLLDRLEQNEKRINSLCQRLSLLDPTFNLQHNIEQPLSEDEIKIIEEVRRECEAQNHSSKA >CRE11498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:66740:68279:1 gene:WBGene00081936 transcript:CRE11498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11498 MQSQMLMPKQEPVARPLWEELPVPPHVVLPSLPIENPGAHMTMPQYLFAIQAPNVQEQKWATVVSRNSKQLLEWIILDSSTKYPMEGAKNRANIHKWYCTTAVSVYKRTGLVVHPSIIRDCLRSAKQHLYNRLLKHIKTDKLSPKEVEEKLWAWPTYPFVKAFRTEKMEKKMRSANLVDENGAPIVIDLGEDDSDDDDDEDLMPPAPVTPAPATPASVKSTLPLNAIKAEYYSPTPVKRRHVEVVPDQSTVATSYLPATMPKASFAFIPADQTMDTSGPMEPDSSASVNPAPLEQDLQDFEADMMAIHRDVMRKARKDPKKMDLIRAAHAQMMAEINTTKTNDLGEMFMNVGRRNLGNVFNTD >CRE11491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:1305:2542:1 gene:WBGene00081937 transcript:CRE11491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11491 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3NH29] MNHYYSLLFGIIFLVFSQVKCTGYLEVSFKSDFNLKSVLNVSSLNTNSSNSRLVPFLVFPNKTEKLSRIPIDFNETVIITVFVINQDRLDIDNATITSTFIPRRGILSPLTVMYPFTGIKINIGCDTQYYGDQCNVFCCSETASRVGKECNSLGQLGCPVGKKGLDCKQSISKKWCKCKNKGSCISSFGKNLHERIQCSCPVGFTGIQCEKEVPSVEMMSVYGVDPKKFEIGTAKMLYESVVDNEMVEVTRPHSSHLLHNLKINDA >CRE11494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig258:21822:22172:1 gene:WBGene00081938 transcript:CRE11494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11494 MGEVHPCCLCILCILIPPIAVYLKKGNRCCAAVWCNLALCYLLYIPGVIHAIVICYCKP >CRE20160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2588:122:675:-1 gene:WBGene00081939 transcript:CRE20160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20160 MRIQSETIELTKDEKGVVGISIVPLQKMVSDLQVYIDHVVPDTRLTIKKYLDVKYEYLSYCLKLKELDDEEVEFIAIQEPLYRVENWKL >CRE10675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig259:86487:91912:1 gene:WBGene00081940 transcript:CRE10675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10675 MAAEYFSSLQYIWLNIVFFVFSLFLFAVCLYACLFVRWVYKRRLRQKTDEMIDAYKEEEFRRKETELNLKRQELEYPEIKRKSSSKPRKIHIVTTPCGGNHIIQNNEVIVRQVNENPIEEVPPPPPPPPQHPPRKEDPQMAYLPPQQIQHLQQQQQYGGPQYVLVPVEMMKKKQKKRGRRSSSSSSTSISPSVSRRIDKSVACQTSPPRRLSHEPMELKEKNSEDWLTGFDEKDGERIDVDEEDEKDVEEMGSEKYMRRKREIEEKQAYDQQYASEETRVSIEDDAPPETPVDAPVPTPNSPTTSHSTSPKTPEATSSDKKPSPPGKSYVASQYFGLPGEQAPNNASKPSGISKDSTGSGPGAASSVPPSKESSPPVSGKKSSPDKSFVASQYFGQPGEQLNNNSSGKSSSPPGNKISGSDLASSSVPPSKGSSPPGSAKRVSPSKDAVVSEYFELPGDLSDYPRKPPGKVSPPASIFPYFVDPKAPSTSVSTSKASSKLTDPELAPTDSSCALYRQKKKKMTTDSAKAYKGTPPAKTPK >CRE17787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2590:61:1509:-1 gene:WBGene00081941 transcript:CRE17787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17787 description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:E3NV91] MNSHTKTNSMTSYSPEVQRMHDILEQQKVAYQRYPVPTAKERIERLARLKKVLVKYQDQFAEAINQDYGNRSISETKIGELLTCLEQIKYYSKHLTAWMKPSKRHVSILHQPAKAWVQYQPLGVIGIIAPWNYPLLLSVGPLICALAAGNHAILKISSSSSNFGFVLENALSEAFPQELVAVVNGGGQISDAFSHLTFDKIIFTGSTNVGKTVMSAAAENLVPVILELGGKSPVIVHPSSDLNLVAERIAFGKLWNSGQTCVAPDYMFLPRGKTAEFIESFKQAATTMYPTIRDNQDYTSIINDKQYNRVQGYLEDARQQGAELIEINPQNEELASVRKIAPTFLTGVLPTMDIMKNEIFGPVLPIMEYDQIDDVIDFINGRPRPLALYYFDFDNARAEYVSQRTHSGHFGQNAVVTHVAQDDLPFGGVGASGMGKYHGPEGFFGLSHERSVMSIPKLFSLKFILAPYDKALNKILEKTFLR >CRE17788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2590:6721:7242:-1 gene:WBGene00081942 transcript:CRE17788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17788 MQQALFGGGCFWCTEAVFLQLKGVNHVVSGYAGGHTPNPTYEAICNGDTNHAEVILIEFDEQQINYQQLLTVFFAIHDPTTLNRQGNDIGTQYRSVIYYFNEQQKLESEQFIEQLKADGLNIVTELSPAPTFHPAEEYHQNFFARNPAQGYCNFAIPPKLLKLHSQFQDLLKD >CRE22261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2591:81:743:-1 gene:WBGene00081943 transcript:CRE22261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22261 MTDEGQVPATGSFSMELMRQFKDEFLEMIAPELLAMRKGEEKVPELSQKGLQKQAEINVQVINMLNNGAADLGKAVEEVVDLLKRRNQELLLLDKDPSALKNVEKLRAIAAVTSSEGSNAGDAKLMALAQIMSQGGDNRNQRSGARRQWFPAAGFGGRQSGVRNFSAYSQRGGHGGERAFGKKRQFNGSSTSGDFPKRSQIQCFSCGEIGHYSTQCGRTR >CRE22262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2591:1402:1551:-1 gene:WBGene00081944 transcript:CRE22262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22262 MGRNNDETNAHDARKVLDEALAVCRSGEQSALVNVLIGKTDFREGSISV >CRE21101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2593:528:1030:1 gene:WBGene00081945 transcript:CRE21101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21101 LLPCVLLPTLTIFLIIELQKARNHQKTLNSSNNNLTTTVTERTTGLVVFMTVSSFIIEFPGGIIRVLQFFYTDLGYWRLATSVGQILNAMFSLNSALHGIIFYLMSTQYQKSVSRVFRRKVSLVISFFSIASGFQPPRIIVAHSSLHLT >CRE23852 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2596:1376:1936:1 gene:WBGene00081946 transcript:CRE23852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23852 MTAKNIYAYTLQPVPYEVSEAEQRGAQLAIWRSTNKIGIKAWAIMGVAVILSLLGIALIKNYSTIIFWVVLAAVAIYLLVRKFGLEWYVKRKMNEFPVQEIKGVKLGVQPSGIVMRQQMGVQEGVGTVAWKDIYEWYNTPEFLLVNFKVKGQQGAYILPKRMDSKNFPFETLRKHLKEVVGEPKTL >CRE22749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2598:1073:1484:-1 gene:WBGene00081947 transcript:CRE22749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22749 MTAILQTPYQIIAQNFVVSESGRIELSAFTTPIPTGYASPCFFATTIQNHTLDNSFVSMNYTIESTDGSDVFKFPSEDALPLFGTAQTDFSTLNGSLSYKWTIDYHYNNNAPQIIQLRMYSH >CRE22748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2598:70:834:1 gene:WBGene00081948 transcript:CRE22748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22748 MDHSHGYIISYWKNTTEGSKVITDYVTNLFNIDVADIWASKQSFHIIQHVISRQKIPSRYVSYADSSASSSSEKEMAYILKYCRPMSQLSMHIKPPQNFRFTEKFPKIDCLDINDGKWVSLDNLLTMDGIDIILQSSTLTSSDVNVFLKHWLSGGCPRLKLFCAEIGSLDIFQVLADLLHNVVFVENSRTYTSPFGYRRTLTSGFDIRRADGVTATVCHQQTGKLVIAVWPEATHNYN >CRE15991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:879003:879761:1 gene:WBGene00081949 transcript:CRE15991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15991 MTSPNQACCAVCNDIALSFRFGVSCCNSCALFFRRCLSTPAEIKMCENQGNCRYMKCQYCRFQRCLQAGMNVESGLVTMVERLQI >CRE15986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:852455:854280:1 gene:WBGene00081950 transcript:CRE15986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15986 MIDHWSNMFRMIWASTLGPMGFLLNLLLGYIIFKYTPDSMKTYSTMIMVMTISDAVTGLCRVMTAVRMISAPSQLFVIFGGICQTFFDEKLTKSRFCMYWYALLIQCNLLNSIIMIFSYVYRAYVITMPFDTINQKKIQLLSYGYSLCHFSYFTWVYYMSWSSLEAMDAEISKIYPEIIGSNYTYSGILDLNAPSQIETKKQQHRSLVNVLLYQTITPLLSLVSVFLYVTVGRFGGSTVLLENLMPFSVVIVSVVGPIASCILIGPYRTALFKLWSSAVSMIFGVESRLRKNTVRTTVSVTNLA >CRE15983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:814356:816198:1 gene:WBGene00081951 transcript:CRE15983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15983 MIDHWSNMFRMIWASTLGPMGFLLNLLLGYIIFKYTPDSMKTYSTMIMVMTISDAVTGLCRVMTAVRMISAPSQLFVIFGGICQTFFDEKLTKSRFCMYWYALLIQCNLLNSIIMIFSYVYRAYVITMPFDAINQKKIQLLSYGYSLCHFSYFTWVYYMSWSSLEAMDAEISKIYPEIIGSNYTYSGILDLNAPSQIVFNQMSILSPVFVVFVALICKLIISRYLNKFSFTETKKQQHRSLVNVLLYQTITPLLSLVSVFLYVTVGRFGGSTVLLENLMPFSVVIVSVVGPIASCILIGPYRTALFKLWSSAVSMIFGVESRLRKNTVRTTVSVTNLA >CRE16077 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:555316:556450:-1 gene:WBGene00081952 transcript:CRE16077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16077 MTERSKYSKNGVCRFENIAQLIANNDFPKIPIGEMTGFEWYASLRTHTVDDLNYIFPYWESDYRRFIPKFTIRFFSSYLSKNQSIKCQETCDVLLTPSESLIGGSLAVQTLMNEKYGFLDDGAISIEYGFCELSMQNSDGIWWFNFFDKIFECDQKQNMITVEDCGGGSYDCLLQFHSPLFKDTNISIRNQIVRKNGRSILELLQIAHGVKIEAPDDLLDTAYKLEFLNVVRHCEQQMIQEKYEEMLVFDCFCLAAEYNLNHYLTHLLRHVGPVRCLAAVLLKLDIEELSSEYMKQCTKYFFENA >CRE15957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:670629:670988:1 gene:WBGene00081953 transcript:CRE15957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15957 MVSKRPGAYQCLLHAINYTYSSSFTRKNGFQNMLNNEKLAGLIVFTFAFIGVVANWTVAILIRKLPSLKNSFGRLTASQSIGDAIHCTIFAFLFAPMCFL >CRE16103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:739019:740579:-1 gene:WBGene00081954 transcript:CRE16103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16103 MTSPAPPTPVSNFEDTIRQYIPEELISPTWKCVGKDFSVQLKSLLDDEQSQLGMVESAERLEDDICCYFDLIRRKFEDPIKKLPYDYKNAAQKPCIYKNDMYKMFIASYCYPLNSWVRDAVRVILEEYWKRFKKGVSDHEMIVCSRGPDFSIWSVQNEKKVNVLTKFNVQHANEYLEQYKKIKPDEDHTADIEQVIKYIGFVMGRVAKFARDNDIHMPPFHEMQKKTKAVIRVFQVGNKKFVMAEEWRKTCQQHNIDIRWLKKEVSGMQELSTLTYDKVAVTIKGVAEIVTIEKERLQTFRQTPIPYDGGSCILAVDALYELLLNMIVVKKVFQTNEENQLEKFFALMEFYFDASRGVFFLSLENVEVIRTMWEYFYSHQMKKSNGKVIKKAKTAGFSVGELNETLKSLELCFEDISKYADLIYPKLTTAANGLSLYQLHMAVIYCQINCFARKVPKILEFIHNQNSCNRMNIVECQHCSDEAAVKKMGNMEISGKKKNKKRK >CRE16098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:710002:711178:-1 gene:WBGene00081955 transcript:CRE16098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16098 MHNVHFISTPIYIFILITLFKNKSALFQKYKYYIIVHILMSVPCGIKNNEKKDFQKLIGLSILEMFHFRFVVVVVHHSGGLLMKLPSYGMYVYRFLTIIHVITVTWSTFDSRTLIYQHNRKDALFRVRPFGEDVPKEIGCHSVSIWASEDPILISNVAIYGVLVLLGLAIVLATVYLINRFLNRAKNMSKETKKLQKMLLISLFGQSAIHVIMIAIPASVQVYQLIFIIYDNNFGTVMLFFVAYHGFFSTCAMIAFTKQLRPKFMEKCCVRRAQTRLENHSGIPSSMVETSVRQL >CRE16137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:921048:922208:-1 gene:WBGene00081956 transcript:CRE16137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16137 MEQSLSHSSPCSKRYSRHEEFFDTNCFVGTVWRSVVPLQEPPLSLEAIEEVQQCRGDRGELDESISNRDPVITTIILFIAVFI >CRE15937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:526942:528110:1 gene:WBGene00081957 transcript:CRE15937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15937 MGSYKYLMLSFSLYSFVYAIVEILTQPQLSITTILVCGYKTYQKMQQVESSMSAKTKELNNQLFKALILQTLVPMLFMFTPAGLVMILPMFSISVGTFANILSLSAPIYPGLDATIAIFMIRDFREAVTFRRRHQKVSIIAASGAAYSVSPEY >CRE15996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:908983:909186:1 gene:WBGene00081958 transcript:CRE15996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15996 MYSSTLLQYCLNTYQQFGPSRFTDLLSISHIINGTYESFSQIILTLKYYHPKPQTKQLFIDILDSME >CRE16134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:911204:912345:-1 gene:WBGene00081959 transcript:CRE16134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16134 MFLAKPDFLIDSLHVITVIATPLHVFGTYCIFFKTPKTMNSVKWPLFNLHFWCMFLDWGFTVLSIPLLIFPVMGGYPLGILTNWFGMSTLVQIYLIITLIFMVCTSIVVAFENRYYQLYGKKQVWRYIRIPFIIINYLLTVTFLIPACLNVPDQRMALERTYQLIPNLPEEIKSGPIFILAEEYFWVLVPFNSMAVIEVVESFLFIGLINRNMKSSSRQMTLSENTMKLQKKFMRALYAQVKNLKILKMIFLFQVTVFLLNFQVPIVYILYSVTSNFYSQEANNLVFIVASLHGINSTLIMLFAHKPYRAECEKMIMSGREFFKIPPRTVGSRTQRTRVSSTIVSF >CRE15964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:703769:704326:1 gene:WBGene00081960 transcript:CRE15964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15964 MFFTIDRTPVLLAFCAHPTPNVLENVKKEAGIAEQLFELGDPLIGRTPNEIRQAPSIKSYGCDTESEFEFFGDDSDYENIKDIRSVALDSDDEFDKIVKFSVKIIEKTHKSEYPEDIETKKTRRSKKTHKPVRPHHRTSSAVYYCNKSVCSPSPFEPSWHFVIPVGXXXKKIEYDKPSNPNMLRV >CRE16001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:933331:934718:1 gene:WBGene00081961 transcript:CRE16001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16001 MKTIKTTTSFYDYEPPFYEFHEEMFKYKVEESSFAATYISYFGLLLCYFHCFILTRNEMKSNFVFRCVLVICISDIVIFLKSIPWNLVEWNINKLEDNECPVTIDYFQEIVFIFLSASMPIVKHISSIFVLILSGTHIFSISNRVKQYQTLLLSVILVTCFALQFTPWRYSNQRENCQVNIPNDKFTDIAIKQEFYFEYLSHRKIINHCITMVLSFLLTVSFITQILKHRLLIRSKKDMDESIILILALTLSCLISNYTDGCLALFEYFLLEPYELIQNYFIRVQVIRDTITVLNSTSHFFICLIMSPIYWDTVKKYTTTRKTEKVNFKTDTSKFI >CRE16051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:362311:364124:-1 gene:WBGene00081962 transcript:CRE16051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16051 MLLTRASSLLQLVTWSCSIPSLILNILLTLAIRRERRTDNRFRWFNSIFLYSVYSNTLLSIFFPLFHPTFVPARGLIYIVFIGPLAGVMPMVLVRIAHSLLLGLITYQACISPITLVLRWLVARKYVLKLRSDSEDTTPFRHLSCTALYYKNVRVLFAVTAIPFPVAIELYMNLVEKSEKLNFYTEKSHENAVWKLTKGSYPYIVIDWNFLYLMLTFTIPYLIFFLISLLFHRFYMKSIRNVPNDSIDMGYNDASTINQTLLSMALQNFVLISLPHLFLDISVFIHWQLGVRALPVTFGCILVSLTNAATLFIHFRAYRRQVGFLFIEFLRHNNVNIPLFVMNQQQQSTHFQMSVIGGNA >CRE16084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:596662:597646:-1 gene:WBGene00081963 transcript:CRE16084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16084 MITVWGKPFSFNGQSNPTTYSCDWKSCVDKCYDDTKCLLVHTDSSETCVVYTIGDVQLVSNQKLNPSSSKDKTTFRITSAPNTCGPLEEMLPTMIPILNHPTYIHFNVTTASDTYAIGFKQPDAADFTFPLTSMFFYDKYKQSDTGLAVTSSMAFLQTFYEPVDFEIAKLRCQTYGNGFMIATASLTQKVVNDTIERNKNRDSIPEQDFLHIGLVRQDDNTWVWTTPELDYKSEGIPWASGEPKQENKCAWVIKTRNVDSLTLVSHL >CRE15877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:46356:47746:1 gene:WBGene00081964 transcript:CRE15877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15877 MKAYVFLSFFFFLLSQTGADINTFINEKSCDSKCTFSTRELTTKTMEHFPKTFSTVCSELLINEMCYLSEDQITFLFSNMKKLIGSLTVIHTNYTSAKFLAGLESIECGNDSETTLVDNNEMLELGLLNLNTINCKGFTVSGNKKLEKLNMPNIKNMTNPSDPTKKVDISISSDLPSFCICTHEMYNFMSIDTADNYFISGNYCEPILDNQLCKEPTNGCTQLFGNIEIGTDFDLESIKSVETIFGNLVINGSDLTNLECFESLKYVAELGNKPAIIIDRNEKLTNFTFPKLRRIHSDAIEIMSFKNSIFLSIHNFTLCFEIRKFLDLRGLAPTFDGFSCGRHTFSSFSRRRFSRVARSRVKITKLEQKNRRRELCKRLVVLVDGIFCNVSGLNLIS >CRE15980 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:807817:809234:1 gene:WBGene00081965 transcript:CRE15980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15980 MIDHWSNVPRIIWASTLGPLGFLLNLLMGYIIFKYTPDSMKTYSTMIMVMTISDAVTGFCSVITAVRLISTGSQIFVIFGGICERAFDEKLTKSRFCMYWYALLVQCNLLNNIIMIFSYVYRAYVITMPFDTINQKKIQLVSYGYSLCHFSYFTWVYFMSWSSLEDMDASISKIYPELIGSNYTYSGVLDLNASSQIVFNMMSILNPVIVVTSALICKCKIERYLNKFIFTEKKKRQHRSLVNVLLYQTVSPFLSLVTVTLYVTVGQTGGSTAILENLMPFSLVLLTVVSPTASCILIGPYRTAFLKLWNSSVSKILGSDSRLLKNQDPPLRSTVSVTNQL >CRE16008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:69067:70737:-1 gene:WBGene00081966 transcript:CRE16008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16008 MEMENQEVLRSKPLSYEASKTVLKSLSLKTREAINRRIPALCTINFRLPYVLENVVITREIFETDGRRWYPTPAAVHSSENSLRQVMNARKSELTILQEKLEKEPQYCVNKSCEEILEQLFDEYIRDGTVVRGSLCLWSIPEFLKRRRENERDLKMKVTTLELKTKEAEDYEHFIRFTDLDVMENVKIVGFGNALPLLDKPEGIHDLQLFVKSWITIGREIGTRFSWVRRRFEDVLDILDHLKTHFGAVQPGSNLEYYFSRKKIYGNSVTVKLGDDRELVMFCHKINIPSGIFSKETTIYTFEMEVVASRENS >CRE16033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:224895:227978:-1 gene:WBGene00081967 transcript:CRE16033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16033 MFIVSIISAAVYIHIYRLFKKLENMDGTHLLYQFTPIHSLLTCLRISNTFSGTMFIGLIVGIRYHNDSSVLEYYLATSLQTYGTIMFLINNFLFICLILYKLRKKSDENYQNFHEPIFNQLFYNSAFWLVATDLCFLIAIFGNVSDDMSSQTIITILCLIIETFLMSLGSILFAIIGIFSFLAAIQRIAIFYLPKYKFLVTGSDFCSVYKTEARCSHQFLYIYSFMIFIISIISAAVYIHIYLLFRKLENTDRGTYLLYQFTPIHSLLMVRFFFEKISLFLSFIPIESFFLSPSSHFQFHSVAHLVGVLLEDYFHMDVDSTIKFILYFVFVFNIPGVVSLSYIDSQRNFRNIFLRIAYPIWREISS >CRE15972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:723585:724528:1 gene:WBGene00081968 transcript:CRE15972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15972 MFTIRALEGLLSLGAIAYGFVASAWLIVDEIARRNGVIITGPHRFHSNRLYMVYEAILTLMVTLNSVHYVVRKLGGYQRSIYIFVPLVCTVVMTKFLTEIFIITMSALTTLVYFNHLPRNTRAVRNWSRKFMTSALVVASGFVAVKEMIMMTWALVLYFSDVNYLQEFCFCYLGLHLSIQFFFWTSIILYVANYRPFFVMSRSEQHMVRLSITFASMRVVPTFSIFILWYVGVPSHAVVMIFILWDTIYLPISVRFRQISDDIDIIQSLKLKKVSPSNL >CRE15961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:681831:682905:1 gene:WBGene00081969 transcript:CRE15961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15961 MCTWRNSYFESEEFYALASHLLSIIQVPLNIFGTYIIWYKTPQSMEKVKFIMLLLHLTCSWFDLLFNILIIPYIVYPASSGYPLGFILYLRVPTSVLAYIGITSICFLGCVLMTFFEDRYNRIVRRDADTIARFRKRVIYFSVNYSIVFVCILPAFLTTPNVEESKLIFRQKIPCLPPTFVEKPGFFMLTTDTTILVASLSGYLLFTGSQSGYFLYNTFVYLFKTKSLSTRTLQMQRQFFKALCIQAAIPLMAVISPCIYIQVSAGLSYVDMMWSNFAMILLTTHGIWSTLTMLWVHKAYRQATFEIFFCKKPVSSVTSTYANSIVKL >CRE15952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:651927:654433:1 gene:WBGene00081970 transcript:CRE15952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15952 MSNSLDFPNLVMRNVLQRIDMNSILTLRKVSHELRTFIDEENPEFHIEYLNVSVRKDVAYLRIQFDGKSFMSIEYQKKEEFTIVICNKKERIVKLDYQKVLIKDLEILIRNQKSILEELGITIEDYFIGFKNLFTSRQIRAKYLKMSTTTSKVMEILPNLCPKTLQDVQLMNKNTASPHMELDELMKLGHWQNLKCFVTFGFCFNGSIREFSHFLFARVEIQSATPDDVLFLKEKLIQLPSFKEIRFKSMEFKEGDRLKELLGPPSFEDDSVAKWEFSVAGENRKLCILKLDMNSILTLRKVNHRLRSFIDTEKPDYSIHNIIVTVRKNEDCIELFVDKKEPLLIEYRGKGQNTLVVCNGKELTINANSVKTCIKDLKIMVKNQKSMFEGLTISCPYGERFDQEMMNEFLGGFKNILTSREQNFRSRTLKMFVENQNQVMEVLPYLCPNTLQEVHLIDPQLSSIQLEIDEIIKLTHWKNLTYFAISGFYVNESIRKFSHLLEAYIEIQSATAEDVLYLKEKLIMLPNFKEMRFKCHEFEGRHRLKECFGPSNFETSETVKWEFVKQEENQQFCITIYEYETFSLNNFSW >CRE16061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:443781:445232:-1 gene:WBGene00081971 transcript:CRE16061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16061 MAIGSIPTFENGEITQNGLAKHHAYSLLDTCVHDGHRLVMIGCPNDFKWNGKWSELPPYNDDTTEMWIDWRKSTVNKRFFWMEIDDVCQWFSSLKVCKYREGWHELRTGRFQLEMFCPQKVLRLRVKSECKLAIELVDQYDDRFWRKCLEVVGLINIHKATSDNQIGDLIMSSTIGFYWSSKKSHTQHRSVESEEFELGPGSYFVIYTVISNMYPIDYGWVIRSPTRLDHISYDSVTFENRVASHQSLLKMVETGGNLRVKMRPGLFLKEYSKDNFLIMMAENTSRKPIDINVTAIPETSGVESNGILIGRYLKYTLPYRPVGYTTIPARSKVVFGSLWTVPGLIKLKSESMEPEISCQYEIKVLEEEIKNGKGKKTRYQKIDGRYKAVPIR >CRE16041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:274565:274864:-1 gene:WBGene00081972 transcript:CRE16041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16041 MLLQLLFLSLSPFLFQFVASQGYTCSENTVVTPPLDITKPYYYPNDWNESMPPAKYNPSQNCNWKINILEGMYATVTFYKNSNYTGSFTAWYSNNNVVG >CRE15870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:4745:5108:1 gene:WBGene00081973 transcript:CRE15870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15870 MILFFQRPIYPILNHLPTLILVSIGKSRSNASGIYSYIEMFYVPLAIQLTYLGCNRRNLRTFLNSFKLTKIWKRVCCCASSSTNSSQVQPYVIYDPQSTNHQLTN >CRE15896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:166618:169333:1 gene:WBGene00081974 transcript:CRE15896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15896 MSKSDEEIVKEEPKDEQTAKHLHKLAETGKEQGLPACIVAHYEKKAWEATKSGEKFTSCEKCGMPPPAPLDSAKKIESDLSKTCATCDHVNKKAIGTESELAEQAKKEEILKKQEERPVLKRKSDERRRPAYPNRRMYSPVRMLARKVTPQCGPPKNYQRPIKKTKLVEEKEPEFLQQLFGIGAKEA >CRE16107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:751395:752513:-1 gene:WBGene00081975 transcript:CRE16107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16107 MESHLGIFSTSINSTSFFTDETPPAKIILLFVYIFCAVAIFPFYFHVYGMNRKRDESTAVFPIISYFYQCLKTLYCYFYLIMLIAGLVFFYRSEKFIWLALLLYSLSFFIIPTICEVNQVIMSLLAAQRFFLYFFPTVEKYLNISKNGFRWLIWILYLLSFLKLIVMFILVFLDSVSIVYMISFFCFNVLIFGSTLMYIPIFLSIRKLSHLSSAKKNRPHRFVLWQVFSLFIVKFVSFYFLAVFQFFLKNYLPIVIYYYFISHKPLADVLIRGTLSDCLLTPILIQVAYLGCNRRNLKTLILSLKPKNFLKTIICPILPSRPVKPERGTMNQIGSTSANRV >CRE15917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:296859:299079:1 gene:WBGene00081976 transcript:CRE15917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15917 METNKKLSIFGQYYFFRVSRKLKKNDLMIRRTRPRISQPNAHQLPLPGFSFSKCREIFLTLVGVRKIFGTYKYLMVIFTVLCSCLFSNIIFMSYTVYISTLGIVQRYFLTYVSCNHQLIFSLSYLTLFRGWKSLLWVAYCFFFGALWWLGDYFLLKIDDTTEKYFHEEMLIRYGVTSKEIPIMTFLAYDPNDGSIRWISVFNSFLLSGAMGFQYGAMIYCGWSMHAKMEKKISCLSAALKRHHRQLFRTLVLQITAPTIFLFSPLLLVVYLPYFQFELSFPAGATICAFNFYPALDSIIVMIIVTEYRVVARKMWNVILRKLMGVFREKDSGGSQTTGEIQVATIRAVS >CRE16064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:452803:453435:-1 gene:WBGene00081977 transcript:CRE16064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16064 MSWKGQVESLVHRIQDNYTHVGNSAKADILERELKKMFSGDFYILVYNDCGGYDKHSFNAVTDQTIYSFRRGKCNVVIYRSLEWKKANQPQIKKQVESCVTGVIPNLSDYKGFPGTLMRTRIYNTRFVGMIAKRHDVEVRYLTSDDTKWGPGWWNTVNVYDKDTMENTGRQFILIAGWE >CRE15883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:96645:97355:1 gene:WBGene00081978 transcript:CRE15883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15883 MEEQEELDYSEDPSDFLDDPLDEIPIPAVPMDKTPAVTRVQTPATPSVKAPPSIKSLGRIPKKQTMRDITEKMLDKIGDPKETLQTEGKKKQARHDRRQNIRASNRTHPQNPLPRRTPLLPTPIRPTPIRPIPLLPDRPHPIPPIPPFPFPQGIPIMNSPWNFHEQTAYFLAQQIYSQNASNLSFGAPGRSSGGEGGCEMQGSWARNRGGPAPPTRREERAWRWRGSRANMTHTYF >CRE16099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:730467:730718:-1 gene:WBGene00081979 transcript:CRE16099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16099 MKLKENSLSLSNLHIAVARCHVNSFVRKVPWVLRLIEKQTACNRINIENQEIGEGDLDEVVESVDKLETSEKKKNENKKQGKK >CRE15885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:113477:114564:1 gene:WBGene00081980 transcript:CRE15885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15885 MSRSKPLTYQSAKAVLEYISFWKRKHFYTMCPAIRKMEEILPYHLELIHLYSVSQDDIIMAIDSFRFHFWEYKGNQMVMINLSTDERVVRLTYLGPEQAAEKFLLYHLSREGTRIKKVDLITGPEFISKCIPIAIKNMTVIRTGAWFPASIPIENVEVDTTVREETLKMAKHVTVEIPRHIAERANPEILSEWNCKLIQIESWIKSEEVADYCYKVSKRTDRPMGSTLMAIHSSPVELVFDILHSKMNARKTVLNDRKCATIAIDESTELNVYDSRGRIRSYVVVEVCARGTAIDKVV >CRE16037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:256736:257865:-1 gene:WBGene00081981 transcript:CRE16037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16037 MFLTCPLSSVSAFLIFLPAMFTLVIEGIRVRNDSTIYEHYLATCLQAYGTIMFLINNFLFICLILYKFRKKSDENDQNFHEPIFNQLFYNSAVFLVATDSSFLFLCFGKLYDNGRHLSNIMEFCIYTEAFLAYMGSILFAIIGMFSFLAAIQRITIFYLPKYKFLVTGILLKYEILLVYLSVIHYSYVVFSCLFIIQEGGQCSYQALYIYSFMIFIISIISAAVYIHIYRLFSKLESMDRGTYLLYQFTPIHSLLMVRFFSEKFRYFLVFSLSNYFQLHSVAHLAGMLLEDRFKVDVHMFIKLPSYFVFVLNIPGVLSLSYIVSQRSFRNIFSRIAYPIWREISS >CRE15932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:464150:465269:1 gene:WBGene00081982 transcript:CRE15932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15932 MTDGKFKVLALLIGVAFVTITVGIFSPAWLCEQTYTIWENFDVCGGIVPYYSNEYAWAAVASWLMFISFAIFLLILFFLFKAHLKVRDKGYTIRNRKWFRFIAVASLIVAIFTATAVILFVVYAAKYKRFDNSYSLGYSAWLSVAAGVISLLICILSLFISVNEYR >CRE16020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:111615:112688:-1 gene:WBGene00081983 transcript:CRE16020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16020 MSRSKPLAYQSSKAVLEHISFGKRKHLYAICPAIRKMEEILPYHLELVELFSIADCFFLIVDSFKFCFGEYEGNCIIMINLSTAALRRIRLTCLEPKKAVEKFVLYNLSREGTRIKTVDLITGPEFIKKCIPIDIKNLIVKATSEWFPTNVPVENVEVDTAVREDTLKMAKHVTVEIPGRIAERINTEIVSEWNCESIQIESGMHREEIADYCNKVSKRTERPIGSRLMANHSLPVEFVFDLLYSKMNARKTVLNDRECATIAIDESTELNVYGCNIDSNSVVVEVCASGTAVDQV >CRE16058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:428520:429272:-1 gene:WBGene00081984 transcript:CRE16058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16058 MTVCTNQQNQEDKQLKECEEWVRLFLKDFGYVTIESHRKVHINCSPDDIFFDAEFEYSDGSLKWDKHGTILNFIYIKKKSLDLFTNLEWHKNGALNYQKIGNVAFAPLTLYKNTWPFHVYQGNIGDCWLIAPLMTIARRQKLLEWIIPPNDYSLKHGIFLVRLTGFWCETNAVGIIFRLFFNGEWQIVVVDGHLPCDEQGYVEFASVYHDRLWPCLIEKAVAKMLGGYHKLDGGNPTSAFKYLTGTDYQC >CRE15909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:253008:254074:1 gene:WBGene00081985 transcript:CRE15909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15909 MFTRAILGVRHSNDTSIYELYLATGLLAYGSIMFLINNFLFICLILYKSKRKESDVNDQHFHKPIFNQLFYNSALWLVVTDFCFLISCLGNLSDDGSIRASITLFFVVIEALLVTWGSILFAIIGMFSFLAAIQRITIFYLPKYKFLVTGKWLKYEIRLVYLSVIHYSYAAIVCPFKKLNGQCSYQALYIYSIIIFAISVISAAVYIHIYRLFRKLENMDRGTYLLYQFTPIHSLLMFHSVAHFVGLLIEDRFEMNVELILKAPSYFFFVLNIPGVVSLSYIVSQRNFRNMFWIIAIPIWREISS >CRE15975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:756855:758840:1 gene:WBGene00081986 transcript:CRE15975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15975 MSDVILESLDKHLKTFPVDKSSETISKIISWAGFCMSRVAHCVKLQSIHLPPLNTVTPTKAIIRLFTIEKNNFVMAHELLKTLKTLNGMDVGKFEEEVLKMPELSTLLFREIAQKVDKKVMKNLEFVKVNIKLNMFAQTPIPTHNGGFFILAADAIFDLLMDMIVAKKVFKIIEKKGWIHIKEFFKSMSEFYFDRSRGIYFIDLKDVEIIKNKWEEVYITHFKKSSKFVKKSKKTTGLKETLKFLELDNCFGDIMKYADCICSKSHKNLSPHALQKSILCCQVNSLVRKVPMVLEFIHNQGSCDRLAIIECELCSGGSIAEMPEEEQTIKEEKKTKKTKKLKKQSSIKETKSVESKNDVATVAEAPAPEESSGSLSEENESLKISDAENIDLTTAQLEINALKQDNLDREERIRMLEKLLEQMENVIKEQAGRQQQDQEQRFKALEKTLKEKNDVINLQEKKLAEILENLLDRKDVVIKEQGARFENLQIEKESNKNDEKTQDALSKLQAINTTLKNGQPVSKCTEIVNLLINRTRKEKIRSIAKREMKRFCDEANAYSNAVENRIAMIASPPELPEFPVFSQEFLKVYEQTMKSKTPIICPQLLKPEDPEECVICLETLEPEDETKKCEVCHSRNHKVCMEIG >CRE16121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:834333:834964:-1 gene:WBGene00081987 transcript:CRE16121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16121 MTKLTKESEKPPDFTCNSCGEICCSLASLHRHRDNRYHTSRKCLLCNLFLSKKENSKNHLVHAHNIQKPMTCRCCDWIFLSNMEYCHHRMFLKGTRTDSSYPLILNECPPGFYYGEESKWLDESKEILVKWQMGKLNEEIPSTSKISTPSSSDTVSPALRSRAPAKKKGFMIKDILGWG >CRE15912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:265864:266864:1 gene:WBGene00081988 transcript:CRE15912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15912 MTTAPRADPEFQRSSILYEFLRGKSEFKTYLSFCEVMGEDTMEYREFDYWFTRFSNGNFGLVDEENAVRSIRYFMDLPVEIIGRIVDFVTWKDVVSLRQVCHDLRSLILNMQFSYKDASIMIEKTSTTVTIGEHS >CRE16043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:278988:279811:-1 gene:WBGene00081989 transcript:CRE16043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16043 MLLQFLFISSSLLFQSVYSEGYTCADYLVNPPLDITKPYYFPNDWNESMPPAKYNPSQNCNWQINVLEDMYATVTFYKDSNKAGSFDVYYPDKVTVAIHDDDINPYIFTYQQFRVNMFVQNQPGAFSFKVVWSNYPNACSKTIPLNDSPVPNVPDTCFTTYTAPNNVMLVGFPTKEDSDDALRQSAVFDGGDYRGEYLGNLYDLLNTQIVSKSNQLTVYTFGLSEKYNYTLYMGVDTKGKW >CRE16073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:537472:538744:-1 gene:WBGene00081990 transcript:CRE16073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16073 MTMPIIIRISQYFGFISTEILTVLLLWLIINKSSKNIGSYRYLMLTFSLFSFVYAIVEILTQPIIVLHEACLMVYADSFLKHHKTIAQAGLGLYGASYEFCISLLAVQFVYRFLAICRPNDLKKLSGCNLLKIYILPIFFSTIWFLIDCFPCGPSDLKAEYMSELGYFAVLYYELSIITILVCGYKTYQKMQQVGSSMSVKTKELNNQLFKTLIFQTLVPMFLMFTPVGLLLILPMFSMSLGTLANAPSQFAAFYPALDATIAIFMIREFREAVICRRRRRQKVSFSTASGMAYSVSTNY >CRE16056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:423759:426327:-1 gene:WBGene00081991 transcript:CRE16056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16056 MTVCTNQQNQEDKQLKECEEWVRLFLKDFGPDDIFFDAEFEYSDGSLKWDEHGTILHFDFIKKNSLFPINLLIDLGWYKNGTLNYQKIKNETLAPLTLYKDTWPFHAHQGNIGDCWLIAPLMTIARRQKLLEWIIPPNEYCLKHGIFLVRLFFNGEWQIVVVDGHLACNEQGSPEFASTYYKRLWPCLIEKAVAKMLGGYHKLDGANLTSAFKYLTGSKCLEITLNKDTDLGMLWAKLKAYQSNGFLMALSSHKEQKDISKKGLSNNHAYSLLDVCIHNGHRLVLVGAPNATKWNGKWFDLPAYSEEVSSNWNKLDMYAVEKRFSWMEIDDLCKQFEVLVVCRYHEDWFELRTGRVQFNASSPEKILRINLNKRCKLVVRIVPEYKRWRDFTEPLIGLINVHHATKDNQIGNLIFSSVIISHSVDSHPENNIAETDEFEMNSGSVFIIFSFLSQRNIYQHEFVIRSPSPVDHISYDFVSFEYNLASHKSLLNMIESVKPAIQIRQGLFIREYSEECFLILMVENRTDKQINISVIAKSENDEEIPDGLPTEGEWNLFKHHFGGSNPPAPYIEIPAKSKCVIGSLWTLSKLIKFERQPTKRVISCTYWIRIREEEIKKQGEYNKRRIREKKKIEPLYFIMEKGKFKPVSIK >CRE15869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:1852:2930:1 gene:WBGene00081992 transcript:CRE15869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15869 MNMILFFQMPIYPILNHLYYSMIYQTITLFCLCIDVVFLALSDRDVTLLPVLIQVLLFLPLLLLLTRHMFTKVYVILLSILAIQRFFLYFYPKKQWLVKKNGFRLLIYLIYCLVACEDLLFLMRSATYGKDAFNKSLFSMHTILTILLISSSMLYIPIYVSVRKLSHLMSSQLNKPHRYIVWQTVLLAVGKVVSAMYSLMEMFYVPLAIQLTYLGCNRRNLRTFLNSFKLTKIWKRMCCCASSSTSSSQVQPYVIFDPQSTNRQLTN >CRE16096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:702148:702659:-1 gene:WBGene00081993 transcript:CRE16096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16096 MSRFRIPRSPFWLPPCEDDEIDFFLKDAITHNGHHLENLSPSITEKYTSFLNQPKILHVWDTITYETVPLPIIIHDYIATYTRMLNNRRSVAEVYLEDYGYTLTFPFSPVIADVLGRYHPIEVCAVRIQNPSVNSDID >CRE15939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:530326:531630:1 gene:WBGene00081994 transcript:CRE15939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15939 MPLFLQVLQYSGFISTEISNLILLWLIVTKSSSRFGSYKYIMMSYAVFSIVYGVVEILTQPTTHIHGACMLMYVDSFLKYDKPIALILVGVYCATFALCVSLLATHFVYRYFAICRPQDMSQFQGVRLLRLYILPVMFSILWYLVVTILVGPTDRKDEYMREAINETYGEDTSRLSYLGVLYFYKDESNGKTVGWPDFTYCAYTCGVMQVCIITMVICGWKTWRKMENVEGSMSKKTKELNAQLFRTLVLQTLIPLCTMFAPVGALIILPIFSIAVGTLANAPSLYAGFYPALDALVVIFMIRDFRNTILCGKAETKSLSSITPKAQYSSNYVSGG >CRE16123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:855735:859258:-1 gene:WBGene00081995 transcript:CRE16123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16123 MNETKCELAYQLTYQPVYRASQFYTFGVSFLAVPALLAFIYKRILSLSFHGNLRVLLVAYFSSVLLYAIVLCFAFGYHAFSPFFIKSECGLIIDRTLFQIGHIVVLFCLTFPMMFPIGFTIERFVATAMSSSYENTRTLLGPILVIVLSLPNFYTFYRIFENEKFEDIFISFLMLPSTSATQFTNYLWTLLYIKFGNTMANMVLLIVHSILKPQYQKSSLTTKYAMEEITKTSKFTMTITFTHLLFFGIYTACSLFVRVLGQQFFGSFINFYVARGINCAVPTYNLVIVIVGFISLYHLNRKRNQAVTTNVQMMSIGSEGAKNYDEAINNQWATVVSGKV >CRE16048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:333716:335964:-1 gene:WBGene00081996 transcript:CRE16048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16048 MNVNIRVANVDEQRYYFIAPGGKIKFTTNDERVQFGFSLYWQQYTATQSVQLNVSLSDTQPTVLERNGMKPALVKAGTKVSATILSPVYNYLIQNLRTLIFFDGDSWNSTSLGTGLQLLQGNTQFVSTGNSMTVLPLESFYYDYGQIVLQDYELTKDIASFQGLKCTLYSRCEDLKLDASGGPAAFQLYTHDVLSVANVLTEIRGTGNLDVYIGGVTVNKTNLISSYSAEPKNLPQELLGAFKTLVLRNGTTDLTMSAFSKSFKETVKLPRTGFIASTEYGSLSTSQDSHSVVKSLMIAKFGISIRDVDLSGYATLDINGYKNKTLVFARSYYHFHVPIEQEGFYGDTLDIFKWKTVL >CRE15907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:249235:251231:1 gene:WBGene00081997 transcript:CRE15907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15907 MKRSLSISGQLQDYSDSALKENFEQIIYDVFIDLKALAKLSRLSIENLNVNNGPTNLTLEYEPHWTDPFFHLEYYNWLGIIDTLKSPNSFLPVKSVSIKSSLLLCDNFLSILKPEVLEKIELDQGACTYEKIRMEQFKKAKIFKYTGMRYMFEENELNHLVEFDVEVKELTVDQMVVLKNVRHKVKVNVTTGRPTTTKKTKTSSSGFKSPTTPKPLKLPKMPKASKVQTGKGG >CRE15893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:150970:152716:1 gene:WBGene00081998 transcript:CRE15893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15893 description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:E3MBE1] MASGFIEIANKQGLSATLLPFGATLAKLTFPDKEGKNQDLVLGFDTIDEFEADTASIGKTVGRVANRIRNSKLTFDGKEYSMKPNNGPHYLHGGPNGLGYRKWEVVRHAPQSVSFSVRANEQEDGLPGDAKIDVTYTVNDRNQLIIEHHATCETAGLLALTNHAYWNLDDSENVSEHFLEMSSEEYVEVDDTFCPTGAIRPVNKTRFDFRAGGKQLKKSGGAPGELLDLDNDLVIAKKDPPVTPTSSHLRFWSQKSGIEMSITTSYPVIHLYASKFLECRGKNGEHYKPNKALAIEPQFHSAAPNFDNFPDVSLRPGQHYCQEIVYTFSRVN >CRE15971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:721472:722136:1 gene:WBGene00081999 transcript:CRE15971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15971 MLNEIFTIVISFFSITVFFSDLPDHARNLVQLPKYFYSSLILVVAQIVLFKEFCMLLWVIIMYFLNIDYAVEYFSHYIGIYTSIQLLFWASILIRYTYREAWHDMDISKQIMAQQAYLLAAFKLPTMMIAFSFFFRVVDNQVFFLMLMTMDMITLPIAVRFFEVYTCFKIMDAVSQKNAIADCNKS >CRE16068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:514456:516073:-1 gene:WBGene00082000 transcript:CRE16068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16068 MLSSAFCLKCKHLYDLKKRKVCTGTCTHSICEECYDKKLSGTCPTCEKEHAFDVKVINWAALDMTKELIESISISNMFDLEFDEKTVGEGPCSECKNLSKLRICADCAIQHGLLERSKDGGVELSRETSEEFLETKVLRIRSIALCSDCALDGGKHKGDGHNLIFITAIKNMKDTSDMLNFLSKTSLAIRKIKKGFEERNQQKKVLLYFSWNSEIVKTFERIRAKLEQRSKEDTSEDVQMAIQLFNTILDSFLNGYCYFYKKMSNKVLEITGDKMDRAESVQEKNELKQTMEKLQYFDEFFNSRASDFNISSSDVVNFIKCVGVLFESDETAEQLTYSEVVREIYDITGDMRKVIKLPAKYEKVANIAGSVLQLFMADKEKKVEDTDLD >CRE15878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:50230:50466:1 gene:WBGene00082001 transcript:CRE15878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15878 MTFSIYHGPPILPWASDSDRGLLFFYGPPILPWASDFFMGLRFCHGPPILPSASDFFMGLRFWNRAKNATKNYRQLLR >CRE16072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:535823:537150:-1 gene:WBGene00082002 transcript:CRE16072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16072 MPLFVQVILYSGFISSEVTNLVLLWLIVTKSSSSLGSYKYIMMSYAVFSIVYGVIDIMTQPITHVSGACLFIYVDSFLKYEKSISLVLVGLYCATFGSCILLLAIHFVYRFFAICRTQDLHRFQGANLLLFYTIPVTFSILWFFTITFLMAPSDLKSEYMRNSIAVNYFEDTSKIAYTAILYYHTNLSGQLVINWLDFAAAFFFCGVMQICIIIMSVCGWKIYRKMKQAEGLMSEKTKELNSQLFRTLILQTLIPLCTMFAPVGIVIIIPMFSIDIGKFSNAPVLYTGFYPALDALIVIFMIRDYRNAVLCRKPKKILSTVVQPGARHSYASSD >CRE15873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:13087:14902:1 gene:WBGene00082003 transcript:CRE15873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15873 MLFEWSSDSDGVLIRIDYSIPIYPILNHFYHSMIYQTISLICLFISLFLYFVSGQKDLNPLTLSIISLFCIFILSLFIRYLFVKVCVILLSILAIQRFVLYFYPTSENHWLTKKTCLRLLIYSLYCLVACEEYFYIIHHNVAGAADAYNTSLFNLHVVLAILLISSSMLYIPIYVSVRKLSHLMSSQLNKPHRFIFWQTVILTIGKVISLTPTLIHVSMGTSREIVRTKNKK >CRE15968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:715722:716833:1 gene:WBGene00082004 transcript:CRE15968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15968 MSNITDIINMEELVESMKGDIIRLLLSYATVLGGPASYFLFCLPFYYTAFKKNQHAYSESPFRPLIMFAYRTIMYLYTAYFVVIIIAVIVGLVTSLLLSLILIFFLVCPLVILLNWFIVSYQLTTSIISIHRLINSRRSLELRKQLSHHQVHILTYGIFGLEVLKDLETGRRVAAVFRTENLGTFETPGMYYKVSFQGQHYSIWRFQITYIVHQVFVFIGMACQLHIKEPESSPPENVIATHIKYIGGIKLALGIIYAFCPLLEYDEIWASTLFFGIDFFLVPVVIQMTEIKNTAPKVITTVPISLPVIDIQKIDFDFNLN >CRE16074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:541961:543101:-1 gene:WBGene00082005 transcript:CRE16074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16074 MRESSKYPINGICRFENFPEQNENNDFSEVIIGRMCGVDGWYVSLAIKAEDGINHIYPYLDCPSLTGNKQLAIRCFFSFMNQKPSENSQKVSRVLLDSSWAPIGNFIKLEELLDDKNGWLSNGTLCIEYGFCVESMEGIDGIWKFNFHDKLFDCDNKQNMIPLEDSRCGSDRCSPFYIHKQLLEFHSSYFPEENQKVHEFSSLNWHQHVLELLQIIHGVNVRVQNPCYTLNIGGMCKMNALNVRRYCERQLIKREVEDLGYYFFIASLHNLNHFLPYLLKHVKSGKQLSTIIMKDVEIEKMSSEFMKQCTRYFFENSEN >CRE16016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:104149:105657:-1 gene:WBGene00082006 transcript:CRE16016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16016 MSHILQNSPHVFRTLILYEAIDQLPAFHAYKNFARKVGEDAMSYQDFEFWYMRFLRGEYDMDYDRSQDPKCRSLMNMPLEIIERITSDLTKNQRITFRKVCRSFRIIMDMKPPAPLKKILVRFEADYSQLWLDDGTRRPDRYINWHGTPDEQAKREFDSYAICYMSKAYCWNQEDMQEGDCKVSRGYRGKILKNTNHWELAMNDLTTALKEQKAVLDEIMIENKSLDSFGELEPKLKALSGKVLVKKLKIVTNYSNEEAMILPYVSPEHIEEVEIEMRDRKFGQRSKGEKRKRIQKIVETELWKRAEIRTLHCHSKTAFPLDTLMDFTKFNLRLEHFNSSPNSLLRTVQNLITSPVLECVRLGSSSYPSHTNHAKLIAAIGATEINWMTHHVRIPNSQEFFEIKFDSNDKLSFFTITRINVQN >CRE15890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:142623:143248:1 gene:WBGene00082007 transcript:CRE15890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15890 MVQVGDEEKKSETIFNQTGMPEDSRKTSKILCCNLTRYLILILTLTCLTLLQMNSLTFNFTVICMSDIVDDYHGIHPNGTHWFEDSNMKSLVFSSMAMGGLLGLLVAMPLMHRVGVRLVLSICGVFSIVGTVLFPLAVEFNFFSVLAVRFLQGLGVSMVFTVLGSVPTAWAPNNESSTFLAVLSCAFQVSDDI >CRE15911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:258391:259826:1 gene:WBGene00082008 transcript:CRE15911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15911 MFALVVLLIRHQNELGALEYSYAKSLQTYGIIMFLINNFLFICLILYKFRKKSGENDQNFHEPIFNQLFYNSAFWLVATDLCLLINFLGEISSDENFQSKITALCFAIEYFLKDLGSIMFAIIGMFSFLAAIQRITIFYLPKYKFLVTGVLLKYEICLVYASVIHYSYTTYFSPMNTDNYETKNVCYSHTESMIFSEPPTPPQPTTTRSAR >CRE16050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:341052:341513:-1 gene:WBGene00082009 transcript:CRE16050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cpr-5 description:CRE-CPR-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MBJ3] MWKLSTLLLVASASAVVLPGHREAPELTGQALIDYVNSAQKLWTAGHQVIPKEKITKKLMDVKYLVPHKDEDIVATEVADAIPDSFDARDKWSSCVSINNIRDQSDCGSCWAFAAAEAISDRTCIASNGAVNTLLSSQDLLSCCVGVLSCGNG >CRE16036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:246279:247089:-1 gene:WBGene00082010 transcript:CRE16036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16036 MFTLMILAVRNRNDSSDLQYYLTTGVQTYGTIMFLINNFLFICLILYKFRKKLDVNDQHFHEPIFNQLFYNTAIWLVVTNFCFLITFLGEISDDGGFQTNITMFFLIIEIYLMLLGSILFATIGMFSFLAAIQRVTIFYLPKYKFLVTGLLLKYEICLVYVSVIHYSYAAIFCSVYTTDARCSHRTLYLYNIIILIISIISAAVYIHIYRLFKKLENMDRGTYLLYQFTPIHSLLMVRFF >CRE16038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:260136:261176:-1 gene:WBGene00082011 transcript:CRE16038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16038 MILAVRNRNDSSDLQYYLATGVQTYGTIMFLINNFLFICLILYKFRKKLDVNDQHFHEPIFNQLFYNSAIWLVVTDFCFLISLFGDLTDDGGFQTNITMFFFIIEIYLMLLGSILFAIIGMFSFLAAIQRITIFYLPKYKFLVTGVLLKYEICLVYVSVIHYSYAAIFCSVYSSDARCSHRTLYLYNIIILIISIISAAVYIHIYRLFRKLESMDRGTYLLYQFIPIHSLLMVHSVAHLVGVLLDYYFHMHVGSTIKFILYFAFVFNIPAVVSLSYIVSQRSFRNMFWIIAYPIWREISS >CRE16019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:110200:111167:-1 gene:WBGene00082012 transcript:CRE16019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16019 MPRDNPLTYLSTVAVLEFVSFNKRKQLYAKCPAIRKIEEKIPYHLESVGFFIHFPNHFVVKFLSFRISFFESNGSMMSMTNLSTGKTIDRIIQVPLEDAAEKFALYNLSRKGTHIKRLDMGPGPAFIVNCVPIAIKNLTVRKASKSSWFPTVSPIENVKTDGAIREDTLKMAKHVTVLNPGPHAIGINTEVISEWNCDSINIDVPMSKEEVADYCIRVLKRTERPIGSLLSASLRYSTYNAWYIPEMTSSLVERLFDLLQSRMNARKTVLNEK >CRE15887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:120945:121858:1 gene:WBGene00082013 transcript:CRE15887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15887 MFRSKPLAYQSAKAVLEYISFEKRKHFYAMCPAIRKMEEILPYHLELVSLWSMAQDHIITGIDSFGFSFWDNEGNQIRMNNHSKRKFVDQFTCLGPEQAVEKFFLYNLNREGTRIKNVELNVSVSRFFFMLIYENFQKAPEFISKCIPIAIKKLSVERTAAWFPTNIPIENVEVDTTLREDALKIPKHVTVRIPVYVATRINAEIVSEWNCESIEIDSPMQSEVIAYYCNKVSKRTDRPIGSKLMARHSLPVKFVHDILYSKMNARKTMLNGR >CRE16095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:701507:702016:-1 gene:WBGene00082014 transcript:CRE16095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16095 MMSSTKFWMILSEVFIYEFGIVAVPFMEFHKFQESKLHNDYFFSKTIFSPKHEYWWSNGHLVSIASYLKREWAVQSNHPSGPYVYIKKDENSLYPIDLVHHIEAETDEEIQERQQLEKKERWDALISVSKQITVLGRKHGYVKDLNFKNELSKKVEKLARRMIGITLNS >CRE15884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:97983:98523:1 gene:WBGene00082015 transcript:CRE15884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15884 MNFQLVLLLSLTGLGAAVALGDLLGGVLPVTFPTLQVTDPTLQDALDALSEALDNIKIPSPNGGGGYYTPADLVRNIKNLISSIVTAIVTAVTTVLATEGNING >CRE15993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:886422:887481:1 gene:WBGene00082016 transcript:CRE15993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15993 MPQSKPLAYLSAKVVLEYTSFGKRSVFYCPISVVNISFRKHFYAMCPGIRKIEENLPYHLELVRLDSMEQNDIIISIDSYSFCFRENMMRMINLSTYKAVEWLTCSGPEQAAEMFLLYNLSREGTHIKNVELTDGPEFISKCIPIAIKNLNVNRTDAWFPTKFPIENVKVDDTVRADTLKMAKHVTVKNYSRINTDILSEWNCESIRIESGMTSEMVADYCNKVSKRTDRPIGSRLMAVHVPPVKHMIEFLSSKEHARETMLNDIQCVTISIDESTELNVYSSSVEFFMVVVEVCARGTAIDRVV >CRE16116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:818051:819542:-1 gene:WBGene00082017 transcript:CRE16116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16116 MAGSGIGVLSMLGLNTGFQVYFSVMIVSVLVATMVRVYENRWYLLSRNRKLWRRIRGHACILNYIISATFFIPFLFFVPDQTEAVPVVLKKVPCYHLYTQTIPLYVFTLNPIPVLATVALFSVVQISLMTLFVCLTVRVLAIQAKRNTTSQYTVTLHRKFLYALMAQTGLPVIVVFCPLLSLFYLVPMGYHNQMITNFIFISVSLHGFFSTVLLLLVHQPYRLATLRILKCGCMTKNAVRGGTSFK >CRE16010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:75970:76317:-1 gene:WBGene00082018 transcript:CRE16010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16010 MGLRFCHGPPILLRASYFFMGLRFCHGPPIFFTGLRFCHGPPILLRASYFFMGLRFCHGPPIFLRASDSAMGLRFCHGPPIFLWASDFGTEPHVAHFKEKPEEYKKMCVEFLEKM >CRE15955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:663819:664622:1 gene:WBGene00082019 transcript:CRE15955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15955 MFSGSPLLLLPDLVMDEVLKNLDMNSLFTLKKVSRGLRVFIARKKLDFKISTLTISVKKDVACLTLFIDGEQYACIEYGRKDENATLVSSLHKKNLVSMEYLDALCKDLEIILKHQKLIIEKFEVLISGGPEINNFDILEHQTKKFLIDLKNILKCRESLIKAKFLKMEILNQNQVMQVLPFLNAKTLDAILLSNSRGSMNFLNLYHIANLDQWKNASAFQTERFIVSQAMVDFAHFSYTGTIFETSYRN >CRE16003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:22171:27548:-1 gene:WBGene00082020 transcript:CRE16003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srz-12 description:CRE-SRZ-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MBA3] MTFLESYNDTYQTPCNSTTDDLKRFLFKANELIWFGIIETCAFVQIILFPFYIYVHRVNNKKDREIPIYPILNHFYHSLKFHIILLLLSVIAFNIFKYFVKDISKNTTIAIILLSYAIFFSATRYMFVKVYVMLLSILAIQRFVLYFYPTSENLWLFKKKCSRLLIYLVYFLVVGEECIYYKRVYLYGAAALFGPASSNSSLFNIHVILGILLISSIMLYIPIYLSVQKLSHLMSSQLNKPHRFLFWQTVFLAVGKVIPIYPILKHFYHSMIYQTILLLWSLFDLILLIYFLTDFLFTKYLTIQIIVTIPVLVFTFTRYIFVKVCVILLSILAIQRFVLYFYPTSEKYWMFKKSWLRWQTYLVYFLVAYEDCFYYKRVFVSGGDPSNGSFFNMHTFLAILLISSSMLYIPIYVTVRKLSHLMSSQLNKPHRYIFWQTVILTIGKVVSLIPVLIYGSLGESKDFIFVCYSFIEMFYVPLVIQLTYLGCNRRNLKTFLNSVKSTKIWKRMCCCASSRTRSSQVQPYVIYDPQSTNHQLTN >CRE16101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:734056:735736:-1 gene:WBGene00082021 transcript:CRE16101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16101 MSSPPPPSYLETTIRKCIPKELIPDSWKCDNQIDHKSNIASLLEAGKKQLGMFESSEKLYENVIQYMDFPKNEEHFKMSRSTRVERFPSLPKSIKGQWCMYKNDMYKLFDHHKLSPLQSTTSALAETVLEDCWEKLRVEKHHEMIASPNEPMVPTFGDAQRAALFEPFSSDLMDHQIHWREIANVSSSVIDSITFDSMEKHSGELSNSEAGKVIVWVGLIIRKAEMFAKRGDIHLPPLNSVAPSREVIRLFSSDKNLFVMTHDLIEILQKHDVDSVVLDKLIPDDLKKEKLSTLSYGDALKVLLGIGNKELMNLEFAKMKSDLLMFAQNPIPTHYGVYCIFAVDALYELLMDMIVAKKVFHTFEEKDWEEVNKFFESVKSHFGINRGIYFIDLKEAEDIKKEWEEIYNQHLKRDSKLIQLKKGRFLVNDFMTALKSLKLDKCFGDIVEYAYIIFAKLEKKSPSDMHFAIAHCQINCLARKVPWILEFIERQNSRNRMNIVDVAVRQEEFDVNKLAAELEQMKSEKKQEAKGNVTTKNNTKKK >CRE16086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:604265:604555:-1 gene:WBGene00082022 transcript:CRE16086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16086 MSRPLNLAILTILLGLLLIDFCASAPPSGAAHQAMRLKKWYSWNNDLEVTKKWYDWQNVPHALQQKRQPFEVASSDYIIE >CRE16135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:913285:913966:-1 gene:WBGene00082023 transcript:CRE16135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16135 MAVIEVVESFLFIGLINRNMKSSSRQMTLSENTMKLQKKLMRALYAQVKNLKILKMIFLFQVTVFLLNFQVPIVYILYSVTSNFYSQEANNLVFIVASLHGINSTLIMLFAHKPYRAECEKMIMSGREFFKIPHEPLEAEHSELEFHQQLFHFRNKIYSPPYVTVLPRPPTGTRAFLAAILSISLLRWMVSGLLNADSTALGMRYSR >CRE15872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:10461:12000:1 gene:WBGene00082024 transcript:CRE15872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15872 MIFSYTNGVIAFAIVGVCALVQLVLSPFYIYVNRVNDKKDREPSKINMILSFEMPIYPILNHFYHSMIYQTISLFCLFIALFLYFASGHKDITLLPISIKALICLLLLSIFTRYIFVKVYVILLSILAIQRFVLYFHPTSENHWLFKKNGLRLLIYLVYFLVTCEDFFYIVHHNYSAADASNKSLFVSHPYYL >CRE16090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:669375:669737:-1 gene:WBGene00082025 transcript:CRE16090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16090 MKMSRYYILLLILNLIGACAGIQKIECSNYSAPVTVIPPIDGPTQLLLDFRLLSDEQASLNNFQQKFIFSLFQLCNSRRKIPKFKKMHIEQSSYITACDECPQ >CRE16009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:73241:74704:-1 gene:WBGene00082026 transcript:CRE16009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16009 MVVIGPEHFTNVKKCIRKNWFEIDSAWALQILCNFSLPFNNLAIFTLYNNCVHLSAFCIFINLFHIYVLTRKSLRTFPIYIILTAISMTDIVSLSYDIHVEIVEVYKIFKVCYSKQTDYNIVLLNNIMEFIRNYSRRCSTWLSFSIALIRTLITKYPLSPRFEILSKPKIAFYIIPTVLVLCATIHIMDIYKYEIVIVDEYYKCTQFPEYTTIWYAHHFSPLFVKDKNLILMINKTIDALISKIIPCILFPIVAFLLVYELRKAKEHRRRMSQSKNAANDSKSNTKLVLFLTLPFFLAELPLGIIFILSTEYKMRETLGFLLFMEGLEKFFSFVLSGTTATHMIVCLFMSSQYREEVWTFVRFGVPRNSK >CRE15985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:850403:851943:1 gene:WBGene00082027 transcript:CRE15985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15985 MLDHWSNVPRIIWASTLGPLGFLLNLLLGYIIFKYTPDSMKTYSTMIMVMTISDAVNGFCSVMTSVRTISTGSQLFVIFGGICESAFDEKLTKSRFCMSWYALQIVCAMLNSIIMIFSYVYRAYVITMPFDTINQKKIQSATYGYSICHFSYFTWGYFMSWSSLEAMDAEISKIYPEIIVSNYTYSGVLDVNAPSQIVMNMMSIFLSVFVVMVALVCKCIIERYLNKFTFTEKKKRQHRSLVNVLLYQTFSPFLTLVTITLYVTIGQTGGSTAILENLMPFSLVLVTVVSPTASCILIVPYRTAFYKLWNSSISKIFGAHSRLLKNQEQPLRSTISVTNPV >CRE16012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:83798:84347:-1 gene:WBGene00082028 transcript:CRE16012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16012 MNFQLVLLLSLTGLGAAVALGDLLGGVLPVTFPTLQVTDPTLQDALDALSEALDKIKIPSPNGGGGYYSPADVARIIKNLISSIVTAIVTAVTTVLATEGLPGNING >CRE15922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:367492:369642:1 gene:WBGene00082029 transcript:CRE15922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15922 MKSPGAVISLYIRQFFRWTGVQVYRHPAPFFWIPVTLSFLAVLGLLRFYEENRIWYLYSPEKADSHFEHAVANEFFNDRGGKFWLEKSVFSAYNDIKLTATK >CRE16035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:238284:238853:-1 gene:WBGene00082030 transcript:CRE16035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16035 MFTLMILTVRHQNDLNVLESSFATGVQTYGTIMFLINNFLFICLIFYKLRKEADVNDHSFHKPIFNQLFYNSAIWLVATDLCFLVTFFGEISDAVGFQTIITALCVAIEAFLVPLGSILFAIIGMFSFLAAIQRIAIFYLPMYKFLVTGILLKYEICLVYVSVIHYSYAAGEIEF >CRE16007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:66532:68402:-1 gene:WBGene00082031 transcript:CRE16007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16007 MNAVGSWLIVMISIALVHSVRVDSSLFFKKKRCDPKCTVTQSNFTDFPSECQTVCADIYIDENCDLSENQLTSLFKNMKHLIGSLVVNGTKLTSGKFLAGLETIVTDHYDIVWTNNHEMVELGLSNLSVIDCRRLEISKNSKMADLGLLNLQTALSSSNTASYVWLEIYKLSDNFCMPFDQMEIFLTIHNLNLAYVKANYCEPTKSDDARIHGDVIINSNSNFDNMKSVKAIFGSLIINGTDLENLDFLKSLKYIAPLESTDDEIKSLIICNNPNLINIMLPSLKVKHFLISSSQSLQLLHRNRIRTDKFFAIQISGNNEELMYDSKSCYQLQNSLHKIYRASINGNSCEAIEYKEKRRIMEWKAAMVKDFSFIVIGMSLVGMILLTCIVFWLKRYRTVRPVK >CRE15963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:700086:701444:1 gene:WBGene00082032 transcript:CRE15963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15963 MLSRLDAKSQDAIIDDLDFTTMPATQTAVLSSRNKIIPLHNAFLKNGPWEFILTGNSRSYLNLKKTWMVFTFKITDAAGKPVTDTKLYAPVNNIAHSIVKNFSVHINSQLVYHNSVNYAYKSYFENLLMYSNEQKRSTLSISGYSPDSVIDNKDDSAFKTRSAWVKDGKKMQVAANISIDLTNQPRVLLNNCNMKLTAYPNSDEFLIDNYEDSGVKYKFEIEEVYCIVNEMDLADGLANEMETALMEHKLFQYPLISPQVRSFFIESSRLDSPATTLYTSKMPRRIFMGLVSGEAYNGHYNKSPFNFQPFDLRDVHIDYCGVTLPGRPMNLDFGNGKCVEPYLMLQEALGHTRNNTSCNSISFDQFKSKGFTIFGFELSPVPQDTNLFDLVRPTNLSIRLNFNKPTPSGGIYCIVYAEFDQIIGLDYQRNPIIDTVV >CRE16128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:880491:880996:-1 gene:WBGene00082033 transcript:CRE16128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16128 MATNDKLSDEQAAKKKIWIKGLYFLFIGKDSLLKLALFLFDCALVSDNLELKYMQISDGAGTIFTVAIHLVVPAALVTSILMKCSPKNPLQRLLFYQAIIVTIITLLDFAVIAVFLMVDHRRSIHFLIKLLDVTSMALPLIIQATTIICFKKN >CRE15906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:244259:245319:1 gene:WBGene00082034 transcript:CRE15906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15906 MFISAILGVRSSNDSNLLEYYPATGIQYYGTIMFLINNLLFICLILYKFRKESDVNDQNFHKPIFNQLFYNSAIWLVATDICFLISLFGDLTDDGSIRSNITIWFVLIEALLISLGSILYAIIGMFSFLAAIQRIIIFYMPKYKLLVTGALLKYEICLVYLSVIHYSYAAIFCNVLKTMGKCSYKIMYIYSIIVFIISIISAAVYIHIYRLFKKLENMDGTYLLYQFTPIHSLLMLHSVAHFVGLLLEDYFQINDELIIKAPSYFVFVFNIPAVVSLSYIVSQRNFRNMFWLIALPIWREISS >CRE15875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:18252:20676:1 gene:WBGene00082035 transcript:CRE15875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15875 MNYIESYHQTDTYQTVETLFAPVNSTMIDLETVFSYTNYFIFIVIVGVSACVQVILFPFYIYVHRVNDKKYREIAIYPILNHFYHFMIYQTISLFCLLIALFLYWRSDLKDPHEIPILIVILLVLPLFLSFPTRYIFVKVYGILLSILAIQRFVMYFHTTSENYWLVKKNGLGLLIYLAYCLVAFEELIYFKRQLSTGTAVMNISFFNMHTFLAILLISSSILYIPIYVSVRKKSHIMSSQLNKPHRYIFWQTVLLAVGKVVSLIPLLIISSTGDSKDKSDIFLLNAFFEMFCVPLLIQLTYLGCNRRNLKTFLNSFTLTKIWKRMCCCPSSCTRSSQVQPYVLQSTIN >CRE15874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:16866:17617:1 gene:WBGene00082036 transcript:CRE15874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15874 MHTILAILLIFSSMLYIPMYVSVRKLSHLMSSQLNKPHRYIFWQTVLLTIGKVSFVCYSYIEMFYVPLVIQLTYLGCNRRNLKTFLNPFNLSNIWKRMCCCASLFTNSSQVQPYVIYDPQSTNRQLTN >CRE16032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:222817:223626:-1 gene:WBGene00082037 transcript:CRE16032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16032 MFIIVILGARLHNDSSDLQYYLATGVQTYGTIMFLINNFLFICLILYKIRKKSDENDQNFHKPIFNQLFYNSAVFLVVTDLCFLVTFSGKISDDGSLQSSITMLCVFIELFLMLFGSILFAIIGIFSFLAAIQRVTIFYFPKYKFLVTGIWLKCEICLVYISVIHYSYAAFLCTFFKREARCSPQTLYIYNIIIFTISIISAAVYIHIYRLFRKLENMDGTYLLYQFTPIHSLLMVRFF >CRE16091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:674119:676640:-1 gene:WBGene00082038 transcript:CRE16091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16091 MTMIIQNILAIISFLTNSLLTFLIFTKSPKTMGSYKYLMAFMTGFELVYALIDLLIQPEIVTMNSLWVTMTSSKRAIVPLSVAYPLLLLWGGSFGIALASFGAHFLYRYFIVTGNKKWVSGTTFFTFWIGIPIASGFMYVFAIDYFLQLNQVMDGIVRAKLMVSEGVPGDQLRYFSSYLFLTTPSDPVSQLDWKQIQGLIFLCSTISLSLFTMIYFGLKTFSEIRKLQDTTSVSASSKTLQTQLFYSLVIQTTIPVILIHFPTTLIYISTFFNAAPPIQGTICTITISMFPAIDPLPSLIIIKPYRQAILGMGQVALKNASVRKYLQLKPAEVELTHFEFADSKYIFRFCPRGLVSEKNKVKV >CRE15954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:662310:662626:1 gene:WBGene00082039 transcript:CRE15954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15954 MKQVETASNSFDAVRDVWNNATSLRNHSRFLKVLHTEAEFRGNLTVSVSYEKKDLPPISTTKKASGQAE >CRE16133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:910371:910845:-1 gene:WBGene00082040 transcript:CRE16133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16133 MMKVVKIANDVAVTAKCVNNVATLIARKKENSSTTGVVLSPQKVMERTEGKLKLLQTMLKRIFESKNENLINDIKEHNIQEKLLSFVAWIERNKHKKLNNLPKSELNKLYYVVDETNSIYDQTMALIMVNTISELEEKTRL >CRE16142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:944366:947533:-1 gene:WBGene00082041 transcript:CRE16142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16142 MKLFQLYVMNVWTRAEQNNFIRSNHSLLHIESLSGLQVYVVGEEKEPVRMRIMLSAFFTGFPGEYQDSIAMVAKLGKPDYFMTVTRNPFYPEYLPNFQQLPHFDLYIKNSTYVFMAAVFIMVSFLLCLVLTLIIVDIFRLMSTLKLKISLGTFKKHKDATRSLVVQITTTILCIFPIALVVVLVVSEFRNAQFIGSICLILFTAHSSINIISLFIFFPPFREYASEYMSFMRKRKRTTECKKLGTFRFYLLAYLSMCVITDIHLTFLMQCVPLFPFLAGYSVGILSEWFGISLHYNIIIAFSIVILQIESLMMSFIQKHQVIAIILKTHILPNFLYFLIYIFCLITPLYITLCFNVFHVEKEEQFRYIAEFYPEYLPSFQQLSHFEFYIKNSTYVYMAGFVFIFLTIVCSGLTLTIMDIFRLMGALKLQISAGTFKKHKDAIRSLIVQITTTIFCLSPVSLMMVFVVLEFRNAQFLISICLVLFTAHSSINIISLFIFFPPFREYASRYMPLHNKAVGSSSIKVLSYLSKF >CRE16138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:922650:923303:-1 gene:WBGene00082042 transcript:CRE16138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16138 MNYFIILFFLLFSHFYCSEDILAELQIVLDHFEYDPACVFNQSVVDSTTIKLFPPCEIVYAIIVFNEKTDLTPSQIKKYLKKMGALVGGIKVEYSNFSDLAFLPNPKGYTLTIWCQNYGVYIKNNKNLKAGFELPAIKITGNPNGQKNCKFEVENNAKLNAEMMCNATYIIENTDLRVTGNLNNCGE >CRE16042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:275759:277226:-1 gene:WBGene00082043 transcript:CRE16042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16042 MGSIGDVQRFRGLRCKPSVSPCLIYLPSSAISAVATVSDDVDYLAAFDKFPYEGTLKIYEGKMAEDNLLTTVNRTDYPYKFPMAVKNNVKIYYLDQGTVQIPLSTQTRSYWTTVFPNRIVNIHSFYYRELSPYQYTFETFTTSRKDIKMHFNLNVKRFDVNGPTKLDIQVLQDGYVVFSQRYTGVNPPPTDTLRLFGDAIAFIYDTDGYSTKGFEIEMICKDSGLTTTTTSYPTVPTRKTNPTLRTVATTVTTKNPTSSSISPIPTTTTTTTTSTTTTTTTSTTTVPTTTKFSNGAQLSYFVLVIFLRLIF >CRE16130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:883337:884332:-1 gene:WBGene00082044 transcript:CRE16130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16130 MRWYELVFIFAKIEFCLSLTINSFLIYLTIFHVKSVTGFYKKIIVLFAIHGVLLSGLEITGRPFVHNYNKAMIFFSLNTWFESYLLIQIMVAMWAGMYVCVLAFVAVQFLYRYFCLFNVKMIHKFKGIKSLVWMLYPFMGGMVTSLTLFHAYLMSIQMSMKGEHCFLPLFINSYIYRISFIENYNQNISDTPRFSIIIFNGDSSIRWKNATFMIFAILIISFHFGVVLYCGLKMHYSMKDKLQQLSMKHQELEKQLFKVFVVQGLGPTVLLFVPAIPIIFLILVQFLKIEVDWQTGLIYPLIGLYPPIDSIAFMLIVAEYRKIIKRVFCYH >CRE16000 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:925389:926753:1 gene:WBGene00082045 transcript:CRE16000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16000 MTRLIRTIKRLFCKTCLTGIKKPNSSCTLDHDDNMVCVCDTDFCNTIHLYRSNATILPITECKAVNQLIYETRGCNKCIRSISYLKNDDYELNKFPNSGANVKDLSENIQCNTRGDSGDFILDQSVYMPERLYRNFFAEACYNFSMNQYHYYIHCRCASTNCNSPETPNLPYPIAPPRIKCFTSGYDVDVNNKKYENLTTKIWYKDNYRMLMTNVSYVDDNSECQGHYCVIAVDEGILLIDTFYDIYYKGCISANEQGPQKLALGYSYLNDVRYYICNEDYCNADIETALESAKNSSIDVRDNSSDRKNILIVFFFLCFSFEIF >CRE15938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:528555:529842:1 gene:WBGene00082046 transcript:CRE15938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15938 MPLFLQVIQYSGFISTEVSNLVLLWLIVTKSSSRFGSYKYIMMSYAVFSVVYGIVEILTQPVRFSRLKSLILKFQTTHIHGACMLMYVDSFLKYEKSIALVLVGLYCATFGLCVLLLATHFVYRFFAICRPQDMSYFQGTRLLRVYTIPISISILWYFAVTILVAPTAVKHMYMKDAIRETYNEDTSRLSYLGILYFYKDESSGMQVISWPDFTFCAFACGVMQTCIITMIICGWKTYKKMESVEGSMSKKTKELNSQLFRTLVLQTLIPLCTMFAPVGSLIILPIFSIGVGKFANIPCLYAGFYPALDALVVIFMIRDFRNTVLCRKAESKPLSSITPVAQLSSNYVSGD >CRE15994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:890441:891163:1 gene:WBGene00082047 transcript:CRE15994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15994 MKELKGLKSILMIIFPCIPGAFYVVILYVLCPTDKDSDDVFRSLMLQRYNLVVSEIPRMVIIPYTSTGEIRWNNLSLLLIGSFLVCLHYSIMLYCGFKMHFNMKKELQKFSVANRQLQIQLFRALIAQSLGPTIFLVMPIAPILLSPLIPPVFGIDIDWQTGWFFTMIGVYSPFDSVAFMLIVTEYKTVIKGKITTEHSVPYQQIPQTKLFRKNLVSKRLDS >CRE15936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:524160:525012:1 gene:WBGene00082048 transcript:CRE15936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-str-121 description:CRE-STR-121 protein [Source:UniProtKB/TrEMBL;Acc:E3MBN1] MFLRIQIMHLHGACLFIYVDSFLKHHKEIAKASLGLYGASYELCISLLATHFVYRFLAICRPNYLNKLSGYNLLKIYILPIVFSVIWFLINYFSLGPSDLKAEYMRKSVFEHYNEDTRELGYLAVLYFASLDYCGRGHIRSYSIPTNRVPPLLIGKILHPVLCFSGSCSYPLLLFYFVAIKPKNAKGWIVDEWKDEGVEQSAIQCIDTPGMTAESDSRPVQYFRLSSQCSFCLHQLVF >CRE15886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:116438:117547:1 gene:WBGene00082049 transcript:CRE15886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15886 MSRSKPLAYQSSKAVLEYLSFGKRKCFYAMCPAIRKMEEMLPYHLEVVNLFSMWQNNIIMDIDSFGFSFWEYEGNKMRMVNLATKTGVDRLTSLGPEQAAEKFLLYHLSREGTRIKHVKLTTAPEFISKCIPIAIKSLSVFKNMTMLKTDAWFPTNFPIENVEIDTTVREDTLKMAKHVTVRIPGHVAERANPEILSEWNCKSIQIESWMKSEEVADYCNKVSKRTDRPIGSTLMAKHCSPVEFLFNILHSKMNAKKTVFNDKICATIYIDESTELNVYDCSVDRCFVVVEVCARGTALDQVV >CRE16075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:545296:548834:-1 gene:WBGene00082050 transcript:CRE16075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16075 MSKSSKYPINGICRFENFAQQVENNNFTAIPIGIMCEFDGWPCGRKNSLDTLLDERNGWLSNGTLSIEYGFRVEAVQYADGIWQFNFGDKYINFDQREDVIEFELYKTFFGKPWCYLYTPRTLVNFYSRIFTTKTYPVPERFSEYYWRTMPEILQIAHGVIRNYDCNRRHLEIAKDLEFQNVNRFCERQFIQEENLDCRMNYFDAFQTASDYSLNYYLAHLLKNVVGTKQLTDVLKKMRLEEMPTEFMKQCTRYFFENSRTDFGKPNKRNLSFRKLSRAKRKQRFFESIHRYVSLETQTKDGINKICPYLRCPPLPRYKQLSMRCFFSFLNQKPSENSRRVTRVLLNPSLLAIGNYEKLDELLDEKNGWLSDGTLSIEYGFCVESMEGLDGIWKFNYHDKLFDCDQKQNMITYENDIPDGNDGLQLYIHKQLLEFHSPSFKNVIYTIPFLSYGTNLERIIEFFQISQGVRIGAPYHHDENAKRLSLLNVRRFCERSWIETESLCPFGKLCLWEVVSEFNYNRYLAILLKDVESGKQLAAILNDMDVEEMSSEFMKQYCLTLTDALICIRPQINDFFSSTVPIWNSITSNSPEFLSPDKFITLLDKSINQL >CRE15981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:809913:811981:1 gene:WBGene00082051 transcript:CRE15981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15981 MIDHWSNVPRMIWASTLGPMGFLLNLLLGYIIFKYTPDSMKTYSTMIMVMTISDAVNGFCSIMTSVSFTTPHFAIPGYALQIQCAMLNSIILIFSYVFRAFVITMPFDTINRQKIQLVTYGYSLCHFSYFTWGYFMSWSSLEDMDASISKIYPELIGSNYTYSGVLDVNAPSQIVMNMMSMVLSVVVVFFALICKCIIERYLNKFTFTDAKKRQHRSLVNVLLYQTFSPFLSLVTITLYVTVGQTGGSTALLENLMPFSLVLLTVVSPTASCILIGPYRAAFFKLWTSSVSMIFGADSRLLKKQEQPLRSTISVTNPV >CRE16034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:231193:231771:-1 gene:WBGene00082052 transcript:CRE16034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16034 MYLGSILFAIIGMFSFSAAIQRIVIFYWPKYKFLVRGKWLNYEICLVYVSVIHYSYTALRGIHSHLWTFSKIENMDRGTYLLYQFTPIHSLLMLHSVAHFVGLLIEDRGR >CRE16017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:106468:107608:-1 gene:WBGene00082053 transcript:CRE16017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16017 MSQSKPLAYQSSKAVLEYISFGKRSVFYCPLLVVNVYFRKHLYAICPAIRKMEEILPYHLEIVRLETMSQENTMMMIDSFSLSFFEIYESKMSMRDNSTGRNVFIGLPTWDRSKQWRSLYCITSAERGHKAPEFISKCAPIAIKELYVDRTPAWFPTNIPIEYVAVDTTVREDTLKMAKHVTVEIPSYANKRINTEIVSEWNCESIIIESSMSSEEIADYCNKESKRTDRPIGSRLDANHSSPVEPLFDILHSKMNARKTMLNDRKCATISIDKSTELNVYGFGERPRIYLCTVQPMMFITMWLWKSVQEGLLSVKMFDIPIFHL >CRE15944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:587008:588643:1 gene:WBGene00082054 transcript:CRE15944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15944 MSQFDDGQQPFERVVNKLVSKPSLEDTRTKMDSALMRAQAAIRELRRQQRTAQLLVETGVGEQELIDNKMADFGIDVAEERKSLEYLEQDVERERVEFSNLLKVGWKAVLQVLETMELKIEEIQETSEAVEKKIDDVQNDIKKGFKNEQFFERMARLLEYRLSRVEDIQKAGASASIDNQVRIVFKETGTSPCQLDRSPDRRRSPSPVRRRSPSPFRRRSPSPVRRRSPSPVRVRNCVPPPSLSPSLGGYWLGQIFGLKLKICEYPGLFSSTALFLFLQRGNGVIVPIFQSR >CRE15871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:7530:7742:1 gene:WBGene00082055 transcript:CRE15871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15871 MTFSIYHGPPILPWASDSAMGLRFFYGPLIFLWASDSAMGLRFFYGPPILPWASDFLWASDFGTEPPPPW >CRE16094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:695825:697247:-1 gene:WBGene00082056 transcript:CRE16094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16094 MYLLFLPLVTCVSIFTKTEPSIEFDLFNVPVETNFFGHFEGYNMFGKPKLVHFHQFEDTLVDNRSQTYKINKNCTFDVIGDQELLMHCFGRLLKITRNETHLLDIYSDLFTFDHVHRQIYLWRDPYIYKLEAGDSNPSWRVENLQDFNVVSGLLTILFTNGTIVYNDSVLTSVNPKLYTRLPIFAAPDFEYTRPDSNSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRDALKAYQSVMSKPYNAMMINNDPNADPCFRIMENFLHEFPIVYK >CRE16062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:445741:446166:-1 gene:WBGene00082057 transcript:CRE16062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16062 MSVYATVLKNQEDKQLEECHEWVNLFLKDFGPDDIFFDAEFEISNGKLKWDEHETILHYNALKNNGLRPLSIYTDPWPFHAKQGNIGDCWLIAPLMTIARKRKLLEWLFPLNNFSLKHGLFLVRLVL >CRE15951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:650775:651749:1 gene:WBGene00082058 transcript:CRE15951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15951 MGGKLCCHCSTGLNSSRCHIFSHQVQQMGTLDEGCKLLLFFNYSLPFQILLFCGSLYIFLIWIIGCITLNFQLVGITWSYDMTVVYNDILSPLELYFCSSSLILSFTSYIIILGSIYKKKRKFQNSFSVRAEIGILTQATVLTTYMTTTLVLWHNAEYWFKMTDITLALLNGLWILVTHLNALVLIATNRTVRNQFLSMIGKKSNRKISKIGGSPTVLVNKKISSVVS >CRE16022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:137859:137975:-1 gene:WBGene00082059 transcript:CRE16022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16022 MLKNCEVHPTTSSEFPDIRKTSNFVFWNRTRLYIMVLT >CRE15950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:647411:648860:1 gene:WBGene00082060 transcript:CRE15950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15950 MNLYNSRKPLYQWIFEILIYLGVFGFAVSVVPSVATSIPVDQARAKGMYKAIIPPRFYPDIIMNLNVVIFCDPEISCNSKHIKFNLIHISIVTLTIIISAKLAIWMLQKKMKLKNESEKTKKMHKNFNNRSMFQVLIQFLFSTIPFTIVNCLLYFNIIIPQVSYFADVMAELMPLACVFTLFVFYEPYQQFLFVKIGVRHDTCNILSTTGALEKGVKLQPKFHTVH >CRE15905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:239266:240326:1 gene:WBGene00082061 transcript:CRE15905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15905 MFTKAILVVRQHNDTSIYELFLATGLQAYGSIMFLINNSLFICLILYKFRRNSDENDHNFHKPIFNQLFYNSAIWLVVTDLCFLLTFFGKLPDDGSIRRSITVFFVIIEALLVIWGSILFATIGMFSFLAAIQRITIFYLPKYKFLVTGILLKYEIYLVYVSVIHYTYTTYKLVTDVCTGYKTQARCSHQTLYVYSIIIFIISIISAAVYIHIYRLFRKLENMDRGNYLLYQFTPIHSLLMVRFFSGNNFIIFKFYPYFQFHSAAHLVGLLLDDYFQINVETIIILPSYFVFVFNIPGVVSLSYIVSQRNFRNMFWLIAIPIWREISS >CRE15913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:267249:268313:1 gene:WBGene00082062 transcript:CRE15913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15913 MEQFKKAKIFKYTGMRYTFEENELNHLVEFDVEVKELTVDQMVVLKNESLISKNLRLGRVYWNDNDWSLEKLDNFESHLENFIREEDPEDPECRVYLFERDDKKTRIKIDAWSVEVEKILL >CRE16105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:743966:745556:-1 gene:WBGene00082063 transcript:CRE16105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16105 MASNSSPSAPSSLEKVIRKYIPKELIPDSWKCNNRRQEENLQDLLTQGDNPQLGMFESAEELLTQINLYINFPRSMQYFDMDPLDRIEPMPYLHISLTNDTYVYEKDLFKIFNCSPFDGEKHSEVMNKLNACWKAMTDDNDHKMVFYPYILAKPDVSNQRPICGPISNALCKAFNIEWEEYVKKKKNKDTNAELDEYFTYTRSMADWIELIKRNFNHLVDNGFINLPQLNFFTPIDFKKPRIRVFSFDEHRFVVVKEFIQILAAYQMDWSTYLERNAEDVRTLSTFSFDKISKKICQNPENQLEFVILEIPKLMFSQTPIPTWDKGYCILAADALYELLMDLIVAKKVFHISEVDILEKIWIHVKKVFDALKFYFDSTSEQINFLHLKDVDAIKAMWKHVYNTHLKRYYPNVKHFKKPSQTAFSLAGLVETLESLGLEKSCKGIITKYAKPVYMQFETETEDGLSLSQLHVAITLCEINWVVGKMPKISEFIHNQKSCNRMNIVGCKSCLSEK >CRE15899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:187947:189025:1 gene:WBGene00082064 transcript:CRE15899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15899 MSQDEKENKAPVNDPNKITLAKLIGAAFATTMGTLGKAVLGASQETSRMSPELQKKVEAMYANLTPHNDVFPAAPADGDNVIPTGRLVRCVCTPDCTKELPQPEYRLIGQTEEDARWMVKPNGKMIVPLVTKGNGNLIGINLQLNVMDETTKKTVTKVTFVRRRR >CRE16027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig26:191271:192182:-1 gene:WBGene00082065 transcript:CRE16027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16027 MTTFPLLKLPALAINEVARRIEPSDIIQLTMISARFKRYFTQNKLFLDTLTWEMPASMSLKFSKFSIAISVEQNCPDSRPRKVNNSIMQISVNRKRNEPLTRWHLKHDACHWDLLENLTIHLLSILNVKHFDFTSEFLISSYNKLFVWKYAEKFKTIAILPWLKNPALLSQENMLHFLEVVKTDELQFNAKCEHFQYTGSVKCSKLNLGELSWVTNEFLKNMECKEVLLRGDSGANLNYNELFRHWIDGEGNEILEEFDVSFGDKTQCDPKKFLEGIELEMSILR >CRE13114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig260:50881:53506:-1 gene:WBGene00082066 transcript:CRE13114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13114 description:CRE-RHO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NH51] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPDVYVPTVFENYVADIEVDGKQVELALWDTAGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVRHFCPNVPIILVGNKRDLRSDPQTVRELAKMKQEPVKPEQGRAIAEQIGAFAYLECSAKTKDGIREVFEKATQAALQQKKKKKSKCMIL >CRE13115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig260:103398:103717:-1 gene:WBGene00082067 transcript:CRE13115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13115 MSENPQKPLADKILPEKNLTYAERRRRNNEAARKSRKARMEQEISYASKGIQKEQKYEHIKTFFPSCSQEYRLAQKNNF >CRE18382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2603:6267:6788:-1 gene:WBGene00082068 transcript:CRE18382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18382 MTLSYEFSYAFTQCGFISTTIANTLFIYLTILYIKKITGTYKVMVLVFSLMGIMFSAWELVARPFAHNYNKGLMYFSLNTWLGASHEFLQFAIILYASFYLIILAIIAVQFVFRYFTLCRPNFARKFGGFGVIVWISYSLISGAIYGGSLYYFCHPDNFSDSYMRFHIIHIFK >CRE13752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:64277:65760:-1 gene:WBGene00082070 transcript:CRE13752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13752 MVKSGERVVVKRQNLEKVIQNLARINSTLFSNLGNQIFCVVPRIKGSTNKEQGYRKEKQFHVSFRSVKSQVPPCLRGGGDVMEDTEIRGIRKLEPEAQLDSSKPLICRVLYPTQGYMYKCFYPKCKGHSNGSTDLRSLKKHMVDKHFTNIEFAYKCATCMFLTTGKSTTALKSIKAHMASHHKVMMEPGKKSLVQKLNARLEEAAPSLPMPRNRSKVIQLTPEKSISELEKKKQTRSVAKQLSTLKESAQKKEEEVKIAEVKKREPRLSIIPESNVRRSLAAGLEQCINPEQSVAQRIREKREEYAKASREAAAKRRSSLAMKPARLPDKENEITLQETKKIDDPIVIDLEKECILTAVLQVPRNQFNSWCLEHETTIVWTRRKTYENPYEGKTFLGANLHTFTLSLTFLSVLYGLKSVSE >CRE13757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:91082:92408:-1 gene:WBGene00082071 transcript:CRE13757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13757 MNSSDEKPQLYDPRPRSEIMSSNNYEVPQIVKPAVAVSPLRDPLMKQRDATRFPIESSQAADPNLIDSASIITTDGFISANRGNLIISKILKVDPAVVNAQQKALLLKQKTPDGIRSIRKALMPQYIDPRLPQLSTHCLISPQLAQGKILAENQTNETKIRFSRNEPMLYDQSTTGHRELMDKLKAPEAKISLVPTPVTHDPRLHCSFHTNDSSRIQDPVQLRHYVEHTSHEVTPIRG >CRE13743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:45703:46680:1 gene:WBGene00082072 transcript:CRE13743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13743 MARIGAERRNERPTLRSRVEFPRGRGPFRIRSPVRGRSPPRRRQETGGRRGNNRREINGRRGGQWIKKKEGQGAAKKQQQKKKKQRNNVQRQQQQFQYATPMYQQPQVVQITAPNFPPQFPSQMPSQIPPQLIPQPIVHYVPPQPAPQFILPPQYPQYPFRI >CRE13744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:47853:49571:1 gene:WBGene00082073 transcript:CRE13744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13744 MSLHTEKSNSTTPNAATAPRTTSMSASSYQTSPPPAYEDSKGFTSRPLSVNTTPREFMSTARQKEAMSTTSTSSSSTRGPLSLFEQIREHCEPFARAIMGTPQLDDHTTAASSTSVELKEIKSLLQSLIIDVETLKEQGTAQPELVEPEDREPLSATVSTAMRFAGHVRPLDSRIYVQITTATKVLHMLYQPVGGYIHLMKSNTTTMEVANTEEDRFLQDIQAWRDSWLTGLTWTAYTVWTPPTTLSSLPYTHIRPCRCIPVLLRGNERHGDQKTCFTRRLGQETLLAVLHHLVYEEGTLMPLSLKLTWDTNQAAAPYLQLTE >CRE13758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:94902:96233:-1 gene:WBGene00082074 transcript:CRE13758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13758 MRSVKEFHNIPLKGSPLEYVQLPVGKGGLGVACPKNTALLTFLVTIMKKLWSSDSYIRKLYTDYLEEVAKVEIGKFEVNLNDLAEFLSDERAVDSKLFGFNAFTRVREVVRSLCKNKDSPLHSLKIIEREGKLAISVQATEESIEKIFTEDQEKKLMYLLKGELNTALQHRFFTQKVFKSEVMRVVQQHPQSNSFVRNGGKMSFSAQRFVHPGRLNQLPCNYNTWAKGRTKLCRRCAKNENETQSHILQVCDYSIGNIIKERHDAVLYKFRELIKRGSKGHWLERTDRTVPNTGSQLKPDLYLESPDGKHVILADVTVPYERGIEGMQKAWNEKIIKYTDGYKEIFRRQGKSLVVLPLVVGSLGTWWKPTEESLIKLGVEKTTVRRIIPETCGMVAEYSKNCYWRHIYGEKYIQTPMINGGEKPEGNDWKKCKKGIKVPKVTN >CRE13749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:6430:7284:-1 gene:WBGene00082075 transcript:CRE13749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13749 MTNVYLKPVNDNQTNKTGDNSRNTMSNSQCEMTWKPVARTYAQAASTNPADDKTVTVLGCKYNLLKLGNTPQTSKRSPPKPSRGGARISSVYTLTDELEITHREEGKITFAIDLPNKNNILCPLCRECTQTRGRESSFTKHMKLHVKEKHQLDATFIYKCSMCNEYEPEKKCGTKWIQTHLQKVHNYKYDESAIVVPVPHNTRQQIANELNNAAPFVDIRKPKAAAVEEKKTENGALLKFLTKSNKDEQEHSQSNDSPNVESPEKANHALTIDPKGNNSPSKAQ >CRE13742 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:44273:45034:1 gene:WBGene00082076 transcript:CRE13742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13742 MDRDKKNMAHANKVTIFNGMVISERGGRVTLFTPHFEENVIIRNTTKRRFPGEMFKITVFGENYHPRQGELDESNCTAWDTKIDGKKMGIETIFLPSRNIPNEGELIVRARFYDQFPWFGEPGHFHNEFIPFGKIRIPSKEFEDWLHTRCPWQSQLQMEVQMGRDGAIAIEAKRLTGKEERGGKGVIFGRYYRTTEEAGLAPLLLSAHPYVWTEEGHRPLRGCEKWKQQEKPQEDSEDEEWEIIAKDDLEALD >CRE13756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:86349:87952:-1 gene:WBGene00082077 transcript:CRE13756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13756 MTVSPRIQIHHIRKTNIHALQSHLQDTRNPQSIVIVGSPALMDPKIQAELIPLELNPLNRPNIATEEEIEDAQDSSPRELPAPAVLFNLDMKYVPELFLPKDLTLLNMKIIQIQILM >CRE13755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:81713:83044:-1 gene:WBGene00082078 transcript:CRE13755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13755 MRSVKEFHNIPLKGSPLEYVQLPVGKGGLGVACPKNTALLTFLVTIMKKLWSSDSYIRKLYTDYLEEVAKVEIGKFEVNLNDLAEFLSDERAVDSKLFGFNAFTRVREVVRSLCKNKDSPLHSLKIIEREGKLAISVQATEESIEKIFTEDQEKKLMYLLKGELNTALQHRFFTQKVFKSEVMRVVQQHPQSNSFVRNGGKMSFSAQRFVHPGRLNQLPCNYNTWAKGRTKLCRRCAKNENETQSHILQVCDYSIGNIIKERHDAVLYKFRELIKRGSKGHWLERTDRTVPNTGSQLKPDLYLESPDGKHVILADVTVPYERGVEGMQKAWNEKIIKYTDGYKEIFRRQGKSLVVLPLVVGSLGTWWKPTEESLIKLGVEKTTIRRIIPETCGMVVEYSKNCYWRHIYGEKYIQTPMINGGEKPEGNDWKKCKKGIKVPKVAN >CRE13753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:67801:72406:-1 gene:WBGene00082079 transcript:CRE13753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13753 MTNEYSKPVNENLTNKTGDNSRNTMSNSQCEMTWKPVARTYAQAASTNPADDKTVTVLGCKYNLLKLGNTPQTSKRSPPKPSRGGARISSVYTLTDELEITHREEGKITFAIDLPNKNNILCPLCRECTQTRGRGSSFTKHMKLHVKEKHQLDATFIYKCSMCNEYEPEKKCGTKWIQTHLQKVHNYKYDESAIVVPVPHNTRQQIANELNNAAPFVDIRKPKAVVVEEKKTENGALLKFLTTSKKDNQVKSPSEDIPDTESPEKETQALTIDPKGNNSPSKSSIKSSQSSASSICQEIQEIITLSEDEDPKGARPKPGINVWSLINETGKDAYIDTDIMMAFLKMRVENCDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKNRVVFPICADEHWTLLTISNGIAAFYDPTGSRMSSYIEELVNELGLIIPKEQDEQPRQRDSYNCGVFVMKMAEAFIQDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKSFAQSRPTSRSSQCAVCPTCSRSATPMMDVGNMEVDPVPQQQETPKSREPEQDEGWKVVQKARKRGVVTERSPNISPEAKRQFIGPEIKVVSPGKFHPLVGETEEMEVTCDSPPTKEPTTEPKVTPSLPAMKIASPEVTKKQTSKKKGKYGKKKQETKKAQPPKGEPTKKAQPKGEPAKLIEQVRTWFDKQMKSYQEQGSNIQTLTWIADSLTAAIFKANNGNKYLVDKITARCPPPLLNEGEMATQTSRRTEAVKPKDRFVKESNEPLRFQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTEEALNTVCSGIKKANVDPSIEGPISSGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLALLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISDCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCSWLDLTNAFGSVPHELIRRSLAAFGYPESVINIISDMYNGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQTRKTGYNCVGNDVRCLAFADDLAILTNNQDEMQKVLNQLDKDCRSVALIFKPKKCASLTIKKGSVDQYARIKIHGMPIRTMSDGDTYKYLGVQTGNGGRASESESLTQIAAELQMVHDTDLAPNQKLDVLKAFILPRLQHMYRNATPKLTELKEFENTVMKSVKMYHNIPIKGSPLEYVQIPVKNGGLGVLSPRFTCLISFLASTLFKLWSDDEYISSIHKKALSRITAKVMGLKTQKATLQEQCEYLNTKKAITKGGYSLFSRMNEAIRTLSVNLGAPLKSMQFIPENGEIALEVQASENSQIKVFSKADTYNIQDSQAVSDPSTNWTQRCLTCQIGRDGVHST >CRE13751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig261:30677:32383:-1 gene:WBGene00082080 transcript:CRE13751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13751 MDNADFGFHIDAWLTDEVIHMYMCTITENRKYFMAIDPVLWPVYVRNGTEDLLRRTSGPGTFFFPICESNHWVLLVIEHDVYWYLDPKGEEPKGNVEILLESMKRKRQYYEFPPPPQRDNVNCGVHVCLMAKSIVDECGYNWYSEEDVRSFRTNMKDILKSKGYELCPEPYNRQNLLKTKKQKEVILEEMIDSFVVEEDDMTFTVHRDSDHGDDEVEHLKTIEQEPENEISEIVNVEGSVESVIPKVMEMRVDQ >CRE07187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2614:338:907:-1 gene:WBGene00082081 transcript:CRE07187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07187 MKLFPIILIGTILEIAVWIGVAQFISGWYVFFWFIIAFVIGLNLLRSSTATIMPQLQQMQMTGMMGNDPAIAKKMATAFAGILLMIPGLITDVLALLVILPPVQNAIKNAGMKYMAKKQQAMMNNMMGGMGDMGGAQGQNPFADLMRQMQDMQNQQSGGQYRDSTIIDGEAHEVEPQHKQIELKDVNKK >CRE10783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2618:1154:3548:1 gene:WBGene00082083 transcript:CRE10783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10783 MSASLATIMMLTGCSKPQETTTLNIGFQKYGVLPIIKARGDLDKALKEKGVNVKWVEFPAGPQLLEGLNVGSVAFGESGEAPPIFAQAANSNLVYVANQPAAPLAEALIVPKDSAIQSVQDLKGKRVVLNKGSNVHYLLLKVLEANHLKLDDIQVVYLPPADARAAFEKGAVDAWVIWDPFFAAAEKQLNAKVIATGQNLVNNHQFYLADPSRFNATTHAMTTMLICSAMLGSASVWAIDPTVKELRIGFQKSSINFAIAKQQKLYEKEFPNAKITWNEFPAGPQILEALAVGSLDVGVTGDTPPVYAQAANKPLYYIAYEAAKPLASAILVPKDSKITKLNELKGKRIALQKGSSAHYLLVQAVRKAGLQWSDIQPIWLTPADARAAFQKGAVDAWAIWDPFFASAQVEDQARILASGQGLSPNYTFYLASPDFVKKYPQAIKGIIQQINTADKWVQANRVATAQAIGQSTGLKPKVSQTFIERRPRLSGAAPLSAKVIADQQQLANRFSELKIIPNSIDIKQAVWTAK >CRE14329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:75056:76102:1 gene:WBGene00082084 transcript:CRE14329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14329 MSSTPATESRKMRQRHSEATHKMTTRCHPYPPKSEKSEMDRLREENEAYRQQIQQLQEAELQHLENYRLVVDECNGLLEEKEKENEELREQVRQLEKSDAKYRQLVEDWNQLVGDFDQLKAEAVRLEAEIVDQNEKFEEYSKEMVEKLEEAQDEKLEKEEEIEEMKEHFKMRRNNMAHNMEVLREEKEKEIEKLRGLLEESNNKSYGFRSRQVKRRLFDRLDNALEFGDDEEQKTAQRNIKTMSVMLRNGEEIEELVDSEN >CRE14338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig262:72008:73193:-1 gene:WBGene00082085 transcript:CRE14338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14338 MSSTPATESRKMRQRHSEASHKMTTRGRPYPAQDNKEMNRLREENEVYRQQIQQLQEAELRSQENYRLVVEDYNGLLEEKDRENGELREQVKQLEESELKYRQLVEDWNRLVGQYDLLTGDLQRLEAEIVETNEKFEEYSKEMVEKLEEAQDEKLEKEEEIEEMKEHFKMRRNNMAHNMEVLRDEKEKEIEKLRGLLEESNNKSYGFRSRQVKRRLFNRLDDVLEFGDAEEQKQAQRNIKTMSVMLRNGEEIEELVDMEN >CRE17725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2627:7135:7434:-1 gene:WBGene00082087 transcript:CRE17725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17725 MKTFNEKLANWIHATPATEAGINNIQIPGQPELLVWQTRYKVPTVYEQDFVQHLIQAFSSGVTELTDLVQSLNQQGFRCESGELWTSETFSEEMQRLGY >CRE15001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:62328:62758:-1 gene:WBGene00082088 transcript:CRE15001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15001 MSSAARPYWPPKTKNFKGFRMSRDARKLCPNRQAEELNRYGEEVFSGLSNDINGNGRRNNTAKTTIVWRRGTTSSSLSNQHY >CRE14998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig263:110430:111707:1 gene:WBGene00082089 transcript:CRE14998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14998 MTDPKISALYVAVQEINSLIQTGASCSRSLEEKIVEHLESSQLLGKLPKNGNLEEVFMEVTKHGLRISSQRSRLVKLRIPLIELVLLTTFVDGFGKTHAVFVEKSTTTRYQLHLLQLADDMAANVLCSLVKNAFIEAEEASALIEVIEPPSPSIA >CRE20660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2634:165:1079:-1 gene:WBGene00082090 transcript:CRE20660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20660 MVEANYNPSMPFKWNAYRIQLLNAATQQEPVRQAPPQQQQQQQIPQQRGGQESQRWGSNDRGDRNGGGRGNESPLHRSAPQRRHSPPARRASPPRRSSPKRDTRPSREPREAREPRRSPPPRRAVSPRRASSPATAPVKNDRKRERSPSGSVAPSIRRDSASPPRRRARIIPRYECRVQRPALLSQIVSGSVLRHRYSKMYLPSDYVDLTFDWVSTIQLDMSLDMNNPIQFHVFNKDVDYIGEPLPELEPEDSDHRHQVKVLLLSHAGKSEVVKKSFCLMADGTTDDHQEPQSLLKNLHFLTGK >CRE23854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2636:2132:2893:1 gene:WBGene00082091 transcript:CRE23854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23854 MPATNRRISLGSPKDLREVKFFDEEPIPDVPPKGARVKVCYAGVCLTDREVSNTKQARITNGIKDTSLFPGYEVSGIVESFGSECTPRDYDLNIGDKVIVWPTDEMCSHGYADYVAVPTLHFLVKIPETLSMHVASILPAGATWALSAVLQARPIVEAFSQSKGFCNILIVGAGGLGLWLLKLAKHFLAINNDKKIRLMVADAKEERLSLAERNGADFVCPLG >CRE23855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2636:4535:5795:1 gene:WBGene00082092 transcript:CRE23855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23855 description:Queuine tRNA-ribosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3NVC2] MTPEPLPTCQADMKYDILARAGFARRGNLHLPHSIVETPVFMPVGTQGTMKGVVPEQLVAMDCRILLCNTYHLGHRPGHERVKAAGGLHKMMNWDRSILTDSGGFQMVSLSKLMAVDENGVNFESPHTGEMMALPPEKSIEIQQALGADIMMQLDHVIHVLTTGDIVKEAMHRSIRWLDRCKVAHTRDDQAMFPILQGGLNLELRKECAEEMAKRAKVGIAIGGLSGGEEKDHFWRVVAACCAALPPHLPRYVMGVGFPVDLVICSLLGADMFDCVYPTRTARFGTAMVRRGGLMQLNQKRYKEDFLPIDDKCECNTCKNYSRAYLHSIVGKETVGCHLVSVHNIKHQLDLM >CRE17616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:87096:87656:-1 gene:WBGene00082093 transcript:CRE17616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17616 MSLWYPGCQCHLINGFGEGSQKPKFQEAVKNANNVPCIRSHSEIIWDSKKFCLKE >CRE17610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:64871:66027:-1 gene:WBGene00082094 transcript:CRE17610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17610 MLRLYNPQTISEAWQVKYMHREVYGRKSSKLNSCTVFFRGPHPTYSRKNYDVTLENEYNNPQSSNFNSADKEQMLSDILSEFMNSIIYRDIGRLERNLKEEANSDWNAATLRALQWKLS >CRE17606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:26596:28253:-1 gene:WBGene00082095 transcript:CRE17606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17606 MTSFLMTHNFYDRIFIGGVGLPRQLFYSVIGGQFRNLTSPENSALFYHLVAMKLNPWTQKLLFRKFNDTEHSGNGPKFIETQYLRCDRRSKRKLIKWEWYGDDVVMESCFIDIEKYYKLQKTYKDRGFDKWTTPSLVDVARILGN >CRE17615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:86216:87033:-1 gene:WBGene00082096 transcript:CRE17615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17615 MYKRQSFPNSPKEIHQDELDVKLQEYLDSRIELNSDQVTEVGYCNEVDIYSSEYMSIGMTNGFSKNLSNRPTCLFTKEKSGHPKPYGSPMGLQDLLGEKDEDGAMSLEKSTSDRRLVPIKGSRTSVNQHAPMSRKRNYGDNCFRSAQIHWKGKGAEGKLDDRQENKKNSQWSRNRRASKVRKPTTGRVPKRKLPKA >CRE17612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:68120:68413:-1 gene:WBGene00082097 transcript:CRE17612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17612 MAYHKSNYFHKYGIKSPNQTMFDILPGNVFATGCATGIMMQSAQFDVSNFKNHSEKLREACRKAKSRVTDDKEMKELKKNQ >CRE17618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:118232:119938:-1 gene:WBGene00082098 transcript:CRE17618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17618 MLSNNCKRNVNDGGGMAISKNHRKNLYIRCVHPVLALSPASYRVFAEDVLLILKKNNPKLIAKHMRECYPLIQIQTKVGVLGILNVQNRTWRKKDGKSLNFTLSLEQLDEFLDQFDCDNNLLTDYYYSRTKSREEFVKVQKDSCFLKYTAG >CRE17613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:70109:70609:-1 gene:WBGene00082099 transcript:CRE17613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17613 MAIRLPRNEIYRMQTNENSFELFSEYDGAKRRYENSTETTDSFDLPFSKETIEGMMAVAIDRRVFFNMSTEQKMNVFRMSRYCIFSHLNKDLLKMIVLTAEEKDNESILELLTKDFEEVCKLILRYRSDLFFFQTF >CRE17603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:98653:99197:1 gene:WBGene00082100 transcript:CRE17603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17603 MSSNSSLSSNDSFLNEKPKDIVFFSPLETSTPTKSVKKTVEIRDLSPIVRGAPTRIERCFQRFVQVSVGTKLFVDDTYKFFSNLVLPQKTSDLLSDSSLESEEETCSESESYSESEGSRSSSNSDGYDANDEDSNYLRVEIEEESTLYVSISSEEEEAYDADKEDE >CRE17620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:135714:136007:-1 gene:WBGene00082101 transcript:CRE17620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17620 MLRYHEIWHWDEWFRGGFFASFMESLLKMKHEASGLNDNVVTEVEIDKYIEDIFQNKGIKLDIDSIKKNPALRSLAKLFLNNTWGSWHKSHVKARPT >CRE17607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:33115:33408:-1 gene:WBGene00082102 transcript:CRE17607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17607 MHRLVVNTENEETKQMAEVEMRRFEKKATEYISAVENRLAMIQYKQFDNAEEIPELPEFPVFSQEFRSIFENIMKSREKSARVVPVFSLMKSPQSLK >CRE17604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:104637:105181:1 gene:WBGene00082103 transcript:CRE17604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17604 MSSNSSLSSNDSFLNEKPKDIVFFSPLETSTPTKSMKKTVEIRDLSPIVRGAPTRIERCFQRFVQVSVGTKLFVDDTYKFFSNLVLPQKTSDLLSDSSLESEEETCSESESYSESEGSLSSSNSDGYDANDEDSNYLRVEIEEESTLYVSISSEEEEAYDADKEDE >CRE17605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig264:112056:113476:1 gene:WBGene00082104 transcript:CRE17605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17605 MTTASGIELSLSNQDQWKKFHPMTEMVLTRKVGRQLFPHLNYILKGLNPNELYGVFIHFERVDNNRYHFLHNKWNVFGKGDEVRQIKVEQHLDGWRAGSYWMAKPVSFKHVRITNDPDLKKPNTFVLQSMHKFIPVVGIQKMGDSKIEGFRLDVTEFMAVTAYQSSDIIALKTKLNKFARGKDLPSGSAKPSRGTKRPATSPAKFPSPPSSSEPSSVSPPHLSSETVSPQESPPYSRLPSIESMVSSATHFGYYAPTTASPPGSTQTTGYPFQTHENVQVPMNNENQTEQMQMHQMNEMSWYPMDMHQGMDPMDMHQGIPNVWTHFQYI >CRE16466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig265:70189:70485:-1 gene:WBGene00082105 transcript:CRE16466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16466 MENFVAVNEGHAPEFEWDNCGEKRAIKLYRNLNITAISGVQERGHNQKSSAVSAPDSNLLNLTPDFMRFLCINLCINYVLMY >CRE03594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2650:24:302:1 gene:WBGene00082106 transcript:CRE03594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03594 MLIGRFIAFTLDNIAPGATRKQRGFVDDDDYDDDDEKEALTSVEHNGYALPSSVNQFLLRYPWLTYLPVIPSRKEIKDIEDERQGDIGKEKI >CRE03595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2650:3282:5033:-1 gene:WBGene00082107 transcript:CRE03595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03595 MAEIPPNIPIDLRTLIFYNIYQQKTIEKSYENYEKLCSATGKQPFSFEKFENLFHLCSKESLSRQAFMNKKNSRSYLIFRDLDIRLCVLSDVINEKSTEKSIKDLRKAFGKETIEKDDHDYWSERFQNSSPPFNLLTFSDLPIEIVMRFVERFDIAEIRQLRNVSHGLQKILDEEVKPPCKKIQINIRDRGFFVEYRDDFYLEMKIEAVEKKERERKEEIKVEDVAILFKEVSPELKNPKLRLNFLFIDLVYDYSTVSGHWAWNSHPEFNEARQKRIDDFKGFLVSMNHKIHSTFLTMTASNVEDVTTVLRCLKSGILRKITLTIEQKSKSTLNIDEMAATDQWKQAKHVTINKLISSSIEHFYHFDTFDIRVQEISIEEIVKLVDALSKSPDFTACTIYKRNCLDTEALKRTLNLENRDSLQTYPIPNSNLFINFVSGIKITQGEEDPCSLTFCEIKLNRNWRKKRECSLKYPK >CRE06265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2653:1524:2221:1 gene:WBGene00082109 transcript:CRE06265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06265 MTLDEFVDHNVSIIDFLKANLKSVDKCTVSQMNREINVDEHTAYLLDNIQINSVLCTDVYIKNINFNGKFPKNLEDLHIKNSKWIGYERLLEIDCKSVILDNNRISDEQWNLFIKKWIAMETNLNLVYFQLIYRNFEKFRALVLHDIPHEVVDGGVKRTFKTRRNCIQELNGGIDIRRIDGKTATLFVFGPGWHGSFLMCIH >CRE06264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2653:1026:1388:1 gene:WBGene00082110 transcript:CRE06264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06264 MDPPKPFPILRLPFLAIEEVFKAMHPIEIINFSMISKRTKGIAKQMRFYPKYKIDLHIKETLEIRFFGTRNVVLYVMTSDKEMDGKIEEKQ >CRE16375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig266:83238:84272:-1 gene:WBGene00082113 transcript:CRE16375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16375 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNPHRSIRKMAKGMKISSRSMGRIVKDKLKLTCYRVRKAAILSEATTKKRLERSKKLLQRTRNGEHLVTVFSDEKLFTVQAEFNPQNHRVLAETSEEAFANGRTIHQASHPASVIVFGAVCADGKSPLLFVDQGVKINKEVYISQILEKTLLPWAQKHFNGRRWVFQQDGAPAHTAKLSQQWCETHLPAFIPKDEWPPSSPDLNPLDYSIWGVLQNKVNAKPHSSIEALKKTLVKEWDALSPEYLRATIDAYPRRLRAVIEKRGGRMEQD >CRE16586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2664:91:570:-1 gene:WBGene00082114 transcript:CRE16586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16586 MPPPRSRASRDSSSGRRGTATSPRPRRSRTLSRRWAKRPSRTTRSGATQRRRPATLRRARSTGSSPRRAVIPGRWRSSRPTTSSRWSSSPARRTRSSRPPPGRSGWPGSNAAGTRQPRSGSVIPSGAASFRRPGACEPGPTPAARPRSRRSRRCGPWPC >CRE15744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig267:34765:35241:-1 gene:WBGene00082116 transcript:CRE15744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15744 MHQLPQPTTSNFNRDDKNGNPKKWTITGNVTEKGFRLKTFVDWLNNGINYAKEVYAYMLSLNGKGNLFNYAGENNAGLNSAQLYVKAPGSRTSIHPENSVVASFNHNIGPGDCIWYCVPLSRSLINYSNVLCET >CRE20044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:35155:35661:1 gene:WBGene00082117 transcript:CRE20044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20044 MNNQSPQQNESDTHSLSPQYLIGFIYGAEIMKKHMEMMSSPPQLPASLVPTAGFSYSMASTDSQSPPNSVDLFTNSLNSSADQSKSTVNLEDIQLSHSPIECLIGSNAPRTTKLKSCSHCEINQSCCWRKVRSETGMMCNACFVYERKYRKSRPLSAIKKHNKMNEFN >CRE20057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:103310:105137:1 gene:WBGene00082118 transcript:CRE20057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20057 MVHRNRVEQSGRIRVANQANQDYLQSQISAVIFFLTNIHWCSAIYFYLPTLLFYSSLLFYEMSVLRYVQGVVTKSCGTLKTYIEECEVNLVDWGHLDSNDEAIRTEIAKTIHILEAKISTVNVAMNNFLIKAAEIDEKNSAEQEKVEEKINQVIEITDIANSVMARAQAEMKRMSQKAEKKEEKKMGETIFAHRNNQMAATMPKVPKFNGNRSDYENFWLLFETSLKVNGVENDLLKFNFLLNSLEGEPKELMRQFRLSEENYQKAVNLLQKKYYDKKRIVTELTRQLRSIRAKSESTSDQRKLLDTITIIVSQLNENRGQIDAEMTKDIIVEKFDMRIREKIEDMQLDAQDDWTIEQLLEKMDSVITREEKLNIKLDRSEKSEKSSKETSKVRTSNNYSTNNSNNGKSKSRENGRDTDRPKTCVYCKADGHWGFECVKVSTAKERKDILKSEERCIQCTVKGHNMDNCRRKRKCYHCKEIGHHSSICTNKPKDSSDDSSSRRRETADKNKQKTKTTAVAQIEEDETTSERKEEELNSHVSKVEKKAKTRAFIPTLRTRAYNPQNGQWDEVSMMLDCGADQTYVTASLAKKWNLPMY >CRE20052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:87197:87490:1 gene:WBGene00082119 transcript:CRE20052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20052 MLRYHEIWQWDEWFRGGFFASFMESLLKMKHEASGLPDNVVTEEEIDKYIEDIFQNKGIKLDIDSIKKNPALLSLAKLFLNNTWGSWHKSHVKARPT >CRE20064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:65756:66362:-1 gene:WBGene00082120 transcript:CRE20064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20064 MQLWLMVERDHHVLIIHWMQGPELDHLIDQFLLKTQTLKRVHSTRPPHQHTFVTWTSPPTQTARHSTMLNAMFFGRFEESRRDEIKVGDVAEKSLQRFLDLTVGVHKVLTDENVEKVLVLADYWDAQIVKKICEESKTMGIPKKLEGATTGSTNSSNLACTKTFSLPKESTIRQKWNFGFGGSQQLR >CRE20059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:9665:10164:-1 gene:WBGene00082121 transcript:CRE20059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20059 MEVFSWLTLFCFFCLRLSTVFLLSLLRCKREPAYFKLLTFSSKSRKTSAARSQKLRRRNIYEALLKTDASEDNAAESTKKIEEEPIENGTEDAWEDVGEDAGRDAGRDAREDAGENNPPEDDQTARVRGGGRGGSWGRGGRRHDGF >CRE20049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:75456:75921:1 gene:WBGene00082122 transcript:CRE20049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20049 MVLETGQRHTSRQPCSL >CRE20056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:101618:102193:1 gene:WBGene00082124 transcript:CRE20056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20056 MRMNLLPSWTLKEAQVKRNNGIMAHAPTLTEDTASVNEPSSSKNAPGFNQLRNTLYLIRRGPQDRSQNWWKKAPPTTTTL >CRE20051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:83085:83438:1 gene:WBGene00082125 transcript:CRE20051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20051 MNQLVAYMNTKKVTSDIKKWLARTRTTCKWFSTNIVGRAKRMLVINLNYPKEWKQLTKEVYVRLYNWMRMSVEERQDVMRFYWAEYVEEQESKDEVSKSLDNILKELRRQFSKCNKQ >CRE20063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:48997:49830:-1 gene:WBGene00082126 transcript:CRE20063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20063 MDEETKIRMIFALSAPDVQTDHARRITEYKTKEGGFELRGTHVRNSDLGPSKDICIRLPATTSVLQTPTNQWTGQPPIKMSKE >CRE20050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:82338:82562:1 gene:WBGene00082127 transcript:CRE20050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20050 MTVEQAISLLTKPIPVNINVNTTEIVKEIKEWLASNRFTNKFFASNILNIKGNHLTNIFAQPRDFILESRILFY >CRE20041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig269:2571:2702:1 gene:WBGene00082128 transcript:CRE20041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20041 MALGISDEHTESTERVSSQTSITSTSTTSDEESPSELETGELT >CRE10786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2698:1248:1745:1 gene:WBGene00082129 transcript:CRE10786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10786 MILSENVLHPDMISRFETSHPHATGLIQPLDVYWNLPWKNLLKKFTNYVLNFHPDFLIAQRNNELSMVSVLYHQISAREFRPFLRYAWKKSGYLDKDANEPQFTTPANYCMDQAASGDCYIIGCKESGCLKCARCKNWVCFDHLLVSQLHLCPFFLIFFVNSFRL >CRE15313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:353722:354026:1 gene:WBGene00082130 transcript:CRE15313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15313 MPKIVLALITIVLVINVMILLSGPLRLPSSRLSSSEPSLKTLIRGRRAFDSYDFGRLERGDDGWFTQYLF >CRE15367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:895274:903087:1 gene:WBGene00082131 transcript:CRE15367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15367 MSATHEIKAQSYYLLLKAIVLISRTKVSLSSKAKKTKCHGDVVMISKLPLNNDGGEQNQILDMNIVSFTSHLCASNKNVDIKKKLSG >CRE15281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:69269:69658:1 gene:WBGene00082132 transcript:CRE15281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15281 MCLPVFNPSTPSSPIMPNPFLFPPLTPFPLLAASMLKMPLQNSLMPNSMCSFPFPQNPILSQCYQFTYQKIQQQAAMINLLTPLFNQMTLNANNFVSPLPTPPADVSPTTMTTGDENQFFNFAANPVHS >CRE15329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:486879:489409:1 gene:WBGene00082133 transcript:CRE15329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15329 MERSFEESYLQEEEPEVSPPLITVSSTPLTSPPPHQYIPNQTQRADSISNEENHSARTHSNQIPETPILTNPGGNRQNQGSNSTSEKISDTYFNTNFRNPRVQQLFDNTSGYSNQQSRDIPRYPNNADMPKHPEHSAFKGQSQDARNTQNTEINRERSRIQNNEHIPNHQRLPQSSTCFSNESIQQSDSVVNNNIEAAIRQHIPATCELCSGQHHLSACSMDKKVLMRYCATTARCMECTSLLHTYHNCPLRLMKKEDAERQLREKEMEIRKKEMELRLRMNSNSAENHSPAATLTPRPERAPSMNESFLPEPKKKSFNYQPNQNQRRRESDEESEIGERNRGTRFMSSSMSRQLLDHFRHCTPFSGDKSYYPKFRSLFNNIVTLGDTDLDIARDILLEKVTGQAAVHRSMLTDAKKAILITFHNLDKVYMDRVSVTSLVRELESVRVPYDTSANLTHYLGVARQLYDQIHEADPNFFTYHHTLSLLARMPYPVRQECALRRENGSITPEYVFDKADSLLSEMLADEELTGICPDPKLKHQAEKTSINTVTTTTESDEDDSDSSNESKTDTQESEVFAYNGKREKTYNNSHKQRGNNHHQNGNFQPQYSNNKNLDINVNPFTQNQNQPQVNNTDQSQQQAPVQQTKVEPKQGFNQFDMNGAPSPGAPTHQYPEKSTLIPDGTPDCPGHAEGRQLTIKEALRTRIVNCKNHSYIIQEKIQISKKFGTHSQNRMLQIIRAPSNRVCTNCRGRHSLNYCKSDTSCYYCNGRHNTAACKLKEFFRDYRNFPAAAPKPTDIQFFLDQQQH >CRE15272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:30164:30891:1 gene:WBGene00082134 transcript:CRE15272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15272 MSAGFCKATRMMLVFQAFIFKATKEFDLFVSVLITAYFCALHVYTRSSPTHSAVSLQVTRTLGIVSLFFLTLRIIDLHYC >CRE15271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:28680:29219:1 gene:WBGene00082135 transcript:CRE15271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15271 MPSQQKKKDTVSKNMGGRYAPIERALRSKPHVINMDVSGSINYTPNPIVMNLFHPEQAQESRTPKFFEPAALNQGTTQPPNQSCGPETFNSNTTTEAPALPRATNAPSGTNCHPEAAAMEWSHCGGR >CRE15373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:6157:6381:-1 gene:WBGene00082136 transcript:CRE15373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15373 MFASFWKFLVLLMIVLIGITLAAPIPNTDNADDFRYPENPDDINDVPMATVRPSVNPCN >CRE15330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:489576:489857:1 gene:WBGene00082137 transcript:CRE15330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15330 MSTSESETETKPPNQNSSHQNSSDSESDDDYDADTSYASDTEVDNAATPESITAENFSLLSLYDKYEETDETVAINHIVDTLHNRTFNPTKLA >CRE15303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:250977:253660:1 gene:WBGene00082138 transcript:CRE15303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15303 MKNFVFRCDSKVPTKLATKCDLCLNSPCKNNAICETTSSKKYTCNCTPGFYGVHCENQIDACYGSPCLNNATCKVAQAGRFSCYCRKGFEGDYCEKNIDDCVNSKCENGGKCMDLVNSYRCECPLEYDGKYCEDKLEYCTKKLNPCENNGKCMKTNGTYSCLCPPGFSGKNCETNIDDCEKVNCQNGGSCVVRLNCYFMSLKFSQDGILSYECVCLPGYAGQYCEIPPMMDMEYQKTDACQQSTCGQGECITNANSSEFICKCYEGFSGPSCDKQTSVGFKSPGSYLALDPLTSDGNVTMTLRTSAKTGIILYYGDDHFVSAELYDGRVKLVYYIGNFPASHMYSSVKVNDGLPHRISIRTSERKCYLQIDNNAVQVVENSGKSDELSTKGKEMLFIGGLPEEKAENAKNRFHVKSTESFKGCISLITVNEEPVDLKHALQNVNTETSCSATVNFCTGIDCGNGKCVNNALSPNGYMCQCDSHYSGEYCDEKRIKCDKQKFRRYHIENDCRSVDRIKIAECNGYCGGEQNCCTAVKTKQRKVKMMCKNGTTKISTVHIIRQCQCEAAKSFLTRR >CRE15279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:56232:56865:1 gene:WBGene00082139 transcript:CRE15279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15279 MSGNPTFFLFIFILICYSHSAPTRTTSSPQTCSWFEDVTTDVVCFPEYTSFIKNLFMMQWGDESRLIKFLNSCIYVTNCYKKSDCGKLKSSILETCHIPIYEHSDEFQNCSKIILSEARDCRDFPSPCEIFGENNCVKPLYVETCGHEIWTKFKANTLFVNTYLNRAKGCNFAQFEDL >CRE15366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:892678:894212:1 gene:WBGene00082140 transcript:CRE15366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15366 MPFVIGSPPETPARLSVVYISLASLSDSPFIYFFCCISFFKNSTTSSFAS >CRE15345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:728657:731197:1 gene:WBGene00082141 transcript:CRE15345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15345 MIYNEHMLQLIWIIIFSAILIVFAAIILRCIFLYLLEKNVCNLQTIFSNTRILQTAKEKKICNALDVSLQKTFKVKKMTFPETFLNLTQFTLPQA >CRE15285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:105582:105785:1 gene:WBGene00082142 transcript:CRE15285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15285 MEIKLNAVNQPFNGTNWETTELLSILVLTSHRDITSFTSTSLKSTSLQC >CRE15451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:847751:848903:-1 gene:WBGene00082143 transcript:CRE15451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15451 MWHNDENSPNYSNLYLPPVSQLRNYVMSQSPADGGAFYERLQVPPMSTNTHPIQSIGEISTGEDEDNVFQSISEDSEGIHGNPESFSNVLTHMPARSESRFSRRFNNTVDRLGGMAEELRGFQMENPAVARPPAGQGTTQGQSSRRSILISQRL >CRE15335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:557012:559263:1 gene:WBGene00082144 transcript:CRE15335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15335 MKTHYTFCVDSKLKTGLQMNFFLTLISLSLFFTIESTNETCGDGKFRCNDGRCITNDWVCDGARDCSDGSDEVHEACDRHLNKNSSCFGNQPECRKHGLARCIPHEWLCDGHPDCDAGEDEFNCTSIDWFRHPESLMRKYQSQLSDKSTTQYLTYCLSDEFRCESSGNCLKKEQVCDGKLDCGGGEDEKNCNRNTTMPMNKSISTTSKVENSTTRVATSTTSTSTTTSTTTATTTSVSLNRLLAIPATVTTASSPKTSTGTISTNPTTTTTIRPTTTTKSKTPFAPIIHIQPTLPSSSYVLSKTTTSPQSSSPTTTQKVLARTFASQLHTTQPPKIVVKLLNDSWSNSTVDKKLKEETLTTRVSVSEMSRFGRKGKIGEPVRFVKGIPIEPVNYHNSTKDFE >CRE15352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:780221:781511:1 gene:WBGene00082145 transcript:CRE15352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15352 MTSEPSTSSESLDAEKSALIQEALTKAGVIKIQKQSVSPPNIRNLKKSIQFERIAEHPLMPVVELLLKKCEDAVTTYDRAPFEMEDVKDVS >CRE15457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:915215:916892:-1 gene:WBGene00082146 transcript:CRE15457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15457 MAEWSKAPDSSVMLASKRAELGGPGCEKSGEYYRFPLKLTYNRCKKGTDNHREKGKTKTSTSSVTNYNPPPSKIYKLTQRLLIIHHPYDLLLKTSSSIHTDYY >CRE15283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:89114:91242:1 gene:WBGene00082147 transcript:CRE15283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15283 MPNIGGKNDKNTKKKNLSVSKSTQALPPSMSESRNPTGVNTERNDNTRKKKNKKKPTTTTESNFNSSTEPTATSATGRFVKNTNANKTTTSKIESPPSNTEHQLSASAKQKMGLTGNETLGELVATLQKHFINPKPTIPRRPQPKFTVTAEMRQKIALKDTEQPECVELSSDEEGRATNTNSPSTSSKTKPEKLKSRDPSSDIEDPDRYSPSQPLDLPEVDVVLNDSDDGRESPESPVYSDEEQEEDKEESPESSAQLKESKSAIEQKENKKESLESSAPEEENKVSAVTLKLLAVLPSNNEGNGHSLEHIIKIMDHDKYQLLPPDHQAICRMAIAKGVEGTFIGEVFCNRDLLQVAARAIFQSLYPEEHSEEKENFKYLTYAMGMSKRDFLGDYNLTLLEQAYELMINHGGKGEATTDLEDLMEIIDVHEYCGGKYWTYDMYEKGIFDIYQMLGKDNNAIDEVFKKHGRQLPPTVEYALKGYKLLEVNGGPLTEYQCKPIMEFQWTERG >CRE15413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:474781:475140:-1 gene:WBGene00082148 transcript:CRE15413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15413 MPLRSFTRKVLQRCNIPYSSYLDRLEILDIYSARHRRLKSQLVLLYNFICGAAHFPNIQSYVRLSNSARRPMTLICVRPDIKDFFSYTIPLWNSVTCNTHQFLSPGEFLSLLNHPINGL >CRE15287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:135835:136344:1 gene:WBGene00082149 transcript:CRE15287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15287 MLKTVAIVFALVAVGLSEINTQTDALQQHDRLHRCWEPVDFKNESSGHRLSEPIYRYCSVMAVNKNYKVLHPFGVEEESDDYTHVNAIFETASSKYAILNVCLQEALAFGGPTRPSQVSLRCLCRRDACNLPTDLVSYMEFNQNPIPSEQFP >CRE15270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:24569:25752:1 gene:WBGene00082150 transcript:CRE15270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15270 MAESTEHDEYSLLESPFVSTTTISSLNSIQFEMDIDEYATEPEEEKEEDIEEQQVKMHGFPLEFRNTGDESPGKSVSRASTASIGGEHPEHSCETIARAVVALFLVILIFIACSWWTE >CRE15273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:32197:32611:1 gene:WBGene00082151 transcript:CRE15273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15273 MCGEKELESSDYSSDEDTESLDSFHSIKTERVARTWAYSILFCYTLAAISFMAIFVIWFFTKEEDVSEDVLDFD >CRE15339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:620201:621053:1 gene:WBGene00082152 transcript:CRE15339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15339 MAACAKEKEKKLATRVPFPRPSLILGGEGIRNRTHGGEVRRDVDSKYAHVIVAGEGAAGNNAKALATQAVLLTALGNSSPVKFSSSASGVLAKAAGAHGSASAYQAVHSDSGLAGVYIVAEGAQANQVVTNVVGALKSFKVADIEAVKKQAHNNALRASAHSDNYSIERTSQLFQSQDNYIELIPNVSASDVEAAVKKLTSKLSVASYGNVNEVPYVDSL >CRE15269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig27:23644:24382:1 gene:WBGene00082153 transcript:CRE15269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15269 MDAEKELHDEDHQMLGPRGALSDDLSDQGIEEDMDDTRNGRVNVPWERRVENSWEGSMMSETDNENAPPEHQEDEHVAREELLWESTPLKRPHDPWDDPCERKLKYRKMEDQEDGEPKKN >CRE13234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2700:238:669:-1 gene:WBGene00082154 transcript:CRE13234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13234 MTCYRNGVKCMLLLFFLGLATMNTYSYWRDSQSGNTRITSMQPEVIVEQSLSNTPFQCPFESWNQVHSDSVTNENLHLEWIQNNISRRDNILESQIRLLSSFVYPDHISITTNSQRSYG >CRE22693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:59012:62075:-1 gene:WBGene00082155 transcript:CRE22693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22693 MQQSRVEQYISKFENREWNKITKNAPLFIEALSHVSNLLFKEPDAFLEDLEEVLKKEMRNTNVAKRILDVNLKHLDIIVKSKHMKDLPRVYLRAVRKVLKELHRIVNNIDKDFRMKQDVKKIGHMMACCSNSGIESRTEARSHFPPHRERIQDDQSGASGSSEMRAVPAHSCAQRIPSQRWFFEGNYVTNTVTTVPVVIGPHDSSQQATQNTGNQYSQSHIEPQMTPQLPSSNIYQSSAVEPIKRDETRTISLPSSEISNDNSSKKRKASIEPVSSVPCKKILTVQEETSCELPVEQPLFERIIPKNTEPLMDLPFSEAHTKTKIVGRFSRNEIPLGPLTTASSENQPIVNASEKTSDEYDYVDRLHDMLLQMEERDREEKSKLWKIQENLDLSKLTEKTSIVENSSRSEIPIDPRTTGSSDNQAIMNAREDTSEVSQDTDNDVSNIDEEDIDVTKYPIGGFTADSVEDLFLMLPKEEREREHEDKKKLRKFEKDLDLPQLAEKTSIVQNSSRSEIQNNTLTTESSDNQPIVNARETTNDEYEDTNNNVSNINEKDIDVSKPPIQDPIVDGLHNMLLQVEEEDREEKEKLWKLEKDLDLPKLTEVN >CRE22694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig271:66718:68300:-1 gene:WBGene00082156 transcript:CRE22694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22694 MSIHKPLKPDVFQSNFLIIKFVEVLSMKMKFFKNLKQFYILARLFQMRIIVILSLDFMPIEMSKTVFPFDDIFRITFSGSFLPSQSKTGEIIPGDVSDPHFEKFNLQNAPVIPSWRQKGMRNSSTSFTPSNASETSSTETTATDHSVPYQSEIMNSDAEKPLSRIPKYNKYSTTSSLYLLLFPV >CRE23857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2716:2432:3393:1 gene:WBGene00082157 transcript:CRE23857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23857 description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:E3NVF0] MLESMISKPRPPRAECSDVANAVLDGVDCVMLSGETAKGDYPVEALAMMHNICKEAESAFFHLKHFEELILHTKKPTGMTHTTAIAAVSATITCRAVAIILITTTGRTARLCSRYRPPVPIITVSRDERISRQLHLHRGIFPVYYPKGRIDEWDVDVEERVQYGVNLGKTRGFIHAGDPLIVITGWKQGAGFTNTMRIVMAS >CRE28003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2719:3973:4410:1 gene:WBGene00082158 transcript:CRE28003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28003 MGRPHNNKNVTLAVQEAVIQGIQMRLKRKDLALQFNLAKSSITGIFQRYELRQGVVIRKSPGRPRKTNHLTDRNIVRTSRMNPRLSAGEVAALVDGPMTPVPHVRIVRRRLAESGLSGRRPAKKPFINAKN >CRE22125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig272:29615:33306:1 gene:WBGene00082159 transcript:CRE22125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22125 MTNARLRVVTNATDVNVYDLTKATGMFLREEAPSRKTEPVGFNNRRNFSKIDVSYAPAGLQVCNILF >CRE22127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig272:47841:48079:1 gene:WBGene00082160 transcript:CRE22127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22127 MTKRQATGNMDDLEETNRIEKIVETYQTVAAIHAMNAMKGRKIHTAVCATVKVIQHQLAQ >CRE01511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2720:78:1492:-1 gene:WBGene00082161 transcript:CRE01511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01511 MISLAKIILRLFLILLPLLSGAHSEFEADVRWSCSEDVVSVFVRTNQPFEGIVQTSASTSDACRVQGFGTNVAVLKLNLKSDECGIKYDVTSRTHSVTVDVHSHPVLIVEGDKSVNITCREMINGTQHFMSQMNTQTTPDYELRILSSRLPVDTVKYSQPYTLQIRPFPNPSQNAYSFFVGQCTAQPVGGNVTVQLTDPVGCALFKSIMGHFARRESVEEAEIPSMFRSPNAKQLQISCIVTDCDGKCEARTCDSDASASSLLERTTASTESESFRE >CRE02786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2721:890:3341:1 gene:WBGene00082162 transcript:CRE02786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02786 MLILLKRITFKLFQPSILKQRDGNKSVFLIIKSKTEDKELKILENGSNANRTQHKFSFESREYEKMAVDRKPVSSCGESVEVATTERESYGNILLTVEETEKLPMDETMETVRIGSFEKVAVKMKEGFVRGENLVTYWENRMTGLTEIGDYAREVFNQDIYEVLIFDKRADDDHRRAAEWVTKSQKSVQSLHCEFKLKVDNDLDCILENFKYTEKLELLVKPSEHFCPIKMPNFQIETWYLWYSYWIKQHHLLAMDCKYIWLYDSELTTQDFNVFLKHWLAGGCSKLIELRVVVEQAIDYAAVLDGVEFTERGRDVERVYVDEERTPHTMKGGFDVKRSNVTATIVDRHPVMFWIIVW >CRE21579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig273:32220:33018:-1 gene:WBGene00082163 transcript:CRE21579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21579 MSVAFIFDTTYLKSISICCAQIRPTDEIARNYLLQGMQFALKHCNEIGAKTEFVIEPCEGYVDMTIEKGNVEYSFAFFYYNPTICDPTPEDVEMPMVVTSVDEPME >CRE21581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig273:57029:58217:-1 gene:WBGene00082164 transcript:CRE21581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21581 MSPSPSKRSSTSRASKKHRKSAEEADRKHKKKTQKKKKSAESGKKNKSRRSSRRGSVEKRIEMITFPIAESPAKKPPPPIPQFKKASHEPASAEKKSKEFPAAKKASQEILPAAGRRQSRETMRDPFAVQMKQSKECERANSTSGGRDVAKNF >CRE21576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig273:54971:55357:1 gene:WBGene00082165 transcript:CRE21576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21576 MVYKKFKMSYSSYFEALEECDLKSLEHRRLCIDLIFTYKLMVTKEIIIDDPIFEFLDQSRLRRHRYYLKSLTTNSNKLSSQILSNRVLRCWNSLSELVFPVKPSTAVFKSRICKYDLNHFLSLNPTNY >CRE05367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2732:2221:2583:1 gene:WBGene00082166 transcript:CRE05367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05367 MDGKIIEDEGNGFISRRVFKYSKEPVDDWTQLCIYVLEIFKKQTIDVLSMTMDAFVDQNVSIIDFVKTNWKSVNDCNLLQSEEENDVDEHAAYLLENLKIDNQTPKIKTMARRGPARCLF >CRE05368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2732:2872:4913:-1 gene:WBGene00082167 transcript:CRE05368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05368 MKPLNELPILRLPFRAMEEVYKGMHSIIKMISNRGKKKFPILRLPLLAIEEIFKTMHPIEIETMAIAINGTNGLVSCIYLMTSNERMDGKIEEYENNGFIQRTVYKYSKDPVEEWKQVCKYVLDIFKRQTIDVLGVALDVFVDQNVSIIDFLKTNVKSVNGCRLDQWEEENDVDEHAAYFLENIKVNNELRSNLDTKNVNFDMKIPKNLKELYIKKADWIGYDKLLEIDSAQVSFGTNRISNKDWNLFFKKWMAMETHLNLELLAFEFKSLEDLRLFVLHDIPHQVVDEGVKRTLIIYDDETEEINGGIDIRRIDGRTATFFAQYEGFLMSVH >CRE06266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2733:340:2598:-1 gene:WBGene00082168 transcript:CRE06266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06266 MLIGENSRNVAHAVDQKLQKVQESLPEGVEAKAVYNRTTLVDATIDTVKKNLLEGAILVIVVLFLFLGHFRAALITAMVIPLSMLMTITGMVNQRISANLMSLGALDFGIIVDGAVVIVEASLAKLAMKQKELGRILTRKERFITVFEATRESRKAILYGQLIIILVYLPVMTLTGVEGKMFTPMAATVVMALVAAMVLSITFVPAMVALVTTGEIKEEESKIVHFIKKVYSPILDWSLSHRYKLIAFVAIFFVFSASLSTRLGSEFIPSLDEGDVALHALRIPGTSLTQAVEMQYALEKEIVKIPEVKTMFAKVGTAEIATDPVPPNVADNFVILKPRSEWPNPDKEKSAVVADIERVAKGVYGNNYEFTQPIQMRFNELISGVRTDVAVKIYGDDLDQLLESANAAAKILEGVNGTADLKVEQMSGLPMVSVELKKDMIANYGLDAEDVQKQVSSLITGQTAGKVFEGDRKFDIVVRMDQNSVTDLEKLYQVPVNLPDGSSVLLSELIELKNVQGPNQISRENGKRRIVITANVRGTDIGSYIEEAQTKLNADFKLPEGYWLTWGGTFEQMESASNRLMIVVPLALILIFAMIYMALGNVRNSVLVFTGVPFALTGGVIALALRDIPFSISAAVGFIALSGVAVLNGLVLVSAIQRLRDQGEGLLEAVRHGALERLRPVLITALVASLGFIPMALNVGIGSEVQRPIATVVIGGILSSTLLTLIILPTLYVWFNQGRKKQSDDFSEQQEA >CRE20986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig274:55516:57851:-1 gene:WBGene00082169 transcript:CRE20986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20986 MKRNKNSFHRTQDACPIWHHAHRNRKEDAQSVVPPPPPPFVWGFFLPSQLNPAPFVIYRGSRWMTH >CRE13862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2741:9:1587:-1 gene:WBGene00082170 transcript:CRE13862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13862 MSNLSMAHLQKFPIKELGTKLWRLAVNSYAFRKSLKLSLEKLGVGIEGWTNGEANGIECGIEMMEKSLPPKETLDPVIFEEERVLFIKAKRRIVERQLKYLAEWRELIGRQSSECDVSSSQVVIQGLNKLKEELSQLENEDGDKQSVVFRQSATQYNQLCAEMRSFLEVAKSAIDDAKSDNGEPNDQSMIIRLARIKSFAMSAENFRISILRKFVSFVDVSMPFVSGLLIVEIAFNEIALKWKQEAKRHHATTSSAFPRYLQTTPTSSGLVSNEVTNWAIRDGSPMPLHLKSAVVRRKLAEIENKNSSSIIEIQWTRAQWKKWYEKNIAKAEQKDFVYRTKTEEEKDEMDIEEFFTNQEQDSQVLPDSDVSMLLEAIEQKKFTLVDEKSRKQSDERYEMAMVWMRYVMSEVGAISIEDATWNQCIDGDQKLFEILASHEGLSDDGEVEDTKVVDVYRNSSLRECRRVALILERLASRTREVLERWPEVVALKT >CRE13863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2741:2584:4600:-1 gene:WBGene00082171 transcript:CRE13863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13863 MVDIGEQCEKESERGSFIGGPYSFNLRDLLRWYDMLAVERTLGEAFELVYMARTRRDEDKELMRSIYEKTMNEKLITRSVMLTVDEKEMRIGKCVLKRKSTIPIVNKNRLLASQLTLMHRISTCVELNWLTLLVGPRNCGKRAVIENVANICNRPLRTIALNADTDAQELIGSYEQVVDENCLPDAKTKIVEILRKNQVEGVLIEKVQNTEDLNKLEATIELVLSEIGNIEEIRDVLSIANQSSMRFEWTDSVFVDAYLHGDWLLIEDVNLCSAAVLDRLNSCLESGGKLVVAERQNSYEPLEPHPDFRVFLSMDARVGEISRAMRNRSVEIYIDDDSKWNTWPDDVRAVIGSKISTEFSRTISRDLTVEQQLHLAALLEDNGENFSTEIALKLVGGHRDDVMEEDDEDENNYAIVESDQAPKIVEIGGLTGHYEKYLLSSWRRAASITKTSNFEAILMTFIATSPWILHNLTEDLKTFFGDTVVDAVLKRIPANVLKTNKRHLVDAEFSSGSPTDSTSKFSRAIIFEWILQMITKIPIDHGSAEHLSSTLTKCKIITESNLFYFYLCSDEIATCDVTFNNLSQISNLVKSIIEMFKTTSSISHKSHREVCVSISYLLLIAASRRRMTTRTGCAAIYLAWNDLKNEAAKTSGLDR >CRE19626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2749:270:1217:1 gene:WBGene00082172 transcript:CRE19626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19626 MYYFHLIHNVKGDSQIKLSDGHSKYRLSKSAYHYITRTLYFSKHKSEIEELEYCASYHMPAWVDNHPDQFWYAADQYTSETRRTSSHITIALPKELDENRRIELSERLMHEFCGQYKMPCTIAIHNHVAALDGQSEQPHLHLLFSEKSMLDNIQRLPEQFFKQYRQKNPDKGGALKITADVLGFGRNILFHYRTKTEKIINEFLEKYAPTKIVEIHGLKLEVSSAVSCLSNEDYNKKYGTKLKDVPQIPRHLLYSTDPEDQDTVKNYRKEIREIRQNNLCELYKKEYELALAKKREQENTNTHYRDQSKDLDYDF >CRE24825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:928:1117:-1 gene:WBGene00082173 transcript:CRE24825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24825 MSSEESPTIGDIAASRHQMGLWSCMSYVIVKKDEFPSKI >CRE24818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:47200:48154:1 gene:WBGene00082174 transcript:CRE24818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24818 MSDNDDDDQQLANQGEDDKQMSSGNSTNYSSDSTTMDYNEINCSSTHDNKNDTHLYKYNETNIHIEKRH >CRE24829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:60521:60935:-1 gene:WBGene00082175 transcript:CRE24829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24829 MSGKGDQYQVSTQRTTSGLPQRVVQKTTADVKVHNCKNGGQIVTTTQTHQQKKYYPPSN >CRE24822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:70348:71742:1 gene:WBGene00082176 transcript:CRE24822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24822 MRLKLDTPSILKEANKHGSKQQHMEENGLSESAQTIAEQCDEILELKNKLAKCFKASADAEVREPASSETR >CRE24817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:40131:42122:1 gene:WBGene00082177 transcript:CRE24817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24817 MGVGECEDCDSSTLSKIFYSESLNRLSDISIFSGRREVRMSTAQQTNSKSDGPVHDRAVCIPMGPTLTLDSVIFAIECEIFETRAYMTEYSKCKLRDAAGTPADSSAAGTPANSSAAGTPADSSAAGTPDDSSAAGTPDDSSAVGTPDNSSAAGTPANSSAAGTPADSSAAGTPDDSSAAGTPADSSMTTIRSHFMMHRPKAKEDNKKSTISSNVEMLHIYMYACGGRPKTPRN >CRE24828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:43256:44677:-1 gene:WBGene00082178 transcript:CRE24828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24828 MSGNGNDQQVANQGQYSGLPTSGVDRTFFQDNKSANYHEVADVTQHDNKNNVHTYTRREINIQMKKK >CRE24813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:1283:1378:1 gene:WBGene00082179 transcript:CRE24813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24813 MSKNCNFFMERTFGHSDTGIHRKLAENPSRF >CRE24815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:36584:38237:1 gene:WBGene00082180 transcript:CRE24815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24815 MSDNDDDNQQISNRDEDDNQMSSGNDTNYSSGSTTIDYNESHQSSTHDNKNDTHSYEYNEVNIHIEKH >CRE24820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:55386:55818:1 gene:WBGene00082181 transcript:CRE24820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24820 MSGRGNQHQLSTQSTTSGTPERIVQKITADEKVYDRKNGGQIVTTTQTHQQKKYYPPTN >CRE24816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:39119:39506:1 gene:WBGene00082182 transcript:CRE24816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24816 MSGNGNKQPTSSNQSKYPIYHSNGWIDLDPTMSIWKPYSETWHLRPPPPQPNATWYFERGMTQSEIRRSM >CRE24826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:14447:14623:-1 gene:WBGene00082183 transcript:CRE24826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24826 MSKTKCKKVLPAPGVEPQTLRTIIPPFFFILKCPVWSENVYNPFFIVKSLQKKCKEKK >CRE24823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:72312:74879:1 gene:WBGene00082184 transcript:CRE24823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24823 MAFFWLNGRIRILRLSLSAIPLFSSESEILQKNAIEHLTNLRKLRYVEVHRQFHSEIGSDTAQNAEMWFLTDWMKSSERTSTENRGQDIAALLHEYLKRAIGSVEFQKSDTEDILENNYIMFNV >CRE24821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:63056:64138:1 gene:WBGene00082185 transcript:CRE24821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24821 MSDNQKQVSTQSGTSGVVLIIFELLIWIWLWVWTM >CRE24819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:50886:51415:1 gene:WBGene00082186 transcript:CRE24819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24819 MSGNGNKQPTSSTNQSSYPRYHSNGWVDLDPTMSILNEETWRNRPPPPPQPTPPPQAQSNGIHYFSLPVRQSEMRRY >CRE24814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig275:33792:34210:1 gene:WBGene00082187 transcript:CRE24814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24814 MSGNGNQYQLSTQNSTSGAPERIVDKRTGDVKIYERKNGGQIVTITETHQQKQYYPPSK >CRE21102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2753:4920:5636:1 gene:WBGene00082188 transcript:CRE21102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21102 MMMRITRGLQEWRLEYYRPKFQYDYEQQWHKIHDVNFGFPEPVHVERYMFRETHKAFQLMPRLADRSVVIRPVDPIYIPAGQRGTLYISTPLWISGFVQAQKEPLFDIPVILPKDTWFGPDHRTGEMCYATSVDGRTELNLLRPRAFRAVTPIDFHNVSSHQLRFDRMNVPVPALPLFYSESTGRLWTSQIKVVYEGNDRPARVRIENRTPPLAGEVIYVHPPRSPGGALFNMFDSFF >CRE23859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2756:1583:2404:-1 gene:WBGene00082189 transcript:CRE23859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23859 MDRNIIRASREDPRRTSTDIQVVVTSPNEPVPSRRTIRRRLQAQGLHGRRPVKKPFISEKNRRSRVEWAKAHLSWGRQEWAKHVWSDESKFNLFGSDGNTWIRRPIGTRFDPKYQTPTVKHGGGSCMVWGCFSSQGMGPLRRIVGIMDRFQYEDILENTMRPWALQNVGRAYVFQQDNDPKHTSLHVRSWFQRRRVDLLEWPSQSPDLNPIEHMWEELERRLSGVRATNADQKFAQLEAAWMAIPKSVIDKLLDSMPRRCQAVIDSRGYATKY >CRE23858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2756:890:1189:1 gene:WBGene00082190 transcript:CRE23858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23858 MSSNRGAHEGGGVGSETHTTYGTNLISNRTGAEEENQVEEAELKYGASHVIHLFVPVSLCMALVVFTMNTVTFYSQNNGRHL >CRE24257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:66196:67886:1 gene:WBGene00082191 transcript:CRE24257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24257 MPSTCPFKQNGSPGPQSPPVQSPIFGKYYLRNSYQTFFPNVVSSVSDLNNPDAKQKMRQEMERLTERQKQIFVETFPVVYKDFRRNGLVLFAKYFSEFPHYKNIWPQFRNLQDSALLASNELANHCSVYMSGLKEIVEVMDDEEKLTYFMARIARSHVKWNINKYHITNMLEGVDAVLKRSFEEKLTDEIVDAYHTLYDVIGNLLDIQKKLVIVKRPF >CRE24256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:59800:63406:1 gene:WBGene00082192 transcript:CRE24256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24256 MIFNCSISMSTLFAIFLAHVAIEPHLHSCFGYFYNYKEVMILTKLSFSTQGVIRCLSNSLQSNNSLCGKRATEKIKCAVIALRLIAKNYHSWNKGKMKVAVFNPKKISPKPYKDIICGL >CRE24259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:85900:86477:1 gene:WBGene00082193 transcript:CRE24259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24259 METHRNISETLGEDIISYKTAETWFENFKEENYNLDDKSHSGRFPLDMDDDITDVLEDELRSSVREVSSHTGASFATIFRHLKESGRTAEYGQDFPRELTDAQMKLKCELSLSLLSRKRSLNWIFDIRVLDNEEPLTDPKGELHQKKVMLSVWCDSNGVIYNGLFPDRATITTD >CRE24253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:7500:11694:1 gene:WBGene00082194 transcript:CRE24253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24253 MLRSVHGKTLVLSKKGRKKLDKHQSHAKLVVIKNEHYKKKKYETLQIDGENTKIKFYQDEEDLYITNFDQKQDILNCPIDALLIFDKHFEIYISQTMLCGTISQLQDPTLEFFMEKDQEEKMEPLFKKSKMSKTTEDDQYYNYDDSEELGIRTSSYEELPANSPPVSAPNSPNRSESLFSTTSDSLSSNQSPDNEIIHETTAKNGRKRSSPQIKSSGLDIKIQRTLEISRCKTRFEKLFDDHYSCDQKIIPCSQLVSSVSENDIIVQRFLDFAKSSGSIPEADPLTVYNRESEYHVLSGSRRAMAYRLHGIEKVAVHAVKAEDVPMYKLKKFFSETVEEEPDVCKMIDICYALFDYLDVLPQTIKRWTEKEFVDVFGKYFKKNTKLELILKMTAHDNLIFHLKKLCANGRSFGNRAYLLILNRFLTNPEDTLRVLRVAANSGLNEKQLKSELSKVKTSTSCILQKKVTDPVVINKLMDRFKDDSKFEEFAKRSDLRKKSCVDHFVTICRRFDVYKKATNSLPHSEATKVIKVVKTPSKSDFILTTDENVIEEWLIDDSDFLTEDQTAVLLGRNSENGSFSFILILPDSMADRGADGCLQAHISATLFIRRNGCLLKEHHVKQFFNDHDLPFRSFYYLEEISRIVKEDTPCFVKINKMLAIDISSSIIKNATNVAVDSEEHKEAFNDFILS >CRE24264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig276:4796:7299:-1 gene:WBGene00082195 transcript:CRE24264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24264 MNDDTITVKELLDAENRFFDLGVPSKTYPSEDIISSHCCDKYYNMFISRIDVLKCRYLYIQFTQEHRVSGKEEIRYKFLGTLRRSDSDDVYVIPIIEGIEICSVLTSRIFGVSLSIFMSALTDYAPPKQEIREIYEKTVQKLSKTLHILEDTLTRCNTCVYLTEKLYDSANEEEKKEARQLRKDHFAIINRQRTITSTLCKMSREQNYDLIVLGLDAMSNNVSKLPAMLSRPKSIGDGDRMPVTVTTIRLLYQSVGHTHSTVDAHFGNVSSAMKTEMIMDPNELATIIERLPSVISVDTKPTIYDFSGLFNFIHKPTYLLSNNQLLLSKDYAGKVHWSAAPTMNSAELFEYECEEDTFKLFTEDFNLAKFNPTIRAPTREGVEDKLENLFKSAGPFLTENNRNNYRELLSVYGKEAFRSSIKMLNDKAAYVDQQDDLDYDEKHETILNYLKKNKVNVGRQAKHPIVTSGMRKMS >CRE15161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2762:4709:5389:1 gene:WBGene00082196 transcript:CRE15161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15161 MKSRDHGGGVSYQTGGYTQGDGEQHKINLWKGFELPNDGALTVAVDLGKREAASNTAPDTRTFYDGSTQAQYTEQNTPFRTWKFGSPEQKDQINITANLDLPLTDEIALYGFSSYGHRKTIGENFYEPPTTKTVLNQSSYFKQRYPDGRSPLSLVSVDDFATTFGVKQGNQTSGKYDLYATYGQNKVSTEQGNGINPSYGADSPSHYDLGENIFAQLNTGLDYSRDF >CRE18383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2763:3305:3946:1 gene:WBGene00082197 transcript:CRE18383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18383 MYFQLQNYKTMASNLTKKFLKEERENREKQLELLSMKTRYQKNLERVVRNLRAEKRVSEHLKKRLDDANKLIKSLSEQKGLTGIVKQEIPEPDTPRVLREIKEEIEEQEDEDQDSEQEKQSFPIKKSPETVAIHWLKKENKRLAQELKLQESRSKPEPKKNSGEFKPKKIRSKKKKDQKRKRNGRGGGIGKSDFLVDI >CRE18384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2763:607:1056:-1 gene:WBGene00082198 transcript:CRE18384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18384 MSSKSTLWPNTIHFNETYMNYRIQWNGWPQICAVLPWIYILPSFHVICKIFSVYLSANWTRPEPGLNQHVFLVISLSQLTVFIFFLFDWFMVRLPSTGLFTSWCASIEPNHFLKFIFMMAYYTNYCAMIFPFLMPVVRLVVVSFPRNHFK >CRE26925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2764:2639:3361:-1 gene:WBGene00082199 transcript:CRE26925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26925 MLNNIFTILDKFGFGTQKRVINVQFSNLELSSQVMLQRIDGYHAINEGLSAELICLSSNPFIELKQFIGCQVSVDQVTDSGRLFRTTGIITGASQGQSDGAFSLYRLIMQDPTSLWHKRRNSRVFMDKSVVEICEIIVKEWQSKSALFAASLILDTSGLTKDYDVRPFSMQSNESDYEYLTRILREESINWLIDESNYLVSSSRQSIEPQKLRLIDDNAQFKAIERRTIRYHRSNATDSMT >CRE25040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2766:55:1497:-1 gene:WBGene00082200 transcript:CRE25040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25040 MRLLILLVGCLLSFGFSQKLSTAEDEERSKTCGRISNQNAKTNDELSPWTVRIQIKDKHFNPATLVSKRHILSSGSIVIGKVANEEKWKWSMNGEEIDMANCKDNKMEVPTELLTVDLVACSNHFLCPWRPEVPIRSAHYIGPCDAKSSPEGLLIVEMERDIPEDSEYFTPACIPESDISIAIDEQLTSHKAHIDNNQNIVIEMLPTTIIACSTPSDHLFCGELSKCPEGSTSPLIKIVDGVDTVVGFSVYYNDECKKKTFASVMHYKQQLCDLTGICQKTSPGPYTPKQIPPPTEISLSNKFIKLTKSEDVANTESCGKPTNPGSSLPIDLTPWTVNVNTPNDNGYIYNPATLISKRHVVVAAFALFQDKPAKYFEGTAVDLSKCQNK >CRE23750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:59870:66498:1 gene:WBGene00082201 transcript:CRE23750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23750 MSNPKKKKTGGPSMDDEEAIWEFIYENICVMDLYEGVTEALFEQYLKENGKKWTVRELSDYFNTSMKQSLYKCDLPAETMLSLFKKLEIEMSTHVEELLEKRFGAVLRIDEDRCLRKFKMIAQSNSTEIVEKSVEKPTEKPKNPEIPPQKPSEPEPKRRKLEATIPLDLEISASLEQQIWDYISEKSTNLTGEMVLSDEFWRESLENFVDLPIKSAQLIQHHFENKMVEKMYMQQGIDAENKIRLLKELHIPISMAQNQWILENDQICLKLTSDGYIESWQRKEAEPIRVTPPPPQQSRSKITTWEPNVRLVKVSPNAPSDQPGPSGIAPSNHPDAYRNYRQPSRSPSPLADRKYRFQEKKHVKREAFTLDDQIFAWKYIFKEIHEAEKYQMPRVLPKGIRFWNEFVKRTKSAKTATNWSSHFRKQMCPTLQEMPLNRKTILYLFQNIDIELDERVHRILERKCDAKVRLVDDMVASYKLNGQENEVDVKAEIESDSDEETEDVQIEDVEEEEEEAEPLNHEIQGPGDQNPYAQVPGGWDIEENPETLDTTMPLEDGSDADEAIIEEMQEERAAAAQNLEQEPEIQILEPIFQNATPPRNWRESLRNASSKSAPTTPKTSKTARILRSNQQGISSKSAEIPRVSTRITRSYAKMTMKETPESRDIFASSSSQMTPKKAPRNAPAPEGSDEVVEETVPQPSKSSKIHNLKAMILGNPSADLVIIQETIDELGGEAFDLVNDAIEWAFKLEHWDENDEADDREYRELVESVKARTANSGIQKIILTDLPEIWEKTVGIAPNRRVFERRVGIVKKKIDEILEREEAAKWNPEVPENPEDPEEPTTSSK >CRE23753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:9110:9409:-1 gene:WBGene00082202 transcript:CRE23753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23753 MPVYATFRNPTSIDSSRSKDVAHYVFEQIIFQHYFQKDNFGWANIYVREERKPWITNFLRKNAAVKDEKGYMVATIEGPKFKNTVEHNLYGTFIFSRVV >CRE23752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:6643:7749:-1 gene:WBGene00082203 transcript:CRE23752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23752 MSSTSRNRNLLLSTPYVFQRQLSDFLCLETRLNLRKCSKRCQSLVDNMPLTIEDLDISVNTWVTVFLTEPKLGIENSHSYPGNDPINSFRKKNSEKCVAAEAVRDLKIILCNPNLQIEELYMHFGKGQLLFLQRFVSMVTSLPHQIRVQRVSLGAILSPADCGALLSRLKTKKLQLLGGILEDSLNELFKIEQIKHVPAITIRQRLSRFPFNLLSNTEEFVVFFEILSQTEFDRSIEHTLQRDNFKKGHLYLKRNEPWITEFLSRNQAVKSEDGKMIATLEGPKFKNTVEVEDFLIVLRRTI >CRE23745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:18019:19043:1 gene:WBGene00082204 transcript:CRE23745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23745 MSELFKGHSLNLEEDYDVDGGAFLKDKMVALVFTSINPRSKRCTSFNTLLQNLYNAIKSKHDDFELVFIVNNLLTVHERHFPFKFPWVLAPFGEKEYQSFAKNYLVKNNNIPCLFIIKPNGDPVLRMKQEEVLQKIDKPDALWQKWADAYNDLKPKPINYQNYSQKPSWVIPFKEVRGPRRNECNYKYYTLYEYKF >CRE23755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:19095:21603:-1 gene:WBGene00082205 transcript:CRE23755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23755 MPHVTILPQDLIAEKSLHQLYTCIVYSCIVFASTHFARWIGQTCLDADLEWKFNELLSTLARCSCLWSEGIILAHYGYIAMFITIVIHRKLAEWLSNGAGENASILVEEGVARDRMFFGDRLWLTVIQMFTALFSYIYAAENFGYAGKLTGFPALGKLCLLNETISLVLVGVAQFVGAFSLRFVLHRTATPELKKYQAGVYAFFFVTSHYLTGVTGLDPILCLAMVYPCTMKQENIFMKYLSIYLLCLNSGYTISARLCGEYPLRSIHRQRFEVAEEARIAALPPPSPPAPKFVGKGNRRRQVR >CRE23762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig277:79147:82178:-1 gene:WBGene00082206 transcript:CRE23762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23762 NTYYYRCRDDGRVVKTTIEGCIAHDKQRRVPLGQTDDFNGYTYKCQQKTSGVVQMCSVGCIHDGQRYAIGQQYKLL >CRE03597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2770:6:875:-1 gene:WBGene00082207 transcript:CRE03597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03597 MEDQKKVAAETESYRKIAFAGIAISTVATLTAILAVPMLYNYMQHVQSSLQTEVDFCQHRTDGLWDEYKNFQTLHGVNGRIRRAARRHTGEVTRSKKIRRQNEDEEVEIIYVDEEGNEVEDQTPTAAPTATPAPVAQAQVPASSFAAAPPAHRAPAPASSSSGTCCSCGVGPSGPPGQPGQDGAPGNDGNPGAPGHPGQDAAEDSHAS >CRE05369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2772:3545:4096:1 gene:WBGene00082208 transcript:CRE05369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05369 MNFKNTKIKMLDLDLRGCLYLNNLSHTQSIATFFKTVSRLGDGPFWCAMLVLIWLQKSWNYGLQLLYVVVMGLLGTGIYKFLKHKTVRPRPYQVHQVIILGERPLDHFSFPSGHTLHAVMVTIVFGYIQPVMLFIMMPFTILVALSRMVLGLHYPSDVIVGALIGASVASLIVLSAPYLGVML >CRE10787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2778:1514:2002:-1 gene:WBGene00082210 transcript:CRE10787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10787 MKTRYHKNLERVVRSLRAEKRSLSEQKGLTSIVKQEIPEPDTPRVLREIKEEIEEREAEDQDSKLEKQSFPIKKSPETVAIHWLKKENKRLTTELRLQESKSKAEPKKKSGEFKPKKMRSKKKKDQKRRNGRGGGIGKSVFLVDI >CRE23167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:80265:80515:-1 gene:WBGene00082211 transcript:CRE23167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23167 MTENGRFCAENYKLIAEGRITFKKPVKRTTDGDSVDEEAEKKKKKSTPAPTVKKGLLSFGDEEDDC >CRE23165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:77847:78916:-1 gene:WBGene00082212 transcript:CRE23165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23165 MEFRLKLLIFISAMDNAQTINIFYTIIRRCLDELSLTQLGRHYFNSKDARHVREYNMSILLRFETAIRIYEDQLMLCIENRFKMVRRDSMYDLVKKEMQACQGNRVRVQEKMNEMFGCSTIITLYNNKLYRFTRLDWSSHALKKYYDENNNTLPSRLILYKDGAGDGQIPYNKNTEVKLVRDACDMVTERVAKLSGKVHKSIKLAFIIVTKRVNMRILKANAINPDPGTVVDTVVTRPERMDFYLVPQFVNQGTVTPVSYNIIFDETELGPDKHQQLAFKLCYLYYNWQGTVRVPAPCQYAHKLAFLTAQSLHGDSDEKLRDKLFFL >CRE23160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig278:75920:77595:1 gene:WBGene00082213 transcript:CRE23160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23160 MLNNLGTRLFKSVTDKAGDLGDKFEEEFSRLSFNITTEVDSIVTEAISLSSYIKVALVILSILLVLLIIRYSAYGIRCMFFKARDWIHSMEEKPAPQVILLMPTEDGKYRKSSKVYTDEQTRQMLDKLRDDSIELFNNETKRSNSIESRRNRPPRLSERAAYKNWKVPEEAV >CRE29216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig279:64392:64601:1 gene:WBGene00082214 transcript:CRE29216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29216 MAEVLANDRHALKGCFLLGYLQGLTAKEAHRNISETLGEDIISYRTVANWFKNFKEEDYNLEDKSRSGA >CRE29217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig279:65677:66030:1 gene:WBGene00082215 transcript:CRE29217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29217 MIQSHRSSRPEIDHLVLLHDNARPHTATKTRNFLKAQGVEVLPHPPYSLDLAPTDYHLFRSLQNSLAGQKFDDRIQVKSYLDDFFSSQPAEFYAAGIAELPQRWQDVISTHGQYITY >CRE29218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig279:76714:80465:1 gene:WBGene00082216 transcript:CRE29218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29218 MHIPSLFIDPFSPLLQHPTEQRLKNVAHAPKRPCNLTTVEKQLAVRNALRYIPKEHHALLAKEFAEELNTYGHIYGYRFMPNFDLYAPPVSEIGANCEKASAIILMILNNLDKKVAQFPQELVTYGGNGQVFSNWIQFRLVLRYLFTMTDHQTLVLYSGHPLGLFPSTPDSPRMTVTNGMMIPSYSTKELYDKYFALGVTQYGQMTAGSFCYIGPQGIVHGTTITVLNAGRRMGLSSLAGKVFVTAGLGGMSGAQPKAAKIAGCIGVIAEISETALLKRHQQGWLDVYSKDLEEIVNWIKEYREKKAAISIGYLGNVVDLWERLAEEPECLVELGSDQTSLHTPFLGGFYPAGLTFEESNELMTTDPAKFKKLVQNSLIRQIAAIDKIAAKGMYFWDYGNAFLLECHRAGANLLREDAQDDKSFRYPSYMQDIMGDIFSMGFGPFRWVCTSGKPEDLRLTDQTACKIIDELKETNVPEYVKQQYLDNKKWIEEAEKNEMVVGSQARILYSDRAGRVALAAAFNELVRTGKVSAPIVISRDHHDVSGTDSPFRETSNVYDGSAFTADMAVQNCIGDSFRGATWVALHNGGGVGWGDVINGGFGLVLDGSPDASRRAEGMLNWDVPNGVARRSWSGNAKAQEAIRRAEQQVDGMKVTIPVEADEELLKTLKF >CRE24367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2795:2859:3485:-1 gene:WBGene00082217 transcript:CRE24367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24367 MTPFQFRFPSQTTVIGATQSGKTSLVRKILENLDSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQSVMSKPYNAMMINNDPNADPCFRIMENFLHEFPIVYK >CRE20295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:120990:122394:-1 gene:WBGene00082218 transcript:CRE20295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ntl-9 MIDARASPATQAQQTAVPSSANLEINTDEIMQWIIDLRDPTKRELALLELSKKRDSVPDLPLWLWHSFGTMSALLQEVVAIYPAIMPANLTAAQSNRVCNALALMQCVASHRETRGPFLHAHIPLYLYPFLHTTKISRSFEYLRLTSLGVIGALVKTDDKEQLLVVINFLLSTEIIPLCLRIMEQGTELSKTVATFILQKILLDDTGLLYICQTYERFSHVAMILGKMVMKLTREPSVRLLKHVVRCYSRLSDNPSLTLDARVAQGGAGQNVKVRAQQALKQCLPDQLKDLTFKTLLKEDPSTMNWLRQLLTNLEIDGAILHDEELLPK >CRE20299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:131614:134417:-1 gene:WBGene00082219 transcript:CRE20299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20299 MSVINPSVILKSDGQLTGQADDETPQQSSKTMTRETNITKKYNCSKQNTNKKKSSKKMVKLDSLPKTINSKSSQKTHSMREFETVFKLNGVVSTSHILDFDTMSLHPGDVIIDSDDTEDENNSKKTGTIQSPHDSDELKHYSKLLRDLKKLGSNRQQTNKSMVNRQFVSKPRKIIEGDTPQRPYPKDLCGYDKHECGTATEIFDMIFRKKNDSDHCSLTNLNLSAVVEHCDQLVKKRQAVLESYTGITEESRNRKKRLEILLNLQEILWLIHFGKFDDALEKTFRLMILKFNCRFDKDKRLKLIKNNELSLVWNRFIELENSSIMCQRETDWKLRPVFIDGLAYLAMFSSSTEFSLPNMQTRTLLEILIHFILDGHKTVLYLPSFYENAHDHVDDIDAFQFLINSKLIRFVNERNRKFVTKQVLEEAEKTHGIVVSPMENLTCLNSIHCFPTVRKSSSKIDEFLLVTCFKESSSINEKFEYEVDRTTASIFSKAVGLSGNDSDIDDKKEQGEDHSSASFEETVHAESTESNLVLEMPESCSLLFEDSEKSDINELNKNETSSISDELVNNKFSHSPIAATSNTETGLDSGVGVDISDEAVLLGRNCVDPETAMQETLGDSETYQDLARKISSFTYLAKFNFGRSRQIKVFLRLIAQFTPELLPHFLQKSAIFEVGTAIENLKNEQDLTVWPIFSVLD >CRE20268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:606278:607097:1 gene:WBGene00082220 transcript:CRE20268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20268 MGVDPAQITKFHRKNRISLVEVHKFSGKVIVLTKKQTIRTSHLECIVRRNSLTKSTSGQQCEHTRDTSKNHCI >CRE20291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:90721:92275:-1 gene:WBGene00082221 transcript:CRE20291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20291 MYHYPVPVAQLIFEMMDSIELFELSRLSRKLEGKIKEAGVRCIKHSVSFSKIPSMRLDFRSENQLEFIFNQPFPRDVKIRTGEIKGLKFFYSAISEKTINVCLEDKSLFGGLYRFYDHFADIFRTTMIGQLDIDVNTIKNVIGLLGIPEFKRCQTLQLIGDKMADEDAKYIQDNCQIYETLSLNGKVGRRDQHYKLYEIKNLIIRQNDWININDLFLMKCENIYIDGANISDLDLINFVKMWKNGESNSHLKTLHVNFTGSSVFDRSQLQYFVPVLPVFHYNPAAPNVPVEREEGSDDSDSSDDEDELAQDQAIKEQRRIAYDNQLHQMEQTLPWKEIGRSLIDYSSHSNIFRRGERNYSQLIGESDIIELDCFYRDVVKFDGTFASIRIHQKSFKMIVWHNFELPP >CRE20381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:648305:648780:-1 gene:WBGene00082222 transcript:CRE20381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20381 MTSAFTVSKFQIITLTLFSFTFVQCKQKKKFQSDNKAQLVDPNTPESPKSVKDVHRPVSQVPPTLMPIESPTKNKVNEDTLANVASIKPDFSVGGPPVPV >CRE20192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:94758:95334:1 gene:WBGene00082223 transcript:CRE20192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20192 MCGIVALVQLFISSVITINLMILCKKKKTNQVSNQNMNPEPVPIPYDSKTESNSAMSPSKGAATSATPAPKTTSLAVKPTSLMVPIAPPPEESQNKTIEKKGEVREKEKKMEKSLNSAFNDRLKEDQSAGVSELV >CRE20337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:366899:368170:-1 gene:WBGene00082224 transcript:CRE20337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20337 MSDSDDNLDDDYLERRRVALQKKRQPVRVYESDSDDDFPSKKKLVAKRQREIEESKDSDDDSFVEKPDRRGEHQKHRIEDVEGEYRRKIFRTDTVIHVQNEKNDALGKAMRLAEKMCHSKSTESPERVIDQPEDPADSSIVAASFPVTVTIVDCVSRLSSTGLINKHDLPLTSTFSEIRNGLAEKWKCRVDEVTLTYNGKVIGDSETLRDIGMSPLQMPQPKVEAFKEEKKAPEVFTVEGTPDHITIKAQLKDRKKPIHIEVLKETTVEEMMQKVINVLSEGGEKCIPTIETMKVMFDDEYCINTQTCEELGLEEADCIDIYC >CRE20244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:412002:414402:1 gene:WBGene00082225 transcript:CRE20244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20244 MSYKHLMQSSAMKGGIFPVGGAVSLGEQPIKMLINAEKGARMCISETIMNLVWAPITDLKKIASFLKDVKMSGNWMWAAKCEGEGARLVDAVGSLCEGLRQIGCAIDGGKDSLSMAVTAHGELVKSPGTLVLSAYAPCTNVTKVVNPALTATPGSKILWIRCGGDKNKMRLGGSALAQVYSQIGDDCPDIEDFTEISKVFSTVQDLLLMDKLIGTVLHPIVLAGFSFVFEIICFRSRRFAGHDISDGGLITAILEMAFAGNVAVDVNIRNTKEHISPIDLLFAEECGIIIEVLNSEDVIRKLEDVNIECQVIGTASETYGPDAHIRIHVDDKLEINEKLVDLREEWELVGDKLGEFQTNMKSLKEAKEFRGKCRKINYKCDFEWFYETSFIYHEQYFSTAPLVAIIREEGSNGDREMASAFTLAGFQTFDVTMTDILSGHTLDAYRGVAFVGGFSYADVLGSARGWAAGVMFNEQVSKQFEHFRLRTDTFSYGVCNGCQLMAQLGWIGDEREGNACLETTVFLDENECGRFESSFGPVKIEKCKSIMLSGMENAILGLWSSHGEGRFTYRSSEILQNLRENGQVCVRFCDDQGKIGSDHNCENLPYPLNPNGSIDDVAAICSRDGRHLAMMPHADRSFLTWQWAELNSVTWNTRFDQQTVALSPWIRMFRNAFNWCNA >CRE20246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:430214:434183:1 gene:WBGene00082226 transcript:CRE20246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20246 MGNLIEMKSHWVLRYCEALHARWNLQEIRAIFLRRYLLQNTALEMFLASRTAIMFAFDSEETVKRVVYQLPRVGVGVKYGLPQSRKTSLMTPRQLFKHSDMCVKWQKREISNFDYLMFLNTVAGRTFNDLSQYPVFPWILTNYTSDTLDLSVASNFRDLSKPIGALSEARRKFFNDRYTSWDDDQVPAFHYGTHYSTPAFTLNWLFRLEPFASMFINLHDGKFDHPDRITHSIKDSWDRCQRDSHDVKELIPELFYLPEMFRNSSKFNLGRRADGTPVDDVILPPWAESPEHFVLMHRQALESDLVSCQLNQWIDLIFGYKQRGAEAVRATNVFYHLTYEGTVTPKMAETPGQMAAIEQQILSFGQTPSQLLAEAHPPRHSIMSMAPTMFRRHDDDLCMMMKYISNSPVVYLAANTFHQLPQPTVVGVAQNLVFSLNKWDNSYSYGASQRSALSMDPSNAEGQVSLPLTADPQLATAASTTPIARRHLGDAFDQRLTVQCSNFVTTTDSKYIFACGYPDYSFRIVDTDSGRVRQAVYGHGDVVTCIARSETSLFSDCYVVTGSMDCTVVLWHWNGTTGFIAGEYNQPGEVPSPRSILTGHEASISSLCVSAEHGLVVSGCEDGVILIHTTSSDLLRRIRGHGMVTQLSMSRECILLALFDSKRMVTYSSTARKLNEILVDDKIECVTVTRDGEFAVTGAINGRITIWRMFPLTKLYTYQPLNSAVRSVAVVASHRFILGGLDSGAIVVFNADFNRWHYEYKHRYIQNSSATRPSQPSPSPQK >CRE20197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:137367:143629:1 gene:WBGene00082227 transcript:CRE20197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-2 description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MCL7] MSTREPAAPFTKSRKSHLKHEKPNSSNNSQKIESHKCKSAWQKIFEPGKVIIRIDGVPPKSCSQEEFNRIKCVGVNKIDKNLADPRKLPDNMRDTIYQELIIRSSNRRYLPRPRLRVDSNYCTIPPKREVSLFSMDDNCTIALLKDFAESCGEVEKAYVCMHPESNRHMKMAYVVFKTAKDATIFTNKYESQNLLATRCVCQIDPFLSSLNEAYENATNGRVLPILPEDLASIDSKVLRELRANYLRGKNEKEVEGKGEDSVMEICSTSHFIETTDDRVEPPDYQIVMDVEVSPENTSSNPPPPLKQESPPPPPPPKPFQNPLILSDTIVQPPYYSHIPPSSSTMHMPEFCPTDTELESNIPLPRGEYNQKENLIISNTKVGTEMDEYGGNDDINHLHLILAKIPIGVGVAHRTLVDDIVLEVPQKGMNIRNAVPAEGIIDDQIRGPGLAKRSSSPSTSKKDRSFGWDSDTDESDDGTRKRRGGRSKTRDSERRSRKLGSSQSSRRNLSNSHNHSAPNLEYQKTPPPPPPNFIPNQSHPIQVRNPFHEHSQNHRLPTPHIIPGQYYPIPHHPPLGVLPPMMVPPPIRNQAQPPCDFRQPPPGFVPSFTQTYPSTRILHASHSITQIPYQAPHLPKPGLVQISSLSAVPDTAACIPGPPLGHPPVVNEIPRRSETPEKPTPSLQQRFSELFGGAQKKEETQPIEVEYEYALKNSESQDDRQSLEDMDVEVSSDGETTSIVERTECMEEKRRQELSRLNELKPPLIFECHEKITNDLTSKIYDDIRQQITRQCMAHLDEKLRLKAIADEEKRKQERDQKAQEALKKPSNNSIADMMKQKPIPKSNISRKEHHHHRDKTSSPTHSTSSSEEFHDAQISRRSSVSSSSESSESLSDDSSSEKNDSCRTRKELVLSDEERIRRMSFSSTSVRSSPTRSHRELSSSSELSEGSASSSRQSRERSRKRKLIASSRESSISIVSNRSTLEFQQEHEDDEEPPNKKSPQEYFSGDLQTSPDESTNHTLPAVPENIVSSGPLILDSEYLKYEIVHWEKANIAATSRVSGSIRVEEYHPFTTEHCYFKIENKKQSDIQIFEKYPILNEGQKAPIISPAPWGSSPTVNIDESGPLVYMDSIVVNKKPKAASPQKRQKPRKQTFEKDYYMFEDIETIKPLPPRAKKIFRTRTANEKDQIIKDFVGLPDLEDQWYLRHVLNELQSNMPPDELPWRKLLTFKEMLKPDEPILKFFFQINPIRSKKGLPDAFYEDPELDGVIPVAEGCARARPYKKMSMKQKRSLVRRPENESSVSTAIFSERDETAMRHQHLANKDMRLLQRRLLTSLGDASNDFFKINQLKFRKKMIKFARSRIHGWGLYAMETIAQDEMIVEYIGQTVIVFQNFSSILFHLQIRSLVADEREKAYERRGIGSSYLFRIDENSVIDATKRGNFARFINHSCQPNCYAKVLTIEGEKRIVIYSRSVINKGEEITYDYKFPIEDDKIDCLCGAKACRGYLN >CRE20342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:383822:384947:-1 gene:WBGene00082228 transcript:CRE20342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srg-13 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MCV3] MVSLPSENESIPFECDNSYDSTFELIKYVFQVCYLSINLILNLMILRVFIFSKNKIFRESPFFLIYAADLIMVSVFSLGYSVEQFQGIYMSISEIFVGRLFIYVISLCPILAPFFFSPSIFLKTFFILSHYSQGFKTVSQVFLSFNRMTSVIFPVSYQQIWKRINVPCLVVLFVLPIGVIWNIILSRVYANPSSGGFSANYFKAISWVFSDLTDISHNNKHVQASVSKLHLIFFIASLILIILFSGITIYGLFVLKTRLKKAETSITIATMIISIEFSILAAIQIYFAFFSSLTSPWRPYLLKALDFTYDFLNLSTTIVFILFNQQLRLKLFKWRKQNKIVEVSR >CRE20226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:261629:262806:1 gene:WBGene00082229 transcript:CRE20226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20226 MRQQSNEKSLIQQPTGAVPNIEDTPLVSVIQQHNALNMMKQQALQKLNGAGGSLQNMNPISPYPWNQSNSMMVRQQSTGLEQALLKSIEMMTAQSAFFAPMAQTAMNLNLMMIKLHEESREERKRIEEGHRAERQKADERHYEHISNFVAQFTKVVSSLQNKNGSIIESGESQINNATSSEQTKSKTQSPPPSLKRTSSSSNSKRSKSPPAKKISLDRSRIAKKTGIPVSPGSRSENSEQNLESKRSHPKKNVFIDQVIIGKGTGYNEALKKFTSYRCLFCPIGFSTENKLIEHCESVHKNVLNNKKSRRIHPSK >CRE20216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:222030:223185:1 gene:WBGene00082230 transcript:CRE20216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-157 description:CRE-CLEC-157 protein [Source:UniProtKB/TrEMBL;Acc:E3MCQ2] MYSPRLLLLVVSSTFIVDAIELSRGKSFSFSSSSSSSSLDSSEYHRRHSYSGKGGRPSRPGSRPNRPSRSSTTTRAPNPSCPAGWTLFNRQMGAWCVQLFPGAYGQEQAENACRSYGAVLSSVENADERSRITNMGLTMMLPTGWKFGTIRTGLRRDSIGSSWYTTDQFTTGMQGLVWSPLEPNQGYWQGRPNNCGLIWLWVPGGKQEGARVLGTFFAMECLTSPPDRWRGFICGKRAT >CRE20263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:584899:585345:1 gene:WBGene00082231 transcript:CRE20263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20263 description:Vacuolar ATPase assembly integral membrane protein VMA21 homolog [Source:UniProtKB/TrEMBL;Acc:E3MCZ7] MATPSSVPPSTMANLFPNFRDQEVQSAVKNLLAYSLVILIVPLASMFLLKQFFFEALLGFASNDALTYSAIIAVILVHVVLGIWLLAATKQEDRKKRENKQD >CRE20224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:257842:259250:1 gene:WBGene00082232 transcript:CRE20224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20224 MVQLYSENIKSDHEVMDQNKEISKKEKIKIWCKKYLLEGESESYQFEQSRLFSGQTLDDKQAGKVEKFPDNPTINDLIFIKYRKWVAMLIPMTLIHVSPMYFSFCSSFEFQIIWWSVAIRYNLFQWYPTYWHMPVVMILGSVIGGMTSEGAGAVAFPVMTLALHIDPKIARDFALMMQSIGMTSALICVIIMKVKFEIRAVILGCLGAIPGFIIGVHWIDPLLSGPQKKMLFVSIWTAFALSLGILNSQKKRSTFREIPEFCAWKGWVLFFTGIIGGIFDAFAGSGIDICIFSVITLLFRVTEKTATPTTVVLKGTIAVFGFYYRAVMMGDIDIMAWRYFSVTVPISACGGPIGSFLGSHLHRQLVASFVYILEAIALVGFLLTEPGWQLIVIGGKLSKRIVLIISYFSAVIIIGCFVFFSIVSKVGSVLMEHSNEEKENQLRNMEEQKTGQQ >CRE20389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:708740:710047:-1 gene:WBGene00082233 transcript:CRE20389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20389 MTKNRDMVCSDSENDDSQHSSSFLQQISKDDPSLISTSTVPSKVHQSSKTQKTTGLIQRSHSEPNQASSPSHSASLDFHSQPTIQCDRKRSKNNGLSPSKLPIPSASKIKIFRLTKRPTGTPKQRTQRKRKSMKLGDYHGNNDGIMYSKVLKLAPELRRDYELERDVGRNVGEKAAKKRADDLEKKYFPST >CRE20236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:348298:350775:1 gene:WBGene00082234 transcript:CRE20236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-him-18 description:CRE-HIM-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MCT8] MNDSSPKNTFLAHSISSAPRRPQKHGSVQSIVRDETAKKASMPFEEIVLSDDEEEKLVDSVKCKTCSRDMTHWINSRRDIHNKVCKAKQADKDTDKKKTTKSKTLTETMEKEDEILEKPIKETQSSLKSWELSKNNVKFARIAADDEENRKRKRPRSFAVVELAPKKCQCEVLTTLHSRFIDNFHCKPIKNVCGKMESVTEHAFQMKKLIEKLSRYEQLSSDMQKTLDDTSEISTPIISICSSEGHEIKCLSLILKHRTSMIRMHPRSEIIVISQTKEVIKSWLTFVFTANIEWTEDEKEGVKQLANQYGPVGLEVLLNENVEIEKKEEEAIEETEPVIQCAFQECDTGGETPFPVNKESRNSQQKSANLPVDEEHNPVNYYSSDDPFIGFGRTNEDEEIHDKSKNITSDIALDTTVSSVQKHSRNNTTMDSFDEWSNQPADMPSTSSPMTSVTPVRNNFKPIFGSHVKILKTNDITPMPLFDSMDESELKERMKAIGQRPKGRKAMITILKKAYTTLHPEVCTGTPTIRPLVRNEITDPDAGNGKKPTKSVKFGIQKTKTLNERMADSPKKSSMRDTSLKNVSNDSIESDADNDAEKTLNLSNDEREVGKVCGDDIGEDEEEDEDATSSSSGKSDLNSLRVFFLNWLRDDQNTVLHEHILSLQPVSLEEMLVRLEKAEGPLGRIGKGKLVKILEMLKITYQLPQKPGRARIGGFKRKL >CRE20278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:5593:6247:-1 gene:WBGene00082235 transcript:CRE20278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20278 MTQTLHDKETKDDTGYYTPKNILNPAMFRLAEITRALSEVNIKIDQDKLLEDHRHPLNMKQLNNRESNHHSDVEKIETLDVENEARSKSKTGLIDIFKNNEDNIGRGLIFSLTVSIILLILMLVFWS >CRE20391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:714306:714803:-1 gene:WBGene00082236 transcript:CRE20391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20391 MLFSGTTSGSSYNSNSVQRDSTGSSSSNQPGYLPDYQGDRYTDPQRIAETQALNKAFFDSIGGAPSPPPETPAQQGMNGSSGSTTSPTGQYNQQQYNNQQYNPQQQQQQQYGSTTSYSSNGQAMYQTGSTNYPTLYQPSASSPQYSNGCTTCRFRRHFDFGQIKF >CRE20317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:226418:226673:-1 gene:WBGene00082237 transcript:CRE20317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20317 MKFMWRTLLLSTILLISITSACNKNGEGCLLMRNVLRDPLRLSTWKVSSLNRAINQLQAYQQLFHGSFI >CRE20218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:231080:232126:1 gene:WBGene00082238 transcript:CRE20218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20218 MHYAGSRNQLVSKIGEFIMLASESVQDESQVTPEEKTIRLMMRYRHLYAPWDISPQDAIKEEKSLDKGKRVLNQLDTKMTEFDRWRSQNVDLPEEIKEMVDHVESEIKREREKLERANKQFVLPPGTQEDYEQETKEHVAIGIARMQEVRMRSTIAIQDIINYWEEIRRGYEATQKRKLLELERRQKEESTRLRREGERKVNHFVRPSFGKGNNSIHVRPVNIHNWRSVESYLRSFGEVTASKLHGSYAFVDYSSTETAKFVRNLRQFICNGETIYVNYAINNWKEYCERARRGWQINPPIGNEGLSVSNPASAPTSWHDHQRMLTRPQ >CRE20364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:587618:588695:-1 gene:WBGene00082239 transcript:CRE20364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20364 MLVIVYDSEYKLGQYRPRNNIFWYTSISMTGTLFCFIIGKLSLLAYQHSKERLVLESLKTISRTLKEADDEDQIKRRSAIRKIFRLGRRRKRKTEVSSTDVTSQKTNSIQALIRSNNK >CRE20193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:108520:109913:1 gene:WBGene00082240 transcript:CRE20193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20193 MKILILLTFLPSFLLASIEDGGWTTEEKDVVPQKEKCNIERHDAKELTQKEFLKRYAYSEPVIIYNVENEEFKKLTEKQEMLSTWHDVDVTLNSANTYSYTRVPTTFGNYIEEKMKPQNLEMLGNETLYLFGDIDQKTWKPLLEKYNQPEWQLPGHSAALSFGIAGAGTGVPFHFHGPGFAEVIHGSKRWFLYPYEERPNWNPDKTTLEWYTYDYPKLSREELPLECEMKPGELIYFPDKWWHATLNIQSSVFISTFLSP >CRE20288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:66005:67556:-1 gene:WBGene00082241 transcript:CRE20288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20288 MPAILSRLSSASEEKEATKKEDSETDGSSEEIPHVTRRESRRQTVIIPVNTNNARRESSLPIDDSILEILHEEYKSVSAVLCISHIYIFLAGTIFFFLLSLFQIGIQCLFFSRFLLKNPFTRKILFLLSMTFMTVGIISMGFLKTTAQVDSSIGYQILVYFFYICAFIGFVFTNMYNVSMVFVFGRDVKWLLHNEHEDENEEEISR >CRE20345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:398905:399666:-1 gene:WBGene00082242 transcript:CRE20345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20345 MNIIFILSIFCNLIFELVFGCPPSLSSLNLPPPVIHTECLTRNSCQRGYICRLNRCVGGRAFGSKTRQLQSDVSEQTCHKKFQFIQVSERSLRMNQCCSNKLLPERCMEFCSMSNYNESSVFRLLSEPYRCPVSSLEIINYCASQNYDHRECCQMKMVPESCLNLCLPSDEKTHFDGSKLHCLQYITAMKACFESQ >CRE20229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:288241:289163:1 gene:WBGene00082243 transcript:CRE20229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20229 MIRLLYLSLIFFILCVDKCSSSSCKSIVCPKQSGKQISCDLCHTCLNNGSRFCYTSDHKNCQCATVVPPTCGFGASNYTFCHLLDDVRLPPPEIIVHDEHSVSAVVPRFFQEAPFDSIKPSFLYLLALFSKNGSECNSFGHIEAYHRNQDKCLLRIRVQMPKIDYTGTDNYYYDDRSPLESQFSKDLFGTAENVTFWNEVTIRRDEKLQNSSLAFATVLKSDRVDYVL >CRE20375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:617969:618646:-1 gene:WBGene00082244 transcript:CRE20375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20375 MRPIEKLFTENEPDSDIILEKVIQLGSDFIGGEWKTVKKSQVNVSRILGGQSNHMFHVTSSNSATEYLLRIHRQGDSHVFTDTVNFAIFSERGLGPKLYGFFEGGRMEEFLPSKTLDSDRILEAEISRKVGASFPRYHAIDVPVSKERRCFQIMRESLKEYE >CRE20340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:379435:380724:-1 gene:WBGene00082245 transcript:CRE20340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20340 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MCV1] MSSFILPSNFSYDDPLPFQCVNDNNTTLSISFYIIQASYLLLGSVLNALIVKLIIFSNKNSYKTNSFYILYTADAIVTIYHSIIEVLFARVISFITPLCPILSPYFFTPSVITKIYAILIHYSTSFRIISQIFMSFNRMTCVILPFKHEKIWRVIIYPVLICSVVIPLDTTWILLFSRVYINPNGAGFSVNYKEPAGWPTLALVHLIECVFCIALVISCFIFTIMGLTRLKNRIKKAERSLTFVTMIMAFQTLLLAIIQIYFAFFGSSTPNIRRILVKVFPFVIDSLNVFSPIALILMNRPLKNDILKRKENNLSGNSRSASTTQQQNIFRISPKLTSN >CRE20219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:232655:234016:1 gene:WBGene00082246 transcript:CRE20219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20219 MTDPNTETINEDGQKLSEYGVLKDDKLPAMPVEGNTHEDPSADGKVIVSVKETEEAAKLRESQEEKILSIQKAYFLVAGKIDTLEKESEAHRDVLDQITTDQSNLSEKIDQQMSTKADLQCFQLGIEDEVSRLKEAVKELKHRLLGEPKESPLDCFSPSPFKIPKMSEKRGPTGEIEENSSSITRKSLKDCPLCRDSGHRLADCPQFASKLEKLQQFRKRQICCTCGNLQCSRINCPKATIQCQICKGKQEFGKTLHISEICIFETHVSKRPSQTEYRRQKFSAQRPRSKSPAKENQAATQGPQPAQLQQQMVQQQYQQQPMMPTGPAFGPSYQMNPMIPPQQYQYQHPQQMVPMPLQPIPIQQYGYYQQQPGPSNQTTHHQ >CRE20313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:212146:212864:-1 gene:WBGene00082247 transcript:CRE20313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20313 MAQLNGKLEKRGLSGIECITPYCYKSLSIALQRCVEVLSDEDRSALAFAVIMPPGVDIPVKIWSCVIPVDICSSEEEQLDDEVADRLKRLSKYVLLMLELSNVLCYRRGALLSGKRSPVLTYKIDNVIHMFLKHVVDKQTISNGIRTLEQRLLEFGNNNVPTPERHPVSHLQKFRRSSVGDMYPKTEESIIRPEDYPKFLQIHRQFYDSLKKFTTL >CRE20280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig28:18821:21950:-1 gene:WBGene00082248 transcript:CRE20280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20280 MRAMTAGMAMITCRDPLASTMHANLAQAFSSSLRSSAGTPELKQMIEEASSTITQDNVELSTNFIVKTACEKATQEIEKRLEGDYQKRIAVKNEQGIYRDEAAAAIHAQLPKAIATHPGPTDKALMSIYDQFSSRICGFKANAGDELLSTEPGNGSITPVPTQSKEMELVCQQLHIIIKEVDQTTQAQPHINNAAFQTVCLMREMMKQITVSKDGNQLLQLVSRSTEHLLHAYRLEGAPSKNLLDVEWARRLRDLFIGLMRLLQNYFPLVELSRRITTAIMQIRSDYKWNLEGIEILFKQNLLQSVLWDQHLAGSMDNGGNMEAVCFAQKFVRSIGGGDMNRIQFLKDRFPLTCDQLTKLHQLQSATRTEGINNGINSGAVHPGHQQQQPPVALPMDAAPMPQASAEAMAQRGYDDHEMTAKVEVIMREWIGLCYSPTGQRSPQESLAQMIQLMHEHGVLATDDKITQFFRLCVENCVDISVRVMKSEQMTNGMPTTMIRHRCYYTLDAFVKLMALMIRHSDNGQSQNKINLLKKLLNIIVGVLHMDHEIRKQDFNAMPYHRILISLFNEITGPDPLKLLEPIAWSILEAFGQTFFALQPRRMPGFAFAWLDIVGHRNVIGRLLANTGIAETVDSVKTAATYTQLIISHLKFLAPFLRNIQLPKSIAILYKGTLRVLLVILHDFPELLCEFHYVICDTIPPNCVQLRNLILSAYPHPMRLPDPFALNFKQVDTIPEMAVEPKSNLNMATIIPDNIRVPLDEYLSNRTPVDFLPNLPTLLQTQNQAGTKYNTTVMNALVLYVGIRAIENLHLKRQRISTLTIAHTSFMDIFQNLAIQLDTEGRYLLFNGIANQLRYPNAHTHYFSCVFLYLFKNSTNDTIQEQITRILFERLVALRPHPWGLLITFIELIKNPTYNFWRYEFTSCAPEIQRLFQNVANTCVPPQGSQIPQAQSEGAMLGNSMGANQQQNPSSN >CRE01402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig280:56604:66779:-1 gene:WBGene00082249 transcript:CRE01402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01402 MFMARTESSDVFTQTASENDDDDQTQVFGAGREENGVETSVLSILSDCHADSRRRREAAICFKNAPEIGDSFTFELFETGTTTKEHAKNGKKIFKDVDEGLKQSEKYNGSVICETTITFYGSTIAPQNFCLCGRGGRNPCPQRHNDASIRLDIENIDEEIILIQKCNYLD >CRE01400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig280:53501:54054:1 gene:WBGene00082250 transcript:CRE01400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01400 MKIFRIEMSLCSKRCKRIVKSTRNDFFGLRIFITSDYFSIRVDGKNGVETMWMFSAAHHDENSRVYMLSGKEIKVQRLLSIFEVFYPPEHRQFIMTSLVNLMMDSLQVPMLSVDLHTNGFDDFLDFVPCFQKSRKISFNGITPISGGDLDFVKNTMSSDTELQFSHF >CRE01401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig280:49399:52371:-1 gene:WBGene00082251 transcript:CRE01401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01401 MIVAVIFGYLPIIGIYILTMLGLGHEEMGRFYLNYSIGGFTVVESIPLICFLRKQKAPLLPRCNHNDCMGQLEIPERMQFSITQSTWRRKAPTAPAPELPLNVVDLAEIIRAREQDWNRVLVAPWT >CRE01405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig280:81543:81692:-1 gene:WBGene00082252 transcript:CRE01405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01405 MERAIKGNLVPVIHLRKIYRCHPAITRILRDVFYGRSLTATERSFLTVN >CRE01403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig280:68094:68682:-1 gene:WBGene00082253 transcript:CRE01403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01403 MASSLEKVEFDDKTHRKRSRNKENYHLGSVLHENDAIVSPLKYNQKSSAEVDDEEEGEDEEELKICT >CRE01398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig280:23369:23518:1 gene:WBGene00082254 transcript:CRE01398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01398 MDKLRPDDKENNDLFMNKLPSIENQKGADAEICGGGTIQNGKEGVETEN >CRE02674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:2530:4418:-1 gene:WBGene00082256 transcript:CRE02674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02674 MSSSIFDSPFPDEVDPRIEGFTAMVQRYLAHSKSSNQKKDHCYDCTWTVQRLDTLQKMTAEYAEMLEEKDPLMQEQEAKATETAAFWEDDRIECDFDDASEENEDEEENQMEQDGDENLEEESTDIKTDQNPQ >CRE02673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:56523:58891:1 gene:WBGene00082257 transcript:CRE02673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02673 MIRRENRLRREYIFRKSLEEKQKTLEEKREKIRDALENNTKIDYNLRKDAIELAKGSDWGGQQYEVDSEYRWAGSQDPKIVITTSRDPSSRLKMFAKEMKLIFPNAQRINRGHYDVKQVVQACKAQDSTDLIIFTETRGNPDGMLVCHLPFGPTAFFSMANVVMRHDIPNCGTMSEQYPHLIFDNLNSRLGQRFTTILKHLFPVPKPESKRVITFSNTDDFVSFRHHTFKETEGEIEMTEAGPRFELKPYQIKLGTLENLAAAEDEWVLRSYTNTARKRTFLSVARDDDATAE >CRE02678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig281:15996:20330:-1 gene:WBGene00082258 transcript:CRE02678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-samt-1 MFVGVFYGLAAVCGLLYFYTRSATETNDDPQFKSFQRTYLIVYMMAVAGDWLQGPHVYALYESYGMSKHQIEILFIAGFGSSLIFGTFIGSIADKFGRRNNCLMYAILYGGACITKHFGNMPVLMIGRFLGGVATSILYSAFESWLIFEHNTRGFSDSLLGTVFSNAALANSLIAIISGVAAQFVAERFGFVAPFDLALSVLLIMGVIIMNTWPENYGNEKAPIKESFEKATKAIKEDPNVFCLGLVQSLFEGSMYTFVLEWTPALSRAAGDVGIPHGYIFAAFMVATMIGSSVFKLLQQHERPESFMRYVLLLAAVCLSMPIVAPDNLALVFGGFLVFEMCCANLLAINGLSTWHICLRRDSLDDVESLQNPAEPHRDLHSLAELCNDLNFQILRFLPVRRFHRSTRSIFKNRAHTTSSKVANHRH >CRE09841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:9966:10421:-1 gene:WBGene00082260 transcript:CRE09841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09841 MPQENSSPLLPVAMSNNNLVDSAMLCQSPPESQENSSPLLSNNAVNTALNTIPVFDGKPGEYSIFMQLFDVLVHKNDKIPMTLKHALLLRLLSGEAKSMLQSVTLSEEDYYVLRDSLERQYNSEEDTKQNPFTSSASSLSPKTVSRIWRRI >CRE09833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:16915:17245:1 gene:WBGene00082261 transcript:CRE09833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09833 MXXXXSIIESNFEVLESLESIETDCPLVYKTSEMLKRLEVETVTLDDLLRIAKSAPALPNISNVLTEIEACPEVASGKVPP >CRE09843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:77079:77697:-1 gene:WBGene00082262 transcript:CRE09843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09843 MKFLAIAFLLVQSVHGMTRAECADGVNNARAEEAKQNQWANVHKLLYNNSLEKPLEEFLIQYKKTCPRSAHISGDYVVNLYIMDYHDQKDGGLEFLRRGGSYGIPQSDMMACASTTCLENGKPVFGVITNKVRYPSIPPPQEPPGSKCYLSGRLANSEGLCVLKSDKTKFVRKGVLQQVGDAMDHTFDWG >CRE09838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:60568:60971:1 gene:WBGene00082263 transcript:CRE09838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09838 MEVQRLIFRKFAGIVFDKVTHMSNNMEKMHNSESPQLVESQFVQEEEVFDEIHLATWTSSGIQLENENDFGC >CRE09839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig282:62467:63071:1 gene:WBGene00082264 transcript:CRE09839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09839 MKSLVIAFLLIQSVYGFTRTECVDAVNNGRASYAEKHQWANVNKLLYNIGMEKTLYEHIGVFNGCPRSTVISGKEYQIYTNMNDGEDGELEEYMETDIRNNSYGIPESTVVACALTTCLDNGKPILSVITDYVKFTVIDGAPGTSCPSGRNANSKGLCYVGSDQKKFVRKGVLQQVGDAMDHTFGWG >CRE20162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2828:65:853:-1 gene:WBGene00082265 transcript:CRE20162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20162 MISKRAKTITKKKTFYLEYAIHFCVQKPLEIKIHGTNNLVSSHYLMTSDKQKDGKTLEDECDGFITRMVFKYSKDPVDEWKQLCKYVLEIFNKQTIDVLTVCMDVFVDQNVSIIDFLKANVKSVNECNLFQLGENNNVDEHAKYLLENIKITNKVISWLHIKNGDFAGKFPKNLKELYLLHSEWMGYEKLLEIDSVQVILGGNGISNMGWNSFIKKWIAMETHLNLELLDIDFKSLEQFRALVLYDIPHDVVDGSVKRVLKT >CRE19517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:84495:85922:1 gene:WBGene00082266 transcript:CRE19517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19517 MKMISPSISSPLSQICNLIMSRGAVPKVWKHSYILPLNKTAKPTQPSDFRPISITSQICRIYERFLLKQIIAHLDSINFWSDEQHGFRPRRSTVSCMLTALNDWTDNIDKGNQVDIVYLDYAKAFDRVQHDLLLAKLVEVRLNPSLIRWIDSFLTERYFEVKVGKSYSVKRKALCGVPQGSVLSPILFGIFVNDVPKTLPPGVKCRQFADDLKIYASFSNSDSSNSLPNRLQLAIDSVILWSKKAKLDLNNSKTECITLGNRRAMNTYTIEGNIICQKTLIRDLGFLISPKLDFSDHWHKATNSAKFLVSKMFTSYSSNDSKIMTLLYKTFIRPVLEYGTEVSSPYKKCDIRAIESIQNSFTRLLSRQIGRYLTPSDPDYLSANQRNAKYGLVSLEQRRQATDYKMIIKMQLGKIDINTDDFFTTNTFTKTRSSNTFHWKAGKTKTRRNFFVHRTLSRIPVSSDRPSISLHSLPN >CRE19526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:50694:54829:-1 gene:WBGene00082267 transcript:CRE19526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19526 MDSFDPFPILRLPFLAIEEVVKAMHPIEMKTRKNGFPKFCFKIIWKSTRQGVLLGVVATDAQPDPQYMGRMDPVCSYCEALYFKAKYFETSQWMKKVPSIRIVEN >CRE19519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:7382:11277:-1 gene:WBGene00082268 transcript:CRE19519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19519 MDFDREDQDLDIVTGNIPEDYYHPNLFQYTDVRYCDARWIRLEHLFSVKNENCMIQLGKNKLTVVDINKLLAFWANSENDLFDRIQIERDEQFIITDEVLFHGLVVLQSKREKSCNILLAVNSPANRKRQILSIFWGLTHFFIHTWPIDKRIFFDDEPYAREYELLNMLQRRRALEEKMRTVKENNQIIVEIQEEIDEIMNELRRRRMRFGNGIPVMT >CRE19508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:13433:13874:1 gene:WBGene00082270 transcript:CRE19508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19508 MKELHIVKSEWIEYERLLEIDCKSVILEKNRISDEQWNLFLKKWIAMETHLNLVYLDLDNRELDGFRDRVLHDIPYEVVDEGKNRVLKTRRNKRKKISGGIDIKRIDGKTATFFVYRMLSEERFAMSIH >CRE19512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:40661:41315:1 gene:WBGene00082271 transcript:CRE19512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19512 MINNRRDNKFPIIRLPFLAIEEIFKTMHPIEIINFLMTSKRAKATTKNMTFYSKYAIGLGIDETMGIAILGTNNLEQMEEKTAENDGNILRSVFKYLKDPVEEWKHVCKYVLDIFKKQTIDTLTLYMDEFVDQNVSIIDFLRTNVKSVNGCNVLQSEEENDVDEHGAYLLANITVANELNSHLQYK >CRE19520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig283:16153:16892:-1 gene:WBGene00082272 transcript:CRE19520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19520 MKSVFLDVFVDKNVSIIDFLKTNVKSVNDCNLFQWEDKNYVDEHAAYLLENIKIDSVKVIIGTHRISNNEWNLFFKKWIAMETHLNLELLAFDFKLIEEFKGLVLYDIPHEVVDEGVKRILITYRDEKTEINGGIDIRRVDGKTATFFSNSNSFSMSVH >CRE23860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2836:2596:3105:1 gene:WBGene00082273 transcript:CRE23860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23860 MSHTMLRSIEIRRMKWQYVDFESKIINFPISTRSNNQERTTKKNRIHLVPMSNQLFILLKSQYIESGNKEFVFPSVYGNNSNTIGNSTLNVALKNMGLKTVTAHDFRATASTILNEKDFDENWIEKQLAHADQNKTRASYNHAKYMEQRSNMMQQWADIVDSWKDINNP >CRE23861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2836:1071:1409:-1 gene:WBGene00082274 transcript:CRE23861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23861 MISIIMLSDDKNYFVAILPVNHQLNLKKVASTFACKKLHMADPKDAERLTGYLVGGISPVGQKKRLKTVICDSAQQLSKMYVSGGKRGLDIGVNPQDLAKVLGANFADIIDE >CRE22753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2838:5895:6500:-1 gene:WBGene00082275 transcript:CRE22753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22753 MITDIIAVEGPKTFKRPIYAGNAIATVQSDEAIVLATVRGTAFDPVAAEGGSAAVESAASTGDAGISKFISEEIVKSERPELTAARIVVSGGRGVGSGENYHKVLDPLADKLSAAQGASRAAVDAGFVPNDMQVGQTGKIVAPDLYIAVGISGAIQHLAGMKDSKVIVAINKDEEAPINAVADYWLVGDLNTVVPELVSKL >CRE27899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig284:53067:53276:1 gene:WBGene00082276 transcript:CRE27899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27899 MSSPLSNIKTESRPIWEQPPPPNIVLPELPPEDPSRQMTLIEYLMLASAPEIARLIVGHPNSFSFERIY >CRE27901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig284:63778:65310:1 gene:WBGene00082277 transcript:CRE27901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27901 MQSQMLMPKQEPVARPLWEELPVPPHVVLPSLPIENPGAHMTMPQYLFAIQAPNVQEQKWATVVSRNSKQLLEWIILDSSTKYPMEGAKNRANIHKWYCTTAVSVYKRTGLVVHPSIIRDCLRAAKQHLYNRLMKHIKTDKLSPKEVEEKLWAWPTYPFVKAFRTEKMEKKMRSANLVDENGAPIVIDLGEDDSDDDDDEDLMPPAPATPAPATPASIKPTLPLNAIKAEYYSATPVKRRHVELVPDHSTIAVSYLPAAMPKASFAFIPADQTMDTSGPMEPDSSASVNPPPSEQDLQDFEADMMAIHRDVMRKARKDPKKMDLIRAAHAQMMAEINTTKTNDLGEMFMNVGRRNLGNVFNTD >CRE01513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2840:2413:3446:1 gene:WBGene00082278 transcript:CRE01513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01513 MGRGKPLTDFEKGQIAAKNDQGLTNRQIARDLGRSLDAINRYIKDPLNHGTKKSPGRPSLLTVRDKRQILRKASNAVITCSKIKCNLNLSVSNETVRRVISKSKFIKYRKMKKAPMLTSVHRQKRQEFARKNVRTDWRQIVFSDEKTFYCDGPDGYNSYWHDLRKEKLRFSRRNFNGGCFMVWAAISSAGRVKLRFVSKRMDGSEYRYVLRRSLLPFWRRNRHKNYQFMQDGAPCHRARKTMKWLEDRRIPVLAWPACSPDLNIIENVWGYMARKVYEGNKSYDNVGQLKKAIVKAWHAVDQNFLDNLYLSLDNRLYELTVNSGGHINN >CRE26926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2844:265:525:1 gene:WBGene00082279 transcript:CRE26926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26926 MQSQYNMQSSSDPQQLRPFSLPTYGQMDMAQSQLQQQQMQHQQQQLHHQHQQHQQQMQQHMQNPGMQPNQNQDQNPNNQWDPWNVQ >CRE26814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig285:35104:37860:1 gene:WBGene00082280 transcript:CRE26814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26814 MAALRLFLLIFSLIFSYVSGYKIAVPANLIDEINPVLEFVDFRVQVIPYETKPLWRIKQEICNCLALGASAIILPEQYEGHMAAAAIVQSIADNTNVPCVSLHLSPPTRPSTHLHPHLNAKSLAVAAFIKREKWKDVVVVFEEADELLEVTDMITAGHFDPDSFSSQLVRLKHGDDYGNELKHIKNKLDRYRIIVNIPLPRAIHFLEQAANMSMCGVLYHYVVMDMDLVTVDIDSMRGIEDCNITSFGVHDVHSEQIEDIRQEIVHKSSIRLPKKGVPYTTSIWIDTLRLLIRSMKSIHIWEEPRCGDVWKAGSDIKKKFFETPLNGISGDLYWAPSGERSNYTLHVYRRKLSE >CRE05371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2852:4028:5290:-1 gene:WBGene00082281 transcript:CRE05371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05371 MQNTFISNVVKSVFVASILTSAGMANAQQAPQPEDRYGDGRVSAFYTWKAAIPEQAGKLLKTEDISNPYIRLDNDSTAIRILYSSTSGRDSKTPIVVSGTVHLPKGTPPKEGWPVVLWAHGTVGLADACAPSWNGRSYRDVQYLNRWLKEGFAVVATDYEGLGVAGPHLLINNPMLAYSILDSGRAALKTKLPLANRFVIVGQSQGGAGAVSASAYSATYAPELNIKGSIGTGVIYQDPEATAEKNQLKLNPYEVSPSLAYGIYSFLVTQSLFPEIKTEDIFTAEAVPLVEQARNACLTSFMGDIQTAGLSPAQAYKANPPSIYKKLQEKQSNDYGYYPTLKINHPLFIGTGANDRTPDARNQMKLVADACKAGTVVEGHLYHGLGHSETVNASLQDSVPFAKKVIAGEKIQSICKPDLQ >CRE11645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2856:2946:4097:-1 gene:WBGene00082284 transcript:CRE11645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11645 MNNSTKPLTETVMENIGPTVLLSFAFLFFILMMVSLMIFPFYVYVFNINRKRDEKVLFDAKRRHTRLIQAIIFPITNHFCEMVKKSYFLLFFFFLSIYISYLMTENNSLLFIFFYILVVLSYLVLLVITQVFHLMISVLAIQKFFLYFIPSSEGPIAVIQKILNKTIWMVYLAFGVKEIISFLWYMSTGSVSYECDSDDDIAMVLYEICLFADLVMFLSGTPKSTIIIITMTTDIIITPLIIQISYLGCNKRNMDVLIASFGIRKLIRKVLRIGSSSTVEPEQTTQS >CRE25915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:16872:17293:-1 gene:WBGene00082285 transcript:CRE25915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25915 MVDLIYCPLLILTFASICTMSLILHRSRYTAKVLENSLHNARAVICILLGSSIALMIDAFIASHPIILTSYSISTIVSVFFLKWINYTLKSLEIRADNQERTDVDNLA >CRE25922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:52149:53807:-1 gene:WBGene00082286 transcript:CRE25922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25922 MQSDFNLPHRQQAVTRTHHHLHQSLPRHRPRPQHGCHVFCRECFNRIFLGSLAFKLYLVVAWCLGLTGLMYLKRDMPDSTRAVYTTICLIYLLSQNAGLLGVFTQNERIFIPYAIVLVVTIVANTILISLSMVLIIAKYLAFISITQGFLWDHPILVFLGSIVFFVYNGLCLASMIHLCSDFDKKRILTERRQREEAAHRARIYNRLVELNNRDQPITVNLDSPPKYSNLSTKSETVCTPPPGYSQLKDLEEKYDKSEKTSQRALFLDSSE >CRE25918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:28575:30183:-1 gene:WBGene00082287 transcript:CRE25918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25918 MQNFSRISARMAARRNVDEVMVRAAAHARKEENFDMGIFEFTAIAGHILESSCKHPDGVEQPDCLKCKYERELKLEELPEMVFARNSLTIRFSDHGLRGYIQFNCLDALKMVCADRLPDVKVGASTAWQSTRQDRILQISEHQKPFDWTYTTHYKGTLVGLKATPTTDRIDMERLKRRDTISFSTNVILFEDELADHGIAQLSARIRVMQEGYFFVLLRFYMRVDNVLLRVCDTRIVGDLGDRHMIREWQLREAKYENLRHVHPELLLDADRAWMHLPIVEEHVERISIERPDDN >CRE25913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:154:3702:-1 gene:WBGene00082288 transcript:CRE25913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25913 MFKKVLFLGVLIIILLISNPGGFKPASTEENLSPPDKYRLLHPLIDESIRPDKYRLLHPLIDESIRYRHR >CRE25919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig286:30674:34772:-1 gene:WBGene00082289 transcript:CRE25919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25919 MSNGGGQKVVVKSGKTAQESPEESMTRIEKKVEEMSSKHEDLMKLMADKIYGPIETGNEKYEHQSLANLMNIPDDMEYSQDDEQGEFLRSATSQPSTSMARQRKPEVVTRQPVATKTPKVSRKTTSPPRVESNPQITASYIFYRFAFMFIAIFVVPYITAYFRNKSD >CRE31543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig287:271:534:-1 gene:WBGene00082290 transcript:CRE31543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31543 MTTLIFVSYNILSSLFLLVSHKIFEKIQKKPYYAEMLKSSLLLLLIVISIVESLSWLALGLAGSRFDRDKPGTSCKSLKGLTRRQMR >CRE22267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2870:3332:3637:-1 gene:WBGene00082291 transcript:CRE22267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22267 MKPTSTATTVDVGITETAAATETSEDPKNSTSEIAMPTDTSEIPESSTAETAELKNCEKCDLEARHSCFISLHIDSNVTSESLNPDCVLCENCCDDAFLHM >CRE30503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:78918:79680:1 gene:WBGene00082292 transcript:CRE30503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30503 MNSQSSVYRFLPLKKSSKLWIQSKYRCNLFQSDEKNDVDEHTAYLLENLKVNDELNLHLHIRNYNFDGKFPRNSKKLYLPNSHWIGYEKLLDIDCKFLILRNDRITNEEWNSFIKKWMTMETNQNLEYLELDHRDLDIFRDRVLYDIPHEMVDGGVKRILKIRFNQTQAISGGIDIRRIDGKTATFFVNLTSPIQFFAMSIH >CRE30493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:46314:47199:1 gene:WBGene00082293 transcript:CRE30493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30493 MYSTGHLEFATISINFQNLSFATVIACERLSFNIACFWNKNDPRSRDPAHQYYNATSKIQYLHLTFDEHDALTRSRDSAIFEESYHPNYIQSHPLYSNIFVKKNMGVEMNRKAEKAY >CRE30491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:18847:19876:1 gene:WBGene00082294 transcript:CRE30491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30491 MPRGFTYTEKKRGMGNFKKSKPVITTEKYDICGKKASQSMRSALKPTDQCHTRQLPKFTPRRKHIAYKAPLPCKIPTYKPTIPKKSFKPSTSDDWLQKRSQHRAQLRQRA >CRE30512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:52709:54062:-1 gene:WBGene00082295 transcript:CRE30512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30512 MDPPEPFPILRLPFLAIEEVFKSLHPIEIINFSLISNRTKQITKMMTVCPKYLVALDIDKNLQIDIRGTNETISCIYVMTSEENVDGETEEIDEDGCINRKIYKYSKDPVDEWKQLSIYVLEIFKTQTIDVFTMYLDLFVDQNKSIIDFLKTNVKSIDECILSQRKDEINVDEDFGYLLDNLKINDMLYSMLNIKDDNFDGKIPRNLKHLIIKHANWIGYEKLLEIDSVTVDIWKHRISNKDWNLFIKKWMAMETHFNLEILEFELNSFEDFRELILHDIPHEEVAEEVKRTLITELVLHDIPHEVVDEDIKRTLNMSVDQGSYGSPREISGGIDIKRIDGKTATFSVHHTEWGDNF >CRE30494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:51064:52330:1 gene:WBGene00082296 transcript:CRE30494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30494 MNPPKSFPLFRLPFLAIEEVFKAMHPYEILIFSMISKRAKAVTKQMSFYSKYALCLSIDKTLGIEIHGTNNVALCLYLMTPDKRMDGKIDKDEHNGSIYRRVYQYSKNPVEEWKQLCIYVLEIFKKQTIDVLTLYMDEVVDQNVSIIDFLKTNVKSVDMCNLYQLKKNNDVEEHAAYLLNNLKVNNELDFRLHIKNFGFDWKFPKNLKELYIENGEWIGYEKLLEIDSVQKWIAMETHLNLELMDFEIRSLEEFRALVLHDIPHEVVDGGVKRVLKTYRDVTREISGGVDIRRIDGKTATFFLASDYCSMSVH >CRE30509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:34802:35361:-1 gene:WBGene00082297 transcript:CRE30509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30509 MRVSRVSQFFAIFTREFKKFDHLREREMKERENASICENENILAFTPNKNTKCEYCVCEKCEISRARRALLIACRNTMRAVFQ >CRE30501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig288:74410:76302:1 gene:WBGene00082298 transcript:CRE30501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30501 MTMDAFELYLPNSHWIGYERLLEIDCKSVILEKNRISDEQWNLFIKKWIAMETNKNLEHLELDYREIEEFRELVLHDIPHEVMDGGVKRVLKTRFNQTQEINEGIDIRRIDGKTVTFFVYQIFLTRECLDQRKF >CRE01515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2880:1968:2240:-1 gene:WBGene00082299 transcript:CRE01515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01515 description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:E3NVM9] MSGSGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEHAKRKTVTAMDVVYV >CRE01514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2880:531:899:1 gene:WBGene00082300 transcript:CRE01514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01514 description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:E3M798] MPPKVSAKGAKKAAKTVTKPKDGKKRKAHRKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAAEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >CRE26032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2885:750:2883:-1 gene:WBGene00082301 transcript:CRE26032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26032 MLKKNIFCRKIVMNKLQKIESGPTRYFASFFLNKCNFRESERAWPSPAPNVPQFRLPNAKVPKKRVRQESDQPGTAHATASRIKEEPIAEESSRRNNRERSHQAHSSGARIKAEPAEEAPELDRPPCEYTIPVPDSIHDSVPDSIHPNVPNSLQLVAPAPCPQASIPAPHAASLTNSGLKSSVTPHESPSEPMVPGQEQRRRNDEQPDRETDHQVKEGSSQRSVGRNQERDSLDSNRGNNLQNSKVGDQPEEPQIQRFDESGDQRMEIGAIPDEAEPELDLQNEPPVHNQDDLPLVEEQPKVEAAQEEPTEENDEMGPPQNEDQELDGNRVENDEEMDEEESEVELAIGRRRSAREKQPASNIQPKYGKNQITHNRVPDYHRKKAVLARSARNCKKYKKCTGGTKRANKCRLLGFRSHGRAMTVLIFYVSTIFQKVLSDKIACANTALQQRVSLPSQLIFKFLVFKNDPDSNKNSWIMIFPCIQIILFFFYIICPPFFYGF >CRE29403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2889:189:671:-1 gene:WBGene00082302 transcript:CRE29403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29403 MRASPLRPTIEVFFKEGIKSDNIEKRLDILSASVRTMLVTFKKDRSLQERKKSSRPATVNTRRTRGTIKRKISCNYGISINKIAMDLNISRGSVQTIVKRHFYLKSYKLCQRQFFSAQSKASRLEKSKKLLADLQVRRVSDVIWISVKVFTIAPFSNHQK >CRE30228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:17928:19130:-1 gene:WBGene00082303 transcript:CRE30228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30228 MYVFSWKIKKISSVDNAIVMNGSIFRDRKIVVTSKRTNKPGMGATRGRGGAFRGGRGGPQTVIVKYVYVNAPAPRGRGGFRGGRGRFNPY >CRE30225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig289:10780:12521:-1 gene:WBGene00082304 transcript:CRE30225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sfxn-1.1 description:Sideroflexin [Source:UniProtKB/TrEMBL;Acc:E3NI84] MSNLVVDQKILPDISKPQWDQSTYSGRARHFFSSTNPLSLFSSHARQEQCREIVTNYRKGIISPTLTVSELWKAKTLYDSTFHPDSGEKMFFLGRMSAQMPGNMVITGMLLSLYRTFPGVVFSHWINQSFNAVVNYTNRSGNSKASNERLFVSYCCATGGAMTAALGLNKMVKNSHGLAARLVPFAAIALANAINIPMMRSSEVTEGMELRDENGELVGKSRKMAILSIAQVTLSRIAMAMPYMVATPIIMNRITRTAYYRTRPWMQKYSEIPIQTLLAGAGLYFTTPLCCALFPQKSCVEVGHSLQRYSLGELGRYSRQVVTMLQGAVTL >CRE03601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2890:1:5352:1 gene:WBGene00082305 transcript:CRE03601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03601 MISFKDANETESLEHLISKTLRRRVKRHVLRNKPNETVIQRIFGPTVTANNFTMFAVDPPTDFRIIHELKKYDIAFSFVKYQWENYDLPNKQIAMLRAIREGELRKQYIRELRQNGEGERQADLIRRLEKPTELFDQDLIEEFGPARLLSDSEKREWRQTGQLEETRTQNERERAGQKYVQTISEISNRATENRGNAQLNSRVQFVTDKIIEASHHEFNKIYRKLCELQNTQIEITRNLLTIDPTLGMRTLLKRSDIVAKRAGEVYMVNQCRQIEPEKIHYDHKVKLGLGNRVQQSKCTAKVANGTQMTFLGKIELAVTVGVKTVSHQLLIVKNGEAPAPCLLGIDFITLMSEKGWHTILVMRKRYVLIGGATVNLLNPDELGHEEESKLFVVCEQDENIPPRCQAIISGKIPEVTNQNDNFIVSDLNRETEELYSISSVLSTMNTLGQVVLKIYNPGNSELKLRKNMKIAQAEFFRENMVPKQPMISTINQQPDENWERPMSRKEEGELLLEKLNLKESNLSEIAIKQVEKLIKRYPEAFVGSDGKIGKFRGKTQHYIELNDNHKIPQTRPYRLSPEQKEKLEKELEKMRRNDLIEESTSPYTSPILMVPKPNGDTRIVIDYRKLNLITRPRTYIMPHTTDVTEDASRGKIFSVFDICQGFHHIRMYEPHKERTAFCCHLGVFHYKYMPMGLKGSPDTFQRAMSEVARQFSGTLILYVDDLTVVSDNEEQHIADLEEFFKLMIKMGLKLKAEKSQIGRNRIKLLGFVIENRTIQPSGEKTEAIRNFPIPKNVSEVKSFLGMSGYFRRFIKDYAILAKPLTALTQKENSFKWGPEQQKALDMIKDKLISPPILTTPDMNGDFEMHTDASKIGIAAILFQKQENQLKVVAYASRPTTKVEQRYPPIELESLAITWGLTHYRPYIFGRKVKVVTDHQPLKALLHRKENNMSGRLMRHEAIIQQYDVEIVYRPGRENHVADALSRQNVNTEQDGIVASIEGKPPKDTWTFEDWKRIQNLNPHIQEIKKSLLYDKLSVRVWNIYAKYIMIDGVVHMKSSNEGKPLVLLDEKVPELDEFIMAVHNSIGHAASEKTKTAISKIAVWNKMKQRITNVVSKCKTCQMRKHAPEKRFNIPMGKWAIPARPFERIHMDVIGPLPETKDGNQYIIAAVDAFSKFAVVKATQNQTSETSVKFLMENIVGIHGIPLQIVTDQGRNFISQTFKEISEILQLKQTLTPAYHHQSNGIVERFNRTVEEMLTCTARKPENFDDWDRKLPVV >CRE11646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2896:58:2274:-1 gene:WBGene00082306 transcript:CRE11646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11646 MLLSKGPKFAPSGKFNQKVLSRISMGFVSLAATLRTRAASRSQNGITWDTLPPIPFPPAHFFLHPKSDKTDQQVAAAFNIFMKKINEQKCLHIADNMSKKMWSALKELGQNKDLNITVSDKGDLPILPIPFVSDWTTNTVRNTLAQVGIKAMIIELKSPNLRDRLMKSRRFDNKCQRRQCRVCPFIGNGGCGKKGVIYRIDCDCGDFYIGETGRPLAERFNEHSRAAEKPGTPSYKTTIWSKHSFEKHQGSPLSLKLSILETERNTTRRRILE >CRE19649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:22160:22770:1 gene:WBGene00082307 transcript:CRE19649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19649 MPFVRNEASAVAKDGDKLKVVTVKKHYKFPKDEEMVAETPKNNFLPKTPLKRKREDKVGNDENQDSKKMEWIRSKAKSAIIPAETAAVLKPEYLFKEPGPLIVYLCNACKKFNSARQMETIEEGMTQIPLAMCTVCRFHHNQQRNLKFFQHELPAVKKEYNL >CRE19699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:168924:171025:-1 gene:WBGene00082308 transcript:CRE19699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19699 MSSDEKKSVSTALRNTLNYTAAIPVEGEVRRAAASFQEHPVEDMSETDIITIRKPDGTTETQTVVRLRRESRGKTPAPANR >CRE19658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:89307:90886:1 gene:WBGene00082309 transcript:CRE19658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19658 MCNDLLMKDEQTKIQNMAATTFSVIPLAKHGGIIEFIEGVTPYYDTLDTIMQLKQNEWSGKLSKWKDEMSKLKSRESRAEYFREVACKQTPIVMSKWFRIQYPEAGKWFASRKQFAKSAAVMSIIGYIFGLGDRHTKNLMIHLQTGKCIHVDFDMIFNKGETLGTPELVPFRLTQNMINGMGEVALDGEFRTVCEQTLRVFRENSYEIEKYISDLPNLVADFASNKMAPKDFDMTEAKRLVSGRIRGQIMTVKLHKSKAITYPMQVSQLTSSLIDLATSDEKLCEMFPGWMPTL >CRE19719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:511589:514710:-1 gene:WBGene00082310 transcript:CRE19719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-47 description:CRE-SRX-47 protein [Source:UniProtKB/TrEMBL;Acc:E3MDB1] MSEDFIVGFILLYISLLGVLSNWTVLLFLSKVSSVHVSFGSLTRNQALGDAFQTTTVLFVVVPMVFFDIKILKENSNLISFIMLFGYEVSVLSHLLLSCNRLCAVASPLKYHQLYNQRLTILMIAIANLYAFASIIMFYASGCKYFWSSDLHMFMYHVSNSCVDISFYGIFCKYLTIIVVILVIDLFSIYKAHQLFRHAHSDCVTKQINKKEVGLLVQTCLQGMLFSIELVCYFIISPRVQSKWAQFFLTTFAFSTIHACDGAISIMCNNDFRTMVFRNSFNKFSGPLSKVGARSESQIRF >CRE19697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:158133:158675:-1 gene:WBGene00082311 transcript:CRE19697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19697 MQRNCKKGRSFEFFQSKTNSTRTAIPKDSSPDADEIIAELNSKKSGKPPRETGFKDVIENLWISLISFLVMVTRFLRLAIEKITIFFIQIYRIANFCYRKPQHAKELIVTTSHLIKVSYDAEIWSWSGIWEMIRAHLITPMSQKPKEA >CRE19673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:400552:400826:1 gene:WBGene00082312 transcript:CRE19673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19673 MEDENLICPVCGLKRRFKSHFTNHLTNRHTKVEVLNAIKKAQMERKRKEGRKSRKELPTILEESEPEEEEEAQ >CRE19682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:504499:505990:1 gene:WBGene00082313 transcript:CRE19682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-40 description:CRE-TTR-40 protein [Source:UniProtKB/TrEMBL;Acc:E3MDA9] MHYCYYALLIAITIGHSSLAFRLQGVAVKGKLTCGGKPWKNAKVKLYDIDTNPGDSDDLLDEKYTDKDGEFRVDGTTREMTPIDPVLYIYHDCEDSIKPCQKRITLIIPKKFIHFGQATAWMDIGTLSLETGFPEQDRSCYH >CRE19654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:58032:58588:1 gene:WBGene00082314 transcript:CRE19654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19654 MQTFSAVLLALGLFSGVSAQLAAWPSQSYSPFYQAMFGAASTPVLSAPVAKTAPSAIPLAAPAFAPAFAPAPVLAAPAPLLAPPVYAAPPPAPVFAAPAPLLAPRPVLAAPAFAPVLRAPVLAAPAPVFAAPALAPVAPVLAAPRFVPAYAPFARAAMFIGSNKAKT >CRE19664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:176494:178088:1 gene:WBGene00082315 transcript:CRE19664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19664 MRFLSCPVTDLVLKIGSNEGKRFQIEEWVPEEKMVPYKEWLPDDHPYGIEYTTHVFHSENLVSTSKSWVTYLSDLFNVPLKALHLDCDLHGEEEIDSVMELISEENRIEVFEIKSEKSISDCLALSIINRQNATLELKLSFKPQGGDTFQFDFLRHSPQFLSLKYSNWISLEQLIDIPSENVYLCRSNLSNRDFRRIVEMWREGWTPEWKCLMFESLDELDVDSYVQGLKSEHMISFEHHYRNAILSKKPIQVHRFQSKVTIARGAIIKSGYHLVRESDESIVTITQEKGRIGWFFIQKNDPTANFHTRIHTRSFEI >CRE19684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:12537:13313:-1 gene:WBGene00082316 transcript:CRE19684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19684 MSDFYVTLVSNAQESSTISNFQTRLPSTLVFNKPYEVALSSIIYPTSHDLISNARETNGKLENEFFVTVGKTVYPCRVQKCTFSTPNQLIDILNHTFSKSVNTATNSTEKHTSTLFEYNSLFNRVTIKQMKNISSIELSDRLSYFLGIEKISTKFPINGQYPMFSGSDLMYIYSEDLVEPQTVSHMKAPLLKVISISPGNEGNIEQSFTKPIYVPVRVKECSRIGIQIKNDRDHFIPFNSGKIVVVLHFRPTKVTFDG >CRE19710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:332557:336909:-1 gene:WBGene00082317 transcript:CRE19710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-hlh-10 description:CRE-HLH-10 protein [Source:UniProtKB/TrEMBL;Acc:E3MD89] MESSSTATAHQEAEEPLDLSLGNHGNSAMVDEQQQQYVVQFFDSLAKMVQQNLMAAMIQNLIESSSSSAATASTNQILEEPPEIQIDGKENMISVKNRIEENESTPSPTHDRRRTSTGKLDRRMVGKVTSRRVEANARERNRVQQLSRMFDELRVILPVEAEMKISKLSTLKVASAYIGYLGAIMKDDAVEEEQFRKKLLEETESAKTLRK >CRE19655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:63651:64447:1 gene:WBGene00082318 transcript:CRE19655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19655 MNTVLSLLLASLAVTSAQVAINGPFLGRYYVAAKPVLAPGVAPLAAPVLAAAPPVFAAPAPLLAPPVLAAPPAPVFAAPPAPLLAPPAPVLAAPAPLLAPPVPPVAPMVPAFAPAPVLAPRPVFAAPALAPAPAFAPAFAPAPVVAAAPAFAPAFAPRPVFAAPPAPVLAAPALAPAFAPAFAPAPLVPAYAPFARSAFLIGGNKAKKVSE >CRE19652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:50447:50650:1 gene:WBGene00082319 transcript:CRE19652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19652 MALLLPIVNAFSVLVLFSILKKLQSIRKANYYGSKIPGPPGHWLTGNMSMFQNETSHGMISPIERTL >CRE19651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig29:30672:31091:1 gene:WBGene00082320 transcript:CRE19651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19651 MLESVQKSFIFRVYKKFKMSYSSYFEALEECDLKSLEHRRLCIDLIFTYKLMVTKEIFIDDPIFEFLDHSRLRRHRYYLKSLTTNSNKLSSQILSYRVLRCWNSLSELVFPVKPSTAVFKSRICKYDLNHFLSLNPTNY >CRE15254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2906:80:340:-1 gene:WBGene00082321 transcript:CRE15254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15254 MASMVTIHLTSEAQYLIGTIELRAALGMPPHGPWKRKRILKEEDILAAPTIEEYYERREESLGISSWNLDNYKFLRKLPTGHRVLG >CRE20163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2908:5:2089:-1 gene:WBGene00082322 transcript:CRE20163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20163 MALSELLCTKNHCIILGDFNQPHVQWSQMRATKKCCEKTVSFMSNNGLSQHILVPTRFNPDNILDLCFSNTPIVEEVNVGELFVDHRVITVLLNININTRYDPKTVLNFRKANYEVINGIIANMDWTSIFSCMSVETMYQTLLSMLQQLIQLYVPLVTIRPNVIKHSPAIRKLQKKKLNIWRAEGNSLAYKEASAILKNLLIMEERESVESKLVEGSSKDFYKFINAKLKPTDQVSILLDGCELITDDKAKAEFFASSFAKVYTVDNGQTSAVDPISSAQMSMFSFEPHSVERELFNLKPRINTTPDGIPAIFLKNVCTAVALPLSIIFNASVLTGVLPKFWKTAIIKPIHKKGLRTDPNNFRPISLTSSICKTLERLIRRNLVNYLNSNNLMNPQQFGFRSRRGTESQLVEYQGNILSLCNKYKSCYSVYVDFRRAFDKVSFSKLIEKLKSYGIHSNLLNWLQSFLSGRSQSVKVENALSIPFEVKSGVPQGSVLGPLLFLLYINDINVNFQSQVLLYADDLKLFSNREDLIAHDLELLRIWCEKWQMDVAPEKCNIIKFSHLKSNSNPIANCAVFNGANIPPVTQIRDLGIIFSGNLVFNAHINTIVGNAQRRINVLFNILKHAPLEIFLKCFIIYARPLLEYGSVIFSPVLKELVRKIEKFRSRSFIDAIKNSEKCTMDISMQFRNADSNP >CRE04371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:64573:64847:-1 gene:WBGene00082323 transcript:CRE04371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04371 MELLDLPDDMLSEISEKLDLRGVSNFRKVHPRLRQLKPITNNFLEISIIKEDDSISVHFESDNELQGGRYQRLH >CRE04347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:12013:12452:1 gene:WBGene00082324 transcript:CRE04347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04347 MYLVHWPLVAIFLASETIFVIVSKGEVSVLIFIASIILHQLFEKKYLLMSWKSLVPLVFTLVMGNVCLQKSVRMDSFWNVTYSPDVQHSLIANRAQLPYSWKYEEKREGCIEETPEESFAEGSHFGYRPFDWCRDKVV >CRE04346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:23:535:1 gene:WBGene00082325 transcript:CRE04346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04346 MFSALFQIAPYYPDCLDDPNVIVFISPDPQKLKNSLIFYLCYLLALAIASILSAHLAYHLLSRRMTHQSEKTRRMHLKFNRRTLLQVLIDTSFTSIPFTVSNMATLFHWEIPELTYFVDVMSKNSPTACIVILFLYYDPYQKWLMDTFQIVFLRKNRVSATGTMVKSVET >CRE04362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:34616:36556:-1 gene:WBGene00082326 transcript:CRE04362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04362 MEPKTRAVFFFSSFRSRPPQLHNTVYMILWLSRAMISIFRYLYRILLYSVLCFSNKPELVEKDLIDVPEFLVRGFIRKMDLKERITIRTKSSALRDIDDMVPLKVDGIVLKSTPPEISLCFEESIKFIFSGNNRLQAAMTQLLIVLNHPKLDLRNIRFDFFREDTDEQIMDIFSTRLTFGISVKLLHFENCSFQNLLTLLEHTTPKILNEIWITGRIHRQYNPDTIDRIFQLEQWKKAKTLVITGGLFGSMEHFSHFEELALYISGFSLQKNWADTIVIRQVRAGIGRRNFKS >CRE04358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:18774:19286:-1 gene:WBGene00082327 transcript:CRE04358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04358 MSRNLIIDKDILKIALGTNTLVCPTKFDIFHQMTIGCDPDPESVLLFLIPVTIKILGVIIIVLFLINYYSIPITQRTKSPFYDILGFYCVVLMSRTAFSTTVVLVLRLIDELTERADKLEESGPQYVLVQVCMYLDWIGDDFSTVMIFLMALNRCLHFAAKNVSQRIFGK >CRE04357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:17326:17547:-1 gene:WBGene00082328 transcript:CRE04357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04357 MTFLFVVFLSIRKEEVIITGYMNVFMQVTLYGNFIGDYFSQSMLALMACNRYLSVCSSEKVFNGIMGSRLVWG >CRE04359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:19562:20077:-1 gene:WBGene00082329 transcript:CRE04359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04359 MTLNRILCRPDINIILGATNALTCGPRDFDSDNYPQYCPDNNIDEPNLFYFTPHFMKVIGLLIIILYLIDYYTTPVIRRTKSSFYDILSFYCIVLLTRTGFTTSVALVLRVTDEFTTTKLVESGPQYVLVQVCMYLDWIGDDFSMVMIFLMALNRCLHFAAKDVSQRIFKK >CRE04361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:30292:31194:-1 gene:WBGene00082330 transcript:CRE04361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04361 MSLTQPNDDTDLIKYTIPNYGLSDRWEWLQYLNRLVALITCIALHCYILVRLAIMKKLSDYQILIIIQSSINIMACLFELILNEVQTQNLQIIKIGHPFWNFSLNQTLFFTISMVLFSNATQDFLMLFNLHRMVLIKKGNLLRMYALATPLMLFGTGADVQDSYSLVMKGQDINLFYKYGQLPVITLVIVFCYVKLNREFSKITISEKTRKLQQKLSTSVMLQIVILGIVSFIVNFIPWLFKKYGNPSYYNQHIVMYIIVSLVITEWYLLISAILIAWSITGFFHN >CRE04356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig291:13312:16069:-1 gene:WBGene00082331 transcript:CRE04356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04356 MPFSYIAELPEFLKMSGLESLAPGIPNNTDSIYLFPPKTIMFNGAKLLEIFLYIGFSYEFFQSLYYVHSLFCVVAYQSVVLSALTIISMLSLVLAWQVEKWPVVMQVALYCDTITGFFSMMMLYPLMCNQMLSRMYEDIWRRSFTKTRIICLVALCASLSCIAAVILIQTSEMQRFYIRKIGFVDSGRQGYQMLINRLFYIFPFGSIVCYIVLYFHIRRMTQQVMSRRTAENGKQRVFVQLFITVMFYGIMCALFEFINSTDFPATQKINLVAILNIINYLPEISLPLLLLVSNTEMRRRISNLLAPRSNQPSRSNASVNQGV >CRE21704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2912:2810:3865:-1 gene:WBGene00082332 transcript:CRE21704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21704 MEVKTSLKIYHLDTGRLSLPVAKNADDAQHSTVYDGRYVNIHSFDYRRTSYTQDTLETFTTEPNQKIYFKFNVKYFDVNGPTTLDIKISRDGAVVYSDSFTGSHLPPANTLKVFGDKISVNYQTYGNYTKGFEVDLLTTKNDDVSSPSTPSPSNSLSTVPPSSTTYISTKIVTMSTRISSSTPPPTSYSPITTATAKTTSTTSRISPAQPTTPVTTMTALTHFTIAETPTTGPTDMPGGTTTTAKSPPVVSTTTVGTITGGTTGTTSAITRGTTQRYETTTKSGTNQLALVPLLLVFISILL >CRE24368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2915:3019:3918:-1 gene:WBGene00082333 transcript:CRE24368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24368 MSSFKLDELEITMGQVFKEEVPSYSDDKFYYCLRKHLKQLSREVEVEKFTFTISLREQENYLRDNPRLCSVSSVMKYLKPKTLKYLKFRIWRVQFPLQPPSGMEFRCIQLNEPEPNEPTRPTEIYFEQGHYEFPSTITKLKSWKAAAELDIEDDKIVGTVIANTHFERISFGLISDPEIAVDIIKTIAAHPPTVERCVVTFTTQIDSKSLREAIKYSCPDVCSEDNDDILIQPKDKEKRKVRLRFTKNGFNLTLDEIPQ >CRE23862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2916:1648:2115:1 gene:WBGene00082334 transcript:CRE23862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23862 MGNTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEIASKSLLECCKRKNLPAGCQQLCRYGHHREIFSKKNIQFTSFYN >CRE28006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2919:147:671:-1 gene:WBGene00082335 transcript:CRE28006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28006 MTSPFPLFHIPYVPLGRIIDLMEPKTLVSLSFCSRKSHSVIKTQRRLLFDGRLLVAGIDKNASFLSFTNFFFGIVRKSNHVLSALKFVDNINYEGMESVKMGGQHVRVEMDHSDGYIISYWENTTEGSKVITDYVTNLFNIDVSEVWASKQSFHIIHM >CRE05249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:5957:6840:1 gene:WBGene00082336 transcript:CRE05249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05249 MSSPFPLLRLPRVVLFEVFKSLNIREKIRLSHCSRKISIQINSARLYSQKVIVVLDCLKRKIRVRSENDKDAYAIFISIKNRKGFSSFIQHILKMFPCKISTRKICYHSDLFQPVISELLDQQVKFKALTILLTQNLLFEQISNDFGLVENLRIISVANPGFRPVFSSWPQKISIKSSYWFTLESLLACTCTSITLEESRLENEDLDEVLKNWKAGGFPNLKYLNVHSLRSTNDGEHILGMNLRELDGMTIQNDDGSKNATIQLSSHCIEMSVTPFQ >CRE05264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:13382:14280:-1 gene:WBGene00082337 transcript:CRE05264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05264 MLFDLQAEFKMLCIRPKGSEDENLLWNKISTILRDEANDPDQLHTVLIHAPNHTMEQEVHEDPTVRYHPATRTRGNSPAATRLGQLNLSAKGGGVGKGRGRPRWQ >CRE05263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:67895:68194:1 gene:WBGene00082338 transcript:CRE05263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05263 MSYVLMLLLRLRQACVHFHITRKGMDIDAFQLSGGDETTDLEELGEMMEKSMRMIDEERETQTSSDNNSKKIFEPEFLSCKMKKTLQILSNIVEKGEKV >CRE05247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:176:2704:1 gene:WBGene00082339 transcript:CRE05247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05247 MSDRHFEYFKKCIRKCPTDIFEYFGTCIQKMSDRHFRILQKIKCPIDIFEYFKKCIQKMSDRHFRVLQKMYSENVRPTFSNTSEHVFRKCPIDIFEYFKKCIQKMSDRHFRILRKMYSENVRQTFSSTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRPTFSSTSKNVFRKCPIDIFEYFKKCIQKMSDRHFRVLQKIKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRPTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRQTFSNTSKNVFRKCPIDIFEYFKKCIQKMSDRHFRVLQKMYSENVRQTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRPTFSSTSKNVFRKCPIDIFEYFKKCIQKMSDRHFRVLQKMYSENVRPTFSSTSKNVFKNFDINFDSPFPLLRLPRLVQCEIFKSLNIEEKIMLSFCSKRISTQINNYRLYSQKVIVDLDCFKQVIDIQSENNQDIFQVGILPDSRISHSSTIQKLSIACCTVRAEYLQKGMKTFWNNCLEGFLSIIHHLLKIFQCKMSTSFNSYCSDLFQPIILKLFDLQLEFKRLSICLKRSMEENLLWNQISNNLGLVEYLSISSSLDPAFEQVFTSWPRKIRILDSDWFTLETLLSCTCSKIILEESLLENKDFDVVLRKWKTGGFPNLKLLWLASPKITDNGEQILGMNLNELDEKVIQTDDGSKKATIKLSFLWAIEIYVTPFE >CRE05266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:33946:36120:-1 gene:WBGene00082340 transcript:CRE05266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05266 MTNDPDMQQYRIEGRTVPGTSCPIRITTFWEKPRERSLSVLRHILKIFECERSTNRDYDSDLHQSKISLLCDLQMGFMTLTIPLKGSKVCLAATASITGAIVSIGCTAGHRETLRARSRKSRKRSKNRSDKGQKSSSRRRKKLRKVNGEKSQKSKKSQKSKKSKRGKSSTKSERPMNKDADRKSSSQKSMKKNKISQSKSRSGSREEGSGRSLSKKNSQRSSNDKTPSKKGGSSRSKRSSEKRREGSSRSKKSGSGRSRKSDRDRKRGSSSSRGVGGAVPLEKKKSRSRRETEDGGSRKSRKLVRAIKRTCCQTRHRRFEAARPRHVKFFLEQDFEASLRLILAPCSDNFQL >CRE05258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:32753:33754:1 gene:WBGene00082341 transcript:CRE05258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05258 MSSPFPLLRLPRLVLCEVFKSLSIGEKIKLSLCSKKVSAQFNNARFYSQRVMVYLDMLNQNIKVRSETDKDKFEISIHPHFGMSNDPDMQQYRIEGRTVPGTSCRIRITTFWEKPREGSLSVLRHILKIFECKISTNRDYDSDLYQSTISLLFDLQMEFKTLTIHLKGSKGDNLLWNQISNKLGLVEDLTISSSLDPGFRPVFTSWPQKINIMCIMCNWFTLETLLDCTCTTIILDKSHLGNKDLDVILKNWMTGGLPNLEYLKIQSQNISKNGTTILGMNLRELAGMVIRTDDGSKKATIKTNVQSIEMSVTPFE >CRE05253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:15260:16259:1 gene:WBGene00082342 transcript:CRE05253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05253 MSSPFPLLHLPRLVLCEVFKSLSIGEKILLSLCSRKISIQINMARLYSQKVIVDLDCSKQKIEVRSENSEDTFEISFFQNSGKTLSSNTRQLPFASCTVRVMSIRKGIRTFWKNNQKEGYLSVIRHLLKMFQCRISTYSDCFYSDLFQPTISMLFNLQAEFKMLCICLNRLKNDNLLWNQISSNLGLVEFLRISYIYDTDFKPVFPSWPQKISITSSDWFTLKSLLACTSTTITLVQSPLENKDLDEVLKNWKAGGFPNLKYLMIHSLRSTDDGEHILGMYWRDLDGMTIQNDDGSKNATIQLGPHCIEMSVTSFV >CRE05251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig292:10273:11207:1 gene:WBGene00082343 transcript:CRE05251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05251 MLTSFPLLRLPGVVLREVFISLNIGEKIQLSLCSKKISTQIYNDRLYSEKVIVNLDMINQGIRVHSENYTDAFEVFTDLDIWEINYSKSGRNRQEGFLSVIRHLLKMFQCKISTDISTQNSDFYEPIISELLDQQVEFKALNIVLDGSKDQNLLWNQISSNFGLVENLKVLSNTTKPGFQPVFTSWPQNMTINTSAWFTLESLLACTSSKITLLQSHLENKDLNEVLKNWKAGRFPNLEYLYVESKNITNNGTTILGMNLREFNGMVIQTDDGSKKATIEIRPYRIEMSVTLVE >CRE01517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2920:3062:4093:-1 gene:WBGene00082345 transcript:CRE01517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01517 PGAGEVLVRLAASGVCHSDLHALDGEWDTPVPIVLGHEGAGEVVAVGSEVTDLEVGDHVILSWTPSCQKCEYCVSGRPVLCQLANETAYQHVFFDGKPRLTDGDESVKSFLAVGSFGDHAMVPASAAIRIRKDAPLAQAALVGCAVTTGIGAVTNTARVEPGSTVLVVGCGGVGLNVVQGARLAGAKQIIVADVSEEKLELGRRFGATHTINSRETDLVEAVRALTDGRGVDYAFEAIGLPFTIEACYEAIRRGGTAVVVGQVADGVKISIDPFVMSDQEKRLIGSNYGSSRQSIDFPKIIDLYMEGKVDLDSMVTDRIPLDGVNEAFARMRAGQGIRTVIEY >CRE30343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2928:3589:4644:-1 gene:WBGene00082346 transcript:CRE30343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30343 MCIVADKSKAPRGFVPIVKCQDDQAEADLWRDGFFTINRQVRYICTSTEIPDSNIKTPVQVITNLIIVRESDPIPHGYVAIDYTADSREKSLRKKYVCIRTEPRDRVVDAIGEIIILGKTKKVPRDYTSAGDIDSLLICYKVIPIPQTYGIQTSNSTSNLETQQSTGGLYPGLPNLSNSTPANLDVSGVSGSSAFTMKNVGIPRVKAIDGIDFKVNPMFVKSDSNSGSSQLPDLSQFTHLEQSRLDDKYNYSFATEHAVLS >CRE04483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2931:128:1435:-1 gene:WBGene00082347 transcript:CRE04483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04483 MSSHLTCFLEIDSQSDFTIHNLPYGIFSETPQGTKRVGVAIGDWVIDLAHLEAHGLLAINGHQDCFNQATLNKFIETGKHNWQKVRTTLQSLLSAENPTLRDDVVLRQNALFKQNTVTLHLPIQVSGYTDFYSSKEHATNVGTMFRDPKNALLPNWSELPVGYNGRASSVIVSGKDIVRPSGQIKLPDQERPVFSATRKLDFELETAFIVGKATELGEPIAIADAWEHIFGMVLLNDWSARDIQQWEYVPLGPFNAKTFASAISPWVVTMQALEPFKVKGPEQQPKPLCYLEENIANSYDIHLSIEVQSPQSAHADVICQTNFKYMYWSMAQQLTHHTIAGCNVQVGDLMGSGTISGPTEDAYGSLLELTWNTTKPLTLSNGEKRGFLQDGDRVVMKGYCEKAGIRVGFGEVANSILPARQFSFHQTEDLSYETV >CRE04482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2931:1789:2676:1 gene:WBGene00082348 transcript:CRE04482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04482 MSKHEQGNLKHGLSNRHIQLIALGGAIGTGLFLGISQSIKLAGPSVILGYAIAGFIAFLMMRQLGEMVVQEPVSGSFSHFAYKYWGSFAGFMSGWNYWVLNVLVCMAELSAIGLYIQYWWPEIPTWISALVFFVLINGINLLHVKFFGEMEFWFSIVKILAILAMIGFGSYLLATGTAGPQASISNLWALGGFFPFGVEGLVMAMAVIIFAFGGIELFGITAAEARDPDKTLPKAVNQIIYRILIFYIATLFILFALFPWNQMAEGGSPFVMVFASLDSHGVATLLNFVILTAAVS >CRE06269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2933:191:578:-1 gene:WBGene00082349 transcript:CRE06269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06269 MLQNKTTISEFATSSDYHSKHVDPWYVGFMILNKVGLLLSASDASVQSDDLHNVVSDVSAASYSSNSSNQNWTTENDGSAINSIYNKEFVHGDMITRIKRDGIISFSFSLSLI >CRE07192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2934:2657:4104:1 gene:WBGene00082350 transcript:CRE07192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07192 MCLDRSKKCTALPIILHCEACNCFGRSNECYYDEQVDLNKQSVDSKGNYEGGGVCVNCRDNTEGINCNKCSYGYYRPEGVTWDEPQPCKACDCDPDKHTGSCAEETGKCECQARFVGENCDQCAPGYYDPPECKPCECFVNGTVGDVCLPENGQCPCKPGFGGTFCDTCADGFTNVTAGCVECVCDSTGSEHSNCSAATGQCECKPAYAGLSCDKCQVGYYGDDCKFCNCDPMGTEGGVCDQTTGQCLCKEGFAGDKCDRCDIAYYGYPNCKQCSCDGAGTTSPECDSTSGQCPCSGNFTGRTCDKCAAGFYNYPECRGCECLVSGAKGQTCDSNGQCYCKGNFEGERCDRCKPNFYNSPICEECNCNPSGVTRDFQGCDKVSPGELCSCRKHVTGGICDQCKPPFWDLQYHHEDGCRSCECNTNGTISGLNTCDLKTGQCMCKKNADGRRCDQC >CRE11648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2936:3622:4437:-1 gene:WBGene00082351 transcript:CRE11648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11648 MRSFRINFSMISKRTKAVTKQMTSYSTHLIQLIIGEEIEVWIHEPKYMTACYYMFTSKEEMNGKVVENTWDGWNQLFKETIDILSMTLDALVDYNVSIIDFLETNWKSVNDCNLFQSEDKNDVDEHAAYLLNNLKVNNKIHSYLDIKNVNFDGRIPKNLNELRIENSHWVGYERLLEIDCKNVIIEKNRISNEQWNLFIKKWIAVETHSNLEYLQLDYREIEEFRALVLHDIPHEVVDEGVKRTFKM >CRE10791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2937:845:2891:1 gene:WBGene00082352 transcript:CRE10791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10791 MADEDTQSTQLGEIIRKNVEAMLGSVLAAVRQPEVAPKLSAKGLQKQADFNVKVANLLSKKVDEYPDDEDFKKIFDLIKARNAELELLDKDPRAAAMMEKATALASLTNASNGGISDPTQLMVLASLLPGDAGPLKRRRMNEPSHSQWFRGAGASRGESSTRYYKNSNTNGYGYQRFGHSQGSERARSQVGPNPVYPQPEGLRKSAGRYLDFIKSEVFKLVESGAVAVTESPIVISPLHVVEQGEKKRLILDLSEFNKNLSPPKFTLETWKHARPELVRMRFAATFDFKSGYHHVKIEENSSELLAFSLTDPPTAPYFKFRALPFGLSTAPWLFTKIFRPIVGKWRRNGIKIWLYIDDGLIVAETEEDLIRAVSIVKSDLERLGVALADEKCKWEPSSVFTWLGFVGDLTKKTVRLSDKRYQAVLHRLEVLKRSPAPSVLDRERFLGSLSSMLLVTGSEGMARSKLMQMTVATARRGQWPETRRIEKTEGELGEIQFWSENMRRLSTTSLEENFRPVWKAYTDASADGMGAILKNLEGEVICRISEVGEGNFKNESSALREIKAMRMLARRISGWIKGSVVCYLDSQAAVAILTKGSMNLTLHEVAEQVWDAFQIVGAAKFLWIPREMNK >CRE08617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2939:72:578:1 gene:WBGene00082353 transcript:CRE08617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08617 MTRSVYLRERELFKMIPVLVRSVEGSHPNWEKQDTIVSEKVSVPFKKEVAEFIFSHLREYDVIDDSKLAIEKFVDADQKGLYELKEILECANYFECQPFMNCIGFVIAKKLDTKSIEEIAEFFGVKAEPEGKWFDEDDGWLHPPAEVFQG >CRE07061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:40179:40769:-1 gene:WBGene00082354 transcript:CRE07061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07061 MSSSSSSRCSSSASLASTALSVSSSSCSSIDSSLWKTEELYISNETTVLIPTSPVSFAISQKCLGLKSDLEKERAEVRNLTKKLEKAQLEESILNQKLDIAELEKMDIYNDLVKNEKEMKAKSRRIDGLKAHICSVEELFKKQQKEIDMLRAAQEQKKDIQKPWNYGESCCRFVEVKKNETSLQKTCDKKEGFNGH >CRE07064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:61729:61986:-1 gene:WBGene00082355 transcript:CRE07064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07064 MSLLHKIFVFLGLVAFVSAQLGLGLGAGPVGANANLGGYGNQGYGGQGVYGNGGVGVQPGGVVGGLLG >CRE07065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:63097:63366:-1 gene:WBGene00082357 transcript:CRE07065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07065 MALLHKIFVFLGLVAFVSAQLGLGLGAGPIGANANVGGYGNGYGNQGYGGQGVYGNAGMGVQPGGVVGGLLG >CRE07054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:58549:58949:1 gene:WBGene00082358 transcript:CRE07054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07054 MSLLHKIFVFLGLVAIVSAQLGLGLGAGPVGANANLGGYGNQGYGGQGVYGNGGVGVQPGGVVGGLLG >CRE07056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:4505:4956:-1 gene:WBGene00082359 transcript:CRE07056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07056 MHAARSPTQQKRTARNISRQRLTNSNTLSPSTHTVKDAAPYPIHITFWNRLQSPTLSHPAAHKIQTVSNVMHHNQTSNALSPTVP >CRE07050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:33753:34169:1 gene:WBGene00082360 transcript:CRE07050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07050 MSSSFSLKTDFEKEKAEVQNLKKKLEKVQLEESILNQKLDIAELEKMYIYNDLVKNEKEMKAKNMKIDELKSHIRFAKELFKKQQKDIEMLRATQQQKKENQKSLNHGKSCWRFVRNIEKNETSLQKTCDKKEGFNGL >CRE07053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig294:55020:55290:1 gene:WBGene00082361 transcript:CRE07053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07053 MFFSTIRTIFFFLGLILMATAQLGVNTGLGVGPAGANANLQGGGYGNQGQGAYGNAGLGVQPGGVAGGLVGK >CRE13239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2940:442:663:-1 gene:WBGene00082362 transcript:CRE13239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13239 MSNLKEEDVQDEEMIRQRTLNMRKRVEEIMRNGATLVRESNGLPKAGADFELYNSFPTFNAFMKRSEERLNAL >CRE07855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig295:3483:5340:1 gene:WBGene00082366 transcript:CRE07855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07855 MAKTIKIRMMDNTIELDLPCNQLTQSTIKSQFLLDRDAIVSMSYESNGSKKGCLMNENGTAFILPDGWPDIDFFVESNKTPSRPCSSWSFEGAPDPKKRKIEPEYQEMPVDGDLAASIGRYALYYNKDNYKRSAIPLTPRLAATFRHGENKTFKIGDQLVIRSWSDKTLEVTAQVAKIIEELDTIILESLGLDFCDKDLISNSVLPRKGMQYLMMGFSIIHQNTSHQSLSTGIIVGDVTRRLRYTGSSGSGDSGGSCWNERGQLIGMQIEDQNGRSTSSGGRCCLVAMISILSHIQNLLPTVDSDGESEWNE >CRE07858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig295:12661:13996:-1 gene:WBGene00082367 transcript:CRE07858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07858 MCPLPPLLTGGNKKSNSDFRLPTSNFRLPGVFSLPTSEFRFPDKENRFRLPTSDFRAFFHFRLPSSDFRIRKIASDFRLPDKEIHFRLPTSG >CRE08592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:36464:37764:-1 gene:WBGene00082368 transcript:CRE08592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08592 MTFDGVVVITEGMNRWSSGIFYTALSRARSLGTSRIVDVGTVQWSACRLALAELERMQRRPLIPGMTFHQHRMTFHQHQYDVGYLQKVWKSLRKEKNPADQQQATLVGLEE >CRE08591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:30999:35784:-1 gene:WBGene00082369 transcript:CRE08591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08591 MTCTTSSHRNDLFYVAKSTEAFEKHLIRICELSRLRRHSRIFVSSIMASRSSSASSTGTVRSLSPGKEAKEHSQCNQSNETVDVVDHLENLNVYHLDLKTANIYFTEKEAAHTLSDSIIRSYPGDFGVCRFHEVNGAATKPRI >CRE08587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:80282:82025:1 gene:WBGene00082370 transcript:CRE08587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08587 MPPKKGGKKNKDDDWDDEAAEKKLAALNMGGASETQWDDEEPKKAKAKAKAPAKKGFAAFLPDSESEPEEKPSDDEQPPEPEVKSAAVAPAAAAKEKKEKKEKKKGGKKGKKEEEDDDLDALLAQIETKDVPVQEEEKKKDKKKKNKEKETLEPLEVEKPASEVSEAVAPESGAPEAEDSEGDDGGDIK >CRE08590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:30230:30785:-1 gene:WBGene00082371 transcript:CRE08590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08590 MSDRCFNFPEVPERKKKLINKQLCLKCLLRHGEKCKYHKRCFYCTKITHHCSMCPEKIEIKWDTKTTDHRKERRNESARKSHKTRRDPRNKSFLQTCFSPPSTVKSSPFNAMYGPEVFLDNKWIGRRFQVEDTVNRFNFKLDVYIKGKELEKGFDKEILQTYPNSFVGHFFA >CRE08594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig296:42548:42949:-1 gene:WBGene00082372 transcript:CRE08594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08594 MMKYVLMEKLSRYIEMNTLKQSCAEVKFLKREEGRRKEEGRKKEGRRKEEGRKKEGRRKEEGRKKEGRRKEEGRKKEGRRKERRKEEGRKKEGRRKEEGRKRGRKKEGRSKEEGRKKEGRRKRNSAQLCSESY >CRE12334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig297:23338:24116:-1 gene:WBGene00082375 transcript:CRE12334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12334 MSQDQVHQKESGRTAKYGQVISHELTDSQLKLSCDLLLSLLSRKRSFDWILDIVTGIGKWGLLYTIQSLQNSFAGQKFDDRMQVKSHLDVFFSSQPAEFYPAVIAQLPQCWQDVTSTHGQYITY >CRE06271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2973:2808:3386:-1 gene:WBGene00082376 transcript:CRE06271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06271 MSKQILMLVGDYVEDYETMVPFQFLTGLGYSVHAVCPDKKAGDTIATAIHDFEGEQTYSEKRGHNFAINYDFNQVNSENYVGLVIPGGRAPEYLRMNDRIIEIVREFDAIKKPIAAVCHGAQLLAAADVLKGRLCSAYPACAAEVKLAGGQYADIAVTEAVTDGHLVTAPAWPAHPAWLAQFVKALGATITL >CRE06272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2973:4169:4687:-1 gene:WBGene00082377 transcript:CRE06272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06272 MYVLIALTLVFTQLSLLAFGGGNAILPEMQHQVVVIHQWMTAEQFSSMFAMAQAAPGPNMMIVPLVGWHVAGPMGLIVTSFAKFGPSSIITIYALKFWNNFKSHPLRAKFEKALKPITVGLVLVSAWIIAQASAQNILLIAIVAITICLSLFKNVHPVWLMVIGAGTGALIL >CRE07194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2974:3786:4613:1 gene:WBGene00082378 transcript:CRE07194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07194 MKLKVCHHLIAFFEKEVEEGRLPSNLGPLQAGIGSIANAVLTGLKDSNFEDLVMYSEVLQDCTFELIDAGKMKFASGSSITLSAKYGEKVFNNLEQYKDKLVLRPQEISNHPELVRRLGIIGINTALEFDIYGNVNSTHVCGTKMMNGIGGSGDFARNAHLAIFVTKSIAKGGDISSVVPFASHIDHAEHDVDILVTEQGLADLRGLAPRERARAVINNCAHPMYRDALNDYFDRACEKGGQTPHILREALSWHYNFEETGHMLASLEDQAKKSA >CRE07193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2974:134:733:1 gene:WBGene00082379 transcript:CRE07193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07193 MDRLLQRELFSLIVLDFMLPVEDGLSICRRLRSSNIDTPVIMLTARGSDSDRIAGLEAGADDYLPKPFNPNELLARIRAVLRRQVREVPGAPSQNVEVVSFGPWSLDLSTRTLTREGQVVTLTTGEFAVLKALVQHPREPLTRDKLMNLARGREWGAMERSIDVQVSRLRRLIEENPARARYIQTVWGVGYVFVPDGAE >CRE11506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig298:16058:16401:1 gene:WBGene00082380 transcript:CRE11506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11506 MSRVRRSEDFRMWHYHERDSRRQLHPWRDSCAKRGLSRGSAAGKLKIRCWESRYNNIGTSDDLALGSFGTLHLACIIDWFMF >CRE11505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig298:10633:15395:1 gene:WBGene00082381 transcript:CRE11505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11505 MDVRQDQDVEDGFDWDEEEYDPENLDNQQILDQGLLEDEQEFPVDDEIGQQNNITMKQRKGEMYPVIDKDLRTIDIPIVSGNPFGPDSDSEEEPLIDRYRGTDNYDDYVPQELDNVDMDREREVELEEDRLKEREESVRGTREEEDEGEEDENEELELDAVCYGDEHDAEPLALARKNDGVLVKNIGQRLNVSRAEHANFLFQDREEIPNRYQGESRTLGQLVVIDYGARMIEGRMNALLVHRSEFHRFAGRSQVFKFQEQMVNEKFNGVRKLGQLATLPSSVPGTVKYQRELVMTGVTLANKLGKPHLFITYTGNPKWPEIQRETKLRGVNWTDIPTFVNTVFWTRFEIFIEEELLGPKKKISAQGGKIVREGGNFGVVRWFIYSVEFQQRGMPHVHLIVCLEKPITTAAEVDDIISAEVPEMPKRNDPEYEEKLRYYNLVKDMMVHFPCENDPTAYCREGAKLHWKQCVKSFPKKMSDFTVLTDNQYPDYKRTNTNKFVLYRKGKAYVAGSEYVVSHNKPSLMKHECHINVEVITTLHTLKYIFKYLFKGPDRMLLEVCENMEKGNPDKTAMTLRGNVFAPANLPEGKLRARQRQADKMMDAAGVTIPKDKRLSMNECTAVLDMAAMTANEAAWKLASRPMHGCSHIVFKGYVHEENNELLYFKRGLSAASAKKLLEKKVAGQMAAWFNENKNPKKLKNGMMTTDLTLPEMFRFYMFSMKTQKFILRKRDLSGKIFGRIQAPQPRNLELTAVRLLAHHVCGPTSWQDLRTYKNVVYPTCLQAARARRLMNGEQEWNDLLTEIAGYESPIESRRMFASILLHCAPANPKDLWDSHWETLVSNKTSWSESQKKAHALRHINFLLQRHGMNLDQFELEGDYKKDDLPLIDPAEDFDNPDFVNLSRNEHESKGRTLYRDLNTEQKNFVDRVLEMDDETDVPRMVFVGGAGGTGKTYCYNTIYHVLMARGKQVGTVSHSGIAASLLPNGCTAHRKFSIPLEVCDRMNCSIDLISAEATALRALSAVIWDEVCMSDRRIVSAVDNLFQELHESTLPFGGVLFIMGGDWRQILPIVQGVRDQGVIEYTLKNWVDNWNQVEKFHLTINQRAIDDPDYARLILEIGDGSNYVHEKRQMVSIPDKLILTGTDTDLVDWVFPDVNTYKLVESSAVLTVDNRTALRINEYILDKLNGELREFVSIDTADKDNALNVDPAIFATETPAGMPPHRLRLKVGAQIVLLRNLSVEAGLCNGTRLTIVSFGEDVSFFYNLLPPEHRPETADGLLASNLDESLWKRRKVLWIPPPTVPNSFGVRLYDQQEPGTNVDKMWTASAFSSLLAWTVVCCNVESKEERRLPNVALHERDSRRQLHPWRDSCAKRGLSRGSAAGKLKIRCWESRYNNIGTSDDLALGSFGTLHLACIIDWFMF >CRE11504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig298:4560:10550:1 gene:WBGene00082382 transcript:CRE11504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11504 MVRETKAQADKKAADVERRRANRKQEQSKSGGDSQEPRKKQAEMSQEEIREYKTQNKRSNREKQKSLQNSQLSACSQETPLSELPKKRSEMSQEEIREYKTQNKRNNREKQKSLQSSQLSACSQDTQVSGGRKKIKDMNPDELRNYWRENREAGRQKGRTSTTSDMELSQRTSTFDFNAQHNSQFDMESLHSEMSDVFDQPTSSNAAARIGRKRMMSPQEEEEEEEEDEIPSSQMSTYMPNWSAKEKEEFKRVKVRYNTRSKKALMSQSSLDSPDEDQSSVATCDVAEPQELPEQQFNCKIRFALVDLLQVETDCIVFPFCGDLDLTKRKKIYSQFWRKMCREIDEQEEYKEFLEDQCQELEERDIAGFQWQRLGRHKMCFHIREPVSEKNKYTTVYEAQLRAAIFKCLYQADRNEYSSIAFPIFGHVENRLKATAITLQTIWSYFQVVRRSNLKLVYLATKIAPLYDVIGRSMGYIREIDLSNWNRQHFFQFEQMLFDKVKNEVIYSTVPGTDMAMRAFKFSLESKKVKDQKEKLKNLHAEMCSMTGLPASNFVLTRDSERRLPHQYISDVTISADESPELISLYFPMENVCGSTSVLRKLWVVSYYYMYYSDRLGDSFDFAENSLEHKTRKEMFNKLKNLHREVLLQWKRTVRNVCYKCKCQKPDGYHENLSYFNTQMSHPDIVFDQWILFDKCILVDGEDVMNLQNLSIQTSPQKSEEKEKVMLKLASEQEEVVKEKVIEWFKNVKEYTRNRTRNFRAIIEHLDLSDIRTGIDDDPAVFDDLEVYDEYLDRKGDGGEENDALTEAEVIYRMAFGMEEIQKAAEEVNRCLRDVALKRYFEHEINEGHLETSLRHSNNFLRVVGFLITLFSGMVRKKKYALSPQVFELWKTENDRTCTVDEFIAAEMKEMGKKELDLPQHIDTTLDDTFRMFSRYPKPIDLGRRTDKCYHCGALSFPRERLKSCCKNGRFWINPVKKIPPAITQMFQEKFRGCLISANAAFSMASVNYNRQQQKAHGVQSMKVQGVVTFLPSAIHPRETAKARYANFIVLEHDNETIASMRFESLRVKNPLLEKMFLDIQEYLDANNSLYKCFKSMAQLEKEELQRRGLSQSDASNEFIRFTILSPTELDHQDKLVAHPGVYAQKKRMPKHHVAVAISMNPEDTSARPRGLTIYPKNPSRGKPQQAISIYSDLCDTMGYPLLFPDAQGGYALHKYPRRTAKDPKPSYEQNIRNHIEELLSNEENPEDYYNLGPEFNEMLESLNQPSTSASGMEVDDSDL >CRE20171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2987:90:767:1 gene:WBGene00082383 transcript:CRE20171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20171 MGRWIPHKLSNFDLERRVDMSLQLLTLHPNFNWLDHLVTSDEKWVLYENHHRRAQWVDADKQPEGVVKQELHRKKILLSVWWSVHGVLYWELLPEGKTITADYYSSQLQKVKSKLKTSPLHGPRVHYLHDNARPHTAKTTKSLLATFHWTVLAHSPYSPDLAPSDYHLFSNMHRSLEGQDFKTKSDVEKWVQKYFDSKQPVFWRKGIESLPTKWQTVVDKSGHCI >CRE18401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:42043:42948:1 gene:WBGene00082385 transcript:CRE18401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18401 MGGISDCHHFLLFRIYIIHITRKLERNCSMNALYIIIGVLVVIVLIIIAICVFGKCCRCRQQDSPDEVIDSPDSSNRNIGNNDSDKTDEEPVAPKNSSTPRESSSKKHKNPPISSPAFQKSPPLAFLKQPYYHEPLKEFKNSHRTPRDKKCHDHSRPCRRHIPYYETVYQTEFKKSHRSIREKTNQSNRRTEMSTNGNISPSMKSQCSQKTSKSSKRSARSSKMEKTQRDDRSEKTDITSKSGKSTKTDRSAKTEITDKSSKK >CRE18712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2063335:2064796:1 gene:WBGene00082386 transcript:CRE18712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18712 MHSLLLLLILLLMKHTSQENKVSEFEFFKSRCDYNCTFEPYHVDTETLKLFPTKCREVCAYLLIDQTSDVAEKQLVTAFKNVKVLYGSLKVSKTNLTNIKFLSGVEFFECDTDSPLLFEANSELTEIGMTNFKSTSCAITLNNIQKMERLNLPNLKNFYTLFNPPLLSIDIANKLCLTIEEITNFVSSDVWEMHPFHNPYCNFSSSDLSKFDEKICEVQHFSLKSFDSSCKRIFGNVMIQSGDEEHVRKLENVTWIYGRIVINGTSLSVIDFFEHLEYVAYFKDDEYSIYIINNTGLTSAVYPNLRLVRTTNKNPRVIVFRDNNAQLSSDPAVCFNIRNSLNTTEKLIPYIDGRTCEDIANVSSVIEPFVWLMVTGSFLALFVLNDYIF >CRE18576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1239775:1240580:1 gene:WBGene00082387 transcript:CRE18576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18576 MSTYSLDNFDFVLTVTHLVGFVTVCQNGYFFNTFRNGIAIRNERKKLHGLLMFLGFIYFQGEALMGYRVHRYNTNIVTKIIHILFHFAAIGLGLPAMVSVVLSIQFAKTSHFSNIHSGLGVVVLIVYTGHVSYNRIEYIGAIAMLFTIRYTLLILAVVTPKPWRREKTIDELK >CRE18705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2040915:2041766:1 gene:WBGene00082388 transcript:CRE18705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18705 MLSSTSQEENRQVQCSPIQDLCAQEYFEKDEKLKQLYYELERFKETSNNLKVENEGLKRKQVDIRQKVVVCNEKANSLRDELKSAKLKIQDLEKESEDQFNLSLDIETLKRRSEKAENKVIRELQEKCERLKEQLKYRNVSYKILQDQMNKMEVRSRETSKTDEEKILNSSSKIYFHWFLVSTVFSCNILIFLFILSFLLFRLAY >CRE18497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:719234:720126:1 gene:WBGene00082389 transcript:CRE18497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18497 MNPAEIHEGYFAYHCVPLVKGMRLNNVRGYFLMADDSVFNIWQRIDYSKVHHTRGITHENSSMWWDGEYGLKAAENILKTIENNTDPKISKAWKQFEKGLKKHGYLKNKETVNNEMTSKKGRSISDFYYIPTSKIDYYATLMRLFYDNEFFIELAINRFLKSVNYETPLARNTSYLWGDDRLKWYELYNPNVVVMHPIKASQFKIPSETRKRYCGSVLQTWSDILFHGARNFITKMGD >CRE18433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:265802:266955:1 gene:WBGene00082390 transcript:CRE18433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18433 MCSEKSRKAIELMKLKAKQLVITQVFNEHRVYMAINNVLWFTWRLFFPSEVANPEDFTEHITIDGKVFQSITIENRDFAYEICSLSENYQKSADSIQKFIRKTFVCQNVKYHIDVDGCENFDHYVLETVNKDPECKTLELGTTIYVPLENSEYKTREAGPLNPWHVEFVLSNLNENIELIISGDPGDDFRYTKIISRKFLVIYSPQWFTYQNLVESKSEKLYISSVNERDETCITLEEIISYFKSWIDGKQTSILCLAVRNNWKLHREEVFNKLTNGIETSVFDERRNASDYKNNWLSKATEHTNCPLIDIRANDGTVASILCNPNMLFFGVWSKPSGP >CRE18566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1172443:1174718:1 gene:WBGene00082391 transcript:CRE18566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pmt-2 description:CRE-PMT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LJV5] MSLSIPRQAVYYVKKVSEGRNVSNVQVVSPCEKQGQTFVTAFEPLSSNVTVHNSLEQLSTIRNADVLIFNNALSKIVNNADLLTDFLKNATNASAIGGIVIIREDLKNVADKRQVARLTDYFDVFRTNDSDGNNTGLDLYTVDQIENSTYVEQNFLDFIFVFRKKVFAPSTDATVTFRDFLDKTQYTSTGIDAYEWMFGVNFISPGGYNENLKIIKRFGDLKPGQTMLDIGVGIGGGARQVADEFGVHVHGIDLSSNMLAIALERLHEQKDSRVKYSITDALVYQFEENSFDYVFSRDCIQHIPDTEKLFSRIYKTLKPGGKVLITMYGKGYGEQSDKFKTYVAQRAYFLKNLKEIGEIAEKTGFINIETENMTPRFKEILLEERSHLEQNEEEFLSKFQARERDSLKSGWTDKLGYIEKDNHNWNFFLAQKPFPK >CRE18655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1686324:1686992:1 gene:WBGene00082392 transcript:CRE18655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18655 MTDKNKPFDFSQDDRSAQQSSTADLQQPTPSSTIRLLHSKSPVSGSTTPTATKSPSPKKTTPLQYNQMMAMVNAGFIHPNQIPAHPTPPSSSTSMPTAQQTSFIPHQQMVAHQHAAYYNTEMQQRRQLEEQLMLANREVARLKYEVVRLKKENALNRREAEAYKGLYDSLKGDHTVIDSNPTPQKERDRAI >CRE18500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:726585:727491:1 gene:WBGene00082393 transcript:CRE18500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18500 MAENRQRFDQRMDDARRQVGLPPSGFSADLESQNYQIGREERERREEAEHQKRLEKIRAESREKRERIRRLNDESEREENRRLRMEREVQFRNAHDYLKDFKDEKQVYEDPGKIIEFLDNWNCSMGVNVEDCMTLLAEVLVYGEKKIPDYSHRFWKT >CRE18807 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:406060:407252:-1 gene:WBGene00082394 transcript:CRE18807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18807 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LKI5] MSPGVTSLWVDLPVNIAKEIMTIIQLIYGVPSIVLMLFLIIFLGCSPKYSSSFYRLVQVDLLTNLICWLNTWISLRSSEFAWGTTYIKFFESILPGTWNFSTYLLNFFMHLQFCSAAWMSVHRISSILFFNHYEKFWSRYYMLIALIFCGYSCIPQIPGEYPQMSLVNGSLYFTFYPARVHSFNVQVLTFSVVYFVTLVGLGISVPLIAKYRLRDVVTDSGLSRKLTRIALTYGFVYSGILAWTVINTLQSLFSLFPEWFGKASYAMLSVASDMMTLALPYILLIYDSNIKRDLRNPLESSKITTAIVSS >CRE19003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1828692:1832217:-1 gene:WBGene00082395 transcript:CRE19003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srbc-50 description:CRE-SRBC-50 protein [Source:UniProtKB/TrEMBL;Acc:E3LL20] MINIINTVGISAFILSLSNVLLNFYLIFSIFILKKVPKKADWTLIYCRFVVDMFYSLDTTTYLGYFLIRLVDPTIVIKNLAFYIAWPNFNLGTMRCWIVFFIGLDRVLATCAPISYHNNRSKIPTLAFFLFILSYTVFEYYILLVLCSFELDVPLDCTIFRCAVGTCYHDYWKWYQQIMYSFVGFLSIILFFRLFIWNSFTKNVVNKTISRATRISLLDSFIIFAFDFFPVFLTAQWPQYNTTTVGPLGSLCKSLGFVIESTIICHVLIGGNNKVAPTSMINIVTTVSLSALIFAFCNVLLNLNLLYIVFWKSKIPKNTGILLIYFRFAIDLFYSFDTSVHLAYYLVRVVSPDLAVKNLAFYIAWPNYIFGSFRSWDVLFITTDRVLATCIPIFYHLHRSKVPFLAIPIFILAYVLFEQYVLFGICNFVIDIPIECSNFSCTVNKCYHDYWKWYEQMMHVCVGTLSIVLCFRLFVWNNCSHTASNKVISRATRISLLDSFIIFAFDLLPISLMANFPEINFRSVGPLSALCKSLGFVIESIITCRVLVGKNQEVVPASNNRTMINFALTVALSALIFSFCTVLFNCYFLLIVFWKSKIFKNSSLILIYFRFAIDVFFSLDTSIHLAYYLIRIISPDLVFKNLSFYIGWPHFVLGSFRSWVALFITVDRILATFVPIFYHLHRSKVSLFVLSIFIFVYVLFEQYVLFGICTFVIDIPLECRSFNCIVNECYLDYWKWYEQLMNFSVGTLSIILCFRLFIWNHCSQTASNELLSRATLISLLDSFIFFVFCLLPVFLMTHFPEVTFQSVGPLNSLCRSIGFVIESVITFGVLKDRKEVTVVVPTIHVL >CRE18665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1722668:1723955:1 gene:WBGene00082396 transcript:CRE18665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18665 MATARAKLRISKIVDESDVDEATLNTINQGLSLTRADGNSMVRSALLQNMLAAVMAMMVILCVVASPKFYILETQWKRRNKRRKGTWNLDDPSHVKG >CRE18814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:430091:431781:-1 gene:WBGene00082397 transcript:CRE18814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18814 MASTSSSSSSQSKSDSAFPEEVQEEVERKDEEEEKGDDARLLETNEANTSDSSRVQTNTGQKLLPPIIRIERCRCVRCDGEPVFSCKQCKQEILLCMRCARRANHPHPLKSFRRNDEKILLLRRHLTLSYHEHIVSCQRSICMETCLESRYARRHFTVCNDRPRQLRDIINDGGVVFNGIGYKGNSCFSCGLFITCMFLHAKRCQLSTCHVAWCEEIRDTFDMTSNDIYVVSDEMRNKCENVHKAEWKKVEDRLRGQLVEDILSIAL >CRE18414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:141590:142939:1 gene:WBGene00082398 transcript:CRE18414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18414 MSLLPSNYTLDTDDRLVGALVSTECCIGAILITLIIIGCFKIPAMRTPFGNLTINQSTGQMIACLSNGIFFFFAFIVDSRTMVEYSYIIGAVSLCLLGIIIVSYFLMSLNRLTAISLPFQYRWLFGKKAIRLMISLNWGIPLAISAYLMILKECGFQFFHYGWTFSTIPNRELCGPSLRLYLTLSQMPVTVLTVICDILTFSMLVIGRHRIFKSKSAEMKRREMNFARQVLAQGAVSLIHSFWYNRGRDLIPGFSEEWRIFLTTSFSSNLLHVFDATVVFTCNFEFKDWLLKQKKKQTLVIVSTIRGRSQ >CRE18440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:328713:329969:1 gene:WBGene00082399 transcript:CRE18440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18440 MIVTWGKPLREPGLTMFNITWKECIQECWMDSNCVVSLMLRAESCKCCFQLVYDTSPTCQKYSIEIINTVEKLPPNSGNRVAFRVLRREKTCFGPVNEPLLKQGTVHAMATGNCINRTQADASCVSMYNRSVAGVSNKEEYEFLKNAAMNHLTNPSDYTVPPGYTRLGFWIDGIRKTECKYPSTIGVSCNGTNEFYYNDSFAQTPALDWNTGQPDGLSKRTNDDCVALLVQTGKSGIEDIGCEVKEITESKLCMVGYFCGKSIGQDTWELN >CRE18561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1140219:1141362:1 gene:WBGene00082400 transcript:CRE18561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18561 MILSYTPEYILEFQSLQPATGGVEGHFQRRDDDSVTKKVTYATLTLQSIMSSINLFIQIICIVVSLYKRSTIKGPFFTLIFIFALTVTSRVIFHSVALAFNSHPNAILVYRMSRLSLYIDYWSSLFSATITFFLSLNRCLCFLSEKWNGRIFVGLRIFCLIIFCVIISVLGTFGIIITSEIVRKYYTNTGFIDTGSDTGYKDSINRFFNMFPVGSVVCYIILFFHLRNENKGRLNKSIFSKRGENKVFSHLIITAILYLILNIMYEVVVVFGNEFSLQLLTWLTVLTIFNYLPEMSLSLLFIFDTMHFGISNLKFPKKKVRKTTAIKSINTI >CRE18654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1683252:1685792:1 gene:WBGene00082401 transcript:CRE18654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18654 MLGQLIDGARRIWRRMSSSSYPPKYKLSEGMEATEYFHLRELAEEHQRMQEGKSKKGFRLMEIRCSPEVIDANEIVDFENWEKYEHRRRTSFNRGDSPLVHQSKMADRDRERKKNEPNKDKEEGKEEQEEDDDFRPIQRDREKPGESLVTRKLMEALAKKRRDERKRKMDEDETMEVDKPSTSGPQNTREGSSNPKRGKKDDSPPPDDSGPGPSQVDPKWKYRGKQDSSDDEDDVTSVKQTSARDESLLDKFKKNLEKVREWWRDVQILPFLRSTAECSGETTTHTYKPFGSDYATSSAPPSPAPSRPPSSAQSKGSPIKRSGSESSLDSELHSARDESSDDEEQKFAYASPNY >CRE18559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1107641:1108883:1 gene:WBGene00082402 transcript:CRE18559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18559 MKVLDFIYALIIYDVFAALVTYAIMKKYENFKCMDPLDAQRKEANLEPWKMNEGLQMKFEKIFEEMPGCPDDIDSIDGFTVERIDSTASSFPENLLAPEVKTMTCISWKCNDEDDVYFYFMKNAKQTNVSSIMTTTTKRSDSGSHVFLIVLLVIFGLCIIFYIASLAFWGYKKWRNNSKFDRFLRATPPERTTPQTEMEEEP >CRE18768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:146267:146860:-1 gene:WBGene00082403 transcript:CRE18768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18768 MSSTPSNSEVTNSKADVNLTQALPVLFFLEKIIKLAIEIAPEITGTQLAGLLELKNDAIAACAHSNGLLQVHFTHLATKLEIMTKNMLNAEKGHHDIVSYYKETIAQLQKEKEKLQREIEISNMLDDLEKELAAKKI >CRE18637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1583992:1584524:1 gene:WBGene00082404 transcript:CRE18637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18637 MARSLKKVENSGMTPFIFFKDRLATFKNYEYDDDQTATCTSKTLARAGFVWTGGISAICPFCLKELEFDPDDDPWEEHKKRGNDCDFVHLEKLEDSKLTLSDCIKLSQSGIVMAQFKKQNVIIEQLEQKMTVTNISATVSEVLKGPKKQSTSKSRRSRKKNP >CRE18738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2229177:2231899:1 gene:WBGene00082405 transcript:CRE18738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18738 MSKYSSNSMRNCSVCNSTSASYHFGAIVCRACAIFFRRFVNRKRINVYCTCFLEKESPNQCRSCRMEKCLAVGMQSDQIHGPRDVIGNPKTMKMIGGPNSSSTPPENIYNLRIEPGICYRHLDRIHCTTENYRNLENTRLSVFNKHPNASKELDVHEFSIEIMTDIKLIWRLCETTFPEFNALCQQDKINQIFGPYWAYRYENVNNPLVAMGFDETENMALLGILLWDPGYTNISESLAETCHAMRKIIFRELNSCYESSEDETTSRNFFSILDSLSLIERAEQKCREEIHLCGVHKIEFDQERKNMILWDKN >CRE18976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1736830:1737362:-1 gene:WBGene00082406 transcript:CRE18976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18976 MARSLKKVENSGMAPFIFFKDRLATFKNYEYDDDQTATCTSKTLARAGFVWTGGISAICPFCLKELEFDPDDDPWEEHKKRGNDCDFVHLEKLEDSKLTLSECIKLSQSGIVMAQFKKQNVIIEQLEQKMAVTNISATVSEVLKGPKKQSTSKPRRSRKKNP >CRE18776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:185008:188545:-1 gene:WBGene00082407 transcript:CRE18776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18776 MKNIFNQISIYPFIACNAFCVKNKVEKDITFWGFSFFLMIISTTVILTSSVGYFRETIWGISSAEECYTFMKVLLTFSVWTNSEQILSVKEQKPGHIRSLDCIRFFSTCWVVTVHAPTTWILFYTHRYLRLTPPIITFIWVFIVYGPYIQGVFEASYLNQISLQIKTCRNYWWRNLLFINNFDNSESGSSTACYNISWYLAVDMQLYLIAPIVLVAFYFSLSAGTSLILAGCIGSIITTYLLFEKYDIPADMIGNGDQKYFFDVVYSKPWVRCTPYLIGLLNGYLLAVHGNRKLRLNRIFCFCAWIIAFIIAGFCLFANYDYDRGVHWSIFSRASFYNFHRIGWAFFICWVVGANHMGWSGPINNFMSHPIWQPLGRLSYCAYIVHLVVLYYFLNVGGVLHYYSAWEVILYIVIPTSFLSYVVAFVLSCLFEIPTLNSYSAWKDVLKVSTIHNLTGQCQNDTNTWMKSLEIFATISTECIVMNKCTMKELKILEDNLYAVQQLDSFGQFPGPGLLEIKTLYDGSYQECNRISDKKYNTNYCYLLITPGKNVSCAVTSSTPLYASLPFRIAVCLPDSCDHQDMISIFNQMSPYPFTACSAFCVRNEVEKDSAFWGYTIFLMVMVSIAILASSVDYTREIVYGISSQKEENLVMKILLTFSFWTNAE >CRE18650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1673682:1674128:1 gene:WBGene00082408 transcript:CRE18650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18650 MAGSTTKNTVNIYYRIIRDRMIRFGEDFEVAAHRVNFNILF >CRE19008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1844697:1845842:-1 gene:WBGene00082409 transcript:CRE19008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19008 MMDSIVITVSSTAILFSALAIITNSWLLFSIFVSQKLKKSSSLALFYAKFAVDIVFGFADLVTVFLMLSKLLSTSPGILDYYPNLYFYAVWSALTISNLRTFFVLTINFDRVFAVFFPLFYFKFRVKIANSVLILIPFLHIIVDNSVLWGFCEFELNISSGCITSICLGMSCYIKYLLALEVVAHSLIVSTSLLLAGKLFIWNNCKTGVKSKELERANQLALIDALIIFVFGVVPAVVMTIFPSLFERLGSILIVAKMSGNALESYLVYRALKREKVTTSTVKARSFLAAGATSTKQISD >CRE19046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2004729:2006099:-1 gene:WBGene00082410 transcript:CRE19046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19046 MDSGFDGFQKPNKQSNVFSHIAHYLHRANFTFSFVSVFINIFHFIILTRKSMRTSSTNVVMIGIAISDICTMFTTIYKHYELVDVENPECVTSTYKYKIYMDLVAWAFQDHFRRCSSWMGVLMATVRLVIMKKMTDNRYRNWSKPPIGYCLMVLVFCASAILSSFYLSRNLIVENRTFSLPINCAEYQDVTSRPPYSVMLTPLFAFDNMIVLRVYVMFDAIVTKFIPCISFPILTVFLLRQFRKFHNLGPSNGRKQSVANEERNELTTKLIVFMTIAFFLAEAPLGMIYMVKVFFNRSDQIFLFSIDIVIYFTFLLTLNSISHSIFCVFMSSQYRDTIRKMIGIRGRTKLSSARNKTSVASVNGIRIN >CRE18532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:945861:946196:1 gene:WBGene00082411 transcript:CRE18532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18532 MRLLSYSLFLLVVGVQLVTSQFNDYGPPPPPPPRCPPPPPPPPPGPPPPWGRPPPPPPPPPFGCPPPPPPPGPPPGQFNFY >CRE19024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1918695:1919460:-1 gene:WBGene00082412 transcript:CRE19024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19024 MYLDTPEFLSLSLHAITIFATPLHILGIYCILCQTPKSMSSGKWVIFNFHSLLVYDFGLLPLYILESPIYVLAIDFRWVVIPVNILIVIYIIEWYPLFSLLSRSMKRSMKMTMHSKSTLKMQKKFLKAIYAQAVVFLKILQIPVFYFSFSIFGDVYIQAANNLSFVFLALHGIACTVGMLLFHKPYREYCYNLFRISRNRKVSKALIISIVPSNAMGHSRRISTF >CRE19030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1952160:1953654:-1 gene:WBGene00082413 transcript:CRE19030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-250 description:CRE-NHR-250 protein [Source:UniProtKB/TrEMBL;Acc:E3LL71] MELVQIQVCQVCGAEGIHGNHFGAISCRACAAFFRRAAFSKWSTSRCRSGNCTKSRFFCKPCRVKRCFDIGMKTSNFQYNRDGLTSLVSSKKQFCTTVPNSNIPQTLECFVGRPEMVLFWDADKPTHKSFIDVNSLVEEALRIFSKPAESVYISNSCLHRLSIGMKEATKKNYEGFESISKINQKVVSETWQYYFLTVAKWLMHFEEFQKLDDEVKLKILESAWHIWATLDRHSATAAYRRNNPNAPKSQILSRRGVLVDLKKVHFDSTWLSDYPAREISYFLRQSSGEHFDIIGSLIDLQPTDMEMTFMLAQLSFEYAGKRCQGEILKVTELFQHLLANDLHNYYVNELKMCKYSERLTKLMKINNAIQKNLWEHRPRMEVAKIFNIIKIEFSHPEMFKDSGFN >CRE18501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:745805:746222:1 gene:WBGene00082414 transcript:CRE18501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18501 MEKLRTGEQGFTRELNDSRSHKETVHKVLKDYTVDLSTILNNNSICIHHSKKKKVNLDNLEKQMEILKDLRNSGMKIINQHSVIERQLVQ >CRE18707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2044448:2047111:1 gene:WBGene00082416 transcript:CRE18707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18707 MDSRSHLNNETCRDEVELLAAYKERVKGVFCACMEDKMLILKNENEMLKKSRTSTSIQHTLQISHGNSTDKKEILVLHYIFTTFSIPIYGFAFWVLTFKCPPRFKAYRNLIVLQIFSGIVLEFHVGVIWKVSLPLPWATVCSHGLARQSCGNFRAEVEVDSALDRILLTFWPEITKFHGDKRISLVEVLKFSGKVIVLTKQQTIRTSHLEYIDRRASEYAVNCFQVFVALLVFNGITCLFLFFYRMENASKHTERSKLHMTTNFLKYLFYFMLLPTAVLTILVYPELDDQKEYKVKMERVYFLLKSDSMHSLVLLSGIWGTPFIYVIMIHLSLLFIPLTAYFTLALFSNLSTFSPKELSHFIIIMLQKHGSVSTFAMLMTNNLLKKAVKKTLSWS >CRE18886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1028993:1031332:-1 gene:WBGene00082417 transcript:CRE18886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18886 MLVEIGILPGYVDPRYRRKKRRCKYPAGSKRKAKWRALLRKYRRRRKRKRKAKALKTKSSTTSKTSKSSVSPAEKAEKVKRNELKKRKKIRKKKVRQRKHRLRRHLPGSKERKLQLIGNIMKGMTTEMIEGRLNYLRRKRKEILFKFHKARAMTCAPKRKAIRHFKKCFKKARHKKKKYRRYRRKLQKLAKRKGLTVWRKCQIPKKPKVSKSGAKVNSVTPVKPKKPSKRVEYQRQFPIKPTLNAIEMKSTEKHITGKKRADIDNLYIATNEDVIGNYGQVTCQVRYTQPEQNVQATFRWQCSISFDKCGSKEAQILARLAQINSRNSMRLLFQGQYLNLQYLITTLNRKELSSVIKEKMDISAYVHIIQQTFYCIKDLHKVGYCHLNISPSSFSYSSSDSLSFVFNNFEFANTRESLKKKPEMHNDKLRRLKKEFRRERLPKNPVNPYMSRRQHFRMATGTADDYESWFYVCARILNEEPLEWEKDNDMEHTTMALAKYNFIKKLSYRDPLTDLKMKHINQYCMAATNKNVYQTAYFIERIIQDWVDRKPMKLPAPWQREFDISAKADYEEWLKNNPWMKPRPERKIEF >CRE18548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1011978:1013426:1 gene:WBGene00082418 transcript:CRE18548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18548 MEWLIAKRGDVNAIYNFNCSDKYATPEEFTLKDGTPRPILGYIDLLYGVVIMLLYVPCLSVMLQKDNIRLSCFKIMFLLGFVDLCAIGVNSVTNGILLIEGAVYCSHPLTIFITGALGLGLWCSACMICLILVLNRVLDILFPTLVKRYFAGSRTTMVLLIPVFYGLYFCFFTPPLLFTSRYQAWFFDPFIYENKTLEYQNYPHTANNLFIVVATCMLYGYFCAAIAKQFRKRVESTNKKSHTQIFLQSTLICLVNFVAAMIYVYMQFFPVADFVIVIGHISWQLGHGCPAFIYLFMNTTIRNGVSRIFRLKRPHVHTKTTTTVSMVGHPGHHHHSSSVH >CRE18906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1162163:1162291:-1 gene:WBGene00082419 transcript:CRE18906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18906 MAESEAKREKSIKSRKKEISRVLSKKEQTKLRKALGTSASSS >CRE19043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2000459:2001013:-1 gene:WBGene00082420 transcript:CRE19043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19043 MHLGKKLNIIKWLHALVTTVLLFKKFHSIFTKQNHEKLSRFDHARICHVSLFMFIYWFLSLSSIYSAYLTHLENPTEIFVLTSGFHDTCIMQYLFIFSTYISQFPLLKNYLEAPKVLPISS >CRE19086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2221792:2223046:-1 gene:WBGene00082421 transcript:CRE19086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19086 MVSMNFSVPKWLITYYHLIGVTSVCLNSFGIYLLIFQCKKLGSFRFYLLFYQSICFLTDIHMTFLMQGVPLVPYLAGYSVGFLANWFGVPTHYLLLIAGCLGVFQFELLIICFVKKHQAIAVILKTHVIPKFLEFSGYFICFISLPIGGISFNSVRITEEEKWDYVRKNLTQYYRNFEELHEFEIYIRTEKFQYLFLCTALCGVSIILSISLIVSDIFHMMRKLKLRISTVTYQKHQEAIRSLLVQSLTSVLCIVPVGLLGVFVRIEVTNNGELLVGMCIVWFLAHSSINMIFLLIFFPPFRNFVSKLYKMKPQRLKLIGGPPSSRMFPSITKL >CRE18718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2113909:2114747:1 gene:WBGene00082422 transcript:CRE18718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18718 MLTFYGIEISCEFLTELMDKIPLDKKLKIDAHIPSDFRHPNVSVLSRISLNTVRVFQALKFSGSHYKNGRWITLDDLKSVRNVHYVYLNSTIFNCNDINQFLHYWTNCDENMFEDMELQLDDSVEIDVDVLKNELITLQVVDETVETCFYIKVKNHHNRRFVLCRLQICKSKKAKFTVQEADDQQANKFEILELLEKKKNLEEKNEDSEISEESRRKIAMDIEELMRLIIKKNKNRYTFEF >CRE18591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1280892:1281576:1 gene:WBGene00082423 transcript:CRE18591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18591 MRLVLILTLLASTSTASTATTLSPFQQQNMDLLLNILKDYKVIEEPSHPIFYQGVAFYWYGAYTPSPDHPQTCIIMASHPDWPFVGNIFKNGTLPTSAIFGCHQGKTCRGAKCVEQLSHSNVVTYTLLGVVLFLIVCLFGGEKKTQQQRPMERPCRGPPRDPSFDNIFLPQEHRVRFDLPPRYSE >CRE19066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2098617:2099768:-1 gene:WBGene00082424 transcript:CRE19066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19066 MEISFISTPEFITFSLHIITALETPLHIFGTYCILFKSPDSMKSVKWSMLNLHFWSVCLDWTVTFFIIPFVLFPTVAGFSLGMFNYMNVPLQHQCYLFAALLCTVNVATMTVLENRYYILFGKETSWRKIRVPVLAMNYILAFIYPLPAFLNFPDQLVALPQILQKLPQLPDFILRHQIIVYATELQFFVIPIILMSFLLATEILILAKRMYTKMNSVTYKITMSQKTLSMQRAILIAFLIQVSSICSHLTTLLFQTSTMAVNFLIPITYICYTVAFNYHNQVANNICFVIFSLHGLSSTSIMLWSHKPYRAVCYKFLRFKKSETTSSRAVPVVNMHLNTMNFHSRHS >CRE18772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:156446:158360:-1 gene:WBGene00082425 transcript:CRE18772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18772 MKWVFVITVSTIYFLTFFIVPTILEDGDQYLIMYDSFKNDLADTFFGDPVTNTDAYIVNTYYYPKSKSLGENALAMVLLMNRNTMRDITKYKMHLVATNGSGYSVDIVPKIVVESYSGCAYVNVVATTNSLPNLSKLEITTGETRMQIPFRHARKTAPAPVVICISPQFISEQWQIFVAHAHISRHFGGHLHLYITSILDQYFDLIKEYEKQGYVTIDYWMRMKFERNLNSTEPNSNVEWRNQAGAHTDCLLQYKEAASFVTFFDLDDILIPRRFNTYFDEFSSFFFLNPEIATLQYPKREMMLHNKPNMSDMNFQEIFGHSWFVNREDAGKIVARPSSLNSMWIHRSFNVPDKKLHLVKNNFLLHMQKPFDTDGQDSVPFGMSNYEMMDDLKLNISILEPIQKDFEKLMNSTVVQSISERLPTHSYYFVILFRCYYKKGYMSTCPNSEGCLIPQRSDMKCVHSDANYKSGPQMEPITYHYHENPRWSKDIGCYT >CRE18446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:357138:357653:1 gene:WBGene00082426 transcript:CRE18446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18446 MFVNWAHYTIPKISFVLSLISNPLFIYLICSNKKVLFGNYRYLLVFFAIFNLFASVADLLIPVSVYCYRYAFVTYVTDGPFSVRSAYGEVPLSYRCAFIAGTYGILNVHFVFRYLTLKRYAKKEAGDIKNISLRGILTYDSK >CRE18478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:557420:558370:1 gene:WBGene00082427 transcript:CRE18478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18478 MLNMSRLSFVVGLTVVIGAVYANNRAAVCTKAVNLGVTFYTAKELEPILACADAHFYNDPYDKELVSKCKTCVINNSMNKALSALSLYNGFNGCTDLMALLDKLSTPFINQCKPVINKALNTLKNCKANNKQTGTAKQTACMNKVYGNCIAMVTKAFVNKVCTALSKKMDSKEWNCCKQYAPKVVNAKMYECYNIVK >CRE19001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1825686:1826702:-1 gene:WBGene00082428 transcript:CRE19001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-16 description:CRE-SRAB-16 protein [Source:UniProtKB/TrEMBL;Acc:E3LL18] MTCDEELVEKISNSNVLRVVEISMLILSTVSIPVLFHMFQRCKNSELFHFNIRLISAFHCLCLIIHCVARVFQHGSDLFLYFSNIPVCEKVPSIKRCLFTRIPYIFGLFCASYSTVFMVIERTIATKSYKKYENRQKILGFSLILGQIFMGAATTFAIFYKFDFENAQEFCSVSMTGSQSSDPYYVIVPETVAILSNFLAFFQFGRLFKINRKIRVGDSANNLSQKYQIEENLNVVRILRAFTKCDFIFILIYFTMGIPFHLIGKHMDHAEYYALFEGE >CRE19064 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2095143:2096217:-1 gene:WBGene00082429 transcript:CRE19064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19064 MQPCTPESSYFATPNFLDFIFDFQSIIDIPIMVFGAYCIMFKTPQKMNTVKFLMLNLHAWNAAFVLCFSFLLTPYILLPMMACYSLGAIDAPIMTVYLSLTCLAGSSASLLLIYENRYFTLFGKNYYWRKLRKTVLFFICALVPTFPLPLYLHLPNQENARVQVSEQLGDSCLQPFQYTDRKMFVLSFDKSVLYSLVPGIGIAFTGFGAFSFLTIVNLWFGKTSLVSSKTMKLQKQFAMGIIIQSVFVTIIVMLPSVAFAWTIFGWYHNQALNNFIMIVLAQYGIGSTIVMISVHKPYRDIIIDKLCRCPCLPKSNTNTCHNSFIVMLP >CRE18649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1672808:1673141:1 gene:WBGene00082430 transcript:CRE18649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18649 MIPSTVTQEKKNDYSKSKSPSAPPSINLKYGKMEEDENGVSSTTRTTPRFVTSPMVSSLIAAKCEAEAVIKDND >CRE18581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1257360:1257770:1 gene:WBGene00082431 transcript:CRE18581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18581 MSGTNVGALIFENNYVSMNPSIYTSDFSEVSSPTCYSKIGPQKVRHSKFKRLISYLLFQFIFNASVIELCQVHHVESFIDKSKITKISDGALLHLRFNVNSLKANTISKPIRFFPNNASHHIENMHETVSNKVSTN >CRE18745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2253958:2255100:1 gene:WBGene00082432 transcript:CRE18745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18745 MVSSLSIGSIEPQMNFEIKNFKIEQRVDELYLEKSVSPLSLTNMPDVVMSEILGKLDIRAVQILRKVCHSLRSFIDDTRRNGEISSIKLISNPNSINVVYDGKYEVIYRKHEVGCLVEHQRRAKLFRKETCFEMLLGDLNLILGAQKTLLKMLGIYLREPSTPFLPFLKTFLESMPRPLSVETLQIEMGKQSEVMDVLPYLEYKKLKNIRIYASSGRNEMMEINRIVELDQWKMAEKVTLERWIHRKHFVDFGHLKEVLLSTYKINSEELIELKEMFLNSSTLQQFLFPYLDLHDYQNFLHKKNRYFRMKNSDSDVLNVRHIPTNKIINFNRLKISEVPKDKIIEF >CRE18632.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1544767:1545994:1 gene:WBGene00082433 transcript:CRE18632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clic-1 description:CRE-CLIC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKF0] MSDPVADFLAREQNLFADFDGAPPAGAADVAAEAAEPPAAAPALDDDFGDLQIAGDEPPPVVHPTDSGVDLDGLMDDNVAAAPVIVEPVVPILNGNHGGPASGGSSKGPSPILSTVPRIEAEKIRLWKAQQEQLLAQKDAAEEKKKDELRANAKKELEEWYKQREKTLQLSHDENLKNEKANQELFAKQQDGDAQWETVNKLVEQQKSKSGRDLSRLKTLLSGLKHAGK >CRE18632.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1544713:1545987:1 gene:WBGene00082433 transcript:CRE18632.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clic-1 description:CRE-CLIC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LKF0] MSDPVADFLAREQNLFADFDGAPPAGAADVAAEAAEPPAAAPALDDDFGDLQIAGDEPPPVVHPTDSGVDLDGLMDDNVAAAPVIVEPVVPILNGNHGGPASGGSSKGPSPILSTVPRIEAEKIRLWKAQQEQLLAQKDAAEEKKKDELRANAKKELEEWYKQREKTLQLSHDENLKNEKANQELFAKQQDGDAQWETVNKLVEQQKSKSGRDLSRLKTLLSGLKHAGK >CRE18730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2176346:2178125:1 gene:WBGene00082434 transcript:CRE18730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18730 MHILKCMLFLFSIASSALLIYFQAFTSVSFSDDDRECIRNEWQKSSLIDGSQDFGADFRISFADTHHLFKWIHLPVLPVPGPEILMIVTSRPDNLSRRNILRNSWKDMNKRMKYLFFIGLGGEIGQKTNEIVKKEAELYGDIVITDMDDNYLGLSYKVGYYSHILLLEGQNSESNFQTLSLLLFSFSKSPSSQLIGKIDEDVMFFPDQLIELIDNGFIDMKGSNMYGYIVEAGAPVQSECAVLKAPRTSYSCTKYPEYLAGPFYLVTNVAAQNVLKASKHRRFLISEDVLVTGLLASDVGVRRTQLPNLHMFPDVAHGPVLAWHTKLDGSKYLEKYEQILRKQR >CRE18452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:381536:382764:1 gene:WBGene00082435 transcript:CRE18452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18452 MNRNSFVQWFFGRELMNTQESIKMRLKKYDKLSELKKKFANGFVQFQQKLLKTDEPKHNKTSLVEQESIDSSKLLDPAMYNVVVDVLSSVQSIRQDLTSQEHLKRIRKEWQMLARMLEKIIMWIFIISTVLFASFMLYDTQEPPFITNEVMRSKST >CRE18847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:728364:729229:-1 gene:WBGene00082436 transcript:CRE18847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18847 MRNKFMRIRAKLLSFWIIGIVQWELLSSFLEDLKSLGFQFPELIKGNYIDPYISSSNETEKNVNCRRINLEFELVDPKKSEEASITMAEKKISYFGDLDDWCDETGYFNLSRRFPSAKQLSKEHDDLFGVKQNKNSVNDCFSLFRKYFHLFQGIQTPIIFPLNSIILQIIGSNTMISNGKWQRTDNVLTREWMMQEDKLVFHQVVSQLIWKVKTTR >CRE19042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1997746:1999764:-1 gene:WBGene00082437 transcript:CRE19042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-256 description:CRE-NHR-256 protein [Source:UniProtKB/TrEMBL;Acc:E3LLB6] MSRGNCKVCDSPNATNFHFGAHACKACAAFFRRTVKTGQIYTCAGDNSCEINHALRQNCRQCRLAKCQEAGMKEDLVQGQKVGISENGTMKRSSPMEEQPSTSSYYDHPTPPGPSESQWDNHYHQPPIKSMRHEQEDLMELEEFQQFIQIPTHTSSSMLILPVTPVDNLEEEERLYGLASLYMEQLVNLNMRRRLTYAKVTLAAMFDGPCVCVSEKSNPFEISDLRPFDHRSYRYKNRNDYTMILDYVNRFPEFHLLNKSEKTVIFQTTAAVDALADPAYYSQVMYPNDSVFVTREGKFIRMDPLPSTELDLDSGVYSSEDISMYKSMVLMIRRQWKNVNLPLKKLKMSLSEFSLFKALTIWHYNYYKLQDTGRQISARQRDDIFRTLLLICKDEGHDDPVLRVSEIVLAVGIVMTEVHELVTSLFEIIVFDDVDDPILKDMLKFQY >CRE18420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:182295:183123:1 gene:WBGene00082438 transcript:CRE18420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18420 MSDPPPIVPSVDPKLPYACVNDLIRLVRQMAPHATQWQWNEFGILARRIELSHEFYLKKAYSSVEKSSSCKSTADNLLVKPAKIDCNETALKNSIGKVFSNVKEIDKKNSVMHLEIEKAKNTRMVVEESDSQKDNVTNFITMNADIISLRNVVNKTLYKYELMSKGLESAMRTNINLNGELDIFHAKAINTAMEFQDIEDRVQCCIAELEFCKYEIQAKRNKEKYD >CRE18761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:96395:96466:-1 gene:WBGene00082439 transcript:CRE18761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18761 MGIECQLMGLLKLEIYKDSFKPE >CRE18448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:365312:365927:1 gene:WBGene00082440 transcript:CRE18448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18448 MLNLLAVFSLSLLLIPTEPCAPGSGTLGTKATFEYEMFPPISYTYFSASPYPGQIDETFANYEVKSDVQNSINEVLQSNSIPTNDVNPPTVQYTAPEAQISSAPNCTLDIANQTLTANGRVTHLCKDVDGKATAELFLRPLVVQITAVSAIYQSQWTALASQVADKLRTRRSAEFYTDPIVVVSN >CRE18489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:675201:675675:1 gene:WBGene00082441 transcript:CRE18489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trpp-1 MTIYNVYIFDREGQCLYYDEWFRTKQSGLAPIQEYKLVFGMMLSMKSFVDRLATNDSNQTVNYYKTSAYKMTFLESATGIKIMLNTDPNATGIRDLLHKIYQAWTETSNSAAKMELFASNPPNENFLKSRVRDIVMKHQAYV >CRE18795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:359486:360459:-1 gene:WBGene00082442 transcript:CRE18795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18795 MSSLDNTNETGDKSADSVINPKSLYFSTENPSDTVTSSRIMARAVFLAFSEILRRRAILPKDYFAKTNITSKFSSRMLSSSGRAIKEKYLKELSLVISNEKDDKEAIEVHSMKFQYLKNGGVAAHYSTKNQKGRPTTRKRLPKIDQIGNTNNETIHDQLMGIINSIKKITKENLSPLPNDFAVNFRVQYTDNAPTDYKIDGFAHSDVFYSLPKNIQSAKIGNLRSDYHEVFLDCSSVFMKEKFFKMPICSPKSTVRKQPSPSETPSSLSLGTKFMNEL >CRE19010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1849414:1850139:-1 gene:WBGene00082443 transcript:CRE19010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19010 MFKFIKFFNLGSYLVHCQFIIFCTACINNSVLSIRAVLVIIITFDRTLAVFLPITYRKSRQNISNSVIVVLVLCYPIVCNIVLWIICDYSYEFVPGCVSSGCLMNQCYVRYTLSFEVVTHSIIASISLLLAIKLFIWNHCTKSSKSKDIERANYIALIDAFIIIVFDIIPTTAIAMIPSKTWEDYGSPFICLRMCGYAIEGFLVRKALKRRKGMVSNFNNSTSRVV >CRE19091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2266185:2267605:-1 gene:WBGene00082444 transcript:CRE19091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19091 MSFSTERTKKRSSSVTSSGKSWAVQMNEVRLLRKQESEPKKAGQARDTKEVPVPSRLEVARKWSPRDSDDEFSMHGRRGEFSDSQRSWGEDWKSGRSSKYSAGNEVMMGMVASMGRMMKASGLPEPKTFDGTGDFKEFKRAFLLKYQQVTDEDDELVAVLKERYLKGAAKSLFKSLGDRQERPIAELLVEFERNLRTRQGDAKAEALHEFDRLQKAPGQKLWEYLVEVEKWSKKAYPDVKAKAARNIPNPEVEKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAAQYDQLKDIVVQRENEKLRKQKERMGRLEDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKFWSIDNRYLVRMN >CRE18434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:267644:268231:1 gene:WBGene00082445 transcript:CRE18434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18434 MSDPFAPQANDQQSYLAALEKRLKAVKDKKKIDSKSILKDIESLKNDQLFKLLSQPDKPTPIEEKFDDDFVPQDKPIKPSLLRQKVAPQTCAINKNELAHIVKYDLTQKLHEFYCQLDESVEDRQESQELLRELQELSNELEHQKLEEEVPEKEEKGAQTGNESEKCVKESLKESSEAF >CRE18642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1643427:1643875:1 gene:WBGene00082446 transcript:CRE18642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18642 MRSLIISSALLVILVQCGYPVVENSYPTTPSYPTPPPSYPVPKKYPTAPTYPNKPSYPSPPTDYAQKPSYSAPPAPSTYSSSSFTDSDATSDSEYTTYAPTSEYRKRAKARTAHNRQFQRRALQPIRRFQQKKQ >CRE18582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1257792:1258026:1 gene:WBGene00082447 transcript:CRE18582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18582 MKSIFGKTPPPVSLKSLDTFNMCEKRSLNEGTCHSATCKSDMDAVHEWVYDRTEGVFLAGEY >CRE18445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:353929:355294:1 gene:WBGene00082448 transcript:CRE18445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18445 MNITEGRARGGNELRKTTTCSTQLANKRSDFGEFPVSVRCSVISGTYSILNVHFVFRYLTLKNNHILNEYFMPYGLVFSVIYVILDMIVWGSIDYFCLHSAPDMRDYIRASFKELYNENIDNINFVAGMFSFS >CRE18775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:183360:184941:-1 gene:WBGene00082449 transcript:CRE18775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18775 MCWVVTGHSFLYLALGDTLKPALDFPNHIWNHLLLSGFVSVDTFFVLSGIVVAYMFFKTKPSKKMISNPITWVLFYVHRYLRLTPPMMLFIGFFTVYAPYIQGAFSASQLNVILPQANLCKTYWWHNLLYFNNFDKTTETNSETCYNITWYLAVDTQLYLIAPIVLIALYFSFAAGSATVVTGCVGSIITTYILYGDYNLTADGFGNGNGNDFNRIVYSKPWIRCPPYLVGLLTGYLLAIYGNRKIRLNWVLCICGWMLAFVIAGFCLFSTFDYDKGTHWNVFTRATFYNFDRLGWAFFICWVVGANHMGWGGPINNFMSHPIWQPFGRLSYCAYIVHWMVLYYYLNVGGPLHFYSTWEHVGILSLVYSITIDHLAEVLTEVLIKFLGRIFHNCISNSNFSSIRNFQFLYVSVPATLLAYVLAFFWSCLFEIPTLKLEKMLIESLIGGVGRTSLKVENNGKPSGTESPILTKKEAESPVWGIPIEESNREAEKTTTFK >CRE18408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:93567:94035:1 gene:WBGene00082450 transcript:CRE18408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18408 MTNAIHPFFLYISAYFSVLISLLNFILHVPKLSIKSFSAYTNTHKIFRIVVLITAFLQKMFMFCCFSKTKHTEWLPPIVMSQPVPFSTPTRPGFKVAPEPPQGKGPSIIYENHFNKNEMPIGFYVSDLSGSQAYPS >CRE18686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1893426:1894217:1 gene:WBGene00082451 transcript:CRE18686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18686 MVFNRPAPKRKQTSSDEDPPQQFVPYDAYKVLYDRVVTLTSLVNQLRGAIVDSGQNKLALAVAESCELLPDLPQIADPLIHDHSAADSHVFMGTSSKLPQVPTPIAPVTTINSLDIAREAAKLLDKATRVVIEKMPDNHDNPNQDSQDLDFFQKLAIANRLPSPKKAHRHQCSSKFRPLKLQFDSSTDRDIFLHGYHKIRSTDKSLLDIATKPRARRDLTKPELETLRASRKFCYDQNKIAGESKFIMSDINYKVNSKPRPFI >CRE18701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1978843:1979116:1 gene:WBGene00082452 transcript:CRE18701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18701 MNVVLILLVVFSTSIQLAQCQECTTIDSCVDGKVCIWGRCRDTGKPTEASVIQKRSIGFKYGYGSAVVQEAALTA >CRE18794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:357742:358215:-1 gene:WBGene00082453 transcript:CRE18794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-msp-152 description:CRE-MSP-152 protein [Source:UniProtKB/TrEMBL;Acc:E3LKG2] MKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVECTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE19029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1951092:1951976:-1 gene:WBGene00082454 transcript:CRE19029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19029 MSATWQSLPLLFKTSVVSNLDLKSRRSLLFCSKQDKELVDKCPVVLQSLEIGMTHESRARIIIRETNGTRIDVENQDMFSIIQILQLPYLKTKELIILCPGSEIQQMRNLITEISSLINAKKLVKLRTKQFYWRCFPDEADEFIEFLKLLNENELEIIHNDMNNFSSDQMTEVGGMKQWMNAKEIWLGNGEVSSVDQFLHVHNCNVYFSKLKVEDIWKMILSFQSRNLPIGSSFDLFTENPPKLDDVFKMYNVVPNHQPIIPE >CRE18811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:413142:416249:-1 gene:WBGene00082455 transcript:CRE18811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18811 MSGPVQCPHHPDVHLIEDHRAGDLVCPACGLVVGDRLVDVGTEWRSFSNEKSGNDPSRVGAPESPYLSGGDLSTSIAVGFGGSDSDNTLANAQRKTMNNTDRQMTTAMNLIREMSERVHLPRNIQESAFRMFKEVLDSGVLRGKNIEAQAAACLYIACRQDGVPRTFKEICAISRVSKKEIGRCFKIIVRNSSTNLEHVTSADFMSRFCGNLYLPNSIQAAATRIAKRAVDMDLVAGRSPISIAAAAIYMASQASAEKRTAKEIGDIAGAAEVTIRQTYKLLYPKAAELFPEDFKFETAIEGLPSS >CRE18771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:154422:155604:-1 gene:WBGene00082456 transcript:CRE18771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18771 MELKTIKLIFFTVITTIYLLSFFIVPPVIENGDQYLIMFSSFKKKLAEAFFGDPITNTDAYIVSTYYYPKSKSLGENALAMVLLMNRNTMRDITKYKMHLVATNGSGYSVELAPKILKEAYANCAYNNIIVHSTVLLNMNKLEIVDGNTRMEVSFDFHTLSLSDLLQIPFRQPRVTAPAPVILCISPQFVAEQWQIFVTHAHIAHYFGGHLHLYVTSMLESYFNLVKEYEKLGYVTVDYWMRYKFKNKTFDSPEPNSNVEWRNQAGAHTDCLLHYDNL >CRE18631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1531765:1533698:1 gene:WBGene00082457 transcript:CRE18631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18631 MNKYFQLGAVFIVFLFLFDILLNNSSFSSKVQYPCFSTKNEYSNNAKLDKLLSEIDVVGTTVEPTVEPTAEYKIDGVDIFHWYLKKTAGNLLQNAPNISTLYAYEFEHEITVTTTSWKMIRLVQMTFHIQFHFKHVFRLRVYCRYLDKNENEFGDPFESFTYPEYIVSCPKREGTKRIGLSVTPNGEFIPLPLVDRMLKKPKYELSMCVATLYGSEAKWLLFIEMIEHFKLQGIQHFYIHIHNASNYDLKVINDYVRTGEVEVHYLLERDQRTDNHWHMVNIAVIISMKNSLNSFRFQDCLIWSRGESKWTIFADLDERLLMTEYPGTILDYVREIRNESIAGIQFRQQWVLKTELMPETYEGDSQIDAWMPTHRFHNSSAIGPVGHTAKCIIDTSKVFIMWVHSVTEFFPGKGYALHKLTPEEGLVRHYRDQSLGNWGEKWLNETLKFGPLRETDYPKKYLGKLTENVKRRAKYVYGNEFD >CRE18967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1666091:1666378:-1 gene:WBGene00082458 transcript:CRE18967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18967 MTVPLAFDQPTRPLDDQLPYFSVLIRMEERRNQHSTLLCSEAIDRNCRPLSMVSTAPTTVSAPNPAPKSTSSTGNTPMEIDEVKEVKKTKASSDG >CRE18498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:721073:721490:1 gene:WBGene00082459 transcript:CRE18498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18498 MEKLRTGEQGFTRELNDSRSHKETVHKVLKDYTVDLSTILNNNSICIHHSKKKKVNLDNLEKQMEILKDLRNSGMKIINQHSVIERQLVQ >CRE19044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2001949:2002985:-1 gene:WBGene00082460 transcript:CRE19044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19044 MLEETGSFLEYPQVNFSIRVQSDVFLPQEALRFLFIYVLFTTGLFLNLIFKKRRWISQYFSHLYYFILISLPIDILFHISTQHPWILNWISFNGKYAYFVVFSLMYIFILFYTVSSTIPHSVPMCYSVLINCKITAETRLCGFQITKSRITTFLIIFICFINLTRNLSDALKHPLIHLSNLPYQDKCLYFHYHLQNGRTIHFDLLRFIPATITSLGLFKKTHYLKTKCNILKGSEYAHIVYIRCYLLLFFISTIAYYVIFHLNQLPTDSNNCVLSLQDSELLRYIFVYATYIASRKKNQKPVIMHSSVTPVDQ >CRE18912 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1188741:1190117:-1 gene:WBGene00082461 transcript:CRE18912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18912 MDFLNFFGSLVVLVEALLGILFSSCVIFIYFSSTSDRTSFNLICLSRAINNIIVLFFNFLILEFPTLLLSQNFYSIKIETLIIIITVNVYLVNEFQTILIAVNRFIAMFVPLFYHKLFNNKITLAFLVALYLERGYSSVTKLWSLFENNCEVIWGLDRFSIMYYDDSCSVRFPTGFDSPWIVFLPLGFFAVTILLNLMTFAKILRFYLVGTHNADSESMDSIKNNIRLFFQTVLQDSLFFVDVLFTFKLSSMSTHRLWYFISTVFVWESIHMLDGLIMLMFSDRISVLKSKLGKKSSNRVSGRGNSEFRAVTISHSMPDIN >CRE18774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:179962:180474:-1 gene:WBGene00082462 transcript:CRE18774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18774 MSSRTPATFNPNNPIKPEHYMNQLIRIVQGMAPSATQKQWKRFGITARNIELSHNYLIEEATNRYMELRLQKSQKELKSLLDQVEKKKMEIANIQTEINTHGSSLF >CRE18546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1007070:1008227:1 gene:WBGene00082463 transcript:CRE18546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-15 description:CRE-DHS-15 protein [Source:UniProtKB/TrEMBL;Acc:E3LLH2] MARFSNKVAIVTGSSSGIGRSTAVLLAKEGAKVTVTGRNPEKIRETVDEILKNGGKSEDVNIVIGDLTESECQAELVKSTLERFGKIDILVNNAGAAFADPSGKVGTESNLGLFDDMLKLNLRSVIELVQKCRPHLIASKGDIVNVSSIAAGPSPFVYYTYYGVAKAGLDQLTRCMSIEFIPFGVRVNSVSPGLISTGFLNAVGFPNDAVRKTEEYYASQRDCIPAGRTGKPEEIATLIAFLADKNSSAFIIGQSVVIDGGTSLALGMPSHDLSKVL >CRE18892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1084613:1084852:-1 gene:WBGene00082464 transcript:CRE18892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18892 MRNWKKLDPFLMKEREERVLIWWYDLEGKEEKKKKESFVDHFVFRPFHSSSSFELKKKKKKLEDQVQNEKKRERDALYA >CRE18804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:401265:402496:-1 gene:WBGene00082465 transcript:CRE18804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-27 description:CRE-SRT-27 protein [Source:UniProtKB/TrEMBL;Acc:E3LKI2] MNNIIKYGSIAEIPLYNCSGRTPEEWSAFLGVRRPIAGVIDMTYGISMNIMYLPILAVMFEHENFKMSCFKIMIFLGIVDMLALWVNSIITGFLAYKGAVYCTYPYLIYITGMAGLGLWCCSCIIAMSLVINRLLDLTKEAWCKYLFDGWRTYAILTLPIIYGSYFVFFTTPIAFSSHHLTWFFDPLIFPNKSKEYTNIPHGFNNLLVVAVTCLLYASFCCVLGEKVREIEGPTKNSSLSTQIFFQSALICAVNQIASIIYVIMNFIEVPLWLVMLGHMLWQTGHGAPVFIYLGLNKTIRNGVLKRLGVKVETESSVAPVN >CRE18994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1802375:1803532:-1 gene:WBGene00082466 transcript:CRE18994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18994 MSIMNLSAVIISILGVVFSIFTSIMNIYLLLIYRRKKDDILIFYYRFALDVLIGFTITVFLSFVIIYALFTEDLLGYHNLIVYFAVPASAIGAVRSIITLAISVERLMATYTPLFFHNYRQLFSPVLIMTVAVLFGLTEPSVLFGFCNYTLSIQRSCAALGCAVNHCFFIYWATHKMVVFAFIFSFSILVCLKLFVLNRSNGHESVQLSRINRLALIDAGIICFFDFLPNIVASQFSSHPFFSFQNIGPYGAVSKIFGCAIEAFLVFRTLSRQNALHESETTFKTKIRRCRF >CRE18782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:250972:251462:-1 gene:WBGene00082467 transcript:CRE18782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18782 MQYRRAGSGVCVLDGYLYAIGGFDDNAPLASCERYDADIDKWHTLANMASPRGGVGVAAMGGKVYAIGGHDGSRYLNTVECYDPVTNCWREAADIQECRAGAGVAWANVRMHQLGRSSSNMCDSGCAPSGGAYCI >CRE18477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:555449:556392:1 gene:WBGene00082468 transcript:CRE18477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18477 MAKFFTALLLVSSIGVATVHAAAATRAQVCLKAVNLGLTFYTAKECEPILACIEPTLYNTPEDTTALIDKGKTCVINNSMSKALPALSLYNGFNGCTDLMALLDKMMAPFQTQCKPVITKCLNVLNKCKKNNTKTGTAKQTACLNQVYGEGIAMVTKAFINKVCTALSKKMTSVEWNCCKTYAPKVVNVKPYACYNIEK >CRE18819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:496549:497043:-1 gene:WBGene00082469 transcript:CRE18819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18819 MSRGRRNYSDGSYGQPRGGGYESGGRRENFDDEDQPRGQNNINRGGGSHRGYQHSRGEFHHSDGRRGNDISRQMGQMNVGRGGNHGRGGRGGNMYSLQGPPRPRFERSSVGIANRGDLAGSAPRHFVTSHFGTRPFRHQPFRHQPFRHQSFRHQPFRHQSFRHR >CRE19006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1837961:1840485:-1 gene:WBGene00082470 transcript:CRE19006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19006 MSDKTSSKQSTSKVDDNRMIVVETQMSVRERRKKVKKFTKLIASSVKVEDETRLQLGELTAKCSEEEADAILEPMRSFHRELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREAAGMRKREMLGEQIRKIQREKEVAEAQVSRLEKALKQLRKTQELEERKPKGLWDEAQGSKSWYERVENWDFERSRKRGGEDAFSRKTSSQSGSSQVNDMVQCMNRMLKSSALPEPKTFDGTSEFKKFKRAFLLKYNHVTESDDELVAILEEKFERSIQSLFEEFEQKLRKRQGDSKIEALNEFEGLEKRSNQKMWEYLVEVEKWSRKAFPEVKQETLSQMRTTKLMKAVRGDETLHKMLIMKRFELSLEEQYDHLKDIVLQQENEQRRGNSQKSGNSEGWKERQKAENDGEKDVAEKESGENRYWREQKCFSCGGVGHLARQCSPKPVQSVEVRGKGEGVGIVAVETVMMLGQERKMVIDSGAAVSVMSTGAWNGLKKGCRNWMEVVKRLGKPSFEVIDTSKKKMRIIQQIEVPIQVRDRKAEVVFQIVENDAEIMLLGTNAFESIGVSVEWKQERTNGQQKKGKRDATSSEEKKVFMVGNLGIRMEEKKEGMKPNKTVIRESKILITPRINVKGKSIFEYRKKSIVFLLELTEDEETNQKLGDLVRKLAEEVKEITIIPYKMDCAKSGLVESWKRSWITAGNVEWIDSSASGKTVEKLKTWEQLLEFLEARTTENVVVAQLRKESVTLEPRTKENKWSHQ >CRE18651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1675458:1675868:1 gene:WBGene00082471 transcript:CRE18651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18651 MVDLLILELEYNPKTDELENKKSVENDYINLDVFIQKHTANEYMDEIFVWERIEHRFLFFQSISFVFI >CRE18840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:656081:656422:-1 gene:WBGene00082472 transcript:CRE18840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18840 MLRRFSKKNKNPSSSEEGSSSSSNKEKLKRDKKLLTKFLDFITSSIWSIPIASFIESQSVVFDRQQMETEIYVEVHKEYSQLIDTLVSHYSVGKLV >CRE18678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1852319:1852732:1 gene:WBGene00082473 transcript:CRE18678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18678 MFRASNRLARNTPRYPTNTEFSKKHEHSAYRGNSQDARNVQNPETNLERSRSHNNESVSNQQRFLQNYPRFTSESNHQLVSKVNSNIDQTTCELCQGRHPLSACTVNKDVLMRHCATTERCMEFTSLLYAIHNSLYV >CRE18791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:326291:328012:-1 gene:WBGene00082474 transcript:CRE18791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18791 MVVTCGKPLQASTPIILNITFDECLQTCWADSNCVVIYDTTPTCSYYSIELITTVQKLPKSSGKRVAFRLLMRNKTCSNEDNEPILRDGTVKSDVLQGYEKYTVNKYVPYNITLKNDVWTFSPRDPPYFCFPPLIPIRRNNVIWCMGLLSSSDCINRTQAADVCQKSFQQPLAGPANAAEYEYIQTTGMNFMNNPSPGSVPSGYSMLGFWLDGTRKPDCYYPQKAGADCSENNAFSFLDTNAQKPFLNWLPDQPDGLWRQSNADCVYFVARNGYAIGIDDMTCSIRIYPEMSLCMVGYYCGSLLGPDTWT >CRE18725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2161756:2162959:1 gene:WBGene00082475 transcript:CRE18725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18725 MDSFPEFKIHAERLVLLVRDLETSVDVVSRFESGTLHSLYLRSGSEYIAQSTKLIEHLSNTDQWKLATYINLIAFQPIRPADLKWFYHLNVFLVNLASLSGKEIQGLFDVVSKNSSFETCTLRMSSQTSHHELLKYLPPDENVSEYYYQYPSSNPQECIEFHFIKKKIFISRIPRS >CRE19059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2083769:2084807:-1 gene:WBGene00082476 transcript:CRE19059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-28 description:CRE-ZTF-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LLJ5] MDFPVTKQKRVEFLKRPDLQGTYVCGSCSHVFVHASSLNRHRRNRHSGEHSCLLCDYKLLENEDIHIHMKTHHNLFGTIMCTCCNYTFESKSQLHDHMTSMARTGSPGSTMPIAKSDNAPGSLSQAVVQSKTPRVIKTPARPKKKSGSVTPSSASSSSSEAPSRASSPPPHQKISQVTTQTVDDDKEVEFTKLLHDAVAKILDGGEYFGDLLTLPETWEEIVKKATAVVNNNIEEMEAGKKIKQHQQHKRFKL >CRE19089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2259582:2260181:-1 gene:WBGene00082477 transcript:CRE19089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19089 MTNFENMPQLVMNKILEKLDLPSILTLRNVCHDFRNFIDSIHLDCDLTRLEISLGPDKIYITYSTPYKQWVVSYTNLYSKGCLVAREEIRNRSVKRLQDNNFIEMFSKDSEIILNIFGTKLNLNRFELRKKYAYEEDVERFLERLETILKTYKLKVKDEIIDVCFYSESSQQ >CRE18468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:491395:491857:1 gene:WBGene00082478 transcript:CRE18468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18468 MSRYFLLLLVFNVITFEVHSQYIGGGCGCGIGGCGCGQPTIIVQQSPPQYIVPPPPLQSPSVQPLPPPPPPIYAPRPPHPPQPIYHQAPPPSPMYYQAPSLYHQAPPPPPPPIPPPPMQPSPYYPMYPTATYSGVMYG >CRE18524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:920003:920357:1 gene:WBGene00082479 transcript:CRE18524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18524 MEDSVTLKSIQTDSTSTTNLSEDFSRPSYGDPLTPPPTIRKSWYVKMQNRLATLAVFIFVFCIVISIILILANWHKI >CRE18766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:143298:143961:-1 gene:WBGene00082480 transcript:CRE18766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18766 MPAHLIEVVRNPNYRPEAPRPIKYYMCQITELFQKAAPEMTQEQTLILSKIQGSISSANHHFAKQAEEQCKQREAEAVESQNSFESDMNARVAELNTALPVFESEENDSLQMIEEGIRLTHQITYKKNMLENIKEFQMGAFANKCKLDLGIEYETRKLNMTQDMEIMELQNELDNLAIDLALKS >CRE18661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1710420:1712131:1 gene:WBGene00082481 transcript:CRE18661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18661 MADSASPEIEGVCVEGKNKDIPSKPKVQWIPWREKYDSNYISRCERERDEAASKARTNCLWNMLAAVMAMLVVLCLTKSVFELITSLDKSWIRYIYGIEVFLCAAVIIHLFWERQRIKTEPLVKTQDMENAHYDSEEIKSIIKQTHALKNHHTFWFALLTTIITSCFWIFTAIKFKKAESKEEIRYAWAIYFSLLIPTNVYFKYILFPMIIRPLVKFLYPIHASESF >CRE18453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:383537:384894:1 gene:WBGene00082482 transcript:CRE18453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18453 MLELGTDEKVFMGCMVSSFLVYHTIRQIYAYVHAKSQEWIPIGTVKSLHIHPIKSCKPIDLFAFKCTKLGPVMGELEDRAFVLVDMTTGKFVTGRTQPKLVHMECYMVDGVLEVTVPGKPKVTVDLKKVVENGQIVRAAWLMDLKQDGFDCGDEISELLCDFLGEKDHRLIFNKQGEHLYTERTCAPTDEWWDKNPVPKRRDDSQFTNLAPFLICTDASMRDLNEKMEKKISISQFRPSIEIEGCPAWDEDKWAELRIGDAHLECMAACPRCVMTTVNPDTAEKSGENQPLKAMRGFRVAPEGSMRKMYLDNPIFGVYAGLVRGAYIHVGQTVWAKYKPCAF >CRE18404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:55683:57001:1 gene:WBGene00082483 transcript:CRE18404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18404 MDWFYFLGFVEFLIIIIALFSSVYFVYLIAIATFLQATSRPFLLLFTVSLFFLALTHGFQTFEFLIGVRPEDSTSYTSSMRMYVWSLLHEFAFALHTFALFAFSVDRYITAKYSIAQSLRNRIFSISIGAVISILSMIYAQVIHLVNFHIIAITCLNIVDMIALIVLVLSSKFSIKHYKNTAGVTSLEQRFQISDVYIWTQALIPPACLAFLLKFTYVIVVWMLQTVEDSMSYQITFTHFFQIVLDLFTIAIPFLVSSNHRRLNKLKLRNRGRVNPIDPITHVKTLDGKPIHLKTTFHDHFDFLKNHWK >CRE19081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2185465:2186092:-1 gene:WBGene00082484 transcript:CRE19081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19081 MSRDLLKSTMYIEQIASKSEDMWTSNEQEQVEKLLKNPKNFPKVEPKAKKQKLADRLDEEIETGIRLLLEFAGFEDISETTLLKLKNVYYQRLITSSRQLSAAERRKKDGLEIPYKSPIEWTLKLNGVQRVLEIKEWYDVIYWRRYRELKETFGEKVIKSE >CRE18773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:159618:160827:-1 gene:WBGene00082485 transcript:CRE18773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18773 MHYAATPFSADRPIPVDHYANELNRYVQGIVPNATKDQIKTIEAIATNVKSSHAHFQQKLSCQNNEFEQKLKLKDSKNDALRAKLNRLEKKFEHMEIEKNIYEYNLVCNDQYEDYISNLKDEFKQLSCKTEKVEVERDDYKRSCSISSEKIKMLESQVARLEKTVKFLEKDPNHYESASLNTPDNVKEFDGRLSNCNNRPEVPLGRNTERFSQNTNWRDCREGNTDFDGFEKKDLENGNPEIQKLRADNTNLHRINRCAEKKIDMLNETIEAMKKKISRSY >CRE18882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1017253:1017978:-1 gene:WBGene00082486 transcript:CRE18882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18882 MTINGTPVKAPLTSEVVHEESTDDLLRQIANLKWKLAEKEVEIKAKDRKINELNLALKETDKTHMERFQFIEQETERRVQLIEEVCEQKIKDLMMKSQYSERDNGMQNESQYDIRDGSVSPQPTNDFDTISCANAFGAMSDKLHESEQRVIYLQNCLHTQIKWIEKLFSDNAILVPNMLIHNQGCLPKRVQMKLQYIMGYIKEEDEASWVQPPTEVRMMTMNQRMNDDVDNLQWRLRDSKF >CRE18982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1766738:1767847:-1 gene:WBGene00082487 transcript:CRE18982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18982 MAKTIKEYYSINYTECDIDDSFLGSWQGLAYCSHLTQLIAFPVQLLTFYLIFYKTPKNMKCVKTPLLLTHFFSTLLDISIGVFGTPYVFFPTCTMFGVGLFNMLPIPLFILVLLGMTVVIAMAVSLIYLFESRSSSLKDNKYRFTNHRNRIVYYLLNYLVHTQIMAFVLKLPEDQEAAKLEVLSSTPCPTKEFFTQPVFVLLCDRFWSKFLSLFTVPILAFFDCSQITFFMGCLIYHLYVVPSFITSSKTRKLQRHFFIGIVAQTGVPTTVFLITYSVLVISYLMDSLTQGMMNMCVVIFGIHGFVESCVIIVVHEAYRKEVLRMFTPQKGRSIFIFCTTNRTLGK >CRE18926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1313020:1313404:-1 gene:WBGene00082488 transcript:CRE18926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18926 MVIRRDGFRLFIGSASLHFIFLFEQEMFSPKLSLLILLVFVTIVACNFIESDVYRFPDNCEFEKSVEKREVDTSEEAVDFPDGVFAPSMESILEYAEQMAEKKMDPVTF >CRE18945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1468588:1469622:-1 gene:WBGene00082489 transcript:CRE18945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18945 MVIRHLPYLSLGLDILKELLARVSEQDEKISQPFYQRYYIDLLKHVLAVACDSSQVHVAGLTYYAEVLCALFRAPEFSIKVPLNPENPSQPNIEYIYEHIGGNFQTHFDNMNQDQIRIIIKGFFSFNTEIASMRNHLRDFLIQIKEHNGEDTSDLYLEEREAEIQQAQQRKRAVPGILKPDEVDDEEMR >CRE18646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1652912:1654009:1 gene:WBGene00082490 transcript:CRE18646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18646 MDDCSASYDPKKVKKEVLEYEEGDEEEVEEEESDSEGSSAQALRYYEDVMKDLNVDMDDLEETIKNYGTDAETWINGQAVRNVSQVHANNPWTRNKAAKETTKYKQMLLAQKRKAIQQFHEMAFTNDFRLNPGRSAKTKVAHCGYKEEEDSDIEVIKVVPGEHKSKSKKKNKKKSKNGRK >CRE19004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1832532:1833604:-1 gene:WBGene00082491 transcript:CRE19004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19004 MYWVVISVSVISTVLAFLSFYIYFKLLSDLFISKKLRKSSSLTLFYVRFFVDLFMSFGSKNQTFLTHLDNSFFSDIIMLFIAFIKFSRFHVFVDSHSFFIFHIVWPLVNLLSIRAFLVTIITLDRTFAVFFPVSYHNYRQKISNIPIIFLALSYSGIENLIFWVICSYKLNVPPGCITMDCLGNMCYLSYFLGYEMANRLALIDAFIIFSFDVTPAVILSKFDTVHFEDVGPIFAFTKMGGYALEGYLVIRALKLKNEPEVNNGKSLSLVQVKPSGAS >CRE18767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:144643:145357:-1 gene:WBGene00082492 transcript:CRE18767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18767 MADKYADENIVFDKKNPQPAAHYIAILTKFFNEIAPNATQKQKDTLTSLGKSTIMVGIANINKINQKSEIELDGLKKKIDGLKEKLHTADLAKAYSLNKALFHTKNNSHQKIDNEAKKEEYEKIDEEIRRVSKDADAMSEEFHNNLMDHKEKLKMMEYEHQINVAEYKKKIESIKIQLMMK >CRE18627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1519800:1524441:1 gene:WBGene00082493 transcript:CRE18627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18627 MKKRWLIIVVSNIILMLLVFYKTIFSESSPEEYFVKHSEITVDFSDEAESTYPVTPFVYPLFSYTIDGMDRFEWYKRQMYLNMNIHKAKIASNISTLYAYEFEHEITITTTSRDRMGYRVYCRYIDENDMEIGEPFESFTYPEYIVACKKRKGTRKIGLSVEKNGEFQPLPIVNRMLNKPTYELSMCIATLYGDEPKWLMFIEMIEHYKLQGVQHFYLHIHNASNYDLKVINEYVRTGELEVHYLLERDRRADNHWHMVNVADCLVWSRLESRWTIFADLDERISMTNYNGTIRNFVRNVRDESIGSLQFRQQWIIKHEFMPVTFEGDEKIIEWMPTHRWHNSSGIGSPGHTTKCIIDSSKVFNMFIHNVIQFFPKQNGSYVEVGVKPEQGVIRHYRDQSLGNWGEKWLKGILKFGQLRNTYYSKKLIGKLTENVKRRAKYVYDNVD >CRE18806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:404480:405655:-1 gene:WBGene00082494 transcript:CRE18806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18806 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LKI4] MSADTITFQWKDSPEVPSKTRLAICQLVYGVPSVVLMTFMLIFLGCSKNYSSSFYRLVQVDLLTNIFCWLNTWISLRSSEFPFGTAYVKVLLAYIPWLWNLSALLLNFFFHMQFCSAASMSVHRISSILFFNDYEKFWTRFFIPIHLLFFFYSWIPQLMVFGTGPEMNLVNGSLYYTFHPIGTTSFQTGVYIFSCVYFVLLFGFSISVPLIAAYKFDGAISDSNVSKKLTRIALTYGFVYSGILFWNILNAIQVTFKIFPDGFGQISYSLLSVASDLMTLALPYILLIFDSNIKRDLRQPIEVSSTSPVIVSS >CRE18846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:723657:724028:-1 gene:WBGene00082495 transcript:CRE18846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18846 MFYRVCRRKLGEWLGGSLHGVPADEAHKLGSWSNPTPSPSTTAALEKPNFKRTLSGIHDDKVVSFLLFLLLSYTKFDTGPPSLKGVISWCIDREYTLTVMRESESGNSNTLPLHLRKNKRENE >CRE18793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:352123:353613:-1 gene:WBGene00082496 transcript:CRE18793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18793 MFAPWVIENSLRKLPPRCGFSPHLANFLLIKKCATTLALPLSIVFNDSFRTSTVPQSWKKAVVTPVLKKGNASFPNNYRPISLTDPFSRIFERIICNRIKSDFAHKLSVHQHGFLAKRSCASSLVQVISNYNIILKTHKTLDVVFFDFQKAFDQVPHNLLLNKLSSFGISPPFVAWFSDFLSSRSFSVKVNSFIDPSSASISSGVPQGSVSGPLLFLLFINDLLLSLNDIPHLHVAAYADDIKIYSHLPSSLQAGIDLVSTWAESNFLPLAHSKTGLLRLGSLNPHHQFLIAGSPILDSNSVRDLGLLVEPDLKFRAHISRTVALARLRCSQILKSFKSNNPAFYSFLFKTYVLPILEYCSVIFCLSPSSHLSRLLESTLRVYSRKTLQRCNISFSSYSHRLELLSMHSIRHRRLKSQLLLLYKFIAGASHFPFLNTYVRLSNSPRRPMSLVYLSPLSDNFFSFTVPFWNAITYNVNIFLSPSQFIILLDSSITRF >CRE18507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:785084:786648:1 gene:WBGene00082497 transcript:CRE18507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18507 MDSKINTNQSPPQPSVLIPAVVIGKKTNRILCYSPHIRQNGGVLELIPTSAILEGNWILVKFGEERKVERVEKLNENEYNRCRIPPTSVNEGRVSITVPFIRYPEFDENKKTEDGSLGKCRKVYMLNMGIGDLSNVNLPDDKAVRIGLFQSRRDNNFESNPYMWELKKVMREFPTLEEIRQMNAAVIRLRKRSENCGARDSQKCFLENLSTTTNPEQIEERKENNETSPMKHRFKFGRQPVENTSASIHASSSSRPTFRFGVQPQPTLPSKINRNISAAKQNTSVPLSPLVPSSGVISRPEILLRPLRAAGSSMQPKREQIRNQTRRRRGPPKAQPSSYTVPPVQRPLRKHPTITTPPSAPKPLRESTDPTYGLAALKISEFESPYSMKS >CRE18662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1714415:1715212:1 gene:WBGene00082498 transcript:CRE18662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18662 MLAAVMAMLVVLCLTKSVFELITSLDKSWIGFIYGIEVFLCAAVIIHLFCERQRIKTEPLVKTQDMENAHHDSEEIKSIIKQTHALKNHHTFWFALLTTIITSCLWIETAILFNKADSKKEINFAWSILFSLLVPTSVYFQDIFFPMIICPLLEFLDPIPAS >CRE18998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1814298:1815225:-1 gene:WBGene00082499 transcript:CRE18998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18998 MGDKTRILVMCGDSVLLFIKMIVDLKKKHNNKCQDEIESTRKNNWEWIRSQNKYDSRQEILSVAKEHQRRGLASKLMAKMEDAKKMKEFNCSGIASEISSLANQCLMKKRGYTIITETLLASECDASGNPLIVTDDGTDRVLLVEKDF >CRE18463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:453736:454495:1 gene:WBGene00082500 transcript:CRE18463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18463 MSNKKLDDIDECEHSSQEELMDQKEEKDPVQEQEPSTAPGHYSLNQIIRKSMSPVYRTERDDGELYESWHHRNNDLGSIKLMKQLSNICSEIVPCGFKDEYFPRSKAQRSLFGRPPKTSPVDRNIPLSRFAELRRFHDLHSSDSEEDSEEKVSDDETMEEDYQAPAETAVMDELMDEFHNAVELPEDENEEGKVQRKPRKRQLSTQDEGVQAKQRPPNPQ >CRE19032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1960608:1962527:-1 gene:WBGene00082501 transcript:CRE19032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19032 MTNSDFEGPPCEICGQAGQGNHFGVISCRACAAFFRRAADSKWSKMQCLSKHCDGKIYHCKPCRLKKCRLVGMDTSKFQHDRDALQIAVSRKRKLPQTVEIICGKPHFVLFCPFGPSESIKNKKENTFVDLSFLVSQAMDIMKEGAESPLIAKNGLHKLNYGYNCMKRTEEMKRITIVTRKEVTSFWEYHFLTTAKWLTYFDKFQDLDQNLKVRVYLSDGKNLNFQMEILFAVWHVWGRLDKLMATALYRERNKDAKWTERVIGNGMLTDTINVSTDSMWMSSYPTDQLRYFLDGIRGVDLFHLIEELQNLDLTETELTFMLAHLSFQYVSTRFGGKISEVMEGFLEELSNDLHNYYTQERMMIRYSGRLMRLLRINKEILENIRVYRGRAEVAKVFDVFNLQFSHPEMFIDTGFH >CRE18726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2166479:2166847:1 gene:WBGene00082502 transcript:CRE18726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18726 MCQNVSFFHFLDTPQFLSTTMYIICLIGLPIHVIGAICIIFKTPSQMNSMKWPMLNLHLWSASLDLSFGFLIVPFMYQPVLAGYSLGILNEIGVPAKDMYYLAVVQIAGEKSLISEVWSFLD >CRE18656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1687568:1688166:1 gene:WBGene00082503 transcript:CRE18656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18656 MNSKDSVTNAFGVSKKIVAENKQTLGNFTGLKMLEQGFRKQEKNENEVVNSIDEKTTDCQNAPDQEPHVSSSQGGVSDHSRVIILRKVPESILLSFQTPSPAKLTSRRPSVSGVSSTGLKLRILLLSED >CRE18427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:234861:236104:1 gene:WBGene00082504 transcript:CRE18427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18427 MDANTNGNIESFSLINSNHRESMKTSATMQSSKVSTSFLNCEASDDIQKESVIFRPTRHVDNQCYCYSNRSDCTTCSTLCTDDSDVFVLTSSESRSAAGSSSEDGSSLEIDDLREKVSDSELNASQVSSDSSEVSDLEPRDFDELLQILDKKANEFKEVFREDSESFGKLMTMHGFQRAVIDDELKKIVSNFTDETLELIDKSENFESEFPELDFTEMLKENCVKKHAKLRELYLTRLMDEDLMKSNVIMIQETKERLERFNQLLVSKCSESSELSELDMDKILDDVSTACSFCPEEL >CRE18763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:122720:123964:-1 gene:WBGene00082505 transcript:CRE18763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18763 MSDTNGVSDDPMETGTSSVDSVKDEGNDKDSNTEQMEVDEGEKALSVDTEVAMETATLETSEEKQEDPEENVEMDKKDDESEEKADVIVKTEDNDVLTADDTPQKEVLDAEKKGDGVKPDKKEDVKEEEGSKDGEVKEEDEEKEKDTVAEEKQKTEKDLDKNQEKKESDQEKTPSPKKEAKEEKESKKKDAEQTNGHIKRKSDKQSPKKREKSDGKENSSGSKLTNREHILDHIEIKRDASNRVKLYVLCDQRIWEDRGTGHVVTYQVTAEDGSPSNAGQTMVLVRLEGQNKNILESRIMLDTVYQKQQVRFCNSDW >CRE19057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2070791:2072563:-1 gene:WBGene00082506 transcript:CRE19057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19057 MIPRLLSFGVLLAVGVFSQDATTVGPIIVHTETSVVVNTEISVIVNTDVIVIVNTEVNTVLPTEIPSVASTVWSTLFPSEGLTTPTTVAPPVEPTEPPEDPRCTPGCTFEPTILDSATLKYFPKNCSTVCSASALYIGYETDLTEKQMIDTLKNMKHLVGDLIVTRSKYKTARFLASLESVDCYNYGFFKFTLNDKMTEIGLPNLTNVSCRIHISSNNNLTRLNMPQVIPILSTYANYSTIDVEISYSAPSFCITIQEMSNLMTYEYIKFSLITGSYCAVSSNSTSTEKKTCDLQNYTWSNMSTECVNVHGDVIINYENQDLAYMFESVESIFGSLIIYGTNLTSIDFLGKLEHIISLTEEQPALIVEMNSILSNVSFPSLQRIQSRAYVPVLFNNNSVSLLKDPSYCYDIRNSVTTSDTWIVKFDDQVCEDVEKAAAASVVKDKSTRGAHLQLFLITIVSIGVLFNF >CRE18911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1186965:1188240:-1 gene:WBGene00082507 transcript:CRE18911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18911 MDLLNFFGSFVVLLEAVMGLLFSTLIIYLYYSGESDRTSFNFICVTRAVNNIFVLLLQFLCLMFPVLLLSSNIFPISIETYIIASTINVYMVNSYHCVLIGVNRFIAMFIPFHYSKLCGMKVTMVILALLYLERIYATIVKLLQEFDNHCRVHISLDSFGPHYEDSTCSKRLKDGFDGILIVLIPLGIFAFTVILNLITFVKIMQFYIGVKEKKTFGYLKFIQRDGLDTNSSASMKNNIRLFFQTVLQDSLFFVDVLFTFKLSTLSEDRLWFFISQVFVWESIHMLDGFIMLMFSNRLSILKSKFSFSKQNTVGNLENGRASHTLPEIG >CRE18543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:976076:977330:1 gene:WBGene00082508 transcript:CRE18543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18543 MSIYWSDYVESNCRQNYSYIASSAFLKNAYHFTALFTIPLAIFTFYVIVRVTPRKMKNMKIPLLIAHAWTTNLDLMITVNVTPVIFFPSAAGLPSGLLGSIGFNAKWLAYFGQVSIIMNGVCFVILLENRHAQITTIKFKIQRKRTRLIYFGINYFLAFFLMLTFYMEESDQLELRQIVLKRIPCPTIDFYDKNAIVLLKGGELLPFLSISGGLSIIVIQSLFFALHTIYHLNVVKNAHISEATKALQRKFLSYVAMQVMIPWTALVCPILYSLYADSNNYYNQALNNISMLLMACHGLMSTLCTLFIMKPYRDFVKSVIMGSEEPDTKRLWATSVVRSQASMLGSVA >CRE18963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1615094:1616666:-1 gene:WBGene00082509 transcript:CRE18963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rft-1 MQISLVTFCLVAIFGSSSWIGTNSVWMELSLLTAKLPEGWNLPSYLSAIVQIACLGPLIYSIIHKGIKVTIPTVPLIFVFMILACCCQLGLCFFWDDTGYIFGAIRSWPLYLLLFGLAIVDAISSVLFLPFMAQFHPAFLNAYFVGMGLSALIPSIFSLIQGTSNYWCDDNKEPHYYAPRFSVAVFFLINFFFTCAAVVAFVILYKTGAHNHSYVYSFQFIYHFDIQKPSNTRSRQRIRRNFQESTPSVESASGARLAFLLIATALVNAQMNGIVTSVQSYATLVYSQDTYHYAVTLSNVVSPLASYLQFFVKIRSIPILAILTLCSTISTGIIVYLAALSPNWIFGSQAAGSAISIASSLIAAGLHSYLRVMFAALLREGNHKESRLFWCGAFIQIGSFTGSAIMFPLVNVWKLFHSAPSCR >CRE18699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1971401:1973857:1 gene:WBGene00082510 transcript:CRE18699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18699 MTRNQITNRKTLILLVHALYESSMEVDTCSTSIISLNFDLCQVCGQAAHGKHFGAITCRACAAFFRRSAKVSKSVYKCHRNDKCEVLRNGRFACKKCKLKKCVLVGMDSNRFVFGRDLISAMESFRGRAKKLNYTDDLPETIEHISGRPLFVIYMDAEQFYQSEKSYINLQYLIDEGAKVLELGSETPLFAKNSLQKLAIGLRTVRDKSYTNQSVGFQKLHKIGKEQAISFWEKDFLAVARWLPYFDDFQKLPREMQITLLKTIWHIWTRLEKLSLTAVSRRNNLCHNNEMMLHKNSSYNQKEVEMDISWITKFPKEKLKLYVHFFIYEYQKSCFSLFDEPQNWIDLATLDPLMELQPTDVELAYMMCQLSFHYAGKRHQGEILEVTDRFQDRLANDLHEYYVNELRISRYSGRLNQMMRINNRIQEDIRAKRVKRELADIFSIYCAEFSHPEMFKDT >CRE18826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:541029:542706:-1 gene:WBGene00082511 transcript:CRE18826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18826 MNSTAHDYNDLYDPQEFSQSQFFVGDSSSYSFGNQSFHSSLYQPPPLHYTFSPNVPVSYDNIQWNINVRMLYKCNSCGKEHSEDTVLKKYRNKPEKFVCSGCHKWSKNLNEPLLTAFQDAIGNAHFKMNVFLKNFKKNHGVNIEERSIRSHLDKLINEFIKRSDDSKMIIKMLRCAETTIPADGNILDRIKKLGKVETEIKRKGVRVVTFVSETEGIESFPTVELASERHHSANLDNDFSTFDGSFLPAMSTPNSSIRNPSLTSEDNVKREENEIKRELEDEEARTPPKRERKEEKKPLEISPCAHVPSTSAGIFFFIFSDFLNKLSGSLNNENPNLEKFIKAINEEIGKMSTELAEKKVLYRQIHSWLHGIYNAVKYGIETRPVAESDELVVVAEFLKFKDFLKIFEQLASHFRFFDTSFETLIKEVGDAIQNSADEQDLNANEIPGLVKFIYDQNGVKIKQSVRFH >CRE18527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:927350:927611:1 gene:WBGene00082512 transcript:CRE18527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18527 MIQYIILGYLLYEILRLAQRPDVSAVKFAPPAPEQSTPSAPVASQAPPPPPAPIMSPEPMKSEESTAKSG >CRE18854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:809597:810850:-1 gene:WBGene00082513 transcript:CRE18854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18854 MAFQPILHEFSVLQRFDRSPQLSIMNFINLISIVIHAFLFYLTINENQRRESKTYRVLFIIKTVTLWLSQLHWGSLHSLVFLFPFSGLYGIGYLSSVFSSFALVVRILEYRSVFVLFYFQNIWLNLFCTTVFMLYLILILRLRTLARTESKFSFSSSTYVVFISILLVYCYVPMIFCWLSAYSTASEIHDYVSKYYPRCVKVLNVRGVFVYTDDWKFQRIIYCARIVLATGGALYLILNQIILYEIQQQCKTLSSHILKYHRKAMKDTLIQNVILFLFLALAPFIQIWNAYKTPEEDTINLTIAANLIFVSAPIPCTLTVIFQNSSYREFIFSKIGFQDRERTRIDSTHHVPN >CRE18438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:299539:300291:1 gene:WBGene00082514 transcript:CRE18438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18438 MLRNESNFLTEVTSEIIGSLISSTVWILTIHFFPVCLFLCKGKKKGAKTTAKSQLKKPTSPSPPVAPSTTSNANNKSVIPAALNSVPPAKPKEEKKEEEEAKKEEKEEKKEEEKKENEEKKEEEKKEEEKKEDEKKEEEKKEEEKKEEEKKKEEGEEKKKEEEGEKKEVGEKKEETPKKEEEKKKSKEKDKSLKPVVQKYTEKEKLIAEGQKKKKTDYPTMEDAPSDWGDDEKKDKSKKSKKSKKSQRKN >CRE18653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1681723:1682182:1 gene:WBGene00082515 transcript:CRE18653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18653 MSTQEIVYHQQKIDQIVRKLVDLDLELENVRETLQEPYPNPGDPVADQTRKNCERLVEFLWAFRRNLLNQKIISEHMVEILEVDYHLESEIAGLQLERQILRDHRC >CRE19007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1841682:1844275:-1 gene:WBGene00082516 transcript:CRE19007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19007 MGFLTVSMTILAAVLSFITLMINIRLLYSIFISKKLKRSTSLTLFYFRFMIDVSLGLSGLFEMSITFCHYIFPENLVNAPSVLIFLISWSTINIVNIRVFLSFIITLDRMFAVFSPIFYYNFRQKASNFFLIALTFSWCSVDNYVIWVSCAYEIFVPPRCVTLACIVNTCFVTYELYYEMLSHMLIGSISLALAIRLFIWNNCKKKSKKKDLDRANLLALIDTIIIFVFDVFPASLITRFQFSTLDVGIGMTLAKMSGLTIEGFCDLSLNRKKSKKLQKSSSLTLFYLRFGVDGFVGVGTLTIMFISFLKLFNVFEITSSYQTFVFFIEWTTVNLASIRVFLVVIITFDRTFAIFSPISYHNSRTKISNTLVIFAVFCYPMLDNTVLWLICRFDLKFPAGCVSFGCLANECFFHYSMSHEIVNGHVESLTLIANQLVLIDAFIIFSFDVVPITLVAIFPDFLGDIGAVLALSKASGYSIEGCLVWRTLKRKNTVLSVSKGRTSMQKSKQGELMD >CRE18663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1720255:1720587:1 gene:WBGene00082517 transcript:CRE18663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18663 MTLAEHITCVHQHGCHPNREKKDLISLETLREYISLCKTYTPTVDPALRERIVEAYVEMRRDARYSSDPTFVSLRMT >CRE18491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:682858:684086:1 gene:WBGene00082518 transcript:CRE18491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18491 MLGVPQPISLDTPNKNDISLSNSLNEYLKQSKCFESKEEASQRVNTLSKLNLLVKKWIKSLIDVRISNGKILNGIRVAEQLLVPNRDTFCQSLRAIKLWAKNHGVYSNAMGFFGGITWAILVARTCQLYPNAAPSKIIQKVFLVFSTWNWPSPVILKHFDCVDSKTTLHIIQKEMKAALKICNRIQKGNATWNDLANWFRFSRGIGKIFMENAKNLDLTLKIEGFKRNMRHQAKGIVGQIQLDAKRSDLIKFISIGDLKGGREQDFCQSETSILSFIWRLDYQEATCHRYAAVDSMLLKLKSNTVITAVKQ >CRE17881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:674329:675020:1 gene:WBGene00082519 transcript:CRE17881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17881 MKKYLEGKPNIHVGYEFSSNDRFPELPTKKLELIQEESIDADHPIIHTTADVIFQFNGQNKPMNGIEKLHTKKLTILNIGYKNVDAVKIIKDWIKNGRKIGTEYLLCFTFLQWIRWVLSDLKNEFDEFENDLEGINVRFLAREPRFLIPISPISKIIIYGTEIESEDGTVYQLVLKVVSTDE >CRE17988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:645005:646060:-1 gene:WBGene00082520 transcript:CRE17988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17988 MVSRRPRYLPDLPHYLILFSFLPYAVTMPPPLSYPALKLVLEYLEAKKRFHITSRNVGLQKIDYLIPLRAGTVTIWKDTSVSLDNLEYKTDYKGAFAKLMKKYLEGKPNIHAGCVYFYYVKSYKQVPLKLNLIINKLETFCCSDFRKFLPMIDFRSFPLKKLEITQEESVNVDHPVVHTAEDVILQFNKPYEPMKGIEKLQREKLTIQNIMTRNVDAVKIIKNWIKNGRKIGTEYFLCFSFDVWIQGMLKDLKREFNEFQNDLKGINVRY >CRE17930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:229575:230798:-1 gene:WBGene00082521 transcript:CRE17930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17930 MLTYPCQSVVFLFLDFEKRCHVAKRCRQVRNRHRILPLYIRNLEISQFENYFHLKINNYNTWIFPDTDRPFSEHWTCINHEKEAIGNEKTQKINCHVEKIVEKVLIYYLGRTDTIVKNLTVKEIFKISRENRPIGAIIDYYVHDYFNTKLYPNFAKIVADLKAKRTKIGRINAITIPMESDAELNVFIVPEDEERIKNTWILRMAVMEKRRNAD >CRE17968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:586743:587764:-1 gene:WBGene00082522 transcript:CRE17968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17968 MPTALGYPGLQCVLEFLDPMRRYVPFLSKSFLDLFYRIHIVSRAHSLRTIDKIIPLSIEELGINGNCLNLKYYEIDNCTDNKLRFKNGRWKDFRRIRPSNLKPEEAMKKLLVSYLKAGSKIYVKCLVFFTAIPEFVPPNLILQINELRCCFRYIEQFLPIIDQNCFPLKKLRIAISEPYYLNHPVLTSAQQLIVLHGFDGVPKIINQNTNKRVTFETYCFRTEDPVVLIRNWMKNGKEIGTTFKFHDYEDNRVMHFLMQKLKEFKTESDHHSRVTPILRVPIEPFAEIHVSKDNENYIVVEVVPITLKRETNATEEPCSSKKAKQ >CRE17984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:635748:636792:-1 gene:WBGene00082523 transcript:CRE17984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17984 MPPGLSYPALKCVLEFLDAPQRIHITARTPSLKQIDKVIPLRVKYLLIDDFTLRFNEFFMTCTPVNVMFGVWRTQKVLHESICPNRFSFNNNRDKLFESYFGARSIIYVDWLVSATGMYKPKSPQNFNFIINRLNTNNFGDFMPITNQFPMKELKIEAITEILLDHPVVHSAKNIIIEQVVSSIDTYLPDIHKLRAKNVSIQYSFKYDPVFTRIPYDLIRIIKYWIDHGKEIGTKYKFTSSFDDIYAVMTEAKREFNEFLSEAEKVDERVLIDLPQFYIPMKYDLSAKIFVYGIKIQEDGGDKSQVVVKVI >CRE17874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:640658:641676:1 gene:WBGene00082524 transcript:CRE17874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17874 MMPPPLSYPALKLVLEYLEAKKRYHVTSRNVGLQKIDHLIPLRVGTLTIWKDTSVSLDDSEYMTDYMNKNTNREVNKDFKIHKAKGKLMKKYLERRPNILVDRVEFNNLKFYKKAPVKLNLIINTLVTMGCSDFRNFFPMIDSRSFPLKKLEITQEKSINIDNTVVHTTEDVILQFNKPYELIKGIEKLHTKKLTIQNIMSKNVDAVKIIKDWMKNGRKIGTDYFLCFSFGVWIKGVLKDLKREFNEFQNDLEGINVRFLDREPRFLIPMSPTSKIIIYGTRILSSHGTVYQLVLKVVST >CRE17830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:166377:167864:1 gene:WBGene00082525 transcript:CRE17830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17830 MSELVAATLQSNTEEESFKRLEKIDIRRQNLESEQKHVLSALNSFQKTREETDQINKFNDSIEILRELKEDFTEKFREVSENLESIIEDDKDVTQAKVGVEYLNLKMEDIENHLKIVETNGSILNSFNGTGQLNENISLSKQWIYFFVRKIMDAEKRVAMISQYETMSENLKFMRDCGEEDEAGMEEMIKPSTWEQKHVENLRISINNFSEDVDEIGFINANLDDALQQLTSSTFKVLKLE >CRE17906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:46061:48042:-1 gene:WBGene00082526 transcript:CRE17906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17906 MSTISEVFQPSPSFRFQSNMIPGYAMQVMCIAFYSCVVIVVHRIKRTDCQAAPFYTLFFYVGLVDIGHACALFWMCFEHEVIRGEVLYPITFICSLATCFCYLVNIIGNGLMAINRYSATYLGHHQHFSKNRTTAYLTATFILAAISSIPAIFRERTYLLIDGEWTFTLTPIWLIHLQRYIIIGWMIVYFIVAPVFSIMAWLRIKNFIHSVRYHKSDHTIIHFNVAHIFCHFLILCFEIFEVYKPNNALISFVHEHFYVFLFGAIVFNGFSIVFTTKRVRQGLTKLVCRVTEFGRGKVSSSNPTTQIFQVSGRF >CRE17953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:473227:474621:-1 gene:WBGene00082527 transcript:CRE17953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17953 MSFLHKNMSINGTLFPNETYSTDSIWRTKTPFILTVVTLSCQLFLFPFYAFIFIKNTKKEKHTPLYPISNVIFKLSIVFYSSAIVMLLANLWHNPIRNISQGPLTSFLIVAVLLSLYLTVWESIKVYHTILSLLALQRFLIYFYPETEKKVAVGRITTNVITCLLYLKFFIQEIYYFFNKSSGFPHLHEILSIILILSALLYIPMFISVRKQSNLMSAKMNKPHMFIIWQTLAVCIGKIYQVLLTDIDLMLIPLIIQVVYLGSTKQNLESLSLNMRNLFRRKSNQIQPYFIRDAVSSTQAATAPAN >CRE17985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:637387:638350:-1 gene:WBGene00082528 transcript:CRE17985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17985 MPHQLSYPALRSVLEFLNYRPRIILTIRSRALQRFDNSLPLRIHNMTFYNNLVSIEGQSLAIHISKFTKRYLEGRPHIHVHMVTFYQINSAEKIPLKLNLIVNELSLDFCDLKHVAPFIKSPPTDLIVVPSTVNHATVNHELVHSAKNVRFEIYYGKETISLLPDIEKLPNKRISMKYTDGLHVGDTVRMMRHWIEHGKEIGTICTLSYSNQDNFHGMLRALYQQFNEFQNNWTGAMERFSSSHTIPIEGDAKIQIYKLKKTLGRRIQFLIVLKVVAMSDEGKLDVKLL >CRE17948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:452434:454909:-1 gene:WBGene00082529 transcript:CRE17948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17948 MSFYIIFLVLTAHTVLFPFYVYVYRGNRKQDEKNMQQLLAALLIASALLYIPMYISVRKLGVLSSVQQNQPQRYIFWQTLVVSVGKIVTLLPICIYSITGANDADDIYLLYTLLDITIIPFIIQVTYLGCNKRNVDALLGSFELKRILNFSNGFCISSSVQPERSVASS >CRE17949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:456715:457224:-1 gene:WBGene00082530 transcript:CRE17949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17949 MYHILNIIFMASTLLYLPIFISVRKMSHLMSAQLNKPQLFIFWQSLAVCIGKLLWVRPIISYLKDEMKKENMYDLLDYLDRCTIPIIIQLTYIGCNKQNLNILFSLMRKIFMRDGSIVQPYIINNPVTSTHLPELMNN >CRE17979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:625734:627184:-1 gene:WBGene00082531 transcript:CRE17979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17979 MIWLGKVSILNPHSSLIPFPHNDNDSPLSYPALKSVLEYVVLEKRIHLTSRSKFLQRIDKTIPVYAKYFSLRADNLSLDCFLFTAKDKHYYLPEVEKNGKLLMSYLKGRSSINVALAVFTGVKTFQEIPVKLDFKVNKLRTYCSNLEVVLPMIDPRSLPITELSIALEEPTNVDHEIVHSAKKVIFEVNSLRNNLIGFEKLRNKTVQFEFRFLPITDVVRIIKYWMQHGKEVGTEFSISCLANSDLDEVMANFHEEFRKARGYSEEINEHFCSGFSIQLSSTSKLFVYGIEKYQLVLKVV >CRE17876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:649401:649926:1 gene:WBGene00082532 transcript:CRE17876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17876 MSTSGDNNESSAGNQARALVEWIKETSVGFLSEEELKKEPVNVLRKTVDEAQGSFRGTVTTISLFTELSKVITVDPLGPEAFGECVHDLIIIHNPFSGPKIYVSVSIESPERNQPLGTCFGKLNAITGETISNCLENSGQDLELNTPNITIKLTYLVSP >CRE17923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:168914:170689:-1 gene:WBGene00082533 transcript:CRE17923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17923 MGRDQDLTNIRREYDQKKFKIEENEMISEEKEINDIRMVGINSDFQTINNFQRVESKVAEIQQEIEKEVKAETDKLTEVVEKKIKELKNLQAKQDEKLNAYKLASKAKTVRKGQKILDREKDVIKEKNLKCEHGKKRLEELRKELDGARNQTIVNIQQGFKTRQDLRADHDANSGRKTDEYHKQLLALAESRKHFMISQENRLKKLTEKKRDIELTFLDENAKLDYWNLETRKDLMNATHLEIKNEERRIEHHNISLLYGSFKTVYNREEMSFITTLLEARKHERLTNEPETEVLTALFVLTDALENLKITDLGLVECRKLILESSKKLRENFEKFLANIAKYSNTTSEEKGVIYKSMTHEYENVKESVKKIGEMVLEFNIEQSKDYDAVFEKQWDAIMQMNISTKQITNKTETETPIVSKTPIVLTTFSSRIASFFHPDGAGEKEERVTVAL >CRE17872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:621599:622754:1 gene:WBGene00082534 transcript:CRE17872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17872 MDKNTTTTLPFSMRYYSAKNPKRCPGRTNYPAPTRPNIIKKPPVLTYPALKCIFEFIDVTKRLNIVARTKSLKIIDKSIPLRLPTLQLCSDRIIIHNLGIRSVLQYRVDIFYEDQYVKGGMVSFSKIEAIRRVFKYYLGDRSNIYVDSIVFEEKVRDLLPPELNFTINKLETHYCSFGEFLPMINPNSFPLRKLSMVYPEILSNLTLDHPIVHSAGHLYLDVGTRGDQSPMSEIQKLHNKSAFIKSISMKSDDCVKIIRYWMENGKEVGTKFIFTFYLPNCIVPMIKALQEPFEEFQDKLEGLNERRFIAGLPRFAIPINNHSAVILAYTIFAMVDRRREARIVLNVVST >CRE17951 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:464434:469705:-1 gene:WBGene00082535 transcript:CRE17951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17951 MNYLPFSNVSEVIPSMGDPKLLQVVEIIQNVIHIIQLLVFPMYTYVFWKNRKRDEKTPLYPILNHFFQVTIFFNFSHFIIFIIPLLFVKIFHIDYSTPTLATFFFCIFLVFFFLMFSIHWFVYVYHILLFLLAIQRFFLYFYPESEKRIAVGHKNTKRIVFCLYLFFAGREGYNFFKLQTLRLVYDYDYPNMHQVLNTFLFLSTLLYIPMYISVRKMGHLMSAQLNKPQRYIFWQSLAIFVGKFLCIGPLVLYLNGSITKDYNGRLLSSVDHFMIPIIIQVTYLGCNKQNLESAFQLIARIFRWKRSSAVQPYVIENAGIPVFRLYLAKSNSIYDDGIRGKVQIIGDNLSIAQFFFIFPLLVYIFWKNRERDGKTALYPITRHFFKITVLFCLYTLMQVPLSLYSYFAPILSPHILKYFSLIIANIATIIAYFYSLSIQIYFILLSFSAIQRFLTYFYPKCERFGHKAIGRIIILSYSVSVAYDLVHFVNRLFLKAPHPDSLWNLNRLLNLYFFFSTLLYILVYIRSRYSNLAQLTEAQRLIFRQELALCIGKLLSFTPLIILYLTGNITLRYYNVILDDIDKYLIPIVIQATYLGCNKPIITRIFKRSPGVEPYGCDGAIYLLYTLLDITIIPFIIQVTYLGCNKRNIDALLGSFGLKRIFNVSNGSCMSSQVQPERSVVSS >CRE17853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:344782:349356:1 gene:WBGene00082536 transcript:CRE17853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17853 MITPTDFASSSSRYQRLEDKCLGRNSLNADGYYRDLEKMHERGLFTTGVNYNAEKSEDFLSLIEKMQSNRLDDQRCEMPERVR >CRE17970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:592308:593363:-1 gene:WBGene00082537 transcript:CRE17970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17970 MPTALGYPGLRCVLEFLDPMRRIHIVSRAHSLRTIDKTIPLNIEELGINGNCLNLKYYEIDNCTDNKLRFKNGRWKDVRRIRPSNLNPEEAMKKLLVSYLKAGSKIYVKCLIQASCLPLKKLLIAISEPDYLNHPVLTSSKELIVSINGCDGVTHLINQINTNKRVTFETYCFYTKDPVILIRNWMKNGKEIGASFKFHDYEDNGVMHLLLKELKEFKTESDHHSRVTPILRVPINSFSEIHVSKDSEDYIVMEVVPTTSKRDNDATEDPCSSDRARQSRTPF >CRE17832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:175376:176291:1 gene:WBGene00082538 transcript:CRE17832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17832 MASEEQIAAVYQQKLWNLQVDNGKIMLESEKTRSGILKDYNDLEIKILEEKFDFRHMNLTNLYKIMKRWSGELIFDEFRIACLRLARQFQKFQKHFVDNKLLQDGTYGNLKLPPPTQLLDAVSALQNFSNSAQNLQIIIHEDLFFDYRMLQKRIIEKCNEIQFSIQQFIIEFEIHRKNSRKIWNEVIGFNANILRRKNDLEVKYIHIKSLVSHLEELVKFLYIPMSRRFDDTIATYYQSLVA >CRE17925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:176337:177225:-1 gene:WBGene00082539 transcript:CRE17925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17925 MWSLENVIGSKTQDYELEKSKLELERSLHETFQILIIEELEMEKKEKINSQKSNFEALKTEACRNTISLLKLRDDYVHILHLNNSIEYLKELHLEAIKVFNRSIQYLGSKRKESNLTVLRSYLEELELLMRTIKWQVQEIERNGKNVRSFYGVGKLQRSSDYVEKWICVFLLQIKRNRITWNFEKVDKFTEEMKVFCRNIDQVQFVTLNYKRVREQFIGRQEITRL >CRE17966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:583550:584584:-1 gene:WBGene00082540 transcript:CRE17966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17966 MPTALGYPGLRCVLEFLDPMKRIHIAARNNCLWTIEKTIPICIEKLEIQREFLNLNKYSTEISFDIRFSNGQNTKFHRVLPVQLKQGEAITKLLNSYLGARSKIYVNRLGLYLMISQILPANFIFRINELGCCFSYINRFLANIDPCSFPLKKLRTGIDEPDHLNHPILTSAEEVIFTCGVNGIQKLIDQNNQNKRVTFENYRFNSENLVLLIRHWMKTGKEIGATLKLHNNKDNDRLLQILLQELNEFENKRFNSSSNCPRLMIPINTTAEIHVIVSGKNSVVVEVVPKSLKRRGEATEEPSSSKKAKQ >CRE17817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:78452:82016:1 gene:WBGene00082541 transcript:CRE17817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-6 description:CRE-DHS-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MDH5] MLNTGKFVGKTVLITGASRGIGKEIALKLAKDGANIVVAAKTATAHPKLPGTIYSAAEEIEKVGGKALPCIVDVRDEVSVKASVDAAVKKFGGIDILINNASAISLTDTESTEMKRYDLMHSINTRGTYLMTKTCLPYLKSGKNPHVLNISPPLLMETRWFANHVAYTMAKYGMSMCVLGHHEEFRPHGIAVNALWPLTAIWTSAMEMLSEKGGEAGSRKPAIMADAAYAVLSKNSKDFTGNFLIDEDVLKAEGITDFDRYACIPDAPLTPDFFIPSGTYDHKFGLGAGIGKKKKVAHEAGVIEEEIKQIFVSAKRLLNGDIVKKTGFVYEFILKDPVTKTERFITLDLKNGEGELIDAKSSNKADVQFTLAPEHFAPLFNGKLRPTTALMTKKLKISGDMPGAMKLESLLRKFTEGKL >CRE17875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:647918:648334:1 gene:WBGene00082542 transcript:CRE17875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17875 MSNGLTYLSLQCVLEFLDALKRIPITLRSRVLQRIDSSIPLRVKNINIHNTHLIIDTKSLQSYAQYEFWLMTDDKVKREERRRAEVMKELFVQYLRGKSNIYVDKVLFNKVREVRMGLRVHTG >CRE17976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:616356:617386:-1 gene:WBGene00082543 transcript:CRE17976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17976 MPDGLSYPALRCVLENLDAMKRLHITARSPALKRIDKGIPLNIRSIDIEEKYVRRVDLLGMNGYKPLAFRIDGDVSIVLYKQELVFNKSGSQVCRREVPLGSAEKYLNYYLGGGRSKVSVDKFSIGSSRITPPAGVQLTINELDNRRSDFRKNLPNINPDSFPLKMFAAEFTDAIDFDHPIVHSSKNLVLSMNFYEFTDQALLSLARQFPTKDIQFDCNLWQHDLILNFIKTWIENRKTIGAKSSFLFSSYRCLPSTFNKLLQEFNNLQEVNERSILSFTIPINSQSKIHVYGVDEPKRLVVEVVPTTDSV >CRE17967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:585094:586096:-1 gene:WBGene00082544 transcript:CRE17967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17967 MSTALSYPGLRCVLEFLDPMKRLVIFMSYSCLDLRYRIHIAARNHSLRIIDKIIPICIEKLGININCFNLNDYMTDNYTDNELRFKNGCGKDFKIILPSNVNPEKAMSKLLYSYLEASSKIYVNRLSLYGMSWLSLPADLIFRINELRCSLSYIDEFLANIDPSSFPLKKLRAAIDLPNHLNHPVLTSAKLLVVVDGFVGLPQLINENNTNKSVTFENSSFRNEDLVNLVRSWKDNGKEVGTTFKFFNYDSSMNSLLEEFKEFEKERFNASVNCPRLIIPINITAEIHVILDDKNYIDVEVVPITLKRETDATEEPC >CRE17992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:701807:702559:-1 gene:WBGene00082545 transcript:CRE17992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17992 MIPHRLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELLEQHCLKLRELKIG >CRE17882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:675390:676392:1 gene:WBGene00082546 transcript:CRE17882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17882 MSPPLSYPALKLVLEYLDVKKRYHITSRNSVLQKIDHLIPLRAGNLIIWKDSTVSLDDLEYSAEYINWKTNMEVNKVFKILKAKAKLMKKYLEGRPNIQVDHVSFYYVKSYSQVPLKLNLISNKLEAFCCSDFRIFLPMIDSRSFPLKKLKLTQEESIDVDHPVVHTAEDVIFQFSRENELFKDIEKLQREKLIIQNIMRGNVDAVRIIKDWMKNGREIGTEYLLCFSSNVWIQQMFRDIEREFNEFRNNLNGINVRYVINKNYFQFVLFNRFLNRKPRFSIPMSTASNIIIFGTEIQAKDGTVYQLVLKVVST >CRE17978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:620353:620683:-1 gene:WBGene00082547 transcript:CRE17978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17978 MIKHWMTYGKEIGTKFILTYRGLSNHIVSGLLIEFEKFLCNLEGVDKQMFLHKPRFAIKLNSWSKIFVYEIDVKRNYGYAESQLALTVVEN >CRE17825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:138024:138646:1 gene:WBGene00082548 transcript:CRE17825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17825 MQSHMLLCPGPKYPYGKEIGCSQCYYTSQFPKRMIEHLKLHRDGSLTCEMCMQKFDDKHTLYRHRKTERLQTVHDYICRDLVPHFQPMDYSKYPELDPVVWYARVTMESTSAGNTQKLTSEQDKKIMSYEVKREEVKTARLQIDESWDGEDYEKCLDRLFTEPAQLADDNLPNIPF >CRE17969 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:590397:591423:-1 gene:WBGene00082549 transcript:CRE17969 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17969 MPTALSYPGLRCVLEFLTPMKRLVTFILYSCLDLRYRIPIVSRNYSLRTIDKTIPLIIEKFEINKNCLNLNDYVIYNYSDGLGFTNGRGKCFERIYPSNLKPEEAMKKLLNSYLGVRSKIYVKCFFFNREIPEFVTPNFILQINELRCCFKYIDGFLPIIDSSSFPLKTLSTAICELTNLNHPVLTSAQELIVLYGFDGLTQLIKHNNTNKRVTFEKYNFRPVDLLVLIENWMKNGKEIGTTFKFHDYEDNHYMHFLLRELKEFKIESDHHSQVTPILRVPIDAFAEIHVSQDNENYIVVEVVSSKNEIDATEVQGSSKKERKS >CRE17990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:665259:665784:-1 gene:WBGene00082550 transcript:CRE17990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17990 MSTSGENNVSSTGNQARTLVEWIKETSVGFLSEEELKKEPVNVLRKTVDEAQGSFRGTVTTISLFTELSKVITVDPLGPEAFGECVHDLIIMHNPFSGPKIYVSVSIESPERNQPLGTCFGKLNAITGETISNCLENSGQDLELNTPNITIKLTYLVSP >CRE17974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:600784:601816:-1 gene:WBGene00082551 transcript:CRE17974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17974 MTSKLSYPGLRSVLERLEPVKRIHITSRSSTIQRFDKSIPIHFQNIHFDANGLTLNNVSIHHTMNEISFLWNYITICKQNAFDEIQKENIPEYYLGGRSNIYVDQLRFRGVSSDLALPMGARLITNEVVTRCWCFDKILTIIDPDCFPLKRLTLKVRNPNIFEHPVVISTENLTIEVSTSVNTRRSIDYRKLRNKNVIVERGVLLTNLFVNLINNWKESEKEIGTKYVFNGPQNSSNIDRLLSELGRRFNEFLCSGIIFGPPRFSIPINKTSAIKIYVIDAHGSTINKSLVLEVAAAERSNPCYYRGIHSRFNF >CRE17818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:98013:98644:1 gene:WBGene00082552 transcript:CRE17818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17818 MKGEMIAYAEEQMNIAINAYDKWKLYFPSSPSFAKEATTELFRPQCHSFLGTPEYKKGMSNRYKICCELLELCSFYHQSWFIYVCAGAGAFLVIGMVSIMILLFICTRKRGAKSTEGKSSGDQKKKGLFKSKSGKGIKDQGSTKKSSKNKISKRKK >CRE17954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:477230:480662:-1 gene:WBGene00082553 transcript:CRE17954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17954 MKSVKWGSDDTIFDGIPISIGSFGEGLVAISLGVLFLQFLILPFYINVFRKNRKRDEKTPLYPLFSYIFYFSLVFYFFFGLSILIVIATIIVYAVTHTFPYVVGFIFATIYPLFFFMREQIKVFHLLLFLAAVQRFLIYFFPETESYCNRANKHFKGLMYFINFLVVVQDVYQFFTHSALSNFSFPNLTHILALLLFTAAILHIPIYRSVRKLGHLASARLSKPQIFIFWQTLAIAIGLVLGLLSQIGIYQEDQMYYLLSFGDIIMIPFIIQVTYLGCNRRNLDSLLNSIPIWIKWIVCCKSETSQVAPAQTPLYPLLNYIFHSLLVFCILLGIFIVCFIATLIIFVVLKTNHVWVIIFCVSVLSFFFMREQIKVFHLLLFLVAVQRFLIYFFPETESYCNRANKHFKGFMVFINCSVVFQEVYHLNKYNGVTNFSFPNLYQILTLLLFTAAILHIPIYLSVRKLGNIASARLNKPQIFIFWQTLAMVMGKMLYISLSFIDILMIPFIIQVTYLGCNKRNLDSLLNPFKSMPVWMVCCKSETSQVTPSQALSTIYRGGLQN >CRE17823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:126099:128036:1 gene:WBGene00082554 transcript:CRE17823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17823 MLTCTFCPFKTNLENTLKSHMTNLQRHKTLHDNSKWFECKFCLKKYPRKDMMKDHTMTHIRQEIHFECPVLSCNFECLQYSSLTSHLDSDHNISAEKPGNCKICRRTFDKPNRLLIHFHTGHEDDEKTAVKKELTPRKCKINDSNCSNQMTTYLAPLIKKENVDDCLNTSEVEPTLKQLLSLMNQTHESQIDLSELNNEGSFERSESVDTVSTSTISNASTSSPTLMDFHGLPDRTEEKPLSDHGTTPISTCLHCGITFNDIIMYSMHSLLHSEQDPFQCGLCGVQCQNKYTFALHVVYSDHSKMETNSTDAEIQP >CRE17866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:579047:579557:1 gene:WBGene00082555 transcript:CRE17866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17866 MPLSQAEADHEVRYNFFKPLLPNRVKEIKEQFAKLSEADKKTKYDKAEVMCNKFFESDQYKNGNRKIYGYGFCCELHELCDGKNLDQKFYEKTWFFVVCGVVGLLAVLGIIFAIWFCRKKMRNGKGSGGKDAVKN >CRE17983 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:634407:635371:-1 gene:WBGene00082556 transcript:CRE17983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17983 MPNGLTYLSLRCVLEYLDALKRIPITLRSRVLQRIDTRIPLRIKNIDIHNRQVIIDNKPIQPNRFWKVTDDKVELEERRRAEITKKLFIYYLRGKSDIHVDKVLFNGVRNLENVQVKISMTVNKLSAHLCNIADFHMVISLKSPLQELYLTNQVIILDHPIVYTARSLILKTNKRGIRVPGIEKLPNKKVLVHECSNIEILVLRIINYWIENGKEVGTEYLFQLHYNTLSSILQRFLGRFNEFQNKLEEVDDHFHPKASRFLIPINGTSLRIQVYGRVNREDSKLVLSVVEV >CRE17870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:613171:614190:1 gene:WBGene00082557 transcript:CRE17870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17870 MPDGLSYPALRCVLEYLEAARRLHITARSASLQLIDKLIPLRFEKLDINNLNPIVNDLTIKMHENEKILFYLNPENKQEQRTPVKTSRALKMWLHYYFGLKSIMHVNKMAFSCYLPTITLPANLNLKVNELDTQRSSFDEFLPFIDPQSFPLKRLTTKVRGPDFFDHPVLLSAEHLIIVVTLASQVEKLTEYQRIQRQQIWFKFDSMSQSINVSKLIVDWMENGKEVGTKFVLMNMHGLIELPLWDSRIDEYQDELEEINERFIPGLPRFLIPMNQSSKILCYGIETEYNGESVQQLIIEVVESTSLE >CRE17865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:578292:578739:1 gene:WBGene00082558 transcript:CRE17865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17865 MGNVTHRDELWNKLSSGEKDLVRELHKKDCKEYYNGPEYGSGSADDKVSYRACCEFLGMCGMSGWTIALIIIIVLLCLAGAAAAFWFFYYKRKMGGRDEKKDIELSESTESEKSSTF >CRE17844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:245451:246706:1 gene:WBGene00082559 transcript:CRE17844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17844 MPGQRSSINSFEARDYIRCILKFLISPIYFCMELLASYTGIFMFNSNMAFWHLLPICSISFSYLFYNSVEEMRENKIFSYYLLSFSVFLFLLLLISPVLPQKIQSLTQVRIGLSGMVLSVNSQIFCIFAERRKEFDLNTIRIIDAVSIWILIFSYILFFNLCTRVYIRLPVKMMPFSFGVKQYVKVIAVLHLIYAIAFIIGLESNNQNYHLKILVSSFLIFCSFFICVDAYSMIFTDQYLLCEHRETKEDFETKKPIGGTICHVAIRRSFNKRKDLGDLPADFQYDDDIKLHPKWYTEYVPFCMA >CRE17975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:610300:611269:-1 gene:WBGene00082560 transcript:CRE17975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17975 MFHGLTYPGIRCILENLDVSKRILLASRAPAIQRVDKSIPFYVKNVHINENYFFLNDISVVYIPRTSELLFEDTHKEICRQVEPHLEKKEILEKMFNYYLGGRKNIYLDHVLLDSPMSELSLPPNLKLTINKMETRFCDFRDFLPIINARSFPLKEFTARDNKLVFLDHPVVHSAEKIVFYTNAINMAGMFHDINKLPNKNILIKFDSSDVIAILNVIGNWLNGRKEVGTKYIFSSRFKTNIDEVLAELQLHAEHFKVSGTPYFRIPIDVQSSIQVNGIVVNEDGVLVSQLVTEVISTKKSLEGSK >CRE17938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:291036:291672:-1 gene:WBGene00082561 transcript:CRE17938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17938 MKSVHFCSLLFFLFFIINSLLCDDEITTEKTTMEELSSSTVAVTNPPTTTAKPPPPPPTDPPTTPTTVPPVTTTKAPPPPSSSTQTPANPRHRNTTSPEPGEPDDDYNGRDDLDCAKEFLCFSENPMASPHLLKNLNFLLCVTVVVLVFGV >CRE17977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:618047:619090:-1 gene:WBGene00082562 transcript:CRE17977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17977 MPPGLSYPALRCVLENLDAMKRLHITARSHSLKRIDKGIPLNIRSLNVEEQYTQKVNLFGNAITSIAIRIDSVSIFLYRQKLRFHVFGSRKQIWRREVPLWNTEKYLNYYLGEGRSKIFVNKLSIRLSRITPPAGVQLTINELDNIWSNFRIILPNINPDSFPLKMFTAYFSDSINFDHPIVHSSKYLVLSMNGTLAGTLLLSLGRLPNKAVLFNPNSWEHYEIVNIIKYWIENRKTIGTKYLFLYGAYKYILDILNKVSEEFSEIKTNLQEVDERGISKLSGVTIPINSQSKIYVYGVKKPNHLVVEVVSATELV >CRE17928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:199243:201357:-1 gene:WBGene00082563 transcript:CRE17928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17928 MGLFGETDDELAERLKKERRAKLIELRDQTRNKIKDFENHFSTKCEEERTEMIETKKKQIKNLRDETEEQLRNDQESNLELARQKNQIDQIQGARENEQFRSEKVTEQESEDKSNFEEIRRQCMDNTEKELQLKKELGKKQRDADKHEKKRQKKLMACKLSAHKTLNQIKQAEIESDSTNALHQGITDMKLIKNGIQGTLDECYRYLVKDFEWDKESRDTAIKHFGVLATRLQSLSVHIVNMQQMISDIEDDAEREKREKEIEDINGNVSQSGSCIVTFSSQLVSGKTEWDEDRAAKFNDLLKKITSGVCQLILYIVFMMNFPD >CRE17965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig30:582218:583029:-1 gene:WBGene00082564 transcript:CRE17965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17965 MSTTLSYPGLRYVLEFLDPMRRIHIASRNHSLRIIDKTIPIRMKELGMNRYGLNLNVYLIDTFNDNELRFRSGFRKDLGRTLPLNLKPKEAMKKLLHSYLGIRSKIYVNCLLFVSAIPKFVPPNILLQINEFRCSVCYSEHFLPIIDPSSFLLKKLRTTIDKPDHLNHPVLTSAKELIVLHGFDGLTQLINQNNTNKHVAFEINTFRPEDPIVLIRHWMKHGKEIGTAFKFHDYGNNQRTMHLLLEEFKEFEKER >CRE13121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig300:17451:22755:-1 gene:WBGene00082565 transcript:CRE13121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13121 MLLKLSILLLLLTQSSTSKEKEEHVEEFLNALAAVTTRNDDVLLAFKQLPNQLDHLMKVVVPIGAMRNVNSTLEKSSQEYKVLMDLQNRTKAIFQERNDRGTTEGRPHLSRMWQPNVAVKWNYEEAVTHPLFEMEFWTKKYLDPESFKEDGDIRNYKEACLTSNTAPKKMLKHIIYRLVTSCGAPVTSEEAKLLTDRRAYLTKVHLNLTAGGPFPDPSTYMIEFSSLSQQLLNKTVLNEELSVFHKYFMGHDDAMDVFLHEISHRPSIYLDLYEEHCLLHALIAVSNFNYASTYYAAICADVLHNGGARSIGVYTEGIIEDVNRIVTFALNYINESLVRLFKHFKISTCLCRYEYEIAMFHRDEKYQFYFNSTENTDHCYSKQNETGFDFVIGRISYNATDDTQKREVKDKISRLEKYVQKLDHMIKPAMDDMYAVNGY >CRE17790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3003:1467:1820:1 gene:WBGene00082566 transcript:CRE17790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17790 MSSKMPYKVLCVCLGNICRSPTAEVVLRHYCDEKRLNVVVDSAGTSNYHPNKSPDSRSQKHAIKRGYDLSGLRARQLKIQDFLEFDLILAMDHQNFADIQHVYNQASSQFWSCSNQS >CRE13763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig301:20323:20983:-1 gene:WBGene00082567 transcript:CRE13763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13763 MEIQQDAWMSKKPTEHNAQITATLTPTQFLFQPVVEIQSLNNQEPLNVNQILSEMTAVSSSLRSVVHGVANHWIQFVMADSSRRHYSTSVRPQAPQISLPTRFINAPLGLIINNIVISGNSAGTDVETEISLLFKGHLTHIFNTARYNYKSASIADK >CRE14343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:21821:25412:1 gene:WBGene00082568 transcript:CRE14343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14343 MSWFQSVWCQNTKVAKCLGFETSLETHIPQSWNVFFQLTKSVMDVNSSDQNGKPSINNSAEDQKDQKEQRERSELPPVLRPKFSKKRRRRRLKTKTTSREATISKDDEGTVDKMEEKPWEDEEKPSLDKPSVEKQSAEKDEDGHRDIVPRDTREREPGERETEEPECQDSEIRILTVEPQNSDTDLVKKVTTPVTVTTDDKSDEKRKKATKEGSKRARRKRVIKQKGSGRNSGRKQQLQLSDERRRRKKLNNGSKESLASPRASTYVPLKLDKSAENVVRKGRASNKQSDSMGPPSVDKRNPAKKKSFFKNIFDKTKSWMRKSDDVAQPTKEMLFKSYVSPEYDMNNPVDFTPDPTSYLKKILTRKDIERVPKNARCEREDVFINNRPFWIHRTNEDVIPKCKLLSHTGLIAQHSQDAQCFLRGLPERATTYNPKGDSLEKMMAIDKVIGVDPTDPTKEKELKRVTSNTFFSTCHVQAFKHSAEGKRKLEEFEAKWTNNTKDLAPPKVTFPHLHQSPGEKKDSGSGDKSQPPSTMLWVYNRKERPPPHDPPLSRTFASVAFTTVEPTKKQSKAQMSASITSESQQVKIGSK >CRE14341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:8057:8317:1 gene:WBGene00082569 transcript:CRE14341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14341 MLGIVQNYVLNPLVALYNVLDYGEDLPDDLKDQKKMLRTLEQEESDYKTRVKVLESSVRSIDLLIEVVKKTKNSGKKDRYIIMLLI >CRE14350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig302:36585:38129:-1 gene:WBGene00082570 transcript:CRE14350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14350 MIEPPSLPPIELHSLILYNIHQWKTAEKSYENYKKVCRWPVERNILSAEDFRYLFDQYSKEYYILSRNGRKLPKYCSEICIHSDFVEEISKKGSYEKIKDALGENMMYRDVFEYFYDKFELKAASKHLKFSDLPFDVIRIVVEYGDLKSKLTLRKVSRDLRMIVDQQKPAFKSISIENENYESIYVDFNDRSIVYTNNQYHPMKYKTKVIVNYHFEEIAFDDFAFAFRNPALQLSSLLIRLTDLECEQRLIDILNSLNHQIHVEYCLIEFGNEEYVINILKCLEPKTLNKLTVCYAPSDSNDGDEISFMSLEEVSTMDLWKQVKHVKVLDIPIISIDPFLHLTTFEVEVESISMEDLLKLRYVSRQRRQLLHFSMAASESTNFVSCTINSELFVIDEFIAISLELESISDSYYTHFYSIPLSNNVLYYRLSPFTIRMTK >CRE14451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3022:997:2493:-1 gene:WBGene00082571 transcript:CRE14451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14451 MGPQFELRCCIDPEILRINVDRQYYFEDETVRVNGSVFHKRHDYNNLLSVIPRAQVYDKYPLIDGVFYISPVSQFGPKTEISLDGRRFYLQQLCARCLWSDWSCKNCGKDEWFDGKSFVLGTLYYYDIVSSGRCCPTVCQTCRQPLGVRDQLATQLANVSLRRRVL >CRE15856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3025:1086:1973:1 gene:WBGene00082572 transcript:CRE15856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15856 MPENGEIISGVLVKNNFSYSLMVYEELGSYTSLRTSSLEQKMSVHYSNSVRLLLFNLNQLNDDATLLQNAKLKEMSKKGTITHAISIFQGKVIVSYYGNDHVAVVKWESNPVSDMYADSVTAAILHAQANPVPEKCGYLVSLAMSYSINFLDLPASSSFPPFNTALEGMVKHICGDDVSLVMSDRGLLAQFEEDGRRLPVEGNPDGPVTMGGDDPMDDPTTSHILQGLTEKMRQVSNMIVFLISPIVVQIVTTNNETDLIEDMEY >CRE15016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:25564:26277:-1 gene:WBGene00082573 transcript:CRE15016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15016 MSVPSVMSDRQIQLNGANSLVIDKYLLDLASYGKNEQFVLHFGSELVEKIRRRQSRAQVVLKKNKSAAAEFENRLSTMISQLLWMQLKNWMIFNRITFRNTGHNQTEANECRRSNSVQNGETPGNQNVHWQRYDSFESHVPRFGLRLMFMEAMIGFSSAEWGDECKQC >CRE15008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:36945:39375:1 gene:WBGene00082574 transcript:CRE15008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15008 MSAETGFFSALHLLAIFDLYLCFPYIKYMRQSEFTEALSRVADLLFKQSFEKRDEEQKRCQAEIGCTSKRFSSKIVLVLRERKEFLDKRSRLFFCALRGGGSGQTVHPQLSIDGSLLDGDSVAKPTPWTPELIGFYADVVSKKY >CRE15019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:44658:47402:-1 gene:WBGene00082575 transcript:CRE15019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15019 MEHNLINLSFTNHHTTIGPDKKYKKTCSEDDAEEQKKIEALVPFGEKNVDVEEPIPKPAETVVFECPEEGCTAVFSKYGNLKRHLSIGKHDTKPERETLLDFAMERYTENIEGLRESSIPSSLKEALVELPKGTEPYSNPEGWALPSKRVNKKYDKAVVKFVMEKFEELSQKKWKVFPKLIAAEIREQKKDGKLLFSSDTWLNYKQVSNLYHTFGRKSREIAAKKKMQDEAKHVNTKGHSTTQIPATIPPSVRTPANRAAAASVTHVPKTSSAGKKSKDRSKRATTDDDDELLESVLLELNSKEFDDSEDIYDENSEENSDFYNLIDEIEKEQKDIFDV >CRE15014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:16802:17370:-1 gene:WBGene00082576 transcript:CRE15014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15014 MEMAGPDNLVYSDTDSLIYTVPDGCDDPLASEIGPYLGQLTNELDGELEEFVSLGPKTYCYKDRLSSGEIKVVRKMKGVTINSEADKRVTFGKMKKMITEVLEDVADRTTMNLPQFVINRDRDHHVFSRTINKRFRFTFNKRRILMDESTLLFGYFE >CRE15013 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:13963:14417:-1 gene:WBGene00082577 transcript:CRE15013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15013 MQPSAKPGKPSYNPPAQRRNEIADPALDLSNGVVSPAPQLNDRIPPVHQRDGVVAPAEDNHVDVLQPENRDNAHAQQQNGINPPDPMQNEIVVFDCLCLTVKSWI >CRE15009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:41770:42570:1 gene:WBGene00082578 transcript:CRE15009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15009 MTVIAHIVPKRTNEKILYQRVYCSFTKIVHVLIARFVPTKSFKLSYKSHSSSVIKLQKEKLRIWRSQGNSTRYKEVSNLLKRRLRQEEKALHERCLENGNPKEFFNFINSRNKENSEIGVLKNKTNEPINDAFEKAEIFFSVMLTSKFSSNALKFMFVPFWNMGLLFSPPHLKNKLG >CRE15004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:383:887:1 gene:WBGene00082579 transcript:CRE15004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15004 MPATRVKVRSSSHMPKRKKRGQAFLETKPKLKSFMKAMPTNLGRFELHLPPQRAVMEGYGGGRGIHAVMAGVQCMVCLQEFTGPQGNRVPKLLLCGHTFCARCIDSLTEWNRARCPSCRAVTENADTAIHNNFVLFNNQ >CRE15007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:30081:30404:1 gene:WBGene00082580 transcript:CRE15007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15007 MSGSRVLATQCNSATSSHKLVDDSNVGDQLERIKKKESIVFREQKSVVTRIEVPIYKEILETVCSYPFAI >CRE15011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:4735:6826:-1 gene:WBGene00082581 transcript:CRE15011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15011 MGPNEQGFIHQQRFSLKRTSFKIERKNKMLGLQVKTQSFIQAGTVIAEFTGESVDISRKFLDATAERSVHYSLFKDGSTSVSNVPQTGQFVLKAPHNTIVQLGSRRFTGRHEKHEAIQINVKEKMSHMNHEDIRKLTENLKAQGKDISEFEELLLHDSVMDVGFIDSTLHWLRTKFYWIFIICEAVLVSIVVFFVLAYCCFCWCFVRKGTKTNNLSDVV >CRE15005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:10482:11594:1 gene:WBGene00082582 transcript:CRE15005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15005 MTSPFPLLHVPNLPLARIIDFMEPDALVSLSFCSQKSHSVIKTLRKTPHDERLHVGGSYILAPFLSLTNCDCVLSTSNSAYISSSEKEEYVKLGGQKVRVKMHRLVEYLITYWEDKLTGLKAITDYVTDLFNIDVSEVRVCKDSFKMIEHVNSKQKTPLEKVVYVDWNVIPSEDEMNYILRDCPCSSQICIYSEAVPYFRFSNNFRRIDCLDISNSKWVTIDNLLTMDGIDIHLENASLTNSDLNVFLRHCLSGGCPRLKLFCARTGSVDIFQVLSGLLDNAVLVEDRGDYTSPFGYSRTLSFGYDIQRADGVTATVCEQMNGTLVIAVWPETTYNYI >CRE15018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:33253:33941:-1 gene:WBGene00082583 transcript:CRE15018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15018 MAPPSPHRSSILNLFKAGVSPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNPHRSIRKMAKAETYLLPCKKSSHSLGSYNEETARKVKKASTHCQVVATVVRNPFACVHPEGRMATVLARPQSFGLFHLGVLQNKVNAKPHSSIEALKKTLVKEWDALSPEYLRATIDAYSRRLRAVIEKRGGRMEQD >CRE15006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig303:21765:25153:1 gene:WBGene00082584 transcript:CRE15006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15006 MLSKILDNVARVMSAWLAVGIALYRLIIIRNALDSKFDSLSTLSAGLKTLLAVFLPLFLLEFFYRIQFKLAPNNWEFEEVCGYPANYTVVYYELKKDEDFKLKLLDKSTYDFVNAILRVMPALILPIVTFGLIREMRKGKAAMRKRMNSGAQESKLDNTTKVIIFMTIASIISESPYGTTYFLVLLLANNREYVFISMLILNCKPIFDIFVSLNTMSHCFISLAISTQYKNAVVATFLPITSKFKKPENTIRVTQSRSLGDSVSNNMSQRRLASNVAS >CRE20662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3034:3698:3835:-1 gene:WBGene00082585 transcript:CRE20662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20662 MAMETHLNLELLAFEFKSLEDLRLFVLPDIPHEVVDEGVKRILIM >CRE20661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3034:933:2055:-1 gene:WBGene00082586 transcript:CRE20661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20661 MSSPSPFIASFLHINSPSSYHHSSIDRLLLQNGLSQSIPDTPSTVSSYEEVFKAMNSFEISYLHIKNDNFNGKIPKNLKELHIDNSQWIGYERLLEIDCKSVILEKNLITNKEWNMFFKKWIAMKTHLNLEYLEIDYRDIEKFRALVLHDIPHEVMDGGVKRVLKT >CRE17621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig304:9597:9929:1 gene:WBGene00082587 transcript:CRE17621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17621 MNTKKVTSEIKKWLARTRTTCKWFSTNIVGRAKRMLVINLNYPKEWKELTKEVYVKLYNWMRMSVEERQDVMRFYWAEYVEEQESKNEVSKSLDNILKELRRQFSKCNKQ >CRE17622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig304:13804:14061:1 gene:WBGene00082588 transcript:CRE17622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17622 MGKLAQKPCKSETDLIPIEKAVDAVKYMCEPGMEPQCFEEWKDTHILVSRKPVQDAVETAKFTNIVYGALTTSAARVKLYKVRLE >CRE17626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig304:41728:44424:-1 gene:WBGene00082589 transcript:CRE17626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17626 MMEIAIDLMKKYDGKMIKWDDTELSSNPDTNISTLSNDDSVIAIYAVSVREDNWNLSYQFVMKTISIDSIIPAEDFTEEKTLIEIKAHEGFFLLNRSSFSIACYRYSYAFQMLFYCMYNCHNVHSYCTILFHFPIILFVQFVFFIITFPAFFMLIHSDLDSYSTVNVVHSDAYLQCEKPPTIRRLLSSRTNYSDPNNLGACETADTCRCNYRFHKFFKPHMHQDILITQRIDNTTKMEFRIRRVTTVTMSCISIIFQVILYMIEFVCNTF >CRE17624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig304:47357:50613:1 gene:WBGene00082590 transcript:CRE17624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17624 MSATRKLIFCGCPVQGDEMMFTCHPVTQKYLSVLTRLLSNIQLPRFPDRTSYTSSMQNIVVEHAEKQAARELEDLYEEERLHELRCCIRECETEIRQGEEMEKLVTRRSSPRNEDLEMENRKLAEDFKNGVQCLQTELMDASKKEVEAAKQRHKMFDESLGKYKYCIVKFVISVSVLYYIAVLMINQKSDKQVSWMIIFDYLLCITHIFILASIRFEMEMIQHFQQDWYIFIACAVGHLTHNFMLIGLFLVKNKCQEDTKPFEVLQQADSDDTNKEKSKVKIAPIEHV >CRE17625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig304:60577:62488:1 gene:WBGene00082591 transcript:CRE17625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17625 MGKYSHLFKSTVTGKLKCTMCNYECEKKKSGSTFLQVNHIEKNHPEEKKKVEAKEKSIQNILTKPDGEKTVVVPSIFQPTIWPDNHEEAVKLTRLITEMICRDRLPLSFSENPGFAKLMKYVKPRYRIKSRFSFSRVEIPKLFKELRDNLQIELNNAEHVAIAYDLWSDDGLKFEVIGVLAYFLKDGEVVHRVLGLINCKNVEHSGHQISKLMREMLEKYNILTKTVCFMRDGASNAIKACEIIGLPSFDCLNHKLHLAAKDGTEAMIGLKRVIENYKKIVRKLKKSSVSQKEFTEIQKLYEIPELCLIKGIDVRWGSLRSMFLRAQECRLAINEFVMSNPTVPKISDSDWNLIDAVCDLLKPITEQSDNVQKRGSTGSIIIPLCTYLIDLMNENSEHPEASRAIALRMQSELSKYKKCNVLHFATLLDIRFKDMFSTTGVKEAFIEQAELDFERETPIADVEDCGPPPAKKQLNDGFLQYIQGKIKKSTKSEAEVNYGMAIKLEFDKFLAIPPDPSANPIQYWMSDLATSVFPLLQKHAKKYLIVPPGSSEVERIFSTASHILTKYRKNLSAEHFEQLLFLNKNLALMN >CRE17627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig304:45662:45901:-1 gene:WBGene00082592 transcript:CRE17627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17627 MPVIQVHVGADEKVMPQTSENWNSRSELHLEDDSGLCGRPFEATVPKQVRDLGELHTTDDLCDSEDTKGGHHIFLDDMP >CRE16469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig305:19072:21802:1 gene:WBGene00082595 transcript:CRE16469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16469 MSQLLQRVNSNSEDELLSEFSNILTPTIASMGTSQEISDTEQPDQFEKRTVIGEKDEKDQMQKLRSAINCHQDIFEALRDKIHSLKSRVFDLEYEAQEYRNEIRENQEKFENLEIWNVENETYYLEAIEELEKAKNVEFIAYDGAKMKELEQENKELKGKIEELKGELSEERRRNLTVCRSKLQVKFDELSYYMKQLELYQEIENRDEKLREKDKEIENWQLDVIDLKRKIQKPSSLVKQNTQLVEEIEEAKKTIQKLEQEAILSAQKVPDFPTDTTTTEDFSSVSKAEYNRKVYELEYANEQIAMILGDQEDKKKREDDDEKKMLREQIEELNETIRLMSQW >CRE16472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig305:44841:45332:1 gene:WBGene00082597 transcript:CRE16472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16472 MVFDDASWETLINDSFDKFVQGLEKEFRENAEFRKEVLRHERWRVAKKKEQIKKNVSEQKQEWAEKQAYANFQRMRELKKLKKRRKDQRKKVMKIRRQTWIAFRIFVMIFRSEIVTLDTLDIEFKNCKHANPSPISLEKTFVPRNKKKKKLHLCYEYRKLEHG >CRE16467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig305:3407:5109:1 gene:WBGene00082598 transcript:CRE16467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16467 MSQPFQRVNSTSEDELLSEFLNILTPTIASMGESQENSDTEQSGQFEKSTVTGEEGENEQMQKLRAPVNLHQNSRKSTPVPPSAINCHQDIFESLRDKIHSLKSRVFDLEYEAQEYRNEIRESQEKFENLEIWNVENETYYLEAIEELEKAKNVKFIAYDGAKMKELEQENKELKRKIEELKEELSEERKRNLTVCRSKIQVKFDELSYYMKQCELYQEIENRDEKLREKDKEIENWQLDVIDLKRKIQKPSSLVKQNTQLVEEIEEAKKTIQKLEQEAILSAQKVPDFPTDTTATEDSSSVSKAEYNRKVYELEYANEQIAMILGDQEDKKKREDDDEKKMLRGQIEELNETIRLMSQW >CRE16473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig305:46115:47062:-1 gene:WBGene00082599 transcript:CRE16473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16473 MITFRGISLKKETIEIYEILEPRTVKRENYDTSSFLKQQNEKIEPAEATPPKTKSTRKDAKSQRDNKDDEVTPKEIEKDEVVKTEEQGDGAKTKETEMTEAKKEILKEDDTLRDTKSIGKKGKKK >CRE16471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig305:27882:28055:1 gene:WBGene00082600 transcript:CRE16471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16471 MAPFQFYKLQYLAQFLVLQHMKPGEVFYLTSLSASIKNMAKRMRWCEQSPRSGCLCT >CRE16474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig305:47903:50075:-1 gene:WBGene00082601 transcript:CRE16474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16474 MSQPLQRVNSSSEDELLSEFSNILTPTIASMGDSQKICDTELSGQFEKKTVTGENDEKDQKLRSAINCHQDIFEALREKIHSLKSRVFDLEYEAQEYRNEIRENQEKFENLEIWNVENETYYLEAIEELEKAKNVEFIAYDGAKMKELEQENKELKGKIEKLKEELSEERKRNITVCRSKIQVKFDELSYYMKQCELYQEIENRDEKLREKDKEIENWQLDVIDLKRKIQKPSSLVKKNTQLVEEIEEAKKTIQKLEDEAILSAEKVPDFPTDPTATEDSSCVSKAEYNRKVYELEYANEQIAMILGDQEEKKKREDDDEKKMLRGQIEELNETIRLMSQW >CRE06273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3053:3463:5454:1 gene:WBGene00082602 transcript:CRE06273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06273 MVNSEVEEQFRGKKKESKFWEKMNVKLNKTVLSLQNELETKSQQQPPTSLEEPTATCVLSGQSIQGNDERRKLVSVLEANEIHTEDELNKLFEKYEHLEYELSVKVEYLQRSQRQTDSFRSELCRLKVKCDQQRQKLLAEEEKVKKMSEELKVKHSTHSANSATRFGECNLQTGEQKESTRYYNAETSEIIDTIPLQESLDSGRNWNQKIVEQNAQRNIIVHSEQGMPNMNAQWRMAQALPDPPVFSAGKNSVTAETFERAFYMKYRFFDIEAQKNFLETKFLSGNALTVYKGLPEADKNSVSRILDAIKRRLSQSEPEESRRAKSKFQALKLHKEQTVQDFCLIMDEVVRIGYKGVPEYQISSMKTTKLLDEMREHSVFEVLLQILGSQLRNCPVEEQYELCRVEATMFDEEWRSGKRKATQHEKRMNREHSDQYSNNVPRTGFTQNNASIPQQSSRFNRYTPNRQSNSYQTNSGTDSNGNQCTSTTGQQQNFSTSNDLNNQCAPKGYMYNEKSPECWKNLAHSSDSANNASSNALGFHKCSECNLTGCHAPTCSRAPGSNTSKVKINSNIVCFRCDQQGHIASKCPTRNTLLQEVRVAPEIQSKVEDQKFKMKSDTKCSSDKESERELIDHEMETKDLCEGQSVAASVFKKDMVIGCGQSAN >CRE16379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig306:61793:63212:-1 gene:WBGene00082603 transcript:CRE16379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16379 MIVGNIVSTFTISINVIVYISVRETPENERAAIMTHITGRGNEISQIYDICAILSKLAFCWGIRSHPDLYKKWKSLFGTAKINPKRCGSGMTPADVVGLDGKKLTFTVIEETQHHFDSLAEIWK >CRE15751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig307:9483:9974:1 gene:WBGene00082604 transcript:CRE15751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15751 MVFDDASWDTLINDSIDKFVQGLEKEFREDAEFRKEVLRHERWRVAKKREQIKRNVSEQKQEWAEKQAYAKLQRMRELKKLKKRRKDQRKKVMKIRRQTWIAFRIFALIFRSEIVTLDTLDIEFKNYKHANQSPISLEKTFVPRNKKKKKLHLCYGYRKLEHG >CRE23288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3077:2103:2543:1 gene:WBGene00082605 transcript:CRE23288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23288 MKVTWLFFFLLLLLLLFDDTLARGGGGRGGRGRGRGHSGSRGNGGSRGKASMRSGIRGSSSYSGGVRSGAYGYKTWVFFRSESLKIGLKSIFRDSSEPKSFKTAKLQKDSVLQRHSHGCSTLQQQNFPKSSILENFNSGTIRNKMV >CRE20580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:32626:33130:-1 gene:WBGene00082606 transcript:CRE20580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20580 MSFFNHWNYPSLGFQKRNEDIVGLPELGIAGISEDYPDEYQYRWRDSPPRWFQPDPCGVIVADDGSAANPLPTLEPTPTAVKKTSGKRMPRARKHAEKKESVAKKNVEKKNVAKKVGFHCFIFKECCESTLEINDGKLSMMLCKACVKLNK >CRE20586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:55206:55352:-1 gene:WBGene00082607 transcript:CRE20586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20586 MNLICIKPKCSDFFSHTIPIWNAITSQTSYFLSPSEFYTLISSSITRY >CRE20583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:40584:41213:-1 gene:WBGene00082608 transcript:CRE20583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20583 MKPGFSFRFPSQTTIIGATQSGKTTLLRNILENGNFDEPIDNIFWFCGIKTPSIPTHLPNLQVYEGLPDVELLKECKNQRNIVVCDDLMTEFGKSKESLNLLNTLFTVYAHHLNCAVFNLVQSAFALPPITRNNSTYIILMKSLSDAAQTKQLLMQQFGDGWRNAFAAYKECMARPFSCMMINNDPASHPHMRILTNILDEYPVSYDPI >CRE20584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:41296:42003:-1 gene:WBGene00082609 transcript:CRE20584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20584 MFVLSLLFAGARALSILTKTNPASRYNLLNIPSETNIHVNFQGYDTAGTPIMKTFTKFEETLIDSDNNIHVVNQDCNFDVIAPKDLLMHCRGRLMRIRNRSVQLLDQFSDAFTFDHVQRLTYVYRQRNIYLLQPNRTLPSWCVDDLRDFNVADGVLTILHTNGTITHNGTILARVDPALYSRLPIFATKDFRMKTLPCEENSINYVAVVVIVTILVAVIESCGRLLLLAKTKLAG >CRE20588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig308:64171:64655:-1 gene:WBGene00082610 transcript:CRE20588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20588 MILYLLVVALVAHSAVSLDTTKTLTSHVAKGCKRKDGTEMKLGESMVIDNLKHQCLGMGSSVF >CRE02788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3081:736:2127:-1 gene:WBGene00082611 transcript:CRE02788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02788 MTTTPSHSKLQPKFYLLVFLVSIGFFMQGLDTTIINTALPAMAESLQENPLKMHGIVISYVLAVAACIPLSGWLADRFGVRNIFFAAIVIFTAASLGCALSNDFNLLIVFRVIQGIGGALLVPVGRLAMLKIIPREQFLSAMSLMSLAGLLGPLMGPILGGWLVEYASWHWIFLINLPIGILGTFVTFKAMPNVAEASVKSFDFSGFILLAIAMVGMALGIENIASNEISRNLSLGLLFAGIISALVYAYHAHTHKNALFRSRLFKNKIYTIGVLGNFFARFGGNAVPFILPLMLQVPFKMEPFMTGVMMTPIVLGSLFSKPIIPPILQRTGYRPFLLVNTLLIGTCIASFALHTADTPTWLGVVHFFIFGVLNSLQFVTMNTLTLKDLPQQDASSGNSFLSMIMMLSMSIGIALAGTLLNAFTGYFSEAHITQAFHYTLICLGAINIITALIFFQIPKDAQI >CRE30345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3088:267:1166:1 gene:WBGene00082612 transcript:CRE30345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30345 MAVGRVVVRPSDPVGKVLRKASFPIRPNGSTYSCGSYGTLGAVLTQNYSLSPIGNSVYNTNIDGIGIRLYREAPTAPGFADYYPYTRSASGRRVLAEGFFIVEIVKTATSTGSGALVPGTYSSYRSGERQSPPWLTSTVYGNAITIASSSCEILGNINKTVTLPTVNKVDFKGVGSTQGEQAFDLNILCNGGKNPSGYEESNKISLSFDYDAVSSSTQNVLANTNTAGSSASGVGVQLLSQYKNSNKVIAKNDKLELGTLKSNDNIQYNVPMTARYYQTATKVMPGKVRAMATVTIEYD >CRE20065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:390:1732:1 gene:WBGene00082613 transcript:CRE20065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20065 MDECDSGNSASSGNHHYHGGLGGNGDIGAVYGIPQQRRVWQKPRGPDTSSDEYAKSVSSREFVSEIVCKTKRSPVKNQSNDSLYQLPESVVSETMKVAKNLLASHKNLTFDLNAEKQRVQQKTPQYYSQTHYGTGDHAHRLPDFSVPPPPLMTPQPLIAPTPSQMYNQQYPHQMETYQMCYYYPPRNYPSTSSSYPSASNSYLY >CRE20070 pep:known supercontig:C_remanei-15.0.1:Crem_Contig309:5175:6526:-1 gene:WBGene00082614 transcript:CRE20070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20070 MKSSPETPDANDASSESSSRVKKKKSKNAKKCKKKRRLEYWERFKICLSCLYKVSRCKCDTELEMSKVDWKPLKNDPQLTYSPFETTHIVPSLATYSEIELLVPEEVNKFNGPCDVTNFVTEYWKRYCQWEE >CRE09950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3098:3058:3962:-1 gene:WBGene00082615 transcript:CRE09950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09950 MAAASSSSSSSSAHSDCSSKSSIESISLPQYTREDSVEKRMKRIGDTVKVDEKRLAEWTDKLGPLYGSVPLCESIMDLHFQLIPAIETLKKAREHSSDKLKEEISKLIVDYTIFTVFLEKMVDQMHHDPDFLRAHNAFPTRRKRDKLCETPKE >CRE08620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3099:4:378:-1 gene:WBGene00082616 transcript:CRE08620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08620 MVRLKGVIPSVLTYCTLVIFLYLFVVKPLLPKLQDHLTPSVVDQNDLLDEMDIDCEYVKNGTKPPNLLKFMEMRNVEDQLLYSKNR >CRE22414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:155726:157691:-1 gene:WBGene00082617 transcript:CRE22414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22414 MAAKAPPAKAETPPKDFAITSAPFYTDCLCCRKNFESLKMTLLTGAAKSRMKVQDAMQDPIVVFSRPEKLDCEDCEGLKVRFNELLASMYLIEKDQEGFINLTCIVPAALYLMKGTAPMNHLIPAQYQTNFRLII >CRE22338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:529054:531044:1 gene:WBGene00082618 transcript:CRE22338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22338 MTCLLLLTLFVFITPIYTVFTYNATDDMALLFETTEYCDSQCRFNASEVSLDTINLWPVDCTDVCAILKFTSSSNNIPYEKLKSTFLNLKAIIGVFRVENTTMTNLSFFGNLTFMYNINKNVLSISNNPKLTDVSVLFTMGNSHLNYPVQFMNNPKLDVSPLCVRRNFFDFNRLYIVNNMKDCGCTVTIINYANVVNFKNCTSIFGPIEFSNATDPSKLSALSNVRNITGPISIKNTNFQNLSFFSKVERMKGDDSAVNLDIHNNPNMTRLGLDSLLLLHSGDAWFRVNIQGVHPDFCLTIEEMQVFTTFNTKYVKLEANYCNITTRKDGQKTCVFESMSALADDCVHIMGNVAVSTGDEEYTRKLSIVTNIYGSLSITNTTLKNLEFLGNLTNVAVLNDTSPAILLDSNSDLSDIFLLSMRFPYTPGPNAVVVQNNDANIFLSPYQCENYQNSVGAYVLYNGKGCDWVLSDGDYTEIDTGGAPGISEKTATRNCGVYWILICALLFFVNI >CRE22375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:779849:780746:1 gene:WBGene00082619 transcript:CRE22375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22375 MMRNLRGPICSNNTIQIYVSGLLECKNGPDGRKFARIVPSDLVEVLFMEVVAGTKN >CRE22351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:636365:638199:1 gene:WBGene00082620 transcript:CRE22351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22351 MPYTSKWPYSKFMKQKVDVPSVNFDGDRSTVGKGPDYHLLDNESSGDSSVTDETMTDESYQSPDPKKKTGLFKLVLSVFQRKKPPVRTDTLVSIVGAEEETGNSAEADDTIDLLTNEKQKIAFENRGYVKEVTQTPKLTEGNTDKEKAMEPTTSDNCVKMRFQDQWEQIESKQRNKMKQN >CRE22328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:395096:403491:1 gene:WBGene00082621 transcript:CRE22328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22328 MKRDKVKGKKETVRSASSTVSFPPTFENKEDETQVETLSRGEREEQSKENLYKWSYFFVLHDNFAVEHDDFQTKFDYQSILDQIKQKINEQWKITNENQKIYFENGERLVGRGASSLQSLGLEDGTKLIVRHGLESTWSAVLDRESSFLQEHEDHLKKEIYEDAKRLIDNLVKAHFFTTFPKLGDRRFVIEKKFLNSFDRKSEQIGPPCITFGSREDGTGAGCVCILDYESTISKYYVKTLHGAGDRSTNTRYNIDLKELFVYKFLQELIMGAVIDFVHGEIYSRRIVYIASKEIAGFKTLDYIRLAQPGTVEEYIECVNQMLFVYSVLMLEDHHTANMGCDNGHNPFIVDFLIIGRPMSSVNDIHEGVRMDISFNALLGTFD >CRE22483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:923862:924515:-1 gene:WBGene00082622 transcript:CRE22483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22483 MTTRRLESTVVALKEKIEKTRNETKEKFLSLTPLEDERDTLKLVKQEAIDFNEEHIKEHETRLKGLDREMVQFQKDFHRRKRSAGSSSETDDFHVLLGEKRKETRQLREKFVKLKAEVLSGVVQEKGDAPSCEICTDEFNEDENLPKALGCGHTVCAKCLKNLNEYNDRIVMCPFCRKIWQIKNCPTNLIILNK >CRE22422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:294304:298959:-1 gene:WBGene00082623 transcript:CRE22422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22422 MYAYNKYTVNMNQRRRTHYKKNWSEMVFDCQPPVEVDTYSQTKPVIYSNTSVGSLSARDGLEILKIDVVLF >CRE22438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:431689:435083:-1 gene:WBGene00082624 transcript:CRE22438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22438 MSVDPLTSSLSQMQLDDFAVPDEKENKSPEIQTESPKNTFPICKTDGKLVFDEPLFNCLVCGHGVPVLEWRHRQECFYSEENYQCPFCDRRRRPNRIEDHMNECARKRRISPLKRDKAIYSRRFSSQAVEKIMVEARKNVLEGYKTTLKSGEAILFCETEERHEGEFTVEEEQFKRAIRILGENGYQNGCLPRRKGKNKGQLRNHRRSHSPRDNKHVICIPFITDDITSTVRHSLKEVGLEVKVVETRGKSLKDELVHNRRFDVRCEKRMCRVCPFTGIGGCARKGAIYQITCECGEVYVGETGRPLSDRIDEHVRAANKPGLKSYSNTVWARHSKSVHNGESLKLTIKVLTVERNTNKRRALEATYINNIKPSLNTKEELTDMKEALLSFNSEILPHFKSYLGVLKDERIEDKVDLFESRWLRERPDEPYDESAGERLAERTGQKCDRDNEEFKKEKLVEIEKIINRHVKILTKEMAAARLELSDKI >CRE22311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:208868:209539:1 gene:WBGene00082625 transcript:CRE22311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22311 MKRHRSKSKNSLPPQMDPPLRRLSTPANGALLKNRRPNPKLKTELNIFNSEFNQMNPYMRTPSYLDIEYPPVIPPPISRSYSSSTGSSCSSVTYPSTKSSQSQLISPDSDYETDKMDEEQFTYPSTQGSESQLVSDDFRFETVKLDEEQLEVLSHMFKNGWIIMQVWDTEKMKPTQFQI >CRE22470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:811478:812268:-1 gene:WBGene00082626 transcript:CRE22470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22470 MHHFNRQPSNTPANANARLTGQPAARSQSAFVPTPSLQANSEDYLLCYNSSIGSTVTLWNLQRLNCGDRLQLCI >CRE22441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:448877:449390:-1 gene:WBGene00082627 transcript:CRE22441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22441 MSKKINSELKQLKEMERREAKLERQNEIMEDKIKQMKEVLQNQFREITQARQKIEKENECAVCFFPFDSATRIPRVFSCGHTFCEECAQGLITLKRHHLEPSNRRNDASLNCMYAVDIECPSCRGITKVRSGQNAQQLAINEAIAHAVKINEIFF >CRE22349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:629484:631013:1 gene:WBGene00082628 transcript:CRE22349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22349 MGWSLQATALLALFSLASASTIYSCLNGCQCDTGTRNPTIRCDNRNMTHFPLPIANPQKGFNFLALSCNNIQSLPDATFILTAYPDLHGIDIMGNAELNCSSLQSLEGKIATLSRCNYPLDPVHCLSAVNGQASDKQKSSCDQTCRSIKKLEELWDQIKKIAKQIDIENYLNVFAKALNDFAKKRT >CRE22434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:405399:406166:-1 gene:WBGene00082629 transcript:CRE22434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22434 MSAALAIPLTGMVLYNHVRTSTWRLHLKAFHWTALIANIVLASVIILNLEMLPWCVMFPFDSCDVDSGNCNLKRYMFFGSFLYLSLTFLVSSIERVWAINGFGKYRKSVWYSWIKLFSMIVISMGITYCILEEQDTDERESHCFVFSTKDGGRTFFKVYYGIWTLNGLMTISNRMLIQYFKKKKSRGLEVYSICSES >CRE22365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:724985:726852:1 gene:WBGene00082630 transcript:CRE22365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22365 MLLLRVLRMLCYKKHGSVATPKLMPIARKKTQRDLRKQSWRTAAYDKNRCSISSCDDNSLATVHKFHNLLIPSTKPFRQNENHTTDTRIDKYFLVCQDPYRLLIRLYAQYLPDLNATRFAASFRSLLVTVNDNRFNKRDEFISMRACEERRTTKNCEVLMCWQVSVIFHQHLCWNRGLAVTENVYLYNYCEMPNSFSHLPPMLSQGPNVVWGHRTSGALEIA >CRE22381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:841130:841868:1 gene:WBGene00082631 transcript:CRE22381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22381 MKLRAAILLFFLLTILLAKKYKKVRKPVTSAKSTIQKVVTKFTCSLNASYCIFGKLYETGWLSEELLQLPLICNRRGGPVLQKVAPFGKNNVFKKKDYQIKMQYYHNCSTDNMVRKIEKSFTYVPMKKTKGTSLFKIQLKDNGYLFDQTFGPFVAKLVYPENVLDWGLGRIVHKDDLGRKTKWTDKHGNLYLKMQ >CRE22383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:845952:846870:1 gene:WBGene00082632 transcript:CRE22383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22383 MSNLTYPALRCILEHVDVQKRLYIAARSKNLKKVEKAVTLRSKRLILRNRYISLNNFTVEIESESDKIKFVKTARMICERVVPKEIAEGNRRGVAVKKKIGKVNQYYFGGRSSIIADQLQIDGTIRELNLPENFNLKVNELNCHELNFQQFFSFGRIESSNITSQNEKFISKQVCSIVENFKQNENLPSMTARFSKAKAMMIANPLSDLKAEFQQYVSQLEGVDELFIPGSLRYCIFLENNTKIMIFGVRVQFGSRDFFELVVKVITDTTRE >CRE22458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:678303:678778:-1 gene:WBGene00082633 transcript:CRE22458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22458 MSFRRPFPKVKIPIEDVSEPTDPLGKLLVSEEEIHDFQGKKKCFQTLLAHPEARIQLERSLKRMALLEDLLIRVIVSNESVEHIVADRKQRDIE >CRE22360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:702471:703152:1 gene:WBGene00082634 transcript:CRE22360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22360 MAVPATLESYIWLPVKTVISSQFKSQRSCEGEIKDIRLNVEMKLEVLEKMMYLDEDEEKLFKVKIKSIEDLSDYLIDFGGGDRQWKKRCELKNPAFKKRFIKLEDRKIVLSAKRIRIQRQL >CRE22440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:444325:445239:-1 gene:WBGene00082635 transcript:CRE22440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22440 MNAHFEVCEGWGTFSEERKLCHTNEIHYRKKITDLDNLLESMKFSNVKIEEGEPILPQVIVPCFCVVNADHKPSSCLYKLREPEMRTRGRPLLEDIFKHLRDSEEKFVEEECRLASECQANISQQFLLNVSEETIAQTVGQGYRQYRRQNKGYGMYRLVVEMYRKVSAVDGMKKKIEKKTKEINENFEKKVAEVVKANQETLQEWENQSKQDKNKRRAAPGSSGNQAMKVPRHN >CRE22460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:687442:688608:-1 gene:WBGene00082636 transcript:CRE22460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22460 MDNNGLSSDVNVAPFAPNIPSEPPNGLSAEGRLFNAPAEPHPYVRQMGPPSGIERFPPPTYPTFVNPQMIFASKKDRSKWLYEFAERLDGTEKRTAEKQKIIKLLEKESTEQDITFTKLCEEYRIMQRKNEEMKREQADCPEFNQIEAEAQNEFLVEQEVVDKVTIHHRIKTVFDKQIETSCPSVPEATQLTPNEEIPDDRHPLLAYCENNEVFLNETIAHFEKGLLERRERLTKLMIDFSQLELDTKQRAAHLNYNRNIARARQGLKRKLQPDA >CRE22471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:813813:815767:-1 gene:WBGene00082637 transcript:CRE22471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22471 MQPIANQPLLPYPTSRFDLNTRSFPSLPEIHLTAPPPQQEPPQLAALREFLLRDGSPSEKEQQKRWCESIRSYGFLHGGTLLPSAFWPVHPRWLPPFLNNESEEIPLTIDSIDYPPVTTTPPPLPVSVEAADIPETLVEVIQEEGHTMSLTPGGDSIGDHVLDRELSVPESGFDLINYIDGSGSSMGSANDGGFSGSYMTPSMISPRGTPPSSISNCVIGNVPVSIPVSHPTPGTSGTQSPTAVPPPRRHGSRFTVLPLPNDERSERFHKRVMLEAHEVSPLPNGTDPEQTVRDYLFSKMGNQSGAAAGVKEKKQPQKKRGPKRKVAEELGARESVDESSEVLTDCALEYLCIAGEVAPGGGVVTLIKCQECQKEYHACCVRLSSNFNGQFVCCSS >CRE22358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:683122:684279:1 gene:WBGene00082638 transcript:CRE22358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22358 MAVSNLGPQSYNVEGLSDFTYNEKTRRQEYRVFWKGYSKEESTIERSGSIASSAIYYYWINTRFILQEMKKIEETRPLNETENKKKMIACLAMQNLHKLQEAGTPFDVGVESFSLPLHQKITLYRLQNKHDDPFGEHPKSEEEFAKFGLNGVLSFGQVERLSHFRYEEATRKQEYRIQWSNNSGRQSCMTQAEYISSSAIFNFWLHVYDNLNKCMKTEKTRELNVEEKKVKNSSCRAIQHLEKMRTAGQNFRPGCDPYSLPHYQKVSMRRLVANHSDPFGDSLKDGETDSDASSIESDVEVSSDEIDSD >CRE22304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:162873:163946:1 gene:WBGene00082639 transcript:CRE22304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22304 MDQATKILLLIAVLVGAGSADLAENPANPLEGICSGEQSCFSKPDNCTDPICDLILSLGNNVSYLYIHDIQENEMLHIYMYENGVQNDRIRVFLGNNPFTFDGSEPYGKEYRLSHKRFRVNVTVIDDDYDQYLYTYPGLMLKLGENVSYAYRKYRPQEGLQPVSTERIPLHFVESSKKPVGFIDHDMSFPPTTPLPKTEVRMPVEFSDRKLRFKKDSSVKTVDINFVLNDRMNF >CRE22342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:546115:547026:1 gene:WBGene00082640 transcript:CRE22342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22342 MDSNSTSTINLKRPAMESPNTIKKKRAMSLSDAPNLLSNTINDPAVKSPLSTKTYLDNDFQCIVNSLRSKSPENFSVETLSKVILYMADTMVNIQKLNFELIEKVSHLDKNICSLQKHQSDASKKTFAEAVSKSISGPAAQVSIIRAVEAANNSDLRKCAVVLKKTEQLPENSEDELLDTVAQMCQIAKPLSVFRIAQRTGPPLLKIQLQTAEDAGKVLSTFFKHRDNIPYCKNASVRPDLSKPELEKFRLAWKEVIMKNNEAEKRMYTVRNLEVVKIKYRENQEPWAWEVRPSAPKETSKSN >CRE22362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:714406:716847:1 gene:WBGene00082641 transcript:CRE22362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22362 MSGKKPTLVIKFGGKVLRKVPWEDWSTDSRSKPASNPRKVSWTKNFFKLEKIKKTNCLNLATSPLSSSSPSALSSSAGSSPSRTPTPEKTMKKKNTDRVNLPTSPSPSSPSSNVSSASRTPSPEKTMKKAHDVHFPEFLKPFLSASESTEQNKAPVVKIKRTYPRVYNLPPYLQKPNQKYNFSIFSDEEKKRRREERQKANEEKMKQLKMEEERREAEKKEAERMKMQKMEAERQAKKKEGMHLLLGKEVPGIDYDDLCHKIDSRYSEWEADREYEKELYEREKAEEKRRAILQYEADCYGRTVANHLKNLKQFDEMQTKKKEEEECKKKEEEERKQRVAEANRRWNMASPSADYDNLSDNY >CRE22318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:255501:257235:1 gene:WBGene00082642 transcript:CRE22318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22318 MTQSTMVIPETVCAFNRSVATNFLYLLPEIMCSLSGIASVVVIAYSFFLYGFRLLFHYNAKILMILLTLFILIFSLDIIFVCTRQVYIALTFQNDCDLVFDTASCSIFRRVALTCFVGVTSTQFSQMLERLVATVLKEKYEFQTQWLGGLFILFSVLSAILAVEWTLWNENPLEPMTHCLAYSASDSIGERAYMMFYGILAVDLLILIVFLALYQNNKRKVLTAGLNKKYQQYENLVVLRALFPMVLLNTIFVSTYILTAMVVRFFRECMTITNYKLIAINTFVSLSFILQFDIFLFQIFPYVSLLLAVTILITTKSEFRRRQQRKLKPNGKITTQTYFNQYQRQWSVISERK >CRE22473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:864533:866197:-1 gene:WBGene00082643 transcript:CRE22473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22473 MSTNDDIKKAEELKTTEEMEKSRVKFNELTQYALSHTLSEEEVKEVEEDDNPHIFENSGNQFGAMIAVVNAGTEYGPLLEHIAKQRERSKKVRAASRTFNRRPERMELLKELKKAEMKKRVKANDKTTKATSKKERSAIKDKK >CRE22379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:825191:826935:1 gene:WBGene00082644 transcript:CRE22379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22379 MPAEKRDIAKEHNEDSTPVTKYGRFERSQPPEVLFPNSISGSALLAKRRLQSVTKSPSSSLEKINSPTYFQILKDLRQIERDLNAPDFPATSSSNGPPQKVLVGNKFFPPQFVIPSQKKDRPEDNANHNKNTSSPETSKKFWPITATHWVHGPAYKKLYS >CRE22482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:913140:916826:-1 gene:WBGene00082645 transcript:CRE22482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22482 MNPKEIGQLGSQCSGCSSSIFPKNWTETFWLNLLDAYLASSQAPAEKPVVTISSASTSSLMPHTKDWMSHEIIQEFIKNYPVFEKIQEELNSSNPTAISPFDLTNAKDLSTSTIPRTEYQDSGALMTVEFLKALDAQFQMTIKPETSAKMSPFGFKVNPTTSTTPESEYQDSGALTTLKLFKLVNAERQMTSKPETSAKMSPFGFKVNTTTSTTPRSEYQDSGALTTLELFNAVNAQCQMLNNSETSREIAPNPHGFKVTTSSTTPRTGYQDSGALSTLQLFNAVNAQCQMTSNASRKRSRRAEKKLTVQAPTRFSDGSEIFFFMELFHLIHQGFEKVIRSHNCMFSN >CRE22376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:782305:783403:1 gene:WBGene00082646 transcript:CRE22376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22376 MFHTTTDFAKSLWSVLFKQSEGGESYSESEIIRIYDKSTSPGLANKTLQKFGCSLTEILTYSAYFYLDNQGWKIHRCNDKFGRPLDEESQQEMYVPTQHQKPYQDVHHNHSPNSNEEDRELIPGERGWAFYTAPKWTSRYDRNAALGLPSNGNQERGRQDSFPSTSSVIVPPAVLERFASIVIGSRFDGHQPFASKRQLPASRVVFGDAREVLKTNIAAAARKLPVGKFSIDDLIEEIRSLSNGDRKQVNDMTRYYLFNMNKRQFSLVDGSGGAQANKLYE >CRE22413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:153947:154901:-1 gene:WBGene00082647 transcript:CRE22413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22413 MNRPAEGGEEHGQVKKVCTGLERPEKPNKEPLDMNDTKKYPLLSVHYEEKIEALGTDAEISEEVKHLAKLASYHQCRAMLLSRQIGNTYFEPVVHKKSLAAEDCVLCATEALHERGFCKNLTNTKRFSMTFDKLNQSYINSLKEWHRLDVEVPARHFRDQQVTRYNRFGTAFEHLAQTGTFVVEDLHKKLADMATEMNQEYRELRGRLEQKANDDVNTVLKRRTVAIEGYRQGCAEMDAKIRAAAASEMEE >CRE22385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:858763:859629:1 gene:WBGene00082648 transcript:CRE22385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22385 MMRFVKDYKKMEKERNMEFSLLALLTVEFVFCVFGYFMDSSLNNPVVETFYTIWIFNLLVASLLNITTKPVIFSIYRAGFRMIYLAVVVYIFCSYGYMTVSAYVLAFCFADFVYLVNGVSNEQMTYPGKWIAMVNRKWRISEKEAGVEHARFDSPISDVEAMKNLDDMEWDTSCDYFTADDDDFIFPYPPMKSSESVIV >CRE22341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:543042:543922:1 gene:WBGene00082649 transcript:CRE22341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22341 MAPDPAPVFEPVIGNPAPPPARIVELYTCGVCTDEIVKGEYVQHLLHCASGTNIANIVNLAFQLQSKIRKMENSIRNYFGILYVDDPVELPLGKCYHCKHRYSHKGWKNCFEMRRADYMMAIFEKTDYDYLEIVKRYQANFKKAKIMMLWLKQKRELEEKKNGLRIYGVDPSNRESLKQLPDSILKPLRQLKAKHSRNLRTLRAKLGVETERLLEFYKNKRQAEKTTFITVLLAVDTMNPRQTPLQFVNVA >CRE22387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:882465:883114:1 gene:WBGene00082650 transcript:CRE22387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22387 MSTARTNTTIAALKAKIDKTRKAIELRKSYLEPLEKEHEEAKLRVNKATEDKKRILKNHAARMRGMKNSKQNFQRQLQNKRQNGTTSQNEKFHAQIEAKRKETRERRDEFLKLKAKAQTGSVQTNRDAMSCGICLENYDNDEKLPKVLDCGHTICLVCLDSLEKSNGHLVSCPFCREKCSTRNCPTNLLTLNK >CRE22430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:375522:377027:-1 gene:WBGene00082651 transcript:CRE22430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sra-38 description:CRE-SRA-38 protein [Source:UniProtKB/TrEMBL;Acc:E3ME48] MSEDWSIPEMGSCESDRLLYYNPFYLFAIVLHGLSSLLSFPLMGIIIKKHAFSSHGHLNLLILMSLNVVSCAILAGLTAWSATNFFVNLIIKENPCDLLLRTEFCSKIRAIYLFAFCLVSTSHAGILIERMWATVFVKSYEKQGTVLGAVLALAAIATSAVSIYFVTMEEDANELITTCLTFSASKSVGNQIYTMFYVQLVLDAIVSVVHYSLYNYNKSIKAGSSGSLSEQFQRNENVKTLKQVTPLLILSNITIGVYIFVISVFRLYKNYLPPNWYEIIAALLFMMPHMPLMFSSLLLIELHKNKKDLNAKHEKLMANQNIPKTDQFQLSIGNWEAEYEARYNTGAAASGNPNLHLWSLAKLKTKLKKKHQSKVAVISE >CRE22307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:191476:192282:1 gene:WBGene00082652 transcript:CRE22307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22307 MTLISPPPPPIRRSSLVKPVEYFYLTIEQRLTLKKARVVLNAVVDSMKKNPSMDLKAERIIKKARDIHALVNTILESDHQMFFKIKEQEFKDGLQSLRDDMKKAFF >CRE22477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:893565:894311:-1 gene:WBGene00082653 transcript:CRE22477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22477 MMRFVNDSNKMEKERNMEFSHIVLLTVEIALSMYGYYSMDSTLINSVVEAFYIIWIFNLLAASLFSIIANPLLFSIYRVGFRMIHLAAVVYIFCWYGYMAVSAYVLVFCIADFVYLVNGVSNEQMIFPGRWIVRTTEKWRYSYEETNVEYARFDALTSDDDEPLFRKDNDDDLIFPYPPMKSRNADNF >CRE22390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:895140:896010:1 gene:WBGene00082654 transcript:CRE22390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22390 MDNENKRGRQASSSSKAEGPFSTLMGNCPKKSMEKKKTFCQTQTQMESKPEYKLDTLMEYALGQKISKTDAAKWKKELSFEVLNVTGNEEAISQVIADMSSGPHYGPVFEDLKKDVPQVKSMIVARQEFIDAPERLKALYYIKADSNTTAEQPGPSNGKETGSSSKYNQ >CRE22423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:305616:306651:-1 gene:WBGene00082655 transcript:CRE22423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22423 MPLERASTPLPTRSKMDRRIERLEKAKAFVGQILDEITNTKGAYKFISFLSVTFMILYMLIVEPESYQIKALKSDATGMVCLVELALGSTCVSAVYGIVHRRALFLAPLLFLQNVVIIYSFSYYWYPYIAFQDRYAVESLKYAEKIGEAIVIHGVLIFNVLLRSSPLLFMMFFMFLTVKTIYCIMFIQHAKNQYYLPSYNAAVGNNSHVWSSIRRKISARQLLDNSTQTPGNRTLPDPDLICEMSAKFRNAKYPHPNEQTPEGQEMC >CRE22433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:390309:391544:-1 gene:WBGene00082656 transcript:CRE22433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22433 MLPRDRPELSNLLLGLHFHTTHLDDCLDYLFFCEKIKENGMEGEYSYPLTCQLDSWDGFLHLHYRNPCNVHDSRNDVFEPKILDSILLITVSASLLPIAILAIGSDKTELECLFFLQFITGFRNFDIVSCGVILTEILAGSLDLFIVLSVNTRIFMISNCNLRFDALQIVQLTKGTMIVSFALAVTQILCIVLAKKMVDIPNEFFKNFGPFPLSIVLYLPLLQVCVLLFILRKRNPKVDGKVDPINETKRMWKHGPKTTGNNSLFFTNCSPTPVSVF >CRE22406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:96950:97264:-1 gene:WBGene00082657 transcript:CRE22406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22406 MRSMMMTRCSRLRYSTLCYDXXXXVQQINRLGVTPSSNLPRSHLVHSMIHGIHGWRMSIGDACRRSIALERTHRAPYCQHGHRNLLGCLQSTQFLPTPMSTVSS >CRE22312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:218126:218817:1 gene:WBGene00082658 transcript:CRE22312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22312 MAFLNKVKNLFRANTTEAKLPSYIQNNVNPRDHWNIIGELGDGAFGKVEKAVSRTDPKLFAASKSIEIQEGEELEDLLVEVEILTECKGHPVMLGLYSTYFFENKLTVILFLFSKFSKNVINFQLLLEFCGGGAVDNIIVELGHALKEDQIRYIAYYVCDALKWLHSQNVIHRDLKAGNILLTNDGQVRLADFGVSAKLKSDREKRDTLIGTPYW >CRE22310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:207524:208158:1 gene:WBGene00082659 transcript:CRE22310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22310 MNQSDKKNDFQSMYREIREQQNEAKDNFMRQHYNKLPSWSRQEMDSGVLGSQHATSGVLQSLCKSVQERFNEDKEKFMKDHSDKLPSWSKF >CRE22334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:490830:491893:1 gene:WBGene00082660 transcript:CRE22334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22334 MAAEMEHNISTEEDDDGFEDCVPGGLRPNYKIPIILSEMKEDVLDEIIHSENNSLSCASCSAHFTDFTEMKTHLESCSEIPDKNHVTEYKISQYHTNALIVSIKDMYEAGTSGLNPVKTETRLPFYMEPFAGANVENHNRIGGHFAVVTCRMCKCQYLHRSHELTTDNFGLVGVLNEDEQEFDPSLIKYHVKLCPEYEHTHETFLMKMDPIVGKIHYHYNELFNVYKTIRSGIYGSGFKIRTTSDPYSWREMGTIYEVDESNRGLLNEIERVGQPSQFQYFDFKLHNIEPENVDFVRTNYFDMLDETLDKIFFTRVTGL >CRE22461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:689462:690113:-1 gene:WBGene00082661 transcript:CRE22461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22461 MTLKTKYEVGMEVIAKSARNGMCEATIVEIHGSSRIKFIRQGPPFTPRYEIVSKPHSFYPTQVVRIDCEKCKVAEIEDLETKFVVKFPDEIRKVSAREMSLRKPTIRNEKKERKAAERSARAARRNLQDLQKNL >CRE22474 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:871896:873555:-1 gene:WBGene00082662 transcript:CRE22474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22474 MIQRGAARTTDTTTVEPTAVRGQRGDSGGTQGGAEVYNNRPTSARRNSLLCEECARRIDSICLIVIAVPAPYFLVVVQYVTT >CRE22380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:829541:830423:1 gene:WBGene00082663 transcript:CRE22380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22380 MAEINTSKPRGAGHFPCRHCYKVFKYERNLHEHVYGNHPPMHYCFLCNNILPIEHKPIQLHMITIHNLPDTKTCACCDATFARKSIYDNHKKQIRSGDKVKLETAIATSIRYRDPILSIMNPKKKMEVEEQEATKTLMNKLPYKIVYSNDKIIPKSALPILAEAAVDIIQAVGFEKLGIRAKKRKIDRGPASQMRTS >CRE22464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:696090:696626:-1 gene:WBGene00082664 transcript:CRE22464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22464 MEHQMMMDPTTDYIHFTGLITCKYHHAPAIQSIVLWEHNILTKEFLPPQEVNLDQTVHPYKYDIKATAFGDYPTFDYEFYVNIVHNCSRWMKSKVQQVSYPRFDTVGNVTCNWNIQLD >CRE22392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:921790:923094:1 gene:WBGene00082665 transcript:CRE22392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22392 MSAPIRSPPSSPSSSPRDLRALDSDTDPMEFGESDNSIHHNVTPELGHRENLVTPELPIGDVAAAQVTPSTRPVFSMAGYHSDSNDGAGPSGLPSIYLRRISSRRGRGRFRVVRQPSSSSDSASTGNVESPPKSDEKLSKLSARTSLPLDLEMDIDNLESMIVSTSRPPVTRKRPSDAGGIFDLKVKHSKNDGESSVPSHHASLSIAGVEITGQSGQTPSASGEPSVSATETGRSQITSSGRIFARRRAIVRRREASQEDSNDMESETADKGPSDEQSSDSHCRRDDHGDKSSNK >CRE22481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:911187:912215:-1 gene:WBGene00082666 transcript:CRE22481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22481 MPTIHYNDEPFKHVRNMEFSLLFFVLVELISASVGFLLMEPKSEVDYNVIKVFYFVWTSNLVIASPLFVVMKSNIFAAYRAGFRIIHLTAVVYTFYSYDQRVVPTYVIGIFIVEFVCLIGGFSNDKMPYPGKWIAMIVVNDSQEDYDIEMADFKKPINDLIVTEDSELDTTSNYITTSNEDDSNSLCSSIRSLDIVIV >CRE22454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:620328:620600:-1 gene:WBGene00082667 transcript:CRE22454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22454 MAEMTSAIAVINVEQPETAIPMFEKLSARTDARNKRQAIKRGKQWICLKWTAIAAVVVMVLFVIGVIIIFTLN >CRE22469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:809457:809825:-1 gene:WBGene00082668 transcript:CRE22469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22469 MNHQNGDPAAPPDYPLPNFGYMAAPVQQQVLQVIYYYPFPNFPHYAGLPGAQFQLVLVFVPPAAYQVAVLANYQGAQLLAVQYVFLHVQLAAMIPVVPIPGIAPETERFYHINFIYFQFTLY >CRE22359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:693183:693656:1 gene:WBGene00082669 transcript:CRE22359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22359 MSTLTTIEEEQEVSVEEISETRDSEIELLLSDEELRDVYGKKRSLETLLTHPRAKTVSLQGYINMLTTYYDAFISYQDLKHTKKEREKLEGSMKRVANLEDLLIRVIVREEKLLTVLAEHKKRMTQ >CRE22462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:691358:691929:-1 gene:WBGene00082670 transcript:CRE22462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22462 MSSQSSYQPILDKVHTTQPELKDYVYLPAPLEPELVPEKRCFFCQPICSVFSVCAYFAQICCTICDCEEVDEDLDDFDF >CRE22357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:677807:678129:1 gene:WBGene00082671 transcript:CRE22357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22357 MSNPTTYAAIPGTVVTAQPTAHASETQPFARPAQDPPKRKCSCCQPCCFVAALCVCLVKFCGAICDCDDGDEFDD >CRE22303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:161046:162337:1 gene:WBGene00082672 transcript:CRE22303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22303 MGLHTKILLLFAVVVGLGFANNTTDDASVKFSNTTKDDVGNPATSNISSDSQNEISDAVNDPRAVSNTPAPSIADPQTAVSVDSVPQTSELEGICSNDQICFSHPKGCNLEDCDVILSLGNVSFIYVDNFNFLEMVHIYCSENGVQDERVILIIQSTPHALVVNEPYGITYITTAKFTMNLTVINEEKGQYLYTSPGLLFKTGENITYAIRYHEAGMNDASIRREQLFFVKESTKPKEFREHAATLPYEIRLNYNRTEKNQQKKIDQVEVVNLRAKKESPIKTVDFYSVWRNRMIFI >CRE22432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:385310:386863:-1 gene:WBGene00082673 transcript:CRE22432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22432 MIYSCVDEVSLIFHPIFQLLTGLSVAASIAAYVFLGVFLVKFARNIFWHINIKLLFFFNMIISFGLATVVVVNFFALWYRMIWKKENCEYVIQLKDCFYIRAFFLGFFCLIPLNHVAMNIERFWATIRLSKYEKSNSILGISLIFIMVFIATLIVYLVMLPEDFTEYAPTCLSFSATRIGNNIYFLYISQGIMEISMIVVLQLLVLFNKKRKLDIIEENLSKKFQRNENLAVLQQIGPLIIVTATVIGLYNGVALLIRPFRDHFTKNQYIIIVFGIFIIPYLPFLLTYFSYRTIQKHLKDREMLAKQAVEIDVIANANFSNDQLLWDTYYIAKYGKKEPKVSTVRCWKKYFSNRIENHQ >CRE22378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:822519:824007:1 gene:WBGene00082674 transcript:CRE22378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22378 MFSQKRMSIKESGDSPAPPLKQRRILPMVDATGENKTFGMDMVPYTTRPIEPSFPVTHFATPLHNFYTMHPTSIFSYVSHFPPLHPNFEFGGWSTDPTFQYMASGSMMNYMPDFYVRETDATQELPNFTASICRSSLLIRRFIEKSTNSHMTSDFMQSVQAKWKEYNPKIGCGSRVSDTQNPLTSGIGQRIPKRKSLVGEAFFPPEFFVETPSQSSTVPIGNEVELNYIGTQQGETDVVRFVMRPVPDVDPLSKIISSPTYVVSSIGFGIDEACDAIISAFDVI >CRE22343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig31:550325:551213:1 gene:WBGene00082675 transcript:CRE22343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22343 MTPEPTKLPELLLSHHTEPTELDENMECGVCNTEVKKNSFLEHLECCAAGTKIPHLMMNVFFLEEEVIARDDILEKNFLHLINDEPLELRNQNCLYCSNADMHADGLCRNVKRTHFLGGMFQNSANEYFDMIKLIHSLKNHATVLMLKLQQKRKMDRIILLLQVVFRIENAENLMECLPADAIEVLKKIERKDTEAVKKMRLELRKIAEEELNFSELARNLCLEEMVKELLTMDIKNPRISPFEFVNTA >CRE18272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig310:8049:9557:-1 gene:WBGene00082676 transcript:CRE18272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18272 MKTTKLLDEMKEHSIFDVSLQILGSQLRKCPEMEQYELCREEATRFDEEWRSGKAKQNEKKMNRQQSNQNFSNQQSNYSNQNTSYSRNKQTVGNDETSQNWRERSQGKFVPTNNAGGNNVVNKSVGFSECSECRLTGCHDPKCSRAPGSSTPRKSNPVVCFRCNEQGHIAPNCPQKSAQQLNSQGDMAKVQTLDKKECLEMPEAEKSKQNKNSRTPVRIEQGRIGSAEVNFVIDSGACISVISENTWKEVVEKNGGKEWEKEAILKNPEKIDVYAANNTPMNLLYQVKVETSLHSRTRDLKFYVTDIDRDTVILGIDQFELLGIQMSFQKKPRDIRMVRQVKIPPGSEKIVEVSVEGTIRKDKSLCLITPMVSCLAPAIYQIRKSGKARVQMSNLGKKSIFLKKGELVASGEVEGFDVIEENEENLKLLEEFFERSKLLEQDMETINLIETNVNSGERWDILCEQLKKTCAKSEEEEDVWKVIKDYQHIFATDDTELGRTNV >CRE18273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig310:9674:10411:-1 gene:WBGene00082677 transcript:CRE18273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18273 MGSTHSAVERRLGALGKLKKKGKWIPHKLSNFDLERRVDMSLQLLTLHPNFNWLDHMATSDEKWVLYENHHRRAQWVDADKQPEDVIKQELHPKKILLSFWWSVHGVLYWELLPEGKTITADYYSSQLQKVKTKLKTSPLHGHRVHYLHDNARSHTAKTTKSLLATFHWTVLAHPPYSPDLAPSDYHLFSDMHRSLEGQDFKTKSEIEKWVKKYFDSKQPEFWRKGIESLPTKWQTVVDKGGHYV >CRE22699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig311:575:1566:-1 gene:WBGene00082679 transcript:CRE22699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22699 MMKQDPEHMLQLINRVNEWLVKARWRQAQYAVWSVIKLKNKIAYRTAQVTKLQSLTRGYLTRQKFSRPITVYRKACALLKNSKQIEKILSHLNETSRAKWTSSAHSTIKDLEKLVAHIKVSSVDQIEKAENAYEHYVKRVDSMISDLRRQQKNDEMEELERKRKEVEEKERKEMERKLEVERERER >CRE22139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:29381:30483:1 gene:WBGene00082681 transcript:CRE22139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22139 MKIPLFLLLLLSFLASGCEFEVEYDYETVSPTDCSSCPPFTSLFYSHYANASNFETYYKVSDCVYNYTCLTVQQKENYVIVNATDDIKVATGYFMRVHLTDKPYPEYGDPINVFDALGFQCIDSKWYATKFPYGLWHNGATRNMSAADLVGIKLPIQAMSILCN >CRE22137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:25792:26003:1 gene:WBGene00082682 transcript:CRE22137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22137 MFLCISTTFWWYSSLVSSIFYSVSYWEQNLKMCRQDPKYPNLLPNRHDMRL >CRE22151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:41867:42771:-1 gene:WBGene00082683 transcript:CRE22151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22151 MPPRPEGHELPRFFEFAREPLDRARLDRQKNKYSFYSSEKRERRLTRLKKVCKAYFAYYEDDKDIKNTHGYGSCCEVGGICYLEDIPSSGSQLIEPFYKQTWFFVACGGVLLLLIIVGVVVGYFICRRRKGKSGQSGKSVENSGKKNKKKDGKSTALF >CRE22148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:58825:59584:1 gene:WBGene00082684 transcript:CRE22148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22148 MKLFFLFLVAVFLVATVTADDKCGICKASVKSIKDSKELAYTAELTTEQIENIVNKHVKHCEHIHEEFGCMISAFVSGAKALAHT >CRE22145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig312:47038:49863:1 gene:WBGene00082685 transcript:CRE22145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22145 MSFSTERTKKRSSSVTSSGKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLLEPVKKYCQELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENAKKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWPSRDSDDEFSMHGRRGEFSDSERSWGEDWKSGRSSRYSAGNEVMMGIMASMGRMMKASALPEPKTFDGTGDFNEFKRAFLLKYQQVTDEDDELVAILEERYLKGAAKSVFKSLRDRHERSIAELFVEFEAKLKKRQGDAKAEALQEFDRLHRAPGQKLWGYLVEVEKWSKKAYPEVGKATLSQMRTTKLMRATEDDDMLQSVLVAKRLELPLAEQYDQLKDIVLQRENEKLRKQKERMGRLRDQGNSDGRRSPEGSNDGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQKGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTSAYERLKAGCRGWEKEVEVFGKPTFTILNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVENWVEKILIGTNAFESIGVELKWKDPYGLGNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKTVAVRGERGRSASTGDVGKVFKSEGEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTMTGWTHRFDWGEVKEAVVLVEYTDKEDENSKGVEFVKSIAKEVEKVWMMPRNLQCEFGDVAKVTEKWKIWMEKSVNVEVVDPLMPVGKHKIPLILEKWNQKSLDGLRQYLRMALPNNSTGCQLKKDETLGQDTTIWIGESLRKRTPDRREEGEVASPRFFSHETHWKRRNQRREGTWNPDDPSHVKRSNMNSS >CRE12453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3122:2850:3971:-1 gene:WBGene00082686 transcript:CRE12453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12453 MNSKPRLSTYWVTCADGLETLLQEEIQGLGIQDIEKFPGRLIFKGKLEDAYRVCMWSRLASRVLTPIHVHELEFTHDARDVAEELYEGAINFDWSLIFAPQSTFAIRLHVERDIKVNTQFATLRVKDGVVDSFMEAVGKRPSIDIKQPEITLYVLAGKTEHTYCLDLSGDSLHKRGYRRFMTDAPIKENLAAAILQKAQLKQRNPEIILDPMCGSGTFIIESLMILTDRAPGLVRRFGFNGWNGHDHELWMSIKAEAAERHKVALEQPLPKFYAYDADWEAVKATKQNIIAAGFEQQLDQIQIEERTLADWPDFQAEGKTSFIVTNPPYGERLGEKASNRALYLGLSALLQKNFPNQHAAVIAAAVEQADVLH >CRE17794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3123:5041:6404:-1 gene:WBGene00082687 transcript:CRE17794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17794 MGMNPSSVQNLRDSIKPRSPNSESTVPEEEEDKKDDILFSAATPGSWISSTSTCNENPPLPVAPKETSGENWLEILLSVYETSITRRRMFYCNGSLREMIGGTGLKMRPTRFDERYYKEKMRFELILYVEMMNSMSVFKQFDLDTKEALIKGCAVSLAMLEKYYISVKYDGLKTQKYEFLGSRIEPM >CRE17793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3123:3231:3833:-1 gene:WBGene00082688 transcript:CRE17793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17793 MMARNRVMKDWFEFYAKQGKTSEEAGLLVGNTLLLLTAVRNAMAVHRENFHVIRVFNVMEYDKLIDDLAFT >CRE29404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3129:464:685:-1 gene:WBGene00082689 transcript:CRE29404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29404 MPSQKELVEVSYLICVSYRINRCYSNLCWLFFLSPSPLSPRGRFGLTTPQTVATSPARSFSFKRKFCVCGNDS >CRE29405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3129:1085:1966:-1 gene:WBGene00082690 transcript:CRE29405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29405 MMNTDIKTIINKSLTLTSFLADKEIDIRFITPFSPWKGGIYERLVALVKNMIYKCLKKITVSLLELESLLIETECIINCRPITANKVHTADAEPVRPIDYLIPQSSMVLPESSKTISEVLESGKTEKLTRRLIESTAAVRDNLWNVFSDEYYVLLRESMPRSTAHNKSPPTPGTTVLIVTEKVARYMWPIGVIQKLISSKDGKVRAVEVKIGQKVFQKSVNHLIPLEIPAEERQDQDAPAAGTPSDLHKQITPAKAPPQRTRPYLPRRAKENKVTIGHDQQLGSPSNQPLASA >CRE21584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig313:19293:19667:-1 gene:WBGene00082692 transcript:CRE21584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21584 MNCRFLEVGKGSQKRSIFRDELKILANYWVSSDELMSWKAYFFHDIKDHDDGLAWDKIRRPQNIRYTDPRTGRDYNVNGEKRMDYVRTDGTIATVVKWNANGR >CRE04484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3131:91:4369:1 gene:WBGene00082693 transcript:CRE04484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04484 MSMSRSTIQELCDFVQRTYLPTSIDVNPASLYTEFSTLPAASPRPCHSFRRTYAALCDFYDQPYREEVSWDVEKIYTANKLKDLKIDDFSHLLPKDLLPIVGVLQYSSYFTGLICDGVRVSSEVIDVVLSVIRKSHNLRKLQLRSCALPK >CRE20993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig314:72154:72973:1 gene:WBGene00082694 transcript:CRE20993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20993 MPRGRVASSGASGGGSGGRKRKNEDGGNTPKRPKKTDEKENSSRTTTPSTSTSSLSESGSPPNVSTPSQPPLVNYHSTSRRKITKPVKLENIDKTFNTCIDE >CRE20988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig314:1138:2062:1 gene:WBGene00082695 transcript:CRE20988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20988 MFDDLEIPVAEVVEIDDGDEMAEQGNDGMMELDDDVGGEVAIMMAIEAHNVDIRIAAEAAAAQREAEPQLQELRRRHLPGRYPMVVRARVGGPWGDGRPLLENDYGIDQEQRRRDPPLDEEIEEMPRLPFQLPKDARDNL >CRE19629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3148:3221:3754:-1 gene:WBGene00082698 transcript:CRE19629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19629 MMYKFKGFVPKALHAPFDGWIADNATVIGQVELGQQVSVWFGAVIRADNAKIHIGNFSNVQENAVLHTDTGIELTVGDYVTIGHQAMLHGCTIGDNSLIGINAVVLNHAVIGKNCIIGANSLIPEGKVIPDNSLVVGSPGKVVRTLDENAENLLKMSALHYAAHYKNFIDLEEFKFD >CRE24839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:34427:34627:-1 gene:WBGene00082699 transcript:CRE24839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24839 MVCENNEWYLTKYPHGVTYKTSTEEEFVIGANGELDGKKSKINLFTCEPPS >CRE24840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:35390:35988:-1 gene:WBGene00082700 transcript:CRE24840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24840 MNYLLFFLLVTVTILSLGCKEVCECPDLLDKLYWPDRSVLYTEEAGCVRNITCQSTYDSTFVDFYFTDSEIPRPVDIINDYGAANTVNSDAPTGPNIDIFEYFGMVCENNEWYITKYPRGVTYKTTEEELVIGANGEIDGKKSKIESFACEPPS >CRE24833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:7386:8419:-1 gene:WBGene00082701 transcript:CRE24833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24833 MGRGKPLTDFEKGQIAAKNDQGLSNRQIARDLGRSLVAINRFIKDPLNHGTKKSPGRPSLLTVRDKRQILRKASNAVITCSKIKCDLNLSVSNETVRRVISKSKFIKYRKMKKAPMLTSVHRQKRLGIRSQNIRTDWRQIVFSDEKKFNCDGPDGYNSYWHDLRKEKLRFSRRNFKGGGCMVWAAISSAGRVKLCFVSKRMDGSEYRYVLRRSLLPFWRRNRHKNYQFMQDGAPCHRARKTIKWLEDRRIPVLTWPACSPDLNIIENVWGYMARKVYEGNKSYDNVGQLKKAIVKAWHAVYQNLLDNLFLSLDNRLYELTLNSGGHINY >CRE24837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:27256:27568:-1 gene:WBGene00082702 transcript:CRE24837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24837 MHKFLIFLIIFPIFGSSEQCKDTMITCPDVKQNCDQLNVQRYGFGENWIFLLILSVKFEFPVTFYPYPDGFHAIFEFCAFCLTQKTQNER >CRE24830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:37012:38552:1 gene:WBGene00082703 transcript:CRE24830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24830 MNYLLFFLLVTVTILSLGCKEVCECPDLLDRLSWPEKNETLYTEEAGCVKNITCRPSYDLTFVAFIFTDSEIPRPVDSNNAAGGITINPEVETGPNINIFEFFGMVCENNEWYMTKYPLGIFYGTIMDEEFVIGANGELDGKKSKIDFFSCTPWNTYEIEVKTHEVHFQTMMTYNPKTHTATVAKVVRMDRYGSTADCTSAVFDTPMCYCRIQGPLSYITNIFDWF >CRE24841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig315:42603:43656:-1 gene:WBGene00082704 transcript:CRE24841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24841 MTQPNSSAPNSRSHKGKNSRNRVKDGEDAEFLDGLGITRKRTTTSYGIASSDEGSERKRRSRTGQCLYINFGKKPVSIIVPQRTG >CRE24273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig316:20172:20506:-1 gene:WBGene00082707 transcript:CRE24273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24273 MIRSPFAISSIANALVGILGTTSRGLHTHRCAFLVCGVQTIHDHRRFFFIRYGHDLRYPKGYVCRPIPAEYEEADCEVKSENLFPLEVLIINH >CRE24275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig316:32643:34288:-1 gene:WBGene00082708 transcript:CRE24275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24275 MNDPESFRHFLNRECPRWDIRNNISRISRKPTGARFWFPKFKRYMTVEDYYFIQYGHDLRFPKGYVCRLLPAEYEEADCEIKPENLFPLEDNSPKNWFTLLPMCEGDRTMSERGQTVIYKGDIIPNIPTGPFAIEEMAKGLRIIYDYGQQRFEKD >CRE24274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig316:27557:27895:-1 gene:WBGene00082709 transcript:CRE24274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24274 MATATGGMIDKKAKHQETSPRSLQQKDKLIAVVGAAINGLSNVLLRGVTLDPAIFSEEIKATIENSLNHLAKVVSSQGIGQNGSSGSTMEHEFTASPSPIPTEHLDESRNDC >CRE24276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig316:37504:41595:-1 gene:WBGene00082710 transcript:CRE24276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24276 MEETLKQVQTMVSHIQNMIIHTDNTMMSLERKIGEEFKQLNERLDVMEAYLKSTDQDVAFMAREMSNQQDQAVLVVGPPALSDEMIKRDPEREVIIQMDPEEEDGVQMEEEWSGCYNTKNLGDWGYALCKNDVAQDIVKWWCGMINRQIDFNNHEGRGPLTFNQSVGYVKKSSKRNPNSPPVNANQRVVLASMNSPQGNNLNTTNTGILRLMAHFGNKHGKYDEFTIIFMK >CRE23764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig317:3958:4377:1 gene:WBGene00082711 transcript:CRE23764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23764 MSVSRDVFQTNTELAKFRHPSSTTRIVPIDTRVSVGSDGAQFKARAGAVEWKHDNGGSISAARVGGNLGFSGDGMNAGFEGKAVWAEKKFGPVHVGAGANVDTEMKMSADGVGVTALGWGFQAGNNGYGIRTPFCNITI >CRE23766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig317:7945:11746:1 gene:WBGene00082712 transcript:CRE23766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23766 MIAQDRFVFTTSKDENVTTVVGIRDLTLGDPRRGQGANIIRFNRPSGRLSNFYRICINPGGVKNKIDPHIPVPKFMVECAQDAKKLIQFSIACIFRASVLAALNHILIFPVWSNDYELSSDILIIIVRNVVLDLIFPFITTKIGSKIGYYLSNMEYTPGNEWNKWFVTIVVIGWIFVFLCCCAFAYSVMVCLKNHGFRLEKVGKELYKVDVVGGVIQHGTNHEYRKFGEVLADFLIENEKTAVLISSYEIGHYLLDESDLCESSTSFVFDKQYFITVIENSHLKEYIPDILESEEFVYGLNVWLCLFDHKPSKEDIGTVEEEMTKLIPNPCELYNWKMNIELLAGSSDECEILWLNPQINRVNMDSNNKNEDENQKSYKELFEAIVTVEAIEKKLYETTEKILDVCIETFKF >CRE23765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig317:6171:6590:1 gene:WBGene00082713 transcript:CRE23765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23765 MSVSRDVFQTNTELAKFRHPSSTTRIVPIDTRVSVGSDGAQYKWKASGAEWKNDNGGSICAARVGGNIGLSGDGMNAGFEGKAVWAEKKFGLVHVGAGANVDTGLRISADGMGATILGFGFQAGNIGYGIKTPFCNITI >CRE23763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig317:451:1395:1 gene:WBGene00082714 transcript:CRE23763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23763 RNAIVTKHLSIASSPPENFQYSGTFQNYGTLILGSGFWVTLDNLIAMKSKVIIIRGSKLTSSEFNPYLKNWLSGGGSYEIKYLSVEVKSLDLNLVFKDLENQVVLVEKRRQYTWMEEGVLEFGHSYDLTRDDGVITTVYQRAGRDGTRMFEMVVWPDFYGNQF >CRE23768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig317:2266:2610:-1 gene:WBGene00082715 transcript:CRE23768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23768 MSDAYAKASIKNGPEVGAGVTLYKETDSSGSSTLAQVGATAGLTNNGLDVSADAKFVWVEKTGEFFNAGLGINFDTGVKANSDGVDASFLGWGVKMGPSGVGIKTPIASIFGKF >CRE23173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:5403:6348:1 gene:WBGene00082716 transcript:CRE23173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23173 MIQFFQLFQYDNEWQSWNNYLFVAIMFFLYITYCVLVKKLAHGQKSKKSKAIFIQCAVICFFNTVTALVYNALSLMTPAPWIVLLGQLCWSINHGCPAIIYLTMNETIRNSFLQLFCRHKVSTRALTVLKKQIIAHWMKIWKCFIGIDST >CRE23171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig318:794:2026:1 gene:WBGene00082717 transcript:CRE23171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23171 MKIPWDQIRKYGGNYRALYDHVLKLTRQVNELQACLMETCSTKVSERLSSACPMAQDPPAIGEPVFCHSNNDVFSFSSSNGDECRPTSYAEISSKNLPKQLSTLSIAQEAAKMLDKATRAVIERFPDSKDDPEQEKRDLEFFSTFSAKHGLPSPSQAHRHPSKTACRPLKLQFASNSERDKFLNGFFKAKNADPSLSSIQSRPRARRDLTREELKRLYESRKFVYDNNLKEKSSKFIMVDIEYKLNKNPRPFL >CRE13871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3181:2495:3553:1 gene:WBGene00082718 transcript:CRE13871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13871 description:Amino acid transporter [Source:UniProtKB/TrEMBL;Acc:E3NVX3] MDISSHDEHLPPKKQKFYQILYVQVIFAIVLGILLGHFWPDIGASLKPLGDAFIKLVKMIIAPVIFLTVVTGISGMKHMGTVGRVTGKAMLYFLTFSTLALIVGMIVANIIKPGQGLNIDPTSLKSEKVVEYAEKAHDASIVDFLLNILPTTIVSPLTSGDILQVLFVAVLFGLSLAAVGEKGQPIVDFLNNLTAPVFHLVGMLMKFAPIGAFGAMAFTIGKYGIESIGNLLLLIMTFYITALLFILVILGAVARYNGFRITDLIRYIKDELWLVLGTSSSEAALPSLMRKMEKAGCEKSVVGLVIPTGYSFNLDGTNIYMTMAALFIAQACNIDLSIQDPNLIIARCNAEF >CRE17717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3183:4063:4629:-1 gene:WBGene00082719 transcript:CRE17717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17717 SKISLEKGDGSSLTKIYLVAGWDVAKSGGFLGGLFGGGGGDSIDLDASVIIFDENNQPLDAVWFGQLKSKDGSIWHSGDNRTGAGDGDDEVIHVDLTKIPPQVKALVFTISSFRGQTFEKVENAFCRLVDSNTNTEIAKYNLSAKGNYTALIIAKVYRHNGAWKMSAIGDTCNGKTIHDMLPSIIPVL >CRE17715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3183:15:719:-1 gene:WBGene00082720 transcript:CRE17715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17715 MLNNISIFLDKFGFGTQKRAMSVQFSNLELNSQIMLQRIDGYHAVNEGLSAELICLSLNPFIELKQFIGCQVSVDQVTDSGRLFRTTGIITGASQGQSDGALSLYRLTMQDPTSLWHKRRNSRVFMDKRVVEICEIIVKEWQSKSALFAASLILDSSGLTKDYDVRPFSMQSNESDYEYLTRILREESINWLIDESNYLVSSNSIEPQKLRLIDDNAQFKALERRTIPYHRSNAT >CRE29222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig319:26851:26916:1 gene:WBGene00082721 transcript:CRE29222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29222 MVHPTTPESSPPSYRLDVPKQ >CRE21103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3193:1191:1735:-1 gene:WBGene00082722 transcript:CRE21103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21103 MDFLNPSPTVFPTVQPLQIPLPLDQYSPPPSQFVSEHDGESIPDSQKDSGKNDSPVALKLLSFAGSMVKKLFKSRKQLLETPVKKVPVSRTRATKPKKVSREQFGEISFDDTPLRVLDKSKGKLDVAVMKCCAGKLVWTFEEGRMILKMCNRCVDLNRGYARILD >CRE24370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3195:1735:2184:1 gene:WBGene00082723 transcript:CRE24370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24370 MADIEEEEANRVAEEERIRRENELLAEEPMDEGDEDKRVQEVRLAEIEKVINETCIDIKNQTKFSTKQCRVLLSPLIGKILEVEEEFRGKKKESEFWENTNVKLNKTVLSLQDELERKSPPQPPTPLEEPPTTSVLSGQSIQGNEERWKL >CRE23289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3197:933:1829:1 gene:WBGene00082724 transcript:CRE23289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23289 MTLEQATLPVPQFDQISLDQLKQNIEKAIQDGQNFLNDLVQVPESIQQQLAVIENVDSLENNMSESWGILSHLNAVMNNTETREVYQALLPGLSEYYTQIGQHVALFQTYQNVYDHAIFSDLPTAQQSAIKLALRDFKLSGVALEGEAKKRYAEISARLSQLSSDFSNHVLDSTQAYSKTLTTDQLKGLPQGSIELLKQYGQQRELDQPVATLDIPSYLAIMTYAEDRALREEIYHAYVTRASDQASDTQFDNAPVMVEILSLRQEMAKLLGFDNFAEYSLASKWHPMWPLLINFWLI >CRE21811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:507926:508719:-1 gene:WBGene00082725 transcript:CRE21811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21811 MNLLTVSIFALCAQLIDASLGNPARKQKFSTEKCLLEINKFRNVMAKKGNLANMHEIEYDTSLEKTFPTCQEAMKASRDDNRIHFFESNTCTGGPPDDKTISYHANDILTSTNMNMKYNRRVLHPSHKTVGCTFFKEACMFPFGKSGEAVVNGLCVYGGSENVEPMTGLTKGEAASKCSGGKSEMWENLCKPTSTSSVQSTMFHFAFLMSFVIFMFFFID >CRE21732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:312109:312722:1 gene:WBGene00082726 transcript:CRE21732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21732 MAFYSSNTFCSAPVKMSPCYGMWDSQLPGLEIITGLKILIKAGAVDHIFEKHGQDFEYAARGAFNLEAKEYQVANYIKESILNATEIFITDYGVNRADSLALYYKRTCDKMHHRFFLAHEGGNVYRINTGYKLIPEEQFFFTELGETE >CRE21798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:386257:387146:-1 gene:WBGene00082727 transcript:CRE21798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21798 MKVLLGIGLLLSFNDVLAVANWKKRATISEVMSFMESLNAFRRQIAQSYNVGNMNELRYDIDIEAEIEQTIKTCNDVGKVTKYVPYLPPDEGTWNIVKKWNIDDKSKLIEEGNQEALQKFGFMMLLVKNLLHPRQVKIGCFELEEPCSVDLEDGSTFKFGVSTIKYEVVCLIGSSLSDSPTDVVKGEPGSKCDSGQQQDGLCKVIGDGINWNEEFGHGGAAGQAAALSSKENVSCLFAFAFILTVFVWL >CRE21795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:369971:370621:-1 gene:WBGene00082728 transcript:CRE21795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21795 MTWTPLGLLLLLCIQNAILTPFPAARRVARYAASQELLDLINFQRKQLAEVGQIADMYEMTWSDDFEKKASQLSCENLRSPGANYMTAVLYDKATQSRINSGTQKEQEQASIETGTIAFGFPPQFKIGCTDLQTPCPIVGTASSIVSVCLIGPSSNWSLDKVNHGAPGSQCSYGKTDNGLCRAPM >CRE21783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:124873:125771:-1 gene:WBGene00082729 transcript:CRE21783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21783 MMLNFFITIILLFAATTVSASPAALHTGFQQKNLEHINSFRSRFANAAQIANMQKMRYNRTLEAAIQNYTSSCDLLDDMEDMPTYAILDKKFIDSHILQTEIHQDHLEIYEDYMEMFLGPHRTVTHILHPLATQVGCALMTTPCKWPRSHEISLKDHNGEWSKIDKIQTICLVGKVAAIEGNGRIYGTPGSKCLEGQKDGLCVSKDSCVCDNF >CRE21718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:2575:2811:1 gene:WBGene00082730 transcript:CRE21718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21718 MFFVSANPWVSFTSFDLNVANMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA >CRE21801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:402408:403157:-1 gene:WBGene00082731 transcript:CRE21801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21801 MKMLLRLVFLLTVSVFVGYTASADLTQTDRQAVEDGFNKIRLAFAKKRHIGNMHEIKYDMGLEKKAKEMSECKLLNGDGYMHFYAEAGKNLETLDPKEFEPMIEPLQTKFGFAEIEDNCDKQGMKAGDELYLTGPHSSYSDPKKGPVGSQCPGAKNKDGLCISGGSGSGDAAKSGTDVSYLGSLVAYLSIALMTLF >CRE21818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:546003:546496:-1 gene:WBGene00082732 transcript:CRE21818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21818 MEANYTGEIRANREDLARRSGMKSAKILKHSDDSKVPEPECGTDPPEVFDQSEIDEMDKILKEDVDKGLEMIEKKGEETYLSRLIPTRTEVNCKVKKCDSGKAVGHCICGPKKCEMDSEGLCKNNGSSNLYTFCIIISLFVCYSLVL >CRE21765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:685311:687196:1 gene:WBGene00082733 transcript:CRE21765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21765 MFPNGTPKSSLKTAPYRIPLNRRGMTNASSIENLSPSNSMSHHQSVNRSMKIRESKYDTGSSSSTSGMSNPQLGTLQATVDGRWSPYGFAATQLYDNIQGVPLDRETCEANLQKLVRNIREIDYYEFLTSAQQYREQGKPLLEKTSLQLAFMAALSNKQYGKASNHPKQEVRLFSRHNVHPDFQKQSNLGGYHLFSLDKACAKFDGIVELPNRKYFQLSKAFRLEAVAEWCGSDQRKSLRNFGRYLFDEIGKRDNQMICYSASQNAGMYLPVSDAFFKTITDFAVEGFGKEHTSDVSALFLLTLKDVLSNKLNRLRKSYNHQYGKGGSESAVMWLKEELAKPNGALIRSEIVFSPTNDGSNYVYDEVDQEDTIPEDDEDYENREEQIIVDY >CRE21821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:622036:622556:-1 gene:WBGene00082734 transcript:CRE21821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21821 MDSPPAKKFAGGSRSPIENHSNAEEATRKENIPPVATKKSGSVFKKYENYLEEKAKTIHLPTAEESKLTPRFLFDDSVLIVHMCHPCRAVNRALPAIQVDGEYQEMALRLCNICCSTLKAMKTSKFFKHDLPCVKRNSAEK >CRE21816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:541783:542491:-1 gene:WBGene00082735 transcript:CRE21816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21816 MKVLITAGILLVCAYCVTSTALPRLKRKEEDFEMTEDARKIVTDNANNFRRNLAKAVEISNMHELEYDSDMESQVKDFGCEGGDKLKKLEEEFERLQKAGDVDGVIKKLEGVIDDTPISCWDPERTTIACKRKLCDGKDAGNCICGPSGSKVNVKTGKAGSDCDDDEEEDEGLCSKKGASSNLFFFGTIVNMVLFYLIASFF >CRE21746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:502307:502976:1 gene:WBGene00082736 transcript:CRE21746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21746 MKLLLAFSAILFIWHAPLVSAIPADVQQIVVDYMNRFRESFAVALPVSNMNAIVYNASLEKKISTCTVAEHAGKDHRVIFFDSLNLDKGPDTDGDIDSRRAETVMDPNNHHDDVEPYRRTVNPLNAGIGCIILRKPCPYPGQPRNAVDKATAMCFFGGPEIRKPLEGLKRGEPASQCPNGKSEISDYMCKA >CRE21770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:733815:734488:1 gene:WBGene00082737 transcript:CRE21770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21770 MNLFIGLFFLILVENGFSAPIIAKRDTFPDKAHLVKQTNRMRAEIAEKKQIAIMQEVHWDTDLEKIAEGLRCDNYKNPRSNYMVLAYPAFFGNATEKKYVIEAMVNLDYHVNSIPGQSKIGCYLPDIVCPIPHTRTSIVSFCLVGPKTSRDDGDIKKGAPGSQCPNGKAANGLCKAYYV >CRE21819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:547191:547984:-1 gene:WBGene00082738 transcript:CRE21819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21819 MKILLTVGILLVCDYTVLYAQDNAEEYIDNESYNKLLEFVKSVNEKKEEADKILNSRLEFAEATKTKAKALKWNDKLKIPEIDCDNSHDERTAEIKKDLEKEQEEEQDVGKLIERLEEEGEETMLSCMVPSRTEINCKSKKCEKRYIGICICGPKKCDVDDDGLCESGSSSVFTFGVIVNFCIFYLIVSFF >CRE21789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:170617:173424:-1 gene:WBGene00082739 transcript:CRE21789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21789 MLYKIFLGQSFLEYVYEMHYSTNELYFSPVLYNCTGTEIYVDRHLVLGILYFTMGFLAQNFYLLVLKTFWFHEPFWEHESPTLIVCAEFAGIWSILGLHPCYNMKFAVFSGCLVFGTWHMSCFYVLILAFSKSCEQHTHTVFRCETQCLIDMLAQNTRPFPYSSTIQPSTFQF >CRE21806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:421671:422447:-1 gene:WBGene00082740 transcript:CRE21806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21806 MSDFYVTLVSNAQESSTISNFQTHLPSTLVFNKPYEVALSSIIYPTSHDLISNAREANGKLENEFFVTAGKTVYLCRVQKCTFSTPNQLIDILNHTFSKSVNTATNSTEKHTSTLFEYNSLFNRVTIKQMKNISRIELSDRLSYFLGIEKISTKFPINGQYPMFSGSDLMYIYSEDLVEPQTVSHMKAPLLKVISISPGNEGNIEQSFTKPIYVPVRVKECSRIGIQIKNDRDHFIPFNSGKIVVVLHFRPTKVTFDG >CRE21787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:156237:156320:-1 gene:WBGene00082741 transcript:CRE21787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21787 MSLSFRLLSVPLVVFKEIIDFMTIGQM >CRE21769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:731769:733038:1 gene:WBGene00082742 transcript:CRE21769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21769 MGANQSHTENDDSGSSGSSDSSMEKTSYLEDYPNPLGHFKSVHVIKDVDGIIATHTESTHRSKRFRKMNIAFSHRISVLNPDAFLYVFVACKVNNSFWKVRANVTVRVRNFNDQRDSIIHYCGVLDFSSYNSATQALQRRTSISLIDFIYEDSKFVRNNEMIVEVDIRVVEVEGFYQPLVINYRLAPIHSKNWFRIFHPNVIFYCNKAILKAFVKSGSHRMNFKQPSSGSFEELLDSVYGFPIPISNPNSVRNLLKYAIASNMSDVIQRVGTTIIHRSNINHSCRKIAVRFNLRRVMATINNIVFPQHAWLNKNKSINKKDVEDLDVEKMSGEIMKAIVKRVLEVGWKMEDESEEEKLMMSELFLWGSYKK >CRE21815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:516675:517438:-1 gene:WBGene00082743 transcript:CRE21815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21815 MKILYIICILFAFFAQSVVSTSKDYEKVNVDYLNRARNQFAANLPVANMNELEYDVALEKTFSSCQTAQKEAENDNRVIMFRSDSVTEISFDREGEAQKLVNDNHVNALLYKLIFHSQITSVGCVQLDMECAYPGPGQIRLTYGEPRACVSSTDLKMQKYQITSKEENQRHNVQMEGLRSTKTCAKRRNLLLVHSFLQLTFSLQLSSL >CRE21754 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:588213:588953:1 gene:WBGene00082744 transcript:CRE21754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21754 MISAQCVYPAATADPQLWTGTDAGRKKILDDINDFRAKTATAFKISNMHEISYDVDLEREAQASKCVRTPSGPFMVLRLTPSPAVQAKINSTTPENQEEIWQEILKDHALIALGPEQTRIGCAEHKRQCDLGVCLIGPQTSVSDSDFTKGEPGSNCPNGKASSGLCKASENNTKKPDADVKQQGVDVEQKEGNSSTGFVFSTVSIIVSVVFYNFF >CRE21727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:239820:240822:1 gene:WBGene00082745 transcript:CRE21727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21727 MFSRTFSGICQAMCISVLATNRLSAIIFPNRHHHIWSTKRLRIAYAIQFLPGMMAGMATLFDKTQLYRNSKNGVIPKFRNEALVTYFFLIAGAFLTVVCIYLIFAYCYLLFVLRRNTKMIKNSAFQKSRNQIKKKEMKLFIMSSITVAIQIAALCLFVSYATSILVISLDKFYLLFNAISDLYAGINPYLLWIFSDSLRKYILIRIGFRKKKKGPSSSVLTVVVH >CRE21782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:122264:122656:-1 gene:WBGene00082747 transcript:CRE21782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21782 MLCKVFLCLLLISGVLALECVFQVKTEYTKLDIEGGVDKCESSDGYCAKLNYDGINAKGCSMTAGKILGVTGLDCTDVGCSSDGSWCCCKGDKCNSSQRLVIFMPLAILAAVKMFL >CRE21729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:275115:275833:1 gene:WBGene00082748 transcript:CRE21729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21729 MKFLRFPMLVIKDVLQNLDPIDLINLSLSSKKMSHLISLADTHRFRVDLEHFLIISINEKQYNIQLPKNVSSRVTVMITIDNAWPAREWLHIYWNKKWTELLVHILRVFKCPLTTVNSKSMPNSKLIEAIQIMSAEQCEIKQMYIHQDLQKKKSLRNIIENLNITERLIITRMGKITRTVIGGVPSQRRDAESGQPDSNFSRN >CRE21810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:500138:500855:-1 gene:WBGene00082749 transcript:CRE21810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21810 MKHLVVLGVFSILCAQIIDSAHVSEQDKKAAIKFYNRARNNFAKELPVANMNEIVYDDSLENKYPICEHALQLERQDSRVQVFHRLDFTGPDSSGIYTHEDAKKLAKETGEKKVRDEIWFLNPSHTRLACHLFEKPCPKQESLKGLCIFGGSENVPPMSKLIKGEPGSKCPNGKSAKWENLCKTSVSSTISYLGSVLFFVIFMSFY >CRE21802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:408678:409379:-1 gene:WBGene00082750 transcript:CRE21802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21802 MKMQLRIVLLLALGVHFLHSVSLNDKEKKDKIDKLNEDRKKYAIKNGIGNMHELSYDMALQAKADSMSDCEIKNGDYVVVLDIKKEYWHILQTKIGCTILPRVCNTRDMPQGAELCLMGPHSYHATMDDKEDGGLGTRCPGKLAASGLCVSGSKSDDDKSTSNDGISKSLSTAFFLFGVYISALM >CRE21752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:564718:572297:1 gene:WBGene00082751 transcript:CRE21752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21752 MMKAVLFLAFVATTSAFRLPFQVANAVTNITKGGQTLEQTGTFFVANLTLGTPGQLFTVVIDTKTSDIVIPDVSCSSASNCYNKRRFNQNNSSSYYAYGQQYTYKNNLGTFKGFVGKDTAVIFELTGPAKKSHQNEKM >CRE21774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:792213:792759:1 gene:WBGene00082752 transcript:CRE21774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21774 MFSPASLECYGCKYQGYPDTFYDSNEKRSCFCKCGHALCKQCIEKLVNCPICDTEIKEVRNYAAEKLLDSYREDPVIVFRRWWSNEGNQEETCIKCCEPCNSLRMCITCFSAKLDFLVVYGDPDKEKPWEKNEIKRMRRRQIEEFQKKCE >CRE21745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:495836:496063:1 gene:WBGene00082753 transcript:CRE21745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21745 MSDKTSSKHSTLKRDDDRMIVEEIPMSSKERRKRGKKFTKLIASSVQVEDETKLRFEELTTKCSEKERERLSWSR >CRE21824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:632422:633081:-1 gene:WBGene00082754 transcript:CRE21824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21824 MSLQTNSTRQSAGFQFRFPSQTTIIGATQSGKTTMLKKILESDAMFEAPIDNIFWFYGCDTPGIPRHWPKLRAFEGMPDVDVLKQYKNQNNIVVCDDLMNFFARDKKSLNLLNDLFCVYAHHLNCAIFNLVQSAFALPPVTRNNSTYLILMRNLSDAAQIKNLLVQQFGDKWRGAYQAYQEVMSKPYQAMMINNDPLANPMMRILSDFTGDYPKAHVPV >CRE21739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:400146:400846:1 gene:WBGene00082755 transcript:CRE21739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21739 MLLRLSILAALLFVLSVHSTAIVKRQSSDTQQAISAFNDARKQFAEQNQVANMHELSYDGDLESKAKSMANCDVKPGSDYMVIGSTDSQELNVASGVTATFPLQTRMGCAKMSKQCVENGVTLLGVCLIGPHSQGSKSDYKQGAPGSQCPNGKTSSGLCKTSSSIFSSFAILTIVFALNLMFSMN >CRE21823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:629901:632067:-1 gene:WBGene00082756 transcript:CRE21823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21823 MKLVRKYHVVPYEDGSAVESAKRFLEVILNDETLDATTKCRFYQDLLYRIRQYKDLPIVNHEMFDILKENYFKHTPTQQAPRRSNIDEVIVSKRSKIEPKVEPKMEPKVEPKVEPKVEEKFEPIVTPIIEPKLEPKVEPYVERQMEYAPMPRAQVVTTQPTRRAHKRTRPISQAKIVKKSKRKPKIEDVDVKPDFKLDIKPDIKPDIKPDIKPDIKPDVKPDIKPDVKPDIKPRIKPKAEIKTELDDDWDIRPDIKPDIKPDVKPKRKLKVESTRRGTKRMYKADERDVPPVSKKKKLGLKRPRQAEPGIVGTQPKKRRTLPGFGAPPGSRIYCRLWKF >CRE21734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:364197:366602:1 gene:WBGene00082757 transcript:CRE21734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21734 MVLFICVLGVLVISPQFLQVYGAQEFKGYDLRRDPPTRLLSNLIEHCRKTDCGDRVQSAAIFLDDMVKLLVDLDGKVDPGFLATLEMFAMDLQHCKDAECLIDFEAENGQVFAVTLSKALNLIRESPERADEVVKRGYQFAEIFGQ >CRE21825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:635443:635905:-1 gene:WBGene00082758 transcript:CRE21825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21825 MSFEAFCRECDRHFADPAAKVNHVALFHYGITPQQPAIPETIFYAINASNPQVKAKTCPVCWLHFPNLAHCIAHVADKHPVKPITTSSAPTRDHVVADWKELVKAQYPKYFNEFQRSDDDSDFEVQSVDFSDIDSFRV >CRE21762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:638370:638788:1 gene:WBGene00082759 transcript:CRE21762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21762 MEVMAVNTEVVFTPTGVWVWWEGVWHVAAWQNALPEMVEVGGLTPVQTPGTTPPSSPPPTRTPSPSPTPSPSPAPPSPPPHPRNIVRVEPLWGDERRPAHLWNGRP >CRE21830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:737225:737879:-1 gene:WBGene00082760 transcript:CRE21830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21830 MKLPIPIGIPLLLLLGWYSRIEEEKKRRIILAQLVYPAAIVVKRTTDTERNQLVAQVNNMRSQVASKKNISNMHELSYDMDLERQAQAMNCNSPPTGPFMVVSVLSKKFQAEINAAPYAGLFGPQQTRIGCAKLNLDCSVGACLIGPQNTISENDFTKGEPGSNCPNGKASSGLCRGTSGNFRGVR >CRE21814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:514324:515107:-1 gene:WBGene00082761 transcript:CRE21814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21814 MKLFIVIGIFFIGFVAAMPADDQEILVNQMNGAREKFAVLLPVANMNEIKYDGSLERKVSSCDEIYIMAKSDDRVKLWTHLNMKNSPDGSGGPMRSHEEAEGLLKAVDKDGVSNRQNLHPLYTGIACIELETPCPLPGSSGYKFKAMCFFGGTDSKNVKSMTGMIRGEAGSQCKHGNSERWRHLCKVSEDSEVSSLMEEKSENSMSSVVHSAHHALVATIMMYLYF >CRE21827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:641867:642382:-1 gene:WBGene00082762 transcript:CRE21827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21827 MAEVYLQAPFSGPGIMVFSLGNCCRKSLTIKEGVAKLNFCMSCQKKTYAAKYPNNALRGFAVFYENRNRKRWVGPDFIPGDKMWKKILPSIVMGNGDLFSVFLEECCRNSVTIEENILTFKFCTTCQARNSFVSKYDADGRY >CRE21793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:300899:301725:-1 gene:WBGene00082763 transcript:CRE21793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21793 MKIFTVFTLLLFASRASAFYPIVAAVKAAVTSFLIDELTHYIKENVHREAMMKDVGNFIDTLFGEFDSATVSMEEQAEDEGETEQENNEDEEETEEDEEDEDEEETKEENEYEGETEEGDEDEEETEDEGETEKEEDEEKDEEEERINKKEEKMGARHGRWRRHSTHSSPASRQHRRAPSSNDALVSRRSLSSSRSSRGSSAQPVRGRGRGRGRNNAPRSNRGKKADRGGGGSWA >CRE21773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:787027:787417:1 gene:WBGene00082764 transcript:CRE21773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21773 MSFQFLNIALLFTHRLLLASSLINLKNLFVRLPEKFFRVCCIRPQVNDFFSSTVPIWNSITSNCPEFLPPGKFISLLEQSINRL >CRE21804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:418312:419267:-1 gene:WBGene00082765 transcript:CRE21804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21804 MSLSTIQLEKFLRSCRITRESFCGVFPSDHHPVITRLPCSFIWNTAPSSDSGEHWVALWIDDRNFAHFMDSSGSEPQKGFLDFFSKNCGKWKKTFGKPVQGILSNVCGYYCIHFLIRKAMKQSNKRIRHPFTTNLGKNDDFVVKWVKTHLKTCEKRNEHERIYDGDEMSIREKS >CRE21780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:91053:91623:-1 gene:WBGene00082766 transcript:CRE21780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21780 MNHSASTISASPAALHTGFQQKSFPTKEFGSEMAHILHPLATQVCCALIAAIEGSMGIQDRNAWKNKKMDSVFRRTAAFAIIFNSDFELLLICL >CRE21748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:506486:507016:1 gene:WBGene00082767 transcript:CRE21748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21748 MKLLLAFSAILSIWHAPLVSAIPADVQQIVVDYMNRFRESFAVALPVANMNAIVYNASLEKKISTCTVAEHAAKDHRAMLFNSLTILENGNDSDGDINSRDANDIMDPNSRHPDAKEFRQMAHPLYAGIGCHVEKTVFLPWKASERSDRFKSHSNVLPWAA >CRE21778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:44477:50165:-1 gene:WBGene00082768 transcript:CRE21778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21778 MGGSYDNGRHPPSFAHFRPSVVSRKEYFNRLFPVLDILKLTLTVSQDRKRKRETLKMENVSSKMSFTEPGVRLSINLRERCRMHDLNEALDDLRAVIPYAHGGSVRKLSKIATLLLAKNHIIMQAKAIEELSVLLSQLKKKIASKSSESAESLDKESSPKSSLSSEESSNSDNYLNSLFIEIIFSSFKLFLYLHKIYHFY >CRE21758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:621072:621515:1 gene:WBGene00082769 transcript:CRE21758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21758 MSSEILTTSTSVKCSVCPPASTCGQNSNGNLFCVQRVPIEHFMPWFSTGALVCFGVAALIMFIIGCLVVYGIRHPDSWPGRAVETAWLWARFVFCLFLCFDIDEADDEAQPVNRVV >CRE21736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:368600:369309:1 gene:WBGene00082770 transcript:CRE21736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21736 MNVFYFSIFTLLLLAFPASSQGTRVKRDLSPDVQKKLVDELNKDRQELGKKTKLTFAPLKYNATLEKMIDGFASKNPCEAREDDELHYSFAILKVNDAGTKIIKETPRDKLFILDLFNPRQTSIACSKSLKCTFPYQIDPSIQIEFSKVCILGPFGKNGRIDEEMNKKLVKAGTPSVSKYADIIGTASSTSYLFAMILIICVIFF >CRE21755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:596451:596690:1 gene:WBGene00082771 transcript:CRE21755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21755 MHGEDIKNSTLTSAGFFHDEVVGSPNSSGFVKRCEMVYKDGAVQVAFNNSIDLMNQTNVLINGYNVKLSLYQNTSEIIK >CRE21738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:387647:388407:1 gene:WBGene00082772 transcript:CRE21738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21738 MKFRAAIGFLLLICGEEILVERIMYRLFSVEYGVAASSVAKRGLSEIDRKSLVKNVNEFRAFIAEQTNPQLADMNALTYSFDLEKKAEKITCQTMVNGPDYMVSAIPNEKAMKLFTQADDKDQAEFLKKTFLGLLVPAQTQIGCAYLNPPCQGRRSATLGVCAVGPISNFISGEAVEKGPPGSRCKHGKRADKLCLVPGASGAKSLRLNIDVFIIVLVFFFL >CRE21834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:749951:750368:-1 gene:WBGene00082773 transcript:CRE21834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21834 MILILSFTIIFAILVFRQRLKVRRLPPGPTPLPVIGNIPQLVYQSWRHKGIVPAFDYFRKKYGNVFTLWLGPFPHISIADYETNHEVFVKNGNHYKNKVLPPIFEHFCDGFGLLFANGEIWAEM >CRE21726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:226786:233250:1 gene:WBGene00082774 transcript:CRE21726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21726 MDICALLNNYLGAMFPKWGWETRIYLFLDGYYAHTYLYFAWTSGICQAMCISVLATNRLSAIIFPNRHHHIWSTQRLRIAYAIQFLPGMMAGMATLFDKTQLYRNSKNGVIPKFRNEALVTYFFLIAGAFLTVVCIYLIFAYCYLLFVLRMNTKMIKNSAFQKSRNQIKKKEMKLFIMSSITVAIQIAALCLFVSYATSILVISLDKFYLLYNAISDLYAGINPYLLWIFSDSLRKYILIRIGFRKKKKGPSSSVLTVVVH >CRE21813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:511377:512256:-1 gene:WBGene00082775 transcript:CRE21813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21813 MKILYITGILLVFFAQSVVPIPKDVQKMYVDYGNRARSSFAANLPVANMNELVYDESLERKFSSCQVAQKEAEFDSRVIMFQAEMAESELLFDREGAAQNLVNDNRGEALLGKKIFHSQLTSVGCVHLDKPCAYPADKSHNVPDRFKATEMCFFDGPDNAKITDNLKKGKPATQCPNGKSEKYENLCKKSSKSGTSSNSWQSETASESSDSKSETSSGSLVSPAHILIASSVFIMISFYF >CRE21721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:192868:194285:1 gene:WBGene00082776 transcript:CRE21721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21721 MLPVIMNSIEIFQIVVVTITVPCYIFLLIFMVKAQLRRVDELATPFFKLCISSSVIDLTTLFTNYFGAMFPKFGFFSDFYLQLGGIYGHIYFYIAWSTGICQAMSVSVLATNRLSAMIFPENYQKMWLGYRLRIAIALQFLPGLCIGMLTFFNTTVLVVNENGGVVPKFVKRPEDYCGRSEHYCRGREDYCRRTEDYCRGSEEYCRGPEDYCRGPEDYCRGPEDYCRGPEDYCRGPEDYCRGPEDYCRGPEDYCRRSEDYCRRPEDYCRRTKDYCRGPEDYCRGLEDYCRGPHDYCREPKDYCRRSKDYCR >CRE21784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:132058:134745:-1 gene:WBGene00082777 transcript:CRE21784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21784 MLTRVMLSDTPVLCLKSPLKSLPSVLKSDGVIFIVMVSESLKPIYERISVPEACKNLEFFIDHSDGNIYLSNFSYDQLLLEVPVDAIMFREEKVEIFMGSKCLIASPDQVKSICKEEHFYERIAEDTTPEPLKKRKRVYRENRDSFSSHMSPELGVFSDGSISEHRSQANSPIIQDLEDSLIEDYDIHSNSYDITVYDNDDDNDELIAVIPPAKLILSSEIDNHDKTVINMKREIEEKYVLNEADPIIVLENNGQFEVVSGTKRALAYKSMQVESIRVSAISSAEKERVVLSKFLTSSRLTDQDTLKTIPIIFQLFEFLDVAPSSLTTWTNKEISMVFSEFLGKTTKLKFLFDICTLSDLCQSIKKLCESGINCSVHLCRDSLRKFRQNPQDVLTILATPLASEIEIRKMLSHVEPDVMFLMEQKKVDLTTASHLNLIYGHDPRFSLFIRGADFRCVNKKDQKAYIESRFELFKQKNNTEFSSLPKTTFEVATEHSNFDMVITSSEALSESILKKTRNVTVVLIGHSISSGSNYAMFLPDCLSSKSITGKLQNLLMLTFRIKQNDINLKDEEISSELRASGLIDKTLLSISDLIIFFKPRSYIFIDLGGFVTPAVVMSMVSVGSKVFVRDDISKRRLESFSEQTTNPSYPSSTSFS >CRE21749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:515283:515955:1 gene:WBGene00082778 transcript:CRE21749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21749 MKLLTALGILSICAGFTLAFPEDLQQRVVDYLNRYRESFAAHLPVANMNEMIYNASLERRISSCSIARYEGKDNRVMYMREIEFKNKPNFENNGAEEIMIDHDDSELFFYRQLVNPLYSGVGCTNLKKPCMHKIRKVSEDSKMEDAEDKAIAMCFFGGDENLKPLEGLKRGEPANQCPNGKSKTSRYMCKP >CRE21723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:212959:213268:1 gene:WBGene00082779 transcript:CRE21723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21723 MKLQFFLLLVFVAIRVSSADNQDLQEVNGVQNLKTAIQEELKNIRGVVNASENEDQIETYTGLRVGILEDESSKLRELIKKLQSETAL >CRE21817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig32:543812:544470:-1 gene:WBGene00082780 transcript:CRE21817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21817 MSKTYFLNLGPTTTSAQSIAEAVMGEGVFKRINEANYETAIIEGRTKMAKKTGIQANAMVRSDSLKVPEVECGRDYLKEGLNLMKEVDEEREKNKELKDPRKFLERCEEKAGDTFLSCFVPWKTEVNCKFKECENGKKNILCVCGPKKCKLDSQGFCDKNVRSEESGEKDSEKNDDESDGSASLYTFGVIVNLALFYLVSSIL >CRE01409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig320:24010:26189:-1 gene:WBGene00082781 transcript:CRE01409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01409 MTRNASYSFIWKQQKANHFLVLLTQERLCLSFWNHSQMIHHIRKTNIHALQSHLQDTKNPQSIVIVGSPALMDPKIQAESKRATSTRGSVQSRHETPPRAILTKRLDITECENYSNPNFNVNKSNNTTEPKNSDHTENNAVSGDTDDDFDIIEKRLGNTDTFQDPQRVLPAEAADDDILELPAVRVRKYLSRKAKELSINYVHHADSQETAATLPPGMLSTISPKTKLSTTERLNDEPHMVFPAKLGSSSPYQSLISILFQSVH >CRE01412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig320:52564:54594:-1 gene:WBGene00082782 transcript:CRE01412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01412 MSFSTERTKKRSSSVTSFEKSWAVQMNEVSLRERRKLVKGFTDFVEASGQMESDIFEAVKMTCQGTARQLEGLIAPVKKYGKELRERFDELGGERWTLEIIGLMRENGVETVEELRELCEKGVKMVPGGIVENANKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQENEPKKAGQARDTQEVPVPSRLEVVRKWSPKDSDDEFSMHGRRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVDNWVERILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRRASTGDVGEVFKSEGEEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTITGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRSLQCELGDVDKVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNKYLGKGCPIAERRGKWLRRSSTFSKPHGITVKGN >CRE01410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig320:29276:30594:-1 gene:WBGene00082783 transcript:CRE01410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01410 MNSSDEKPQLYDPRPRSEIMSSNNYEVPQIVKPAVAVSPLRNPLMWQRDATRFPIESSEAADRNLLDSVSIIATDGFISASRGNLIISKILKVGPAKGTTSEAEDARRNPIDSKGFDATIDRPAAASTLHPLPNQPISWHKGKILAENQTKETKIRFSRNEPKLYEQSTTGHCELMDKLMAPEAKTSQVPTPVTHDPRLHSASKHPVQLRHYVEHTSHEVTPIRG >CRE01411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig320:34381:37329:-1 gene:WBGene00082784 transcript:CRE01411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01411 MDPLDSVINAVASPLQLSDKVINSVVSPAQLLDNVIDSVVSPAQLLDNVIDSVVSPKPPVEKPVRYCLICGDKSSGCHYGVLTCEGCKKFFCRAYDKEYQCTYKTPCAITPKTRNDCKACRLKKCREVGMHKQSQPPKPANTRPQPIDTIQQSLLPAINNVSLQPANNRQQPIDTIQQSLEPAINGQQPFNPTQKEKFEFAWITQNIHQFHLPTYGYSNERMMMMTIKDVELKTNTETLQHFINEIDSDIKSFIPFTRNVPILNDISSEDKIILLKRHAFSIYLVRSAPAFTDCGFLLKNGGIIAWEKFHKLFYGGLGIKMKSFATAIELMHFSEAELGVFLMLIMLQPILMKDVVKTGFDNVFMLIENYALISRTLYYTLSTRDQEERLFDRIQGLLEQVNIINNLHNQTLDLIRKNLICFSVPRLFSEIFGVPRTVLDEEVAEHIRRIKESQEYLKSRGLLNIL >CRE17795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3203:556:1710:-1 gene:WBGene00082785 transcript:CRE17795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17795 MQNGLVSAEIHVKVWRSLSDSLNSHLPDPSLGYGNKTTGSNLYTVLKVCTLLSQLLFKKKTFQTFLNNRKVSLCGAHVFIAVKRYPDESDVSDIIRQLRTNHVIVYIAVDSIPSGGSNSATLYEMSYQTNGYSLFATGSDLRYAFEWMTAILQTPYQIIAQNFVVSESGRIEVSAFTTPIPTGYASPCFFATTIQNHTLDNSFVSMNYTIESTDGSYVFKFPSQDALPLFGTAQTDFSTLNGSLSYKWTIDYHYDTDAPQIIQLRMYSH >CRE02687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig321:20021:22548:-1 gene:WBGene00082786 transcript:CRE02687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02687 MEFLLCVCVCVFVNFAFLKLHLEQAISGKSEADLRNLVFSTYKEAFNANEVDGQGFEDMVKNYEDLVVPMLDDLFAE >CRE07973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3215:5653:6318:-1 gene:WBGene00082787 transcript:CRE07973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07973 MIDSFVQAGYVVVAPDYEGLGEPSGEEIHPFLHLKSAAYSITDAVVATKNWLGNKVSNKWVVVGASQGGHAALGAAQYAARANMDYKGAVALAPANNLEMIESLSDLAVANNKDVQAQINSYMVLDTLTAYMAAGMKSAYPTEPVYSIVFKSPTDKIAEKAEGKNQCLISMAFNFRTPMRTYARNNEGSLVGYPRKNEGYTQHPIVRQFLDKDLPPTTDAY >CRE11651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3216:204:3796:-1 gene:WBGene00082788 transcript:CRE11651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11651 MVQERMLMSTEDSTALLLGATGYAGRHLARALHARGMRVRAVVRDRDRARAAGPWGSPPLADHVDEWIVAPDAEAADADGRLMSGVSHVVSALGVTRQKADPWLVDYRMNLRYLELAEAGGVASFTYVGVMNAAQGTSIIARAKSAFMATLARSEVSPRIVNPSGYFSDLTEVFQLARRGLAFGLGDGSVRLRPIHGEDLAAFCVDRLSGPAGSWDIGGPDDLSYREIVELAFSAVGRTPRYLAVPAPAASAATWVADRIGPRQAALTRFFLEGMRVDGVGQPTGRHRLADYFRISLGERELEWEAFERVVAIQLGAVYRVAELSRPLLRASGGSLITITSMFAFFGGGSRAAYSAAKGGVVQLTKSLAEAWAADGIRVNSVAPGWIETPLAAGLDEPTKERILSRTPLGRFGAAEEVAAAIAFLASDAASFITGAVLPVDGGYLTVAI >CRE15860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3225:387:1277:1 gene:WBGene00082789 transcript:CRE15860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15860 MDLSLIRLFIVIYETCNISKAAEVLNLSQPSVTYNLNLLRKQLNNQLFERAQYGVKPTTTADKLYPVFKTSLFNIEYAISEIKQFNPYTAENVFRICLSDIGEMTILPTLTNYLRDHAPNIILEVKEVKSELVENWLVENIVDVAIFNSSNIDYPKLSYKSLLYEKYVCLVNKNYYNIEKPLSLDDYLNASHIAIKSSTGHTLVDQTLKQLGHKRKIKLVVPHFCILRGILNTSNLIATLPMRAAQEYLNDLNFYIFIPPFEIPGFHVGMHWFQHHEDPIAHQWFIETCENLISNL >CRE19538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:17698:17907:-1 gene:WBGene00082790 transcript:CRE19538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19538 MRDLEQCRREAFGSILDDQNQMCQQSSSGCEGMLTAGVPFIKTTQTWVNIAHKALFNTYVEKTKRFSLF >CRE19541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:33816:34390:-1 gene:WBGene00082792 transcript:CRE19541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19541 MMHPEIIVEEAEDEEDDVTEEEEEDDVATPLRPTDTFPLKRRNSPCSLKLNEEHLKHLRELSAPSPTPTQCSTMSKHEFQNWRISDDVMQEMMFIGCVERTC >CRE19536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig323:1060:2098:-1 gene:WBGene00082793 transcript:CRE19536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19536 MALYCQYKPSKEGWDVGTFNSIHLAQRDLCWKVITEDGSNFVEELASDPKALELSRELSEKLRIAMVHFKKFPDASLPPEAHQKEVRS >CRE22271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3230:1595:2216:-1 gene:WBGene00082794 transcript:CRE22271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22271 MILKSLLAVLLVTLVSCNNTTIDCSVEEHSCFGTPANCQPDTDCNVLFHFDSQGNLDVKMRDVVDYNRYIAVAVSVRADLATEYVICIPHQQRRMRGVAKQGEPIQIVEQHMARYVRDMSDDEFVCTFLKSELPNDFLQSRRLLLRRWESSLKI >CRE20666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3234:3959:4939:-1 gene:WBGene00082795 transcript:CRE20666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20666 MVKANAYGHGVKDCLAALNATDAFGVACLAEALEIRALGYQQPITLIEGIFSADEMISVLENNLEIVVHHQPQLAWLRAHKKQYIDKGLKVWVKLNSGMNRLGFKFAEIIEVIQSLKAEGFTCVLAMHFANADADHHPLNEQQKDQFLQVKAACEPILASCCNSAAIFKWPELNFDFVRPGIMLYGASPFADRSVAELDIKPVMSFTAEIIALNHIQAGESVGYGSTFFSKNEMDIAIVSIGYGDGYPRTFPQQNYVSINGQMTAIIGRVAMDMIAIDVTGLDAPLGTVVELWGKDRLVDDVAAANGTIGYELLCRMSARPIRKKL >CRE20665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3234:2395:3129:1 gene:WBGene00082796 transcript:CRE20665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20665 MTYCCALRLKQGLVFISDTRTNAGVDHISVYRKLYTFGVKGERFICIQTSGNLATTQAVIGHLENHLALKQEPNLYSVNTMFEVAGLVGQTLRKVIADVTEDTQEQSNYYCSLLVGGQISGEEMQLYNIYPQGNFISATSDTPYFQIGESKYGKPILDRALSYDMPLDEALRCSLISFDSTLRSNVSVGMPLDAMIYLKDSLEVPKGKRINDSDPYFQHISKQWSETLKKGLQDLPKPTNDYFE >CRE22755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3238:351:1916:-1 gene:WBGene00082797 transcript:CRE22755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22755 PILPSFRIPDLDVILQRHHIPTPDAKYTNAFQDFLVKYLREYKTEDELVKRFTIFSRNMDLVETYNKEDLGKVTYELNDFSDLSDKEWKTFLMSPKPKSPSKSAAKPSPPKEKRVIPESVDWRNVKGNNHVTGIKYQGPCGSCWAFATAAAIESAVSISGGGLQSLSSQQLLDCTPVSDKCGGGEPVEALSYAQFHGVTSARNYPYYFWSTTCRENVPTVAKISTWAEAENEEELAEMVALKGPMIVCANFATNKNRFYHSGIAEDPDCGTEPTHALIVIGYGPDYWILKNTYSKVWGEKGYMRVKRGVNWCGINTEKPLLPIL >CRE27905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig324:19514:20770:1 gene:WBGene00082798 transcript:CRE27905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27905 MTTAIIVLVESRFHIVCEFRGERYWSMIRRKWIAFLYVIVILYVLPFKYLCPDQEPAKQRLFQNCLEYRNMQKSKYDFSPSLIRLQRLPCLPSYIYNAPILVLVEDITYHLTVIIVWLVVCFNGLIALLIYIYWNTAKLLKNHRMSPQTYQIHRIFMSALVIQLVIPFCTLIGPAVAVLTSIITNYYNQGIVNVSVLSVTLHGSVTTVAMLIVHKSYRLAIKEMFRKFSFQSTEVPRMLMYTNHVARIESTTY >CRE27907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig324:31626:34301:1 gene:WBGene00082799 transcript:CRE27907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27907 MPLDGQVLLTRCSLKTKYLVNACKSYELILGVTIGARDSYIHYALLRGRDGVRMSGIYRSTDLLLDSNYENCSIAEKGVKQTLDIIDVFSDSLDAKVVNLELNSDCIEDQMPMIIDRVRKQQPLLKQLIFNAFNPSENIRKLVMHSIKTDELLIDIAFNCDYFSKNSINCERLMMERRNEWFKLSSTTAPKIILCKAKWTEQQANVSLKEWVRGDHPNLLTFTVDVIDPFSPELMIDGLEMLNAEEWKNCEQESFSGGSGHIINEYYIRNVNGTVAAFCIRVRPLGEDQSYLRFAVLKH >CRE27906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig324:24796:29228:1 gene:WBGene00082800 transcript:CRE27906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27906 MPKRNLRPLYSHDGFTIRTNKVLADGAELVHCTERQTKIGCTASGRRENGVITLVKPHSGHVKDDGIAKPKIAKVDVKDRAASTKLSPRDILHEAKMKHGVGAVVMAGTASSIQRMISRTRDERCVDTDAADAANPKFQGKLTLDDDQEKFLLFDEVVALSGGRNVCFGNKLALEVLEKSKILLTDGTFAVARDPFQQLWSIHAQFGDSSIPVIHVLMSSRSIADYAHALEKIKVLVPNWAPTDYLGDLEIGQAKAVCDAFPGIKKSFCLFHLLQSWYRRLKKLKLDGLTQYGASLYKFWTLLCCLPYGDVTRVEQDFDELICLLPQPPTQEMKEFEEYIRKFYIGPRRSLKFPPLSWNVSDRTLRNLPRTTNAVEAMHRNLERCIRGHRSYVSSNNPLQLKRLFITNKPFERYYQQQSKQEDDDWRDQLRVSTGRENEIHIKSWYENSIKNAHLNIVGDCTESIYFRLEQPDVDQKAPRLLRKQKMKATKSGREGNMKDEEL >CRE25932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:49743:51784:-1 gene:WBGene00082803 transcript:CRE25932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25932 MSSTWVLSETHGFCVLQRTLGIKEQTPSVIKEEEESEGCVLLETKKEHSERVEVPTGPPKLMKVKQKRPSIRMQRKEEEKEEKEPAMLIWETDLTPLIHQFYTSTSTIPAINQMFDLFESPLGKGCFGEVWGGVCRLTGRKFAIKKSTKYTPSDSKNFLKEARMFQRIPRSPYIIKYFKSWVDTDQVFIQTELCHMNLSDYSKNGPTEEIEVWWVLKDLLRGLEHLHRANLLHNDIKPENCLLSNGGFWNLADFGCSSVSSEPITAGDEGDGRYIAPEVLATMTPTKASDVFSLGLTVLEVTTYLYMVANGAERQAILNGQIPNRFFRSVSLELRGLLGLMKHLDPGARPNCAECLDHPTVKSIRYRFKFSGSVRTMVNNVSDANDSTGEMKRKLFDENNNDLKKRMM >CRE25925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:27453:29231:1 gene:WBGene00082804 transcript:CRE25925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25925 MIHHRTPKTSYATAPYRLPLNRRLINSPGRNDATSRFNQSGGDDLSINDNSSFRQIGDRRYSEANVTSPSPRVPSKRIQATVDGRWSAFGFAATELYDYENGIPLDRATCDKNLQKLIKNSRDVDYYEFLRSAQECRLAGNRLLENVCLQMAFSAGMVHFSFENISWMVNLQTNVQSKKAEKVVKKPTNLTEKAEKAFSLDGANESHDRVLQLPNKNYFRLSAVFHVNDVGEWSGSNLRKSLRAFARYIFDKIAAADPEMPCYASSKNAEIYVPVSEDFLNGIADYAIAGFGLEPSGDNKAEFFATIKDVLACKLNRVRKEYNLQHGKGSSERYAEWLKDQLSTERNDLLKRELVFDQFAEIPETENIPQTSSHLHDSSGDFEEEEHIAVD >CRE25926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:47596:48714:1 gene:WBGene00082805 transcript:CRE25926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25926 MLLLLLLLVPTAHGAATWHFSGNLSCPMDAFTYRIQVWEDDWFTDDLLTSQDEIISYFPHSYKIDAQDTDDGFDLGGMFEIYLAIYHNCNPQGNWKVVWHDCGNYPINVGDVTQTQNVELYNQGEIVLQLPK >CRE25929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:25:1426:-1 gene:WBGene00082806 transcript:CRE25929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25929 MRRARVYFGSKRQRRGVVYETHSESDEYEYLDESKDEEENTNTPVPDSGDSEEDDEEYEANYIDDLNNEFEGELFNRDEGDYAESDEDKSVSDDAEYNKNERIGEGKDHRLLALVNFYCAESISEGFLQRMLHLMAVLYGESPPFSANQVMNVVTKAGRKGVKGVSYYCSRCGTEKNGKKSQCPNCPTSNINILDRLTFVKCDLKYQMTNQLIYHGREIIMAHQKIHQKEINFQSNDIRGYGRYIRSIESKADFDSQVINLLYSVFSDGAAFSKISRREVTPVLCRLEGLDMESKKGGNVYNMISMVFCDGGVKKTFLEQFVEQSFSDLPSQIEVRVDGRIWTFRLVILSYLSDMKVRIATY >CRE25927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig326:56562:58966:1 gene:WBGene00082807 transcript:CRE25927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25927 MLSTWVLSETHGFCVLQRTLGIKEQTPSVIKEEEESEGCVLLETKKEHSERVEVPTGPPKLMKVKQKRPSIRMQRKEEEKEEKEPAMLIWETDLTPLIHQFYTSTSTIPAINQMFDLFESPLGKGCFDYSKNGPTEEIEVWWVLKDLLRGLEHLHGANLLHNDIKPENCLLSNGGFWKLADFGCSSVDSEPIAAGDEGDGRYIAPEVLATMTPTKASDVFSLGLTVLEITTYLYMVANGAERQAILNGQIPNRFFKSVSAELRGLLGLMKHLVPGARPNCAECLDHPTVKSIRYRFKFSGSVRTMVNNVSDANDSTGEMKRKLFDGNNNDLKKRMM >CRE19632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3268:3135:3864:1 gene:WBGene00082808 transcript:CRE19632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19632 MKPFRLLLLPHLVFRKIASQIDLLALSLCSKKSRLAVKLSGIKPIRLSKQHISTSHSVILEFDHYWILWLLKIRKAVADVEKTFSTEFKIGEQIFKTRFNGNHDVLTSLCTDYYTATDQIVEYLKNTFNCELTRYIVSREGYPEYRQLITQTINNSKNCELVFGESGQKVNAEDIDFLFNNLKTDKMLRVSRRICENYQLQNVGFLRLD >CRE19633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3268:20:361:-1 gene:WBGene00082809 transcript:CRE19633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19633 MGNRRVHLNDIYARDEDRNKEVVYQEKNSEDETDQEDESEAEQEDESEAEQEDESEAEQEDEPNTDQEDKSDPESEPESQDDSEPDHQQGDIGNDSYQFYLHKIHFQVLLVHAL >CRE31546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig327:15960:16441:1 gene:WBGene00082810 transcript:CRE31546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31546 MNPKVIFAFFLALNMAVPLFGLSCNLVNDWTTSVVHDRKFCTAYFETGAGHASFGGSKAHPKDLTTFRYDFLNEADDCQLQTGIPIMDNSGDTTSIWACVCYESNCNFPFSYEEFVRRGHTLRPSFRPSVMPAEDSDSTGHH >CRE30524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig328:43373:43880:-1 gene:WBGene00082811 transcript:CRE30524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30524 MILNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIASYLKREWAVQSNHPSGPYVYIKKDENSLYPIDLVHHIEAETDEEIQERQQLEKKERWDALISVSKQITVLGRKHGYVKDLNFKNELSKKVEKLARRMIGITLNT >CRE30519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig328:45414:51228:1 gene:WBGene00082812 transcript:CRE30519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30519 MISRFESFLDQNFPNWKSLSDDILNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKYKPSIQNLYQIFKSYSNYSKFAKADASIQNLIQVAKFTSSIQKCESHHQD >CRE30516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig328:18176:18530:1 gene:WBGene00082813 transcript:CRE30516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30516 MEETHQTETEKLKSDHKDQVADLQRQIEARDTKIREANEKNERERAEQDRKNLLAQKALKEDYEKKIQNKEQKKSTMSWRNREWQTSTMRVNREREDIDAIL >CRE30520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig328:1893:2662:-1 gene:WBGene00082814 transcript:CRE30520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30520 MHGIRIACATITAGITIIGVAVNFTLDWQRSVQTHAEMRKSKEIIAKNSNNTLGLAKQQEHHNEEMSSKRLASKSAPNDDESDFPEFEKGDLKPATVFKGLVPLIINVCSDCRAFNSKRETQEVSPGMVQLPLALCLICTAHFVRPRKFYEYDVVLMKKKLENQSK >CRE30518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig328:44834:45342:1 gene:WBGene00082815 transcript:CRE30518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30518 MSRFRIPRSPFWLPPCEDDEIDFFLKDAITHNGHHLENLSPSITEKYTSFLNQPKILHVWDTITYETVPLPIIIHDYIATYTRMLNNRRSVAEVYLEDYGYTLTFPFSPVIADVLGRYHPIEVCAVRIQNPSVNSDID >CRE30521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig328:5110:5993:-1 gene:WBGene00082816 transcript:CRE30521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30521 MERLEENMRNPNLQEQFVLDEIRFGKISTITEKFLKRNCTMFDTSTGGLLSQIEQLREMYPEKEFALLAATRAKVDALNSWKICLMPGAYKYWAVNRKPVSGNTEGYKFVEHSFPPPLFLAPGCRVIITRNMRRDHSVTNGTLGILKAASKTEMVVTVKGRNIHLHRIPHRNDKEMWWQFPLAPAEATTIHIVQGKTFDGVVLVAGSPLPHNGAVYTALSRAKSLKLCRITEFNVENWRVSPLAVEEYRRLNQEGL >CRE30515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig328:13276:13515:1 gene:WBGene00082817 transcript:CRE30515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30515 MSWRNREWQRSKERVNGANGSQKRQLQSSEQEREAKVGEEDRMIGGQRPRLLGCKSTGKLL >CRE12455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3282:3380:4338:-1 gene:WBGene00082818 transcript:CRE12455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12455 MNSEIKLTEKYSFFLTSRTPTRPNHPYDEVYETIPGEDYLEPKSVRNNRLSNSTLSTTDMEHTQKMLQKTLPPVHGSSQSIPLPQKIPPKSTMIDDVASVARPVSFNDDPRDRDINMFRIRERTRIEKEEREKFIKTAMLPPPPVLPVKPVKSIKDIGIDLSKESVGSCKIEEPVGRMGSGAMLPPKPVIEDRGNYAIHNPCFDDSDDFPPPPSEIGLNTFATKQKSITNLSFRGISAAQHIFDRPESMVSVSSSASNNKNNEANREESVDEYLTALTGEQEIVECDAG >CRE12454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3282:120:680:1 gene:WBGene00082819 transcript:CRE12454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12454 MLPQLLRGVVRTYVLTGGIATVNITHNSDACLIMKKNQNGFITSPFYKTGLTRPFSHTDSIFIHSDGLYKYTFNIQDVDLSQNNSLRLSVIQNKSSVYDIVYNATNLPSLNESVSAVGTEWDIKYSGDYDNMKKGFYINYDVVKVNLAPSYLSNFVATVVSIWLFLCMMF >CRE30240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig329:39140:39731:-1 gene:WBGene00082820 transcript:CRE30240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30240 MRVSDFGLAEFQKRIEGKCGTLNYMAPEVIKCQQQSYSVDVWSFGCIVFEMLTGQYAFNDEDDGCLEKKICSGSYKMHDKIPISATTLISECLQKIPSQRPSTKTLFFHTWIVDTTEEAEKKRKMEDINKVLGKNALKSDTANQWKRNNKSAMKDSGKGESSDAGRKTTLRNKPKIDYKE >CRE30233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig329:33724:33927:1 gene:WBGene00082821 transcript:CRE30233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30233 MFCPYLNIALLFTLLHLLAFFLKNLKNHSDYSLENFYNDATSLILLTSTVSKFSIFTQPVIADLNHN >CRE30234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig329:42075:44892:1 gene:WBGene00082822 transcript:CRE30234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30234 MLTERQTEKLKSFYVRFVRFLVKVGVEMVDEGVLGGQLEEIIRKELQKLVAPVMAAVRQPEVTPKLNAKGLQKQADFNTKFANLIGKKLDDYPEDDDLKKIFEMLKSRNAELELVDRDPRAAAMMEKATTLANLSNSGIGGLTDPAQLMLLASLLPEESGPQKRRRFEEPSRTPSQWFRGPGASRGEGSTRFGTSYNNGYKCFKCGDEAWAHSKCEQMSVRKIGKLFGREVVKRKRPEKDRDSKLSIVFARKCHQKPISTLKATARPAKNERQALGKDIVVDDQPGSTPKRLMSRGRGKQQKRARRLPIIKHADHSSLRQSRGGGRYVSGQQGTHDDFRRAAATTDSRRSQQEKMEGGEPTEARNDTSTKPKDSGNKRRAEKTSAIPILMKNINP >CRE30237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig329:5325:5509:-1 gene:WBGene00082823 transcript:CRE30237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30237 MFAKEMLTVDPKMRLSALAVSRHAWMNKQRKEIVAIKRSKLRNML >CRE07974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3295:176:2273:1 gene:WBGene00082824 transcript:CRE07974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07974 MTMLSETTEGMHNLFRLSSYASMQGYYFKPRMDRELLQTYGKGLITTTGCPSGEIQTRLRLGQYDEARQAAAEFQDIFGRENFFCELMDHGLDIERRVQSDLIKIARDLKIPLVATNDLHYVHEADAEAHSALLCVQSGSRLDDPNRFKFDGSGYYLKTAAEMRHIFRDFPDACDNTLLIAERCNVEFNTSANYMPRYPVPDGETEDSWFIKEVEKGLHYRYPSGIPDSVRKQAEYEIGVITQMGFPGYFLVVADFINWSKDNGIRVGPGRGSGAGSMVAYAMRITDLDPLQHGLIFERFLNPDRVSMPDFDVDFDDRRRGEVIMYVTEKYGDERVAQIVTYGTIKSKQALKDASRVLCFPFGMGEKLTKAMPPAVMAKDIPLSGITDPEHPRYKEAAEFRAVLTEDPEAKLVYDTALGLEGLKRQWGVHAAGVIMSSDPLIDIIPLQKREQDGQIVTQFDYPTCEGLGLIKMDFLGLRNLTIISDALENIRLNRGEELDLERLELDDAPSYELLARGDTLGVFQLDGGPMRGLLRLMKPDNFEDISAVLALYRPGPMGADSHTNYALRKNGLQPITPIHPELEEPLKDILETTYGLIIYQEQVMSIAQRVAGFSLGQADILRRAMGKKKKSELDKQYEGFSGGMKERGFSEAAIKALWDILLPFSDYAFNKAHSAAYGVVSYWTAYLKEHYPAEYMAA >CRE21254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:492928:493489:-1 gene:WBGene00082825 transcript:CRE21254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21254 MRLLLAFCLLPILCAAFHGTVHVSGQLKCNGQPYAEELVQVWEHKFIGHEVWFEEWTDRYGNFSITASGHEHVRRLHPFSPITFHYQGFSFSVYPYIWIPNYCGTEKEGDYRCTKNLVQIYVPKDFVSYADHPRVYNIGTVDLEKAEGKSYRKIEKIFGYHQECRSY >CRE21151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:293436:294435:1 gene:WBGene00082826 transcript:CRE21151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21151 MTLPQYKKMDPPKASTGKLASFMTGLVLVFSITCLAAACLLVMTQSCNPEIINVELLSPRTHGDNKLYKIHCPSTTKNDTEKIEVEITDDTFEHQKEEFLVQAISDKNVLESLYVNGAHSPEDSWSNEKKLYSFWYNPDPARFHGVDELLQLTGNNQTLAKFLTLGRVRSKTQESKPTDLMFYVTDRVFKKEIVIGGCAYSNTTCHETSLLFVKNDLYVYEKFVSEFAVINFVRVFYIPAEDLLSTIII >CRE21189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:750763:753818:1 gene:WBGene00082827 transcript:CRE21189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21189 MYGPSSSSAGASSARSEEVRQKYVLPSDEEGEDVDFGGGGGVANGEKKNQMILSVDPDFDDECFADDDGGPAPAPLSREIVDGAITRSQKIDRSHRECIFKTRMGRSLNLKISFQKMAIGNYDDLEEEAEETHEEQKHRFLESMRRIRQKQEDDFDLPHPPPETDAMRQFIHKQVNKAMMFNQANHIDYEPLAKRKTPKVVNGYLWGALIGNGSYGKVKEVIDVYTLTRRAAKIMK >CRE21165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:414907:416253:1 gene:WBGene00082828 transcript:CRE21165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21165 MSTSPNFPLLYLPIIVLNQVLKLMTPFELIAVSLCSKTSKRLCKSIRSEIQCENAKDMFIMRYSTSTTVWLRFKYFPKIEWMFDVRKIPEEFELRKPKKNFLHKILSLFRKIEMKNEVTPTNIMNMFATDWTSGTEILPKENVTIESLNMYCSEEPLASTINPGILYLSDIFNISMDHVELHFQDFNREQNERLIDFYKQQNLRTLTLVGKRLNTPEDDKVLDYILCRQNPKLILKLALEPTSEFRFKNEYFRNQTETLEINNSQWIGMDDVLQMESLHINLYKSKIESLAMRSLIQKWVDGWIPKWRTLMIEHPENVNIDLYVDEIVSGENSNADVKSLREEAGTVNYVQHVLLRKDGHAAVVAVKDDNIGWFHVVEKVFQKDFLIDKISEDDIG >CRE21240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:357429:357824:-1 gene:WBGene00082829 transcript:CRE21240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21240 MLVEDCSLFGLVYNLAGIVLCLIAMTLTRILTKTPHKFNQACVFCEGSQKSDRCFNVPEYKDRKKKLMKDRLCIKCLLPHKEDIPCTSKKKCMGCWKKTHHCSMRPEGIEIKWEESDGQSDILSVHQKKTC >CRE21245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:440395:440635:-1 gene:WBGene00082830 transcript:CRE21245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21245 MNLNGQYTSPYIYSDFSTSLLQPQNFLSNTIYNPSGIYANNDYNGYQNTLYSSTNPNSAANL >CRE21210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:112671:113564:-1 gene:WBGene00082831 transcript:CRE21210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21210 MVTPRITRKFLIAMKIQNILENYGLYNALLAVCILGSICLDTFIAWRGYMFNATSEDDQYSTLIYFFGLVGYFMVSAVLGDIFFDRPHNRPAMGIFDGLVISILHFFLLICYFFYWVTLPADVWNPCQYYMPDFYWVSFRITPLLLFCQTVLSGSCAFVIYRKR >CRE21227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:238819:239896:-1 gene:WBGene00082832 transcript:CRE21227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21227 MTASSVLPPSYYVSDDDCIGEEEIGTVTDSEDVMDSEGQQQNCEESGDEISDEEVSDEEDEEDSEDEELEVEEGEKEEVEESNDSNDSESEDSEDSEVEDSEFGELLNEIREISSKLEALDAKSTPEIEIPRNEKKNKTSSKSNSQKEQKSSSPKQEEGLSSPMMIWLAIVFALGLLATYLPRNVYEMTRFRGYETNPVEFLVWKEEMNKEANLLYYATEIYEAKKKFEKMPFWEKLRTNRWTPLPSKFQGLQEYLNRFGFNGKATEEFMYRATPKFAESQKDEKEIRVSFELDDIDLPITLLNGEVQFKDGGELWITEMLRGYEVRRVYFITAEDLLAL >CRE21225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:234621:236314:-1 gene:WBGene00082833 transcript:CRE21225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21225 MSSTASDSSFENLSDLTFTDEDDGAESEGVKDSKSEFNDVKESEEIEAPKIMEPEDTDVKDSGAQIGDSESSHIRRPRRSLLGYFYPSRCQSLRPRINRHMNITEYIQATKDNAPPTTGRIPRSRFDFEARTWNLRLSELDTLQDRCECLLDELQPMIGYAEIDKSARLDYDEPESSWLPASAKFLMVYMLVLFAIYVFNGVDKVELPTRSVYEGPVFPNFALIDSLMEQPEDPSSYPSSDVFSLAEAMQKFEKLKFEEQLQVKGWTPIPSKFHGLREYMEAIGKNGTSTEVFMQHSKPKFEVAPGRDIILMEYELEGFKVSDIRYLGANFQVMCGEGAQLIMWQVFHVDRKRFDVKRVYFLKNKSLLALL >CRE21148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:283869:284265:1 gene:WBGene00082834 transcript:CRE21148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21148 MDPASLFESLHIPQRPPRVINELGTETYPIVRQYTRACSYVANDRTAPTPIFTVVLRHPHGREVPRLGFWRRVWRKNI >CRE21255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:493610:494582:-1 gene:WBGene00082835 transcript:CRE21255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21255 MKILLLLLWAPMFCSAWTGAVHVTGRLMCGPSPYRGEKVQIWDPNFLIGYSLWSEVSTDESGYFSIKGAGDDWSGVNPFLWIPNYCGSSTYVDKQCTEGLIQVNVPEEFISGTHTPSMTFDLGTVDIFRFKQSDRFWLSSIFGASKECRYV >CRE21286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:661908:663795:-1 gene:WBGene00082836 transcript:CRE21286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21286 MVRLRCDRLSPGANILSKATSCILGNVMATVKEEEVPLDASSPVKKMNKMAIRRLMKKRAIERDRLAEKIQYFEWKTKEIESRKSGNTVTIGTDLLKRIRFPRERVDGEVRTDKPKKKKTVKSTRPTHHGPSLSPSSSNSSNSDEVSESRSKKRKSDEMTSEHKKEKKLKSSTKKQKERKQAENWDEPLKKKKKRDEKSQKSHKGDSRAVNGGSGMNMGAAGFGGGQGGGYGGGGQGQFGGGSGGGPQFGNRNPMESFSFHGNPQFNEQNVSEVMQAMQVLANHNILRLGFGVGVGAMAQSRTGQESQMTANHGSAGFGDGYGGGVQGGGPQGDQSSWRQ >CRE21128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:125264:125613:1 gene:WBGene00082837 transcript:CRE21128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21128 MSLDATLENINDTLQQVASLLKAVEIAVFILAVAVGIALIGCTLGFFYGKYNQRHQYKELSNGGSPNTTITRSPPMKSQRV >CRE21223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:192870:193916:-1 gene:WBGene00082838 transcript:CRE21223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21223 MSSVRASAAGNRPMKMSKNEKENKEMKKKNKKQKTETNDSSYSSIISNDSDVIWIPEDSDIQTPENPKLLTKTERAVILETRDLMVNFGATDGENEDNLEGALIKLEEFLGTSKLEYEAIDTLTNDLLKDIDNLAVELSELDGIFVSKE >CRE21205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:94395:94946:-1 gene:WBGene00082839 transcript:CRE21205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21205 MCCIRLFQTPGTILAQLNEYRETLYIIFGITCLVSWLCFNLWALREFFCPTIFSSANSSTSRRHNTPEDRLTRIKMQYISSGRLLTNLTASASATLSHANRRASLPTDFQNLEVFVV >CRE21261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:510018:510713:-1 gene:WBGene00082840 transcript:CRE21261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21261 MHSRLKMIYLILLLLLVGQISCAHEPYASVHLTGTLYCNESIYQHEKVEVWEKNYIMADSWATTVITDENGYFEMKATVRDDYFPPKPYFYMINWCKEKQWWNDKETGDKLVCSEPLKVFIPEEYVTPGREAKKTFDFTQVNLAMVETQFAGLEIVLQTVLSQKGECRRILKKDRTDLWDN >CRE21169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:458406:458722:1 gene:WBGene00082841 transcript:CRE21169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21169 MLITVHTLSIGSFEIDVELTDTVRQVKGKIQAACHRFPIEEQFLIFRRRGMADMQMVSDYGITEGDAVHMRLEFARRSNANRVLRYASAA >CRE21193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:31138:33242:-1 gene:WBGene00082842 transcript:CRE21193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21193 MRHGMYSWSEDDFTRMGFYRSTALTTALH >CRE21292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:733842:737242:-1 gene:WBGene00082843 transcript:CRE21292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21292 MDTFLPLIYSNLESIIFVISASINSLLFLLILFKSPEKIGAYRYLMLTFSGYCLIFSLVTWLGEPTSVATGQVYIIFVRRENPLRYDLWKHILVCMYSTSIGILMYLIAVQFIYRYCLICKYFSCRRRLGAFDCGSGEKNDGDGECDESCIPLIFMFLPITIIIIIPLFGLSPKFLVQISAISISIYPILDPLAVLIIVSSYREFFIECIRCCRPKKMAAILKNSCMTVDEGFETEIL >CRE21284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:658149:659154:-1 gene:WBGene00082844 transcript:CRE21284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21284 MAKVKEEEEKAPETTPTRKRNRKSAKKRWRKKMAVERARLEKKEKKIQYFEWKTKEIESRKPGNTVTIGTDLLKRIRFPRESVDGEVRTDKPKKKKTVKPNSCDKPIKKKKKRDDKGRKSHQRDSPAVNGGGPIGNQSQNAPFQQAPAFNGGGGGGGMNAGAAGFGGGQGCGYGGGGPPFGNGNPMESFQFQGNRQSNEQSVSEVMQAMQVVFGLGVAAMAQMRTGQESEMTANHGSAGFGDGYGGGLQGGGPQGDQVKRLLDIYVNNFA >CRE21257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:500738:502072:-1 gene:WBGene00082845 transcript:CRE21257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21257 MQYSLIFSFIFMIPSVECWWNQKPIDAPLDRTTLRDYSTYRTVNFVSTSFFEEKLTGKQGVEYYSCESPAGRDDNSPNPSNGIIHERELVQAFGLVWECTRKVENGRLSLEPVSCESMERRYESYEVLSPGSSRTVNNGSVKHSCVIVDGGLRSVSEYVPGCYYNGTVYKLGEFWLEENQGDKHLVQNVTMECVRSESGYFDKKVIKNGTYYIQKEIQHSYVRLNNFYEKSDLVLVEHSDGIQRSKEINTRRFKCVETEPGHVTLKDATEEDMYCTYKNQTYHYESIWIDVTRGASLRCENGNEVMKEYCFLNGKRYNIGLEMKLSNGCVFVCNGHKNIYICEEPLKL >CRE21177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:562831:563510:1 gene:WBGene00082846 transcript:CRE21177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21177 MVPAPESSFDVHCVQSEIKEEIIGNMNIQERSTLRKTSETGRILADTLPYRIEALYISLDSQGLQIEIHEPNHRVFLPKDTQITELCNILDNPTLTIGKIHFFAKRGVPGAPLIHQMAHEILENGIEKASLHVDQFIVSFHLFSGERNKNRVGRSDIGIKEMLEFCRPVVLNIIKIDIDFIDLIWTDMVKTAQYKQARVVDVYNYI >CRE21203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:77014:78382:-1 gene:WBGene00082847 transcript:CRE21203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21203 MLEGILNPGTSYNTSFCYNVSRGRLVTIECEYPIFYYVESALYRTFLGCFFHLIEVLLLGALWFCNIWIQRDFTEAYMSSVAVPLSISTVMKIATYVWDCLFPRDIEKSWLIDMAYATSVTTAQMTLIMGLPFLLFMAMRMGTSRKQNYGCCTWFGCDNFSRIPFLLILIISFPLSAVFNMFYKPTYAAPSLVAILALLIISLIFMFMFIGMGCMTFACCAKQKIAMDMLDPVIYDARSRLGWAMLYSIFAPISIYPCFYCFVSLAFFLDMSNDYAILNNEMATRVWNFFFGPTVLIFTFVILPSYRDTIMCGCKYRRFQRKVQMGTLAAPTAHGVTQQIRISETEKIPVEFIKEPEQKIPLEPLFTTTGGSADSKRPRPAYPVQTFPDKY >CRE21226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:237088:238596:-1 gene:WBGene00082848 transcript:CRE21226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21226 MSISSNSSFEYITDDDDVGNWETKLRDEDSGDSDIEGLEVIGSDDSDFSESEDTKDAEVSKSISKKTGQVKKRDVHIEFFIFFFSALFLWALLVITKPQKQGTIYEALKYVDSEKSSFDVETWTLKMEHEAARVSHALKLHEAMEKFDGMTIDEKQRMNKWTPLPAKFIGVKAFLKSIGQIGAATEEFLYRSTPRFFNRPKNPRYEDIEFVLDNFKISTYTESVNILSLEFVKGAQLEIREKYGDMTIERVYYVKSEHLLSLLD >CRE21268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:551872:553718:-1 gene:WBGene00082849 transcript:CRE21268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21268 MSDYEEKEFNVEEIRKMDPMDVIDQSMTSKEFREFLTIHKPLKANLTWTLDTVHQYSIPRFIPTNLPKGTHRRGTHRVFTFDERLILELKFLEEHKKSVRFYFGDQGNTVGEPKVLKYGDPMKMVREEDAFKVIGRSNMEILTRDRHDLLVLECISKHILEILDVQKFHLKYGFLWDFKLEQSFPFKLTKCFESITVQTRYDDQVARNDLRKLLKNATNVKDLELCIELEPTSRPQRPLVFNCESLKIREGDWVETNDLMGASCKKIYVDGMEMSMEEAVRIVKDWRTGGGFRNLESMEIRMSNKLEGIEEEMDKVFGVRKANAQANAQRTVKRVTDGKIASLYSKPHLFTMDVQ >CRE21211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:113773:114929:-1 gene:WBGene00082850 transcript:CRE21211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21211 MNVFELISHTLGNETTTAASFPKRYAPPQLKITIHWENGRFKSRIHQIDKRRHECATWKVMLWKNMEYSKNMKRIGKDWTVIETCPSSSKTNIFSTDPISTTSELITHFQRIYRKVNFSLRFENVDMGEHGLYKWKFLAQARMIALVDSNIYPFHIQEFQSMLRPHQELYIDSGSVELENAPKVRKITIKTSQYFIPNKFKNYKCEHLEVLKYSMNYNDPVDFISNWLAGEHKSLKTFTLHDVDEKWVTVLLKLDECDEGPKCYKRGSKIIEFNSSRIVFSNGRMATVNFTDTGVLIFVVCD >CRE21168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:457540:458224:1 gene:WBGene00082851 transcript:CRE21168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21168 MLIKVQPLSGKILEINVYPEDKVYTIKEKVEQARGISAEQLNLIFRNQKMVGNKTISEFKVSDGVTVYMTIKLGGPGYRIVKATGMHINVNLLDGRNFEIKVKPSDTVLHVKRKIHEARGFHIYQQILLFRRQGMADEQTVSDVGITEGGVVHMLLNMPGYGHSTRFVSAA >CRE21156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:335387:336682:1 gene:WBGene00082852 transcript:CRE21156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21156 MCTGLEVIFDVSGKQQILFVTFSSNFFPTSNSKLIHQSHFLLQKKKKMMSLEIPLKIASVAFALSLLSNSLLIYITVRCVKKVTGSYRRMIIQFSILAVIFPGLQIVVKPFSHNFKECMMFFSLNTSGGPGLQFSISVWVGLSTSIISLIAVQFVYRYLSFLDVEKARKFENLNTPLFWILYPFVPGSLYTASLYFLCQPDSYSDDYMINTIQENYSLNITNQSRFTILPFGVDGNLRWLNCSFIISATLLIFVHYVIIIFCGVKIYRYIKKELGKFSAKNMQLQQQFFCALVIQSLGPTVLLVFKVSIFLLFPLLAHFWNIDLSYQTGWFCSVAGLFPIVDSVAFMIIVSEYRLWIIKKFSSVFVTNHSSVSHSNGGVEIVGHVPVVL >CRE21114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:2297:5354:1 gene:WBGene00082853 transcript:CRE21114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21114 MEKFDGFEFDRRDFLGKGQFAMVFKGRHFDKPDVPVAVKVIAKKDLGRVKNQLAKEIKILRDLTKIKHENVVGLLKCSETPKDVYLVMEFCNGGELAQYLDMKSTLDEETIQHFIIQIAQALQTMNKMGIVHRDVKPHNILLCHDPRISNPHFKDITVKLADFGFARFLNEGVMTTTMCGSPLYMAPEVIMEQPYDSKADLFSVGAVFFQCLTGKPPFLAQNPHQLKTFYARSQNMTPNVPEWCSTVLCDLLVGLLKRNAEDRISFENFFNHPFLTSPLMPTPSKRILENAPIQNQKIITPQSELLKKVNSPLPATKSAAVRKIGEPRGGVLKGVNPFLNSQRPVEKVPEKMQESTDFTFLPPRAESIRNNHSSSLTTTITSCKPVPVPSQRMTYQKMEEKLAAARKLLEPPVPISQLKNAPKEAEPARRNTLRDPSAEDIDRLTLPSPTFVSCKNETRQSPMNRSMEPMNGNTHKQTSYFYEGDTSIFPFASSAPTAPQLSPPLKPPAIDEDIGEEHKQILAKLRFVAELVDTLMHVAEQKDNPLASAMASRRKFLTTGTTTTNTSSPYRRAEQLVVYVRALHMLSSALLLAQTNVANHVLHLSQAVQQVLNQLNEKYQQCLVRSQELASLGLPSQDPALAVISAERIMYRHAIDLCEAAALDELFGNPHLCSQRYQTAYMMLHTLAEQATCETDKTVLTRYKGAVENRLRILERQGYVTAVM >CRE21175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:512484:513009:1 gene:WBGene00082854 transcript:CRE21175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21175 MMDNAASHVCGMVRGYNFCKNRPTPQPLKTTAPPTTPSTTTLTVTDTPFPIGTVIGGFLLGVLFSIVLMTIVFCFCMKKSSSSDEYSSKDGKKKKSKKGKHGTTTGSGTTGSFGTTNMTSTGASTTEKKKKKKKKGRKGKKGKKGKTDTTETHTATAY >CRE21152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:295252:296063:1 gene:WBGene00082855 transcript:CRE21152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21152 MASSRRNRKPPRNRQTGFTWIEIIFVLSVFACTLLTGLWISGHIETTLEDPRWMARPLPDDIEEPVEPSEFEFDMKKFLENKTLLINRALHEKETLENNHIIHNIKMTRLHHEQLFSVYEKYWDKWVPDPTRFEGVEEFLIASKFNGTIAEEYFYLDAPSFHKTEDGRMIIGYNTGTDGILRSLKVENCRYMTNSDCHDSEMELKDGDLYMREVMTGKTKEWRFTRVYYVPSSEFLD >CRE21271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:570924:571778:-1 gene:WBGene00082856 transcript:CRE21271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21271 MCRTKKGGYVEYEYKPTMWKPCGVSFWLFFYVSSFLVGSMILVFLRLSIYEIKQTETWPIRIPSYEVLIWHLTVLWGLMAAYSAFIFSIFFIPVKQYRKCAVLNTIWFFITLLYFTRPVFSDNFATAQSTQRKMMYSLMLHRIATPIALAYSAVLTIFMWQMSRLMTKEEIPYTVIDGKKDSKTKRMVRELF >CRE21174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:498804:500067:1 gene:WBGene00082857 transcript:CRE21174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21174 MKLFSVLTLLVIVIAMLSSAMARPNEKGVAVDFIAEINGSTVIPKSRTPEEFNKSGV >CRE21262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:511035:511746:-1 gene:WBGene00082858 transcript:CRE21262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21262 MLNSNNLAEYLLKRKATLETECGKSGSNADQCKTYVNNGHALFCTFTTDFPDCPYSGEFQIFSSPTTTTTTTTTAQPTTEAPSFPMGALLGGFAAGALFGFILMLLIRFVCRRKNKKSLDDGLSTAESGTGKKKKKSKKNKKTGTTTGSGDSTAVTDGSTTGISKTKKNKKKKKGKKGKKDSHTTGSFESNRSGTTDNTGKHKKKGKKTKKDSTKTSGTDA >CRE21147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:282480:283262:1 gene:WBGene00082859 transcript:CRE21147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21147 MVDFSSGSDDSSFEFVSDSMASDISFIEERTEETPKIPNTPPPVLEASAKIIKRNETDSKVIGYMILGIFVFSAVLPYFFPSDIDNDIAKLNDEIVGLKRDFQLILHKTMKERKEQFKRIKCLEDIPEDPLAKWVPDASNFQGVAEFISDQQKGESVKEFMYEAHVKLNKVRKNLLELVLIRNQTQEGAILLRGACVYENGNSTKVFVQEGKLYVSWKYVHGNEKKRVTMVYTVPEEHFHMIEFG >CRE21217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:149402:151039:-1 gene:WBGene00082860 transcript:CRE21217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21217 MTQSVSKNNILPEFLQYMIEISEDPVEFKSLEKEARKFYEHHRNEKQIDSIVDRITKSFSGLDSSLGEYTLKQELQIAYTFRLDISNEIREKLKKLGNLEMHYFKIQGFISNDEAFQEMKRIGEPDPKNIIAYFLTMSEKLYMIQSFEDLVARFKKWRKAQSGWGPVDDEYLFNKLEKDGIFYQFDDNNKLKKFEVEESFYKEFRDRLVEIRVERGENIKECSERPNDEQENIVLRKSETPKRTSQFQRYKPEELDEIIKFIILEMKEKKEDFNLKVTCEKYIKNTSSRRKCSTMETKVTEILKKNVVTSKPYDLDTRILIHFALKWPLDVFFIGELVEVFYKTPVEEFVLNKNNKVIRYKSRQGFSLERSDSDFMEAS >CRE21150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:292164:292833:1 gene:WBGene00082861 transcript:CRE21150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21150 MICLNEEQRADPRYLVGFLSLVLIICGITMTSAFVINRVFFQSKYESSLPPLPFDYEEFQQEKERMIAEAKLEHARIATDPKLQEARKTLLKNFETLPKSLWDKFKPDLTRFQGYQEYLAKFPNTTGTYFELYLYGSPRLERIDNNLKQVKIVYETRGDYYTPACTLFAVMLNGGDLYFNCNYDGVNATFVYYIPAKFVMNLVK >CRE21256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:496312:496778:-1 gene:WBGene00082862 transcript:CRE21256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21256 MSQKSEESWTKWQYSYDDTSRFTLAVQSCNRGLDLAMLKKGYIHERPPVCRQPAQTP >CRE21249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:467258:468234:-1 gene:WBGene00082863 transcript:CRE21249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21249 MLQLVCQILFSFLVILLLFYDVVFLIVTVKKRLKDIPVIYIVCMTICGIVNKISQIILVDGYLISKTIGGDEGYEGRHLSASFITIRSFSEYRQFLGKPISLIETWGYLTPFYFNWLMTIHRVVVVIVPRKLWMFSDSHLKCYCMFIMMISFIDLLIPYFSNCYVNFQAHPATWASACAPDRHPLTWFQNKYIIYFPVTAMLVNLLLIMYMKMTRGITNDRAMIRQVAATAIYLSIYEIGSLYIRLLPEYFDVMSSEFKEIFYFIRILTICSLNFFVYFVITRVTRQLVLEFLGFSKKRRAVATITVTMT >CRE21258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:503228:504427:-1 gene:WBGene00082864 transcript:CRE21258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21258 MSDHCKFFEEKLTGKKGIVYDSCESPAGIDESDPFNRFNGIINERELVQAFGMVWKCTRKVEDGSLRLELFACSVERFRYRYLDPGESRTMNNGSVKHSCDIVDGGLRSIFEYENGTYYIQKEIVRPYVRLNNFYEKSDLVLVEHSDGIQRSKQINTRRFKCVETKPGHVTLITATEEDMSCRYKNGTYSYESIWIDVTRGASLRCDNGNEVMKEYCSLNGKNYDIGLELKLSNGCVFVCNEHKNIYICEEPLKL >CRE21244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:436288:438431:-1 gene:WBGene00082865 transcript:CRE21244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21244 MNPEAYHQDYTGSELTYQIDGVQANGGQQNLYQLYPTSTNGLLNYNYSSYVAANGSNYISSPSTYLNPSTKMGGSSYLNPKMGSNYLTGSFSQGGGVIPSSIGASSLGFTTTPTTSSASLPALNSRSLTSTIVSASGARASSSPTSGLQQLTLQELEKITSRGNFGHNKPPYSYISLITMAIEQSPVKRLTLSELYNWIMEIFPYYRNHQQKWQNSIRHSLSFNDCFVKVQRSPDKPGKGSFWTLHDLCGNMFENGCYLRRQKRFKLKERGSPRKKRGVQAKQDLVKVEIKENNPTYQLITPKVEVKEEVLPAGTTVTQPTSVISSVGTLGATQSQMNLNNPYSTPYIYTGNYSSSLLQPQSFLSGSIYNPTNIYSNGYTDTYQNTLYSSTDPTNGSPTSAIAPGANL >CRE21176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:515797:516326:1 gene:WBGene00082866 transcript:CRE21176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21176 MARLSCLLVTLAVCFLFSNVHARPMTHFHFYGKIECPKDVMRYQIYARDNDWSIDELGKTPYRVARKPYSYDLKVSTDSSEAGAFYEVALVISHNCTDDGSNKYYGFLPPTPDGPGRFDKFKDHVLKVNFPNILNAEHEITKNDW >CRE21235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:297960:298695:-1 gene:WBGene00082867 transcript:CRE21235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21235 MINLPIIFQVLTVIFGIIASSYAETAPSLNEIKNLKNTDLDEIFGSTMTKSPPPDLPDMGPMGGVGGPDPMGRFNRGPSGPREIPINHGHALSPQPAPGLQGQGNGGEFQSLAAQGANVFATGATAFYRGAATVGEAFGIPTGNYQVPLFNQAASLFGR >CRE21194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:33549:34391:-1 gene:WBGene00082868 transcript:CRE21194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21194 MGRKRGNWIDIKTIKAIGLDGEGWSGKIYDINRYPRTVSLSRMMDSPPRPVSLSWMMDSPPRPVSLSWMMDSPPRPVSISWMMDSPPRTVSLSWMMDSPPRPVSLSWMMDSPPRPVSLSWMMDSPPRTVSLSWMMDSPPRPVSLSWMMDSPPRPVSLSWMMDSPPSRELFPLVG >CRE21142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:260086:263147:1 gene:WBGene00082869 transcript:CRE21142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-num-1 description:CRE-NUM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MEZ1] MSSTQGNIFTRGLSRISRRKKKTKSIQNSLVSQQQPSFDAAVVPMPLPNEKSTIFSKGMDRLRRSLRLPKKRRDRSHDRHLSPDVTGGSKTEQWQPDEGAVRSGTCCFNVKYLGAVEVYESRGMTVCEGALKSLKATRRKPVKAVLYVSGDGLRVVDQGNSRGLLVDQTIEKVSFCAPDRQTEKGFAYICRDGASRRWMCHGFLATKETGERLSHAVGCAFSICLEKKKKRDEESTGAAAPTQPESTPKATSSDVFHPNWGDASGGIEGPSSSLNSGNSGGVTSSAAAAAATGQRANLAYQSFRKHVSIEDRYLDPQSVIINEVPASNHMDEIRRISKPRPSGNPALFQRQGSLRAPDMPSSADQFKRNLSLRTPATGGSEQRVIEKKVENTFCRLQVSPESIDSI >CRE21178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:565112:567836:1 gene:WBGene00082870 transcript:CRE21178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21178 MLQIKSNPTWEGIPAELRREIISKMNVLDRSVIRYVTFTDGFFADSFPYHIDTLDIFTTATELYVNIKETIRPAYSIPKTHRLPNFMCLMKTRGLRIEKLNISCDRHGFGAQLQAIVETMKESGILKNSLLINEIIFYSVEMTWTNDILEMLEFCQAGVLTKISIKTTLTDQVLAGICNTEQWNKSDEITLSYLPNLTWCNPFDNWTGSYLQINTPVPVHITDAGIERIAELYRKKSGRAKFLIGDARWIGIGPSVHYAKRTAQKSLLEVYSVNGFVSGLVYPIPAKDFDFNHDRFNLLY >CRE21181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:577717:578824:1 gene:WBGene00082871 transcript:CRE21181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21181 MTEPSTQTFHLWNQLPPEIKVVVMEKMDGIDKLKIRQCSKTEKELVDKLPIITIQQLKFKFDENGRTMMGVEQLKLKDTEVSLLNIFSNPKIIVKNFDFFRTLNYTNCVYNELNKLSEAASARNIRLRAECVHFTFFEAFPESASKTLQIFDESCIKSIKILAPTEETVAAISQTAQWKGCQEVVICDQKGIRFVADSCSYKMTNFLHFQRVNLMIKMLTVNEACILIKNMQSKARGHFKIITSLNIRSEAIFRSFQPEIIKKQKILDGELYQLSMTDPNLILCVETSIFSMKGVICRRERILEDFAAGNKILDDSEVIQEEE >CRE21137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:222479:224449:1 gene:WBGene00082872 transcript:CRE21137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21137 MPSWNYLPVEIKQHVIKKLDFISRHSLKNTSHCDRLIVNSTPIQLPRVRFGYKEDKCVIVIYAGIDKFWRLEFEKYKKGVIVYKSENSWDPKDITKKVIHCTKPLQVSIMILKSLLAHNSILMNAMEWNITQNDISKGVHNRILKLLDGAKFRVTEMVMGRNQWTEVRHFMKSVCYYEEVKTLRIFCLSFDTQNLAPISACQLDQFYEGRHFYTTKLNMENNTLSVFLSNFTELTRDRYLEVEENRTSSIMFFDKTMVKAPGGIEIEGIVVESRITECGAFDYVIHKKYEEHLQYYKSLKCELGPFCKRCSDPFDYWYYQNSPRRALHEPFWVEHISNNQDNEIVSQLRMAYLREGNEKKKIQKGNKKQTFETPSWGFKHVSIDRLVENINRDGQGRKRKKEKGKFKDVKASEDFRIKGSEDLSADVVEMILEDMTALQETLSESEQGEECQQSVEEVDNHPKTDFQNILLFVLAVLFPILISFGIYLISSVF >CRE21285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:659907:661015:-1 gene:WBGene00082873 transcript:CRE21285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21285 MAKVKEEQLPLDVTSDGRKRSKRTTRRYRKVRAIERDRLAKKIQYFEWKTKEIESRKSGNTVTIGTDLLKRIRFPSESVDGEVRTDKPKKKKTVKPNSCDKPIKNKKKRDEKSQKSHQGDSPAVNGGGPTGNQSQNAPFQQAPAFNSGGGGGGMNVGSAGFGGGQRGGYGGGGHGQFGGGGGGGPQFGNGNPMHFFPFQGNRQFCEQSVSEVMQAMQVGFGLGVAAMAQMRTGQESQMTANRGSAGFGDGYGGGVQGGGPQGDQNSWRQ >CRE21149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig33:286002:286724:1 gene:WBGene00082874 transcript:CRE21149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21149 MSHQQSPSSFKDLLVLFIAIVLAVAIGLLAQKTEPIETTTAPATASQMVFEDEIAAKISRWNCVQDVPKHLWDKWTPDPTRFQGVNEFLFKTGTNGTELEEILYFHRLKMDRRGVSWIPYNSHAFNQFMVGAGGKRVKFQGSSRIENGEFHYHFQFEHAKKGMLGLQLVYFIPHEYLI >CRE03495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig330:42546:47471:-1 gene:WBGene00082875 transcript:CRE03495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03495 MVKSGERVVVKRQNLEKVIQNLARINSTLFSNLGNQIFCVVPRIKDSTNKEQGYRKEKQMKFHVSFRSIKSQVPPCLRGGGDVMEDTEIRGIRKLEPEAQLDSSKPLICRVLYPTQGYMYKCFYPKCKGHSNGSTDLRSLKKHMVDKHFTNIEFAYKCATCMFLTTGKSTTALKSIKAHMASHHKVMMEPGKKSLVQKLNARLEEAAPSLPMPRNRSKVIQLTPEKSISELEKKKQTRSVAKQLSTLKESAQKKEEEVKIAEVKKKEPRLSIIPESNVRRSLAAGLEQCINPEQSVAQRIREKREEYAKASREAAAKRRSSLAMKPARLPDKENEITLQETKKIDDPIVIDLEKECTLTTILQVPRNQFNSWCLEHETTIDAWLTDEVIHMYMCTITENRKYFMAIDPVLWPVYVRNGAEDLLRRTSCPGTFFFPICESNHWVLLVIEHDVYWYLDPKGEEPKGNLEILLESMKRKRQYYEFPPPSQRDNVNCGVHVCLMAKSIVDECGYNWYSEEDVRSFRTNMKDILKSKGYELCPEPYNRQNLLKTEKQKEVILEEMIDPFVVEDDMTFTVHRDSDRGDDEIEHLKTIEQEPENEISEIENVEGSVDSVIPKLMEMRVQTPSVINEKRSKKRVSAKEKPRKQKEKEQKVPTGKPDELVKRVRVWFEKEFKSYVEDGKSFLRLEWLTDVLTAAIQKASAGDEKAVELIEKRCPPLECEEGEMCTQTEKKKKPKSGKGNGGQESMKSLMASYSENRAKTYNRIIGKHSKQCEIPIAKVQKFFEGTTAETNVPKEILKEMCSRLPKVEVGTWIEGEFSESEVTEALKKTKDTAPGVDGLRYHHLKWFDPELKMLSQIYNECREHRKIPKHWKEAETILLYKGGDESKTDNWRPISLMPTIYKLYSSLWNRRIRAVKGVMSKCQRGFQEREGCNESIGILRTAIDVAKGKKRNIAVAWLDLTNAFGSVPHELIKETLESYGFPEIVVDVVEDMYRDASIRVTTRTEKSDQIMIKSGVKQGDPISPTLFNMCLESVIRRHLDRSVGHRCLKTKIKVLAFADDMAVLAESSEQLQKELTAMDADCSALNLLFKPAKCASLILEKGIVNRLNEVVLRGKPIRNLMENETYKYLGVQTGTETRVSIMDHITEVSREIDLVNMSQLAMHQKLDILKAFILPKMTYMYQNTTPKLSELKVFANLVMRSVKEFHNIPLKGSPLEYVQLPVGKGGLGVACPKNTALLTFLVTIMKKLWSSDSYIRKLYTDYLEEVAKVEIGKFEVNLNDLAEFLSDERAVDSKLFGFNAFTRVREVVRSLCKNKDSPLHSLKIIEREGKLAISVQATEESIEKIFTEDQEKKLMYLLKGELNTALQHRFFTQKVFKSEVMRVVQQHPQSNSFVRNGGKMSFSAQRFVHPGRLNQLPCNYNTWAKGRTKLCRRCRKNKNETQSHILQECDYSIGNIIKERHDAVLYKFRELIKRGSKGHWLERTDRTVPNTGSQLKPDLYLESPDGKHVILADVTVPYERGIEGMQKAWNEKINKYTDGYKEIFRRQGKSLVVLPLVVGSLGTWWKPTEESLIKLGVEKTTVRRIIPETCGMVAEYSKNCYWRHIYGEKYVQTPMINGGDKPEGNDWKKCKKGIEVPKVTN >CRE14454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3302:226:1140:1 gene:WBGene00082876 transcript:CRE14454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14454 MYSNSKPMWWAISLPIIAVLIWSMNIAVTRYVSDYISPVSISFYRWLVAFIILTPFMLPQVWKQRHLVKQHFMQFVVLSAFGMFLYQGLAYSAAHYTTATNMGIINAFIPVFTIFVSILILREIPNRFAVVGSILSFLGLLYVIGRGDFSNLTQLAGHSGDLIMVLAVFFYAFYGVFLKKWQLKVPLLLSLYVQIALTLVYHLPFVLYYGIDAINQGNVASVLYAGILPSLVAPLLWMMAVQYLGPNRTSIFMNLMPVFTAIIAYFWLHEAWTMSHTIGGIVILVGIALAQKKSLSVKKSTLLS >CRE14455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3302:1763:3506:-1 gene:WBGene00082877 transcript:CRE14455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14455 MLKQISNDQYDAIRLWYLVQHSLSAFRKLETHFGSIAKALQAENLNRWSEAKIHKNHIERASEFFTALGQSKFQVCFDKIQQHTDFIVLDTDPHYPQQLLPYPDHPPILFGKGAFQNLSQPQIAIVGSRKPSPHGKQVAYDFAYYLSEKGFFITSGLALGIDAAAHMGGLKHHRTIAVIGTGLDLVYPSQNRQLQEQILQHSGTILTEYLPETQPIQRNFPYRNRIVSGLSLGVVVAEAQLSSGSLITAQAAAEQGKVIFAIPGHIYGEHHQGCHQLIREGAILVDHPEQIVEDLALPTQWHCAQQSESRSPMPEIPEHLFKLYQLLDWIGQDLDTLAQSANLDIAQLTSDLMELELLGHCIQQSGRYLRCRMITTSVAEAAQILQQGKVLAYPTEAVWGLGCDPFNEQAFLEILRLKQRPIEKGVILLAGQLSQVEHLLTEIDPIIREQIIQSWTQRSRAERATTWLLPADDKIPQWIKGQHAQVAVRVTNHPLCIALCNAFNGFIVSTSANSAGLEPARSLQQASQYFGSELNYLNGDLGLSREPSKIIDASTAQVIRA >CRE02789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3321:240:1298:-1 gene:WBGene00082879 transcript:CRE02789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02789 MHCEFPLISFSNLYFLFQSITFLLLSEKSLCHKNSTKGAIQWTSLLISFPSLPSPIRPFTPNYQFYEGRCIVSFFFLCFEILIVYSRVSLSFCSQKSRSVIKTQRKAPFNGRLCVSEGFDSNLSFRTFRNYDCVLSVSDCSYFSNSERSNYVKMNGQDVPVQVHRSDGNLVSYWRNTTDGLKTITDYVTNLYNIDVSEVCVSKNAIKLIKWVIRRQKTPLESVTVCGVTSSEEELIYILRDCETLSQIEICSFSPRNFRFSEKFRRIDFLDIWYGEWVTLDNLLTMDGIDIILGSSSLSNNDVNVFLEHWLSGGCPRLKLFCARIGTVDILQSLDGLMHNVVFVEDRRYYTR >CRE26930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3324:2719:3091:1 gene:WBGene00082880 transcript:CRE26930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26930 MDGREIMMFKSSLTSNNIDTFLKHWLAGGSPRLKLFSAKTINFDLDALFADINVVFVEGLRQYTSPFQIKYRFSFGYDLRREDGVTATVFYRPDGETIIAVWPEIVCYC >CRE30640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3327:3199:4135:1 gene:WBGene00082881 transcript:CRE30640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30640 MSRYFALLLALASSNVFCIFSDSYVDMRFLLETEQECVFFEVHQPHSQMDIAVSALKSEYPLSVELINPSGSSAFKTPNSGRHYFKYPEVDGSFHEIGDFQLCISSRQIRQPVQVSLIIVIHEKNANNIDVASNLKRIKHNSDFDNARMTLKNFEQITLNIDVQLKIMKTEQAKRAFVEKIDRQHIETAFEMINFWHIMRVFLVLFIAGFQVHAIRTLLSPK >CRE06174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig333:8270:8556:-1 gene:WBGene00082882 transcript:CRE06174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06174 MFSFPRLIVLLLVTVYAMSLTAPKQMVFGFGKRSISMIPMKRRFTQGSFTMGLGKRGGSRKSFHMGFGKRSADPQIFI >CRE06172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig333:18055:19686:1 gene:WBGene00082883 transcript:CRE06172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06172 MKLDWFLILFPFIVLIISSANAACSSDTCPTGGLWSVWETIDDCKTKCGACNSATYTRTCLSTSMNGGCACIGNTTISMPCGTQACNYPRYNGSGVICCVGSPMIINNWYQCGPLKTNSTLACCPTGGYWSAWGIWMKQANVVKWSRTRTCLSGELACPCTGEAIQSKYGCPCPAISTITTCDSTTKTPFNVRTPYYSSSDCTATIVFEATNFRKEFFFTNGTKDWSTLGWIDSTGKCNVQDASGFGSATSKAGSFYKFSLLCNTTTGRFYGRFLEANFVDVVSIGQFY >CRE03603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3330:1593:1859:1 gene:WBGene00082884 transcript:CRE03603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03603 MVTKEIIMDDPIFEFLDHSRLRRHRYYLKSLTTNSNKLSSQILSNRVLRCWNSLSDLMFPVKPSTAVFKSRIYKYNLNHFLSLNPTNY >CRE04487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3331:1327:2136:-1 gene:WBGene00082885 transcript:CRE04487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04487 MYDNTIESLGEYKKSDGFGCILAHSMGLGKTIQVITFSEIFLRATKAKKVLVIVPINTIQNWYAEYDKWIPKFSDTGDRIRNFEVFLLGDAVKSFDQRVNLIEQWDQKGGVLLIGYDMFRLLIKMTVPKKAKKGRPKLNLSGVSAGLSRDQFEDSKDEEIEFETGYTNGGRIRQEAFSFTKQFYNNTNCIFQYFEVPCSNQVQTLSFVMKDTKSRTSLLKSQQLLGRSTRNDVLC >CRE04486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3331:142:706:-1 gene:WBGene00082886 transcript:CRE04486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04486 MQNGSWPPNNYHINSPFASVSSNPSTPSTSAGTPTKAGKKQRKTKSAKKSAAKSDEEEEVKEKESRMRYDWTFQLFETYQEGVLENGYKIVVALEILDESTCIGEKILIYILNVTALDMLEEILLKRQISGKNGPGERWEKNRNYLRLDGTTSGADREKLINRFNSEPGLTFF >CRE07976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3335:2560:3079:-1 gene:WBGene00082887 transcript:CRE07976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07976 MDKNFEGVIPKNLNDLHIHYSQWIGFEKLLEIGCKHVVLRNDRITNEEWNLFLKKWIAMETNQNLEYLELDKRKLDIFRDRVLHDISHEIVDEGVKRVLKIRFNETEEISGGIDIKRIDGKTATFFVYRKSRMQFHAMSIH >CRE10792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3337:1301:2112:1 gene:WBGene00082888 transcript:CRE10792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10792 MRRQAQSLAKTCGSLLPDLWRRGVRPRLGDTCRVAMAVSLIYLFESRSSSLKDNKYRFTNHRNRIVYYLLNYLVHTQIMAFVLKLPEDQEAAKLEVLSSTPCPTKEFFTQPVFVLLCDRFWSKFLSLFTVPILAFFDCSQITFFMGCLIYHLYVVPSFITSSKTRKLQRHFFIGIVAQTGVPTTVFLITYSVLVISYLMDSLTQGMMNMCVVIFGIHGFVESCVIIVVHEAYRKEVLRMFTPQKGRSIFIFCAHK >CRE07074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig334:42006:42817:-1 gene:WBGene00082889 transcript:CRE07074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07074 MTNFQIARCQCFRTGFLLLSRFHTGFLLLDKAELGSTINKTQQGGDFFILRNTTECVSPDYTCPPLKSCSITFQLPPSSKPRILSILRISQSGRFHTPEKSSFLGWSSDEIPEFQGSSSPIKSKRSLKTHSMPLRQMSCWIQLLKSPQPSMDIKKTSSTQNW >CRE07071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig334:8216:9185:-1 gene:WBGene00082891 transcript:CRE07071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07071 MAHRQNQKMIPAIPTTSLRSEQLDGLELQLYNRYERRVRTDKEQEDVDEKTFINKLIKEFKESLTPDGEGIIHIGIPHTGRQGELVDSSVVTKQRRSSLLGRHLQEKEAREAYQTNTLSWPTSASSNQARRSVLEAESFREERKSKQKVLDWNKAQEATMCQQQQELDRSPRTKSSNKTCCFGPSSASDNTSGQSAHPQTAQQTSPASGQNAPLGQPDASTKKQV >CRE07069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig334:45415:46025:1 gene:WBGene00082892 transcript:CRE07069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07069 MMKSFILAFFPRYKPVTGFIALMDFLNPSPTVFPTVQPLQIPLPLDQYSPPPSQFVSEHDGESIPDSQKDSGKNDSPVALKLLSFAGSMVKKLFKSRKQLLETPVKKVPVSRTRATKPKKVSREQFGEISFDDTPLRVLDKSKGKLDVAVMKCCAGKLVWTFEEGRMILKMCNRCVDLNRGYARILD >CRE13872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3341:22:744:1 gene:WBGene00082893 transcript:CRE13872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13872 MEIRNQVLKEDSKPEDPIQHPSHKSSIAKVQAKKKEHSKLTKPFHSESLELKKLLKDIGYDDSYQRYQKRKEKEVEELKALKLEIQKKDEKIEELEKMMKDSSHLAAENLELREEIRKKNIYILDLEWERDQHIFIREQQKLKDDRLEMHSEDQKTSVESLAKDAKIDDLEKRLKEKERENEEVKVSIRNMIVSDREAFKMVDNHYKLKMEEEKKMNSDRIDELTAQMEKLTKCVEKLMN >CRE07865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig335:1771:2049:-1 gene:WBGene00082894 transcript:CRE07865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07865 MYMKTQNIMIEAGVKQCDPISPTLFSTCLEGIIRRHQTRKTCYNCVGNVVLHSPMILTIKKGSVDQYAKIKIHGKPIRTMSDTYKYLRNLMC >CRE07862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig335:14518:15975:1 gene:WBGene00082895 transcript:CRE07862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07862 MGSASALEPLVCMHYAPESYVQVPSPLDCTIANTMTPAAKATPLELAIFQDNTINYRTNGTLCKVVKQVTTFSVNIFGARFQESSSKQLPVPTEACANMVKFQECEFGDLHHRAGIFKTENAHTINWPSAFNIFAGTQVVETTNCFMMPTSVYSRFGSETPSSPAGSLVGCRFSDGSCTTRGGATFIWTPTQEQCRFVFWKKLRGVQSGRIWLSEDKEFALSFLPNNSRIADCGRKIVVTDQGYGIVPPSRTKRQAEGLNELTNFVTSNQLAAQLLANEEAVLESASKLVKFGYKNLCHASNSQRAMVLSAVVSDPTMAARKLTGKDQIRAKFLGEGFLAIKTCSVIPKGLYEFIPFKETCHSKPSIRATTPTNTTIVTFVDLTTRIITNRAHPVDCNLIANFEYMVNGTLFSLNPFTLEIKTHPDFRARQISETPAFQNPIGEETPLIFHNLIIGSLSENMPESHYNEIWEAMQGSPDPRRSRA >CRE07863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig335:16333:17491:1 gene:WBGene00082896 transcript:CRE07863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07863 MKRLRSPASGLSYTDPKYFTAQIPIRVNGIHFWALVDTGAGFTVAGREICALIGVGKLNPPTVDHALGLGGNEVGMAGSATIKFEIGANTIFQTTNFTSGQCCPEGARKGFKHLRHLHLHGPPEVIIQDICSPKKAPRRVHLDQIKKFVEITGPAATDRDEGPDSDEEAEQDVRVAQVDQVEVKDIDTTAEREVRPLETIPEEDLDLAAEVNDCDSPPTEGDEQQHRYNLRRNRNPPERFEAKFERR >CRE23864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3356:3400:4053:-1 gene:WBGene00082898 transcript:CRE23864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23864 MDVLNVAKTRYTTKAYDSSKKIPPAQFARLLEILRLTPSSINIQPWHFFIADNDAAKQRIAKALVGKYSYNAPKVLDSSHTILFCTKADITEQHLSNLLQQDDLSGRFKDDKAKQGQKDSRTGYVSYYRNEKGDIQRWAENQTFIALGQMLLAAGIEGIDATPIGGFDEDILTEELGLIEKNLVPSVIMTLGYRSDSDFNAKLPKSRLTQEEVFTRL >CRE08615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:49199:50155:-1 gene:WBGene00082900 transcript:CRE08615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08615 MFSHQIENILKRDSFAKKTFVGVFSADQLAGVHPRGTFGLIVNTDTTDQPGRHWQSIFVDNTKTCHFFCSLGEKPNTFVLDFMKRFPRIVCNRNKQQKASEVTCGGYCIFIQTMMARGYRFETLCDIFESITNDDTFIRNFLTDSFICVHVAIVHYGVVPPNPEISEELFYSITSHVPQVRARTCPVCLIHFPSLGSCVFHVDNNHPTPTTCSLLRPTKEAVIYEWERLVEAVFPGAFSLYRKSWRINRRGSSSKMSDSDSDSYDYIGH >CRE08606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:6805:7257:-1 gene:WBGene00082901 transcript:CRE08606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08606 MWSYEIDQILFNDRFSKKWYKGTVMADKLPKKRPRFKKFGYIVNTDPSNEPGRHWQSIFVNGNTCFFFCSLAEPPNVYIQRFLRLFPRVIQNPIRHQSLSAVTCGGYCIFIQSMMSRGVRFETLCEIFIKMVNDDLFIVNYLKDAYNYFI >CRE08602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:52172:52665:1 gene:WBGene00082902 transcript:CRE08602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08602 MADALNNGVDKSGACKPNPLLIWRENRNKYLAEKAKTVRMPTDESTKLNPVFMFDDPVFMVHMCAECRKLNRSGLVTKTGTGHQQVCLKLCNICCAMFNAQRRTKFFNHDLLCFKRGQERGNSMEPESTLN >CRE08608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:9740:10248:-1 gene:WBGene00082903 transcript:CRE08608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08608 MSRFRIPRSPFWLPPCEDDEIDFFLKDAITHNGHHLENLSPSITEKYTTFLNQPKILHVWDTITYETVPLPIIIHDCIATYTRMLNNRRSVAEVYLEDYGYTLTFPFSPVIADVLGRYHPIEVCAVRIQRPPINSDID >CRE08603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:53675:54028:1 gene:WBGene00082904 transcript:CRE08603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08603 MARSGGEVVRGGLRKEIFHQDTTITRWQIELGEADAKLEEWKERVSEAEAAAAEWRRRAEAAEAAAAEWEAMWEEREEDEEEDEEEGEEALGADDGGFQN >CRE08599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:42175:42574:1 gene:WBGene00082905 transcript:CRE08599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08599 MSSSHPSCVVCPPNSVCGLSADGELVCFIQKRVKDLIPYPLWVKLGAAALLIMLIICTVVVVKQYAIPAVFRLIGAIRGGHPQRTEGHVDTLPERHPNRMVREDATNVQPERALTNV >CRE08605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:3506:4132:-1 gene:WBGene00082907 transcript:CRE08605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08605 MTPFQFRFPSQTTVIGATQSGKTSLVRKILENLDSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQLVMSKPYNAMMINNDPNADPCFRIMENFLHEFPIVYK >CRE08607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig336:9098:9668:-1 gene:WBGene00082908 transcript:CRE08607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08607 MLSRFESFLDQNFPNWKSLSDDVLNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIASYLKREWAVQSNHPSGPYVYIKTDENSLYPIDLVHHIEEETDEEIQERQQLEKKERWDALISVSKQITVLGRKHGYVKDLNFKNELSKKVEKLARRMIGITLNS >CRE17796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3363:1:1701:-1 gene:WBGene00082909 transcript:CRE17796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17796 MFIVAGAPAHSSFKQTQLLTRLASISSVQSIESQWVYLFDQTLNEQQQQSALQLLNDGASFEVRQAASDEVQILVTPRVGTISPWSSKATDIFANCNTPVHRLERGVLFTLKGISEISDAVKQILHDRMTESVFNKIDDATALFSETEPKPLNSIDILGQGKDALVKANSEFGFALSDEEIDYLTAAFNKMGRNPHDIELMMFAQANSEHCRHKIFGSEWTIDGEKQPLSLFQMIKNTYKESPTDVLSAYKDNASVIVGFDTQRFYPKKDEATGHHIYKYKSQAAHILMKVETHNHPTAIAPFAGAATGSGGEIRDEGATGRGGKPKAGLTGFTVSNLNIPGFEQPWEENYGKPSRMASPLQIMIDGPLGGAAFNNEFGRPALNGYFRTFEQNVNGEVKGFHKPIMIAGGYGNIRPDHVEKDAIQPGDLLIVLGGPAMLIGLGGGAASSVDSGKLGENLDFASVQRENPEMERRCQEVIDACWRMEDFNPIVSVHDVGAGGVSNAMPELVNDHELGATLDLRKIPSLEPGMSPMEIWSNEAQERYVLAIKPESLELFESICARERCP >CRE12339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig337:2164:8452:-1 gene:WBGene00082910 transcript:CRE12339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12339 MVEGVMRLRTDVRKRSTVKRYSATPSSSQCTSAQSNRRQSSGTGSTAPKKTRSSKNPTNSQPSTSSATSNNPKTVTKRTRSKSCNGRDRSRPQSRNPSRGSSPEPKRGRGRPRKCHSIMGSDDEDGAPVSHRTRSAANSRNVSPDPLFRSSIRAPKKVGGPSVSGRTRSSSAASRTRSRNSGRRMNSGPSSRRCSPSSIRRQIPSRSEDEWEGASVSQRTRSKSQSRQTSAASSRNASPERSSRSTIRTPRNVNGASVSGRNRSSSAASRAGSRSSSRGMDSGSSSRRSSPSPVRRQGPSTSRSASSLRERIFKKSMEEIFGKLSPDEMKSIKEDSKNSSEIDEYLKERLEKDVEIDWGFPPNMEKRFEHLSSIEAIQKEEKFLLNAGVDVEKVEKWKANKTYELESAKELRKKSEQRFGNLKNENTLFSDLRTSGKDAVKKLIMRERAPMKLEIELLVKRQQYSFLVIKDFIDSQRDKESALRVELFNKNVSKEKKKEMFVESQCSTFSDFQMKFPSVFIQPLKWAWKTVIIPTRKFKEAHAHVLTLEKEFQRKLGLGQQWEDADFKRMEQITQIKEETLKNWLEQRREQDKKDVIDPLYAKTSLYSKEEIDSGEFSEIEKRRWEVNHKDKKMYEDLYQERRERQRHEWEMEYPFYKYLGERSKFLEELVDRPRQSLDIEVELFVITVDFFRITKMEIENFLCNERKQETSFREEHYIKFQPNLGTIDMDDIWSKNPNWKEFFELTSGMGWNSNVVSFSFFSFFWKVQTFQLRIAWLEHTGALSADVPSTSQPPPNRMAHTSPSHSERAKSAEASEPSSIDMDDDLDHDYEDQDFEMDVPSSTADFDAPESFGNSNRHASEDIEARSVAPSDMMSVREQVAPCVAIELQDEEPHRQSPINGLEEDEAEIVGAHEDADVEHPEQEEQEVPQEPEDDEDHEDDDEALAEEQPPLQNGIPQISPTHSERAQSAEVSEPSTIGMDDQLDIDYDNDGQDVEMNEPSNPASPSHISPPAEFDVPDYAGSTNRHEENDEAPADVQPPHQDAPQEPLERELDEETMRLVISNSDNPIQPEPIIPFSRTYSGSYLRWKEEEVTDWMKKVLVKGGYKAFMGYNGHHLHDAIYDRETRERTGFGEAFWKMIKCHLDKVYSYDPFIPRI >CRE12337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig337:11348:12079:1 gene:WBGene00082911 transcript:CRE12337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12337 MGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICLNPNCSNFFSTVIPIWNALTINVPHFLCPSEFKSLLVNNIARF >CRE04490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3371:4365:4993:1 gene:WBGene00082912 transcript:CRE04490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04490 MSQQADVGVRRRAGPFGALAASRGGLAGTAPRRRGRRIPGNLGASGRGRHSVRPAPGVGAGGRGRGNRGGVRRPGAGRVRGTPRRARVSGGPRGRMARVRRRLRQVGGGDREGDRESEAHLRRARGGGAESRSPASLVPGPPTARCARPPRRRALIGAARGLLSGARRLRRPGLPGESLGRSRLSS >CRE04489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3371:1231:1812:1 gene:WBGene00082913 transcript:CRE04489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04489 MTSATGTATVGIVIIWLNGTHGAGKTTTSRLLQPLVPQSRIFDAEKVGEVLMDIEPALPETGNFQHWPPWRPLVAETARRVLDYVGGTLIIPMTVLIEQYWQEIAADLGRHEITVHHFVLHADETTLRHRIDDDAEMGPSAFRHDHVRPYIEAARSWLHDQAVVIDTAAKPADAVAREIAERLPRSSPDSAGA >CRE08623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3379:164:571:-1 gene:WBGene00082914 transcript:CRE08623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08623 MAPPSPHRSSILNLFKAGVPPVDIIKRLGVPSRTVYDSISRFKKLGTFLDRCGRGRKPTVVTPDRIKAVKERIRRNPHRSIRKMAKGMKISSRSMGRIVKDKLKLTCYRVRKAAILYNEETARKVKKAPSAHAQW >CRE11514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig338:5044:5467:-1 gene:WBGene00082915 transcript:CRE11514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11514 MSYSIFLLLLFAVLVSSARGSKNPKPDPKLIASNTLEAALNAARAEDFGRFKTLVAYYKGPGLSDYDMRMFANYYEYIVLKAEFNEDGHVETICTTSPTDRLYFFKFKPSSESPTGWTSYLAK >CRE11513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig338:54392:56921:1 gene:WBGene00082916 transcript:CRE11513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11513 MSDKTSSKQSTSKVDDNRMIVVETQMSVKERRKKVKKFTKIIARSNFPQELLEKFEEIGGDEWPRSVIRVMREFKLESVEELREACAKAEEGEEAEWSVGKVNLELQQVQYKMKVLTECWNEEREMLGEQIRKVQREKEVAEAQVSKLEKALKQLRKTLERQERKPYGLWDETQGGRSWSRKKGGEDAFSRKTLSHSGSSEVNDMMQCMNRMLKSSALPEPKTFDGTGEFKQFKRAFLLKYNHVTENDYELVAILEGKFLKGAAKSLFKTLPKRFERSIQSLFEEAGEEVGPEDVGVSRGSREVVEAFPEVKQETLSQMRTTKLMKAARADETLHKMLIMKRFELSLEEQYDHLKDIVLQQENEQRRGNCQKSRYSECWKERPKAENDGEKDVAEKESGENRYWMEQKCFSCGGVGHLARQCPSKPVQSVEVRGKGEGVGKVAVETVKLLGQERRMVIDSGAAVSVMSTGAWDGLKKGCRNWVDVVKRLGKPSFEVIDTSKKKMRIIQQIEVPIQVRDRKAEVVFQLVENDAEIMLLGTNAFESIGVSVEWKQERMDVQQKKAKRDATSSEEKKVFMVGNLGIRVENTKPSGKTAERSKEIAVDTVTEEKKEGMKPKKTVIRESKILITPRIGVKGKSIFEYRKSALNTWKNRFDFANVESIVFLLELTEDEETNQKLGDLVRKLAEEVKEITIIPYKMNGAKSGLVESWKRSWITAGRVKWSDSAASADEKFKTWEQLLEFLEARTTENVVVAQLSKESVTSEPRIKEDKWSHQ >CRE11509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig338:7530:8055:1 gene:WBGene00082917 transcript:CRE11509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11509 MSVSLSLTVFVLLFGSVVCVPVNNEVDEEFSPYHGTCVKVLDTLQQTIAKRDASLIMDLFPEEFFFTWDAIRLYRYAQSMSVLPKEMTVSFHPRYHIAVGTLVACHADMAVEMEETVLEIGIKAKYFMVQFLVDRLSSTIFRAKQFDCEKKPDIF >CRE11508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig338:4024:4447:1 gene:WBGene00082918 transcript:CRE11508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11508 MSYSIFLLLLFAVLVSSARGSKNPKPDPKLIASNTLEAALNAARAEDFGRFKTLVAYYKGPGLSDYDMRMFANYYEYIVLKAEFNEDGHVETICTTSPTDRLYFFKFKPSSESLTGWTSYLAK >CRE11511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig338:11941:12745:1 gene:WBGene00082919 transcript:CRE11511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11511 MDFSICCPKYDFQTKKKSNIVRHLKNVHHLTEAEVNEFDKRIVSNKALETHGTGAFACGYCSHTVATKNGLETHIRRKHPKPLLNPANISLSMSPPSQAPPLKSWHRIREEDTCGQSETAVTMMESSQAIGTVNMKDLSNQKPKK >CRE11510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig338:8183:8620:1 gene:WBGene00082921 transcript:CRE11510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11510 MLQWADRARKSVENSNKGTILQKRERLMSHLEPYIKELKSTYFYIIPNNKKDELLPVSPFIQRTFVENRKDFLYKCMYKHWQWSTLRTVIHATSEMVNHYCEKLDQGKAKALKWNDAVPTTRRMRGQKAEENNDLTQDYNNQSLQ >CRE13873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3381:150:3225:-1 gene:WBGene00082922 transcript:CRE13873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13873 MMKVSKQSSVNISSETKSQFESILSAHLPESKLVDQFQRHKRKLRISLTDRCNFKCSYCMPDHPSWLAKQDILSFEELYRFCEVMIKLGITQIRLTGGEPLMRKGLVNFIYTLNTLRPLGLQRVSMTTNAYYLEKYAVELKQAGLDDLNISLDSIDPDTFFKMTQKTLTPVLKGIEAAKAANIPIKLNCVLVYGENHQEILSLTEWAYQNDFELRFIEYMPLDQPEHWKREKVVVEDDIINILSPHFRIDKLERNNNPTLSLVEAHQHYLAQDVLSEIALPLFTQSAVDGYALKSEDILAGITVFELVGEIRAGIEEDVQIESGQCVRIFTGGKLPDSADTVARQEIVSKLDSRISLNQALNKGTDIRYQGEELSIGTILAKQGQYLKSGLVASLSMAGVKEVS >CRE16592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3384:2506:3568:-1 gene:WBGene00082923 transcript:CRE16592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16592 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3M750] MTFGISTLILIVQLVVFFVGCGGKKKQAPTVKPGTPKNENRSSVAGPPPPDDAAAKAKEEEEKKKKEEEEAKKKKEEEEAEEKKKKEEEEKKKKEEEEEKKKEEEKKKEDEEKKKKEEDEKKEKEEAEKKKKQEEEEEEKKKKEEETKKNESQRNGPKPHLTIEPSGDLVFKAESTSQTRVTFKNVHTKKIMFKIKFSDNAYQSNPVFGTIEPGKTVDIVVTHNKSPHKEAKMVIVNSNFVGEMDLAKSFKSVRPTGGQITVKLVAN >CRE10685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig339:38971:44966:1 gene:WBGene00082925 transcript:CRE10685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10685 MVNFTADEISSLMDRRRNIRNMSVIAHVDHGKSTLTDSLVSKAGIIAQSKAGEARFTDTRKDEQERCITIKSTTNVKPLKSTENPRNSTVSFDREMSVLRYVQGVVTKSCGTLKTYIEECEVNLVDWGHLDSNDEAIRTELAKTIHILEAKISTVNVAMNNFLIKAAEIDEKNSAEQEKVEEKINQVIEITDIANSVMARAQAELKRMSQPAEKKEEKKGGETFFSHRNHQMAATMPKVPKFNGNRSDYENFWLLFETSLKVNGVENDLLKFNFLLNSLEGEPKELMRQFRLSEENYQKAVDLLQKKYYDKKRIVTELTRQLRTVRAKSESTSDQRKLLDTITIIVSQLNENRGQIDAEMTKDIIVEKFDMRIREKIEDMQLDAQDDWTIEQLLEKMDSVITREEKLNIKLDRSEKSEKSSKETSKIRTSNNYSTNNSNNGKSKSKENGRDTDRPKTCVYCKADGHWGFECVKVSTAKERKDILKSEERCIQCTMKGHNLDNCRRKRKCYHCKEIGHHSSICTSKPKDSSDDSSSKRKETADKNKQKTKTTAVAQIEEDETTSERKEEELNSHVSKVEKKTRAFIPTLRTRAYNPQNGQWDEVSMMLDCGADQTYVTASLAKKWNLPMYDKEQFWLRTFDSEEAALKTYGRTNIKILAGAKTMEMDVLVSANLAGKVKKARLTEEDWMYVNQKQLQINEDCKEDISFPDVILGCDYLGDIETGSRIRLPSGLDVIGTLMGYTTTGKMTHPPQEKEDKEEKFIMVAIEEEKTGQDIEESQKRDTAMKTQEEFTGSAQEEKARIDAEVWEFFKRTVVKKNGRYYVRIPYKNGHPVLPDNFSIALKRLTSVHRNSSKEILKMIDEVFKDQLQKGIIEEVDPKKYTQFLVHYNPHQPVITPQKTTTKCRVVIDGGSHYKGKPSLNDVIHQGPVILPDLVDMLIRFRAGKYAMISDVEKAFLQVFLSEQDREVTRVLWIRDLEKPLTEENIVTYHFTRVLFGLNVSPFLLGATILFHLASHPEKELAEEMKKNLYVDNLVLTSDGDANELERIYRTAKDAFSEMHMNLREFQSNSMEFNERVDEKDRAQEEHMKVLGIMWHSDTDQMTMEVDLEENVKNSRRTVSSTIAGVYDPLGMLAPLMLQMKLFQRLLWSEEYEWDTELNSEHDQQWTKLMETQNGFKCEFPRHIIEKNSPNTIVTFTDASQDATACCLYVVNKNGAHILLGKSKVKPLKESWTIPKLETQALKMGMDKTVEVIQAFQDGQIQVERVMVFTDSMIALNWLKSAPGRREVGVFVTNRLHSINQATDKIREFGIPIHFGHVASEENPADLATRGVDSQSLMNTIWFKGPQFLLTDAAQWQTSRKMFEIPEEEMSLGCASNTEESSTAVFDCTVTNSYRKMKRIAAWTMKFISLTSRKLKQETKDRLKKSIPALCENVQPGMLTAPELKQAELVLLRDQQNLFQGKQLKQLGNLGLVKNSSRPSLFAKTKWRCPTGDSTKFPI >CRE20669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3394:758:1515:1 gene:WBGene00082926 transcript:CRE20669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20669 MARAIAVDAPLSAGIRRGKSFKKSSVKKSTMGIKKRFSGAGKPSGGPRRMSGGAPRRQSGGFQKLDRIIPSNDNREVRINISNLAPTVLAGDLQQLFAEFRIKNVSVNFNEKGNPVGTGDITLSKRHADRLVQKFAGVALDGKEMKFAIIDTSNIANRVKFPEAPQRVPAGSGRPQSRRPQSGKPNQQRTSKKQNVKAAGGQKAAKGKKPKKVAQPKKTVEEMDAELDAYMGHAI >CRE20794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:437179:438008:-1 gene:WBGene00082927 transcript:CRE20794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mps-3 description:CRE-MPS-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MFK9] MPTEKASSSSSSPWIKVVIFVFVGILIVAGIVFAVIFCMKKNKKKAEEEKKKKGSSSSSSKSKSNKDKKKKKKTRVVSSSSKKSAKVAVPPPRGRSIIPQIPLRTKSTDETVTPTTSFTSSPTHEVAPPAPSAHRPPILPPLGLAPPVDDGQRASDEQNASRDNVTVLCTYGNGWKAKGKPPPDFSFSIESE >CRE20790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:415866:418323:-1 gene:WBGene00082928 transcript:CRE20790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20790 MEDDDDLIEITDEQHARIRATIGKRPEFDHLILRDLQLSDSEEHRCESEEESEDDEGGELFYKRNTLRVTTVAQEAAFKRFQALPKAVREAEIQKNLNDLKQYADEKDLAMEKILGKLTTESENQEEEEEEAIVGEGDERENSDGETSEGGEEVDVDDYVDDPDGLSKEEMRDWWTAMNEIWDDMYGDRHEKSMTSLIKNDGSSGEMKTPKTEIGCVGSSKILEHKPCLFDSQKPLIPSLRNKSNQKTSCLFLSQSRSRRENAFQKESEITVVADPRCDLEETGIRRRTVSDSVNSLCSDGASVNSNSIALDDFFSSRPNTPEYPEMPIDKDRNGIIVDQEKETLNSTICVSNTNDGDVGKEEEEEKNLSRSPIKQVPHEMMESRESFQAARPPTPNRQESQNQISIHQIIPEYVQQKVSTHVPSPSTETTRPIIEKHETCRKRVLSPISIPNIASSVKSKRAKSEMTVENTKKLPDRASRSRKDSTKDNIEKPIVIKRGRGRPKKVRRKKCEGHHANLRKVQCNSVHFFCSIYISRKWSHEINKFLQNNTLFLRRLQNFLMYFDQN >CRE20766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:251121:252604:-1 gene:WBGene00082929 transcript:CRE20766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20766 MRALHSPAVAPIEMTNGNFRRLEVCQNCGNGNSTEEILTETAIESALISLCPEQNLYSSNQHLSRSQGSSIHEKDWDLHPDAVVICTACDSLNSSNVRYYDNCDDVIMRNENVEVGSHDTVMGNQEEYEFIDVGERSGVIIEEIEDEEDSQVNRIVHNNEWDDVPVKGMLMESNSESFEMVVVQRSQEVISAERVEAVETLQDTSIPCESVVISEVSGIETLAITEVPIVCQQFPVFPQNQAANIQEPAQAMNSLSPPSTENPRSIWSLRKLDSETVPKVLTPEDYRKEQQDARRESYVTPLEDLYKKYNHQPILQNGIIRVIHTPPPPRRFSTFGHLRRTSSCGTIETYGDALKRRPFWYIEENSSMTTVDEVEVGAPNVIEIEETVSTNRSGCFPMLWNFFTCKWADKGVELAEANDTVTEVKKAVSSASNRGRLARRMTIEEEHAGDYFK >CRE20758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:181792:183554:-1 gene:WBGene00082930 transcript:CRE20758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20758 MSDFVPETEENEEEPMNVDLEPKIAQKAGKEPVVVDQSFSRRFINNKWYGKYGEEGELLEHIKEDWIPVAEDEQEFLSQLWFEQEEQERNAHKHYDWDEEKKEWVPKTNKTEEVNEDFIAEYQANYGVQYDDIYKKMDEELQEKAAKALKEEEDKKEKKRKKKQKLHTEEAKNEGWVDFGDKVHAVYVSNLPLDITDEEFQEFMSKCGVIQPDIRTNKPKCKLYRNEEGDLKGDGRCCYIKKESIELACNILDGSLLKNKEVKVEEAHFELKGDFDPSKKRRKLTAAQKKRYMEQQNKLFEWTPDKPRNYRPKSDCTVIVKNLFTLEMMSKNAALMLDLKEEMTQSCQKYGTVKKVVVYDNHPDGVVSVTFPTTEESDMAVKYLNGRVVDGRKLTAELWDGKTKYKIQETEEDEERRRKEFEKFIEGGGNPDDRNEEEEEDDEDDDDDEE >CRE20740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:56158:56795:-1 gene:WBGene00082931 transcript:CRE20740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20740 MSSSNSSPTIPASDEVTAKGNKKKVPVDGKKRIVVTDDTEHIVVHTLDIPNNDPPENGSFESKPNVSNQKLAKQGEQHWKLELLGIEPPKVVCKKLDLMNISSNTRRNDIFEMRKIHLPYTCHYSYVALFLLYSFKAP >CRE20748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:126956:127839:-1 gene:WBGene00082932 transcript:CRE20748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20748 MSDFHVKGDGPLETWQLEGAARFFNNQEHEIAYHKLFQSANARIQRYFITIGVRINHEDTRDWAMSKMIDEATKMNLSYNDNKSMAQVLDMGYKSAKRNYNNRQPLDDEEKVQLKDKAQWETHHSNVTEFQDAMDKLAENSAFEIRILSALLSGHPDDIQYTKETWTEEYEHEKYVVHHHFFPKFVSHGTRHMTEAEMETLRNATTNY >CRE20795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:443943:444962:-1 gene:WBGene00082933 transcript:CRE20795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20795 MAFIDDGSISSYKKMKLAEVGIGIEHGSILQNLTTGYETGAESTLRVLEFYGSDRFIVINFWTRTYYFMKRNDKYMMRSNKPTIKPSFDHFVRIYFICAPGNARSALILFGEQATLRKLKGSDGDSDVTKSAKSKKKTKRRAPIEMEIGNKTVKRCRKNKKNREKRSNKSNEKQVNRDISKAVPNKKDTRRMERRALIFLKVSLVFAHFGFGVAIFINLLALLGFFLYFKRVKPIPVVVKEKGEKEPGKVAATTTDTKTKSDKKDK >CRE20772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:286474:286761:-1 gene:WBGene00082934 transcript:CRE20772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20772 MRYSRLATSHEEADEYSRLLPMPDSEIGIEGSDDVYLLPSVSSTSSSTFSMTRYALNKIKKNKY >CRE20769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:273265:273912:-1 gene:WBGene00082935 transcript:CRE20769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20769 MSATTTNVPTIEKKILKDVKIEVEDETEHQCVMIHQMEVNRDDEEEMAFLRALLSSDIKKEAVKDEEVEFIDTFSIQLLHLRLERIREFEARELQNLQEYEEEMRVEEKKRRREASRCESEEKDIRPTVEKWIRKESKRSSKKKATKRGRPSSTSSKGAPSKKQKKEEYTCPTCQKPSKRGTCLCSGCGEWFHLACVGIRVSQYYKGFKCSSCLA >CRE20779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:352234:352933:-1 gene:WBGene00082936 transcript:CRE20779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20779 MSSSVFLLLMAIIPIAHSGVLLTYPQARFPPLDYLPDDLTMPPCGVPKPTKPFYTTFHIGSEYNVSWITPASTNVFIEYGWKRNSHKPKCNLGLGKAVVERESMLDCLETITHENNILSDDVSESDVP >CRE20764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:239132:239695:-1 gene:WBGene00082937 transcript:CRE20764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20764 MNSKRLQKVALPYNIHCKSCTTTLTRQDAQTVFDFYFQSIKNKCFQGKIIKIQDEMFHITCLKCSVCQRTLGVTPCYPMHAFSSAFRCSDCHREATSPKCHGCKRPTFEKCVSAFDAYWHESCFKCKGCKKPFKSRLSFGLLYTESSCFQDKNTWFMMDVLMMRTATIASS >CRE20783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:388191:389357:-1 gene:WBGene00082938 transcript:CRE20783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20783 MMGPNTLGEVSDMLTLCDRVPEDISGNIMLDWTKEVVVTGFKGSFEGTPDDVSSVIIDELQKVDVENRILLLIKLDASYEEVMKKIPELFTEHQDFVLHLASYSEQNKTTIFIEQKAFMSGYTKPDKNEFIPEGNRVKSESKEQELVTKVNVDDLVKELTEKCGLNGQKYGGLTVEKSEDPGRFIEGYTYFLSLDANPSSRLLVKIPAFQGECTKEAVASVIRELIIIATRSF >CRE20775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:299885:305699:-1 gene:WBGene00082939 transcript:CRE20775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20775 MKFTTLLTVIATITISVAFGKECGSNLKPEKLVVVGVWKRGFDNSTAQYRISDEDLSVRGYERADSDKASSTVMLARHPEGCIINECGVRLSAMMQVNGAILHTDNRMVKLNDSTMNNIEDEFYCAEKSGFCGANVPIYRLVKHSLTGPHYAYSFDNVGQSLPGYEKEFFPLCYAWHQTPSVVLFNSSDDGVCLTLPDAQNARILYSSNQVNVFSIGTTATLQCNQGYVGNGTSSLLCTKDGWYPKRDEMGSCINQGKISIYFFIETLSLFPEPKKPLQLVVASDVTSQSSCSVPALTPNGNIVYSANVATSTSKTSVPSATRATVLCSLGFVPTTSVTSSKCVDGEWQPALPTCLSLLDLKCPILSAPRNGELVFTNSPKPPYSLDSTVSLKCDRNFFGMGNLTATCTSTGWDQKIGRCEPVGVRRLSESVSTGTPCTANVNPANGNLLYMQANPSQEYSSGTSVYLMCNLGFSLSGSVSSMCSNGVWTPTIGQCTSALSLGQITGSCEAIPARTNGTITYSSLGTYSSGTIATLTCNLMNTVSGSTTSTCLSGIWNPTLGNCISSGTGVGGVGTGTTCPNPTVLNGQIIYSQGNTFDTTRPAMTTATLSCNSGYTVTGTSTSTCISGTFTPSLGTCTFGTSGSVGNTCSSPFIINGQVTFSQGNTYDLTRPSGTTATLTCNSGYTVSGTASSTCTNGVFNPTLGTCNMGTGGGIGTGTTCPNPTVLNGQITYSQGNTFDVSLPTTRPVMTTATLTCNSGYTLTGTSISTCISGTFTPSLGTCTFGTSGSVGNTCSSPFIINGQVTFSQGNTYDLTRPSGTTATLTCNSGYTVSGTASSTCTNGVFTPTLGTCNMGTGTGTGTGIQCTAMIAPLGGSVTYSNGGSMGPFPSGTTVTGTCTNGGAITGSATASCSNGMWTPTFLGTCSLIGGSTTGQCSALTVPSGAQATYSPFSLSTTSFTSGTVATVSCTSGGSMLGTSTCTNGLWSPMITGTCSGTGTGNTCTTLTRPVGETVTYDGQTSFATSFNSGVIARVTCTNGTQIGQSTCLSGQWTPAITATCSGSSTAIGTQCIGAIAPANSQITYSDGSMVLHSAGTTATLTCINSATISGNSFATCSNGVWTPTLGSCTSSGTNTGPCYTPPLTPTGATLTYSSGFFAPWTTGSTATMSCPAGQTAIGTTITTCSNAAWTPALGSCSGSGSSIGQANTTSTCSYLPIAPPFGSIVSSQQSPYSSGTVVTLTCDNGYQIQGTNATSTCTNGVFTEITATCIKT >CRE20707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:315338:317152:1 gene:WBGene00082940 transcript:CRE20707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-uev-3 description:CRE-UEV-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MFH9] MSDNPSTSGPPPIQPTSSRTVRRRRPTALPADDAPRNEVRILRPSEVPQREPMPEYKPIEFNRIIVPKDVPIERLIDYHNSDNTRNIVIPTFVSSEELRVEAEKTNETISFRSTNFVDPDIIWKEICKEQEKVEEEDSKDSDVEVYESGSESDQKSEIEAIVDDDEGVAEEMLDVRQEFIQKSMKLVGGTYGLFTTRLEKNEIGNTIYTVFQGPKGTPYEGGTYFLRINFGLHPDFFYVIPIIRFVTMMFHPSISKYGQYDVRNLMETTWNKHSTLIHLYRWLESEMVNLKDNMARKTVTELDEMSQPNIAHLAAGDWATFERLAIAMTKKMAGGSLTDSITAPTKTSGKCLLGGTAWDLLGEDEIDVVG >CRE20745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:92517:94575:-1 gene:WBGene00082941 transcript:CRE20745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20745 MPCEHCPHCKEQEAIAAVLAERMSQNSFEDFPNVVQELQPMEEVPPLNPCQQPVALDICNNWKEQKLHFIEGNANSGKTYLLSAMWALLKNMELNVEVISSCPFPRQSKPILKFLALPDEYIEEIDIILIDNANQITEQLMKSLSEKLKRVMSTDAPFGGKTIVLAADFGQLLPFGDFKNKSKSTLKHLTENIVAPFKKHTLVVQHQDDWSLFLDNARKSTKIAIPTTNIVKSIDELIEYTYGPLYTEPPHLHSMILTPKKNDVDLINKKVLEKIKGKELVLEALFNGKKIRSDLDLHELRLKKGSILILEEPFEGLAKGTRLFFEDYDRTHLNCRVIENNKLVDIERVNRFLAPKSPRKSFKEEQQSVKQFPVALGFASTIHTCQGRRFVNLGLFKLNQCFEHGMLYTALSRVGRFEGFRVLADDNIIENKLEPSLV >CRE20715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:368514:369345:1 gene:WBGene00082942 transcript:CRE20715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20715 MKYRVRGVMVSMDAFQAFDGELWRKHIVVEVAFVDVEKEEEYFADAVEKAIRPNTKLIYFETIINPTMTIPDILRTIELAKNFLDPNRNEFQIRVVIDVPFASLSTFNLHVSVFSVLGGLHVFRDESRPDVAPELLRFGGRLAIKRNLTKVISFSSTFVSNSIFLSFT >CRE20714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:367496:367751:1 gene:WBGene00082943 transcript:CRE20714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20714 MGCCWPKITVTPPAPEDVDSSVQNSVPPQSPGRLQLPPSPPPQSSGSTTNTSPQRPQFRVQIPGCHWSTH >CRE20755 pep:known supercontig:C_remanei-15.0.1:Crem_Contig34:173822:174493:-1 gene:WBGene00082944 transcript:CRE20755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20755 MLEYFVTSLIVYNLFLVLPAALLLFTVPTTCCNKKKKKTSKSASKNKKNKHHKGKKKHSKTAPTTTPLTTPLNNNPLVPTPITSTPIAPPTPLTQTPKAAPTPTVTDSTEVVDTKPAVVVPVISTKSKENVEKEEEKKSKKSEKEEEIGELLRCRDYTPENFGELKYLFDLLD >CRE13130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:5:721:-1 gene:WBGene00082945 transcript:CRE13130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13130 MITDVELANDFRAIHLIFGGIGSILNLCLLYLAIFQTPKAIRLYSTLIINFAVTDALTCLLDIFIAIRFRVLPYPNEDSMAHIMNGVCKNFGLTTCVIGYWFGKLFSNYLFRILRFSLYLHTLSHSIWSLLISFGYRYLILFNTLFVKCSSVTLVILAFYLPSFLQAVTYWTNFVERSEILPIIKRVHPDYDFTESVGLLTGITDLSSVSV >CRE13131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:7778:10863:-1 gene:WBGene00082946 transcript:CRE13131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13131 MLISLCTNNKDSCTKHAHTKDNSGGVNREFTEANSQKNGYCDEEHKSERDQRLCSISLTKTKKQSVQQKKVSDVISSFGDLKRDQNEQSVQKSIVKCDSIQLSMSSEDGNGKKKRNTVDQKAVDNVMCQGPPPNSIEDSSSLNQYDDMDANEVTDIVKINTSKSKFLNQKCPKKPGLQKTGDDTCGVNFPKKSKRRNKEETSPRTDPPVILRFDSDLWLHDTSPGRARAGPGRAGPGRAGPMKIWKSARPVTTMHTGVYSVTISLLAVQFIYRYWALFSLNHLTYFHGCKSLIWAVYCIFFGGIWLMGSYNLLEMDDVAEKYFEQEMLIRYSVSVKEIPAFTFLAYEPEDGLIRGKNASHSVLINSIMAFQYGVMIFCGWNMHSKMEEKIAHFSLIRKHHNRQLFKALVFQISTPTIFLFSPLIIFIYLPYFQIELSLPGGAIMSLFNMYPAMDSIIILIIVTEYRIAARKVLSDVIRATSAVFRAKSSSTSQTTGQIELPTIRTIL >CRE13127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:18358:18707:1 gene:WBGene00082947 transcript:CRE13127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13127 MFTASLFSAPRPTAGDEYRAELVSSGLSQQAVDGILNISGTAYVSFAENGADANFGNAIDAVTKWKMTMENFVKTQSKEDQDAYGKFCKKEEEEYKKRFF >CRE13128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:23370:23656:1 gene:WBGene00082948 transcript:CRE13128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13128 MKAEITLTVTMLIISIPAVLNQLIMILVMYAKGFVGYAILVRPILMDFRVNTVSCYFYWTHPYYKKKTTNMHHSINAWSPSN >CRE13124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:2831:5610:1 gene:WBGene00082949 transcript:CRE13124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13124 MSINFEPSTWTLVYIHIVGVVSFILGAFTVLLIILKGNYKDARFTSFILLYQLCSACTVLQYTLFTQPISLFPILGGYCNGFPAKYFGIWLHHLLGFLLSSIILQVGCLVFCFIIKHQSIGFILKHNVISDHIYNVGFTLLAFATIFSYFCFSKIGMKREEQLSFIRGKYSDYIVQFASLSNFVVYDFNNIWFNVYIVYGIFFIGVCGVLYIFTTIDMLKMLKALKKTVSATSFQRYRSAVRSLIAQLAVSAMLLLTLMGFLFLSFGRFENAQVFSQIILNICALHSSTNAIIFIWTTPRFRNLLFRDHFSTGFGVFNVKEFSYMSINFQPSTWTLVYFYIVGVVSFILGAFTVLLIILKGNYKDARFTSFILLYQVNKLCSACTILQYTIFTQPISLFPILGGYCNGFPAKYLDIWLHHLLGFLLSTIIFQIGCLVICFIIKHQSIGLVLKHNVISDHYFEVCVTFFGFTIIFSYFCFSKIGMKREEQLIFIRGKYSDYIVQFSDLSNFVVYDFNNNWFYVLTVYGICGIGVCGFLYIFTTIDMLHMLKALKKTVSATSFQRYRLAVRSLIAQLAVSAMLLLPLMGFLFLSFGRFENAQEFHIGNNLPRTIAVFSQITLNICALHSSVNAIIFIWTTPRFRKLLFRNGFSTGFGVFNVKVPNVRRLQRGSTINNISNNMIVVS >CRE13125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig340:5986:7181:1 gene:WBGene00082950 transcript:CRE13125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13125 MNINFQTNAWTLVYFYIVGVVSFILGAFTVLLIVLRGNYKDARFTSFILLYQLCSACTVLQYTLFTQPISLFPILGGYCNGFPAKYLDIWLHYLLGFLLSSIILQIGSLVFCFIIKHQSIGFILKHNVISDHIYNVGFIFLAFTIIFSYFCFNKIGMNREEQLNFIRSKYSNYIVQFSDLSNFVVYDFNNWFYVLIVYLIFVFGVCGFLYIFTTIDMLKMVQALKKTVSATSFQRYRSAVRSLIAQLAASVMLLLPLIGFLFLSFGRFENAQAKTEYH >CRE25043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3406:489:779:-1 gene:WBGene00082951 transcript:CRE25043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25043 MSDRKRNKKSDSGGSSETKSKHEAKKANVTVISADFEEKVFQLLEKGRFKKNIQLRLEDFLGERIGKIGKRTGGEMSQAEDFGGDKSSGREGEVIE >CRE13772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig341:21345:23715:-1 gene:WBGene00082952 transcript:CRE13772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13772 MTSKRDLTRGVLGTAFLQDNQLIAALRDDSRIDLFDVENDLVHKKLFDLDDFVVKIHGADSHLIAVKKNSVEIVDVTNSLKTLSTYKPSEHFEVFESSQFDTESNVLVVSNDENNAKKKRVEFVDWRTANASILLIDQNTPIGDHVVFTEKPKQFAVTTPGGAVNLYDIHTAKNGQPIYQVQNTGKLRRITFVNNRMCCITPGDAFKIYTKNECFEFQEEEYRQEYTNSKPAKSKKGEVAAAVQRHIVKELNEPEVGVIGNKFNLNNFQIGKMLGRRTFGYVQLVESVRTGKKFAMKVLPNTLAELADRHVVEREILIQAGMQHTNIVSFITSFATTAPIHIVMELMSHSLRQKMISDVKMMQVGSCMTLPTESPSVTFMGGLTACGTEQYKAPEMWAHEEQTPGVDMWSLGCIIFEALTKRLTFPQAKTSDMNAAIESAKVSYPHHLSNILKDLIQKLIVRKAGSRLTTSQVLDHTWIKKYQIEKNRGYARELLNEI >CRE13770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig341:17657:19118:1 gene:WBGene00082953 transcript:CRE13770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13770 MKARGILWTTFLQDDQLVAALRDDSKIDVFDAEKDLEHKKILHLDDFVVKIRGCDSKIIPTKESAVDFVDVDSSLKTVSTYEPSKSFKQNTPIGDQVVFTEKPKQFAVTTPGGAVNLYDIRTAKNEQPIYQVQNTGKLRRLTFVNNRMCCITPGDAFKIYTKNECFEFQEEEYSDQGTAKITDFGLSTNTRGLTACGTEQYKAPEMWAHEEQTPGVDMWSLGCIIFEALTKRLTFPQAKTSDMNAAIESAKVSYPHHLSNILKDLIQKLIVRKAGSRLTTSQVLDHTWIKKYQIEKNRGYARELLNEI >CRE13769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig341:13564:14892:1 gene:WBGene00082954 transcript:CRE13769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13769 MTAGQPENIETDDETTNIVIEYRVKIHELENMDEETKIRMIFALSAPDVQTDHARRITEYKTKEGGFELRGTHPEGAEMREGAEREMTTAQGGNRIEGQENEGRKRKK >CRE13766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig341:593:1923:1 gene:WBGene00082955 transcript:CRE13766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13766 MSKERGKPRRLPTHGMMKGHSRGVYTTVELHKAPEHGGTKFLEVFHAIEYKYWVGNDAQGQGGLFTSYINKMMVEKIHASGWPGSVKTDEEKDAFIKGYRDMEGIELEADKMEKNPGKRTVSKLLLNSLWGKTAQRVDKTNTSIIIDPAKFYRILYDKTVEIQDVRAVNDTLVVKHQKRAECLESLRTSAMHIAAMTTSHARLHLYRLMEKVGADNLVYTDTDSLIYTVPDGEEDPLKEDLGKYLGDLTSELSGKMKEFVTLGPKTYSYKQEMETGEEKISLKAKGFTMTSAADKIVTFDNMKTMVQEVLEEVTPRTVQKVPQFTMRRDREHNVYARDIEKQMKYTFNKRRVLSDGSTLPFGYRHK >CRE13767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig341:8393:8665:1 gene:WBGene00082956 transcript:CRE13767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13767 MIQSAPKKVMNEDNTGYFVTQENFNQNGMISSTIGNESMVFTQPPPTKQSKKVESFIFNFNKGSSPTIYIQKVKE >CRE04491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3411:13:1689:1 gene:WBGene00082957 transcript:CRE04491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04491 MHIMSKKAAVPIEWDEQIAFAVYAYNSVAHSTTGESPMYLMSGRDPKGPLDLAGEDAVGMSYANLDEYKHLMASELAKAHALVREHAMQEQEQYKYLFDKKHNTEKRRYPGPGSRVLVEIPSEKLGARCPKLVNKWKGPYRVIACSETSATVVPVLGKGKEVLKIPFDHLRVIPAEMENVPIETVKSRAKMRVDSVHYDNMQNTQVNLITFSDIYGCRCPTSCVFYPKDAMSARTTSPTQLQRMIALTKEAPDLLLRDNARELLLATQTPIPGLNSTPDAETFLALSNCPTVALVVKDLRGWEHEYLKFRHSLLEQFLGSGFERKETIQVILAPGVIREQFPLQTMHITALTTESILEDDVHEQCEKHSTSIVVVVVPVSKKDTDLDTWRSIVNAIPTKSVVYVIPTHMSDFDHSVMAVFINLFDRIKRDHGEIVHVSPEEIVEDKQHRMLYLASEIMKAPEYWLAVKEMLEKKNRPWPQFQLASITKSNENTPQKTVSTELQPSSSAAPTATRTEGWDRGWPPMRGRGNGRGSHRGGSRHHNNGHHPYRRDGTSNRN >CRE05374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3412:59:754:1 gene:WBGene00082958 transcript:CRE05374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05374 MSHYHGDDIQFFYALNPTVLQNQYVETGYSIISKQLEPHSIKSDFVSCKLLCSNRELPHEALGQSNNVLNLNDSTVARRALVLKRPTAPFQFEKNKNEQWRIISHLSLNTLALMKGDAVSHIKELLELYNLPKSKENHLIIDAIKKIEFEITNKLVEAKPFPMFVRGVKVLMDVDVQVFRGHSLYIFSELISHIFNLKVQMNSFVDVFVRDLNTKQELYQCVQNVGGKKLL >CRE14353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig342:17343:18005:1 gene:WBGene00082959 transcript:CRE14353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14353 MLLWLPLYIGDLTDKTHAPRECFIGGGIYRLQLAAIRLECQDVFNEELPVDSAPFFRPAASQNGWINKEKN >CRE13242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3420:831:2185:-1 gene:WBGene00082960 transcript:CRE13242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13242 MNAEGIAKGIREWLSLGLCSQEFFPSHILNVDEIRFDDVITYPQEYFSLDSGRKLFARMYNWLRISEALKMKILSLDQSTKRNKLEDYSVDQQKPVGGCDNQERGVNRELNNVNRPMPSSSPCSPVSPSLSLPTSSSTSSMSGKQAQELLSTPIPDNVYLDTKDIARQMKEWFTLGICTQSFFAVKILGTPSNRFHTILTTPPRFKKLRNGKELFIKMYNWLKMSEDVKREIWSVVGMNDEKSKEIAQEPEGEEEEYECPTEISKKREVSLLSEASPSITKETFNAIINKKINYVNTKNISNMMKNWLQRTQATQRWFAKKILGRSRKTLGQCLNKPKDWKDLSQKRKIYVKMHNWMCLAEEQRLEIMRVYKAPNMKYQ >CRE14456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3422:383:1076:1 gene:WBGene00082961 transcript:CRE14456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14456 MNKYLGEFIGTFWLEFGGCGNAIFAAAFPELGIGFAGVALAFGLTVLTGAFALGHISGGHFNPAVSVGLWVGGRFNAKDLAPYIIAQVIGGILAAFVLYLIELVDSPAMVLVILSPGKYSLVSALLIEIVLTAFFLIVILGSTDKRAPAGFAPIAIGLALTLIHLISIPVTNTSVNPARSTAVALFAETAALSQLWLFWVAPIVGAIIGALIYKVVGNEPQS >CRE15862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3425:340:456:-1 gene:WBGene00082962 transcript:CRE15862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15862 MRKLQKCFRWNCSSRDEVTETRHGKFQDILGKTLRSGN >CRE20173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3427:25:1529:1 gene:WBGene00082963 transcript:CRE20173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20173 MENVLSEAKRWDAKHLEMFVEPSKSRRRALPKSELLRTFYSELIWKRDSCEIDDVATCSHLIKTECKNWPLMQFQFACLYAKTELIYDDWKFDNYRLSCFRKQVGDHPVYDFWLTMMATINKDRLFFGATRRFPNQKVAQCFLFAIKNGYYQLVEYIWNQLTDEHRESLGMIEWRNMCYRARDGQAISFLCKHLCELNPVGTCLNAWQPFFDSFQHLIHDEKSDNLERNQYRRKFIFLLKHCCQTLRTRLVKHSHFRLICDAFRYNEQEIFSLLLENMSSEDIASAREYIDRIFDRNKTRTGDRLRRTLIRRQQTVQ >CRE15023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig343:6:937:-1 gene:WBGene00082964 transcript:CRE15023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15023 MSFSTERTKKRSSSVTSSGKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCQELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENANKAQEELALLQDAWKEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKQESEPKKAGQARDTKEVPVPSRLEVVRKWSSRDSDDEFSMHGRRGEFSDSERSWGEDWKSGRSSRYSAGNEVMMGMVASMGRMMKASALPEPKTFDGTGDFKEFKRAFLLKYQQ >CRE15022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig343:20749:21000:1 gene:WBGene00082965 transcript:CRE15022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15022 MEDINLTAYALLPAALVGTVLNWAVFYSIHKLKSFNHSFGFLLTNQTLFDALNSTSFLIYFCPMVLL >CRE15024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig343:2065:2414:-1 gene:WBGene00082966 transcript:CRE15024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15024 MFTASLFSAPRPTAGDEYRAELVSSGLSQQAIDGILNISGTAYVSFAENGANANFGNAIDAVTKWKMTIENFVKTQSKEDQDAYGKFSKKQEEEYKKRFF >CRE15026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig343:10000:10350:-1 gene:WBGene00082967 transcript:CRE15026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15026 MFTASLFSALRPTAGDEYRAELVSSRLSQQAIDGILNISGTAYVSFAENGTNANFGSAIDAVTKWKMTMENFVKTQSKEDQDAYGKFCKKQEDEYKKRFF >CRE21104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3433:1886:2266:1 gene:WBGene00082968 transcript:CRE21104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21104 MSPRSVNPPKPAATDPAQIRNFCIIAHIDHGKSTLADRMLQITGTVSDRDMRAQYLDNMDIERERGITIKSQAVRMHWQAAGGDYALNMIDTPGHVDFSYEVSRSLAACEGAMLLVDAAQGIEAQTL >CRE28011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3439:112:1091:-1 gene:WBGene00082969 transcript:CRE28011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28011 MSRFVESAIITGKSLGSSNGIGRATAVLLASEGAKVTITGRDSARLQESKDAILKAGVSEYDINSVTADITSSEGQDNLISSTLQKFGKIDILINNAGANIPDANGKTRTEGGIDTFLKMFQLNLQSVVEMTQKIRPHLAKTRGEIVNVSSIAAGPAAQPQGPYYSSAKAALDQYSRSAAIDLISEGIRINVVQPGFVETGFSTVARGLNAEESSKFYNTMGSLPHCIPVGFCAQPEHIASVIAFLADRKASEYIVGQTITADGGSTLVLGFHANFGQKK >CRE17638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:49858:51461:-1 gene:WBGene00082970 transcript:CRE17638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17638 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3NJQ5] MNDNRVARVKMLEKHFNCSNWKNGRSIGYTLEELSKLTDDATETFRRGPSLLEIDAPVTVVGDLHGQYEDLMRILMIYEKTEGKKAPDFTGRKYIFLGDYVDRGMYSLECIVLLLILKLHYPRQFFLIRGNHEMAKINYSYGFLDDVQRRFRKEEVGTRLWMKFNDVFGFFPVAGLIGKKVLCLHGGLSPELKSLDDIRRIQRPIHCVEENSLVADLLWSDPDPGKSISSISSTVQFRKNAVRGLSFTFNNAAIEGTLERLEIVFIVRAHQLVPDGFYFIAGKKLVTIFSASKYMSENSVSYSENLFQRLHKVMLQNLDEPLQNKGCVLHIRKDGGYSLLQLKGRGRFEEGENEEEDTRGDNNYYEADQKSSREQTAKVKKSKENKSKEKRSRAKKQKASKERSKQSRK >CRE17631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:36178:37133:1 gene:WBGene00082971 transcript:CRE17631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17631 MHWNHVVALISLLLMSPLTVDADEKTCRGTEVKELEDGCTIINEASLIFRDLKDGERSQFMLQYIFYVSEALIKRKTETISIIDHGVEVIETNIESFDYLKNVTKISNKVGPAIIFRKNRKLKRLNFGGLKYLKGDPVRISEQKYSRIISFQVDVVFEDDHFSSEGSSSSQSFHDWMFLEHISRSTHQRTEKCSKQFLEVRTTKESSDEDFKIPAIIAMSVFALLCILCVGLTIFCHRTSVEKNDKKTTEKKDEKNKTKKSSEAGTKSLEKSKSPATETPTKSKSKSKSNPKSAESTGSK >CRE17632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig344:40647:47616:1 gene:WBGene00082972 transcript:CRE17632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17632 MHQTLILLLFLVSVYSAVHADSERYARELRNSTESSGGPEHGKLNLTKRRKNPTIKNSVSHFPINKTREVKGNLEKHTPRKEKTKIHHTTPKEHQETKGRSHSNRTITNNLHTVSSQKLTGQHEKKLGKTKIPEQMKRVTEKVNAILRSTQNNRSLKERNAGKTYRKLGANSTKHKVKPKRKLVRKLSSGPALTEDDERTLVDQTVDELLTGYKNCQTSQKSKKDFETCIDKAQNKEKDNIGTQLTNGQKCKGGAELEKCKDRILKKAVDAIPLSIPIADSYSGEVTSSKYGKVKIGLILAKNFDDCYDKNDKSDEFLKCLKAGGEKSPLNVAYDSINAILVIFCKRKTKDPKCSSPKVNTPAEFSKEFDLLSKHKPIEMSTFKSSYDNVELGTNLDKEAEKCKQEKSSDKFIECLKGLLKTSAVKKVVEEKIASSCKGNEEEQDCRDTGKKQVTTEINDVVNALLTNFCGKTNRKEQAFQSCIKNTLNNVPIDVAPAFLKIDFTKRTNLKDIVAGVEYGKIAVGSIVEGAVKNCENPKSFKNRNDFIKCLGSSTSAPLKSAMEAIDSLILKYCESIGPKLQIDYDTCKRTSKASDLSNEFSLSFKLLDEIPLSNFYKKDENGEIKIGEIWKKGTDSCKSHKTRDEYSTCLKGESLKATKNEIGQQLATQCGKVEIKNVDDCRDKGKDELEARLKDAMDALLTNFCRDVFSKPENEYSECLNFDVSSLEPFSEMDNIPATIYAAIDISKLQTTSEHGEIKMGELLQNAAQTCTGKTTINEYFDCVVGPGGSKSSPWIDATDRIVKQVADLCGQKENIRGCRSTGQADVQTKMIAAMDALLLNFCGKNAGDSQQKYSSCTSSADQKKGTLSAVDLPISLRNEVSLPSLVISKYGNIRIGEIIQKQGGMCKNMKTLDEVVDCLKTSKGVDPSPAKAARDSISDKFADYALQQKLGDVDWKQGLEEVRKEFKNAIQALISNFCRDNVNTVQNSYIICLDNGLVNSEVLSQGYTPTDVFLQCSLKTTESDFNQCIDTVTSQRLSSWTASCGSKQECTDAAKKSTAETLKVANLSARLVACKDAFCIQSEKQKVIDAFTKEDEKYCKTPCKDSKARVESAVEKSFCSIDPANLKCQAFSCPTVFDDTPCQNDGEVFKKCQNTCNQVEQSKLEKKEDGGPNYLLIVGVPLGIILLLIGAFFGVRYWLKRRKNNKKPEKKKNKNKNKNNIKPSGKAKEPKAVSPPPPPPPPILPPPPSPGPPAQNDAAPGPPAQNDAAPGPPAQNDAAPGPPAQNQAAPEPPAQNDAAPAPAAQNENALPPDPLPQPVEPQENVVAPPIIQNDRPNPEQRRQIRAQRQQERDEHDFEVNHHFPNVRRLIIPNFGPEAFEAEPPELEEQDADFNPWYDWRNNEIVEYDVNILNDIVPPADVEE >CRE02790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3441:254:1117:-1 gene:WBGene00082973 transcript:CRE02790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02790 MSSSPLALFLKSRTPQIALILMTMIWGGTFVIVHYALNYSSPMFFVGCRFAAATIAVGLLSYKYLKGINLKELIAGALIGGMIAAGYGSQTVGMQTISSSESAFLTALYVPLVPILIWVIFRKRPHIMTWLGVLLAFIGLIFLTGNGFHHIDLNTGQIVTLVGSFAIAMEIILIGHFAGKVNIQRVTVIQLAFASLISFASMPFVGELHIPPFSWQLVMLAVGLGLTSALLQLTMNWAQRSVDPSQAAVIYAGEPVWAALFGRIAGERLPLLALFGGLLVVLGGDHQ >CRE17797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3443:617:892:1 gene:WBGene00082974 transcript:CRE17797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17797 MSEVSIVKKDTLTEDELTHILRDCPASLETVIYSSPPPNFQFRDNFRKIDYLFISDGSWVTIDNLLTMDGREIMMFKSSLTNIDINTFLKH >CRE16481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig345:38039:40425:1 gene:WBGene00082975 transcript:CRE16481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16481 MAPSRNKIARIERAKQASLFKAAKNHEIEHEAEKETMFPKLKKEVFYLKKEVEELKENLELANKKLQDAEIEIQHIKSEKCVILAEKNHEKEQLLSSFREKEKEGTYLQSRADQLQKRVDTLVEESPSRGKCLKQYNLIRTNETKKDRYERIIKMISSFVGPLNVDAFLYDFLKMADEDEDLKFTLKFSPWNSFFTVVKHQLSDGFLKDFKQFTKQHLHIDIFASRHQIEEVKKTFATSKYYTFERQNVIKPSRSGKQIMAETALVKANDVHELLSKRLEMLSRHERLRFDDGTKDNIVIGVGGDKGSDTTKLVIVLENVDIPNDPHAVLLLGLYTGNDSHSLLKQNFASVFDQLNQLHSVRYFDGSNNVEKAVVMKPLGDCKFVSAMYGHAGQNSKTPCYVCNLAWSTHGSDTASLENFDFEFSGEIRTLSDLKKTGVPLLDVDPLNAGPPGVHTILGICQYYCIDWLIAMAINFDTGSSSPANLKQLKKDLKKLVLETEETTNLVDSLESSLERINDAVTTIQKNCKTTKPKQKNSSHCTSSFCIVGSSKKSSFRDSSIFQCTSCKAAVHDVCAFYITEEQRLLMDQLNAVCLDCRHGMIPSIPDRLSLALEIQKSVNEQLLQAQDILEVADNERLKLEQHLKGSRIRSEVSTRQLLEAALRSIGCDSRIWYQDLTGNQARKFLRHSSIDKVLAVFTSNSRRAPNASEKVKIDLMRSVMLDLATLMSAASNSVKNDDEIEEIERVLERFVGNLREAQSDASVTPKLHLLSSHLIPYLKRYRSWGRVTEQGIES >CRE06275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3453:445:1978:1 gene:WBGene00082976 transcript:CRE06275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06275 MITLGFKTNDIIISDAIEDLKRIDEKNVFAEPVDLLVYKEKIKNPICLRDITEKSNAKQYEDVAEARSSSSQNRRRRRQHQSPMTVEDVTNTVQEDVKSEEVMTTTKKLSRKRGIQETLLSDSDDVDPSKPSTSGIIPVEFAVRESRLKARNIEEIVPTRTIFGTLRKRVSLFENAPKNLKKPLESPLTQKQTKLTNFFVTTPKVTFFDRIQRKNIDGERFSL >CRE09953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3458:175:1058:1 gene:WBGene00082977 transcript:CRE09953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09953 MLICTKIAKEEFDPSRKGTPLADRYLDLFFKELFWFLDVHSGIVRENIMKDENTDVNNEFYVASICSTYAFCLELKGVESAEEDMIKYLNARALRLSEKFEFTSCIVYAYLENTIIQEILQRAKITGVVGWAMEVIAMLIFEGHKPSLSYKPSLPPLLPFVQNYKTDDNVLLKIKAHVLEMAEK >CRE15785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig346:26155:29872:-1 gene:WBGene00082978 transcript:CRE15785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15785 MELDHHQVDPLHPSDDVLIQNSGQVEEIHSLPATPQAVRIVEVVEEVDHSFELNTELLEQILLNPKVADKKVAVIGVAGAYRKGKSFLLNFFLRYLTWRSKADKVMGEIDLENSQWMSPNSPLSGFSWRGGSERDTNGILIWSEPFLMKDKNGEEIAVLLMDTQGAFDSQSTVKDCATIFALSTMISSVQ >CRE15763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig347:33696:34010:-1 gene:WBGene00082979 transcript:CRE15763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15763 MQKARASKPRLQFQLLFVLPKNRAAAASGASHKPVHKNTSHGQESKDRPKREVTDALIDMVLEELNSKEFDDSEEIYDENSEENSDFHNLINQIEQEKNDIFET >CRE15761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig347:6937:8439:-1 gene:WBGene00082980 transcript:CRE15761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15761 MNILAFLLLTFSSFLVTHGGKSKTPTYFTSWGTLTCLRPIYWCFFVSYMERDIWTYDDRIDFLGVRCTRDKDYPFFLKGWQYGDEQLSDNFTISLEVTSNCTEDGTIKLLETDRIEAGLDSRHVEMNRTIDLTTDPGTQVPKYH >CRE15762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig347:14292:14940:-1 gene:WBGene00082981 transcript:CRE15762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15762 MNLIAPILILAVFTVHQGTPTVFKASGTLGCYQGGTWCFFVSLIERDSLFKDDLLDRVGVRCTTYPEYPFTLKGEEDGDEILGNFYKVSLVITHNCTKYGTLKKIEKVRTSASVNADLVEMEWDTDLSMTAGVRVFSYF >CRE15764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig347:38412:40151:-1 gene:WBGene00082982 transcript:CRE15764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15764 MNYSRRIYLPNFNIHSQDHNDDDYILETNEGGTQLEAFTRNIFRHELSQYIEKLEFLTVIERRVSTNAIIKVLDVLVTDTDSRAVRK >CRE20589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig348:7090:9036:1 gene:WBGene00082983 transcript:CRE20589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20589 MPSQHPNPPPPLSGLNPINGTYLKSAKGAPADFSAAGTPDDSSAAGTPANFSAAGTPAGFSAAGTPDDSSAAGTPANFSAAGTPAGFSAAGTPDDFSAAGTPDDSSAAGTPANFSAAGTPAGFSAAGTPANFSAAGTPADFSAAGTPADFSVAGTPADSSAAGTPADSSAAGTPAQLVF >CRE20591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig348:23148:25458:1 gene:WBGene00082984 transcript:CRE20591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20591 MIDYIQIATLVLMPVMFVLCVKKKSKKKTKKLAPSPPEQPNPPVSPSGSAQPLTGIKYSELKRRQKPMVIFDDNEKSRSVSNAKTPKSRSVEDSSLRIKPTVNSNSHRIDSSASVHEKEKEDENAPKAPPIPAKNENDDDSGENQKSGDEED >CRE12457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3482:1769:2064:-1 gene:WBGene00082985 transcript:CRE12457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12457 MIRIRDTRRLFCSFCGYQVAAESEKLQREVLKKKINDAENREVRPVDEENGVDIPMERMPVEEVPDQQHLRRRGTHPNIPNP >CRE17798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3483:481:1318:1 gene:WBGene00082986 transcript:CRE17798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17798 MAPRPPAPRSARQRIGTIQSFVTAFDKQVDSVISGARLWQRELKELQDKEASDGGQEHVVDRIHAPRIAESLQRMLQKVSELPVVLGTKVTKAKAEASESGSDPEEVESLGIALVSSYAPILKAKKSTISSLLHILTDYTVSYALNVRVPASPEEILEELERSFEESSLQEEEPEVSPPLTSPPPHQLIPDQTPRADSISNDGNHSTHNHSNQIPETPILTNPGGNPQNQGNKNTSEKISDNYFNTNFRNPRVQQLFDNTTDYSNQQSRDRPDTQTIPI >CRE20078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig349:19665:20716:-1 gene:WBGene00082988 transcript:CRE20078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20078 MVNCSRSQPPDVSANSSVLSIVFIYLALFMFAFIGNVTMFLILCRRELPFNSMSNTTDGHDSAEAVWRGAAFSKRRRSS >CRE05375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3492:642:2444:-1 gene:WBGene00082989 transcript:CRE05375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05375 MGKEFDNEYFKQLTKLLKIEHVMTKGYNSRANGAVERFNKTLMHIMSKKAAVPIEWDEQIAFAVYAYNSVAHSTTGESPMYLMSGRDPKGPLDLAGEDAVGMSYANLDEYKHLMASELAKAHALVREHAMQEQEQYKYLFDKKHNTEKRRYPGPGSRVLVEIPSEKLGARCPKLVNKWKGPYRVIACSETSATVVPVLGKGKEVLKIPFDHLRVIPAEMENVPIETVKSRAKMRVDSVHYDNMQNTQVNLITFSDIYGCRCPTSCVFYPKDAMSARTTSPTQLQRMIALTKEAPDLLLRDNARELLLATQTPIPGLNSTPDAETFLALSNCPTVALVVKDLRGWEHEYLKFRHSLLEQFLGSGFERKETIQVILAPGVIREQFPLQTMHITALTTESILEDDVHEQCEKHSTSIVVVVVPVSKKDTDLDTWRSIVNAIPTKSVVYVIPTHMSDFDHSVMAVFINLFDRIKRDHGEIVHVSPEEIVEDKQHRMLYLASEIMKAPEYWLAVKEMLEKKNRPWPQFQLASITKSNENTPQKTVSTELQPSSSAAPTATRTEGWDRGWPPMRGRGNGRGSHRGGSRHHNNGHHPYRRDGTSNRN >CRE07977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3495:2453:3334:-1 gene:WBGene00082990 transcript:CRE07977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07977 MTDGTREFTRLERGIDRLAHRILRGAPRRGARAVLVECAVFLLKQAWACVFGALLLAVIVAARLWYPEDAVLARNDALTIAAIVIQIGMLAFRLESGRELWVIVLFHITGTAMELFKTDVGSWSYDGEGVLRIGAVPLFSGFMYAAVGSYMVRVYRLHDLRFARYPKVWLTAIVAALIYLNFFGHHFVFDFRWILLAAVVVLWLPTVMHFRVWRTTLRVPILPVFVGVALFIWFAENIGTWAGAWFYPNQTDGWHLVSPQKIVAWFLLMIISIVLVTFVYPPKPPEAGERGAG >CRE11653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3496:2903:3961:-1 gene:WBGene00082991 transcript:CRE11653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11653 MKKTVTALTLAIGMLLPFTSYAKNNVVVVATGGTIAGAGASSTNSATYTAAKVPVDDLLNAVPQIQNLANVTGVQALQVASESITDKELLTIARKVNELVKKPDVNGVVITHGTDTLEETAYFLSLTVHTDKPIVVVGSMRPPSALSADGPLNLYSAVALAAADSAKGKGVFVLMNDDIFAARDVSKTINIHTDAFVSQWGALGTLVEGKPYWFRNVAKRFNNSSEFNIENIQGDALPIVQIVYGSGNMLPDAYVAYAKAGAKAIIHAGTGNGSVANYIVPTLNQLQQQGVQIIRSSRVPQGFVLRNAEQPDDKYGWVVAHDLNPQKAKLLAALALTKTKDAKEIQRMFWEY >CRE08625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3499:2216:2869:-1 gene:WBGene00082992 transcript:CRE08625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08625 MNILIVDDHPLFRHALIQAVRYSLPQAQISETAAVNEFYERLENGPEPDLVLLDLNLPGASGFSALVHVRAQYPALPIIVVSAHEDASIIQRAIAHGAMGYIPKSAHPSHIGEAIRQVLDGDIWLPPNLPAANMNFDPRAADETALAERIQSLTPQQFRVLMMVAEGLLNKQIAYELDVSEATIKAHVTAIFRKLGVQNRTQAVLAINALNIEERKV >CRE08624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3499:3248:3928:1 gene:WBGene00082993 transcript:CRE08624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08624 MSIYTQQKPLNIVAVSGGLNNPSKTESLVQAILDELGQATPIHVHFIKFSEIGHLLGGAIYRNQLPQRVQDDLAAVEAADALIVGTPVYRASFTGLFKHFFDFVEQTALVDVPVLLAASGGSDRHALVLEHQLRPLFSFFQAQTLPIGVYATDRDFTPEYTIKSEHIQDRITLAVARALPILEWAPAKGQRAEVVKAKTEQANQNLGINKQIEQDDVLPSAAIPDLD >CRE24492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:477863:478554:-1 gene:WBGene00082994 transcript:CRE24492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24492 MKSTLFLCLFFAAPLHAEDPNTSIESEYSKISTTCFSSRDHELLLGDFIKHGSARLISYTLFGYSAATIGLEELRKALDFGPVRPWTHYKYGEPTKQELESASSLEDYYNLIEPIIQSLDNDFYFEKNVHIAIDYLDKRLPSIRNIFRRRFEEVSKGLKKDRKLVDIMKDEWMATNKKIRRAILEMRRNDDKCVEWNW >CRE24384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:34550:36849:1 gene:WBGene00082995 transcript:CRE24384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24384 MLDDKTDDVEPVTTSASNKQETPQTTPARQLKHYEAGLEFRKLWNSVRKKDRSEFFIYIQLVSKHEEEKIEKEELERRRAHLKDESKEFQEMHEKKLLKCLGRYRSYVNRCHRLSGYRPNLKWQSTAEIEDELEGYYRLEQFDEFWKRLRKMESKISEMECFYFPYQIYFHEEDVVEFFVKKWNGLKKLVGNAKISVCNRFKRVSSRTVYQ >CRE24449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:7742:8362:-1 gene:WBGene00082996 transcript:CRE24449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24449 MIQPLLIFILISVAAPTAPIQCWNCVGDDCDSYRASSNNWELVTCPVGSVCQKTNFMFYSNQKNKSIDISTAALGIEAKVVKRTRWNILEEDVSTVIVAARINVTAAMRYQCFLSFGWFF >CRE24413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:387774:397223:1 gene:WBGene00082997 transcript:CRE24413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24413 MNSTARNPAPSGVKTQASRRPKTLQELKIQLQQYQTVRSQQLHNMLQAGQISTAQFQNEIHLLNEKIQSSYNKYNQQCVQQQQRMQQQQQGGGGGGGGGVGPPQQPSQQMGGVSGNPSEIQMSSSSSSNRMPPPQLPPPGAGMSVAEKKKMMEIQKQRALMAQQQQQQQQGGGPGMRFPPGTTLQQQQQMRMQQQYQQQQQQNSGGYRGMSGPGYPGMGGGMQGAMSQHLQQQHMQPPQTPQSHGPASVSNPPSVQQQQQFQQQQQYYQQQQQNQQMHLQQQQQQQQQQLQQQQQQQLQHQQQLHHQQQQQQQQHQQQQQQQQMQQQHPQQDGPFLHPQAVVAAAPPPPQPVEKPEDIKYKEMIKELRAQYYEFIQAMVRRQTQQRGLVPMLQILDGERTVPYANLINLKASLHRLTVRDCPTFHLMEEIRKNLFKKKEEREKAAEMSFSEKDTNLSAVCEKADKRLISRSKDDPFEVKPWASLKHLMIQVPDHVRELIKGPHHDSSPMKEIKEELEAELKEEAESKSSLKRKIEDDEDGYEAMVQEAKKPKEDSGEHEEQRPDEDPAMINPIFVIKTVFEQRKPWIIPPKARDELIELSNWNIDDHCLPSCSTSPFIIISVKSSQLLINPLRISLPNNYPASPATVQFDLTFPEHSEYGPTLQKLFEKHLATKSAVRSLIDFVEAYNAACDEYQTYISKYTCVDSSPSSSKISSIVSRPPEKKYKHPRKQHPRHASVLKAKGIVI >CRE24383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:33580:34158:1 gene:WBGene00082998 transcript:CRE24383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24383 MSGHHSKCCLHDRIVSETPSACEVNLEFNDSKDPIVVRDATLIKKLGNIHKVLEANNADWETTIANVLVKIPFKRDLGDFLIRYLQKHEKVSKCEGRITVIDYPEASEKSIDELKEIIQFAHFMKCFDFMNCVVLSIEKKLFGQWIMNPDRSAPFNPDEGGWILPPNVIEEETFIF >CRE24471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:240826:242416:-1 gene:WBGene00082999 transcript:CRE24471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24471 MTLPWAISHEGKKKRQPYKKDQISRLEYEYTVNQYLTNKRRADLSAQLSLDEKQVKVWFQNRRMKDKKLKQRISGPFPLGAPVTPCIERLIN >CRE24409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:315960:316276:1 gene:WBGene00083000 transcript:CRE24409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24409 MSTTPPESEIIQGDQVVQETQAVQFEATTRHIEANRVIRVAFSQLRMVLPWKNSDGVPTRRKILWRAIE >CRE24528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:852160:853735:-1 gene:WBGene00083001 transcript:CRE24528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24528 MHNLLNRANALLAFTLWVMAAVTAACFLSTVFLDYSVPTKLSVKDVKIRNVVDYATDEQQADLATLNFNLNVDFSKLFNWNVKQLFVYLVAEYKTPVNEVNQVVLWDRIVERSERVVMDEIGIKPKYYFLDDGSNLLKHENVTFVLRYNVIPNAGYLRLVQSSDQIVVPFPSTYSTTRRS >CRE24415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:425891:426553:1 gene:WBGene00083002 transcript:CRE24415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24415 MAPPSRHRSSILNLFKEGVLPVDIIKRLVVLSKTVYDLISRFKKLGTFLDRRGRGRKATVVTPDRIKAVNQGIGRIAHRSIRKMAKGMKISRRLLGRIVKDKLKLICYRERKAAILLGATTKKRLERSKKLLQRTLNGEHLVTVFFDEKLFTVQAEFNPQNHRVLAETSEEAFANGKAIHQGSHPASVMVFGAVCADGKSPLLFVDQGVKINKKSTFRRF >CRE24444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:767784:768437:1 gene:WBGene00083003 transcript:CRE24444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24444 MAKPNGFPDPYFNGNVATFEKAYILSSHPMDGSEKEGRESKNSTMVKFFAVVEQRGVGVIGQFSPFINAEEKTGIGCARYFSETVGETMKFSPYEVKNDGTTTLGAFSNPNNHVVYSLIITNESTKKVTNCDVLMFNWPTGSAPSDETAALEMLDYFAIHEVECFTAV >CRE24522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:835546:836186:-1 gene:WBGene00083004 transcript:CRE24522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24522 MATSRMMCPICAEDFDDVRHVLKHFRYRRFPCGHQGCTLEFYTKVERTTHCTQTNHRKTFRIIRVPYLDKLVKLMIKDARRLFKRRAAPPAAVRP >CRE24450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:17036:17614:-1 gene:WBGene00083005 transcript:CRE24450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24450 MSGHHSKCCLHDRIVSETPSACEVNLEFNDSKDPIVVRDATVIKKLGNIHKVLEANNAYWETTIGNVLVKIPFKRDLGDFLIRYLQKHEKVSKCEGRITVIDYPEASEKSIDELKEIIQLAHFMKCFEFMNCVVLSIEKKLFGQWCNNPDRRAPFNPDEGGWILPPNVIEEETFIF >CRE24510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:687720:688369:-1 gene:WBGene00083006 transcript:CRE24510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24510 MADQKISILGVTIAISFILNGVGVFTPAWIVDTASARGYSISASIGIVPYYTENPGWFQAASILMFTSFALFIIMALNFLIIFHRVHQSGYSCGMRKRFHSLALAAVFIIGNTITAVILIGVNETAFNTGIETFTLGYSAWLCVVSAAISVGTLGLSLHIATKDCH >CRE24508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:654973:660354:-1 gene:WBGene00083007 transcript:CRE24508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24508 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MG00] MSLTISEGDDTIECVRHDPGDESIDGTNGGDGGCGDSEHGGQSTQLSDGGGNSSGSTNSVTSSGKENPEEEYIRNHLKYYTWYHGMMFGNIAASLLRYDNSYLVRRACTRDEKFLCISLRTGGKKAPKIYHYTLSWTTDDGWTCPKLFDKFPQIPRQRYEHIVEIINNWSHRFPNIVPIARRSMVVLHESINLERFLGRGAFGEVFKAKFTAAGATQPIEVAVKRTAGDAKRSAIQEFCHEASIQAVLQHENVVAFHGIASLEEPIMVVMELVTGGDLEKYLQKTPNVSKEQLIYFALNIACGMRHLARQRVIHRDLAARNCLITKDLKVKISDFGMSRRLSKGVQDFIEKKIKQAPIRWMAPESLLKGVFNEKTDVWSYGVVVAEMMTRCAHKPLYGFNKKEAQDHIKNNPFPHRVTAEKGDPKELAPIVDACCCQKKSEDRQRNTTLGRKKSRDGRSKTRSSKRKFLSFRRRQKELQLPTGMTTTTPGKPSPSPQESPAAAAPTVLPATGSSALPTTTTTTTDIPTPPTETPPTQSATAPSTQLQSPTSK >CRE24504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:601397:607059:-1 gene:WBGene00083008 transcript:CRE24504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24504 MEKRIEKKSFHLRIRAQALKLMTEENPIFLSSDSEPDLPPPHPAPLANFAIFSRPKKVAKRKKRRPPPDRPLEAAPLPVPLSVQDVLLPVRAPLFPPERDVPHFQFSPPEDFISDGQRLDEEGPAPPPPPVQQLKRARNGDDDDERVEPAKKKKDVKLEEDEMMEIDDDEDREESMETEEYDDDEEESDEETEQEDEDEEEDEDEEEEVEEEENGDYEEEEEEEPAQEADRSASEAETTATDEESSDDDDEEDEEEEEEEDEEEDEDEGEEEEDDDDEEPMELGEDAEEEEAEMMDVDDGSDDDNDEDGGVANQYGAGRRPVPPPPVEEFVPNMNFPTVEEIAYLREFATFRDVPLRRINDRLIRMGNNGIVLRNLDRQTELTIEQHMEKLFDIFIRRMIEQAHGSLEDTRFWVRVRNFGENNVEEFHINHCTYSNGNGHVLMNRLSMRMQSAKEIRLDKDSLELSMYVFKDLRATAGRGAVTLNEKVKSAAVARNHQMNEAKQLLRKSGLDENEGTHDVGHLKIMSQHLIDYQIVVWHFDNHNQNIVVRDHFNQDGQGFVGLYFEREHYEPFEPHTGKDSLLTSFCHKCSKLIHHRYTRNTHSTNCRAKCKKCGFTTCTRGGGPIQVCPQCDVTFFSQACYQAHLEKSRGNALPHCKKYRYCKKCCSSVKRAEYSGGVEHECGCAFCLICRKPQKPGHDCNHTPPDAKERERCLKRQKTWRIFVIDFETIVTTEAAAPSDMTADGPDHVPNLVCGQFICNECVGKDDCIYCGPPIVFSYQNEEREGPVIEQFVKFLQTDIRLSNAIVVAHNGGKYDHAFVLSELAKSSGATPDLLLDGNRVIQATIKLDTIGTITFKDSLNFIPMALSQMPAAFGFTGLAKGTFPYMFNHPEHYHTVRQGLPEMEYYQPEYMTPAGKEKFERWWDEHKDDEFDFDVEILKYCMDDVKILVMAVKSYLKVGGQSQRKRRRSCCANIMSQFQICHDIFNGWNPIVQTCTLAGFVMFVMKHEHFTPGVVGYIPENGFPGRNNSTLALKYLQYLESQDPNLRMQHALRGGERRLACGQFNYYADGFCETTNTVYEASFYSFVAINHHFYFLQVYGCMYHGCRQCYTDRTARSPCNPNSTFEDLYQETMKRQANIEEKFHVVAKWECEIRREMEKNAEMKRFFTQCHHTPHLQPRESMFGGRTQPFQAYAEATATHEIVSYDYCSLYPYTNMKGACYPIGQPRVLRIDFPPIVAGEPVPFRGLVFCDMLPPPDAAIPVLPGRFRSKLVFSLCRTCAQQGRQEKCPHKDEKQRFLTGCWYSEELNLAIKMGYKLLRYHEVWAWGDAAWFRGGFFQSFLGPLLKLKHEASGWPRPNMTEQERQQHIDTIAANDGVVIDASRVAKNPALRQMCKLFLNSAWGKFAQNPRKVETKLIDIADGDAVFAFFNSPAHEPTCLELWGDKHILVGRQPLQDGIRTTRFTNVVYGSITTAVARMCLYEAMSRVGAENLVYCDTDSVIFRQKIGKDLLGDLRGDGLGKMTNEVPHGSKIKEIVTVSPKVYAMKMENERGELSYIIKAKGMTLNNVTRASVTFDSMKQMMKDHLAKQAYAPLRGKKVSLTRGIKRPLDPPKSKLVSKLMKPIADKGLLDADGSFKPFGMLAASDTVAQNYPFNQ >CRE24442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:758831:759910:1 gene:WBGene00083009 transcript:CRE24442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24442 MSSSFPLFNLPLEAILQVIQTMDPFAFIGFTLLSKRAQVLVNSLNLKTVGIKVEVTDSIFVCMLVGENILEWEFTKGNQSKPDKMKLNIFEERPKRGWTIVGSNFKQWMDYFKTTFNCSEFHWIEFREGSHVQDINEIGTSIGWIKELQISIDIDERDHVELILKHFPTKSLCLRGTSDIFLHPQQVLIQNFDSLELLSGPEKPVSLTIDEMLLLNSKEIKIGLIILTEKDINRFIKHWLRGSNPRMECLKIKVLSADEEEIFPIINKAVVFKGINHMEVPINQVRYFKSSSGETLPTKGGYDFYRNDGTKATIDIYGDEDSCLLTMYVWYPHCVGNSE >CRE24390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:104426:106283:1 gene:WBGene00083010 transcript:CRE24390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24390 MTSIKRNPSFKKAIEYAETAVVTQEDLPDQSTSKNETENSMIATAELEKDKTTVQVPTKCPKCGKCQYARCEWEHFHEERLQRCLLAHEVIDEISTRLQRVTLKHRGIRLPQELMRKKLEVHSTKELQIIYEEMRKKVLKLLHEEQVLERLGVRDFHPMAELVKSLMQDLKMWILNKRTQFRRRFSKKEARRDDK >CRE24481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:415837:416462:-1 gene:WBGene00083011 transcript:CRE24481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24481 MSTTPPESEIAVQETQAVQLEHDPHLPDVNQRNRFKKNQRDILRRREMSMLFDRLAYLLPWGQDYRYKSKAMILTKAIEVLSGNAAEDEQEKIGEEPAAAGRQLVFRNMYTPPQEEKQG >CRE24502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:584481:584929:-1 gene:WBGene00083012 transcript:CRE24502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24502 MDNFFNDLKLSPSPPLLVPQQPPPPSRASSGVFDLPPPPPPPPTLPTPPPPRPNQNNTKKKNGKQLISQSQQAAPAEKRQRKRKEPSKALFRETRPFVHIGLCETCRDGTTFEESVLTVSLCKPCKRRLLLL >CRE24422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:523007:523610:1 gene:WBGene00083013 transcript:CRE24422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24422 MTICEVRLQFQNAEQPIALRDKDLIKKIPVIAAAIEVENANWETTDTIIADPIDIPFSREAGEFLLDNIRKYKMPDNETTVNDYPEADQLSLQELKPIMELAVFFNCTVFRHAIGFVVVKKLEKESFEDITRYLGTPVVEPGRYLDEADGWVNVSEPMP >CRE24401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:219588:233884:1 gene:WBGene00083014 transcript:CRE24401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24401 MSFSVERTKKGSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACQGNERQSEVLIEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENGNKAQDELALLQDAWNEERATLFRELNKLKEEKRLAEEAVSKYKKTLKGEREASSELRGLLRKQESEPKKAGQVRDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVISTTAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIEIPMVVRGRKSAPGISPIMILADGLEEMEKCSFLMEEIKFLGFIINKQGRRPDPEKVRHIKNMPEPTNVSQVKSFLGLIQFYGQFVKQLFRLRQPLDNLTAKDTDFKWNRECQKSFDTIKEILQSDLLLTHYNPNLPIIVAADASQYGIGATISHRCPDGTEKTIYHISKTLSKTQRNYSQIEKEGFGLITAVTKFHKFIHGRKFTLRTDHKPLLTIFGGKKGVPVYTANRLQRWATILLNYDFDIEYINTKDFGQVDALSRLIDEQNAEKAPEDFVIAQVELDPVDQLPQNLSFLPITAKTISFQTGKDTLLTDVLNSLKSGKWPKSEKGTEMWNMCNRKDEFSIVNDCIVLGERVVIPTVLRQKVLKTLHRAHPGIVRMKMLARSYVYWPGIDKDIEKLVKSCDECASAAKNPVKNLLYSWPIPKKPFERVHVDFAGPVDGMYYLVFVDSLSKWPEVYATTSTTTAATIKILAKVFGQFGNPETLVTDNGPQFTSQTFQEFTASNGITHVRTPPYHPQSNGQAERFVDTLKRALCKLRGEGNTETALQTFLQVYRSTPCASVPNNQSPAEAFIGRKMRTVLNLLLPHKPTPSLKRNLAMETQFNLHHGARDRSFEINDQVYVIDRRSPNSSQWVSGVIERKLGQTVYKVRVGSQRWTRHANQLRQRTSPPSHYDWSDFLEIEDPAEEMPKPDKADTTTIPVLSPSTSIPPTTPVPLRRSTRNIKPVQPFQIQPKQKRY >CRE24458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:95209:98091:-1 gene:WBGene00083015 transcript:CRE24458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24458 MDKGTQTEDDPVHYRQELINKGMYYYKVCDYRLTRIEKRRRKKRPVIPSYEETERILMKGSTPCLEKYVVTLREGQDRIKKMEKKYGLPVYKIREPGSEKERSQQRSSMWRCLKRTCNRIHETVFDCYEMLKRKIANKACSALGLKPTVVSPNLTLSEVNYFASKLLASAHSLVATIPRPATVIAAVVVSAVSLVANALSPPPPQRHSATTRTV >CRE24485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:435028:437708:-1 gene:WBGene00083016 transcript:CRE24485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24485 MGLFSKRGSKRRTAKEAKKSSRGTKSKTKGTEKGTDKKSSNTKYSKRRSSRQKSTRGSSNTHTRSKSHPPTPEKEREGRKREKKEDSGGGGKDDKGSQVILASNQPAKPRCVRIGSREVDDETVERVFEKFTKERKEAPPPAPGAAGAGAPPPLDEKARLLMDRVTKKPMKSERSEMFYDEMSSFQKKKPTPSVNQKAPMKKVQEESVFFDDDSYSQVPKLINVRKMSGENVYTERGVPYWTEPLEPTDEERVRESKNGEFVAVRAQIDAFLGEGFDVKDDEALMVLTGCVKDPNDQLICDDWLELIKLDGSTWKAERERKKRE >CRE24428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:610597:611241:1 gene:WBGene00083017 transcript:CRE24428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24428 MASGAIVGGSLNAARYAIENGGRASNIVNVFDKSVVSSLGNPKWYARVDMPHANVPYHHINVNKAITGLKDPHIRISGTTAHAAGVAGKALNVVNKVAPYALVASVLWDAGDIANDVSNGRTKEATRKVISKTSSYVGGAQGAAAGAAYGSMIFPGVGTLLGGVIGGVFGGVGGGFVGDIVAGNFIGA >CRE24523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:836537:837297:-1 gene:WBGene00083018 transcript:CRE24523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24523 MAVTQQMLCPLCSELFTDTRHAYKHFHYRRFLCGEEGCNRKFYTEDEKVAHCAEEGHRETFKSQQQQQTQQQKWRLLDSIVRFALRTSMTSGTCSTTSVIVVSLAVSKDVDVNSTRRLRGQLTPP >CRE24524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:837615:838556:-1 gene:WBGene00083019 transcript:CRE24524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24524 MDYATDEQQADLATLNFNLNVDFSKLFNWNVKQLFVYLVAEYKTPVNEVNQVVLWDRIVERSERVVMDEIGIKPKYYFLDDGSNLLKHENFTFVLRYNVILNAGYLRLVQSSDQIVVPFPSTYSTTRRS >CRE24406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:304685:308546:1 gene:WBGene00083020 transcript:CRE24406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24406 MSCLEKALFTTHTYFFRRILCAIYENFIFIAVSELYENGIHVAVVCIPINIFHFYVLSRKAMRSSPIYILLAAIALMDICSFSYDIHFEIVDIFKTFNVCFSKAADYNMIIFKNTMESIRYNSRRCSTWLSFSITLIRTLVIKYPMHPNLEILSKPKSAFFIIIGVILLFTPIHVLDYFKYDPHLINEHYKCTQHPESNIFFYENGISMFFQYNEMRIYKFHRLTDALLSKVVSSLIFVEYLRNLKFQIIPSILFPIVTVILIREIRKAGARRQNLGTSSTASQDSKNTSRLVLALTIPFFIAELPLGIVSILVPNFYSDNGFYFVFEAFEKFFSFILSATTATHMIICVFMSSQYRETALLVVRCGQVFKKKQESVVLGTVVN >CRE24436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:732736:734184:1 gene:WBGene00083021 transcript:CRE24436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24436 MEAGDRHPFPLLRLSDDPLQLVVLLMRRFDQFALSLVSKRSKEVVKSIGLSSRITIKVDEEEVSIRIHKRITENIRCSFADDQVAINNLPSEMVKPTVTSTRGRHTFTRSRKGYRFEDWLSHCLEVFHQSKVTTVFFERLIPHFKSFRKTFESFTILKIVREYTDEEARDLLKLFIPVKKLYLDCNPFTNKDENNKLLQEVFIQNFNHLDLNWRSSLAIDNLLIMNSKVITISSEKLSEHVLNRFIKHWMAGSNPRLEYLELSSDDDRIINEEAVLKGVNYQKMPSTHRRYLENPPFINNPDYAYIDGGYDVRRADGTTASVLFNEYVYFVLVVLN >CRE24427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:607462:607847:1 gene:WBGene00083022 transcript:CRE24427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24427 MSVVVESVAKKDGKSPDVKVSTYTKTLSSPRPSELSGGGSQKTQSREVYLEERARHLEMPTAEEAELTPKYLYDDPVFIMHMCTSCRSINRTLPAVPVDEEYQKIGGFCQIL >CRE24457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:81508:83366:-1 gene:WBGene00083023 transcript:CRE24457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24457 MEAETSTSAHQTAGTDRNASKRANRTPVISQKSSKRANRTPVISQKSSKRANRTPVISQKSSKRANRTPVISQKSSKRANRTPVISQKSSKRANRTPVISQKSSKRANSTPDHSQKLSKRVNRTPVISQKSSKRANSTPDHSQKLSKRVNRTPVISQKSSKRANSTPDHSQKSSKRVNSTPDHSQKSSKRANSTPDHSQKSSKRVNRTPVISQKSSKRANSTPDHSQKLSKRVNRTPVISQKLSKRVNRTPVISQKSSKRANSTPDHSQKSSKRVNSTPDHSQKSSKRANSTPDHSQKSSKRVNRTPVISQKSSKRANSTPDHSQKSSKRANSTPDHSQKSSKRVNSTPDHSQKSSKRANSTPDHSQKSSKRANSTPDHSQNSPTGRQLYFRNATNVTPDRFSLVFSFFK >CRE24505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:608177:608903:-1 gene:WBGene00083024 transcript:CRE24505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24505 MNQQENIVDFFGPECQMCPAWAKCGLTGDGRVWCLAKREARLFQPAATTSIVWLIGVPLVVVFVAVGLLALTAYVWPESRVARGWWILLGAVSWILCCFGRGGAEADVENIV >CRE24425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:597792:598469:1 gene:WBGene00083025 transcript:CRE24425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24425 MPVSVPSQPNVATKPSGFRFRFPSQTTIIGATQSGKTTLLRGVLGALDTCFDVPIDNIFWFYGCDTPSIPRHLSKLRAIEGLPDVELLKQHKGQNNVVVCDDLMNFFARDKKALNLLNDLFCVYAHHLNCAIFNLVQSAFALPPLTRNNSTYIILMRNLSDAAQVRNLLVQQFGEKWRGAYAAYQQVMASAPYQALLINNDPLSEPRMRILSNFLAPYPIAYVPV >CRE24498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:527985:530048:-1 gene:WBGene00083026 transcript:CRE24498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24498 MIVMPSAGSIVERRQILWRDEKNRCIQIFGTFLTHMRQAFLISIPIQKVTPGCHNLPKYLNNLKQQAESLHKRVASSRKNDDFLIYVLLACKYRKKLLKYQRNLELQNFKARGPSRFTHYAKFVLKPRNTLVPTLEPLPGCYATSDQEKADSLASHFEKQYLNKSTSPLSFPSNFNSSQTTPWITDNNLFKLMMKSKNSSTHTSDGVPHTFMKMISPSISSPLSQICNLTMSRGAVPKVWKHSYIIPLNKTAKPTQPSDFRPISITSQICRIYERFLLKQIIAHLDSINFWSDEQHGFRPRRSTVSCMLTALNDWTDNIDRGNQVDIVYLDYAKAFDRVQHDLLLAKLVEVRLNPSLIRWIDSFLTERYFEVKVGKSYSVKRKALCGVPQGSVLSPILFGIFVNDVPKTLPPGVKCRQFADDLKIYASFSNSDSSNSLPNRLQLAIDSVILWSKKAKLDLNNSKTECITLGNRRVMNTYTIEGNIICQKTLIRDLGFLISPKLDFSDHWHKATNSAKFLVSKIFTSYSSNDSKIMTLLYKTFIRPVLEYGTEVSSPYKKCDIRAIESIQNSFTRRLLSRQIGRYLTPSDPDYLSANQRNAKCGLVSLEQRRQATDYKMIIKMQLGKIDINTDDFFTTNTFTKTRSSNTFHWKAGKTKTRRNFFVHRTLSRIPVSSDRPSISLHSLPN >CRE24395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:148339:148845:1 gene:WBGene00083027 transcript:CRE24395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24395 MTDYVVFEEPNVKSAEFSCQTEEIALENEVTQTDEETVSNYEENEKNPEIAFWKAVVANRKNEVDRMKQINEKLESEKIEKTRNLEAKQTEYNRLYDLGNEKLNGSKTEDEEEPEGETSCK >CRE24432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:690027:690710:1 gene:WBGene00083028 transcript:CRE24432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24432 MVDSKISLLPTGIVIVFGLVLNGAGVFTPAWIVASASYGNDTYRVSIGIVPYYTENPGWFNAASILMFTSFALYILMALNFSMVCYRVHQSGYSRRIRKYIYSLALTAVFIIGNTITAVILIGVNASTFNNIIQTYTLNYSTWLCVASAAILCSALKLLSEIEIGCR >CRE24407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:309391:310420:1 gene:WBGene00083029 transcript:CRE24407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24407 MVELIPYTCQDYFPKFKGVKQQVICFLYLDFSKFVENQLVKNSVHVAAFCILINIFHMIVLTQKSMRTSPIYILLLAIALIDICSLSYDVHTEVVNFFNVMKVCYSKETDYKILIINNAMDSIRSITRRCSTWLSLSIAVIRTIVIKYPMNPKIEFLSKPKTAVSIILFIFLLCSPIQLTDYFKYNIVPNDEDYKCTQYMTTEFRWYWNQVFTYYPNKKAYTYIKITESLLSKVSFIIPCVTFPIVTLILILEMRKINIQRQKLESSSETKKSKNTSKLVLCLTLPFFIAELPLGIVFWMSQSSIVLGEERFFVSLTIRAVELHYTLI >CRE24515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:727583:728431:-1 gene:WBGene00083030 transcript:CRE24515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24515 MADVAAPDDSRDHSSDESEIRLWAERVHAAILHGAGAERPLCGRESQALCPDTTPSVQGKNGENDVESGEEIGGAPIRFAIICKKEKEKKYDDGN >CRE24519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:785213:785808:-1 gene:WBGene00083031 transcript:CRE24519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24519 MNTYTIEAKTGASESGTEPEEVESLGITLVQSHVPIIDKKKGIIADLFRILTDYTVSHELNGMERSFEEFTLQEEENEVSPPRITISCPHVISPPPSNTNDFYEYGRNIQSRSLHANSAKADILSLPAQ >CRE24423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:524532:524962:1 gene:WBGene00083032 transcript:CRE24423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24423 MTVCEISLQFIDSKESMVLSDPELIKKIPLVARVINSYNPNWETTDTIVKTPLVIPFAHRGGKFVLDNMLKYQTLNKKSIDFEEARNKTFAEYSEIMDVAQHMGCEDFLLCFDYGIFKWLCDNMRNY >CRE24482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:417212:417847:-1 gene:WBGene00083033 transcript:CRE24482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24482 MRKLNEWCCRLSRRRLFPNHFFHSVTGIDIKRLVVFSRNAVQSTKDYRLLLPNVKQLSVHQCRSENRESIQEVIIQNYDYLEVSPYIRLSLDHYLLMNSSYIKMQHKMSQRKVNRFIRHWIQGSNPRLKVLEYWGLNKRPKLQKILKGIAYQVVTGDHVRVFDIQGYTPYTEADVIVDSGYNIQRVDGTQATMHFRDHHRIGFRLFLLVWN >CRE24388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:83710:87310:1 gene:WBGene00083034 transcript:CRE24388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24388 MTNHQSNKECMSDMNEVYVSSRLNLVKHFHEEHRAPHEVEDKEFDSVADFEEWLSKKQEETGTTLVTQTARDEGSRNTSYMICKHEGTYVRSGTKKYGHDTKKQTGDALCTAYLKGDLLGETMQSLNEVLREPRQEKVMHRLLSMLTRLDQANQKGADEFSAYFRTYYYERIDEWAASTRGNISSHSSMYAEAWHSVLKKEIIVTKRSIRCDLLISHLLAASDWVIKLKNQQKQRKLYSACPRKGQNRSTCRLFRETEGNYLVDQRSEDTYIVTKIADMKEYTVRDYKECICDTHENTHCDYCGACGYRFDCDCLFSRAGVACKHIHGILKFAASQQTSCELDDHGISSPRPSTSSSRYPSVNLNNSVLDFTRKEKDEHDDKITKRMDRLSTRDPEIPHDADEMEFESTTTEWKRCNKCKQPAHFSCTLNNKKCACSDHSYFELYPDNYLEVMDSDENSEYLFKPVF >CRE24467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:209832:210950:-1 gene:WBGene00083035 transcript:CRE24467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24467 MIPVLVLLCSTILHQVGGYVIGGGTQPGGNQNNPSGPNYNGGIGGGVYPGGGSNGGGVQRDEGGYCNSNTDCRSGLYCTASVNGVKICLSTSNGGGGSGYPTNTGGGCTTSANCQNGGVCVVRNGVGNCQIQAGGYVSPAARQGMVRFPSSNGLSVG >CRE24421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:500137:500265:1 gene:WBGene00083036 transcript:CRE24421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24421 MSYNCNVSVNKIHYRANHLSSGSASSEKQKQHSTRRHNNPFV >CRE24469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:218183:218994:-1 gene:WBGene00083037 transcript:CRE24469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24469 description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:E3MFT1] MLNENMLNFMLDKTRQNETRRCWIQLELSQSAVTDSRETTLYERQSAAGTEGDRLKEGIVINQSLTTLGRVIKALHDSQKSGGKKMQIPYRDSVLTCLLKNALGGNSKTIMIAAISPADINYEETLSTLR >CRE24438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:739873:741242:1 gene:WBGene00083038 transcript:CRE24438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24438 MTLHLINLPSNVIRLVTQMMTSNELYNLWSLSKKTKKTVKPLYPRHTHVQRILNNDLVLFNMSLREEYFKRNLNRRTETLTFTYPPAMMTSRFPLFNLPDKVILHVIKSMNYDEILFLSFLSKRLKETVTSLNIESTQVSVSITRRVRIYISIGRQFLADLQFSSSKSLSSRTELWLLFEKNSQLTNYWISKGLSIKKWFDHTKTVFHFSKIYIRFGEDSTTFDINEIRDTFNNCYEIWISDDSSSEAHVMSILINFPTEILSLSNDLFESGKPPNEVLIQNYDELAIEPSLPSTITLDDLLMMNSRYIEVSELVITEKDVNRFIKHWTKGSNPRMERICISFKDYRPTDKDLVLRDLSYVKLPADQKRYFKHNKCWYRVETVYGGVDIWRRDGTLATITFGEVSDVFSMFVWHPHCVTDRN >CRE24400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:188666:191055:1 gene:WBGene00083039 transcript:CRE24400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24400 MDCLESKKFSKYGNSTRHFFCFLWEDFTPFAVYGLYENGIYVAIICILINIFHIIVISQKSMSTSPIYILLAAIAVMDICSLLYDVHFEIVNFFKATNICYSKNTDYFILVTKAIMESIRYFTRRCSTWLSLSIALIRTVVIKYPMNRTIEKLSKPVSAIYFIIAVLLLCAPLHILDFYKFDIDVADENYLCKQFPSSNFIYYAINLSMKFQNKDHRLFKIYRTIDALLSKFIPCILFPIVTLILILEIRKAEIRRQKLESSSSSSTSKNSKNTSRLVLSLTLPFFIAELPLGIIFWLSQLDTINKNNELFYIFDGFEKLFSFILSATTATHMIICVFMSSQYRETALIVARFGHHFKKKEGTVVQVTLSS >CRE24389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:99521:100142:1 gene:WBGene00083040 transcript:CRE24389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24389 MTSIKRNGSYKKAIEDAETAVVILEDQPDQSTSQAETDYSKFVAQQIAELEKRDQHYSQLYWCLKAHEIFDEQATLLERLTLEPRETRLPRERMREKLKDYSIEELEVVFQSFVEKYSALKKEEEWLARVGISDSHVYRQLVKQIMQEVKMMILKTKIQFRRLFSKKV >CRE24484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:433584:434484:-1 gene:WBGene00083041 transcript:CRE24484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24484 MGFRGVETTAEAIMVSNPHIELVHNKTITLLTNPVMSLELNEFLHLSELMKRDEAHFDARLVFSNTIRTFMLLDPSDELKKLKKEMEELIDTSNPKEGDRKVKFHVENPTVAVLYSRTDPIQSIREMFSSRVSVTTTLTTTSTSSRDENN >CRE24459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig35:101020:102592:-1 gene:WBGene00083042 transcript:CRE24459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24459 MGRVQLDTYPSILLLKCLFYYKIGNRRMARIEKRRKRKYVVPTTFEETVNILIRMKPEELEGYLAEQKRAHRMLSRLERRLRLRIYRIPEHAVPGYEKPVSMWDKLKKKRRSKRTEQLSEGDQETYRKLLIERLLYFYKISDSRLTRIEERLKHEDVITVAHEVIVKSLNGRTTERLEVDLAKEKHRQKEFSRRELRLGLQVYKIRKSEEPGYQKGPSMWENAKTACMEVHKKLYCAVNTLKNKMLKRKTAKI >CRE13874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3501:1009:1499:1 gene:WBGene00083043 transcript:CRE13874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13874 MDFLDPSPTVFPTVQPLQIPLPLDQYAPPPSQFASEHDGESIPDSQKDSGKNGSSVALNLLSFAGSMVKKLFKSRKQLLETPVKKVPISKARATKPKNITKEQFGEISFNDAPLRVLNKSNGKLDVAVMKCCAGKLVWAFEEGRMIL >CRE22712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:9945:10229:-1 gene:WBGene00083044 transcript:CRE22712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22712 MSQLATTAPQKNINETGTGYVTSTQQPYYDQGGMMNTGGIEPIYFSNEIVPKTVKKPETFVFNFNKGSAPVFNIMKEK >CRE22703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:4153:4866:1 gene:WBGene00083045 transcript:CRE22703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22703 MYGAFKSKVNICFVLEFMKQSLHEVLENKRGHVLSVKNIARMTECVANALSYCHKRCIIHRDLKPQNILHNGEGMYKVSDFGSATDERHGTNCGTLGYMAPEVVIRSKQTTALDCYSLEIVIHQCSQGKLPFQLPTGHVSDYMVSKWKYNPPVTMNLSIRALPQMLIRKSVLSSQLITDYRHQTQDDVYKLERQLI >CRE22702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:2944:3842:1 gene:WBGene00083046 transcript:CRE22702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22702 MSRFFKKHLNWNRTEIKSGKSIILMFLDIYIVGEEPVVYKIDTNESTLRQTNPLAGITQWKCTDFHKLEKVFLLHRKRSGVFTKSILLYDVKMDSVVRELKTDLVFSSSKFREESYEVMTTGMNGDWSMYDLGGSSKKAVYSFSLRGSILVLTDVKSMANHKSNYFHKYGVQSLNQTMFDILPGNVLPTGCATGIMMQSAQFDVDNFKNHSEKLREA >CRE22705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:15923:18583:1 gene:WBGene00083047 transcript:CRE22705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22705 MKMKQKYSKKKYSFIMVKLAWQSTILIVGPSGQGKSTLARQIVDQRNVIFDANSKVCFWYYDTFESVPDSMKNRPDILLREGLPNLEELKKYKKEQAMVVIDDLMTKIDQNSGMERLVSVLAHHYDMTIMFLLHTIFYSKVIRNLRLQTSQVYGVWVHNLCLAHAIRFCPFIQTLLISLIRICLLIYIKTALTKFVIAIISSLAKPLMSMYQNNCWDLEAFHKILALSSTTDENERISEINSIPDKVLICLVELVFNIINGSIKVPPKIVAKLSPQAQNLRMLANFRNLDDTREFLIQTGGSPVQPLLLFLKMSYGKYVLLSVEEHEDLISKRKDPIDNILDAPMENDVKLAHLRSEISNLVRERVNATKPEEPVKVEKPVDLPPMEEPADDVFYGGNDTILTPPPPAASLTATSNEIDIETAKKKLLVHFKKNPEVFKIDKTSGNFYLRDIIIPNVTIKRILEDFSNENPITKPTPGLFAISQYLNETNFPEKYILNPKRKYPQRSKKGKLLEKLYHNPKSGFRGVSQLLVDAREIDPTISRRDVVSYLHSNDAYTRHFHNIKKIKHNPWVASGPDSHHMADLAMLPALKKKNKGFCYILVVVDVFSRFLFTRPLKNKECGTVSDAYEDILLTTWRIPARLYTDKGKILSFLQKLIFYFSGTEFMGRTFRELVGSLGISHMNPKNTNVKACYAENAIMRIKNKLEKWFTATGSYEWTLVLDDIVQGLNATYMDSIGMSPEQVSWINAQKVWNRLYGSAKSSKPCFKVSDTVRILMENSPFAKGTRAKWSEEVFKVIKIVEYDIPVYILADDMENELDGIWYQEEMILYQKPDNLKKIDKIVKKRSRKS >CRE22710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig351:7469:8352:-1 gene:WBGene00083048 transcript:CRE22710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22710 MSLSKPTVSLSSGRSAEVQAKIAKNRMKALEKRVAFLEKESTELKNLRIKLKIAKSRGNALERRLNLISKDNDILAQDNDTLAQDNDTLEGGIDALQAEVARKDLEIARRDAELAWFNWNEEVREESRICQLCLQEYSHEANIPKVIACGHICCHNCLAQVTEEINGVVVARCWICRRYTKKPQDRDFATAFIVLPRLLPRPPAFVQL >CRE22159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig352:13805:16906:1 gene:WBGene00083050 transcript:CRE22159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22159 MSDSNSSEVFEESLSSKVFDNPHLLKNIVNNLTWNCESNLSTRLINKSFNYQFLRIIRRNHRKMKIEFIGLAERCEETAKDWIYINYRKIKKSIINGYFNFLNIVVGVKVEEIITKNLWEPEEMFARNLHDIIYSNLIGGNRESVRKLIGLEEVCEGCGNCANMAKRCVEYGPLRFQVLKGIKKPIHYRKLHISDKLLAIVANHCTLNSTTKEECFKKLNNIIRRSISCDTLVLWICETRAYYINGVRRNAHFPMPREVLNVMIKKWKAKTIRMNMISCTSEKICRENWIDRGYFTKIKLDDPYWKTGQSGDSKLQHVSVKVSDSYDCAGGLMYSNRKTVYEKSFENYIANLRRLFQMNKLSIDFGHWKHKYSGSLEEFMKNILRVIQLEKQRKLEVNIQFFTEICSFKVGNSEELTEIPSEYSLLSDRVECIRMFVPFEIVESGPERLNMIKWVGRRFQVKDMDNHFTLNLNIYVKETELRKLDNGLMQTHPNSLIGVFLQLAT >CRE12458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3522:3563:3766:-1 gene:WBGene00083051 transcript:CRE12458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12458 MRKRVVEKFIATNGNLTFDMVHAIVVNEIEEIRISESYFEDRGVDYDSLHTHPMNKADNNTFRNYSQ >CRE21589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig353:24304:24876:-1 gene:WBGene00083052 transcript:CRE21589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21589 MNPFLHLLLVFAVVRCQTPVPDDGDEFNIETEKVITFTQNQVIDMAERIMKTMDKSIESHNYTELINIHYPDFTFTFCQTEGKSMEGFKAYLDSDEMLKKVKRSKHTIMYNPQNGGVEKLDVHGFRFDYFKYFLLEDDHLLRTFGTIIVRTQYGIIEVVKAIEYCPTQIF >CRE08626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3539:53:709:-1 gene:WBGene00083053 transcript:CRE08626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08626 VPPAACLPSKNTVLFAYSTDIDYNTFSESVYNIVHYAIYDTYTTFANVRFDTKQEEKIEYHSDIRSFNTSAYSYPPDPSLGYGDKTTGSNLYSVLKVCTISPHLSFTYNTFQKFLNNKNALLCGTQVLIAVKRYPDESDVSDVISQLRANHVIVHIAVDSNPSGGFNSATLYEMAYQTNGYCLFARAVIFRVWVFLKSKLMK >CRE21001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig354:12908:14445:-1 gene:WBGene00083054 transcript:CRE21001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21001 MATDDKTPPSAPQASENLEGQSMTTSATVPAPTSADSSSNKSENSDNNRDIKAEDDVDNDSEDSATEINIRMPMAAPGAPRQPRPQPSMLLRESQGRVQSRTMRQWIDSVMEGRGCHSERELGGKTATAVPVDAFTEHPIRPPPIHIDAATGQPVRTAAVEVNIPRPTPTAASEPVLDRIGEFVLRSIDS >CRE24843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig355:18733:19035:1 gene:WBGene00083056 transcript:CRE24843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24843 MITHVKKPKRDGQIRSAIVKRRGELYSRAVSQLIPLELNPLNRPNIATEEEIEDAQDSSPRELPAPAVLFNLDMKHVPELFLPKDLTLLNVKISQIQILM >CRE24279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig356:6060:6991:1 gene:WBGene00083059 transcript:CRE24279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24279 MLILLLALFPTVFSQCFLGDDAYIGGLCYTTSNYKTTYQTAQLTCHWKSQNLAVIQNSLQANYLASLVHRLTNEQDGKFWIGLSRQSVGSRYQWDDGTPLTWSNFDSKYTQNKLNVVESTTNGKWQTVDVQESHYFVCSYDPSKYSTTLEPPSTYYPDSSTVPPVSESTYYPWSTDYPDVSTSYPPYGSTDYPWGTTDYPWGTTDYPWGTTDYPWGTTDYPSSDSTDYPWWSTNYPPYGSTDYPDWSTTYSPFGTTDYPMSSRFNKVPNSKSLKKLLRL >CRE29407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3569:213:425:1 gene:WBGene00083060 transcript:CRE29407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29407 MNPSSCACCSTACSTFRSSLPHPASSPTSKTAESTAANALPRSIITAPSTDPRKKSSPQASPLHCPARPP >CRE23774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig357:37:1312:-1 gene:WBGene00083061 transcript:CRE23774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23774 MVFLTLREFTTWLDVTLFELWIHFLGIIISSILLCLKVHSILHISYFWVASPMFIGIGFVYYFIFIIYLRSCVEYKDYRAPTFK >CRE04492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3571:715:1670:-1 gene:WBGene00083062 transcript:CRE04492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04492 MKPVYLLFFCSFASYGLSYHLAGKNFLLGCLISVILTVSFLLLYVIAEVCHLLVAFLAIQKLLIYFFPSIEKYAVITQNSIYKNIQNVYLFFLMKDIVATLWFLSCAIHDYNKSVKWRINMIFGGAFIILNSVLIISSLLYVPIMLNVSKFSYLPSFHENKPQKYILWQTLIVIIFKLVRTCIYLGSVPSTIYLFLNYSTTWAIIINTIFCDIYLVPLIIQISYLGCNKRNVNTFMSSFTLTKFIHVLLDIKRDSEVHPSIHFTDTSNPASVRKFVTDDS >CRE08628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3579:68:3043:-1 gene:WBGene00083063 transcript:CRE08628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08628 MSRFPLLRLPTLPLLNCIQYLKVFEIIDFSLLSKRTKALVSLVNWNQPDIHLNFIEDSQICLKFPNDPGLEWILDFENEFNDGLDHTPRVIDGNQFPSYIGSALHGPKVFHYLVFPNDEHFETMRKMAEHVSKIFRTPIASFGIHQQSDPSTMSIVRWFSTLQSSVVDVRIKNEVSTSVPTLLFILDNIKMTDHFSFNLEESTPDFEYHKAIDIPTLILSHSHWITLKSILNSSSRVLILDESNLTLHDINTLLKCWLKRSNPQLEYISIRRSIKKMEENAFRIITKDLEVREHVEDGKRPMQIVFHRKVTYPLSNVLCYDIVRDDGTIGTFHQTYFSRSDDSNSDEHSKLHYFYLHVWNKNIIDFSLLSKRTKALVSLVNWNQPDIHLNFIEDSQICLKFPNDPGLEWILDFENEFDDELNHTSRAIDGNQFPSSISSALHGPKVFHYLVFPNDEHFETMRKMANHVSTIFRTSIASFEIHQQSDQLTMSIVKWFSTLQSSVVNLHIKIDDITAPTLLFILDISK >CRE23182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig358:9288:9614:-1 gene:WBGene00083065 transcript:CRE23182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23182 MFRVAGRRILNTDPEYRSASDRLLDYNLQTLKDRREHFDVKFFHKLLLGKIAIDHNNYFSYSPAKTRRGHSYRWKKSKTKISRLFFTNRVLNKVVNQSSRKNTDSDIS >CRE14459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3582:44:166:-1 gene:WBGene00083066 transcript:CRE14459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14459 MNAFQYFDNQGFSYFTSGGHLSAHGLEHVRPIYKKICAGL >CRE29225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig359:14422:16992:1 gene:WBGene00083067 transcript:CRE29225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29225 MYPNYYQNLHNYPVAAQNQQANPNGQHGNQDYLQYAANQYWTQTGYVNNYNPPAPPVQTPFEPVNPDAIKVTLHDSKLWKAFHVLDNEMVTLPNGRQIFPTLHYSVSGLPHSANYIFGLKLQRVNKNYLMEYRGGEWKETGKSVKADLESNEIFAGVMGGGVNFKNARIQSRRRYNTKGKDKEESQKPNADQNKDISLFVTSHCRYTPILSVYYMQSTEKKFLKSFVFKETQFVAVTGYKNEAVRKLKTNKNPFARPDYKEDNKEDVVENSEKDSTWDSGISSMNSTVASSIESPTSRRDSGVSSHSQNQYQFWGSSMASGPLIKKEPTPENSRFDMNYTSGGASTSDSQSQYQFWNSSMTRGPSSGVKMKPISFNMDQNSQFNNLPPLHSWQQDPYPLTATPGPSIQPWDENSFGQKQNYKF >CRE22758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3598:406:582:-1 gene:WBGene00083068 transcript:CRE22758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22758 MLLLCQFCWSVNHGCPALIYITMNQTIKREFKKMIVGSDKRIGSVSTHPASLTHLSTH >CRE23927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:364362:365992:1 gene:WBGene00083069 transcript:CRE23927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cas-2 description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:E3MGH8] MDPSLVSRIENFANRMENILKKYESTDSVSISHGPGSNLPKNNSTSTSTPQLVKILDNVIHEKLVSFLELSAKIDIEVDHLGGLVKNAFTEHRHVLWTACGMRQPEDTKFADLINELSKKIIAVSEYKVKNRNSKFSNHLSAVEAAVGGLGWVAQPNTPAPFINDALDMSMFYINRIFMAHKDKTDHNFEWAKTLKELLTKLHGYVAENHVTGLVWNSEPGTKPTKQSLVPVTTSGAPPPPPPPQAFMIPETSKKSSVMASLLESLNTGLSATKRLKKITPDMQTHKNPALRAGKQVMKEEKENIALTHSKPGKTHDPIILWDGKIWRVEHLVGNKNAVVEVTEMKEAIYIFKCTDSIIKIKGKANSITLDRCHRTFVVFDGLVGPFEVINSQSIEIQTLGDLLTVSIQQTDGCNIFLSRDSLKAKIIASKSSAVCVSAQLDEGDDEYKEMAVPDQFMTQIVGKKLVTTVSEIV >CRE23988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:156260:157003:-1 gene:WBGene00083070 transcript:CRE23988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23988 MMTESVQPTGEIAVRSLEPLAIEIPGEPRVDSVDAFCDRYCSENMETKTKIYISLLLILPSLIYFLVSINFSHPTKANIQHFEKPIILNITTFSEENFSTEEYAEAFDLAVKNDQLVMPTGISSETMRRYVATSSVKRDFDDESTVYLLRRL >CRE23932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:389687:390025:1 gene:WBGene00083071 transcript:CRE23932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23932 MEKEEEEEEEEATNGHNQNRSRREEEEKGGFNVNAVIGRGSTIQSCSNTLLVFSLSEEQERRRTLFRVDMTLATRTLLFFFLIQYSCCFEVAKRESKNRIGPPKKRMINWVH >CRE23909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:212028:212296:1 gene:WBGene00083072 transcript:CRE23909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23909 MKFFSAAAFVAALVASGSACIGGGGGSQCCPPAQPGCSNPCQGAGPQYAAAPPPPPPQYAAPPQAGGYPTAGK >CRE24006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:257511:259098:-1 gene:WBGene00083073 transcript:CRE24006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24006 MDNREPFSETCFQIYSLMKSKKGNVIEMIVCATGIPTPTVKWYKDGQEIIGDGPDGKRVIFTDERGIHHLVIVNASPDDEGDYSLEATNKLGSAKTEGSLNIIRPRHAADKDDRGGMPFPPGFVRQLKNKHVFNHMPTIFDCLVVGYPAPEVEWFHNGKKIIPGGRIKIQSCGGGSHALIILDTTLEDAGEYVAIAKNSHGSASSSAVLDVTVPFLDNIKFNGEIDVTPYLTEEYGFKKLNTASLPTPPDRGPFIKEVTGHYLTLSWIPTKRAPPRYPQVTYVIEIRELPEKEWTLLDYNIPEPVCKVRNLELGKSYQFRVRAENIYGISDPSPASPPSRLMAPPQPVFDRRTNKVIPLLDPYAEKALDLRYSEQYACAPWFAPGVVEKRYCAENDTLTIILNVSGFPDPDIKWKFRGWDIDTSSPTSKCKVYTYGGTETTLAITGFSKENVGQYQCFAKNEYGDAQQNILVDLASKNF >CRE23903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:174225:175643:1 gene:WBGene00083074 transcript:CRE23903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23903 MRKSQEPKQEEKKPNTTSTSPSITTSVDSVEKTCSKQTTVSKTVSVQNEIEKEIKDTTNSQELKEKDCPKLKIEVKKSKQLVLQPQPTKDSEEKKTIPKIVEKKEQKESKTIKKMSLEKIAGREEDVRCDKEVSQKAEESERILHFSKKKGPEKNEDKSELQLEPTPLSWNNEA >CRE23947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:470317:472048:1 gene:WBGene00083075 transcript:CRE23947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23947 MPRVKKVQATEAVVMPGNSVDEQASAEPEMASTEAKTSGRGRPAATKGNKRGKTVSIVESEDQEDDEAAEPAPTVKPLSKAAQKKKDLESTAMTSTGATEDVKKGRGRGKKVTVATDEVMEDVPVEEVVAEAVVAPKKGRGRQKKETVVAEEAKEASDAELELAAPREEPVEKSKRGKAKKAAGTENPIVAEEVVVEEKKKRGGRTKVVPIEEESADQPVIQERGNEVEAATTTKKGRGKKAPLENKPNEQSETVPTEENAPAAEEQIVAPSDTKKKSGRGRKPAAAAAGAASALEIDEEDKTDDLPISGAPPANIEVRKARGASRPTTPSLPKSPHTEPVVEGKKGRGRGKKTPVVTPLRDVKEVAKKSARGAAAALSQQDDAEEEHVSEGAVVKNRGGRPKKNVEKPQPEEEAEGESSYTGRAERKRKEGGTQSQIVKKAQKQK >CRE24030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:523845:525111:-1 gene:WBGene00083076 transcript:CRE24030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24030 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MG47] MGAGISVFVGITTSFWLIVGCGGKKKKGGAAKPIQSTPPPAPPPPPPNVESKMKALEEKEKKSEKKEEAKKEEEKKEKSKKSEKKEEKKEDKKEEKKEEKKEDDKKEKSKKSEIKEEEKKEDKEKKDDKKEDEKEKDDEKKEEKEEEKKEGIKEEKKEEKDEDKKEEEKKEEEKKDEKKEEEKKDDEKKEEKKEDPKAGELKPHITVDPIGDLEFQADKQEQKKITISNSHDKKIMFKLKTSDNNVYLVNPVFGTIEPGKTAEVLITRNKAPAKEAKLVIVNSLVSFSGDDKDLAKSFKTAKPTGGQVTVKLCAK >CRE23877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:8599:8898:1 gene:WBGene00083077 transcript:CRE23877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23877 MLLLPTPQKSEEDEELAEAFDDETNEDVTKSRAYKPVARRLGGSKKSDQNFQKINLRKKQFVRGKVTAEQKRKMKRKQMFRKK >CRE23881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:29399:29624:1 gene:WBGene00083078 transcript:CRE23881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23881 MFSLLMLVFVFFSGSTLLAVCGGKKKEAKSEASKSARGAAPGSAQAEPAGDAEKKAE >CRE24007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:261696:277542:-1 gene:WBGene00083079 transcript:CRE24007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-unc-89 description:CRE-UNC-89 protein [Source:UniProtKB/TrEMBL;Acc:E3MGF8] METSLTSTKTTTMSTTEVTSTVGGVTVETKESESATTTGVIGGSTEGSISVSKIEVVSKTESQTDVREGTPKRRVSFAEEELPKEVIDADRKKKKSPTPEKTGDEKPKSPTKKEKSSEKGTTEEVKSPTKKEKSLEKVEEKPQSSTKKEKLPEKVADEKPKSPTKKDESPEKSATEEVKSPTKKEKSPEKPSSPTKKEKSPEKSADEKPMSPTKKEKSPEKSAVEDVKSPVKTEKSPEATNVVEVSSETTIEKTETTHEVEVTKSSEEIKSATDKNVEETPKSPIKKEKSPEKSTAEEVKSPKEKTPEKPSSLTKKEKSPEKPSSPNKKTEDEGVKSPTKKEKSPEKVEEKPKSPVKKEKSPEKSMEDRLSSPTKTTTEAVETPKSPVKTEIGEKPTSPISKKSPPGSPKKAKSPETEADSSVKSPTKKEKSPEKVEEKPQSPTKKEKSPEKVADEKPKSPTKKDKSPEKSATEEVKSPTKKEKSPEKPSSPTKKEKSPEKSADEKPKSPTKKEKSPEKSAVEDLKSPVKTEKSPEIVEKKETVLSETTVEKTEITSSSTTEVTSPTKKSPPGSPKKKKKSKSPEAEKPPAPKLTRDLKSQTVNKTDLAHFEVVVENATECKWFLDGKEITKSQGVTVSKDDQFEFRCSIDTTVFGSGVISIVASNASGSVETKTDLKVLETPKETKKPEFTDKLRDVEVTKGDTVQMDVIALHSPQYKWYQNGNLLEDGMNGITIKNEENKSSLIIPNAQDSGKITVEASNEVGSSESSAQLTVNPPSTTPIVVDGPKSVTIKETETAEFKATITGFPAPTVKWTINEKVVEESTTVTTIKTEDTYTLKISNAKIEQTGTIKVTAQNSAGQDSKQADLKVEPNVVAPKFKSQLTDKTVDEGEPLRWNLELDGPFQGTEVSWLLNGQPLTKSDTVQVVDHGDGTYHVTIAEAKPEMTGTLTAKAKNAAGECESSAKVTVNGGNKKPEFIQAPQNHETTIEESVKFSAIVTGKPMPNVTWYLNEKKLIQSEEVKVKYVHETGKTSIRIHKPLMEHNGTIRVEAVNAAGKVEATAQLKVDKKTEVPKFTTNMDDRQVKEGDNVKFTANVEGYPEPSVSWTLNGEPVAKHANITVTDKDGEHTIEIAAVTPEQAGELSCEATNAVGSKKRDVQLAVKKVGNAPTFAKNLEDRLVTEGELTLMDAKLNAVKPKPKITWLKDGVEIKSDDHYKLVEEEDGTLKLSIITTKLDDKGRITIKAESEFGVAECSASLGVVKGRPMAKPAFQSDIAPINLTEGDTLECKLLITGDPTPFVKWYINGQLVCATEDTEISNANGVYTMKIHGVTSDMTGKIKCVAYNKAGEATIEGPLKVVAPIPVEFETSLCDATCREGDTLKLKAVLLGEPEPVVSWYVNGKKLEESQSIKIHSEKGTYTVTIKDITCDYSGQVVCEAINEYGKATSEATLLVLPRGEPPDFLEWLSNVRARTGTKVVHKVVFTGDPKPSLTWYINNNEILNSDLYTIVTDDKTSILTINSFNPDLHVGEIICKAENDAGEVSCTANMITYTSDMFSESESEAQAEEFVGDDLTEDESLREEVQRTPTPVMAPKFITKIKDTKAKKGHSAVFECVVPDTKGVCCKWLKDGKEIELIARIRVQTRTGPEGHITQELILDNVTPEDAGKYTCIVENTAGKDTCEATLTVIESLEKKSENKAPEFIVALQDKTTKTTEKVILECKVIGEPKPKVSWLHDNKTISQESIKIESVEGVERVTITSTEISHQGKYTCIAENSEGTSKTEAFLTVQGEAPVFTKELQNKELSIGEKLILSCSVKGSPQPHVDFYSFSETTKVETKITSSSRIAIEHDQTNTHWRMVISQVTKEDIVSYKAVATNSIGTATSTSKTTTKVDAPVFEQGLKKTSVKEKEEIKMEVKVGGSAPDVEWFKDDKPVSQDGNHEIKKNPETGVFTLVVKQAETSDAGKYTAKATNPAGTAESSAEAEVTQALEKPTFVKELVQTEVKINESATLSVTVKGVPEPSVEWLKDGQPVQTDSSHVIAKVEGSGSYSITIKDARMEDSGKYACRATNPAGEAKTEANFAVVKDLVPPEFIEKLSPVEVKEKESITLSVKVVGKPEPAVEWFKDDTPINIDNVHVIQKQTAVGSFTLTIDDARQGDVGIYSCRARNEAGEALTTANFGIIRDSIPPEFTQKLRPLEVREQETLDLKVTVIGTPAPKVEWFKDDKPINIDNSHIFAKDEGSGHHTLTIKQARGEDVGVYTCKATNEAGEAKTTANMAVQEEIEAPLFVQGLKPYEVEQGKPVELEVRVEGKPEPEVKWFKDGAPVAIDNQRVIEKKGENGSHTLIIKDTNNADFGKYTCQATNKAGKDETAGELKVPKYSFEKQTAEEVKPLFIEPLKETFATEGDTVVLECKVNKDSHPQIKFFKNDQPVEIGQHMQLEVLEDGNIKLTIQNAKKEDVGAYRCEAVNVAGKADTKADLKIQFAAKVEEHVTDESGQLEEIGQLETVGETASSKTDTGRGAPEFVELLRSCTVTEKQQAVLKCKVKGEPRPKIKWTKEGKEVEMSAHVRAEHKDDGTLTLTFDNVTQSDAGEYRCEAENEYGSAWTEGPIIVTLEGAPKIDGEAPDFLQPVKPAVVTVGETAVLEGKISGKPKPTVKWYKNGEELKPSDRIKIENLDDGTQRLTVSDAKLSDMDEYRCEASNEFGDVWSDVTLTVKEPSHVAPGFFKELSAIQVKETETAKFECKVSGTKPDVKWFKDGTPLKEDKRVHFESSDDGTQRLVIEESKTEDQGNYRIEVSNDAGVANSKAPLTVIPAETLKIKKGLTDVSVTQGTKILLSVEVEGKPKTVKWYKGSETVSTSKTTKITQVTESEYKLEIESGELSDSGSYRVVLSTDSLSVESSATVTVTKAAEKISLPSFKKGLSDQSVPKGTPLVLEVEIEGKPKDVKWYKNGDEIKNGKVEDLGNGKYRLTIPDFKETDVGEYSVTAANEAGEIESKAKVDVSAKPEIVSGLVPTTVKQGETATFNVKVKGPVKGVKWYKNGKEIPDAKSKDNGDGSYSLEIPNAQVDDGADYKVVVSNDAGDADSSAALTVKLADDGKDKVKPEIVSGLVPTTVKQGDTATFNVKVKGPVKQVKWYKNGKEIPDAKTKDNGDGSYSLEIPNAQLDDNADYKVVLSNDAGDADSSAALTVKLPGIAIVKGLEDTEVPKGKKVVLEVETNKKPKEVKWYKNGKEITPDDKAKIGSDGDKKPQLIIPDAGDDDAAEYKVVLTDDDGNTADSFCKLTVKLPAEEPKIIKGLQDQVVPIGSPIKLEIETSGSPKTVKWYKNGKELSGAAAKNIKIQKIDDNKYVLEIPSSVVEDTGDYKVEVSNEAGSANSNGKITVEPKITFLKPLKDQTITEGENAEFSVETNTKPRTVKWYKNGQEIKPDARFIIEQKTDTKYQLVIKNAVRDDAATYKIVLENTAGEAESSAQLTVKKAKAGLCKIVKGLEDQVVAKGAKMVFEVKIQGEPEDVRWLKDANVISAGANAIIEKIDETTYRLTIPSADLKDAGEYTVEVINESGKAKSDAKGEVDEKPEIVRGLENIEIPEGDDDVFKVEVSAPVRQVKWYKNGQEIKPNSHLEAKKIGPKKYELAINRAQLDDGADYKVVLSNAAGDCDSSAALTVVKPNILKIIDGLKDIDVEESQPVQLKVKVDGIPKVVKWYKNGQELKPDADGFKFEENPESGEFSLLIPSSKKSDGGAYRVVLGNDKGEVYSGSVVHVKAPKPAEATSGANFLSPLKDTEVEEGDMLTLQCVVAGEPFPEIIWEKDGVVLQKVDRITMRIALDGTATLRIRSAKKSDIGQYRVTAKNEAGSASSDCKVTVTDQGEQPSKPKFVIPLRTGAALPGDKKEFNVKVRGLPKPTLEWYLNGKPITFDDRITVDDMADGNYCLTIRDVREEDFGTLKCIAKNENGTDETVCEFEQRADRDGGMRDDLRYPPRFNVPLWDRRIPVGDPMFIECHVDANPTAEIEWFKDGKKIEHTAHTEIRNTVDGACRIKISPFEESDIGVYMCVAINELGQAETQATYQVEITENVEEEKKKEYAPRINPPLEDKTVNGGQPIRLSCKVDAIPRASVVWYKDGLPIRADSRISIQYEDDGTASLAINDSTEADIGAYRCVATNAHGTINTSCSVNVKVPKQEVKKEGEEPFFTKGLVDLWTDRGDTFTLKCAVTGDPFPEIKWYRNGQLVRNGPRTILETSPDGTCSLTVKESTMSDEGIYRCEAENAHGKAKTQATAHVQMALGKTEKPKMDEGKPPKFILELSDMSVSLGNVIDLECKVTGLPNPSVKWSKDGGPLIEDSRFEWTNEPAKGVYQLRIKKATVHDEGTYRCVATNENGSATTKSFVRMDDGLGSGVVTASQPPRFTLKMGDVRTTEGQPLKLECKVDASPLPEMTWYKDGAIVTPSDRIQISLSPDGIATLLIPSCVYDDDGIYRVIATNPSGTAQDKGTATVKKLPRDSGARRSAERDVFDANKAPKLIEPLENLRVSFDLPNKYSNLFKLFSNILSFSGGDRKPRDIDSSIREGKAPGFTVPLTIRRAKPGESVTFECLPFGNPYPSIKWLKDGLELFSDDKIKMEAAPDGTQRLILSDVTFLSEGYFRCVATNEHGTASTKAELVIEGKKNMFIFGERRNEKSRDRKEEMRFLNNGNNKESDWAMTRKNEN >CRE23897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:119500:120236:1 gene:WBGene00083080 transcript:CRE23897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23897 MDALLHHLYKAYDWIVQKNLTQALRGVVKSCPRLSANRKNCRTASNQLSSYLVTNQISKYAVEGEREYTVGFMKHGIIEREYVVVDKGENTHCDCGACGYRYKCSCLFQQAGVACKHVHMIVSHNAPGCSIQPRQTPAFDISFEVTTSENTNSAPHPIDSQSDIERDNALRTYDKFVGMASALHLIFGKS >CRE23878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:19060:22503:1 gene:WBGene00083081 transcript:CRE23878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-asic-1 description:CRE-ASIC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MG82] MGKNSLKRAIELDVVDFAEHTSAHGIPRAYVSTGWRRYMWLLCFLFCLSCFGHQAYLIIERFNRYSLNWFHSQILIFRNDIIVGVEIKFEEIKFPAVTVCNMNPYKNSAARELGAIRNALEAFEMAIDKSDGNAHSKRMKRSSNSKMIAVDLMCKEEHGMLMANEFGHLECVSLYGIETCITFDDSETNEEDDEMYWNCHLKNDWNHRICHVAEEHNQLKTCKCHEENCIEDGFTKQMMWPLQMKRNGTKLCISVESGGPSYCANSQKFEVSKCKSCDWLGKCEEESEEEHGVEEEKKSCICHRGNCFQIKNNSKVGMEVSTEFNNRVRVFQKKKRRVPERKVHERLLSRYEGLLAVYSHCNCTTQHGCVSTSVPDMDLENSNKTCLCFYNQKNEQVWPCYKEPEWEERKCSRCNTLGDCVYTDKPKKQNISCLCATPIKMCVRIDPPQTNETTLDDRIVKFWDIQPSTTMSPIVKKKEERDKAYGYSGVKDRIALRAKAMENIIFAVDALTESQKWQISYDKSDFIMKCSFNGQECNVKHDFVEYLDPTYGACFTYGQKLGNITNERSGPAYGLFSKRSTLSLQISGLRLEVFVNVTEYLPTTEAAGVRLTVHATDEQPFPDTLGFSAPTGFVSSFGIKLKSMVRLPAPYGDCVQEGKTEDFIYTQKAYNTEGCQRSCIQKHLSQTCGCGDPRFPPYRESKNCPVDDPYKRECIKNEMHVATRDSKKLGCSCKQPCNQDVYSVSYSASRWPAIAGDLSGCPHGMAAQHCLMYKREQGSMIEVYFEQLNYESLLESEAYGWSNLLSDFGGQLGLWMGVSVITIGEVACFFFEVFISIISSNRTKRRPARKSFSSSLRCSTDYNLNKDGFNLDN >CRE23959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:570254:570988:1 gene:WBGene00083082 transcript:CRE23959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23959 MALCGKSRTTARNVRKPSTKNDSKKENVKPKSTNSAKSTSVKKPTPKVIGLQPTREDEGIENENEKTKTNSDEKIKNDRSSKPQPTCRSSKQKAIQKEEKSANSTKCSSKSKKKKKSLSDEAPSQKAQESSRTTNSTDESDNDSLEKLKKPRKNSIDEDMGSNMESIKTEDEDLTKSIE >CRE24021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:400502:401584:-1 gene:WBGene00083083 transcript:CRE24021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ndx-7 description:CRE-NDX-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MGJ0] MCLGKVTTNWRSAASIILACKSSRRVLMLKRGDTAKFMPNTMVFPGGVIDKYDSKLGNKFRIAAVRELFEESGVLLTKNGWQTSANNPEMTSLKAEVVSDASKFQKLSESVCSDKLIEWTTFITPANYPRRFLTKFYLVLIDDEPAIDLCTSEMSEYSWIDPKDCVAEAYSGKYALPPPQVYELTRLAQIKDWDYCDKYGNIKKPICPQPIKTIGENMITNCFPGDHMYIDENCFQQPLRQLSADRVTVSPTLPTHRVTYYSEPMYGKVRVYQHLLKPADIAAFHQFETHSKDLL >CRE23991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:161796:164083:-1 gene:WBGene00083084 transcript:CRE23991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23991 MTNVDELFGDIEMGTVISCPVCREVYNLTERSPINVPCGHTICSQCSSALVPRHTQPVLMCPVCRKRHYGQLNGGAYTFSFPKNYQLLEVVEKVARLKEKQDKARADLCFVNCRDCKKQYSEDLCTQCVCVKDPAGADLDFMDRPKNHLICVDCINASHRTHDVKPMAAVKAAFDNMVIMKDLKTVADLIRGKSDASRRALDNCTDELDTIISLIEKCMVRLNERPKDASLYCLTAKFLKKLQTFEKNIESVRSSAHFHATNLGGLSDYIKNFAEQSRPLPVLFARSEQNRPRPQRVAPNPIPEVQQPPDLPQQQQQLQLQQPPQQIQPQRIQPHQIQAQQIQPHQIQPQQIQPEQQQQQPQNPAQMRLLDGENIQIGEGANVNIIIHVADEHEREGRVREIMNRFGAGAEAGDVGGEPDIIAFGNNEGVAGLHIINGRQHEQVLNGLLRGVFDFLDQVAVEPGVRNEERNNHGQDPILEIDPVVDEIAIFDRDLLDNGQMAAQNVQRRRVQIRLRLEHPEAAVNNQPIAEIEHNEPDAEIMVQEEEDVHIPAHVPIPARRRGRPPNRRGNRRVVAPEPEEPVVVEENDDVRGGLALRRLRRRNPPRHDPVQRVPRGNNRNRRQARR >CRE23890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:73896:79264:1 gene:WBGene00083085 transcript:CRE23890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23890 MHVHKYGIVIHQRLRETTYKWKVAILTGSVSSHGCIDVLPDRFPFPQDVKPGVSVKAKFDDEQADDPSVVSLCSNHEWIRDVEVINSNAVIFIIVRFDAECLSRSFYQENTNIEYYIIQNDDTDLRRIAIPKVVLDAELAGRSPLQKRDEPLVYRVGVSWDPLLFEGGTRAHWRYFPDLPLVPIFELYYRDTTLPYLSESMTYGVLEGIVIAQNECGALYWTVGMGCAVLSNFTRATEMPPLGSVNFVLVKRCLPETSFGFPCCYELLPDIRNYSWEEKRFKIITDEKSGSVYIEAHCKCVPMTENWGQPFAMSVPYIGKIYRGLECYKDMICAGIEYYFRIEFRKFKNDGEMENECDSFVPVIVDLCLKEDEFIKCRVISISHELKTYFAVLEPTGEVFQRLKNKIDFVAIPFRVLHCAKSIYLEDEELMLDCFTVRIRLPMSDHKVADAIHVESSSRSQRNHTQLPRITQEIHLKLATLVERLKKLKNGTEKSPMKSEPTIDAFEMDGTKFSDSKMDNSGMNCSTPTIPYTEERPRNQDEWEIGRQSNDITRESSQFSGEVIITESFFASTAPSTTTFVQYPESYISTYNDRIAGEVVTESVFESSNSSFSCDSPKKAAIEVDKIGAYHPERRTPTRNSMFSYNMNPGSRPRGFGPSNYNHQGPTSPGKDNRRPRAIKFGSRQLRCRKNHKDVLEEMAYRFMATRLMFVENDRRAIESWSRKPTAKVTKTKKTLTFVIPIERIRTHQELDRRICRYTSLIRFVVLDKVMNVCDSSVVVPFGGDFPVVPKDFELGNRYKVEHFSFLGDNMCRFGGFAAGLNNYLFYLETPSTTLTNPRTIENKRGQEYVQLETIVSRPSTPVVHSEIFELWSCEHCPGYVLVELKPELRDILETIDAKVYDQYTYSAIIEPLAGGPMEASILVEDEDQDGEMDVFVFWRLVVEFSSVVHLPKLISRGEKYIPKRDYSKREVGGIAVKDYGMWKTLHINSQLAQAKMDRLRALNDPRIRMIDGPDLKPELKERKVDEDKRRASMFEAMESFAESLPELQEKGMFQSVFIEDFKQEIEKLIPLDDGHHDHQKKKRIYSLEVGMNYITKILLTGSTYRIMNGFKEHVSLLRILAECIGLNATYIKKTDDVKKMLVYFRKNKLTSCN >CRE23876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:3032:4265:1 gene:WBGene00083086 transcript:CRE23876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sld-2 MESEKIEIWKASFREIYKRSPTRGDFSVAPNDIKALLKSKEKVMENTEDKQVKRGVKRSNFESKMMEDEQFSPVKKRVKYPKLDLEQEENSQVLRTSPRKKIFLFEKSPTKTFSEKRVMSPRKKPVGTTSFDFHDLSPLKSYSGSASKSAVKCTLTPIKSPTKQPYQRQFLNTVSKDTSSN >CRE24043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:602476:602877:-1 gene:WBGene00083087 transcript:CRE24043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24043 MLVWEWRRMLRQKLRSFTPNKPINYQQISRRRIIVSFVLFFVGWKAFGYTLNDMFLWTVDEQTMQGRFLTPQEGKERREAMERQRDPKLRITTPPIVSKYDLDD >CRE24002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:231059:231640:-1 gene:WBGene00083088 transcript:CRE24002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24002 MPRINFCSVVLCSILIGNSFGCLPNLMGGSSGGSGACCPPTPSCPQANQCSSSYVSPPSSGPASYPIPPSSYAQQPQQYAQPAVAAAVHPPPSPKYPTAGK >CRE23935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:404379:405982:1 gene:WBGene00083089 transcript:CRE23935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tufm-2 description:CRE-TUFM-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MGJ2] MLQEMNPCSLSAFTRSVLFNRCSSLIHPYSRYGFAFFATAASPKVNVNVGTIGHIDHGKTTLTSAITRVQAKKGFAKHIKFDEIDKGKEEKKRGITINVAHIGYESPARRYSHTDCPGHSDFIKNMICGTSQMDVAVLVIAATDGVMEQTKEHLILAKQVGVKNMVIFINKADLVEEDVLDLVEIEARELLTIHGFNGDATPVIRGSALSALEGEDISCIDRLLEALDSLPEPDRNEKDTFVMPIGSKTAITGRGTVIVGTLERGVLKKGDKVEIKGDGQVLQTTASDIHVFGKSVKEVRAGDHCGVLCRGVKADTVKRGMWAGHPGAVTITNRVKVELYLLSEAENGRKIGIRTGFTDKMYCSTWDQVGRFDMNNELLMPGEHTSATVLLMKDMPLRKGMPFTLREGSSKTTIARGIISDLEDHVTVEKHNLKKSAEKI >CRE23972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:24848:25009:-1 gene:WBGene00083090 transcript:CRE23972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23972 MARDNFGNDQTLMGGRIGMVIRKPNIDPKGPIIKGKG >CRE23990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:160181:161316:-1 gene:WBGene00083091 transcript:CRE23990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23990 MNKTNMTSVDPENIEKRLEEINETLSSISAKEVLFIITLSFLAVFAAINMCLLILERRKKKKQNFTPQAIVIQPNNNTKQDNKSSRNDKSKMASGYEKDVLPSKSMKMKVSISPSMSVSKKVRAPSKESTTEDSQASKTETSQTEKTIKKPVPLPAPSFATVLPPPPQHGALENAASADVTTAVEPVSSLPAVNINSNSSQSSNSVSSVPSVVPAVGIFNAPKAENSQSNESSMTSFTAPEEANSNHTRTQMTVPKEVNASTPSATTLSGNTSIGTGPPETTKSADLATAKDVTLAKSPMAPVRTPDVLASPAPANIPIVPLNTSTPPPNTEKKVRK >CRE23891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:86535:88011:1 gene:WBGene00083092 transcript:CRE23891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23891 MDDNISKKRQRNLSEPTDENRKRVYNAEGKFSNNSCTRMVSETEGGHYNIPKSIEVVDEMNDHHSDSNSSMDDEGKKFDNDEGREDPVFDTDEFQPSEIVSNKNDRGKEPNVSVVNEEKEEELRDNRGTFFEHFFHDSLPIDFEFDGNSLHAIGNNIMAKRIEKKFKIMQKLAHLIGCGLDCHFRLAHSEFKLLMMVRGAPRVLFGSAVSVVPDPTNIRKYATAGQFIEDAQATFFESILQLMPRNLESIETTLKVSLFEGTQDMGVSKLYDFLRNIRQTVLTYVSKVPIVWTVQDVSSQEHHFDLSPIRNEIKQCGEMHLLKLENDHPGFTDRWCSECGVGRAQTFSEFMEKKFNTFN >CRE23943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig36:428985:429239:1 gene:WBGene00083093 transcript:CRE23943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23943 MLSQTYWMLGSPIFQEWRLYAWYAGGYVDSCPPRADKPTDFCFNRKVYGKCESPGCKRLSMIQCPFCSTNICFQEMIIEQHRCV >CRE01415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig360:6031:7158:-1 gene:WBGene00083094 transcript:CRE01415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01415 MATNLTADEEAGWFVAQQEQPWWQWLLRRFIASGPIPRHVAFVMDGNRRFAKSKHLGNVIKGHEKGFVQLAKILDWCNRFGIREITVYAFSIENFKRSEDEVSGLMRLAEEKFQKLLNDSEKLDEKRICFRFYGNRSLLSSRLQKLMSDIEKRTEKFDGGRLNVCMPYTSRDEITRSFETIRNHVKEGKVDVDDVSEYFLNILKKCGKFQINESMIDACLDSGCGGTVPDLFIRTSGEHRLSDFLMWQAADTHVYFDDVLWPEFGYYNLCKAILNYQYYRTTVVKISALNVSEYDAPSTTWKMKFSGDDRDLIAIKA >CRE01417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig360:22095:24327:-1 gene:WBGene00083095 transcript:CRE01417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01417 MASNIEGEVEEAVQHSLSASRTAGPAFRRSPPRESAHGSGLPSSVPIPIVPSSTEDLDWYNRNWEDSPPTPLSTSPSSVKEETVVSFEEITLEMLALQNHEPIQMYSDVVSGADFSRLVREFKERVKNLSRTITEEEKPREIAKEVLEDDENSTVAKIEYEIRDRWFMKYKAILDLCRRQQEPLGDIFTRVDCVVRRFTLWSEEIYIQQEVIEKLARTLCDDTTHFMLHTNFQHTYQCLQNGWYDQLQWNETRDEILGETDFDGVVGSEASEEGESSLFGRTGKSLNSLTKAQLVATNVNNDFMFSAYKETTNKVIQGLVSSLCQSTMKEVGKDKPLDKVPEWMGVVDDLLLDDDTEEVMETLFSAIRTVAPQILSKYFERGSGTDFNQDKSDDSQEVSDSHANSEQQDPVQEDVVEQQQQLESDVQSSSSGGLPYNLRPRRASQGWKRRSSQAQRKKKKEDDEEQGRGSGLDGEDGKEGDHGDVKDESSNQSASTESLLQNHSCHQGGKFVKNAHTHTFDLSGTTILEIMGGGVTDQADPLVPTLSNVCNPLSHQAVQQRTNSQSLTNRSVRPAKFRRLVASRLLADTLSPSPFRRRRQLPLHPPNRSLWRQSSFL >CRE02692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig361:36:338:-1 gene:WBGene00083096 transcript:CRE02692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02692 MKMHVKLSKPKTPQDALETALSVEGVMTIPKHTDVLSNPRVLAATAGKVTNRDNSLRESDDVRRSSVSSQQCYYCQEEGHYAWQCPEKTRRHHHPGSSRAQ >CRE09854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig362:5921:6493:-1 gene:WBGene00083097 transcript:CRE09854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09854 MIIGKHTLVPPQAVVPIKILTPPSLVCVNMRILITESQIGDNSDNGEKPRMLNGMLGVVLSIYPAYGMVEKILVRLENGHKYRIRPIPRSLTGELYWPFRPCYATTFHKVQGMTLRHVVVDTSHNLKDGMFYVGCSRVQSRNGLNIVGHVPRSIKCDQTVLKIQQEINRSSII >CRE09856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig362:11943:12181:-1 gene:WBGene00083098 transcript:CRE09856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09856 MPSKNCPKSLHTCQLERDIFIILFGLTVLFNLIQVVYWNRAVINRVIRRKAANIVQDDEDPMI >CRE09850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig362:20344:21193:1 gene:WBGene00083099 transcript:CRE09850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09850 MANKEVLLKAHECDADKEIALQEISNIHQGKFSISEYAEKIRNLGTYAYDYLEATSRDHLMSKDWKTMSDAFVKLHECEADKEVALQEIATLSQGKMTIREFSDKIKRVGSYAYDDLETVSRERLMASQFLNGVHRNIRTEIRRLPTVPKTLWDMTLQAEKISRLLKIEAEEAFFTIPEYYRYTIALLFILIINF >CRE09851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig362:22949:26239:1 gene:WBGene00083100 transcript:CRE09851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09851 MLKSRLSSTSKKLNSLNNEVLSMQSGITALGKATYDMYEKEEAKIFHSIEKLERKINDLENELLIFEKAENDIGDDIDLNKKYEDQIDKILEALENGREALWDLKAHQKRFKSMKQVTEPKMEIPGRTRTEPEIEVQMDKMSMPMIKIPKFKGVRWEWPNFWTIFEEVIGKSHMSDLLKLNNLLMHLEGEAKELAGRYQLASENYPKVVELLKERYADRTATINELNKRLMEATSSDFRIPAQRRLWDVVRTILDQLRGLGQEVDNEMLKNMVLKKFNFSIQEAVYRDKMDLGDDTKWSMEQMSRDIESTIKRNEYLAMQMGREEKRGSERDESKAKQRSPKQNEPWKRQKIECILCGKPHFSSMCRTITDVHERLKIIQQKKACKGCYRIEPAHGKNECEGNFLCRECNDKHHYTLCVKKKQTSSQGQNAAKKTEDSRHASSSKKHMNVTTIRKESEGTNDIEKGDQRQYVMSTASDTSYLATLKTEAYNQRSEKWDFITILIDCGASQTFIDEELMESFQLPVLKKKNFLLQTFGDEEPEEKTYAKTKIKIKLPSEIIEVKAIVAKTLAGSMQKAPLSDEDKTFIMMNGLRLNEDSLGTKVKPNMILGTDFLKRIWQGKMVELPSGLNLLKTALGYATIGRADTELIETSEENEKHSIFVAVSAEETEATEIVNRDIEDRQRNDMSMKSSKEFSGPLKEEKAQMERETRRHFKATIEKREDGYYVRFPFKEHEGIPDNKPICVKRLVNVTKYHNTEVLKMIDDIFNDQLSKNIIEIVLDESQWKGLLHYNPHQPVLTPQKTTTKCRVVIDGSSHFKDKLSLNDIIKQGPVILPDLVEMLIRFRAGRTVMLSDVEKAFLQVHLHEDDRDATRVLWLKDYRQPPTPDNIVVYRFTRVLFGLNVSPFLLGATIEHHFESHPNRELAQEISTNLYVDNLILTTDGDLTTALQLYRESKDAFSDMKMNLREFLSNSEEFNESIDEKDRAKDLSTKVLGIEWNAETDEMRYAIKIEKSIVNSRRTVASTIAGIFDPLGKLVPLILPMKLFQRKLWNETYGWDTPLTEEDDKEWNQSIEAVNQFVKTLPRHVINNRDQIDW >CRE09847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig362:345:1770:1 gene:WBGene00083101 transcript:CRE09847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09847 MSCKSKKEVRPSSSLNSTETGPIQEVSKQPDQSEMIIQPIIPSSNFNTSRVKLPDQSQCTVFLLSTVFVILISRMCLNCDLLCMTTNSPIWNPDKQLSEQFSEMSQFDDGQQPFERVVNKLVLKAREFKEKFRIQQNAVEEAIFQKSRIVDQLRNKKETKDKLQLFQSLEDTRTKMDSALMRAQAAIRELRRQQRTAQLLVETGVGEQELVDNKMADFGINVAEERKSLEYLEQDVERERVEFSNLLKQVSDTMELKIEEIQETSEAVEKKIDVVQNDIKKGFKNEQFFERMARLLEYRLSRVEEIQTAGASASTDNQVRIVFKETGTSPCQLDRSPSPVRRRSPSPVRRRSPSPVRVRSPSPQPTHSRRQHSPHEERNRHLGSQQGTGSSFPVRCVFCLEEHLSDTCRRYWTTAARRERLDQRSRCYRCLRPHPNAPNHRCPPVKQCYYCNSSGRHHRSVCRQRPQ >CRE18387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3629:767:1261:1 gene:WBGene00083102 transcript:CRE18387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18387 MVFDDASWDTLINDSIDKFVQGFEKEFRENAEYRKEVLRYERWRVAKKREQIKRNVSEQKQEWDEKQAYAILQRKRELKKLKKRRKDQKRKKVMKIRRRTWIAFRIFVMIFRSDIVTLDTLGIEFKNYKHANPSPISLEKTFVPRNKKKKKLHLCYEYRKLEYG >CRE19542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig363:38:10293:1 gene:WBGene00083103 transcript:CRE19542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19542 MSDRHFRILRNMYSENVRQTFSNTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRQTFSNTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRPTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRQTFSNTSEHVFRKCPTDIFEYFGTCIRKMSDRHFRILRNMYSENVRQTFSNTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRILQKMYSENVRPTFSSTSKNVFRKCPTDIFEYFGACIQKMSDRHFRILRNIKCPTDIFEYFKKCIQKMSDRHFRILQKMYSENVRPTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRILRNMYSENVRQTFSNTSEHVFGKCPTDIFEYFGTCIQKMSDRHFRVLKKMYSENVRQTFSNTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRILRNMYSESVRQTFSNTSEHVFRKCPTDIFEYLKKCIQKMSDRHFRILQKMYSENVRQTFSSTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRILRKMYSENVRPTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRQTFSNTSEHVFRKCPTDIFEYFGTCIQKMSDRHFRILRNMYSENVRQTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRILQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFGKCIQKMSDRHFRILQKMYSENVRQTFSNTSENVFRKCPTDIFEYFKKCIQKMSDRHFRILQKMYSENVRQTFSNTSKNLENVRPTFSSTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRQTFSSTSEHVFRKCPTDFFEYFGTCIDYIATYTRMLNNRRSVAEVYLEDYGYTLTFPFSPVIADVLGRYHPIESFLDQNFPNWKSLSDDILNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIASYLKREWAVQSNHPSGPYVYIKKDENSLYPIDLVHHIEAETDEEIQERQQLEKKERWDALISVSKQITVLGRKHGYVKDLNFKNELSKKVEKLARRMIGITLNT >CRE26827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig365:43173:45330:1 gene:WBGene00083105 transcript:CRE26827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-taf-3 description:CRE-TAF-3 protein [Source:UniProtKB/TrEMBL;Acc:E3NK01] MCLDIFNGWNPIVNGCTLASYVMFVMKHEYIKQGDVGYVPENGMNRGNNSMLALKYLQWLEKNDPSLKLKYQLKGGEHQIQANGHNYYVDGYNEATDEVFEVHGCLWHGCKKCFPNREKKNRMCGDLSAQESYDRTIQREEDIRGVVKKLNVVWECEIYEMMEKNKTMKKFFELNKYTRCLLPREALYGGRTQAFRSITKAFEDTILNYFDYVSMYPYLNAGGTSYPRGNPKVVTDTDKLPKPGGLLKLRGLVYCDVLPIQDAAIGYLPQRICKKLMFTLCRTCAESQNISGTCTHTLVSQRYLTGVWTTEELNNAISKGYKVLKYHEIWYWPDEAWVKGGFFADYIKPLLKLKHESSGWPKENMSDAEKEAYIGRIFEKDGVLLDASKIKKNTALRSLCKIFLNSAWGKFGQNPMKSETRLILKADAVTLTNFFNDARYEPVSMIPFGKHKLWISRKPKKETLRPAPFTNLAIAAITTSAARLRLTEAMEKVGVENMIYCDTDSLIFKQKKGEDPLKDLKGEQLGSLVSEIPEGNELVEVITMAPKVYALKIKKADGTFTYCVKAKGMTLNSGNSKKITFDTMKKSMKEFIEYGVTDALEGNMMTFKRGEHALDGLWTCVLKKRLSPRMDKGHYVEGVVAPFGQLQSNVDLIDDYPF >CRE26831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig365:48603:48968:-1 gene:WBGene00083106 transcript:CRE26831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26831 MTDFARSKESLNLLNTLFTVYAHHYNCAVFNLVQSAFALPPVTRNNSTYIILMRSLSDAAQIKNLLVQQFGTSWRGAHQAYQDIMSKPYQAMLINNDPHSPPCMRILSNFVDEYPISYEPV >CRE06276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3653:1982:2344:-1 gene:WBGene00083107 transcript:CRE06276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06276 MGLIFPSKKVSSDDAAADDVQTNQSNQKGKVLGPSAIVPVAPAPVEEAQQPVEEVAVPDEEVPAPVDQDAAQPVEEHVPEDPISPLIALMVRNQHTPFPYNRAPSLTREYRRETQSFFPT >CRE07196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3654:2091:2492:-1 gene:WBGene00083108 transcript:CRE07196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07196 MMPRSLQCELGDVDKVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNTDRRPLSGLKKDLGKGCPIAERRGKWLRRSSTFSKPHGITEVKGNEYSRGNRKT >CRE25941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:14205:14660:-1 gene:WBGene00083109 transcript:CRE25941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25941 MCPVITKRKEFYEIIKSEQCQSAKMVYIDSPMGTSQFPLRALYNCPRFTLKLGGGPAGGLIAEFLKKLMKKGKVEKCVIYAQSRIMKYFDEPEAMVPECPSLRRFPIPGTNDFYELEYRGKLGERFVRLERKQ >CRE25939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:271:1357:-1 gene:WBGene00083110 transcript:CRE25939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25939 MTDILNNNQTNLRICILYEFTKGMKPFKTYKKLMKTLGDDSMTYPEFEFWFMRFAQGNFDLDYDYSLEPKKRQITDLPVEIFEKVGDSLDFVDRNHLRNVSRDIQFRVDNWNPKVTEITYHRFDFCSIKHNSETYKYYQPTSQSYYEIIFLFISQFFFRKPLPIVSRILKNPKLRLKKLTVSRDAKWKIIEEELRKSPTKLHVNHLIVRNRNGTIDLSLLAPESLEEVSLFINEKSVKKMNEILQSWQYKRLKMLTIRTNLSPWDFPLESFIGYPRFTIKLRRTRAELKVAEFIKVIADFVQKHEFFRKNISTTRVLQPRSTETEENCVRN >CRE25940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:1745:3582:-1 gene:WBGene00083111 transcript:CRE25940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25940 MADVLCKNPMSLRGCILYEFLKKREPFKTYKKLMKTLGDDSMTYPEFEFWFMRFAQGNFDLDYDYSRRSVKSPICRSRSSKKSLTYPEFEFWYMRFAQGNFDLDYDFSLEPKKRQITDLPVEIFEKVGDSLDLKDRVNLRLVSKDIQFLVDNWNPKVTEFTYLSSDRWKIVQNSETYEFGNWKTHAQSASIGPSLPLPAVVRMLKNPKLRLKKLTNSHGIIDLSLLAPESLEEVSLRINDKAVKKMNEILQSEHCKQLKMLTISTDLSPSNFPFGSFIGYPRFTIRIHPNRSELKVVEFIKKLIKCNQLKLCKLESASYIYRPTWESISAHLSEENTLVPDSPNIRRYPILGSTDFYEINLGGASISIERKS >CRE25937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:10216:12101:1 gene:WBGene00083112 transcript:CRE25937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25937 MTDFIINNPITLRGCILYEFTKGKGSCETYKELMKTLGDNSMNYPEFEFWFMRFARGNFDLDYDFSLEPKKRKITDLPVEIFEKVGDSLDFEDRGQLRLVSKDIQFRVDKWNPKVTEFTYYNFNNWSIVQNSKYFSSVFRRSSYMTRYLLSEAVKILKNPKLRLKKLSVNPDAKWMKIEDKLRKSSIKLHVNHLIIWNRNGTIDFRLLAPESLEEVTLRINEKSVETVNEILQSEHYKQLKMLTAETDISPSEFPFESFIGVPRFTIKFDSKKPAILKVAKFIKTLMTCPQLEFCKLKCSSISWEPFKKRFNQKDTLVPDSPNLRRYPIQGSTDFHEINIGKTYLSIARKS >CRE25938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig366:12486:13812:1 gene:WBGene00083113 transcript:CRE25938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25938 MKTLGDDFMTYPEFEFWYMRFAQGNFDLDYDISLEPKKRKITDLPVEIFEKVGDSLYFEDRVNLRLVSKDIQLLVDEWNPKVSDFTYYDFNYWTIVQNSKFYQFDGWKRPNPLPAVVSILKNPKLGFKVLTVCQDSKWMKIEEELRKSSTKLHIVGFTLLNNTVYPIDLRLFCLGCLEEVSLSIDGEAVKRIIEFVNPEHCKRLKMLTIETDRCPSDFPPNSFVGYPRFTIECYEGYCAKRVAEFIKKLMKSTKLELGILECVPSSSDYHANWEPIKKYLSVKDTLVPDRPNIRRYPIRGSTDFFEINIEELRIYVYSEKIFKISLIFC >CRE13878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3661:1927:2274:-1 gene:WBGene00083114 transcript:CRE13878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13878 MSLKRETALYAHEHGISLISSSLGISRWKDMQQINGCGIRAAAHYPQIEYWDYNWRKSGGAHRMIEISKREEFYQQEYCGCVYSLRDTNRWRMKNGRDRIKLGEKFYTNVMDEEN >CRE13876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3661:515:1903:1 gene:WBGene00083115 transcript:CRE13876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13876 description:Methylenetetrahydrofolate reductase [Source:UniProtKB/TrEMBL;Acc:E3NWB0] MSQLSQYFAQNQFCCLVEYLPSKQEMLPVATQLAGFPVCMTLSDRVRSDDDIAPLIAAQSYPQQIEKVVHYAGKGRDIHDFNLFLTQAKQHGQQNLLLLTGDKLKQHHDGRGSHARTRYLESVNAVIAAKQQGGFHIGVALNPFKYSQAEKQAQYLKLHKKLQAGADYIITQLGFDLVALKQAHEFLIEENYTQKILACVMPLTLARAKFMLKHNVAGIVITPHMLEVLAQEHDSDQTENTYLRCALQILICQHIGYAGVHLSACHKADEQAILTGYIEQYRHLDLKQCQQLWAQLWQLKTGNELRPAVVEKSKLRNLQQKVKYQFLDTIHSTMFNSSLVKGLGAYIFSANFWNKALAAKLLLQAEYLSKHFIVGCESCGQCRLAETLYICPETCPKGLANGPCGGTHLDRCEFGDRECIHSVKARLAEEVDQIQVLKKQLIPTVPIEVRGTSSWKNWYVKQ >CRE31548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig367:12032:12567:-1 gene:WBGene00083117 transcript:CRE31548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31548 MASDLQQQLAASSLYDAESLKAFNQMYNSSQNPYGNGFDKNYPASALPFFQHFAPYTNPNATASSFSPSGSSTSSTSSQQHQHPTKKKPVPVPAEQKDETYFERRRRNNEAARKSREARRKQDNDNGTRVIQLEQVKVSGVSLTRF >CRE31549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig367:44739:53267:-1 gene:WBGene00083118 transcript:CRE31549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31549 MVSRNSSTVTSIFNSLFTCFQPLFSFRRRVTETQNNENITEMETESPMPIDEEIALEAAADPTMEKLREIEFSIASLEKGIRKEIKDYAIGTKESEIEKVISTCEECQRRKNPSAYCHVLPMGKWEIPNRPFQRIHIDVMGPLSETIHGNKLIIVATDAFSKFAIAKATANQTAETTLKFLLENIVSIHGIPEEIVTDQGTNFMSKMFEEVCRILEVKHSISTAYHHETNGAVERLNRTLEEMLTLSTSNPINYDNWDEKLPLVIQSYNAGYHSSVKYSPEYIIFGRMTVSPTDIMIKTLRPIYRDEEDMVENLSESIRQCHEAVYGELENTLKNAKKAHDKIRKVRVPIFEVGEKVVIQNPTAKETHVPNFHRQSQLFH >CRE31550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig367:55936:59573:-1 gene:WBGene00083119 transcript:CRE31550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31550 MASDLQQQLAASSLYDAESLKAFNQMYNSSQNPYGNGFDKNYPASALPFFQHFAPYTNPNATASSFSPSGSSTSSTSSQQHQHPTKKKPVPVPAEQKDETYFERRRRNNEAARKSREARRKQDNDNGTRVIQLEQKDEEIAIY >CRE30241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig369:10693:12136:1 gene:WBGene00083120 transcript:CRE30241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30241 MNRQISLPVEILDMKIDVSLTCILCGTVALKKENEVARCNECQFKTEEFCKMKVNVLYSPVYYEVDLNTQAIRQFVTNVVKLAGKDQSEWMNINLERYWPTWRNKKVEMVLCLREETWSCIGIKGLEEDSKPVSYPQRQESRRRVSRKGEDNGFEYREVKVEEVEEPVYGPRQMSENMTVQGEEQAEGRKRRHEIGGGMRADEAVVRRNQRSRRGKAEHAVLSNIDDGDFENKVEHRTISEDFNDGRIREYDEMEYDNFEAEEGDGRQRMMENGDLSGGDGMDMDLDLGRNSEQESYEDDGDEEEYESDQQPKQKQTKKRSAPQTVRTVTTKTVSNNVQSVINATEPRREFSVHQKEVLNNEFKKDPCPSKKEYQRIAKKTQLTSKQASTD >CRE30242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig369:15613:15866:1 gene:WBGene00083121 transcript:CRE30242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30242 MNRKQHPDDTGQQMLKGTDNTCVQDAQDVSVLITIHQITDAHQPSHVTTATAAADTAEVFADNDHMFA >CRE30245 pep:known supercontig:C_remanei-15.0.1:Crem_Contig369:19819:22081:-1 gene:WBGene00083122 transcript:CRE30245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30245 MSTFWSIPALEWNNASLLGCRQHQCGIFWTFHTRIQRSCWKKLVRHRQSGLGSMDETSMSPSKIYLELILAYFEYMGLKGFKNRHLWTNPPDKGVDYIFNIHTDSQKYLNKDGLIAWYHKILQQGKTTRLLAGYRNFEEEFKKKGFNHPIDLPVFVNSLWCKILKSFNNE >CRE23403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:26893:27363:-1 gene:WBGene00083123 transcript:CRE23403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23403 MGLDDWNIEKLVDDTARRIAEGMVEVLEKAFRENPRFREQVLQLDRMIIAELREQVKDNVSEQKQEWVEKRAKAEQKRKQKLKQRKQQRKIRKKKTKRHRRRTWIIFREFVMIFHCQTVALELLGIKFESYEDANLSLIILEEAFVPRNKKKKKLN >CRE23448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:158420:159513:-1 gene:WBGene00083124 transcript:CRE23448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23448 MPSLPDIPDIALKNILGNCDFRSILALRKTCHRLRNFIISTKPESHITVIRIIPKPEAISLVLKFGDSEQEISTQYHKNERGCVVTWDPIKSKLVKNENFISVFMRDLEIALEHQKSTPLRFFQMMLLCGVPAGFFEKLKNLLESRPQKVHNAYLRVETDEEILSILPFLDPDVLKILDISSSKNEEEPYLDLAEISELEQWKKAKELVINCVLRGVPVQKMLHFLNVHISLERITMEDVSVLKEALTRSPEFDIFEIRLLENGLEGALIEKYGDSYQDIDEEEKPRKQWFFEMQNSENTFSIVYYDEYIIFENLKPVYVPTDAVILI >CRE23373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:459907:460859:1 gene:WBGene00083125 transcript:CRE23373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23373 MPNDTLQSSENYNSYHYILIFIYMIPIAIIFPFYIHVFRINQERDKATPMFPMINHFHKILKIVYIFMYAGFIANIGLALEAKSFVLWISVSVMFIVVFMLDLSAEVSHFLLSLLVIQRFIVYFFPTAERLVKFSSRTLWSIHVMFCLLMIIEVLCFVSSEMIYIGFNCFLLASALLYIPLALSIRKMSHLASAKLNKPQRHVMWQLIAIVVLKMTCVPVFWIVEDDVMTVINKCKLIDSFSTPLIIQLSYLGCNRRNLQTFLYSLKLKNVFKVVCCPWIQRSQVEDQSINLSWLSPGGY >CRE23529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:573663:574373:-1 gene:WBGene00083126 transcript:CRE23529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23529 MFHVQMEIHSFNSDLDLKKSLSTPIAPRIAEPSSNGEKKLIDRALEEKRKGFRRSCTAYLMLQKSST >CRE23330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:230621:230839:1 gene:WBGene00083127 transcript:CRE23330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23330 MSNWIERRVKSSIRTERFRFKRGHNLLDGIETVLIEKDLRPITDKGLFDACGQTIPYGILPENSILIQDYRY >CRE23496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:339268:339456:-1 gene:WBGene00083128 transcript:CRE23496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23496 MIPPDEVSISSTPAATVPTHEPFSPPPEVTTEEVVTTPQTEAPTSNEPPMTETPTDRESIFS >CRE23424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:108556:109233:-1 gene:WBGene00083129 transcript:CRE23424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23424 MLLTHQKSISRELDFIALRALGKRTEFWDTIRRVLEDTRAATGTKSLLKKKSLTLRNLMAPDVFSILPYFDSNCMENIHLTGEYKEAQPLNGIVELPLWNHLKNVTLDHFFIGNIPQNITHLETFTGNVREITAEDVIQIKNVSILNAEQFLQLFFQMMLRSGQLKCCYISNNWNQDESFQEALGPIFVEGEGQKTWKFDSPIPGNVLRVTCNGRLICFNRILTR >CRE23407 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:42104:44275:-1 gene:WBGene00083130 transcript:CRE23407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23407 MFLIKKCPHPIIIPAFLGLLFGLALCCVSTFLPEWTTDGLGIAPYEGNYLTSEIWAVWTFIMMAASIVVGIILFHLERRGFTYLNRVFFIVLMIFTFFNGLIILGAFVLFDEGICCHLKGYGKLTFGISIYFNWGAGACYLLSSVIWLLFVWKFYHPYIPNHFVNKNNTWM >CRE23539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:644319:646378:-1 gene:WBGene00083131 transcript:CRE23539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23539 MDSPLNSSVRQHMITDDALSNTPLLISALVIYLSLQFLTFPFYIHIFRKNQERDKFIPIFPIVEHFYKLIKLAVLSTCLILAAVVLIQIFDLETVKLGLLILMVSVFPISEILCEVTHLILSLLAIQRFLLYFFPSSDLLLNISAKNMKRLLYFVYFLSILIHITIFTIAAVEEKLHDFIKTLYYAFLNLLIIVSTCLYIPIVISLRKLVHLQSSQLNHPQRYVLWQLVVILMEKSFYTSILFLYPSFTAYETMRMFTAIDVLLLPMTIQLTYVGCNRRNVQSLLASSKSILKVFCCPCVPAQVYVGSTLEPVAVGFDVSTTRQE >CRE23303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:46120:47209:1 gene:WBGene00083132 transcript:CRE23303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23303 MTSEPSLLQMPDIALNEIAKKCDYVSIQSLRKVCRDLRNFIEDIKPQYDFTNISIKLGAFHLYLIFNGPANIWEPPPGKKVTILYKNDRWNCSVSLKKSSRQRSKLLRDTNFVECFCRDFAIAMRSQKSIIQNFYMSLSDQKTDRVWEVLHAELFTSETSRIVDFLQLLDPNYLETIKISGDCFMNELTEMTEVCQLEQFKKAKELDILTFFISCPVENFSHFEKVTVWYMGVSLEMLRSLKEMFITSSNLSSFLIHSMNCDRDMISTVFGHSDEHQSTEDIIKWVMMIPGSDDVIIVEREPFRVKFERKKKN >CRE23342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:284896:285383:1 gene:WBGene00083133 transcript:CRE23342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23342 MLNLQEIRQLDQWKNSRCVEVVVDGFHVQIQDFLNFEEVDIYCRMMAVQDIVSLKENFLASSTLSSFDIQTDADFNESEQLHASFGLPSLPVDQGVFQKKWFFRIPNNENVLSVNLTMADQLIFRPLFLNRVSMSVPILSGRSLR >CRE23433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:120183:121385:-1 gene:WBGene00083134 transcript:CRE23433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23433 MSLEPTLLTLPEVVKDHLFQYLRYIDIARLHKTCKNLRDYITVSRPDARFHVIDISQYNDSVYLDLITKNKEDSVELEYKKAEQGCSILTNVGFRCVNSRNINGLDFIAAFCRDFEMVLRHQKSVLKEVEVCQYSEKIYICETIRKMLEDPMKKNNQITTKDCKLTDLTPSDACSVLSLFDAKCLKSLRILGVPILMAPIDGILQLDHWKHLESVTLWYFGIRNILPDIVHLRRFEAMTTMTSEEVIQLKNLVLQSTQLTYCQVYCTNWSTDNDLYAFFGSNYVLKLDIVKLYAYKSRKSKDVWYVEVEDQYLTFEKLSVENVPKNVSIIEYD >CRE23460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:191538:192426:-1 gene:WBGene00083135 transcript:CRE23460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23460 MSLFNIFSCCLSSRPKYYKWKRNQKVFLLDMPDVVTIEILKNLDFESIRKLRKVCHAFRDFIDCVKPDSNSKSIYLKVIGNFIVIYTTIPSTPSSTNDSFDLCIDDFLWTRLKHQKSLLDELSVINKLDFYNILKNVQTFEKMFDRLINVLESRDRLLKVKSLMISVHGQDQLMQLLRHIDLKMLKRLEVFRLLEIEELSDNGDDNSEFVLNLDILKECENLEKLHVKGFSISSPFRMLTHIPNLKVNMQTIWQ >CRE23453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:170474:171645:-1 gene:WBGene00083136 transcript:CRE23453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23453 MSLFKFFSCCLPSRQSKRTPVLDSPVAAVSPVAVSPTSPNIQDVFLLDMPDLVMREILKNLDFLTIQRLQKTSYSLRKFIDNVKIDSGLKSFKIEMTRDTMFGSATVLIQGYSSSKFIKSNYIDNEDGKCEVKTGDCNIIVNGNFVDVYSEDFLIPMLKNQKSLLTTLKLGRGDTLVPGLFEKVFDCLMKVLESRDRLLQIEDLEVSVQGQDQLMQLLYNIDPKSLKRLEVRRLVENDQKDNVEIMLDLSVLEDSENLKELRVFNFTISSPLRSIAHVPKLEVYIHTIYCDDVLKYHDVSQANPTLLKTNFLSTSSINYQQFLDKLRFKNILGPSDRRFYFPISGTILLSHYPSLKHMYWGPNH >CRE23352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:365558:367280:1 gene:WBGene00083137 transcript:CRE23352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23352 MSSQEIISDFVQRIVEIHPRGHIESGINPLLETSIVPDNGSGRKMKEVLYDLNFNAYGYTLYGKHVNKGLTRSVRKGCTTCKRRHGRPYFYPFATSLPTVRTQSCRPFQHTGLDYFGPIGYKTDTGQPGKLWCMLNTCLVTRAIHLEAVTDNTTSSFLLAMRRFVGRRGSPRTIISDNAPSFTLGYTMINADINTLINSSQTLTSYLASKEIEVKQITPFAPWQGGVYERIVAIVKNMFYKHIGRLQLSFLEVETLLVECEGIINSRPITANPISISDSEAIRPIDFISPQARLSFPNHLEHAPGTPIGITEKQTREYLKHLDNIRLQLWDQFYNSMYTSNLAPTYKPHSHCTVSPKRDHVVLVHTPNVPRYRWPLARITELITSKDGAVRSVILKCKNKLIERAINQLIPLELSNDDSPPVTQPLASDHTSATSTSRRPPVSRN >CRE23348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:351073:351917:1 gene:WBGene00083138 transcript:CRE23348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23348 MSGTPATDPPADGHPMEIDPVFPPPKTAEKAPSKNPIAPQAPKEPRKVTAPMVNRLVEKLEEVDKKLDIWIKAGSRRPHAHTTEDGSLPKKMLPQCIFCHGQHTANSCSVYTTSEARWARLGVLNGCRHCGSVHHLPSKCSKRARKCWTCGDAHLSAMCVSTNTAAHVDESSTSVYTAQSSMSNASTTTHH >CRE23298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:2863:3745:1 gene:WBGene00083139 transcript:CRE23298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23298 MTTKGLLLCAISLAIGVLVESADVIIEQLPKEDCDKYVKDLNDQKRAMVKKLNIADGYELTWDPEIVKKLNETLLKEERIDNESGSYYLDRTVSSSNSGDFFATPSYFQGSLGVPGSKCAAGYANNDGLCSLIPPTTTTEKSLTKPPEKPSSEAKDKDSVEVTEVTSGSSPFSIIVAFLLFFVSFTYWF >CRE23314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:96567:96896:1 gene:WBGene00083140 transcript:CRE23314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23314 MRKTCHDLRNFIDDTKLTPHANAIYITMESNLISVDIYFDNTDQPLKLEYIRRKDGCQVERYSRISNFLKNQNYIKVFCQDFQIFLNYLKNQKTPIRSQNLPSLSSSPQ >CRE23466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:217087:217623:-1 gene:WBGene00083141 transcript:CRE23466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23466 MSTAQTNAQVAALNDTMSSLTATPTYNESREEYLRKKREEHVPPTAAKAKLDSRFLYGETTLILHMCFDCRRLSRNTMVETIGETHQRVGVILCNVCANTHKAGMFSKFYNFDLHNMKRKPARQGLEEGEPAPKKLALDPSSTL >CRE23513a pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:437173:439011:-1 gene:WBGene00083142 transcript:CRE23513a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23513 MWLKLCILLIYSISNIAALNCTQTQDNQIYEGSEIHIPGGNGDLQSIPANFHCVYRIPVPRDSAYKLYASVELKNGIRGVNDYIIVTEESGNRIRMNNRTGIGGNPYRYIVTPGSEMTIEVITKSVFMNTKMAISVYYRAYCKQLPDSDISNGKTFSIPGNSLQPIPTLYSCTYVISAPTSSTTGLYANVTLISNMNGLQDKIIVTDITGANDWDPDINYYDYIVIPGSSMSFQIKTGELFMASMFLIRVEWHEVNIGPTKPMIRDEINYVDLALLKDDTSVFNSVTFSANEQVDYMKNCILPHCVFFFQLVVNDVTYNISAWIDFPNCFVIDGNITDQKRVFTFKNFNSFYFESESNYMTFVMFSKGTNAFVLNLLSVAREYNYPFATACGYQGVGTGLNSSEYSPGQKTAFEVINFESVGIKLTHLFIHSETCKAYIVSGSPSNSNILLDLSTAEVPHYFDVNNLSVVDENCDFSIFFAQSDSGVLELN >CRE23513b pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:437173:439011:-1 gene:WBGene00083142 transcript:CRE23513b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23513 MWLKLCILLIYSISNIAALNCTQTQDNQIYEGSEIHIPGGNGDLQSIPANFHCVYRIPVPRDSAYKLYASVELKNGIRGVNDYIIVTEESGNRIRMNNRTGIGGNPYRYIVTPGSEMTIEVITKSVFMNTKMAISVYYRAYCKQLPDSDISNGKTFSIPGNSLQPIPTLYSCTYVISAPTSSTTGLYANVTLISNMNGLQDKIIVTDITGANDWDPDINYYDYIVIPGSSMSFQIKTGELFMASMFLIRVEWHEVNIGPTKPMIRDEINYVDLALLKDDTSVFNSVTFSANEQLVVNDVTYNISAWIDFPNCFVIDGNITDQKRVFTFKNFNSFYFESESNYMTFVMFSKGTNAFVLNLLSVAREYNYPFATACGYQGVGTGLNSSEYSPGQKTAFEVINFESVGIKLTHLFIHSETCKAYIVSGSPSNSNILLDLSTAEVPHYFDVNNLSVVDENCDFSIFFAQSDSGVLELN >CRE23387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:605068:611242:1 gene:WBGene00083143 transcript:CRE23387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23387 MQLTRSQESSLNPMSTKRNSEICSVPFCTADISRYQCEYYHPKTELKICRTCYYPKRTAINKSQPNIVCAPDTNPPVLIDEPSKNHKQIGSGSQVSSLDPMSTFLADVSRIYPTIDSIEDAFDHSDFYDHSSHDHVSGSNKNRYAATGNQEENSDIIATVVSNCFTNANLFPEIEISDHRLMSNSEICSVPFCTADLSRYQYNFYHPKTKLKACLTCYYSKRCAINKSQPNIVCAPDTIPVLIDEPSKNQDKTAPGSQESSLDPTNPFLTDVSSIYPTIDSIEDAFDHSDFYDHSSHDHVSGSNKNWHAATGNQDENFDIIATYIINCFTNANLSPEIESSDHRLMSNSEICSVPFCTADLSRYQCNFYHPKTMLKICRTCYYSKRCAINKSQPNIVCAPDTNPPVLIDEPSKNQDKIESGSQESSLDPMSTKRNSEICSVPFCTADLSRYQCNFYHTKTKLRVCLPCSRFFWKHKRDRSEAELSDTCSKCKVKTKKQYCLRTQLPLCSTCYYSQRYPIRKSQPYIFCEPDTNILTLIDELSKNHENIGSGSEESSNLLPEIEISDHRLKSNSETGQVNFTKSGAYKSVHSEPVSKQVNFKSQKKLFPFSYAAPQLVSDVCEEPIQKPKNTLFKKEKPIRRMRQIQEISDEEEKQKKVPVMTEPGVCSIPVCKKFIPIGHGIRHPSTQQLICRSCFISYKKYGVERTIQQVFDECTNCKINRSDKHHPRTGEPLCSTCYGHYRRTGHDRVDFSRSKSYKRIHGDQVSKHIRFNSAAPQLFPDVCEEPI >CRE23477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:258486:259598:-1 gene:WBGene00083144 transcript:CRE23477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23477 MPSQILLVDFPAVVKSKVFENLDFLLILKLRKVCYNLRHFIDENPPKSLCWNVRVSTFSEIISIKFESPKNMIISFKPIENGCVMSWSNDDMLKSEEFHDESYIDVYLRELGLIMKHKRRPVLDYFSIELFHGEDGEQVLKELTRIGCVSTDEVIFDRCSLGQIAKFLPFFQSNNMNKIRIEGPFKQERENQGNDIRPFKLQQIKHLEQWKNSKRVKIEADDFYVQIQDFLHFEEVDIDCKMMSVQDLVLLKESFLTSSTLTSFEILVYDDFKEREQLHLNFGHPSLPVNQTNLEENWFFRIPDNRKVLSVTLTIGDQFIFRRIEIQDVPEGAVIRD >CRE23500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:368663:369468:-1 gene:WBGene00083145 transcript:CRE23500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23500 MGYAPNDCKLRPEYDGDDSYEVIEGSEGSSTKKIDLS >CRE23310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:68517:69519:1 gene:WBGene00083146 transcript:CRE23310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23310 MSPSFLEIPDLPMEMIMNNLDFFAIQSVRKTCWDLRNFIDDKKPGMGIELISIIQRDTTVNLTIFDTIPENLTITNINYEKHENACKICVTYNGHKNKIVQNLNFLDAVFHDIKVALNSQKSTIKKVFVDGDTFFEKIEESMKSQKPFATESIEIRGRCLEHVRQIMRCADPKYLKSIEYSKAAIKIYETVNLESSKNIQNLSHFITASIQLENLDVETLRAIKENFLQFHEYDKDLVVHNIIENLFIDAFGAASESLGEIWKIWYFNVPDNKEKVLKVKNAFMFFEFKFVKKCDVPEGCVILD >CRE23515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:447613:448531:-1 gene:WBGene00083147 transcript:CRE23515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23515 MSSNSSNFEKLFETVYAISRINTGTFIFICIFLPVYVFLLILILPFFLHVYRTNFEREKATAVFPIIQYCKQIVTKFYIIFTLMLLSFVSAYLLSLGAIGLTLCVIFCFSLLIISEVNQIILSFLAIQRFSLYFFPRSERFLNFSENTLDYILWVLYGTSILTKVISFVFVIVDRELALVFFQIHFIFLNFFMFLSVLLYIPIVISIRKLDNLASAQHNKPHRFILWQLVVIVTEKSISIPAIYTTLTGEMDHFLGKFTSGLSVTPFSNPNHISRMQQTESGYFIEVIIV >CRE23441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:136991:138069:-1 gene:WBGene00083148 transcript:CRE23441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23441 MTDDSALLRLPTVAKNHILYFCDYLEIARLRKVCHSLRNHIDLFKPDSHVKEVRLGARERAEICFDSENSSKIWIGYKEADDGCTVACKTHMGSPPATTIYGLDPMDALMQDFKIYLRHLKTPLTKLKLDEVSCDPLLQMMRSQTFKLKTNKLHLSELSSAQILAILPFFDANCLKNLIVENGEALGVFQELFETEIANVRQISHLKKFIGHISRATATDVEFLKNTFIRSPLFKRCTMEVDVDIDVLSIKEAFGAPSFDTMEGHSNRWHFHIPNDTTSVLLVEVICKQILQINRINRSIVIPGAVIIY >CRE23391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:640617:643495:1 gene:WBGene00083149 transcript:CRE23391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23391 MMSVPEDLPLSTPNIFGAFRYLWKFSPYNCQKGNPIRIFFLLFSLASFLGMIFRAWWMFYMVDVDLLSFGWAERNLYAFISMESFSCVIALYKMTTNDTLRKFEQGLGMLKKMRITNYHQKYDEYSALRTKTFLLKVPILVFFIGCSGYLVTKKFVIFGTSSTNSWYYYVDAVIMFLCAYVNFIFLPVHGLLQNSLAREFGVFNEELEAASKNKELVNPQIIHKFADRQIKMFEMTNTITERLHPFMSAAPFLVFTALANVSFLVTNLREGTPTYYYVCMIGMMICCIIISSNLLYPPAFVQEAMLHTSTILMNDPFLHYSTDPQIYSTYRTMVDRSQKNRTVNLVIQVFSVNRKNIERAYFVITNIVLVMSVFSKLLFPELSA >CRE23360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:394435:395140:1 gene:WBGene00083150 transcript:CRE23360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23360 MKNLIWCLYALLNFEGAIILYGTFFNKVQTALKVYVNFYVFLNVLVLASATLYIPIMYSIQKFTHLVSAQLNKPQRYALWQLIAVVTEKIILMPIIYFSLDTSFDQIVCYCKLTDAAMIPVLIKVSYLGCNRRNLQTMLVSLKPKNILKTLFWACFPSSRVTSNDLYQMESTVNPAAVQIPG >CRE23326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:220226:221046:1 gene:WBGene00083151 transcript:CRE23326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23326 MSFETFCAYCSIHFLDPFEKVLHVANHHGGLPPPNPVISEDYFRILHHYQPLVQARTCPICLLFCSNIAECQAHIYRVHLATQFPYGSVPMVLSQWLNSVSAQCPGALTYDSDGNIAPPPSPSSPWRAMAPTPPPPYSWLDHTPPPPYPGTPIFRSASDIMQVGEEEEEEEEEEEEEEVEEEEEEEEEEPTSTTEEMEVDDSEEPLLNEDDVIVGANEEC >CRE23415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:74388:76112:-1 gene:WBGene00083152 transcript:CRE23415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23415 MAPHSSLTTLDLMPEDVMRRVLMELDYRDIMTLRKTSHLLRNFIDDSRIRTHTTSTIIQLTFNQNSIQMMLKIGENEKPVVITYSNHENGCELSYRNSKKILEMSDYSKIFKTDFKLALSHQNYQKSRLEFLISRRIFSLNGGILEMLRCTVPIKVHDLTLHVSAEIQVSDFLNLVDPTHLTSLTVIGTNLDESQLENLKSLKKLQIGNSITDIPLARLTNLEEVIIKYRLVKFEDVLFLKETFTAPSSPCKRFILQFKSKERYLNKKLVERFGISKDSWIFKIQNSSQSLRMRVGLFVIEFNLIIDK >CRE23471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:233339:233968:-1 gene:WBGene00083153 transcript:CRE23471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23471 MKPGFSFRFPSQTTIIGATQSGKTTLLRNILENGNFDEPIDNIFWFCGIKTPSIPTYLPNLQVFESLPDVELLQECKNQRNIVVCDDLMTEFGKSKESLNLLNTLFTVYAHHLNCAVFNLVQSAFALPPVTRNNSTYIILMKSLSDAAQTKQLLMQQFGDGWRNAFAAYKECMARPFNGMMINNDPSSDPRMRIMTNILDEFPVSYAPI >CRE23498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:345801:347582:-1 gene:WBGene00083154 transcript:CRE23498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23498 MGYAPNDRSELLEKEIKIKNKSLIIAQWFGNIYVIYMVTLLLVLPAAAIVDRYRTVEGVPEFEVNNIFYFFWFLFLYIACVTFLTPVFAVFLPRKYFKVAYYFTYRFFSKSSSFHFSSSILTSDPSFSRRSASSAQNTMEMICMKRVQLDGLTKSELDSCMYTMLYVLIHIIIVMILIVVLTLLLPQRHFKIGFYFTIAQSFLLVFWIIQFNKMFIYGSKVDQIRQQFIFTIFAQIVAIPLLFGTTYICSQVFLQFSKLHPEYEGDNLYEVIYGGDDSSTKKIDIS >CRE23430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:116123:117205:-1 gene:WBGene00083155 transcript:CRE23430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23430 MPLEPTLLSMPEVVKDHLFQYFSYFDIARLHKTCHDLRDYITVNRPDASYRMIEVFQAVDNIQVILKTEDKDGETNSFFLKYREQEGGCSIETVEYNRDNKRTRSVNGVSYLEAFFQDFGLLLKHQKSILFEMEITPVNSQKQRSQFWKAMQKAFERSGRIRSQRCILKHVTAPDVLSILPFFDATCIENIELIGMEDGQVNSMDGIIELKHWKNLKSVILDDFSIGNIAQNIAHLNYFCCHTPALTVEDVFQIKNMFFHPTQISSCHFLFRGSNLKQNLYNFLGPAEERQENEPVDKWSFRSPIAQQELKVTIIHETISFERIIK >CRE23519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:466206:468668:-1 gene:WBGene00083156 transcript:CRE23519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23519 MSLEKEEERCGNTFCPQLKRPGSYFRRHPAKQEKVCSVCYTYYKRNGKDREVIVTKRRREEHETNCATFSRCANTACNQTILKGNHRHPITKEKVCRSCYSYYIRKGKDREVARTLRKKEKHEKDCANAFCKRTLSVREYNDHPETNKKICNACYNYYKVNGKDREVIKTVRRREKHETNCANTFCKRTLITRKFNVHPVTKEKICHDCYLYHKKKGKDRTLIMEAKRKIRLETNCSNTFCKLLLPPGIHAIHPVTKEKICVDCYLQWTRTTEEGVIEEEDSETDWDEEEEENEESTSDIDNITTSNVYNEPNCYHPFRYINETQSEYSSNQFYKNSQEYQSSRGQKLVANTLAGRFDCYQPGSYNSGTNWNQEFVPMYEHQEAAQFTSNPSQVEVSNCNVYNQLDCHRPLGYNNVFQKSNQFYNFQEYQYSREQQPDCYQPASYNSETDWNQEFVPQFEINTSHMMHCYEPPQEDFPIVYSSESSAGTTLEPAASISFSDDPDIQVAYESHFILC >CRE23427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:111239:112550:-1 gene:WBGene00083157 transcript:CRE23427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23427 MSLEPTLLSLPEVVKDHLMQYLNYIDIARLRKTCHVFRQYLTVKKPDANIPTIEVMQFEEGIELQLKEGFYVCLVKIQYLKVDEGCSIETTFQDSGTRAIRAYGENYLDVFSQDFSMLLQHQKSISDEVDFTALETSEGRADFWNTIRRAMEDAEATTGKKHLLKKRNCILHNVMAPDVINILPYFDPNCLQLINFSGEEGIVTPLDGIVALPQWNHLKDVTLYGFQIGIDHQNITHLETFHANVRELTAEDLLQIKNMMLRSGQLKHCALVFNANQDESFLQSLGPIFEEMDEGYEKTWKFDSPIPGKVLEVECFFFKIFFKCFGPRIYFEMK >CRE23323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:195364:196600:1 gene:WBGene00083158 transcript:CRE23323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23323 MPIVQMCIRMIPPDDVSFGTTSTTQASPITTEQCTTSSCQATMTTPEEETPETSAAPVTMAPTLCTTCDIAAIAPVMEANTVFENTNTVGTDGCTQTNAICRRTDDQVCTGVTLSATNAAGTSTISSAMNANQVSGLLTCQADGDQLLDFVVNSTIAGSQRFLIGYPFGYQRQSEGFSPQN >CRE23449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:159655:160006:-1 gene:WBGene00083159 transcript:CRE23449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23449 MEPPLADCSELDPKQPSLSYKDLIIEAIQKSPQKQATIKQIFMKIQLLYPYYREKPDQWGWKNSIRHNLALHDCFVKLPMIKGLSTDTLHYWTFVEEMLNPEN >CRE23376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:513250:519369:1 gene:WBGene00083160 transcript:CRE23376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23376 MAEFSSFYISLYNSRGLTTPRLVETPTAPLNPCVDSPVPFSLLESPTELVGTPATLNPSVDAPLLIPLIDPPVPPATCYRNLHKESVEAVPQQLPYPIIPGYPAYPPSFLRPMSPIMKHPLFGYTPETNSPQVSYWPPSQIPFPEKQNPDIEVVYESIHANSGKGRTIFKAVRRREKHETICANSLCKRTLLPGKFNVHPVTNEKVCIECCWYYKRNGKDREVILMRRKIDAKRRAEKLETNCSNTFCNLPLPLGNHSTHPITKEKICVACYAYYEITGGTGDTVGAKAKRKNAHEDPTNGKGVTSVDISSEHVPMIEPTQNVEHSKQNSDFGASTITCSFEKSTTENPAISCQDESIAHQPGKQQKEAQSEKTCYNYYIKEGKNRGTEGRKEQYEISCANTFCKKLIHPKNLRTHPVTETKICYSCYIYYKRNGRDREVIQIHTRRKEHETHCSIPSCLFLFSPESTAFHLPSTGMLVCSDCYKLYLKSEYERVIAAERLAVNEKSECDSPLPEGCKSSVGNNSAPVSDLNEDLIEIESSDDQASPNISEDIVEECPVQLDLENPANKTQITHENIIQEPPKETDCYKIVRSAPQSEEPVGQEVAASRSKKCTTCNKSQKRKRKVFAGQQKNKLRTHPETGELTCRGCLMKYYRNEKQKKKMFSSVPNTDNSQEDTLKNDNNEEQVDGRQETEQNTPCASSSQECESKSLSNVSSASPTTIPNTISSDYSNHIEPVNHIHGTQWHLSDIYRSQQLKDSQEYNSDHNPNPIRSNHYQEPTNIADNYMDKREAYHHEMHFNSSYGFSNTYQPQHGYAFPIDASEDSAETVSYTTLEAVPSISSSFVRQDLEHFVDNCEELNDDNFSDQPEKDTQVDNSCPIAWDFQSESIQKQPDEEENKEISPKKEKCANTFCKQPLIKSVPHPLTKRKVCHVCYYYYKRNGRDREEIRTIGRNEQHKITCANTFCKKLLHPKYFRPHPATKTKICYSCYYYYKRHGRNREVITTHRRREKHETNCTNTFCRRTLLRGRINTHPVTKEKICKTCYNYYSRNGRDREVMVTKRKREKHDTSPCYDYFKRHGRDREVVAAKVKKCNRDRYESESDTTSISSDDSSIEESSESDCESDSFEMDFE >CRE23451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:164956:166113:-1 gene:WBGene00083161 transcript:CRE23451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23451 MKKLRKALRFIFRKKKKEKPNYLCELPEVVMREMLKHCDLESIINLRKTCRILRDFISENKPDPPVSRLSVILFNESIMLSFHSSISNIVYYKNGGHVENKIRRRLKTFKKENYQELFLNDIGYILSLKKVALDRFVIVIEDQYENDKTLKKLGVILQNRSPLPVRHIRFEVFDSSHIMPILPYIDCLERIQIVHATSCGIILDLSKISELSQWKSARQVEISNFWLRTPIQVFSGFERVFATMEVLKIEDVLAVKETFLNSPTASLFQFEFGEFVGEHKLHELFGPPMVNDGDNWKFGETEKKNGGFTLKVCSELIRFERC >CRE23350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:355368:355484:1 gene:WBGene00083162 transcript:CRE23350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23350 MSGTPATDPPADGHPMEIDPVFPPPKTAEKAPSKNPIG >CRE23524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:495703:497048:-1 gene:WBGene00083163 transcript:CRE23524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23524 MPVPIFRIPEPSEDFFSFSARSDTAFSDLTQDSHPGFSSFYTSLYDTRGLSTPRLVETPTAPPNPCVDSPVPFPLLESPTELIGSPAALDPSVDSPLLVPWIDPPVSPTICYHNFHKESAETVPQQLPYPMLPGHPAYPPSFLRPMSPIMKHPLFGYTPETNSQQVPCWPPFQIPFPEKEDPDIQVVYESIHVPNCYNPSSPQKEPKSSNCSNTFCNLPLPPGKHATHPVTKKKICVKARRSEFFVTSNTLSEEKNQNRTNANTVTSANIPIYDVSDSD >CRE23357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:385059:386759:1 gene:WBGene00083164 transcript:CRE23357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23357 MPLLKVPELISPVSHFKSTIGLIKEEVIIYPIKKSFEIGVIPGLDCGCIACYDLALFIILFSLGIDIYSRVDGLSKSELDSCMYTMFYVLIHIIIVMILIVVLTILLPQRHFKIGYYFTIVQSFLLVFWIIQFNKMFIFGFKVDQIRQQFIFTIFAQIVAIPLLFGTTYICSQVFLQFSKLRPEYNGDDLYEVIYGGEVSFVAQFFTIPLLIINTYISAQVFLIISKLRPEYDGDDLYEVIEGSEGSSTKKNDV >CRE23420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:92360:94019:-1 gene:WBGene00083165 transcript:CRE23420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23420 MSPSFLEIPDIPMEMIMNNLDYIAIQSVRKTCWGLRNFIDDNKLRIGIKRIGINHISDTAVGLKISTTIFEHPAGKYIDLTYEKHENGCKILGGTSNGWKNNIVANLNFLDAVFHDFKVALNTQKSPFELITTTERMEIRGEPLEHVRQIIQNADPKHLKSIGIYPPEPDIIIRETVKCESSKNPQNFSHFSIISIQLDNLDVETLRAIKEVCLFVQMSIYINFFQNFLQFHEYDKHLYVSNNVVENMFIDAFGTTLKPDEEWDENGEELDVNWFFSVPENEEKVLTVLKRHDCFEFSFIKKCYVPEGYVIRD >CRE23426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:109881:111085:-1 gene:WBGene00083166 transcript:CRE23426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23426 MSLESTTLLTLPEVVKDHLMEYLNYIDIARLRKTCHVFRNYLIAKLPDATIPTVEVVQKEESMVLRCRTKYLKDLLKIEYKKVNEGCSIEANIEQGDIRIVRAKGQNYMDVFCQDFTMLLQHQKLISEELNFSALEYPENRSEFWNTIRRVMENTEAATGKRLLLKNKKLTLQNVSAPHVFSIFNYLDPNCLKRIFLNGEHGDAIDGIVELPHWDNLKTVIIGRFFMRNIPQNITHLQNFFAYVKDFTAEDVLQIKKNHATFWSVEKMYLSFQFKPRREFSTITWPNIRRGKTLFRD >CRE23365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:428049:428918:1 gene:WBGene00083167 transcript:CRE23365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23365 MPDSVSHKESSFEFLNDSDASDRELEQVVQGVEQDIQPETHTQSEVRKRTSQVSTAPPPVIAPAKPPSTATNRIKGILFCLILILLCAVSIAHLCSLIKDLKQQQDLRNSKILAKKLQEDQIIEELGNVKRDLQNVIDRAMKDRKDSFNNIKCLEDIPEELMSKWTPDEWESRGVKQFILDNNFDEDVHWFLRKAHPLLTKLSEDYQMQFQWVKEELTLNSILLEGPCVYTAKDGSTKVFVKEGLLYVKSKYSYKKEKKEFTLVYSIPKEYQ >CRE23468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:222862:223263:-1 gene:WBGene00083168 transcript:CRE23468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23468 MSTRLPDTAANVYAKREDLNDWTNPWLPALSPSPPPPPVVPVKPKNGKQAISMNEPSPDEKNKKKKKRIGRAKKADEIGFRCFIFKECCAPSLIIDKTRGPTNDLTMKICNSCLKMNA >CRE23438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:131573:132631:-1 gene:WBGene00083169 transcript:CRE23438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23438 MNADVPLLKLPDVAMNRILRHCEYLDFARLRKTCHSLRGFLDTTRPDARMDIVVIECDAQQVTFRLLSSEKRLIIQYKNVVFGCRIVCNSNWASSKFLRGMNYMEVFKNDLAIFLRYQKSLLKNLEIHGNPVYSEEVLRAMNHLLLKTTKLSLIDLSVPQILRALSSIDAKFLNILQISKNGGIVDFNEITATEHWKHLNHCVLLTFAISDICRISHFNEFHGVTNSITVADLDFLKKTFVNSSTFNRCIIRYNETISLSDTVGKFGVQPYENEWDRSTKQWCFRIPNDNTKVLKLDVNNRSFIGFTRVSAADVPYAAVVTN >CRE23339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:281614:282714:1 gene:WBGene00083170 transcript:CRE23339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23339 MTSKTLLVDFPAIIKSKVLENLDVFSILKLRKVCNNLRQFIDKNPPKPNWNVTVSTCSDFISIEFASPKWLNISFKQYENICIMSWRNDHVCKSLQFQDESYIEVFSRELGLIMKHHSTGVLKSFSINQYEEKDGEQVLEQLTKIGCVSTDEVVFENCTLDQIAEYFPFFNSNHLYKIRVKDDFEQEKKDQENDIRTLNFQEIRQLDQWKNSKHVKVEVNDFHVRIQDFLHFEKVEIECKMMTVQDFILLKENFLTSSTLTSFDIQMDDDFDDRRQLYASFGLPSLPVDEDEKWFFRIPENRKVLSVTLTEADEFLFRKIDIQDVPKGAEIKN >CRE23507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:412290:412629:-1 gene:WBGene00083171 transcript:CRE23507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23507 MIRSSFFEITVNDEWSKIYEFRMAVNKVTHIRVKGDIKVDVIEVYPPVPDSDTLQPEQEEEKEEQEQEEEQEQEQEQEEEVEVILQPEEKEEEESKKV >CRE23345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:298175:298522:1 gene:WBGene00083172 transcript:CRE23345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23345 MMSSQIEYRYNHDAMGMFQYLKPEEVMVQQFTHNSHLHIRYLNMTFNDKPSVVIDYNCLDTYPDSLIADHGLYIRSNTTMHTRLYFDPDSVGEKFPRPVLI >CRE23525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:498818:501607:-1 gene:WBGene00083173 transcript:CRE23525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23525 MESANQTNKTWVYNPIDEYCSITYCEKQLRKNRESNHRKTQEKICRGCYKKHKLPYGPSCRMPICENRIGGKRKILVCVELPDDICQSCGYQNAMEKLKVTKDETPDHVASSYSIFKPFMESQDLSGSIIQKFVEFQNLTPPISYVSSNNESRESVIQIPLTQCSVNGCKKYLKKGRVYIRPGTMDKKICLKCHKTFLQLQEQREVLNYVGMLMSTTTDRRLQEQYYGIWRAYKLRNGIPEARVVPRHQSFLFRAIPMPRLLPAFPFFQNLVFPIQYPFFYS >CRE23518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:464104:465736:-1 gene:WBGene00083174 transcript:CRE23518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23518 MSNNSSIPPVQLKYLAGIAWVNFIALISVFPFYVHVFQMNRERDKTTPVFPIINQIYKSIKMVYIQFAVTLFLGYAFDPTEESRYFWVGCAAVLFEFCWMRIFCNVCYLLLILQAFQKFLIYFCPRIEKYVTLSERVVERIFWSAHVLMFPIILFEMFHGSFSFTYIPTFFQDYNDRWTIVATWQVADTKRIPLIIQLAYLGCNRRNLQAFLNSLNLKNVFKVVCCPWRQRSQVEVQPINLSRSSTGAPVY >CRE23333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:264978:266005:1 gene:WBGene00083175 transcript:CRE23333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23333 MFRLKWYKSEETPPPPPSQRVLIIDMPDLVMRKILDEVDLVSIMILRKVCRAFRNFIDDTKPDSKLFGITIYVRSNAIIVSYWYFLSKPLRENIPSDVVVKKTKYKNKKKGCGIRFGKGQLKHINGRKAFEVFCEDMQTVLGNQKTTISQFFFRYEDELIIEETALSNFFQKSLELVCCWRGSENMKTFVSQNTSSITMYNQAFGFYLKILKSRKHPLQVRYLSISINGQDQLETLLKHVKSTELKYLVINEERNDIYPTVEGELNLDILKNFGNLEDLRVYDFLITSSLESLSHILKVFGKFKKITATEIFARKEVGFRILPSLT >CRE23504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:400602:402336:-1 gene:WBGene00083176 transcript:CRE23504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23504 MSMNNSLQFFHEAFLENEVLPLIFIITAPLVWLIYGWIHAEPAAKDKKTSMILLIKKLKVTKIIWIMEALLIPLLVLYFLFPTQFFIFASPRFSPSRLIIFDIFACCFTTVQEFTMIGWSLLAIQRIILYYWPKRVNLLNFSGDAISTVFWISRIGLILRVVVEGLGYWWYPGVVMTYYLIFNLYLLLTTIFTISTGVRSTKTCAEYVVWQFALPVLLKLIYTPVLYFLLTIYFSAFEILVIIKILDFYVTLFIISFSLGFGEPPKIIPGRSPGLAELRSTVGVLNVLPLYHPDFPHAETVPKNYGFLHERARM >CRE23305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:48574:49619:1 gene:WBGene00083177 transcript:CRE23305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23305 MASELSLLQMPDVALNEIVKKCDYISIQSLHKVCRDLRIFIEDLKPDYQFTNICIELDPDSLELTFNDSNDEEKEITIRYKKHELNCYVSLVKPSGKNSEHLLNTHYIDCFSKDFAIAMSSQKSIVQLLILSHPVDFYMKSRAGDLLRKLKAGNLLLKVRSVVLSTKGTSMIVRFLQILDPNYLETIKIDGYHYLTMKEITEICQLEQFKKAKELEISSCFITTPVEVAVWYRSVSVEMLRSVKQMFITSPNLSSFLIQISHNKCYQSLITSCFGPPDEQISTKSTFRWLLMIPGSEDVIIVEIEQFRVKFERKKKN >CRE23359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:392676:392951:1 gene:WBGene00083178 transcript:CRE23359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23359 MVTQIIALAAQKSTYVLSIIFSLGLRIAAYKTIDMLIIPVTIQLTYLGCHYKDLKKYPFILKLGPQRISTTSLN >CRE23425 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:109378:109629:-1 gene:WBGene00083179 transcript:CRE23425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23425 MSLEPSTLLNLPDVAKDHLMEYLNYIDIARLRKTCHVFRQYLQVMLPDANIPSIQVVQDEKSMKQF >CRE23406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:39946:41756:-1 gene:WBGene00083180 transcript:CRE23406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23406 MTKVFLHASIYTVEFPLLYNWRKPAVVQPCPLHADPFNTHPLDNDK >CRE23495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:337720:339244:-1 gene:WBGene00083181 transcript:CRE23495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23495 MPHLQDPGEDGCTYTTVTCRNRDDTSCAEISISATGASGMSSIGSAADASETQSVLTCNSDGTWSSGTVTGITEAETVAGGPEQVIGEQMNLFYANADITCGNDGMFFFGTVTGITRLRCSYAQMEMVDGVSTP >CRE23491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:326258:326935:-1 gene:WBGene00083182 transcript:CRE23491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23491 MIPPEEVSISSTPAATVPTHEPSRPPPEVSTEATTVQTEAPATNEPPVTMAPPVTEAPVTVTPTDPCTTCNIAEISVTPPDTGIALETQQIVGTDGCNQATVTCRRTDGTGCNYIPATTPEGTASISSTSDSSSENDAAETTAGPVPELILETTNSFFVGAIVECANDGTWYSDTV >CRE23506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:411629:411859:-1 gene:WBGene00083183 transcript:CRE23506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23506 MPLPRRFRALQKHQRYKDRENRRLRDSQKFQIEVILLLGSLIFIIALLCYAEPILREAHLAQLKADRENSTHREEP >CRE23405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:34275:34499:-1 gene:WBGene00083184 transcript:CRE23405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23405 MPENPLACKHSCRIMILCEYKTGKTAKQTHQTINECLQAAKANMLGEQEDVVLSLATVQFWFNRFMAGDTSLAD >CRE23445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:148652:149868:-1 gene:WBGene00083185 transcript:CRE23445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23445 MEFPALTIQMPQAEDLTDSSTTTTPVSLDRSQRPPHSYKELIIEAIEKSPQKQLMLKEIYAVIALLYPYYRTRADQWGWQNSIRHNLSLHDCFEKVPLRHHDTTLHGPNNSGHYWRVVNVPIEKQNLRKRVRKQQMQPRLVSRGNSSRSVDASPSPASISPTSGVPTPSPLFEYLNGLTVPNPLQNSIATQVNGLPNLLSTAAPLQLSPILATLLSSIQILAAQNQNVPTDTTPAFQF >CRE23503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:397819:400042:-1 gene:WBGene00083186 transcript:CRE23503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23503 MTAIQNGFSELTLFGWSLLAIQRILIHYWAGIEKKLKFNPWKAVYIPVIYIVFKKKYGFYEVQMITIIQKIDFILTNSLHTLDSLRFPPMKPCYELDECRLEILNFLGKTILLYLFSIYLLLFIITFICYVFTYRKNFERDNAIPDFPFLKIFYSNVWTTFVYSFLGCSLFIISLLIDISEFENNRKMLFTSPKFGIKVLLSNISGILMKFSFFMSYAAHLILCLMAIERIFITFPNLKTYLTVLPNRIKWLKLAVYSFLVFMTWLQLSFETFDSRLQYCLLGYQIFYFSVLLIATVLWIPKIIKRGMGDIEKYIFWQLVATSFVIFYLTVCQLLDLLLTPFLLMFPYLGCKIKSSNRVVPSYVAPVV >CRE23402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:20243:20935:-1 gene:WBGene00083187 transcript:CRE23402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23402 MAARRSLPPNIPPPRTPMLVRSRPRRQRMAPAAPVPAPAPVAPAPAPPTQELPLNVVDLERAREQDYNRVLVAPWIDQAPPRAAPESNPGNPTPGEPKAPRRRGWFSSVLALVGIRVQMTLQQLYSLCDSWLNYLLIVVIIVIMNPGSSLSWQPEKPNPIFFAIIGPNNRPGQCFFCARVVLSH >CRE23512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:435335:435616:-1 gene:WBGene00083188 transcript:CRE23512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23512 description:GATA transcription factor MED2 [Source:UniProtKB/TrEMBL;Acc:Q2PZH0] MLSTTSPFCPMVPTSTMTPPPTTTTTPHRPQGSPKQCSNCFVAETCQWRNVRSENGILCNACFIYQRKYKKTRPITAMEKYRSKKAHRQSNSD >CRE23454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:172086:172734:-1 gene:WBGene00083189 transcript:CRE23454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23454 MNANQVSGLLTYQAYGTYSSGSVDNPPAGQTCKVYSAACLHATQQCTVTIYATMSTGSEEILCSDVDTDLTVVTINCATDETLAFMGRGPIVRFRCKFTNCM >CRE23361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:397216:397729:1 gene:WBGene00083190 transcript:CRE23361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23361 MRKFIAYKLMIYAAYLFSLFIVVSTTYLRSIGYSKRVEYIVVTYFITQTTLLLFSGIFLAFRSLNQKPTSSENHMVTQIIVLAVQKCIYIPAIFFLLGFSSLEITACKIIDMLIIPVTIQLTYLGCHYKDYQFRIRISKVGSQKISTISMSSIS >CRE23413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:62751:63305:-1 gene:WBGene00083191 transcript:CRE23413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23413 MAPSFLEIPELPMEKIMNNLDFFAIQSVRKTCRDLRNFIDDKKPGIGMNYIEIDETSDDREVTLAIFPPFSMHSEDINIHLFYQNHENGCRILRAISDDGYEHKTVENLNFLDAVLHDFKIVLNSQKSVFEKVTVTSKTFFEKFEETMKSQKPYQSCAVLHSISKHLIF >CRE23432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:118562:120047:-1 gene:WBGene00083192 transcript:CRE23432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23432 MSLVEPTLVAILEVGKDNLMRYLDYIDIARLHKTCHVLRDYITVKVPDARYHKIEISQFDDRVKLNLTTKNEDDSIMLEYKKTDEGCSIWKDANLGHAKSWKIDGLDFMAAFCRDFEMILNHQKSILKEVVVGRSEKGNICETIRKMLENVQKTKNRIRTKDCKLWCLTPLDACSVLPSFDSKCLKSLSICGNRDVMSPIDGIVQLEHWKSLKTVNLCDFEMRNILPEITQLRRFEVTTALTSEDVIQLKNLVLQSTQLTYCQIICTNWKLSNDLYACLGINYVLKYNHIKLYAYKSQKSKEVWYVEVEYEFLTFKKLSEENLPNNVTIIEYD >CRE23517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:453557:454573:-1 gene:WBGene00083193 transcript:CRE23517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23517 MFDNDSTNLFEFVSSGNSSFFEQIAPRIYFFCFYIIPLVIIFPFYVHVFTMNKEREKATAVFQTILRFYKIMRFFQILLYTLVLSSMVLGGLMIIISFFGLLLVRCLIVYMVCGVAALVTSRVCQFYFFYVSCWQPRDYYCTFFRIPKKYVTITDNDIKWFIWACYLFFSSWFFVTLIAKWLVVEYLVTNVIIISSALLYFPIYLSIRKLAHLASAKVNKPRRYVFWQMFVLLVTKLMSIPAIIYHRNKEIDDVVYMCMLLDTISTRLVIQASYLGCNRRNLKDISKLKWRELWKIFKNPTASIYRVEPVLPTAGIQESTKL >CRE23372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:458666:459684:1 gene:WBGene00083194 transcript:CRE23372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23372 MTNNSSIPLTEVHDGYFFNISKVFVFSLTAIFPFYNHVFQMNRERDKAIPVFPIINQIHKSMKIVYIQYYVCVFIGLTLYLGIKSAILGVVIAAMYFEMFYLQIHCNVCYLLLCLLAIQRFLIYFFPKIEQYVTLSEKVVKWVWVWSAHALVFSKIRFEIWYELSLWFYIITIIFNYVCNIIHSNYHQYSKNELSFITKLNKPQRYIMWQLIIIVALKITYIPTLFEVYEDYWSLLHTWRTVDALSTPLSIQLAYLGCNRRNLQTFLYSLKLKNVFKVVCCPWIQRSQVVDQSLDLSRSRSTGVPVY >CRE23364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:425174:426174:1 gene:WBGene00083195 transcript:CRE23364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23364 MSDSVSDKESSFEFLNESDASDGELEQITKASDGMEDQVIKPGAPAQTVAHKVNTPLARATAPAKFPPTAANKFIVSLIIACLILFIMVCVFMAAHIRHLELCAAQISATKLREDQISKELERVKRDLQRETSARDEIIKQYEISARDKIIEELKNDKRDLQKVIDRAIKKDNFNTIKCLEDIPEELMDKWTPDESKFEGIIEFESKKTVNQIFREGRPVFSKLSKGQKIIQLIDYKIPKALTVDNRNYLLMEGLCTYTMYTHKGDFGQVFVKEGLLYIIMGAPEEEKIKLVYSMPEEYL >CRE23370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:450669:452554:1 gene:WBGene00083196 transcript:CRE23370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23370 MDYDYYSVDFNFTDSSEIEEWPLIYYQFPYIFLYLLVIPCYIYVLHKNYERDKDTPVFQIVDHFYFTIQVHFVQYFVILLDPLNSIIVNLDVIIISSNLFIYLLSFGEMLTVDVSQFMLSLLAIQRFFLYFLPSSEFFLNFSVKSTKYILWIVYWLFGTEVILRSILEVDDLLWGDLIFTVHYSAMIVIVFASGLLYLPIMISIRKMSHLKSAQLNQPQRYVFWQLIVVVAGKSLLILFLLSAENYDYYGMILVCKEADFYCMPFIIMLSYLGCNRRNLQVLLSPLKLKNRLGRCSQVGAARGRSQTGSTILVVGA >CRE23398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:20:406:-1 gene:WBGene00083197 transcript:CRE23398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23398 MEQLKVELEIDPKSRHEMTKSKTRLAQDPPPNYGKRSKIEARKSTITAKKKNNPTRLLYATFDAPDEEREESPEPVVRLTAERRAAAEKRIAQQKAEIEEQKRNGTYVDPMEVYQAELKRANWQNSTGR >CRE23341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:284272:284547:1 gene:WBGene00083198 transcript:CRE23341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23341 MPSQTLMVDFPAVVKFKVLENLDIFSILKLCKVCFSLREFIDENPPKPMCSKLRVSISSESISIQFGSPKWITISF >CRE23356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:381259:382109:1 gene:WBGene00083199 transcript:CRE23356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23356 MPLLKVPELISPVSHFKSTIGLIKEEVIIYPIKKPFEIVDWIAGVIVCYDLALFIILVSLGIDIYSRVDGLSESELDSCMYTMLYVLIHIIIVMILIVALTILLPQRHFKIGYYFTIAQNFLLVFWIIQFNKIFISGNKVNKKRKQFIFTIFAQIVAIPLLFGTTYICSQVFLQFSKLRPEYDGDDLYEVIYGGEDSSTKKIDLS >CRE23499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:347748:348562:-1 gene:WBGene00083200 transcript:CRE23499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23499 MGYAPNDRIELLEKETEIKNKSLIIAEWFGTIYLIYTVTLLLVLPAAVIVDIKLRPEYDGDDLYEVIEGSEGSSTKKTDD >CRE23410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:57253:58057:-1 gene:WBGene00083201 transcript:CRE23410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23410 MSPSFLEIPDIPMEMIMNNLDYLAILLIFRQSVRKTCWVLRNFIDDKKPGIRMKEIRISQKSDTAVGLAINLPTSGPGDDTYIILTYEKHENGCRIKGGTSDGDKNKIVENVNFLDAVLHDLKVALNFKKSIFEEITVCGASFFEKFEEIMKSQKPFGAEFIVIHDNSLEHARQILKHADPKYLKQIVITSHDPCYIRECVRFESSKSSKTFSHFATTSIQLENLEVETIRAIKEVCLFVLMSICINFFSELSSIP >CRE23325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:200275:200819:1 gene:WBGene00083202 transcript:CRE23325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23325 MTLRLTLSTLLTLPPVEMCIRMIPPEDVSLGTSSATLSTTQTSLMITTQCTAPSCQTSTTTPVCTKCDIAAIAPVMEANTVFENMWALQMQTKK >CRE23531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:579918:581201:-1 gene:WBGene00083203 transcript:CRE23531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23531 MNPTMSHGRYKLNFWLTMLKIEQKCKKTFFTTPTTIPPDLHTIFTMFHVQMEIHSFNSELDLKKSLSVPIALRIAEPSSNGEKKLIDRALEEKRKRFRRSSTAYL >CRE23521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:476198:483438:-1 gene:WBGene00083204 transcript:CRE23521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23521 MSTESSFGYVQCSSCNEKITDQFWMLSENKFCSACHSKSLGSKTTKKKLPTCANKVCRTRLKYGSKLHPKTKEEVCLSCYCYYKRKGKDREVIRVRGDYKNRLAQVFTQEEPLQDNYLEKLQSMPTTVEVIKVNHMGGGSDQKLDSIEDFEKRPSDFNADLEDVALVNLEQLEFSIEEKFDVFVSDKLRRNFITSDHLAYSQHNELSKTENAPEASPLKSNFDEFKEDRGFESFKDGDGHLSPTPEIDKDDDVSDSDSSPYSSTSLESSESSEDSTDSESDDENYTMERTLEASSTVTQDNKALSPTFCSIPSFHSILIPDTKSGSFREDVTRPVGRMNTAEDSMEVDIPVQLELKEPANNTQITHENPTQEPPKENEYKTVCYDPQSEVPIGKKIMSAIDEVAEYNESEEQAPELISSKSIPSSKRRTKSPDKEENIGISYKEKTCANTFCKQPLFSKKKYFHPVTKVKICRTCYDYYRRNERDRDVTIMRRRREKHETNCANVYCKQPLLPKRNARHPVTQERICQTCCMYYKRNGKDRGFTTLKIREREKDGKSKIIEIFFFPISFPFSCANMFCQRLCTDLTFAYKHLWLLKKLLWMTPFLNYWIVQNYIDTILNCCSIPSCHSLLPLDSTAFHLPSTGMLVCSDCYKLYLKNEYERVIAAERGAVNKKSECNLSLQEDCKSSVENLEEDSMEVESPVEQWNMPAVNTQMYHVPNLSNTTLQCSEEYNSIPPYRYQESNVVCNDNAYQIPSYQPEIYLSSRGVDFSENSAEAITYTTLEPASSSTCPLEAPITDNPVNSCQEETIAYPPEMQERETESDKNSETQEPQNKNIQEGQKCANNVCKSALFPQKGRTHPVTKEKICKPCYDYRRKSGKDRKVIVTRRKREEHETHCANAYCKKPLLPKQNALHPVTQKRVCKTCYDYHKNTGKDRKVIVTRRQREKHETHCANAYCKQPLIPKKNALHPVTQERVCQNSKTQEPQSETIHPNKTDDPYKKRPKQKFSDGEKCTNTVCQQLLLPKHFRPHPVTKEKICIHCYYHYKKYGKDREVIITHRKREKHETNCTNTICKQPLKRTYRHPVTQKIICPACLSYYQRNGRDREVIKTRRKKEQHETHCANKFCKKFLLPKRSVVHPVTKERICDNCYHYYKRNGSDREVIAEKVKKVDRDRYESGSDTMSISSDDSSIEESSESDCESDSTETDCE >CRE23374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:461048:463570:1 gene:WBGene00083205 transcript:CRE23374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23374 MNTNFSCFSKIQENETAIQILTRSSYSAHFSYLYNFLLLICFPFYIHVYRMNMAHNKTALIYPFFNYFHVSVKFTYIVFYCEVCFHQLVEWQVYDAYGILATVYTVAVFSIAPILDWTAPINHILLSILAMYRSILFFFPSAEPFMKFEDKNNRKLIYFIWLLYVFVAIITFSRFSTSYIPVAYFETNKCLEDVIYFCRYADTSTTPLLIQLSFLGCNRRHLQFLILSLRPRIGLKVFCCPCADVAQTGGIVVSRTQVSSTSIPLS >CRE23542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:681250:682977:-1 gene:WBGene00083206 transcript:CRE23542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23542 MDPPLNSSVREHMITDDALSNTPLLISALVIYLSLQFLTFPFYIHIFRKNQERDKNIPIFPIVEHFYKLIKLAVLSTCLILAAVVLIQIFALETVKLGLTIFMVCVFPISEILCEVIHLMLSLLAIQRFLLYFFPSSDSLLNISAKNMKRLLYCVYFLSILIHITIFTIAAVEEKLHDFIKTLYYACLNLLIFVSTCLYIPIVMSLRKLVHLQSSQLNHPQRYVLWQLVVILMEKSFYISILFLYPSFTAYETMRMFTAIDVLLLPMTIQLTYVGCNRRNVQSLLASSKSILRVFCCPCVPTQVYVVSTLEPVAVGFDVSTTRQE >CRE23388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:612528:617481:1 gene:WBGene00083207 transcript:CRE23388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23388 MLDPPTFTIIYSGKGVTDRECQTESPSLNGGQKKTKAKSSTQERVPCSTKFCTNIIRPHNSPSHPKTRLKICQACLYFYRKNGCDRVLDQFPDRCSNCKRACTERTRKLSHPKTGRFVCRSCCEYYRRYGQDRTKFIPTRNNPMEINISQESSPDPMSTFLADVSKIFPTIDSIEDAFDHSDFTDHSSNSPDGNDVLNSFTNPSFPEIEKTCSVPFCTADLSRYRRFFHPQTQLKTCPACYKFFIKHKRDRTEAQLSDTCSKCKIKIKKNRLYCSITQLPLCKNCYDSQRYAIKKAQPKTVSFQNFEPDTNPSDLIDEPSKNHEKIDSGSQEKRNSEICSVPFCTADLSRYQCNFYHPKTMLKVCRPCSQFYFKHKRDRSEAQLSDKCSECKIKTKRQYCLRTQLPLCSTCYHSQRRAIKKSQPYIVCAPGTNSPVLIDEPSKNHEKIGSGSPNQRPHGNLPNHPSEIRLEFSSLSEAIKYTWDTYCKPHLKQQQC >CRE23382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:559767:560370:1 gene:WBGene00083208 transcript:CRE23382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23382 MISNRKRCTRSWDIEEIMKWDEQMNKLDEFLYNDSIFMDPDFLDSLNAPSVLEGTRQMQNMSISEDTKTGVKEESKESSAPKIPQKIPIFKKPRIHDLSYSTELKSIWDTYLIGMLKQNTADGRDKDSEQKLSYINSMVGRKDSDEEKEFEDADSDDSGYDGEVTVGTK >CRE23327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:221721:222477:1 gene:WBGene00083209 transcript:CRE23327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23327 MSKMASFSRAIECSWCPREADCGVDGGVPTCYFAKPAVNFKPSWISTLLEAFLSWQLIALMLFAVTVFFVLHKWPKSLPANVIRSAAMAPIVFFRWLVGKCRKTSVAPAPATGEVDATPKDEKEAEEAVYKELAELEARKKALLVKIAPV >CRE23400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:13558:14144:-1 gene:WBGene00083210 transcript:CRE23400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23400 MPSRIITFNDNLAYCNIGDNTPSPPPQQSQEEYEMQLRHQDEMARAGLGGSTTREINYIASQDSVAPEAPQPGPIQEAPNVTGAQKAPEAKDPGNSFWGRQWKLLKSIVCCNCKSSCF >CRE23485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:310911:311611:-1 gene:WBGene00083211 transcript:CRE23485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23485 MARFSIFKDGLWIAPKGCGYPPNYNQTKYIVLVGRKVIFSDATSRKLYGVVNGAASVIPAVLYPVIAVVLLVQLWKIGKNRNKLFSRQKEEKDHTTQLVILMTITFFISQLPFGLSMWLQLVFPYHTVISSLLDHGKEITSILFTINATCHCIIFATLSVQYREVAREVYGCRKMKPMVVVSTRSVVGSQKENKTN >CRE23528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:560723:562414:-1 gene:WBGene00083212 transcript:CRE23528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23528 MDNISSTSNITNKWDFKTFNYLGPFLYVYIFLLFFIFPFYIRIYNMNKERDKVSVVFPIINHFYFAIKIVYIQMCLFTFLIAMILILAGTPLLTLPFIAFFGVIIFTWSVITRVNQLLLSILAIQRFLIYFSPRTEKYVRISENQLNIILVFAYFIFAMEFTLRFILNENNTLRMNIFNLTFNILLYASAILYIPISVSMRKFNYLPAAQVNKPQRYVFWQLLTLVIVKMTFTIVCTIMERDLEKIIVECYRMDVVSIPLIIQLSYLGCNHRTMLLLLSSMKSKSFLKLIFCPCLRDSRVGTVTEETAIATSGTGQPLY >CRE23431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:117339:118442:-1 gene:WBGene00083213 transcript:CRE23431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23431 MSLVEPTLVAMPEVVKDHLFQYLSYFDIARLHKTCHDLRDYINVSRPDSRYHMIKVVQAADNIQVNTMSEHRYDRTNSLVLKYRKRDGGFLVNASVYTTDDFRSCVDGVDYLEAFFRDFGMILQHQKSILFEMEISPFNSRKQRSQFWKAMQKVFEESGRIRSQSCILKHVTAPDVISILPFFDATCIEDIKLIGMKDGQVNPMDGIMELEHWKNLKAVILDDFSIGNMAQNIAHLNYFCCDTPALTVEDVIQIKNLFFHPTQISRCHFVFRDLNIKQNLYNFLGPAEERGENVHVEKKKWSFQSPIGGQELKVTIFPANEKLNIWFDRIIK >CRE23523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:493869:494586:-1 gene:WBGene00083214 transcript:CRE23523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23523 MPTGASFGYQQCSRCNERKKDLFRILSENLFCSVCYPRFLKSQEETRCTNIVCQALPRQGFKLRTHPVTKLKICYSCYSYVYRYGRDREKIRAWNKPRGVKRSSQEEPRKKKLRKMLQSVKAAKSHGVTDSETTTTSDDTFQLLMISMPTTVEVIKISKVVTGFDAKLDGPEVLKTVKRKPISTFVIDELLKPKGHHLQDSQRKN >CRE23456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:177337:178460:-1 gene:WBGene00083215 transcript:CRE23456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23456 MSLFKFFSCCLPSRQSKRSSVVSPPKIQDVFLLDMPDLVMREILKNLDFLTIQKLRKTCYSLREFIDYVKIDSGLKDFEIEITRGTILGSVTVLMKGYPSSETIKSVYIESEDWCEVIASNCNILQINGNFVDVYSEDFLTPMLKNQKSMLKKLKLGRCDIIETFEKVFDCLIKVLKSKDCLLQVENLEIYVLGQDQLMQLLHHIDLKSLKRLEVHRLVENDQKDNAEIMLDLSVLEDSENLKELRVFNFTISSPLRSIAHVPKLEVFIHTIYCDDVLKYHDVSQANPTLLKTNFLSTSSINYQQFPDKLRFKNTLGPSDRRFYFPISGTILLSHYPSLKHMYWGPNH >CRE23366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:429399:431547:1 gene:WBGene00083216 transcript:CRE23366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23366 MPDSISDKESSFEFVDDTDASDGELEQVPALSSKNSNEASDDMEDRVIKPDQTVAHECISQVLKNIIAPAKTPLTATDRTSFLKIVIFFQILACVALFALFCSIIIADKRHHDSKISETKLKEDQIIEELEKVKRDLQKVVNFQQREIPAEDKIIEELENVKRDLQKVIDRADMKDRKASFEKIKCLEDIPEELMDKWTPDESKFEGSSGFESVDQFFPKSRPVITKVSEDRMMIQLVGYNTPKVFSMEGSCIYKMWSDEDDYDYVAQVSVEEGLLYINWGGRFTPKGEKVKLVYSMPEEYL >CRE23434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:121544:123193:-1 gene:WBGene00083217 transcript:CRE23434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23434 MSADHPLLRLPEVPMEKILDYCSYRDIQRLRSTCHHLQSSLDPSMTTISITTYPGELELRLNSKNHRQETLIFHFKNIRNGCAAKMIVKRNNRRKRVKKFLEDVDYLDALCADLRIFLSRQRGILEKIELSQVEMELFEKLQHIFPSLPLKVHEIRLIALSASQILSIFQFFDANHLKTMMILTSNPSDNLDDAIFDTEQWKSIKICEVTDFRIMDLKRISHFENFNGMAKSVLVEDVKYLKKTFLRSANFKRCKLMYDHISDDWSTTDPLISQNRHGLTLKRWYFRCLHNEEKVLTLEWNSVKCITFEVVKISEIPSSAVLREIIC >CRE23510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:426531:427661:-1 gene:WBGene00083218 transcript:CRE23510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23510 MTTKLIPLLVLPYLVYAKIFRYMNPYHQISISLLSKRAKAIVKTVNTKAELITCQIGIEITIRAVWTNSTSTITRIYLRSAQDKSFSRNLDDCEIEHVDFQRFEGRNLDIAESQKWRNVSWRVKDWVDHFRDVVNKTEEFDILRFGEGSHRYDVKSVREIIGRFQQLTFNESCPEESFHQNVLVSFLPVTKQLVLTRDPHDNEIFETVLRKKFDSLEIGSRNIFHCTLNDLLKMRSRCIILNRALSTDFIEGIKGNLNQFLKLWIQNKANRNLEYMKIVSNEQILRSILEGINYQRFAGVKEQRTFIHSKNVFSMGSTRAKVNYKKFQGGLDIRRCDGKVGTLHFDRRGNCSIIQFFVWN >CRE23502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:390106:391263:-1 gene:WBGene00083219 transcript:CRE23502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23502 MDFSYTLLTGYNHTGHDFSTVNRIHDTYILGSYILLVFTIFPCYINVYQKNKEQDKRTVVFPIIDHLFKTVIASYFFVVVAYCCGKIYLKLIDDRSGAIGFIFGLSFFVAFAIIAILCEVNQILLSILSIQRFILYFLPGYEKFIDFSEKTMKNLIWCLYALLNFEGAIILYGTFFDKAQTALRIYVNFYVFLNVLVLASATLYIPIMYSIQKFTHLPSAQLNKPQRYALWQLIAVVTEKIIVCYCKATDAALIPVLIKVSYLGCNRRNLQTMFVSLKPKNILKTLFCACFPSSRVTSNELHQMESTAGPAAVQTPG >CRE23530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:578035:578217:-1 gene:WBGene00083220 transcript:CRE23530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23530 MFHVQMEIHSFNSDLDLKKSLTTPIAPRFAEPSSNGEKKLIDRALEEKRKGFKRSATAYL >CRE23355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:379414:380222:1 gene:WBGene00083221 transcript:CRE23355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23355 MGYAPKDRCELLEEEIKIKPVNKSIKMEILQWFPLTVSIFLFNQQLLCGPQADLLKSKFINSFHAQFVTVPFLIINTYISAQIYLKISKLRPEYDGDDLYEVTYGGDSSSTKKIDVS >CRE23486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:312577:312912:-1 gene:WBGene00083222 transcript:CRE23486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23486 MPDPEYFEYAYDYSPNFAENFTFPHYFETIEEENKWLILISNVAFYLEKANVLLSAIGLIFNLLHFVVMIQKGMRTSSINILTIGISVCDITVIGYTVGSYIYEKFYWDKW >CRE23362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:404645:406174:1 gene:WBGene00083223 transcript:CRE23362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23362 MSEIKLIDLNQDAMAHILERCNFLDVQILRRTCGALRDHIAKMPPMSSISEIRVTINDGSVFLNLGAQLNVEYHDHNGGCRVVAQKLDLRLWSISDXTSLDDFKILTGEDHLVPFEEACNQLMARIADILPSTSIKSKKLFIKVWNESQILQVLTHFHTNSLEELVLESVRDPLTMDWLEIDDYLETNTWDNLKVKKVDAMMRRPVFHFLHCERVDMKCFKLVRNDIQQIKAALLASPSLHKVLVIHFESRFPRLPELENQELNQSRYGLDDMELELILTFFYHRLEFRFVSRRPNAPVQFPVAQNV >CRE23375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:505138:506205:1 gene:WBGene00083224 transcript:CRE23375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23375 MNNSLQLSFNCSSKFSDANINQADQTFAPTILHSYVALLTVITPFYIYVFRKNENRDKQTPLFQIPNHFYKTTKFILFAIYTFELAFALTVYKSILTDFCMTIIYLYFSFAFYFLIVITQVNQLLLCMLALQKFAVFFIPSLETHFTLTPKSIKCIRQYSYLSSAQLNQPQRYILWQIVAVVVVKFTYIPLFIFYLGLDINTAVFACNIADLFSTPLIIQLTYLGCNRRNLLTLVHSFKLDNISKIFCCRSARVGVLRDSLNQEASTRY >CRE23385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:588271:589047:1 gene:WBGene00083225 transcript:CRE23385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23385 MLSTNHLSETGASKAVESEIIQESDSSMESVQDSLETARRKKLSARMREIIKNKKLVKCAIFHCPNNIAPVSYRHPVSNRKVCQCQNCKTNKSEGNQPVTDIALCQPCLAYYKRNGRDRSNFSRDQSFRKNKLNPIHSNQHSFHKGNQY >CRE23544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:707470:707775:-1 gene:WBGene00083226 transcript:CRE23544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23544 MVALRIGFSVTLIVFFAVVLCQLFAICFSLYFVFMRKKEIKIIYVEQPSRGRRNRDRLTRDTLRDHDLPFQLQTKKQRKYAKY >CRE23526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:502038:504130:-1 gene:WBGene00083227 transcript:CRE23526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23526 MSTPDSDIISDSKCTSCRKPLGNRKILTLTEQQWKICKPCYKKLYGDMKKTEQYKLEANMKKMIIGVKVLVTSQTICGVPECTNELVFGKAFMNQELQKRTCISCYGKLYRQRTLKIEAEKVRKCYDKLYYKRRPGRFLLNPNAPERFCGIPSCRRAILQKRAYKCLELQTLMCHRCYIRIYREKKITSYKFFKPNDNLLCANFDCKKLLIEGRISNSIYGGDVCRSDTSKPLSQHRDSVIRHTRFPEIPLKFSIQKLLGLEETRNF >CRE23522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:486546:493153:-1 gene:WBGene00083228 transcript:CRE23522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23522 MPFSSSTRLDSISLAFYRNVGVQRILRSVENNSAAVSDLKEGSIEIESSVEQWSMLTTETQICPIPTFELNLIESDANQSNTTSQCSQEYNSIPPYQYQESNVLSNNDAYQIPSYQPEIYLSSRGVDFSENSAEAITYTTLEPASRGTCPLETPITENPGISCQEESIAHPAGEQQKEAQSDKNSETQEPQKENIQEGQKCTITTCKVKLYLWENYIHPVTKEKICTTCYSYYIRFGNDREVTITKKRRGRKKHFLEGQKCANNVCKRTLLLGKTNVHPVTKEKICKICYNYYKRTGKDREVIITCGRREKHETHPCYDYFKRHGRDREVVAAKVKKLDRDRYESGSDTTSISSDDSSIEESCSIPSCHSLLPLDSTAFHLPSTGMLVCSVCYKLYLKSEYEKVIAAERGAVNEKLECDSPLPIACKSSVENLEEDLMEVESPVEEWNMPAAKTQIYPIPNQPNTEFQYSEEYNYGVDPNSISSYQYQESNVLCNIDAYQNPSYQPEIYINSSGIDFSENSAEIPTYTTLEPASSSICPLETPITENPSISCQEESIAYPAGEQQKEAQSDKNSETQEPQNKNIQEGQKCANNVCKSALFPQKSRPHPVTKEKICTTCYDYHRKTGRDREVIVTRRKREKHETKTCQTYYKRNGKDRELTTTRKTENDETKNSLSETQESQSETIQESQKCANNICKQTLLLGKTSVHPVTKEKICSCANPYCKQPLLPRRNALHPVTQKRVCPACCIYYERNGRDRGFMTLKIRKRESHETNCANNLCQQLLLPKHFRPHPVTKEKLCIACYAYHKKNGKDREKVWTASRRVNETKGFTVIKLKEKKRNNSRQAEGMFSSENNEKHDVDDQKMVGTKLKEL >CRE23315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:97920:98832:1 gene:WBGene00083229 transcript:CRE23315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23315 MTVTVEEVEFLKKAFLSSPNFEFCKLTFIFMDDIPSIFRALGTHSFINHDYIGRARRRWFFRSDDSEKVLSIEVYSDFIEFENIDWVEVPVGAVVV >CRE23534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:620762:623583:-1 gene:WBGene00083230 transcript:CRE23534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23534 MKIYKINVFVIILAALFDVVTPIFTEIDGIYNETEARVLFELVAAVHNSDPQFCLKSAFKNPAIRPKLIKHHHIRCDYLNSDCTFALFELPGSQIVVAIRGTRTMSQFFFETMSAFVPDTSFHGLGEINSYFSMTHRAVWAEIQKHLTHNNYSNHDVIFTGHSLGGSLAALSAFETVLTGIRETNQVKVVTLAEPRTGNMVFAKNFDRRVKYSFRIINGIDVLAHLPPCHKDYRFWPRVDLPCDPRSRTGPYHHSTEIWYPDGMNETARYIVCNGSQGEELFCSDRVHVTVANLGKGITDHRKYFGKMVTQYGNSNCNRNRTFDENEGFLGKVKVISELIKKIV >CRE23394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:674623:676498:1 gene:WBGene00083231 transcript:CRE23394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23394 MASNATNETLLATLRNAGNVIGSAIINISKLWIVWHYIVIMAVLYVSLIIIFPIYITIFRTNRERDRKTTVYPITNHFYKTNFVVYFLLIITSVCAILASLHFIRINVPVAFGSVLITLVHSHSFILSILAIQRFLLYFFPASHKYIAINEKVMNRTLIGILIFFYLLLCAAHGLASYLKYDEFYLVLNIYFIYLMILNAIFVLSAVLYIPMIISIYKLQQLSSTAKHQPHKYILYQTLVFAFVKTIEGCSVFILHIYRNESNDMFLNFAAAWCIEIVTTPFLIQVTYLFCNKRDVDVLRKKTTFRRFWSGIFGGNRVGTE >CRE23494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:336935:337395:-1 gene:WBGene00083232 transcript:CRE23494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23494 MTLFLNTFTLLVVFFVALGASSPRRHRFFGTTLPTGKQIAPSEMIGTLPTERQIVSEAVPPDEDFLPTELPRDFIDNIFN >CRE23311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:70473:71078:1 gene:WBGene00083233 transcript:CRE23311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23311 MYPSFLEIPDVPMEKIMNHLDYLAIQSVRKTCWDLRNFIDDKKPGIGMIRISFFQTSDIAVGLAISAPTFGHSEDPYINLTYKKHENGCGISGPTSDGSKDKIVENLNFLDAALHDFKVALNSQKSIFESITVQGNTFFEKFEESMKSQKPIATESMIFRVKFGRRPSSHAACRPKISQNNRHQFA >CRE23404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:32916:33230:-1 gene:WBGene00083234 transcript:CRE23404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23404 MSGPTNDQIPKKPYIPIPGFPGEREENLLEKAAKSFSIRFTILSDFKEGLTAKQTVAKINKNLADIHEKFGGPGDAPTIELHLVQYWFKRFLTGDHSLTDCANP >CRE23308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:65511:66523:1 gene:WBGene00083235 transcript:CRE23308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23308 MAISLLEVPDLPMETSMKQLDYTTIQSLRKTCWSLRNFIDEKKPEEECLNRIELIQKHDEIHLILRSSVDWELYPKGSAIFLTFENVENGGLKICWQRNDGIREKIVENMDYLNRALKDFEIALNQRKLEFDTFHVSAANDNKFLENVEKILKPRKSIPTKHLEITTHCQAQAQQILKYIDSKYLKSIYITLDQDSIVTKEEADLEGAAHFALLCIPLEIIDVATLSALKKLFLESGDDKKHFIRHGGDLKKEMFIEAFGPPVESDTWYFKGFSEKVLQIYFDSHFFNLCFVEKLEVSEGSLIVD >CRE23419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:90840:91840:-1 gene:WBGene00083236 transcript:CRE23419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23419 MSPSFLEIPDLPMEMITNNLDYIAIQAVRKTCWGLRNFIDDKKPEACIKKITIYEISDTLVRLAIIFPSSGTYINVRYEKHENGCRLLRDTSEGYKTKIVGNLSFLDAVFHDFKVALNTQKSIFEKVTVTSKTFFEKFEEMMKSQKPIPTESMEIHGNSLEHSRQILQYADPKYLKEIIIYPHGPTSIHETVKIELLKSNQDLSHFSTPSIQLENLDLETLRAIKEVCLFVQMSIYINFFQNFLQFHEYDKYLFVSKLVRENLFINAFGAAFKPPGEKEENWFFNVPGNKEKALKKVM >CRE23369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:446675:447504:1 gene:WBGene00083237 transcript:CRE23369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23369 MENSTLFLEDHTNASTEGQIDYLPPKLFNYSYCFFSIVLLVFYVRAYRKNKTLDKTLQLFRVTNHFYNYIRIFYLVSIVIFIVYHLIGVHWYIALVFVLFMNYGYIYLIIVFVIITKSLHLILAMVALVSKFWFPTKHWSWWILLAYILVIVEEFTSAIFQVRQHCYIAFNVFLLVFSMPLFLTSQPSHLHKTLHYQLGFTVLSKLIYVSVFYLLMNSDLDGSIYACKMIDAFMTPIFIQISYLWCARHKTNGVGYQTGSS >CRE23383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:564035:565475:1 gene:WBGene00083238 transcript:CRE23383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23383 MTEENPSICKICGLKAHGLHFGVASCRPCAAFFRRCVVLNLKYDCLQTDILCRVQKKKKKLKTPSILEELINKDDQTPPPTNPPPALQLDAKLTPDAMTTIIRSFTSVLLANISSAVLANMPMNHMTTKATEIEEVSSTTPNFVSLR >CRE23390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:637142:639223:1 gene:WBGene00083239 transcript:CRE23390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23390 MASNATNETLLATLRNAGNVIGSAIINISKLWIIWHYIVIMAVLYVSLIIIFPIYITAFRKNRERDRKTTVYPITNHFYKTNFVVYILLIITSVCAILTSLHFMRINVPVAFGSVLITLVHSHSFILSILAIQRFFLYFFPATHKYITINEKVMNRTLIGILIFFYLLLCAAHGLASYLKYDEFYLVLNIYFLYFIILNAIFVLSAVLYIPIIISIYKLQQLSSTAKHQPHKYILYQTLVFAFVKTIEGCSIFLLNFIESDGNDMLVNFGATWFIEIITTPFLIQVTYLFCNKRDVDVLRKKVTFRRFWNGIFRGNRVGTE >CRE03499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig370:34151:34597:-1 gene:WBGene00083240 transcript:CRE03499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03499 MASSHSPIVAKEDSECHQATVFTMGASQSIGNSNLESLLAHGPSTSTVAYYTPNFLNYNVTPGVSATVSNGGAQYPMNNYRPLEQTTMATNNLLIPCQSQPISHRAVHHNLEPVGHQGNPRPTGPSKKSSWDNNNVTLDFTQNSNYQF >CRE03498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig370:30260:31814:-1 gene:WBGene00083241 transcript:CRE03498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03498 MLLSKQKTKKLFGPGGNELSTLEEAVRSRRHSIIHSPTFYHVCRKTLGNWLCGSLHGVPADEAHKLGSGRSPTPSPPTTAALEKPNFKGKRTLSGIHVDKVVSFLLFLLLSYTKFDTGPPSLKGVISASLSSTVHTG >CRE14461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3702:212:877:1 gene:WBGene00083242 transcript:CRE14461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14461 MLQEEGNQKLKEERSKNLELTGLFNYKNFNFEATVYKMKYDKYLYLTHSGAQMRNRLPLKYWTQTDAEITGFEIDLKQKFELNQYGNLLISAFADLVKNKNLNPNKTSLANDGNYLPNMPTNRYGLNLEWNKNDWSARLSNIYYDQAQYLGKNVSKEIPLPSYNLLDLQIQKHFKLQNANFDVFLNGSNLLNEDARPQNSPLKYIAPLPGRGVQLGISMKI >CRE19634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3708:10:780:-1 gene:WBGene00083243 transcript:CRE19634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19634 MNSALQFQVSPYASFMNETKVDLGNGIQLHVETGGNPQHPTILLIMGLGAQMLFWPDFFCKSLIDQGFHVIRFDNRDIGLSSKIRHQGPRLNSYKLMGRFALGLPNDGAPYNLFDMADDVALLIDRLGIEKPHILGASMGGMISQILAAKYPEKIDKVGLLFTSNNQPLLPPPFPKQLFSLIGKPESRDEEGIVNHSLKVFHMIGSPGYINQVEAIQTARKLYRRSYHPAGVLKQFLAILCTGSLLKLDKQIKKPTL >CRE19635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3708:3254:3418:-1 gene:WBGene00083244 transcript:CRE19635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19635 MKKYQCIVCGWIYDEAEGWPQDGIAAGTKWEDIPDDWTCPDCGVSKADFEMVEI >CRE04386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig371:23467:24520:-1 gene:WBGene00083245 transcript:CRE04386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04386 MTLAHLLIVSLWPPGKEVFTLIWSISFWWSSIHSTSRILPSIHNIPNWFFYSTFSVLVCLPLPTTLQMGHTSRGSLEEKRDNHQSIPDPKRFTKLQLSILKARFSKCALVNEQERIDLGKEIGLSPNQVMWWFARQRTNRKRAEKRDTTSKTGTFTKFQLAILNKEFSKCPRISHGKKVELAKITGLTETQIQNWFRRQNCINPVLKTQPSHPFPINLTPIRTPLIPMPFMPMMFPIPSLPIPFIGGLNNRNSAMQVMGNGKVNWKYSVSPKLNESESEEPSTEVQEDEEVDIIN >CRE04384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig371:40:401:1 gene:WBGene00083246 transcript:CRE04384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04384 MAVQNCIGDSFRGATWVALHNGGGVGWGDVINGGFGLVLDGSPDASRRAEGMLNWDVPNGVARRSWSGNAKAKEAIRRAEQQVDGMKVTIPVEADEELLKTLKF >CRE21707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3711:7:1585:-1 gene:WBGene00083247 transcript:CRE21707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21707 MSKNYPRMSSSPITSMGIFYSEQSLKCELYYSRPYGNSSFENKETRKRNDHLKNFVEDLEEIINNQAGLVNSLKIKYSGLEENYTKEKLDPVITQIFKCLESRKDLLQVKRLSIDAVDMSQAMTVIKLLDPSVLKKVEFCFENRNEDINIEDALALVDWNKGQRIKLVFKLHTMRPEYLESVKRFLLHRSTFTEIFVYYKHCVHDIPSLRTIIDVPMQHESPDSKEKFIKFRLSHSLLLSADFVKLTLSNDVSAMVLENPVIMKRVIRWSENWNVQCLRKTSRGIRDCVDFLRPVTHVDEYSVYFLCDIHPSAKIGVEYNFTRSWLYGKNATSKDRNELCQKAQARVLNNIEVNLGRQNTCLKKLKFIFSYIDSLRNEKNPKPSKEEFKRLNQLTIQFLEKLKDILSKRSHLLKVEVLEVLCCTDDNLMQILPYLDPNCLKKIKLIDPGSEYSKFDYDRVKYPESMLKPFVLDEICQLE >CRE21106 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3712:35:3455:1 gene:WBGene00083248 transcript:CRE21106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21106 MTDPELESSFARDIVLLKTVGLNPIVVHGGGPQVDSLLKQLGRESDRIDGMRVTDPATMEVVEMVLGGSVNKSIVNLINQHGGRAIGLTGKDGNLLRAQKLLMEKTLADGTVTQIDLGLVGEVTGVKTDVLEMFTQSDFIPVIAPLGVDEDGQTYNINADLVAGKVAEALGAEKLILLTNISGVLDENKNLLTGLSTQEVDRLIETGVIYGGMIPKVGCALDAVKGGVVSAHIVDGRVPHATLLEIFTDHGVGTLITNRLLRFASRCVVMHNILINKMLYEYTMCQLLGMNCAAPTDITFSFRGFSQRAGITSDHSDGFGIAFFEDKACRLFVDNQSAVLSPIAELVRNYPIKSRNVIAHIRKATQGKITLENSHPFIRELWGRQWIFAHNGDLHNFHPHLSGRFTPVGSTDSELAFCYLLEQLVLRFGYFEPSLNDVFALLEEISPKIAEYGTFNFCLSNGQALFSYATTKLHWIVREYPFTYARLVDIDVDIDFSQFTTKEDRVAVITTEPLTQNEVWTAYQPGEMILFKDGQVIKTATTHVERLAREAADPSLVRVTKADQY >CRE02793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3721:719:2071:1 gene:WBGene00083249 transcript:CRE02793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02793 MCQTFAPEAIFHNERSYEKLRVLLHYSGIEEIPEEKRKKQMLLKGVAGWSTWKEDDEDRKQKNEQEIAEFRKIERTIIQNASREVAVEEQLVKNPSIPRFPGIAPLPNRPPTPTIAIMPGRRRPGVRMVRNMSPFNRTGKTLSETPKQFPTPNTTPRIPVITPFNKSGENQTPPGGKKSIETVRTENST >CRE06181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig373:32889:33146:-1 gene:WBGene00083250 transcript:CRE06181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06181 MKKLNSISIYSKKKTYQELMFFQISKLKKKTNSARRPMTLICVRPDVSDFFSTTIPIWNSIICNTHDFLPPGKFVSLLNNSINRL >CRE06179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig373:28480:30731:1 gene:WBGene00083251 transcript:CRE06179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06179 MVASYSGIKSFRYFIIVIILIFSVANYYSLVYKFEDIEKKLHRLKPHDIPLIDELTPIMELRKVALLSADQVQRDILENAVGKDNKNFYLKLRPEAFCQKKVKIGERKEDGGKIVCDPGAVKEDCTLMSLGLNNQVQFDQEMYNVTGRKCDYIAADMDPQNMNTYRIFAAMKAHVYAGKIPDNLTISHMMEQEFKTELEILKIDIEGGEHTGLEPFLQKYYVCQILIEIHGWPAEHLEMIQKIARYGFRIFNIEPNKMCSRCCEYSFINELCMPQFGVLPLAITIPRNLTNV >CRE07081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig374:4160:9347:-1 gene:WBGene00083252 transcript:CRE07081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07081 MLTILTGEFSKSSVTMVIDEYSVPFMNGVQTSFQMVSHVLIFLKQCAPRDSNFVKTIHIPTLQSVAGISTIALVGLSNIVSSKHYTPINLMDVLCIIFGSFTLGTGISILIKLSSEVSDGYRRVILSGRMKTRAEIIHLCDGLPNIESMMSLKNSGRQQTETFIISFKDPTNAEEFTRNINDNYDELNLKAYLATNTELLNALKIRRINGRNMNVEYGDEFEKGIEVGEGLVSSLVMETLVKSKLESEKTIVKIRKWKVELCSVFNSGILLTSTLALLYGYSSMPQGGFTFLYLYGAIFGIAGVFLGLIGNVNLTKEKHPESICLIANKAESRRGKRMMKQAGFEVIEVVEGENDVIILGHHSNTEIVANARMIMTRELTPDIGELGVVNVEMF >CRE07082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig374:22871:26944:-1 gene:WBGene00083253 transcript:CRE07082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07082 MVSFDELSPQYQSGIRNFLQMPSHIHQFLANTRQNDPNFFLNVRFQFKNSFHCSQFFQIHIPSFQSVAGIASVLFVAYSSIASTKKYSTINLLDVICISAQSVSIGTSLICILLAGLTIATGITLICKLASGVPAGYRRIMIIGQINNEIREDIIELCGGKKRIESILNLKKYRQTAAMIISFKNFSGIKEIVESMNDEVVEFGGKSCLVTNEMLLNALRTRENNGVARHLEYGDEFEKGVEAGEALVSSLVLEKLLKSKLESTKTMVEIRKWKVELFIIFNDLILLTSTIVFLYAYFTIESKSKGFTCIHLYGSFVGLVGVIVGFVGNAYKKKRNHPESIVMFVNRRAGIQVIEVFDVENYFLVLGHHYNADVVANAKMMMARELTPEVGELRVVDSLPNSTMKSNITETQRQYSEKFRIAFKLAFIEKIVMNNSWIKNEVVSDCLDSLVNDEEFKRGFQTGESAVVAESLEILLEKKLDAAKLNVHIGRLAIDMNVVYNATILISTAFTVLYSYLSSETGSFNVLSLYGCLIGLSGVILGLASNWINGRKCATNVLALFVKDGQEKEARSLLVGAGIVLFEWIQLSNEKLIVAHSNNYDIVKYAEKSLQHGMLED >CRE07080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig374:543:1217:-1 gene:WBGene00083254 transcript:CRE07080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07080 MFGRTAEKLLKWENAYLIRRAVLRNSKFLCISVCMDKKVLHLPLSYNLEGWVCRTLFEKFPAMPNKRYTHILDLLNAWSLSTNYIVPFPRDKMILLHSSIQFGSVLGKGAFGEVFKGKYTPIGGSDPVEVAVKRMIGEPKREQIEDFINEANIMSRLDNRNVVISYGLCTLQFPVMLVMELVSGGDLKVSQGSASSFLICNNLLNQA >CRE07079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig374:15736:20502:1 gene:WBGene00083255 transcript:CRE07079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07079 MAGFVVHFMVLNKFINKKNGIVNRTTIWKMSQDIFARKEPTVLEVLRNTLERIETARTKFRECWTVQGLIFFTIGVLCSFFQTGPSYSQSIIVGYTWILYIAFHFFIYSYVHWCSHISDVRKRFEFIQSMLRNPRKQAGIEEVRRIIKEQSSRKNVSDIIYILLHLLSFIALTLTSIGVIRLRIALDLRFVDVPFLIIYFLFFIGFSLAALIMAIKNWRLFEEIKKRRSTRFLSIYF >CRE13244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3740:34:759:-1 gene:WBGene00083256 transcript:CRE13244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13244 MSRDRSFNGRPLDMIIGNDMLAHVLGNSNRVLLPSGRYVEISPFGPIIFPAPRVLQFPSRIVTQASSPPLTADNLSRIAPSNVPTDIFTTDLAQSRLNVKFGPLHVVSSNLNDVRSSLQVSFNSWIHPHLGPDMNLQLGISGSNSAHVVNPQGTCNLVQNGLGIFHRGTSDGQYGRETPRFLTEACEGTSIPRLEPRKTQDNGKLMVPRCLGIHCFNDTELIPEPKGRMVKSLTSLRPSRD >CRE14462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3742:466:1029:-1 gene:WBGene00083257 transcript:CRE14462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14462 MKKMLGELTFKLSGWSYEVDQSVLEKKQVIIGFEHTSMMDAVLSLAIFQIHDIKIHTLIKKELFKGPLKPILEKIGGIPVDRKSNKDIVSQMVDLFQSNEEFNLVIAPEATRAERGQARKPIRTGFWHIAKAAGVPIVLMYANSKTKQGGIFGKIYPTDLDQDLAEIKRLYKQHTGLDIVIPEAKNS >CRE15260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3746:2447:3072:1 gene:WBGene00083258 transcript:CRE15260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15260 MISLTQQVNAVRLDTHKSDTFEQNDLVLDNSGAVLSGSRDALIRRLVPTRDFCPDESYIFSLLVNIRTFISPHELMQKIVQYCMFAQNADSHNFAKEGRGRMFAHILRLCSEWATNIPYDFKTEYMRTR >CRE20176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3747:1180:1759:-1 gene:WBGene00083259 transcript:CRE20176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20176 MTGIDRTDICEMPKEKIDMRIQQTKESVEECRLKDLMTGYFTEVKLIEKMRNLEVEELTKKLESSQKLVEEYKKKAEESVESLRFESLMTSKIQEKINNITESLEYHKNLVTELNAEKEKGKKIEEGLEDDEDDFDDEEDVDDEEEDEQEDSSEDDEEISDEEESDYEEDEEDNDN >CRE21708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3751:100:3604:1 gene:WBGene00083260 transcript:CRE21708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21708 MKNSTEMVAMLGQSGLGLPDRDYYLKNDAKFKKIRSQYLKYIEKTLSLAGDKQAAQHAQGILKLETQIAKIQWSNVQNRDVTKLYNIYKTQDLAKLSPKIDWQTYLEKQELSDKIKTIQVIQPSYFKGLSPIVDNTSLEVWKAYFKFHLVSDFSSLLSQAFVDNSFDFYSKQLREIKEQKPRWKRGVQLVEGTLGESLGQIYVKKYFSAEKKQRMEVLVQNLMKAYSQSIDKLDWMSPTTKVQAQKKLASFAVKIGYPNKWRDYSALEIKNNDLIGNVIRSREFEHQYALNKLGKPVDRDEWGMTPQTINAYYNASLNEIVFPAAILQPPFFDMDADDAVNYGAIGAIIGHEISHGFDDQGSQFDELGNMKNWWTAEDHRKFKEKTNTLVAQYNAYEPIKGYHVNGELTLGENIADNSGLAIAYKAYQLSLNGKAAPVSVKQGIGAYQCTESNGQQWYVSIEPLSERKLDQTGTRVVNLQTKVLSLDELNKFYNNKSNMAAVAQEKKSKNSHSKSSDVKSTDIKTNNSKNAAINSVAKTAPVKVMEEKKPVAAVAEEVKEKLQPEKTITTPQSQQMQLYISARKELGRGQNQINACNNAERAYNYGRLHGTSGINVYAESGVLVARCLTNVPSYSQRFSNPQDRAKRILQNLAGNQNHAVAKHMLKQIK >CRE12368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig376:52:618:1 gene:WBGene00083261 transcript:CRE12368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12368 MESAHEREEKVPEAIAEYVAYALRGVTQSEEEYVDVEHFEIAASIRKIPWNRWQLHIWKRSKIVNETNRVSWNELLQIIKIITKKKGSNCTLMEEIWLEARYYEQHKEVCPEDAVKRFVSFKSMRKDTNDLVHAAKEAEILLQKGGEDSRSIKESITQKARLWKQLLEWDSSKEEKTWYDYQKLKKHL >CRE12372 pep:known supercontig:C_remanei-15.0.1:Crem_Contig376:5419:8378:-1 gene:WBGene00083262 transcript:CRE12372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12372 MPGKKPPSSLTAGLSCLIIVAMALITAFILMMTGRDNPMVLVPAKPWKSETPVTSRPPIKSSSTQKVPTSATKTEETTRKPRNVCESPECIALAHQLHNWKNPSIDPCQNFYEYTCGKFNEHSLPSFSRLSRKGKLMHTMLNKFLAKNETSNSISENSMRLFYLKCKGFWNPKTFQKLLIEMWREVTALFRDLGSWPYLDENWDDSKLDLNDAITRMANYGLPTFGLFRLYTAENYTVIVRASTMMNAGNSTGEVFKAVLKLNGIDADEQLLEDDLKLVRELEKDISNMSSESDGVASLSELQAAVPSIDFEKVIRHFINSSIDDEMWSKVKENVMVLKFPLFFNQTRNEVLNDFSFPALRVLVQHYFDRGNLQIASELVDDIKGHLINTFENSTWLHPETKKNAIRKVEMMKKIIGYPEEYDAPGTLDKVYESLNFSSSDSYFQLNLKNFKFNMQLTLTLNPMSSFLKMTKTDILEANAFHLRSRNWLGINIALLDDPFFDSTYPKYAQIAGVGAIIGHEIGHGFDPNGRERDENGKENDWWTPEDSAEYDRRAQCLIDQYDEFDDPDFGKNLNGSITIGEIVADLIGVDVAWKTYKSLDMSAEPSIIGFENYSLDKLFYQLKALNWCGTGAEYDLEDQLKFVHPTESFRINGIFSNMKSFAETFNCPVGSPMNPEKKCELF >CRE12370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig376:682:1918:-1 gene:WBGene00083263 transcript:CRE12370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12370 MPTSFLRLVSIAQTVGTFAFIISSFVGIIVIFLTLFGVRKIFGSYKYLIVTFTTIGIGFACFEAVFHPNLHFYNDGFVYFSLSSPFGLSKETVKIIISMYTGVYSVTISLLAVQFIYRYWALFSLDHLRYFRGYKSLIWVVYCIFFGGIWWMGAYNLLEMDDAAEKYFEEEMLIRYSVSVKDIPVKTFLAYDPEEGSIRWKNVSYPVLMNSILTFQYGVMIYCGWNMHSKMEQKISNFSVALKHHNRQLFKTLVFQISTPTIFLFSPLILIIYLPFFQIEWSLPGGAIMSLFNMYPAMDSIIILIIVTEYRIAAKKMLNSVMRHSRAVFRGKNSSTSQTAGQIQLPTIRTIL >CRE12340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig377:24805:30034:1 gene:WBGene00083264 transcript:CRE12340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12340 MLLPPNLTTSTMMTSSSSESFDADNPILPPEPILGDYVEMFTLVLNFIVGAPLNLAAYTQLSERPTSTRLDLLKRSLNYSDLLVLFIYVPSRACWLLTYDWRGGDALCKIVKMFHTFAFQSSSNVIVCIAVDRLLSVLSPSHHSPNKALRRTKMMLIVAWIVALVISCPQLFVWRAYLALPQYNWSQCLQIWEIARMENFGKPQVVSSFDAEFWYSILHISLVFWIPCIIIMLSYIIVISWVWINSRPSIRHTSSFSFHTGCDTVDTVLTRASEWNPLKTFSRHVNIKEPEKPMTTPRIVVNDETEVPLTQRPSISPSEASAVMRTGVHTSTSYNANLNRSRALRVSFLLVLAYIICWLPYNLISLIQFLDRDFFASYLKHVHFCQQLIIFNSVVNPYLYGFFGPHRPSHSGGLANRH >CRE03604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3770:3495:4539:1 gene:WBGene00083265 transcript:CRE03604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03604 MTRHRSRRGDPMPTEHEPHGRPPVLQVRGIRKTFGGVVALDGVALELAPGRVHCLAGENGCGKSTLIKIISGVESPDSGEILIDGEPIARMTPTLALRAGIQVIYQDFSLFPNLTVAENIVLPAAIAARTRIFRARRLRPSAERIVQELGLALDLDAEVGELSVADRQLTAICRALVQDARVIFMDEPTTALTHSEVSRLFALVRRLQERGVALVFVSHKLEEVLSVSQEVTVLRSGRLVAHGPVEDFGVRSLTEAMTGREVDDSRVVVEVDPDAEPLLRVESLGLRGAFADVSFDLRPGEVLGLTGLLGSGRGEIAEALFVVLKAETGRVTVGGRPGRARAHRRGDL >CRE11521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig378:15595:17878:-1 gene:WBGene00083266 transcript:CRE11521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rnp-2 description:CRE-RNP-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NK71] MAEIQPNSTLYINNLNEKIKIDELRKSLVAVFKQFGEIVSVMCFRTLKMRGQAHVIFTDVSSAAAAKEALTGFPFYEKPMKIQFAREESDAIAKEKGTYVERQPKYLSEKIQKKPKSKKRENGGEGPAPPNKILFCTNLPDNATAEMLEIMFNQFSGLKDIRMVPNRPGIAFVEFDTDSLAIPARTTLNNFRISAEHVMRVDYAKK >CRE16594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3784:2618:4840:-1 gene:WBGene00083267 transcript:CRE16594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16594 MLIDHTNNRAAQGIHDFSLALKDGYEQVIRKPDLLNTDYAFEMFSKAHQEFIHLFDTLASGQRVSLNESTQAILAELHDYLHQEIDTGVAGQIVETRTALDTEQQPDTLSIAANPVESVGNYVLTQINLDKQNIDSEHSQREFDADVLDIFIEEADELLVGMDHDLNTWSSHPDDTTALNNLMRYLHTLKGGANMVQATHIGLIAHELETIYERVIKGQLKAEPHIIQAIRLIQDDVADRIQLIREKSIDYPATHILSVLHNIDQQGPIEAVQASTAVEPQFSHDAVDLHELEYVVDDIVLAIDQTPELLETEPLDQDQRPAIDDAQLFIDANQDTGLDPVEVVARETFIEEARELIDDGLSILSQWFDQRSNRSFLLQLQRIAHSLKGGAKMVKLEEVADIAYELENAFEQFGLHNFNSNVYDGLLEKTFHWLDAAIFKHHYDNFEGLKSSLHGIEYVDVSAQLPEKLSRTNLIFEQSISEFVQGDGTEPPSMLGEWDLSQKTESNNEMIRISADLVEKMIDLSGENAINRSRIEMDLGQLGNTLTDMELAIQRLADQLRRMEGELESQIIAKHGDLSARYADFDPLEMDQYSSLNQLSKSLAESASDLVDFKTTLAEKIRDTEGLLLQQSRIQAEIQESLMRTRLVPFSRLLPRLQRLVRQTSSTVNKPTELLVNNTEGELDRNILEKLVSPLEHMLRNAIDHGIEDAEQRQKSGKALTGRIDLNISRQLQMCLYRLR >CRE20178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3787:123:4431:-1 gene:WBGene00083269 transcript:CRE20178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20178 MSARAARVEAILIRPEHKEFGAPAPGKHMAEYIYQMVRARKAVGEKLILDDVTMAFLPGAKIGMVGPNGAGKSTILKIMAGLDTPSNGEAALAPGFSVGILMQEPELDESKTVLENIQDGIEIKAKVDRFNEISALMAEPDADFDALLAEMGTLQEEIDAADGWDLDNQLEQAMDALRTPPADAAIGPLSGGERRRVALTKLLLQKPDLLLLDEPTNHLDAESVLWLEQHLQKYPGAVIAITHDRYFLDNVAEWIAEVDRGRLYPYEGNYSTYLEKKAERLDIQGKKDAKLAKRLKEELDWVRSNTKGRQAKSKARLARYEEMAAEAERTRKLDFEEIQIPAGPRLGNIVIEAKGLQKGFGDRTLIDGLSFSLPPNGIVGVIGPNGVGKTTLFKTIVGLEPLDGGDLKIGETVQISYVDQNRANIDPEKTLWEVVSDGLDIITVGKTEIPSRAYVSKFGFKGPDQQKKAGVLSGGERNRLNLALTLKQGGNLLLLDEPTNDLDVETLQSLENALLEFPGCAVVITHDRWLLRPHRDAHPSPTRAPRMEPANWHWRRRDCWIRSRWCSRPAPSHWPKRRGTRSTPRSPAGRCSIGSRAWACSAETSTCPTRRSPQRGPAYCRRRAAGRPLDRSTRRRSRRSPPRACGGSPPRCRINRARPWCRRCARRSGARPSPTECLPRPHSRPTRSGSSAMSGYSGSAARAAGPGSRARAETCWCAGVSADGDPVMVDPRLH >CRE21107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3792:802:1603:-1 gene:WBGene00083271 transcript:CRE21107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21107 MKQSPNAYSLINTLPEYQKFSYHFDYVSIIVLITMICFIPTLISTFKTVLYYYKNSAQNSPNTIHPYVFKSFVYMQVSNIVYIVFDFIINRIPLTSVFTSYFSTMTSESPVKHIVAGYYLFEYLSQLSTVLFCLIRLLVFLGSQNYLKRIQSIGKFIFSGFITTSIVLLTLTMLWNLKSLKKL >CRE22857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:323769:324431:-1 gene:WBGene00083273 transcript:CRE22857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22857 MTDEGQVPATGSFSMELMRQFKDEFLEMIAPELLAMRKGEEKVPELSQKGLQKQAEINVQVINMLNNGAADLGKAVEEVVDLLKRRNQELLLLDKDPSALKNVEKLRAIAAVTSSEGSNAGDAKLMALAQIMSQGGDNRNQRSGARRQWFPAAGFGGRQSGVRNFSAYSQRGGHGGERAFGTKRQFNGSSTSGDFPKRSQIQCFSCGEIGHYSTQCGRTR >CRE22863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:390124:390541:-1 gene:WBGene00083274 transcript:CRE22863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22863 MVRGSKGAPKKVEKAPKKEKGALIEEFDDIESEDEGQTPGFAIPMQKFRAEVAQVLAEVAEKDITIEEEAMKALQTASEDILDKAFRIGVVIAAANKRMELRGGDMDFAERIFLKLNDYKNKD >CRE22876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:519470:520030:-1 gene:WBGene00083275 transcript:CRE22876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22876 MSAEPAGTTNFKVESKNGQQFDISYLAMKHSKTLKDLARSDGYDSTKPIKVEVDEKSLKRIVDWCTKHAEEDVYVLEMKGPKNIVIPQGDVGFIPIYSDELFNFIVAADYLKTDKLLDYASKKVALMGYGKTPNEMRAIYGIRDAEEPMVVEAPAEIVEAPAEIVEAPVVAEQRPRLFGKKNQKKK >CRE22820 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:707163:711360:1 gene:WBGene00083276 transcript:CRE22820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srm-6 description:CRE-SRM-6 protein [Source:UniProtKB/TrEMBL;Acc:E3MHE8] MSSQDLNGPSVEVATTTAMLAWWNNVVACVLCSVLSLIASYIIVRAKSIPRGVRICYVLFKLVEVGFNVLFVVACPIFVAIETSIDFEGLMIVNAGIMLPSTLAMICLMLSIVLLTQLIFVSPIVYWIRYLQICRKGIHPPHVISIICLNIILLLLSAGVLCYASSPTIEDVIYLSGIAVNYVGHETVFLVLSYDKTGTSITAFISSGTYFFILVFSVVIMGFSHLRINSRINSNTTMSENLKKMQVRANQILTSQFIMTLIFIQLPFFYSVLGPMIGASQKLATYLLSILFVWGPVANTASLFIFKTQVRQTVFFKCTQDNESTVVNVAERSVMKSDMKMRSVT >CRE22835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:106625:107332:-1 gene:WBGene00083277 transcript:CRE22835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22835 MEFVLLTRILMESNPLDEIKAAIAKYPVDHVFRKKCEMAVAVREERPFDAIRIAVELNGGNAVAGFIADQIERAFQSRNSLQDSDRAYFLQKFRRQAEGRSRNLKDSDHAYIREEYNRNNRKLTINQKREIAEHLGVHESMVHKYVNNLRDISRKLQRKREQAEKEKRKKGNGSRDPVKVCPQ >CRE22883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:560966:562651:-1 gene:WBGene00083278 transcript:CRE22883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cal-7 MDLSDDEDLLMIEEEEEDESQKEEVDSVIVEDIRRRLFDVFKMFDEDCDGLIETDDVGHVLRSFGLNPSQTELQLVSEQTAKKSGRVSFEDLLPRVVSAIQNEEWKDDTPKQIHAAFQVITSNNYVQKDTLLQLLTSIGEPLTPQEVKQFLNHVSIRANGDIDWVAYVKDTCEMIASRD >CRE22824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:40270:41959:-1 gene:WBGene00083279 transcript:CRE22824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22824 MICRHLLGLLLLLFVTIGASAQLNTHFDDAQVYWPSEYKRNAPAQTSSEGEMFAFPGLRGLRGKRDPVYNKRVPMMSLKGLRGKRGHFYGGQEEQEQ >CRE22839 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:124741:125841:-1 gene:WBGene00083280 transcript:CRE22839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22839 MDNIPKPFPLLHLPRLPLKEVFSMMTPFELINISMASSKSKVIMKCFLKNDKNMKYRLVVSTSKEPKVSLFGSDTCFDYILTSDQSIHNKMDYAIFQDSLKYDILWVYSENLILDWMKLFKTVKELFSCRCSGVLFLPDSFPEQNKAVVDFMKLETAEIDGCLIRGETENYEDIEYFLNNINVTEHLEIYTKLSDRFQIKRNTPLKGIVIHFGNCLTFNQLLQLDGLDIDIRKSNFTNIELNAFLLSWMASSSHRNLKRIKIPINDFESFETIFDLPHQVIDPKLIRQGKTSDNEIIQLQGGADIKRIDGAIGTIYFVLENDQMMLIMIVSYLFT >CRE22830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:89525:91266:-1 gene:WBGene00083281 transcript:CRE22830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22830 MERPPAYFVHMMTGADFMKTYNTLSNELVHSLRRCQWFADKDEKKSLEILLDMFYNAYRRASTDRGVITLKELIDYMMQNILKTYSTLRINNNGNGDSRRRRVNWIINKLRMDEVNGIHKQSVNFMKQIPIEIRRDWINLSAMSALMQVTFEVGYQATSCEWSLRLSQFCVTFFEVFLDVFCQMVADEEEEE >CRE22813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:601674:602851:1 gene:WBGene00083282 transcript:CRE22813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22813 MILPLAFLSLLICLPAPINSFEQLVYENLSNEVKTHLQQVVSEKYTRLYNDMYQNCNAARRPQVVIHLHISQDSTPSEDSVVWEADKAPCSIRLFSTTDKVLQDWCQAAPHSFMNYPYNHTDANAWAIDPNFVTVVQTTCHRFQGEPGAEELPRVPHFTPPFNQNNTKKISTTLVIAVLLAVAVIFLITSLYCHRYVVKNRTKACWSKYWEQKEWHQINEIVEHREKLINEIQEKKMVENMA >CRE22831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:91472:94073:-1 gene:WBGene00083283 transcript:CRE22831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22831 MTSGHDDFNNWEFQGEDNYNPMQNSDGSIFYPEIAEQWYTEAVSTNCNNVAITGNADVKSLGLQNGTPMTPEKTTPLPLPSITSWFQVENKSQIPGDKKIANKPLSSKYYPFKDQGRRVPFPGYGFSQYMNGHQDQGISMADWNGLPSPCWNCGALPKSIGEISSPNSEIQIISPSPSNLERTQKDEQNEVNAFIYPSDLTKRRTLAVSDIQKRLRIAGKPIPSDYQILNLWKRRQKQNVEIK >CRE22780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:118919:119519:1 gene:WBGene00083284 transcript:CRE22780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22780 MADGHNLPIKTNSSGASTVVSTQNDTESSNDGGPQVFQHSTTTSPFKEYQRDVGFTSGAPQMLSPIALQSEDRTETIQPTPCSIMLSPKEKGVPVVSGEPVC >CRE22833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:101881:103012:-1 gene:WBGene00083285 transcript:CRE22833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22833 MSALVKQEILEDVSSSENYKKWSVKQEPVEEASEVPESQPDSKKGLSIVSNQKSNKNTAPRQQTSVLMSLLLGDDDNIPLPYKSKKKEPKFKAPRVKNEPRAPRKPRSPRNPRPSDTNNASLMRFHQQEYLKNVYWQEYCRYMDTNWHSNYDVMRRYYGMPPVVIPETAHPSWRQSISPMTRYCSGYSSDSSSSF >CRE22777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:63656:65019:1 gene:WBGene00083286 transcript:CRE22777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22777 MSSDEVTVKTDCENEYCYRCVPLSQVEQMKENTIYNLCEKYRWIAAHTLLSSTENSSKLTIREEIQRALTCSKCPPMNSLSEIEKLKAEITEECVLDLDRYVERFLSIELSASEGFNAQKVFDSAFKCQKCPKIETTSQYEQMWAKIVVMMRRYDRDAALKTVRDANDAFEKQKRIEASLKSAYDGKDSNMKTCINN >CRE22875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:518761:519252:-1 gene:WBGene00083287 transcript:CRE22875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22875 MADLENSGNLFIFITAFFIPISFNHSYLKVFWIFVYLTFSGLLYILGFVHSND >CRE22867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:426756:427439:-1 gene:WBGene00083288 transcript:CRE22867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22867 MSLQSRVAILEKIERNFRKKMENDKECFENVVSEKKILDEKMKLYNGDTRKKLEAVLKNIGCDMRAWYQQLTGNQVRNFLRPANIKKCKLMSSANNKVKTDKEIDDLEKVVEELVEDLKQAQPRATVTPKMHLLTCHLIPFLREHRTWGSITEQGIEHLHAVINSLHVRFASVLDTEMKATLIVKALSNYNFIFDIGASWFKAA >CRE22888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:632634:638313:-1 gene:WBGene00083289 transcript:CRE22888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22888 MRKTRKSRKLFINVCRRSCLIRRRRRSIVWSWMCFNQCRALRREGMKIEVMRPDEYCNNTTTTNHPQLQKKMFHRGHRWL >CRE22794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:318171:318515:1 gene:WBGene00083290 transcript:CRE22794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22794 MVVEMNCTVSCGIPTTLVMKGELIYEADFIEAGQYVAQVFENIAEVTSIFGSISRWVYETIFEKLFGFGILVLIVVTLCIAIKFFFWTTVSVYAPTTAFAVGRRRNRKNRHKLL >CRE22793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:315473:317796:1 gene:WBGene00083291 transcript:CRE22793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22793 MTIDDVSETAASILREISVRLGYFLVFFGIVSTVYCISVVLHVSSILAPVFVCVWKVLRICMKGLHRMIYRTFLTSRNLPRTSKTSLLVAIALVNANGVLSCTEVAHINAKETVCYLKENTTTQDCVLNTVSIVNLRTMGSTSCLQFFVPSTAHEHENKSELIFNLKIEAEAILLTCNKHVVFYSRDHKLNFEYSRRCDSAGSCSIDRCAQIQPDEHLPELSNTAKDSPGYTSCAPGCGCINCGCFYCDPSCLFYRYYAQPTSKELYEISRCTTWTPSLRTKITLNDNSTIREDLRPGIKFQIPGTNVSITAINLDVPPMPVHHATFITSYSADKVNWSAFTQIQPSAPGVPTRGLARVLHCSTKSDAESFKCTLDPALCRCRKTSAVSRSHHHVRLNSKTKKIVIESQQDSLVAIQVEAVNATVNRHTTIAKCTAQQVDTLRGCHSCSRAAVTVIRCLSSKSTKAELSCPDYTTSLECGPNSPETEVHMSLSELCGIPSSFFLLPSSGHFFTSFFLLPSSFFEILFLLPSSFFR >CRE22860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:362166:372032:-1 gene:WBGene00083292 transcript:CRE22860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22860 MAFNRPAPKRKQTSSDDDLPLQFVPYSAYKELHNQVVALTSLVNQLRGAIIESGQNKLALEVAESSTFFLFPALTDQSSKIPPVTASIAPVKAFDQVPLNLLLNKLALFDIPPLFISWFSDFLTSRSFSVKVNSTTDPSSALIHSGVPQGSVSGPLLFLLYINDLLISLQSIPYLHFAAYADDIKIYSHLPSSLQAGIDLVSDWAVSNDLPLAHSKTGLLRLGSLNPSHRFHIVGSPILDSHSVRDLGILFEPDLKFSAHIKKSVSLARLRSSQILKSFKSNNPAFYSFLFKTYVLPILEYASVIFCLAPSSSLSRLLESTLRIYSRKTLQRCNIAFSSYSHRLELLSIYSIRHRRLKSQLLLLYKFIAGASHFPNLNSFIRLSSSPRRPMTLIYVSPLSDNFFSFILPIWNAIVANVSSFLSPSQFEHLLDSAITRF >CRE22836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig38:111037:111384:-1 gene:WBGene00083293 transcript:CRE22836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22836 MTEISDFCDDIIVVFAAVLNEDIKINQLNSLLEAAQPTSWAPNIAMLMAVMEDRPVEALRIGGDHEFDGVQNVIAGILLECALEMIKEEEDKENL >CRE13139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig380:14782:15555:1 gene:WBGene00083294 transcript:CRE13139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13139 MTDFYITLVSNAQIESTISNFKTSLPSSINFNKPYEVALTSIIYPTSHDLISKNLESNGNYENEFLVWYEKKVVKCKVPNCSFTSPSELIDILNQTLNKSLKTLTNASKTDAFLFTYDQTFRRVTCEKTEKVSKVEFSDRLSYFLGIDKICDKFPKHGQYSMFSGSDLMYIYSEGLVEPQTVSHMKVPLLKIISISSQNVGNVEQSFITPLYVPVRCKEMNQIGIQIKNDRNHFIPFNSGKIVIVLHFRPISSSFDG >CRE13141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig380:18487:18744:1 gene:WBGene00083295 transcript:CRE13141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13141 MFDQPEEVPLPPGSLEPQIPEPDIPNQQAPQPTVMNQTPIYTPLPQYVSHPNMLPLLEDAFKTMTLIGTVKIYKDAHSKYHVASG >CRE13142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig380:3439:3953:-1 gene:WBGene00083296 transcript:CRE13142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13142 MAITRWDMSKATESEKKSSVNDDSMTLNEIVNDLAKKNPFLKTPMKRRREEKEEVSAGEKRLEWLKEKAKKAVIPTEQVSTLKPEHIFLENGPLIIHMCLECKKFNSTRTVTNLGEGKIQLPLGLCTICRSHINRQRAVKFFEHELPSIKKAYDL >CRE13785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:27175:27447:-1 gene:WBGene00083298 transcript:CRE13785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13785 MFATYELRKTIGEHKWNRAVAYVYTGNFLIWGVLQNKVNAKPHSSIKALKKTLVKEWDAVSSEYLRATIDAYPRRLRAVIEKRGGRMEQV >CRE13782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:10876:11408:-1 gene:WBGene00083299 transcript:CRE13782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13782 MSSSFSLLRLPRLVLCEIFKSLNIEEKINLFLCSWKISTQINNARLYSQKVIVDLDCLCHNIRVCSENYRDSFEISIYPDFWKRHNSNTQQFSIECRGFLSVIEHLFNANFQLLLVTMIVIYIDQQFQCCLIWNSRSSLLNLMNQKIESYCGTRYLKNLN >CRE13779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:2967:3914:-1 gene:WBGene00083300 transcript:CRE13779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13779 MPPLPLLRLPQLILREVFKSFSIIEKIKLSLCSKKTSQINISRSHSQKVILVLDILKQNIRVLSENNKDIFEIFTYPDSGIGQNSNISRGVQIFSKNHQEGFLSVTRNVLKMFQCKVTTNVSCHNCDLYQPMVSILLDPHVEFKKLTISLKRSEDELLFNQISNKFELVEDLELSSILKPDFIPVFNSWPQKIGIINSAWFTLDHLLACTCTTIKLGKSHLGNKDLEVILRKWKTGGFPNLEYLHIDRDYISNNKTTIWRLNQLELGGKVIQTNDGRKKATINTGNGRIEVYITPVE >CRE13781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:10496:10615:-1 gene:WBGene00083301 transcript:CRE13781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13781 MGINWRELDGMVFQTDDGLKMATIKTNVRSIEMSVTRFE >CRE13784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:19196:20146:-1 gene:WBGene00083302 transcript:CRE13784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13784 MSSPMSSPFHLLRLPRLVLCEVFKSLSIGEKMKLSFCSKKISIQIYNARLYSQKVIVDLDWPGHKIEVYSENYRDSFEIPVYPNFWKRHNSNTQQFSIACCAFLSAIEHLLKMFQCKFSTHIRLNNSDLYRPAISMLFDLQVEFKRISIRHNGSENENLLFNQISSNFRLVEYVSIYSVYDHSFRPVFTSWPQNITIWSSDWFTLEYLLACTCSRITLWNSLLGNKDTDVILKNWKAGGFSNLEYLCIESQNITNSGELILGMNLRELDGKAIQTDDGLKKATIKTYPESIEISVTPFE >CRE13780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:7703:8632:-1 gene:WBGene00083303 transcript:CRE13780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13780 MSSSFPLLRLPQLVLCEIFKSLSIEEKFKLSLCSKKISIQINNARLYSQKVIVGLDMLNHGIRVCSENYRDSFEISIYPDFWKRHNSNTQQFSIACCAFLSAIEHLLKMFYCKISTTISHHNSELYQPTISMLFDLQVEFKMLFIELNGSEDRILLWNQISKKLELIEDLVFSSGLDSGFSPLFTSWPQNITIFSSVVFTLESLLECNCTRIILQWSHLGNKDLEVILKNWKTGKLPNLEFLRVDSRNITSTGATILGMNLSELDGTDIQTDDGSKTATINTGHQMFFEMSI >CRE13776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:21224:22229:1 gene:WBGene00083304 transcript:CRE13776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13776 MPPLPLLRLPQLVLFEVFKSLSIGEKIKLSFCSKKIFTQINNARLYSQKVIVDLDCLYHNIRVYSENSKERLHIFNCSDTGTNIAPDWQPYRIEGRTVPVIFCFNSIQIFWKNHQEGFLSVVRYLLKIFQCKISTDISDLYQPMISELFDLQLEFKTLTIRPNDQNLLWNQIFSKFGLVEDLNILHIVGPDVRPVFTTWPQKIEIMSSDWFTLEYLLACTCTNITLRGSHLGNKDLDLILKNWKTEGFPNLKWTDGMVIQTDDGSKKATIEIGSSLNSHRIKISISASE >CRE13773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig381:108:2231:1 gene:WBGene00083305 transcript:CRE13773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13773 MTTTESTKPPRTTPPNTPPPETTPKPRSKPRPTTTRSPPRTTTMSRRPTTTADRWEYEDMQQSEERETPHIPEANRNVVYEPIDQQTFEESEYRPSEERERPMFERFEASCQEQWKSTTLFQTLLRIDPTAAIRQLLRRNDISAKIIGESLIISKCRQVTPDVIHYGRKVNSTCYNLIPVTVKGKLWFQLPGSDDLIGEATEIACEDRPPSVRYEHNRWVGLDNQEVLPQFLARPNGKLQEQFILPAPETFHTNLDEETGVSTGTDREMQNINDENSKKLRKRLITEGILKDTIDKVKETTAAAGKSAKNLYKSTMDSMKEGVKDVVFSVLMLVAWIVIPLAVIALALFILYGYCKYRAYRSAGRVAKKSAKKATEALVEYAHHHLINNVQMQDVNHFRPMTRTYEEEYPIHAINSVQMKNSTARLPIIDVEIDGRKLEALWDTGAAASYMRISSTKHAIFNDCEPEGTAANGSLIQFIGECISTVKIGDCLILHTFFVSQDTDCPTSVLLGTDVMEKINKLGHDVRLNLFKKELIIGNCRININEEKREEQFETESTPSTQEEKKMNEEKDRTEGIKIKEEGEERVEDIEIMLSTQEEKKSIEEKQEDSTGRKEESEERQQQASKEKHAKEENPEASFTNRLNQAPGRCILRGGGMSWCDQTEDPKITHVLTDESLEEPENTKEKSKIPAQHQVSSEKKESAQRNR >CRE18389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3829:2824:3263:-1 gene:WBGene00083306 transcript:CRE18389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18389 MSRINEKDLLAFIMLKTAKATGPYPNKRNFKKFGFETGTDASDSSYFTVFRRIVATLDTVRNYNLEEKARMLFMTSTPVEEAMLEEMRKIARIRVNDDYQIVSYRSKEGETAFQGHPMKLKRRKNGGRKKKTTHPVDEILEESDGE >CRE15038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:48901:49667:-1 gene:WBGene00083307 transcript:CRE15038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15038 MSGLHFHVNEVPSPPSIMLFGLQQMMICLSSLLVIPYVVSDMLCAGDQALQIRVQLISATFVTSGIATILQTTFGMREMQMVIIDERASRMIKEISDLRELLDRSSNHADSRIYGSRWEISKYIGPVTSSQL >CRE15034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:151:951:-1 gene:WBGene00083308 transcript:CRE15034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15034 MHGNEPIGRELLLRFAENLCDGAVNNDKEIIQLLNSTSIHILPSMNPDGFELALSTEPAQRQWLTGRSNINGVDLNRDFPDLDSIFYELEKIKVPKFDHLLSLFEDNVDRQPETIAVGQWTLSLPFVLSANFHEGDLVANYPFDAAIEENSQKTAYSASPDDGTFRWLAKSYADNHAHMSKNDHAPCDGTSQDAFARQGGITNGAKWYSVAGGMQDFNYLATNAMEITLELSCEKVSKISIA >CRE15044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:86230:88306:-1 gene:WBGene00083309 transcript:CRE15044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15044 MSILSEILSTGHVDEELLAALDDDQKQLLFCQMRSEQVRKWEMAEAEFERTGPLNRKMKKKGLKWLTGVDGEVWVWVMGDHEQDKTIDEILEEESREKAHALAMRELRAGASDSDEDALMAQLKGLRVVGSQPHENYDNLYNNDLTGTSPLLLFQPPKPILTQPPQIVTTTTVSSYSTPQPQKAQLVRGEKPPVPSKPTTGYFATFTSNPQTTTTVYDLAPTTATTNGFGGNTYQNRMQEAQNTNGVRMRAPRIDDGRREEEVQKRESEIFQSLVEERERLQREAELIEDREKTLWEERERKAREAEHAQRELAQKAREKHQQMIRTSTSILPALKDHKAGSLREAIKNLPRPPKPKSRAAIIEWFQKEELPRGTGLDPKTRAPAPWFHGIISRDQSEVLLTHKPTGSFLVRVSERIWGYTVSYAARDGSFKHFLVEKIPEGYQFLGTNQVVHDELFDLVAYHETAPITAKGAEILKWAVGQPTRPADYSDLIPESPIHYNTYSSRSIVRTIPTTILNGPVGRF >CRE15031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:59004:60538:1 gene:WBGene00083310 transcript:CRE15031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15031 MAEIPPNIPIDLRTLIFYNIYQQKTIEKSYENYEKLCSATGKLPFSFEKFENFFHLCSKDSLSGDLDIRLCVLSDVINEKSTEKSLNDLRKAFGKETIEKDDHHYWSERFQNSSSPFNLRTFSDLPIETVVKLVERFGIAKIRQLRNVSHGLQNILDKEVKPPCKKIQINIRDRGFFVEYRDNRYLKMKVNAVEKIEGRRKEEDVTILFKEVSPELKNPKLRLNFLFIDLVYGYYKVSGHLEWRSHPEFNEARQKRIDDFKGFLASMNHKIHSTFLTMTASNVEDVVTVLRCLKSGILRKITLTIEQESESTLNIGEMVATDQWKQAKHVTINKLISSSIEHFYHFDTFDIRVQEISIEEIVKLVDALSKSPDFTACTIYKRNCLDTEALKRKLNLENRDPFQTYPIPNSNLFINFVSGIKITRGEEDPRSPRL >CRE15030 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:56464:57702:1 gene:WBGene00083311 transcript:CRE15030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15030 MSDAEMNRKFIEMMEFMQKQMAEQAKKHEETIAVLTKAVAEKSQIDGSLGTSSGLTVSQSQLMNDIGGRISVFQFDLETEKTFSKWYARYSTAFTEEGKGLDDKNRVALLVSKLSEEVYEQYSRRICPKLHHEVDFKDTVDILKEMFDIKKSLFSHRFACINIARDGDSPVEYTNKVNSLCELAMLKDIDPDGWKVFFWLRGLDPTQDTKARAYFLKYVERKTELGEKVNINELCTEWQKIQSQTSAVSEMEKSDTAVRAVYAKRPSNQKQNSSKNTAKHESSQGERCWNCGKSGHKKPECFQPLTKCFKCQKSGHMSSFCKAKKSSSYKKTQNVAIVGGASSEDAEVNSVRQYVSVDVNSESVEFQLDTVSDITLIGREDWTRIGKPDLEKCTSKVKSASGNELKLLGRAF >CRE15039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig383:51272:52243:-1 gene:WBGene00083312 transcript:CRE15039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15039 MIAVNHPNFKWDFHCSDGEESMEITELMAAATLDVDMDTTEASDSRGNTSDNVSDHIDDFSIFMTDRTEESASRGVSLHSDFSQLSISANDHKGEHFVLFDVLRNISSDSRSKSETPSIVEEKKFIKRPLNHYMEWTVRKRSELSMRYPSKNAKEISIELGKIWRSMDMAVKKSLKEEYQRRFKLLKQQGAKFKPYKPVGEKMKKEKFPVNKVNKEIHREIYEVPETKKDKSPQQKEETEKLPEQDDHPAEFRIDLIEEYHSYMYDMRQIFGY >CRE17640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig384:4356:5141:1 gene:WBGene00083313 transcript:CRE17640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17640 MRVVQQHPQSNSFVRNGGKMSFSAQRFVHPGRLNQLPCNYNTWAKGRTKLCRRCAKNENETQSHILQVCDYSIGNIIKERHDAVLYKFRELIKRGSKGHWLERTDRTVPNTGSQLKPDLYLESPDGKHVILADVTVPYERGIEGMQKAWNEKINKYTDGYKEIFRRQGKSLVVLPLVVGSLGTWWKPTEESLIKLGVGKTTIRRIIPETCGMVAEYSKNCYWRHIYGEKYVKTPMINGGKKPEGNDWTKCEKGIEVPKVAN >CRE17642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig384:15875:51807:1 gene:WBGene00083314 transcript:CRE17642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17642 MVESDGMDGIGYGDDSESSKNRSEVAMDAKTNETGQERWLERRPRRPPKDLKGIAKKEVIIDRIDKNGVNQKLLIFAENQCVMSENNRSEPEISREFLENSAKTWFLWENRAKKLENIDFVFENANFVSGSWKLAPAEREAVSMRDVANESIDRVTIRREELEEGFAGGKTVRESEFCSDISQVHREVAEEGLYLSSVLSVCLATSTESFYAFEKIEKDKKEKLVFSVELFESFAEEQVSNSSELVGRGKMPVFSVFVSLPCQIIDRKCHKKENGAKIAKNEPNMGNLKRKMQFSMKRPPAIVIRGGAKIAKSEIEKADRIVLVETRGENVVVCQVSIPATRYRCYYKNCFGTSAGGAGAADLQHLTRHLSSVHQKKVEWTYKCSICGEEAAGKSTKATRWVSSHMLEKHGAQHRPRIRSAPTTNQKVSDVLKKAAPSLQRPERAVRKGYTAPPVEETTPEKILRVEAMEKMPQTRAVTKSLSVLKESVKKSVKKTEEKQMGKKVFSIFSKNGETSSPASRRLSVAPVRTNSLGSVVDLSNLQGPERVKAAKLNAQISARMETKRRRSSLSVLKPQKVSGKSGKEETNRISEIIPEDSVVSRENDWNESGVLNLTLKTSKRSPPKPSRGGARISSKKGKYGKKKQETKKAQPPKGEATKKAQPKGEPAKLIEQVRTWFDKQMKSYQEQGSNIQTLTWIADSLIAAIFKANNGNKYLVDKITARCPPPLLNEGEMATQTSRRTEAVKPKDRFVKESNEPLRFQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTEEALNTVCSGIKKANVDPSIEGPISPGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLAFLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISDCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCSWLDLTNAFGSVPHELIRRSLAAFGYPESVINIISDMYNGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQTRKTGYNCVGNDVRCLAFADDLAILTNNQDEMQKVLNQLDKDCRSVALIFKPKKCASLTIKKGSVDQYARIKIHGMPIRTMSDGDTYKYLGVQTGNGGRASESESLTQIAAELQMVHDTDLAPNQKLDVLKAFILPRLQHMYRNATPKLRELKEFENTVMK >CRE26933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3844:3386:4042:1 gene:WBGene00083316 transcript:CRE26933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26933 MSHLNRNVKGLLGTKLGMTQVWDENGKVVPVTVIEVAPNVVTQIRTAEVDGYSAVQIAAGQIDPRKVNQPTAGHFAKAGVTPRRHLTEVRTADAADYSLGQELTIDGTFEAGQKIDVVGTSKGKGFAGVMKRHNFSGVSASHGAHRNHRKPGSIGGAATPGRVFKGQRMAGRMGGERVTVQNLTVHAVDAEKGLILIKGAVPGARGRLVFVRNAVKGA >CRE26041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3845:1431:1775:-1 gene:WBGene00083317 transcript:CRE26041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26041 MSLPHCPKCNSEYTYQDSDLLICPECSYEWKDGEQVTADDSVTIKDAVGNILADGDSVTVIKDLKIKGSSAVVKVGTKVKSIRLLVDSNDGHDIDCKIDGIGPMKLKSEFVKKA >CRE05376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3852:3120:3857:1 gene:WBGene00083318 transcript:CRE05376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05376 MRGHAMVVPGAMAFQKDSSAKHDQHYWRCLDCRRSKAVMKKSIRKDSFFQGLRLSVHQILYLAADFIENPTRHLEEIADSFQIDKNTVSEVHEWFRDLTQQWFVRTIEDNPVKMLGGKGKFVEIDETAMFRAKYNRGHMVDRPTVWVFGLLERQTNKIAMFQVVKRDARILLPIIRRHVKPGMISEKKGHLKKILQEQRL >CRE15788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig386:2992:8631:-1 gene:WBGene00083319 transcript:CRE15788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15788 MKIARPNQFGESDDIVAKGEFKKILQIGLLTYATYQPGSVPGDWFDAIFQFLQFGRDARYGDGDLKLIRSDLGSITISPLMSGNGSIMIMRPNMECSSKQSVVESSETTIADSEGATLEIKIPSEVAKRIRIDINAERRIISVSSSGSFDKLLKGDVILRVNDEECTNIREYLRCIQKKTLIRKLTVFRPPKVAETEESGIKGNLQNKLQLISCRFGFKNMMMVLEKHDPSMKIQKFEDLAVIQKTDSSLNQLLKGDVLLEIDGECVTVPDFANEKIANGILENGRVILFVERAVSEEAKKWTVSALASTELLNFVCSVAISNTKKPSNSLSVVKKQLVGQKVGNQNGSKKKATGQTSEPLILSQDSSMASSSNSSTSSSESQHTQENIFNSRSAVIESTVETGTSEDSASQDLNCLFSPLENELPLVKELKFMALNHLLSSFEGAIKLPENIDNIRIDGVWSNIINTRSGNERSQADSIAFEVYHDLSSDVFRRFDNNYETDYAMFMQELVMESSESTIATSEGATLEIKIPSEVSKKTD >CRE15787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig386:26260:27119:1 gene:WBGene00083320 transcript:CRE15787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15787 MTASKSIATPSASIAVITGTPRERIDAIKQELDRVYLKQLLKNFSLEEKITLTENQSSRRYHLRIEILAAEKREQGARHLKRAKIMEEIEKRFILRVAQAIKKKYNEITDYQQMSHRTMRQGNAAAGIEFTRAQRSRGIQGPDNGDSSDEEAEGGRDADAAEARLHQRHRDEGADYEGEDEERVEVRERDDEPMDSDTEDLNKEGGGPETEEREKEQMVNSSRIQAVQRLSENISSYTYDVKSNKWCEIVFELPLRNKTKMDVSSIVEKFV >CRE22276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3870:1755:2668:-1 gene:WBGene00083321 transcript:CRE22276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22276 DDASSLCSNESKLLKFPTTPIKEEEGLFSCDQCDKVFGKQSSLARHKYEHSGQRPYKCDICEKAFKHKHHLTEHKRLHSGEKPFQCDKCLKRFSHSGSYSQHMNHRYSYCKPYREQPGATSPSDPSDALNGSLTVSPSSSNTPPPN >CRE21709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3871:87:685:-1 gene:WBGene00083322 transcript:CRE21709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21709 MTDNATILQNVPVGKKVGIAFSGGLDTSAALLWMKQKGAEPYAYTANLGQPDEDDYDAIPRKAENYGAVKARLVDCRLQLALEGIAAIQCGAFHISTGGVPYFNTTPLGRAVTGTMLVTAMKEDDVNIWGDGSTYKGNDIERFYRYGLLTNPALKIYKPWLDQQFIDELGGRAEMSQFLIDNGFDYKMSKENAYSTDSN >CRE20083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig389:28944:29411:-1 gene:WBGene00083323 transcript:CRE20083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20083 MIEVVVRAYQFVSHSRVWSTWILVEVESQREHAVRAFELNGEFFLQKTPEALGVRPFRSTGPSEQWAALHSAIFDYVNVTAPRPRYSTSRLSSMRLIRENESVCGCLPSLSHQDSNGLSEFCKTRQIAQKAMLQLHHKLQSRSLDSILSLKWRFP >CRE20084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig389:30440:31049:-1 gene:WBGene00083324 transcript:CRE20084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20084 MTGSNGVDFGFPAIGFTLNSIKSHGVPYLSVRVDYDPLNFDSITEDAIKWYQWIDDKFVFYHEHPPRPPPECPAEPHSHDGFFVKVEFATWHRNSVPVEHPRCNCDPWYDDPLYDHSDPPECQALAFAAVIADGLRAGKIMEDIKIEPHLFELPSTTRIRTYWPSGPTRAETLSEMSTLRRAFSTWNL >CRE20085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig389:31619:32124:-1 gene:WBGene00083325 transcript:CRE20085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20085 MTPKFDRMYEMFRSKFSNDVDPTVCKLAPPRLGDPYHGITQFEKDLRKQRKHFLACTRIARLFEKVLGDVRKGRLDSHLVDRCISRFASEHCGESSDSSDSSDDSDAGESDSDNPPSSSDDEKDDSDDNAFGNLEFSGYLEEIDYFPVGQAN >CRE20079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig389:4108:4788:1 gene:WBGene00083326 transcript:CRE20079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20079 MQSTEKKFLKSFVFKETQFVVVTGYKNEAVRKLKTNKNPFARPDYKEDYKEDIVENSEKDSTWDSGISSMNSTVASSIESPTSRRDSGVSSHSQNPYQFWGSSMTPGPFIKKEPTPENYRFDMSYTSGGASTSDSQNQYQFWNSSVTRGPSSGVKMEPIQFNMDLNSQYNNLPPLHSWQQDPYPLTATPGPSNQPWDENSFGQKQNYKF >CRE25014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:679021:679484:-1 gene:WBGene00083327 transcript:CRE25014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25014 MSTATFPLLRLPENAQRKVLNGFDAIQLINFSLLSKNAKQLAKSLNHAIDKICLYVDDVIFIRIIYDVEYQEGSIVWSLLPPRQIRGRRGTVPIYMPRRVLVVKRLHHGWLFEEIEEYQNPGLSISEWLELFPSGV >CRE24994 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:483739:484456:-1 gene:WBGene00083328 transcript:CRE24994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24994 MTSTSPPFPLLRLPYLPLYKVLSNFECEELHYNNTLQFYPPPKDRKHIITALIDHFSETFKIPITFVEFIANDFDDYLSFVPCISESEEIEFYGKTPISEEDQVYIKRTVKPKAKLSFYPRKDE >CRE24937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:652088:655063:1 gene:WBGene00083329 transcript:CRE24937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24937 MESSPSDQKHPLDASHKTSEDTRTCRSDFERMKHLMREQLSARSSWPLYVDTESYYVNLEHGSKLALVSIFNVTTRTVYLFRTHDFNSAELENFRNEFKKLVTKRETVTFGREEELKCATCDIRRHPQMSLQDTAARIGAPISKSKTMSNWTAWRLREDQIRYAMMDAIVLHYINLGSALNWSYVSSITCTNEDVDWTFNVAIVNVLERVNTELGLATGRRNKSVNEC >CRE24985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:444447:444914:-1 gene:WBGene00083330 transcript:CRE24985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24985 MHLSFCLLLFASLFGATVQTCCKWPVYRDIQNIPKQYAMDAVPREKNWNGCDQSLTLRCAGSSGEAPQVFIVGNYSTRPILQSLDYVIASQWDFPLKCDTNTGLWKVPFGNRQNLVYKEFVCVWTTMDHKTLVFPKFFNGV >CRE24942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:696417:697073:1 gene:WBGene00083331 transcript:CRE24942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24942 MNRLKTEFSAAESDIDALLNLQNSQAIKEVIAIVKKLDNTLSLLNSFRISKDKRKKYQGVSKPLFQRISSLSSFMNHMEYQAPRLSATWKPLEQTLGLLENYQDQFNFTEEFQIEKACIMGYNISFDLTTSDPELIAFEEYLKNYSLAEDEFHDAWRELSKNTKTENLLVYENPEAHVENIKRRLEIFLAKYSTEEMEKVLKNLGYVLLKVLDKIIFQ >CRE24978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:358210:363783:-1 gene:WBGene00083332 transcript:CRE24978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24978 MRFLKWRKAEGGKRNEHFSGGKRKAEGGIEKISAESGERKAEAKIVWRKAEGVEWTSRMEDTKKRWNRSQKGRIDDPDGYRRRTSRSGGIGSRWIRCDWRRRLG >CRE24979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:367062:369764:-1 gene:WBGene00083333 transcript:CRE24979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24979 MAFSKNGRSLSTQENAKNASPTWESARERRKRRRPANSTRLSRQLQSARDRLLLLNTLASIEHVLKEESIVIEREDDLNKQIDLQSQLKEAKHEEKKAQRKNTAAAFAVLATKYHPAKPSYDHLKPENVVKGPSTTSGPISTTSEDAPIAPASKTEEADPKVSVRMTN >CRE24992 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:474654:475357:-1 gene:WBGene00083334 transcript:CRE24992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24992 MASNAPKFRLLHLPRLALKSVLNNFDHLERFELSTCSKRCKRVVESLKHGCIQIGVQINHRLTSVTVSSGSTLKEYIWFHLFKSPPSGDHQFLTLNGRTIRMTKDSSMLENSKSVSFYCPRELTLDIKALVNHLVEIFKVPIKTLRFDMDDFDDYRDFVQCFPKCDNLKIYRTRPISVEDKTYIKEHVEHKHFYINRNPL >CRE24902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:92708:95486:1 gene:WBGene00083335 transcript:CRE24902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24902 MTPQKSLMEFEKGQIKLLMNQGVSIRQIAKAIESISPTLSSTKCHCSCEVYKKKCITPQGLYFVILVDAWSPTNRIVDLTSDSIVEKFDSKWRVYSFVECIPHPMNTTKEEIYVNWIQSDGKWTSIETLFVKPMENKQTIVFKEHDVKALGAETEGKIAVLYLGWNSEHMYDVDVEWFREHYKRVYDIAEARNKFVEVLKKKVSDKEKKALIELEQCMTLDLQYDCSNRYWFLQDLTYFHSKIQQDNGLGNVHYMCIFRIN >CRE24927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:365073:366207:1 gene:WBGene00083336 transcript:CRE24927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24927 MELFELSQVSHRVLNLLKLSRIPVVRSVNVLIGKMDSIFFYEFGSSTKIDFAIDFVEKPQSITGQIKINNICIDISKNTEKRIIYCKSSQYEVALVPLLTHLDKIFFQVEWAIGIELNVLNTMREILHFSRLSVHNLGKMPLEDLKALDSEIAYLKNHQFTETDINEFLHHWIEGNSKKLRHLHLDGFKDTPDWDILLKDISFTEWNPKERERYYKSKYTNEVETIDCENGRDFRNKDGQLATVVHHSEFLDFLVWNDRFKQ >CRE24913 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:177774:178538:1 gene:WBGene00083337 transcript:CRE24913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24913 MDPTEMQYQVLAMMIKARVDIVVDTLLLLVAIQNVIIPFSARPNFRRPLPFFNIPISSLFVPCRPFF >CRE24947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:743381:757049:1 gene:WBGene00083338 transcript:CRE24947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mlt-7 description:CRE-MLT-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MHX6] MRRLHRNHFSLLFLLFLILNDARIDTQTLSPPITDRFKCLTNGCCDHHEWCRFWASIGECNANKDWMAENCQLACGTCTAPPAPLLPVTSTASSAFPTQGGFFQTTTVSSGPTTTVTIPPSSLTAVSSCERVKDSIAQASELMSISRLINPVEDNFGRNMLSIDDITRSVPTGCVPQLSDVGVDCRKSLCYHLMYRTLDGTCNNLDKPMQGAAFRRFNRHFPAQYDDGRGEPISSLNKSRPSAREANRVMLSSAQSVVHDKFNNMMMQWGQFMSHDMSKTTLQPSANCKTCDPVPSKCMPIPIGEKDPNLGFKSKQCLKVSRSAPICRVEPREQLNENTAYIDGSMIYGSSLKDLHKFRDGRTGFLRVTRFNNQNVLPFDQSKCANKDKCTASFTAGDIRANLFIGLSSLHIMFAREHNRIAQKLTELNPTWSGDRVFQEARKIVGAQIQNVLYKEYLPKLLGVSFDKVIGPYKGYDTNVDATIANEFTTSAFRFGHGMIEEFYKRVDLSGNNITHGGFFFGDGVFKSGKILFEGGVDPIIRGFMTTAVKRPHRMTPAITEKMFGSTDLGSLNIQRGRDHGIPSYNKMRQFCGLKFATTFDDFGDMILDRNLRAGLARNYNTTNDVDFYVGSMLEDPVIGGLVGTTLSCAIGEQFKRARDGDRFYYENPGIFTRSQVEEIKKSSLSRIICDNADNFELVSQDAFLLPGSNLTPCNKIPEMDLTKWRAL >CRE24936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:647108:649330:1 gene:WBGene00083339 transcript:CRE24936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24936 MDAIALHYLNVKTTVDWSCNPPRPLDPDTRPTFYLPTEPNIAQISQLDHGGVLRTSSLNSACSRVRPPLLRILPVNPDHSIINLRLTTFLYETFLRSYR >CRE25020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:689540:689623:-1 gene:WBGene00083340 transcript:CRE25020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25020 MPSAFPLLRLPDNVQRKVVNGFDAVQL >CRE24993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:475926:476612:-1 gene:WBGene00083341 transcript:CRE24993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24993 MTSNTTKFRLLYLPRLALESVLSNFDHLERFEFSTCSKRCKLVVKLLRNGCSEIDVQLNHRVTSVTVSSGSKFKECTWFHLFNAPGCGNHQVLTLNGRTIRMTNNLRNVCFYCSGGLTVDTKSLVDHLAETFRVPIKILEFEMDYFDHYRDFVQCFPKCDILRISGVCPISEEDITYLKEHVEHNHFYKNGNPQ >CRE24976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:346187:347435:-1 gene:WBGene00083342 transcript:CRE24976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24976 MLGAINQKPSGTVEIKSHEHRPRPHRPRPHRPHPPREVTKCPDSWILFKRPQGNWCVNVSDKLTTKYKNGNIQVFTNAQTWQSADDMCKVYGGVLTGLQTNEERLKLAEKARLITAPLSSVGSYVWLGAKRKPECPRLGICPKPDTFYWTDGATTGTEGFGFAFTQPDGQVKGAFGVQACAAMVVFSSGYGTGGSNQNIHGQLDDAYCQQQPFPVTLAACGKKATEY >CRE25017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:684397:685483:-1 gene:WBGene00083343 transcript:CRE25017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25017 MSTAFPLLRLPDNAQRKVLNGFDSVQLINFSLLSNNAKQLAKSLNLTIDSINLYADDDFIEINTYVNYEQSLGLAFYPPEPSTGIQSVRGTVPIYVPRRVKAEKNFEDIMEFENPGMSISEWLKLLKEVFNHPPVLDISWTEPDCLFDMKSLKDTVNGFSVGEHTFTEQCSIECAQLALKNMPESKTVLFEGPAFGNPVDYQDMLIQNVKRLVIGSCDDLHSFDLKISLDDILLINSEWIEIISRHVTDKMINRFLKHWIKGSNPRMEHMRIRFEPNRIFDKDMILKGLKYRRAQPIRNIFRMINLGVCSAEGGVDIRRKDGTEGTITFINRNGNSSIVFYVSN >CRE24932 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:480171:480442:1 gene:WBGene00083344 transcript:CRE24932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24932 MFSLCSKRCNLVVKSFRHGFTGIQVALSQDYLCLNLSVKGSRNVSFVIDEEVEFYVHQNFNTGREDDLGGRR >CRE24946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:733538:735953:1 gene:WBGene00083345 transcript:CRE24946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24946 MVPGPLVHSTFSTDPNMQMRCGCETSWLRNVLANEPLFFDRGNIQHHRPEKLLRGKQLAETLEDARARKMEEKEQLNKHPQDRENKDQEVNEQPDQGEQDYKEH >CRE24991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:471172:471845:-1 gene:WBGene00083346 transcript:CRE24991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24991 MTSNAPKVRLLHLPRLALEGVLSNFDHLEPFELSTCSKRCKQIVESLKHGCTEIEVELFNGLTSVTVISGRNLKEYTRFHLSESPACGNHQFVTFNGRTVRMRKTRGTVCIYCSEGLTVKALVDHLVETFKVPIKTLKFFLHGFDDYRNFVQCFPKCDNLKIYRTWPEEDFTYLKEHVEHKHFYINGYLQ >CRE25002 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:567162:567621:-1 gene:WBGene00083347 transcript:CRE25002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25002 MPLDYQPFTMPHKVQWNGCDQPMIMGCAINGGYSKYWFRILGNFSSIPDNIESFFPKMLLNHEKKFGSPDYIDIKCDTQTGLWYWDNPYNTWFKTRQYKEFCCNWAREVHAPGWPTKFSIPQSSPDFPESSLVSHWD >CRE24990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:467302:468541:-1 gene:WBGene00083348 transcript:CRE24990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24990 MVGYIFRTAEPSDYDAIMKYMDEHYYHEGPTIRATKASKEEWLPRFGKLVERCLIAPLSIVVTTYEGDVVAVSLNSVWKRKEDERNRKRGGHHMALSNYSETMQKYLTMLQKCHDEFWSLAPSDTNIVIYREISSVGAPWQRQGIASKMLIRNLTVAELLGIDGIVSATTSHANQVLLAKHGFKSLKEFPYSSIVSEEGTRLVETDDGSKGMRINFKRIEEYEL >CRE24930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:449440:449942:1 gene:WBGene00083349 transcript:CRE24930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24930 MHLSFCLLLFASLFGATVQKCCSYPLYDKFQYKQANLVAEVTGWNGCDQSVFIECRGRDWAAQEATLIGNVTTRATWIENRRFVAEGEKTELVCDTSLGLWNYKNEQQKYENIICMWRTISTKFDSYPRDINGVAH >CRE24952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:89283:89573:-1 gene:WBGene00083350 transcript:CRE24952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24952 MSIGNVRLTSTSRKLHSLNTEVLSMQVGIKAFEKATNAIFADEERQIFHSIEKLEGRTVDLENELLIFEKAENDIGDDIELSKKGPLLHQCWVQKN >CRE24938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:659987:661153:1 gene:WBGene00083351 transcript:CRE24938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24938 MNPIPKCGIDRQVALFWRSEEQEWADLQMEVTNEGRIRQQRTLRAHAHDRIQSKEVTVSLNKKEFEAIQEQLNHLRRIIVTFGDEKSFLRPECNKQNIQPRTSPSLKDYVHEVTGLEVLKTETMSNWRVLNLRKDQIWYAAMDTVSLHYLNAHTPRPRSSLLVSTLPHVSTLLSSQFLL >CRE24965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:239037:241954:-1 gene:WBGene00083352 transcript:CRE24965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24965 MEIFHLFPILFFLFSPVFSREKFGGEEVKPDELRSFARSDGDELPIPSEIPEKIKKFCEKDPSYEFCIKLGLGKKDKFSKNRFSTDSEEEEITVDDMAETREDEEEEVVEDDASTGIDCELEPLHPGCQRTSLSSETSYFDAFIAWKIQKDCEVRPDGKFCRCLENSESSDYESSPSTTTSTPKPSLNLSDILRRILEAVGGRAPESTPESTTTTPEPTTTPESAPESSESVSGLNLFADLLRTVVEMEHNSHRNCDKNTPESVASESSPESSPQLDKFIQLLVQVMKLQHEMARNQNQGKAIPESVAPESSETNQDIYIERQPHRFAPIYGRIPDDVENQKQESSAWY >CRE24989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:465305:466094:-1 gene:WBGene00083353 transcript:CRE24989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24989 MTNYVFETAEQSDYYRILKFLADHFYHEEPSIRATKVGIEEWLPIFAEMTEASLRNQFSTIVTNELGDTVVGVLLNSVWSREEDERKKKETGKAADHDISGFSETMQRFFFLVTVLQKCHDEFWSLAPPDVNTVIYREISSVGAPWQRQGIASKMLSRNMKSAKAMGIDGVVSATTSHANQTLLAKNGFKCLKEFPYSKIVSDDGAQLVETDDGSEGMRINFKRIEDFE >CRE24916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:247461:250219:1 gene:WBGene00083354 transcript:CRE24916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24916 MSASLRAHLSKDETEPFLSGTMLLSQWLGLTLFPVSIIGTVCNGLIGYVILRNGASNHSFSILTGHQAIFDGLICIINLIYITPLMVFDITFMKDERSQHVGFLLLLFHNVSAQTSVVITVNRFCAVFWPLVYKTACSSKYTIIVILISFSIAFSQIITFYQILPCRLLYIEELFGFHYTQLCQDFLWYLDTGKLMGICVFNVVVDGITIWKVRRIRSAQGVTKIQKKEIDFLKQV >CRE24957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:162322:162678:-1 gene:WBGene00083355 transcript:CRE24957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24957 MTSTDTEKPFRLLNLPLLAFNRVIQNMSIQDILKFALSSKRTKTLVRLGNHKLESFKVELRYFSSPEFKLARSDKDVCCVTVWRNH >CRE24988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:462591:463437:-1 gene:WBGene00083356 transcript:CRE24988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24988 MDDLPFQTGVKQRRLVYETDTPLQFSGRIWIQPELYDNMAFVLFNELNEEILIDVAERNRYWIDDQNYYKFTSEHVILPVGRYRCYMRQVQHYHGNGIGFTVNPKIVLEYNLSMCRVGMLTELRVQNVPNGVVDRVKLVIGEEEMRNFRVVGQTFHFPYTSQLVGAINVKIMIYGRKCSSNNHNILVQPAGPNELGDVFVPAIPDVEDD >CRE24906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:110460:110778:1 gene:WBGene00083357 transcript:CRE24906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24906 MQKDGKKVAVVRPILRAEEPRRRQVQRVEDVAINFEGAQRQPMAGEELYVGYWKAGCTAIFFVLLIVVAIVFYVRFA >CRE25009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:637877:640940:-1 gene:WBGene00083358 transcript:CRE25009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25009 MEIEKSKINFFVNNTVPEIKKIYTIRSRQSRLKSFKAMKYLYWAHLGKEITKFGFYLTAFSSTLFIILTLFYVKEKIGSYRYLLLLLPITGFFLASFEYMLDSFFHCYNHALVHFRFTSFQSLSYEVNTSFLSFFPGLYGATISTLALQFVYRYWLIFQPHNIHRFFDGPRLIFPVSYTLFTGATWFSGSILFTRLDSKCVKYLEKEILNCYGLVLAEQPALAFVAYDNNGDLRWESLVGMGSMSSSMTFQYAIIIYCACIMGLKMQEKIAMLSSKSQRMHRQFYHALVIQITAPSITLFSPVFLLFFAPYLDIEMSFPGIFMSASACYPAMDILIMIYMATFYKNALIDFLKTVLLMNRGTTQKIKSVIQRYQETQMNTVTTRATRPDN >CRE24963a pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:204240:216704:-1 gene:WBGene00083359 transcript:CRE24963a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24963 MRRVVAEEAIGAHDEDDQPPIIPRYDPAHSDAQIPSRTQVVRQIYTAGPQQIVRTIPATSKNVIYLKSTDGGTQKMMVRAATGKPSSDQQIYRQNSDFQPGTVLPGGTRVVAVRPGNIQNVRQVYNPGNGGANGGSTRFVRVQNATIANSRPVEQPPIVRRVVQQRPMDTYQDQDQNVSTGQQQPRYIIQGANTQQKMPRTMVPRGGLTMQMVQQQQQQQQHTDHRRLMAGRQRQKVTTYRDFMASRGYLDSPKFMMQPKPTFLPFEFNEEEEREINEAIAREEEWMRLEEENKIGGYDSRSYGTSPNDGNRAPPYVSNLLPSSNDTPDDKVIKQVLDVMFSQVCRWDRQYGWSKTHMKRARQKNETEKTQLRKVRLSQREVLISEHMDRLKKEINKRRTKIENEAEQQCGLLTPWRKSRSRPHRTAKPKTEVKKEVINPADITLGGDTYDYGKERKPLDSIASGVARRRRTSANLSKSEDDNDHHHHNHPHHSSSSTASSTTRGIKERRTSEPPQQPRVTFYTPSSGSGVIGDIDEGIPHCTCQKLFDASRMYIQCDMCARWYHGDCVGVNEKIAAKFENWTCEQCIEEQERCKEQPALYCVCQKPYDDTKFYVGCDSCQGWFHPECVGTTREQAEQAADYNCPNCIRDGYESEASEASVSSRTSVQLTRADYTHVVELLELLLEHRMSTPFRNPVDLNEFPDYNHIIRKPMDLSTISKKVETTGYLYLGEFVNDVNLMFENAKTYNPKDNAVFKCAETMQEVFDKKLIEVREEMTRRQQLLHLHQQQSLDPMSSARKRVQSESQKTTDSLDIDSDQLLPLDASLMKYFF >CRE24963b pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:204240:208599:-1 gene:WBGene00083359 transcript:CRE24963b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24963 MVLRTVFLPTACYTMPPPAYCTSDMARFSSLSLSCAFQESDSKDSKKTFVSSESPDENLENEIAEILPQFESADSDWSAFGYLLNEQPTTSTSRKVTVFQKPVEPIGKGTKRRRRMNADMEIAAAKPKTEVKKEVINPADITLGGDTYDYGKERKPLDSIASGVARRRRTSANLSKSEDDNDHHHHNHPHHSSSSTASSTTRGIKERRTSEPPQQPRVTFYTPSSGSGVIGDIDEGIPHCTCQKLFDASRMYIQCDMCARWYHGDCVGVNEKIAAKFENWTCEQCIEEQERCKEQPALYCVCQKPYDDTKFYVGCDSCQGWFHPECVGTTREQAEQAADYNCPNCIRDGYESEASEASVSSRTSVQLTRADYTHVVELLELLLEHRMSTPFRNPVDLNEFPDYNHIIRKPMDLSTISKKVETTGYLYLGEFVNDVNLMFENAKTYNPKDNAVFKCAETMQEVFDKKLIEVREEMTRRQQLLHLHQQQSLDPMSSARKRVQSESQKTTDSLDIDSDQLLPLDASLMKYFF >CRE24908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:135801:137036:1 gene:WBGene00083360 transcript:CRE24908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24908 MSLKVLSRNIQQVRGMIRPPKNLPFRGIFRKDGEVVRKDELLVNQFRMNYHPGLNVYYENDRGERLLRAHCDGVVRITREKCDPDYEIEEMKAYEYRKDVDLYKMTFNVVPLELSQKHTLKHEI >CRE25007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:614731:615060:-1 gene:WBGene00083361 transcript:CRE25007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25007 MYFTQFLYAFQVNAKHVTKYVTQVLVAKKEAELKLENQKFGEKKEINKRKELLMSGMSEDDVDRHLENMKTKRVTSSKRKLDKISGQLERRNEVKKGEKTKKKPKIEKH >CRE24998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:538597:539299:-1 gene:WBGene00083362 transcript:CRE24998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24998 MKKADKKFDEFDDDEENPLAKLPVVKRPKAPIQKNIVVLKRHGCKTEQAHVTVSKPRRLKTVRQKLFWSQDVTILKCPKNMYWFQKVLPLDVSKPGHLETKKGAVKWFICCDELEDLMEYDKPLVAVHLNSAGPSHVDVPRGESLSIRG >CRE24953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:97031:99825:-1 gene:WBGene00083363 transcript:CRE24953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24953 MCFWKIGATAASYPCLLCTWNSLLFPPKEKPFPLLRFPTIPLRLITKMMKPEEILNLSICSYRLELFLRASRHRITCCNFHVSDRHLSVSLKIPNSMAMSFKIGEGKKQLNSVDKLGVLCRSLREKKGSFWFNQEFPPDEMLELYKRTISLYSPFLIIWVFYFDSSSPSVDSSCRYLVENLTTMISTNNYDRTTYHRFVFQNGSVTREFLTELMDWIPVTASFAVTADIPADFKHSKAFKYKTVEYKDARWATLDDLKSVNSGCFVNLQFSNFDCHDLNEFLKYWVNCDEAILKKMALKLKEGTVINEIVLTDQLTILLYYVDGLPHFFIKMKKISNEKLVVGHFVVKQDKTVEFNVWPRDKFSEIFEMLELLEKMKELEKELLGIDRGDQPNSSEEIGERNRKSQAITEEVRQLIRQFEELNEYGLIFRYDIFA >CRE24923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:300647:306612:1 gene:WBGene00083364 transcript:CRE24923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arl-3 description:CRE-ARL-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MHQ0] MGLMDVLKSFKSPSGREIRILLLGLDNAGKTTILKQLSSEDIQQVTPTKGFNVKTVAAMGDIRLNVWDIGGQRTIRPYWSNYYDNIDTLIFVIDSNDKKRFDEMCIELGELLDEDKLRRVPVLIFANKQDLPTSASSEEISRKLNLDLLRDRTWHIQACSALQNEGINDGIAWTLISSHPISIDTYKKEVENAVELLYSADHFHYFFTDRDGTLKSYSCSYPSSIQPAYSGVIQAQFARRCAQTCVILTTAPLMHIGVLDVSTIPNGYYYFGASGGREWFIDSGHNFKDESIMKGEKADVLASAYTRISHLLEEPEFRQFTWAGSGLQKHYGHLTIAFQDVYRSITEAQGKRLYEEVEKIIKDVDPQGTRLQLASTEFDIKVYMKTETDGRVFDKGDGLRMLCEKMHCDLTEGNVLVCGDSSTDIPMLKECLIRNPKGVYTIWVTVNDQLKEEVRALCASYSNSNVAFVSCPEVLLGAMAQATIREITITRTRKMSRNVV >CRE25016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:681955:682917:-1 gene:WBGene00083365 transcript:CRE25016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25016 MDPFRINFSLLSNNAKRLARSLNLTIDQIDLCPDDDFIDIETIVDNEYWVGWTFYPPEPSTGVQPVRGTVPIYVPSRVKAAKNFEDIMDFENPGMSISEWLKLIIEVFNHKPYLSIVLTKPDCLFDMKSIRDTVKGFNVDGLIFTEQCSIECAQLALKNMPESKTVFVDGPAFKNPVEYQDILIQNVDFLTISSLDLDLKISLDEMLLINSKWIKINSRHVTDKMINRYLKHWIQGSNPRMRYIRIEFEPNRILDEEVILKGLKYSRAQPNRKKYFRMIEYEGVVTAEGGIDIHRKDGTEGMIAFEDLRGNSCFVFYVSN >CRE24935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig39:565586:566182:1 gene:WBGene00083366 transcript:CRE24935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24935 MHLSFCLLLFASLFGATVQKCCQYPLYIPPKMPLDYQPFTMPHKVQWNGCDQPMIMGCAINGGYSKYWFRILGNFSSIPDNIESFFPKMLLNHEKKFGSPDYIDIKCDTQTGLWYWDNPYNTWFKTRQYKEFCCNWAREVHAPGWPTKFSIPQSSPDFPESSLVSHWD >CRE18278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig390:6765:7280:1 gene:WBGene00083367 transcript:CRE18278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18278 MFIYEYDYRADPFIYEDSYKVSSSITDLIHLVLSPITVLINLIHLMILLKKELRSTSFFILMIGMCASDTLQHVAIFYEKVFEEKRILEFSHWSKHSTCLENGFYLVDPFHGLKKAVLSSTKSITFFLFPKVCPQELPFSWCLLYSQYDFFIIHVILCFIKTMVSRQWRGK >CRE22720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig391:8409:8900:-1 gene:WBGene00083368 transcript:CRE22720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22720 MLVVDGSRDTWINDFCEEIVEGLKKVFRENAEHRKEVLRHERWRVAKKREQIKKNVSEQKQEWAEKQVHAQLRRKRKLKKLKKRRKEQRKKVMKIRRRTWIAFRVFVMIFRSEIVTLDKLGIESKTYKYAISSPISLEKTFVPRNKKKKKLKLCYGYRKSEHG >CRE22716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig391:16504:16901:1 gene:WBGene00083369 transcript:CRE22716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22716 MTKVGTENYQPPEILDGKPHSFPVDIWSLGCVFYQCLEAQSPFPQCSRKAMIDAIMSGKIRRNTYMSEESLIFAKEMLTVDPKKRLSALAVSRHPWIKKQRKEIVAIERSKLRNQL >CRE22718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig391:19871:20112:1 gene:WBGene00083370 transcript:CRE22718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22718 MLSCQAKFRRNTYMSEESLMFAKEMLTVDPKMRLSALAVSRHAWMNKQRKEIVAIKRSKLRNML >CRE20670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3913:2757:3785:1 gene:WBGene00083371 transcript:CRE20670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20670 MKITVLGGGHGCYAAAAEMAEKGHEVLLWRRDSDALQQLAATGQLKIKDYQGDRTVTVGFEKSQINLSDDLQRAIEHAELIIIPLPATTHDDLAHQAAPFFKAGQVVYLPPATFGSFIFAKAMQQAGNTAQVAFAETGTLPYLVRKHGVNQIVISGYATRLPTGVFPSVLSQQAFQVLKQAYPSVEPVEDSLSGALMNAGPIIHPPLILMNAGPLEHFEAWDIHNEGTQPSIRRVTNQLDAERIQVREALGYVAPHFPLADHYNHEGEGDEWMYGRGAHGKLTDSGDWREDIDLDSHRYMLEDTRLGLSFLVSVGRWAGVATPVAEGLLNIASAVTGKDLYQQ >CRE22165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig392:3851:4388:-1 gene:WBGene00083372 transcript:CRE22165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22165 MNSETEERETFQLYNAKDDLIYLKSQTICPEIALKYIRWLEHLDPDLKPVYGCMWHGCKFCYPTRDVKCPKRTDVTMGVLYDATMARKSLLEQEGFTVSSIWECEIKSELKKNRETPWIPI >CRE02794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3921:214:786:-1 gene:WBGene00083373 transcript:CRE02794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02794 MKSSEKTLREHSKFLSLVLRHQPETIAIQLDSEGWTDVHILLQQMIKHKRPLKLVELIEVVESSDKKRFQLSPDQSKIRAVQGHSSAQVQREYAAITPPDTLFHGTATRFVNSILEKGLISGERHHVHLSADLVTAQKVGQRHGKVIIFILDTKQMHQDGFRFYCAENGVWLTEQVPIKYLKLFESNQKN >CRE29408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3929:3303:3632:1 gene:WBGene00083375 transcript:CRE29408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29408 MRHGDALALLVRDSRIEPRVGEVGEVVEDHDRDRDDEEAALEHRVVAVEHGFVERASDAGPGEDDLDEDRAAHDAAERERDHRHRGQHRVAHRVGADDPAAGEPSSPAR >CRE21592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig393:19966:20709:1 gene:WBGene00083376 transcript:CRE21592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21592 MIRRRSFRRRYKKTKDTSISKIMIKFSLLRLGALNPHYQFHIVGPPILVSNSVRDLGILFEPDLKFSAHIKKSVSLARLRSSQILKSFKSNNPAFYSFLFKTYVLPILEYASVIFCLAPSSSLSRLLESTLRTYSRKTLQRCNIAFSSYSHRLELLSIYSIRHRRLKAQLLLLYKFIAGASHFPNLNSFIRLSSSPRRPMTLIYLSPLSDNFFSFILPIWNAIVANVSSFLSPSQFEHLLDSAITRF >CRE21591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig393:12958:16327:1 gene:WBGene00083378 transcript:CRE21591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21591 MKILIPPKKKQPNLLSTLFEELLLEDSRIRTQEQVVSFSKLLIKQFGRLREDSKPTEKESLQLENLKNTLNTQWKDKRDLIAERKIDVCYAKITVLVLMTIIYASDTVSVFKTQKIIFVWYSSVIIMGILLSLVVLYTLLKLFRRIKAEKQSEKKLDPERQVVLEKMNDDYSEQLEKCMDFYSKEVMPLKEEARTLSNRVFILIIISVLIFLMNQWAVTFYGINEALKKFNNDSPFVVVVLSGAIIFTMLGVALVVGFLEFNEIEI >CRE21005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig394:16360:17587:1 gene:WBGene00083379 transcript:CRE21005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21005 MDLQNSSYNSFKQSSKSRNTKDISMRRNSRNEASLESDERIPRWIPRKVIPKREQNGRRGRLPYTFLQFPSRTSRRIQLTRMASEMIQTALVLLLV >CRE16595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3944:526:945:-1 gene:WBGene00083381 transcript:CRE16595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16595 MYADVIIDVPFHDVDTMNVVWHGHYLKYFEIARCKLLDQFDYNYNQMKDSGYAWPVIESYVRYAQGIIFGQHIRVRATLKEWENRLKIEYQIFDAESGKRLTKGFTSQVAVEIETREMCFQSPQIFLDRLYAWSEFKTK >CRE24848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig395:22068:22807:-1 gene:WBGene00083382 transcript:CRE24848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24848 MEMREKQRKKEEMKEESKAERQKQKKAATEKQKHIQKISKMSHRDAPTFPFPLRMMEVIDMVEHNHLENHVNASEDTNDCIFLLFRLIVIIILFFIGKPRIDWAAY >CRE24847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig395:16406:16988:-1 gene:WBGene00083383 transcript:CRE24847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24847 MSYLMDVTKIRNLIFLEFSIFYVLESEVLGEDRAGATRSRSKSTETPIILMEKSRSVKKEDDEDMEIVEIRLEIDARLFWNAFWELPNENRKFWKEFEPICDKIKKLSEESKEKVLKVADIVKSSRVSWT >CRE24846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig395:11591:13296:-1 gene:WBGene00083384 transcript:CRE24846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24846 MTGIAVELLTKTWRSLYWNNIGRQLKDKYLEPNISYRLLEEINGTKAQPAYKHVGYHFSTLDQLFTVLHKKPSTVAELLNSFDKNDVSFPMMQSFRILIGFRRCTFDGCTFDENLPPDVEITCKAKSSFVGFVADINVLTKMIRSTPASTNNNTERIVSTCEKLPETFICTAQKSNVENSEECSKVPTLRNIHRKVQKSLKKPEPRSMMPSKSKTKNKGFWGRKTLTCSFWTIHQESMWKRVSWNVLTMKQRRQGAGRKQNDCCWIRRQHANRHRLRHQLSQRKPSFE >CRE24844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig395:1354:3197:-1 gene:WBGene00083385 transcript:CRE24844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24844 MKIFELIIPLVLIFGKISCSAGKKESDRAEGREKNITTPTVHEKPDQNCTEDYTEDWYIKYFNLFDQTYINYADGCKKKFKAAMFSDKLATYPLAKGFLHLTNKTLSFPSR >CRE09957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3978:1461:2210:1 gene:WBGene00083386 transcript:CRE09957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09957 MNLKDKKVVVTGGSRGLGLGLVEALVDQGAKVTVVARNVKDLDAAAERLGVYTISADITDEAAAHRIIADIRPDVLVLNAGAAPRMGLLDELTWEEFSINWETDVKAAFFWFQAVLKTPLDPGSRVLVGSSGAAESGSQMTGGYASSKRALWFMTKYANLFAQDKNLGIQFQAIVPRLMVLGTGVGDSAAGAYAAAMGITPEEFVSRFGAPMPPRAFGDHVISILKKPQFAESMVLGLNGNNGVTVIDL >CRE23184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig398:12827:13483:1 gene:WBGene00083387 transcript:CRE23184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23184 MKLLSFLPLLLTLFRIATPTYFKANGTLTCDLQQAWCYYIQMTEVDNFRVTDDKVAFSGVHCVHGRDANYEIAGWQLVDGFRNYYFEIELSVTHNCSCPRNKRKVTREVAFVSVYKWRVDYNWNANLTQSGDFVDDYW >CRE23186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig398:1080:1552:-1 gene:WBGene00083388 transcript:CRE23186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23186 MLLIPQELEEEEDKEEEEDEEKEDEEEEEIEDDQPNNVDYHFVQNVAVRRPYSRSPSRSLSPTEDTRRARDRPRLPRISNGFGKTSSSCKKRKTGSSGRGDFCGGNGIRFGSRGTLQSHSELC >CRE23185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig398:15777:16381:1 gene:WBGene00083389 transcript:CRE23185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23185 MHSTVFTVLLFSSLIGGTFGFWSDFPPVFLNSENDGYPRNAVDNVNDPIKYHFLRPSDITGTMARKFVLKLNKAIASGDTAEIGKSFSSNFILHGCYGLFNKNQTVELLSLPVDNVMWQFEYIKYRTEDKFVWIYLKPLNKSDNLEYVLEWSSIFDVVSNGVIENCPQKVHQKFTSHESLLDDFY >CRE29228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig399:6756:7365:1 gene:WBGene00083390 transcript:CRE29228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29228 MAIVRVINLTAGEFLVTRAKQLLDAGLVVEHREIKLFSGNEKLFQILFDKTFVEHIGLPVVRNDGVTSEILFHQEESVAFVVSLMSKEWNMIRVDLLSVENATAEERLILFANQLREHGGRRGLEQYRTTNYAHPRNQAGLFLVNENFIERRHNAPVNN >CRE29232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig399:18864:21496:1 gene:WBGene00083391 transcript:CRE29232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29232 MLGYVKFLNLKWRIFQMLNLEFHVHPFARNAAINNKDPKKLCGEFLNRMIETRLVDTKNILRVHLQSDMDYEDSTAFQSLKNYQRSVIPSDFTRSLALEKTGKGRVLTNKGDKIELDEKPVSHSFACLYTAADGFTELICSYDSSMTRILKTVARDNGANTVYWPIKVPKTVLDLVKNDRRAYCEDLTKLINRLNIKSCYEIRSTIHILPSENDFDEFINSCEIYDIDARRVFDVSLGFFDLEYEQPEWSTIGYHQHFDQGEHISDEQIANVSSDDSFDATPVKSIVMNETARVGSFEFLEQKEIDNVNSNDKLLEKEAITHFESQSPFSFDVVESQGVLRSTRNDEQSDKLQDISADNDAHSFDSFDQIGTEHNEQVAEPAIQQQIIGVDAIISSNHYTEGELLNTNSSSLVLMALNASAMVKTQGELRLASTDEQKVDNDAASFDSFSQIETQHNEQSAEKVVMRVDADVAEYNKQKEIEIIQVVTATSRQSDSTGNDVEVIEKNSEKDAQKLTKEGATEKTKSVKRVLKESEEGVVEKKSRNGANQQCEMEDDIEISVERRHECEAIAISLRQLLLNTRTRGRLIRVLDAQKLSRMA >CRE24373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3995:176:1249:1 gene:WBGene00083392 transcript:CRE24373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24373 MEPKTLVSLSFCSRKSHSVIKTQRRLLFDGRLLVAGIDKNASFLSFTHFFFGIVRKSNHVLSALKFVDNINYEGMESVKMGGQHVRVEMDHSDGYIISYWENTTEGSKVITDYVTNLFNIDVSEVWASKQSFHIIQHVISRQKTPLRYVSYSDSSAISSEKEMIYILKYCRPMFQLSMHLKPPPNFRFSEKFPKIDYLDINDGEWVTIDNLLTMDGIDIILKSSTLTSSDVNVFLKHWLSGGCPRLKLFCAEIGSLDIFQVLAGLLRNAVFVENSRTYTSPFGYRRILSSGYDIRRADGVTATVCHQQIGKLVIAVWPETTNNDN >CRE28014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3999:2931:3917:1 gene:WBGene00083395 transcript:CRE28014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28014 MTQTPTTRVAVIGGGISDEHEVSIASAASVVRAVAALGLEAVPFTIARDGRWLTDDGHRLPAHEALARIADCDLAFPVLHGVDGEDGAIAGLLRMAGIPFVGAPVRAGALGMDKWATKLIADDLGIATSRGALAGGWAAPVGAAFASELRPPLVVKPTTGGSSNGVFVVADRSDLVATVEQARAYGETVLVEEYVRGREVDIAVFRDAAGGLRVGSTLEIGVVPGGVFDRAEKYDGSAEFTIPASLDPREEGAIRQAAVLLYEALGCAGVARFDFFATDDGVVLNEVNTSPGMTEQSQVPRMYAAVGLDYAGLVGELIAAAREWIRPL >CRE28348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2097189:2097452:1 gene:WBGene00083396 transcript:CRE28348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28348 MLRDGFHIVETRDNNIILMRGLQTVYNQNGSEFTLINGMTRVDAHGEEDEEDDDEEEESDEDE >CRE28053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:232352:232870:1 gene:WBGene00083397 transcript:CRE28053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28053 MEVFIRCYIIYIRPILEYGCTIFSPYLKLHIRKIESIQKSFVHRIFKKFGIEYTSYFNALDICGLDSLELRRLVFDLVFIYKSIISREIYCANALFTFIPSVKSLRRHPFYLRCNIKNSHKSSSQFLTNRTLNCWNSLPVSSFPVKSSSRSFKTNLKHVDLSKYLTLSPLNY >CRE28428 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:547557:548358:-1 gene:WBGene00083398 transcript:CRE28428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28428 MGFWREQRLKNEYAKRNITGRVYVWGEQADGRCVHHPQLVEELNAIPINRVLAGARHCVAISASGAVFTWGQNNSGELGMDDLKPQTSVHHVHQMDGLGVVEAACGDTHTILLTHCGRTFSFGSDALGQCGFGKK >CRE28250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1473007:1473796:1 gene:WBGene00083399 transcript:CRE28250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28250 MFSIYFLIFSILFLSGTIDSTLKVNKIPVDTVDITGFTKCSLTTKWFKKQLAPFLEEFKTIPSRLNITYHPLSIGSKIVNGSKIAVCENGELECKLNKLQCCSKKYMNSSDPINLLKTLECIQGSNLETAIACLPEANSKNLIEKCSDTDEGEQLLISEPFPHNASIVLPWIKINGVRSFEATKNFKKVICELNSAKDAKPCLKI >CRE28065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:288545:289578:1 gene:WBGene00083400 transcript:CRE28065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28065 MAKVGLEMKLLTSEVDAEAEKWDEYAENDIVKRAKAMSSMAYNMYLFTRGDGPLKTTHDLFTQAEFFAEQANQMYRTVREFSYEVPGSAEKSDLSAILERIPLHCQQLQVMVKSPTVGKTATFGKVDSVIQETKNLMNEIAKLVTASFVCATKYEIEFRGGSVNGRTGADGERTSRESTVWRRTPSIRRAAPPPSSHLSANNSSSIHL >CRE28201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1125324:1126537:1 gene:WBGene00083401 transcript:CRE28201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28201 MYIHKPPPKKDSTTFGDVLMIFVFVIIPVSIALYFIIRHFRLYYQSFQFKPRMNSPKWPKWLTINTITSDRHDPEFEVAEFRVYRKEYVRQTWLDKSGKHKEKDKLLFLMMRKMLQDILGISVNQKTLPDSTCVISIPMRNFRSSCKPGEFIAVHGGPSPTSHYEFQMIGDDRIQATYYIVGGVEYGAGVCIYIEDPYQSIIHYRESVVKKVLSGNEYWSNQNIRNPSVEIVKRKNQNKQMLVTKSKYGEVKQWNFNGDQKRFEQIGPFNESSYEIEEGTNMKVHSKLFFVKMCHSKKEIVMIMVRNGIKMHAEWNQTTKQIHYSKCVSCNEPDDSPPPSYHSVRKP >CRE28074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:316780:317923:1 gene:WBGene00083402 transcript:CRE28074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28074 MSSQIGKGKIAIVGSGLVGSSWATIFASSGYEVQMYDISEKQLQTALILVEKNLRKLDEHGLQRGCISADEAILYVSTTTSLEEVMKDAIYVQESALEDVNFRIEFYKKLDQLAGPNTILASSTSTIPASKFTAGLVNKERCLIVHPVNPPLFLPLTELVPAPWTSQDTVDRAAEIMNSVKQQPVKLKKEILGFAVNRLQFALLAETWRLVADDVIGVNDIDLVMSAGLGPRYAFNGPCETVHLNAFGVKDYFKRYGNGATNVLNDMGSVPDFSDESVIQKLEDELEKKMATTNIREHQAEREVKLIEMAILKKRLNL >CRE28572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1682153:1682720:-1 gene:WBGene00083403 transcript:CRE28572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28572 MNRARKTSSCGCFRSAFCLLKPSTSSSSGEHGDSDKKLLSAQMSATRDDDDQANSHPSRPIKVPPLDLNGVEKKNFKTRGVRRRTSEGGGRGQHLAEVVCELHRRNGWGAFGAFCEGTVKLETRLNCLVKQHLVFLLVPLSPKSSHPQHVLNWKTFFKQTKYIDWHV >CRE28616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1969494:1970482:-1 gene:WBGene00083404 transcript:CRE28616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28616 MPSQLPSVLTTVGLNLLRKIGVQTSVFFSPIEVSLSFINSSLESNELLPTDIFQVNSDFDEGDFKEKLEMLESDLEEKNLSTTTGRYPFSVHRKKQFGIDKDIIKQLEFISMEADKNCQISCDQEENMSTEDEDFKVLSIPMAENSLSFVIFLPKEDKSLSESLEKLETEAFQSLLHDLSFMYIDFQIPVFKVPKTSETLTYSSRIFNFQEFELTDKAVEDIGKCRIIPRNSTGIMQAACETIPLPFLVDHPFFFAVMTENIPLILGIFNGK >CRE28240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1420775:1422746:1 gene:WBGene00083405 transcript:CRE28240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28240 MLTSGSQVEELKRHLFGIFKISNKVMKEGETENEKSMREGKMMKAREALEKIQLTESLPGPLSSPRNRTKFVREFNMTIDKLISSTPGKIDEKVQENVEKALSDLVQAVDSDQKMQESCLNLDQFCALQGPQKLIDLLLDWTKNGLSLRLCIRICHSLCVFLKDPRVAYAVIFKPELLKLVDKVIELILTLKIEESEKSVLKVSKTNQPDTKSPQLSALLDVLSTCLKSASQRIAHLSLQTQPLKHQLKLNKELQKLDHQVLEAIFAVGDVLTFSINSDDIRNALSRSKGPVLLATRILGLAEHANQEFLSQVSTAILEHVYIVKRAAELPGKLENVDHKSVDGLVSMVISSYSQNSAIMKSCFIKNSENLIMMIVLIEDSMWKCAQRIREQGNIGERPWGTLTKDEELLCKLSQQLGNVVGNMEQWQKRSAMVSWTTGNSSCLHILLHKIPIRLLAIGKHSKRIVPTVTDKIMDRLTNSEKSQMFGDMSSDWFFENSLFPGRSST >CRE28389 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:273734:275138:-1 gene:WBGene00083406 transcript:CRE28389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28389 MNGGRKRRFPVTVAACSILVLVISVVTAQQVFDGNRQPAFVRRQPAFQQQQPNQIQFQQNRNFVLPSASPLRHRNLFTRQISHRHRPRHRSSLRKTTHQPQQAHLTSRRVIPTLSHKRTRSRTVVSSLSSRTKPNVTRVVNANQRTTTIKSNNSRRQQQQFQPRQPFMTQRQQQFRFQPQPQRQQIFQRVQRVQNFQRAPIQNQQFFVRQALN >CRE28549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1505576:1506714:-1 gene:WBGene00083407 transcript:CRE28549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28549 MTAIGVQIINTLLLIRLLDSTNLNKMVVIHGEPLTFTNYSTSSLNWNSCMSYCYQMLTCIAVHYYDQNPECQIFEIGKIHDLKQLDAYSGKIMAVKMLSNPTQCSSTSDGNSMRGEVSTSSMYQNYSITANLDIWSISASPLYKCPDSFRLFHRVLGMWCIGVSTVYFIFKLGQIQVVVTGPITQQDGSQLCIQDYLGVLSGFDSRDELRYMIDTVVLLVPSNTAYLWYGYWINGFRKETCRFSNQTGSDCSGIKGFTLTDPLLSNREWYLWGYDGQPNGMSDGLGTSNCIANRVNIHDGGGIDDIP >CRE28592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1804789:1807118:-1 gene:WBGene00083408 transcript:CRE28592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28592 MKTQLFLFFTLFLVFTTVLCQVTDSNSVESSETDVGNNVSNDVSVEQVSTEVVSAESEEAFVNLGHKRVIQLNIFTKKKHYSKVMSRANLHFLIFLIGFLGVSSHELSETSDYTSGKYPIHQVFNSFILTILTDSFEQLNKVSLLSESSKQNEKIRKKLNIILKILIEKVDEGESIDDEIMEKLKKIRDKLGILLDNESNWLTIANNYFEEKLKILSDAF >CRE28496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1032373:1032920:-1 gene:WBGene00083409 transcript:CRE28496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28496 MPPTEDQVVAVQKIADDEEQEMFRVL >CRE28327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1935235:1936706:1 gene:WBGene00083410 transcript:CRE28327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28327 MLAARGVSLGLGKINLSDLNQLPQDRILAAQYKKSQDAKNKKPKIIIKKKADAKILATLNLKLKHL >CRE28351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2129250:2130413:1 gene:WBGene00083411 transcript:CRE28351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28351 MLEIDFTEPRWLINWYHFIGGLSMILNSVGIYLLIYHTHKLGSFRYYLLACLALTLTFTVIQMESLLLCFEKKHQSIAEVTKTHIVPKPIRYIVYFVWLITPFNVFFWFSTLAMSKEEKFDYIMSTAPQYLTNFMALPNLDIYLKTTSFILFFSVVLSSGIVLNILMVTSAIDIFYLMAKLKSKISPVTYQKHREAVISIMVQFGSSTICFVPPLLMVVIVIAHVDQAQILIEVVTAWFVSHSVVNMISLLIFFPPHRSSVSTIFTRRVRAYEISNRTPFSETNLHNLPFHLSLFLCHEESERTV >CRE28613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1960374:1961788:-1 gene:WBGene00083412 transcript:CRE28613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28613 MSLTPCPTTIPKYYTVTLHLIAALSVPVNMVGFYLVWCQSPKMKGYKYCLCYLQLVSFISELHMSLISPGYYFFPMIGGYNTGAELMSSHLSMTIWVFILAFELPSALLCFVFRHNAAEEISRVCFRNATTKKNNFKVQTVPSKMYMEKFCLLLCHLFPFATAFSMWKSRLTYQQKYDFMSENWPQCMYWMRFEAFEVYDYKLNPWLAVVGIGALSFVLLVYTYGLTLGFHTILILQKHQKSMSRQTYQMHKMFLFSLLMQLLIPGILIVVPFGICMFVVVTGSISLQELATDTMFLVGSHSTCSSSVMILSNPRYRRVLKEKLIKILRLKQASDPRNGNSVRPSQRSISFVVIQSTTTAS >CRE28271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1616450:1617561:1 gene:WBGene00083413 transcript:CRE28271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28271 MPSLSEMPEVVMKKIFDKLDIRAIFTLRKVSHFLQDFIDDVVPDSKLKVVEVSVYSKYVWVRYTFADGSLIINTYEKSENGGCKIVTRKKKVFDELDYVEVFSGDLGNILKFQKSAIQYFSLGWSDVINGSKEIEPITDNILEKLEEILKSRKRKLKVKNVRIDALKQNQVLSILPFVDSEYLETIGISNPESPSNEILNIDKIVQLEQWKQGKILYTPEHIVASSTEYFTHFSKGIVSFLSVSVGGVILLKEAFLSSSSFDNFQIKYQRFEEKELLSDVLGLPSNQEENDKKEWIVKNSSNTSLLKIILTSSDVYFMRCSN >CRE28330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1971530:1972030:1 gene:WBGene00083414 transcript:CRE28330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28330 MKKNLLSDITKFAGIVFDKVTHMPNNMEKLRNSESPQLVESQFVQEEEVFDDIHLATWTSSGIQLENENDFGC >CRE28308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1803844:1804597:1 gene:WBGene00083415 transcript:CRE28308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28308 MLLTLSLVLLFAVPTSAQLGNGTCDEGWKWFHRTGGGWCMKLFTGSYSWFHAAGLCQTQGARLSGIGGDTGVNPQRRIEQQWIKNALLNLTSHITDVQPNVWIGARRKPECMYKQLNVAALCIPVLAFEWTDGKTIGVNGFQWAPGEPNNVGGIEDCGAFRTDMNKSNDFDCYSTHNTRGYVCGKPQIDP >CRE28125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:646636:648035:1 gene:WBGene00083416 transcript:CRE28125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28125 MFRSSPRLRKPYRLNTIWNFLNHDQSLLIFSMSMLILRSESIFHRCREEEVGCEMYYPARQSGSLTKDAQVVRLLFALVSLVIANYTIFKCSGSRNSGNETLIKNSKEKSESIRILSAVSWLLVATVMLHFVFTSLANDTNRANFTAQLLLIASLICAMIAWKEKYPAVCAHFVLMPVYLLFGDGLTPALITFIALSAMISKLVPKKSLSFVIALLIPFGFYHLGHSPVISSIPWHAAFIGIPGGATLRILPALFVLIHLNFSAISSVFVIFTNSDSRQQVTNERETLCSNFDFQTSTSWTLMETLVLMTMRATFSCLAASIHRRHLMVWKIFAPKFIFECILTIFFVISVNILSIIFGREVYGSKENERREKIQ >CRE28297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1766163:1766753:1 gene:WBGene00083417 transcript:CRE28297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28297 MYFILLIVIFKPIQTCIPTQNVETVDSFPCKACSKIYDATCQGAGFPSPTNYCLKAADVPVTYTVGTPPSIFEDQSDMCYTYLDCPAGTMEQFDSIDEQTSIPGNFDGTPTFAFCYETGAVAGKWYSYSDGHDDEMSGMRCKNQ >CRE28381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:207392:208448:-1 gene:WBGene00083418 transcript:CRE28381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28381 description:Elongation of very long chain fatty acids protein [Source:UniProtKB/TrEMBL;Acc:E3LM23] MFPYSWLSSAVSWSLIPIHLFGILYVLIAFNFRPSHNPERSYLKDWYYYNCVFQLGLGLLMIPDILISLSSGWHYSVCQSGSLYTGVLSGSVVFVWTITKVIDLFETMLLLYDARRPLTIHIIHHFLSLSYAFTFYSLNFAIHRWIVFFNLTAHVFLYAYLSGFKILNRWTPCWVAVCSSQMLQLILPFIASLSAAAKMARGTQCDANAFGILTLQIGLGVMIILFADFYWSRLQEFRRKNMKKEQREKEDESPKKKKKEESMFKKRPSDETVLFENDFPELRSVVFSP >CRE28090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:415753:416109:1 gene:WBGene00083419 transcript:CRE28090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28090 MEGVGTSTITRSTEDVPDIINSDQLLNNEEENVSIYSPYIDNNDNDGTIDREFIHVELISNCQEESEIQLEDSDNNCNVPEYNAEMSNTLSGLTRSLQPNIIMEEESNENIRSVDTLI >CRE28239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1418040:1420683:1 gene:WBGene00083420 transcript:CRE28239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28239 MTTLDREKAESIISRSSKKKKNRKKRARQWTGYVESLKTTIDMMYEMCRSEQSVAGCKEAMLYLQNASNDFNSLIETINVEKQWDQDENNQEIVKRPSVAWEVRKSMSSPNPAVPISTIIAEAVKNASPTNQKSLEPKTTITSTQSQLTYARITAAAAVAAVAVSSSNQQVDDGWKVVTNRRRKSTSSTTVSEFDREFSMEKDADIPKSEATEPLNVYERLSSTSYKRGPPATSRTLTCPKSAMDLPQTRASMAKMAYSRQLLWEKNQQVLVEKLRQKQKKEKRGSGTGTSLCGFTFADPMAVRKSVEAFNQQKIGGRRNLKETPIPSSSVNIELQSINEESPTHPATSSENSEDVPADPTPSSSVSQPSKSRNGSLFGYVALPEELEKDDEWREMTEEEESLALEENSLKLEIKQAESMEIDAELERQVEMEAAVLEREHRRKTQKKEEKLEKTQKKQEEMENFFKVFEEIRSLAWSELMEQESVAAYQKAQKSMNVHEPGTPVERHEKMSSPSRRKCQKDDDGFGKRHEMKQKHAEELRQQLQDAKALKLKELTSRVEEVRAKQEALKERKRQMLEERMQRASDNRDKNIMEVVRRAKDDDQRVMEVKFIATLQEDNKIYELRAKDAKEEEKQKQLAEERARKHEEKAQKKREQEAAATARRAHAAEARQARIRQLNEQKEQRQQKKGLYTSALTVPSQSAQNAPEDMLEYLNSLLSDQEGIASSFDSSGPSESPSETSLQYLWPNFALSGNTA >CRE28429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:553567:554542:-1 gene:WBGene00083421 transcript:CRE28429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28429 MHAELLGCGQTGEGQLGARLSEPMIRVPERIIGAPNDADGTAVKSVACGEQHTVFLTKDGKMWSVGSNLDGQLGRGKRSEGSFSIYPVSLTSGVEIVQIAAGRAHSVAVADDGRVFAWGSNEHGQLGMESSVVWQETPKRIKELNEVVQVASGSDHCIALTDDFQEKAAAGRDF >CRE28319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1876415:1876881:1 gene:WBGene00083422 transcript:CRE28319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28319 MGAFFLFFVIFAVFIIPGTDGNIHQPLSEQRSMQDEIKTYHDLMAAIKKLQDEDMLQVIQNMPIQHQPRGFIDQPEDQRLCGRKMAAKIATLCTGTCTTGQDVASYMCFFGLTDAEVVQHCCPTSFRPAQPKSFVF >CRE28258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1524524:1525469:1 gene:WBGene00083423 transcript:CRE28258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28258 MQSLCNMKLAVIAQLEHSLNSDEEMEEEREPREISPNACFKSFPLHPTNCVFHPVSATHAFSFGSPEKFHMNEREISLTALLMGQSNKNYQPDYGIPEGARSITFVYNGFEFGKSCIDFTPKFQPKEGSRFMYQLMATRVQMTYFEEEGVRRPAAVTWYYGNGYLYKLIEKLADLKNISSFIALKYEEDENLRTIYKIVKEKFLTEGKERGFDSGKELIQSGDYIPTEYSHLKLFKQPDGRKIVLACHPDSVEDQNSVYLKAENQEKNSYGENTFLLKSNLS >CRE28129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:654633:654995:1 gene:WBGene00083424 transcript:CRE28129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28129 MSYRSTALVFILSLLAVLVINSMADSNSTVNGSASTGIPTMPPVLETDPPVVSDATAGPADAANGGSGATLSAIGALATLLLANFF >CRE28490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:999316:1000823:-1 gene:WBGene00083425 transcript:CRE28490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28490 MGKPKSKSKPNDKNGEKSSKSRRFSLMRSLRSLRSKKPPKKNVENKENKEIKTAPLQPAISNSVYFDLKNAEKRKSKKRQSKIAPVEPSATPQSSDEKTSKPSSQKTMKPSSQINKIPEYENVKSLMLVDAQDIDTPSNKSKKKNEKNLEMLAKRKKNKVPKQKTVGSEFEDVAGPLSPVNAQPRGTEDKMRWKIDVEGVDLNGDQKMTEVLEKLGKLRKKYKTKRCKVLRANEKTKEEDEEPTEDDISMAARVLQLVRMDQLINEKFDSEDREILKRYCRSGDQEEKAEEIIERITLIVLVGVSSKNQFIRTVSIPGQMRMYAVDENKSKLPVMALLLARCDLLYYAWLKPSTDEEELDPTWKIMAIRKVPTPAVVSAYYPAARGLGPQQKK >CRE28218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1251622:1252336:1 gene:WBGene00083426 transcript:CRE28218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28218 MLGSWRKRNAEKEEEREEVVAKKSKTTKKKIEELKEKLKGVEKSLDETCNDITNTIRENSMMRQRVHMSFRNSRRAVQMKKELTVQVKKTARLDETQKLKIEKMERKLDNFKDHDTVYTKARETTVENREKWMEQLDNIQNNDDETSEEPPSWKTCEICASPFEKLNGRIPRVFKCGHTICTDCAEHFIQNGFVRCPYDRQIFKIENGGIYGLPTNRVLLNM >CRE28321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1899783:1900093:1 gene:WBGene00083427 transcript:CRE28321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28321 MSRIVFVFVLVAALFVSGFCEHKPVELIRSCGRALAHRIDRVCGLPCMAEFDFSTLACTTGVSDSQLSELCCPTQ >CRE28498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1047336:1050007:-1 gene:WBGene00083428 transcript:CRE28498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28498 MTNPLLKSSGSFEFKHVIGVPNDADSENCLDFNHLEIPWKLTVHKKVFGLDFNLICLNEYFQQLIGEVQFCLVSFDDSGIRSEWKNVNVEISSHNKMSSSITWNELKQYQKNKQLCILYRIDLLEKEIVVPDITHFFYIDDETKEDTIDELLVDKKEEHIQTEVNQSDPPENLTTFLQELLIVSNDMSQNMNCHFCGQGKVPYSLNPISFRCLSMNCPLSESYQSSGKMSHDRKNVSVLEKCRIKCVRCENGTMLFKSDGLRVNGHSFRFRCTHKKCRVRRTFSLHQLLKMKENWIEGTFPEPPTSLYNTQEKENDGSTISEFLMEQLEKIN >CRE28416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:483622:484193:-1 gene:WBGene00083429 transcript:CRE28416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28416 MSEVVDVPDDSPPRSPDVECPFSEEGSSEKNMEPRKRSADSIDQAEQAEDDDVVIVEKKAKMNETEVDLSGEEGEGEEEETTDNGNPIIVEEPVEPESTEQEAQDEQSAEEPKEQEGGEEGEEDDEEEEENGAEEEQEDEE >CRE28421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:507081:507578:-1 gene:WBGene00083430 transcript:CRE28421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28421 MSAFSLLVILPMIFASQYCKDSEMTECGCIKRPTFEANWLQTQHPDVAELYKNAEFAAPTVTYPECTSINVACPDGFIVCSYEIATNKIVINAKQFPTPMEQTDLICDGGVWTNEGAGSQTQDNMVKNFLGCIKQ >CRE28587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1769937:1771710:-1 gene:WBGene00083431 transcript:CRE28587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28587 MEAVEPISVKPFPLFSLPAIPFYDILKEMRSVDIRLTLTSKNPKIVFETDVSSNECRQNRWAGMELKYKKTDSKYLSISVNSEYHREPTNTWKVGKYRIPIKDGGDYINFIWKHQIETHQFLAQAICEAFRIKNLSFHVEYGYGYEATWKLFDNILKFRNHLKVPIFQFKITSLYLNMSIYSDMLDRLSDTPRLIITGDSYQNFTYDHQRPYPKEYLEIDHAEWIRLKDLMLLLKCKQVRLHHVMYVTDRDWNTFLVKWMEGCKLVQINIYGNVIDFDVILGKIPRKPNKTFWICRDNMDVQLENCYEIEREDGTKLYIAKDDHNLILFNNKE >CRE28186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1027203:1028318:1 gene:WBGene00083432 transcript:CRE28186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28186 MSKSFPLLRLPHLALLHVLRFFSTADLVDISLCSKVTQYLVKRVIKKPRKLQFFIDGEFQIWITLRRSQIIWTFYYNELKENPYRIPSSGIVKVTKWSGWGVKTMEKNYQMNDFGIRNWMDHIAKLFNLLLDDLTIRDFVKMFEIQDVRSLVDGLKIQNVRIGYFIPDPYVQTILESVQISKKLVLPSTSKQISPENIKFNMEELKLELSNFLTIDHVSNMNCRFIDLGYSNFEDSQLNLFLKSWMTGEWNRELEVLKTRSEEGKIWITERILDGLDALKVTAERAFRIKSVNSRIGKEVKLTAPSWDIHRENTIGSVTIRSIDDIQSCFLFCVWPG >CRE28466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:807273:808554:-1 gene:WBGene00083433 transcript:CRE28466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28466 MTGHDEQEEGDVEEEVKLEIGEKNGRKTVNTFIGGTEIKRKMKLAVGLILDTTKKMNLSEDSCKESFKIIHRCSELLFVFEFS >CRE28568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1649933:1650783:-1 gene:WBGene00083434 transcript:CRE28568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28568 MIKSMFKFILKFWFPDGKVHTVRPERDIEQRRRPKQRKQPEQSKEPKEIPRWEEPVVFDTNKRWVRDQIFDRNEFHQECVDYRNTLYRQWHH >CRE28144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:766307:766852:1 gene:WBGene00083435 transcript:CRE28144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nhr-266 description:CRE-NHR-266 protein [Source:UniProtKB/TrEMBL;Acc:E3LMJ6] MQFYPSLILLNALFLFSLVIIPSEVNMAPCLLPQDCDCIKRGLFDDNWLQSNKPTVFNAFKDKYSFSFPETTYPECESIISVCPEPAEVAAIYANGTIKIGGATLKNPFKLTQLWCEDGNWMKVAYSGTFDATIRATNISCALKK >CRE28092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:419825:420256:1 gene:WBGene00083436 transcript:CRE28092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28092 MNINLSNFQIPRQPNQPDDYGEIRLRSGLWIRNRSDNDGNNTPIGEEDTSERLENDIRGGMDHDEDPSEKKYHVDNNDNSGQIDRVLIPVNLLSNCQEDAEIHLEVSRIGNDSNESEDNTTQENDNQDIIPLGEENNLPISNQ >CRE28229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1333473:1336583:1 gene:WBGene00083437 transcript:CRE28229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28229 MDPWKIHALVLCGSSYGSLKYIRHRAVFVDQRMDPWSYSPSCGYCGSTDGSLENHASVLKSGIYSSGNEAVKSENIIVGSNATSIPLGLASNVDDVATVVYLILGKMQRAKKKESRESDAGSSPEHSGSKVSLSNDKTVSRWVAGGAGSMTCAAVRSALTTVHFSINQLSEHVVKAQSTPGEVREVQEVETVQEDEREPRREMPGQDPRDVWSDEVIQPLQEVGQLVQMFPQQPRYSNYYREHYYGEAGHLISQWSEKAREGFAEAAQAKIDLADSRGTIENLRRDLRSRDAQIETLNLKLELLAANIRQAEVPFGKEIVRLGEEAKCQECHLRLSASSVASNHSIRTGKDNKVNTDPMVDIDSWANETDSSLIPEFASTERLKIFKRSKSQSPPDRKEVCFFCGKVGHFAWECPKKAKQSAATAGRLGSASRPPSKERKVTSNGPRSGPRVATPSQPRVNSRTLGGTRQKATPDSRQSHLAREIVKLRKQVEKLFEMNEKLMTSRPTSGKHN >CRE28072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:309249:309899:1 gene:WBGene00083438 transcript:CRE28072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28072 MIIEERKSYESNLESIDSDLRLENVRQNAEKLGWDHFARSVRRNLQEKRQTLEARIRLDVLGSLAFMKEHLPIDKEASVTRKLQYFAEGLGENCVVSSHGGYFCIKNPDVTVEIGVAEDNVSSCKIGYFGQPLFDAPEALKLMKAGDFSKFRDAVANILSSLPKEITV >CRE28302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1788786:1789282:1 gene:WBGene00083439 transcript:CRE28302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28302 MDSLDFFISFIYSRSCIRLSGKVQVLSRIQNNTVPNWSAKSIGIDFESRYRRVSSKRKGEILDLIGLSLTTKHPHACFFPYQKSTQEFQELKVVPEGTFKVPTTIAPKKRGKGSRRPRRDLEVWSMGIRQTLNCYMIPGWMAPIQNVDD >CRE28237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1402164:1404282:1 gene:WBGene00083440 transcript:CRE28237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28237 MFSRSSAARYLSNSAQKSRKKVDCAVYGCGLSASGALAIPKLVQNPDDVTASEAKHPKRITYFNTKSIKFVSSGFGFSLFASDNKLFGAGINNRSVANGFCEALLKNNFSFQIGGQLTDSSKYQDYYISAKKIHIPVEEILEISSGRAHSLIRTNSGVFAIGDNNFGQCGKDPAVIDHIVGSEESPLQPLELPTSSPVVSVHCSLDSSLIVDSTGQVFSFGLNEDGQCGNEKYGIQWKPSPVKGDLSGVKIKEVSGSTDTIMALSEEGDVFIWGQTEYGQAVGATEKIQLNVSRNINLSAIGPIASVDSTQSSVIAQNTRGEAFVWGVGVLGMGPEADVLKRPTQMDQPLFDGKKITSVTAGNSCMSATNESGRLFIWGENRYSSLGLGHSNRQLFPYQLFLPGDVRQAALGPDHSLFLVN >CRE28499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1050274:1051393:-1 gene:WBGene00083441 transcript:CRE28499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28499 MTNNSPTLDSIILCLSEWHAGVMSSMKAAMAEIESDSDSGFSSTSPTSDRSLSPTDVDPVSNKIIEEHSERRKIDENTDAICKDKKATINVQILTESAEKSNLVTVEDSKNYSTQSLNKTDQKLQLNCALCEPNNTKMMYCPTNGFYDSGKDESLGEMEKDRVTRIREMWRQMDPIVWKYLQKLTIDHCISKDEAVQKTEDVLVIPSNVFVELLQYAKIWNEHRCQGMTPPVPKCQYMQEIPDSVVKFFVEILRLRYGQLGELPNIDNKNTPIILP >CRE28593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1808544:1808990:-1 gene:WBGene00083442 transcript:CRE28593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28593 MEGAENYQFAYETSSDNLITRFQKNEYEANLKRAKRRVSFSSDPPQTYFTDYGTNKNEFEPNGSSFNFKSKQ >CRE28080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:377168:377272:1 gene:WBGene00083443 transcript:CRE28080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28080 MAKRRRTPRSFERKLRPGHQKKRKRAVMEVPRDR >CRE28139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:712456:713048:1 gene:WBGene00083444 transcript:CRE28139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28139 MLASALIFSPFFLLFVESCLKINYSTPPKCECKSLALDASNMQQNIGTSSFYPNISLHSVHSPIVSIDDCVLTAHCMENYDLVGFDPEKATMFGKYNAEGFCDPYTQKWIIDNGDGVGLTTYPQFFGICVDYSKQATTPVPRISPIIAHIN >CRE28227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1327547:1327804:1 gene:WBGene00083445 transcript:CRE28227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28227 MTVDLEELFSTSEDQVQVLCRWMFFTYVIAFVFVIIAVHIYAAMVRPEKRQYTYSLNPTTGQVQTEFTM >CRE28465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:796273:799047:-1 gene:WBGene00083446 transcript:CRE28465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oxy-5 METKKQLSRVSLEAPGLLTSIFLEEQGCGNGRNRDCSRTLWAARLQSLFESIFGKTSSSSKSIENPVQQILAQKAPPKTASSIPTNNSTPSKREMHSSAVVKAAAFRKPMIKFIGARLPRPFFDAKSLPPLQVSGNFPSGVSSSPSAPPTNTASSIGPVGKIPRGQGIDWNQLPQRFQRQGMSEEECEAVNTGFFYRG >CRE28152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:818540:819222:1 gene:WBGene00083447 transcript:CRE28152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhs-1 description:CRE-DHS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LML1] MEGLNVLVTGSTCGIGLHTAKVLFKKGANVILTCRDEIRGRRAVESLLSGVPQEEVAKESERIHLFTLDVTNYNSICEFTDEICRTFRYLHVIINNAGIMGVPFEVCTLFTNTSLSAPPSAVQSAGLLSFTWQPFLKCARMAEWSKAAALSKGRQFAFAHWLSFSSLSKTAADIASDKQTTPNCLLYIRMILF >CRE28142 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:763264:764014:1 gene:WBGene00083448 transcript:CRE28142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28142 MVFFTKIERFLNVFLLFLICFRPGFSLEHIRNLKVEFSFDCLDNRSVCVFIEVFEDEVIYEQLLTRYDSCLTNIRREAFRESTFFKHYGFFSNRNIPINVTLEPIHVGPIKEGKLDAFTANFQITNDCGKNREIMCYQEAIYYDGIDTLLSLNRDLNHTSKPGPCSNRWLGSQLWLKNNERREKDRQKDSQKPEESTTLSHGNTTQIDLNTI >CRE28317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1857990:1859010:1 gene:WBGene00083449 transcript:CRE28317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28317 MNIWKMLGTLTKSEEKILKKWYLDKLCATRKEHCRGIVDQVISKFCEDNKDTDVCKNESPEKGITGGALADAVTKAAEATTKGKMNMMLIGGVVAVILFLVIGVGLYFFCMKSSSPSPPSAAAVNTRNTQSTPKKRRKKRRKKHGKKKKTKKETTSGAESTTKSPVSKAKMPEDVV >CRE28594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1813308:1814660:-1 gene:WBGene00083450 transcript:CRE28594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28594 MDIFFAFNKDDIPTFEVSKMNITCKVFLTSLIVFTVFNLFRLYFVECYYDLKEAEEDSFDLNTIFLRPKYSEVFNTWNSCFAENLLGVEDPEKFWQLFEGVSQKCDSWAHVEKLGIVHLKKYERVKSVIFPKVKMHKIGHDVQFYGVDPNFHEKEKLYSQLGDFFPARIGMNPRISDNMILMKDGKTQKTNVIYLDIIYYFKQLVKRVDHLWFDGHGGEFDDGFFDIFYRNGRFEENKIEICQINMEIHRNPDTSERMIKFMHFVKRLIEEKRFGIFCGDHFVNNRMFMFNFQSQYCLKKFLNGP >CRE28436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:615429:616028:-1 gene:WBGene00083451 transcript:CRE28436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28436 MYFIEYSFISFLLFQFWIQGVAGECRGELNTNTTCSDGFPTECKSTCGCNSLTIDGDQIKNMAPFFFSTNNGTYIKASLTWKNCNTVTNVQCPTGYNPLALVYAEEDEELNSYNNWRDYASSTSYECKSGKWEKTSHMDEQYFYSCKQK >CRE28441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:657600:657833:-1 gene:WBGene00083452 transcript:CRE28441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28441 MNRQTTALLFVLSLLAIFEMVNGATPAAKATTEKTEKTTSGVATFSALGAIVTAVLANFI >CRE28130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:659472:659703:1 gene:WBGene00083453 transcript:CRE28130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28130 MIHRTTALLFVLCLLAIFEMVNGATPAAKATTEKTEKTTSGVVTFSALGALVATVIANIF >CRE28287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1697746:1699390:1 gene:WBGene00083454 transcript:CRE28287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28287 MYLYGLMKNMKKEFADCTLLFTDIVTFTNICALCTPYDVVTLLNDLYLRFDRLVGLHDAYKVETIGDAYMIVGGVPEHCENHAERVLNISIGMLMESKLVLSPITHKPIKIRLGVHCGPVVAGVVGIKMPRYCLFGDTVNVANKMESNGIQCKIHVSETAKQNGLKANPSYVFIDRGNTEIRGKGMMYTYFLERNDRKSVWELCARPRSGEQTIDGYMELHDQAIYQEEGNQEKANAENGHNSSANAANNNNNHHSGRKMMNGTSVDPGSHHVRSPTCTIC >CRE28451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:716308:723690:-1 gene:WBGene00083455 transcript:CRE28451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28451 MTCDKWKKFIQVNIYGQTLQGLHQQGIALETMLSDIGCSKVWIVEGKMYNDDSCHQTVNSSEVSILKAPALSTTIADVKCPRFSTPAVSQVDLQRKFTLNLPIKFSEINSSYDEDFETSHDSGEESKASDDADIEELVNDILQKVSESDKETPIEQTIFSSTITGMNVPRCSTPINQHVDLLQTFDLHIPETVSEIGSNGEDETISDELMEECASNCHSTSLEYDGNLKHVSLRPHIIRVHTRSMMAMPKSGTLIRKRKSAASKLASTSIISRKQRDMTAFKPVLLLILNNNALDSHRQMTYIDYNNGENGGEEETLIIEHHGEEDYEDHCIHDEEDENHEIMHTPPPPGLINTKSILKDNTKVDRGMKKTVSFKVPKNKRSKLDQMHQIKCHFQSCERVFVWKMRYGKQRLLDHAMTHLTEKCLGCRTCDEALSTSNQLRYHYKKFHPEIKCLNFNILEVFNLEREDVAIAEIFRQCYEPQLSIIGKIGKNRNMRREKEKREESQVAEQEEETNHDNDAPSSSTNLF >CRE28216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1246061:1246979:1 gene:WBGene00083456 transcript:CRE28216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lbp-5 description:CRE-LBP-5 protein [Source:UniProtKB/TrEMBL;Acc:E3LMY0] MSAEQFVGRWKLVESENFEDYLKEVGIGLILRKAACAAKPTLEIKVNGTTWHVNQLSTFKNTTLEFTLGVEFDETTPDGRQFKSTITIEDGKVVHVQKKIKDSDHDSVITRWLEGEKLITTLQSGSVVSRRAYVRE >CRE28303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1790514:1791455:1 gene:WBGene00083457 transcript:CRE28303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28303 MSSKLPSKSVATAAVTQSIRSASKSVKTSTELVWTYIRGSNIEIREVHDNNYPVWFWWLIVAGCMICCISCMAWVVCALFFFKREKPCTHTVHVVVDHEAGSPRKQTRSPKKEEEAVPDGPSPNENPPTDGNGSFTNPLTPDYARSSKRSSRKEGGAPKKPEPLPDAAGGSKKSAKSGKSGKSSGSRKSSKNGSKKSSKNSSKKSGKSSKSAEPIDRQDGGKDKEYCIEMDSNDIEMASEPIKYGFFQKVLLW >CRE28189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1065662:1066115:1 gene:WBGene00083458 transcript:CRE28189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28189 MTTTNSKSKNTKKDGAEKKTKTTKTATKKKNSTLTMSKSQTSMEFKENEKDKTSDNKKAKEETVGKDKKKEKKEVVKEIPKEQPKDQPKKEKRAEDDANKPNEPSGMDVIVKEDDKKTKMDDGYEDFGPGAQQS >CRE28513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1201711:1203972:-1 gene:WBGene00083459 transcript:CRE28513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28513 MDDSMNEDEYPQAKLLGKYEKAHKRSIIALGSFCFGAQTGVISISHDSSKLWIMYDKRAEESVSLDEKMNLYSWKTAVQSAEVSEDGQYLVVIAMDAQVYETELITSVKLQEHDNEYLEATFCAVSPRKDKYITASYSGYLSEFKSFARDPVRREPYPSVKQISHMKFSRDAKFLAIGHLDGGIDLLYAEDFKNYHKYEVHSMRIRKIEFLPGDDRFLTACDDRLIKLNSVADFSHETDPSRSTKAIRVYSAHDAPVIGLTIDVKSGGTRFASSSSSSQIFVWHIELATPIMSIVNDHTSAVTALSFSPSSRHLISGGDDAMICVYGIPGVGEESPEHEHAQEEEELSDEHDDQQENEPPSGYSQSAEQSDFANHNEDTEATPTAQYQEYNPYAEPRTPPQEENDDDLGDYVYNTTTQRSDEPTSASSPHEEYNSAS >CRE28081 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:379814:381602:1 gene:WBGene00083460 transcript:CRE28081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28081 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3LM73] MENANLAINIFDQDTFSFRIETCIHPEPSNHTVLQTQAFESFAASILSSGVEGLIKEYTEALLPFVPLIFLDVVCNDKTRVILNDGRPGDYIHANYLKGSKPLYILTQGPLKDSQMDMWRMVIQEGVAAICMLCELVEHGRPKCEPYFPDAEGQSITFGEITVTCTEYTLPDVHTQLKALTVVDKKTGKTHKLNHYKIVTWPDKTIPLSNLSVLRTHRILRKMNGPVVVHCSAGVGRTGTFAAIEVGVQCLLNGKTFRPVDLVKAVRHCRLHSVQMDTQYLMLVEAILDTGVAFKYIEDSKLLDAIETYKKQTADYVEAHPPPIDMVKTPVKKEKETEETPAPPLIQPQSPAPTNTIYPLLSNPIGPPPGNPPSPSPAPTPMSPIVPVKVQAPPAARSPNPVLVQYPVPADPQLQPPVQPQPMPQNVPKIYIAPSPLPQLSPAGPQGAQQPTGQSVHMSVIGLPQPPSSNPGNNSQYL >CRE28449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:710586:711083:-1 gene:WBGene00083461 transcript:CRE28449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-55 description:CRE-TTR-55 protein [Source:UniProtKB/TrEMBL;Acc:E3LMH9] MTNSFQILLLLFLNNFLSVLAGTQSFRAVGQLLCRGKPAQFAELQLLNTRGGDKEYNIIIQKGVFSDADGYFEIYGNMHQFYEIPAALQVFHECFYDIGIYHGLCKNKFSVNFPEGYGNDGPLARITWDTGIINLELGVLGQNLEKCD >CRE28078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:340318:341172:1 gene:WBGene00083462 transcript:CRE28078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dnj-30 description:CRE-DNJ-30 protein [Source:UniProtKB/TrEMBL;Acc:E3LM67] MSQFESFYQDLKSTEQQDAALTSEQQIERLLKPGSTYLNLNPYEVLQIDLDTDIEAAKKKYKKLSLLVHPDKNPDDRERADKAFDIVKKAIKQMEDPIELNRCKDCYTEAKARLAIVMSEKKRKLRKDGKEDVIEEDDPAGYKKALWITVTKVFADREKKRKMLEDRANEEKRRATEAMAQAAEKRKLAEEFAKNYEESRDERSGSWRNFQAKKAKKEDKGKTMKGAAFKPPKVKPQK >CRE28589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1779971:1780928:-1 gene:WBGene00083463 transcript:CRE28589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28589 MIIFLFITIFCGVASGYEHRRPKKKCKKLRHFVFETDRDNQVNREPTYSYLEKDGKQYVKISCPNDGQSHALVTDNTEKDVEIYSPVYDAAVLTAGYYIHYLAKCDGDDIWAMTTDWRRIKVEMVACISYMTFDAQL >CRE28605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1895001:1895311:-1 gene:WBGene00083464 transcript:CRE28605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28605 MFKSSLIILLLVILALLSSFSSASIANIHQKKCMKKLASYASSVCDGPHLVADNVEEIILNACLNQVDLDTFISQICP >CRE28563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1614080:1615615:-1 gene:WBGene00083465 transcript:CRE28563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28563 MSGFFGRIFGFGESTDGTAAEEPKVGEETAKRSESGDSSDDDSSFSFGEDSSDDEKMEESGERTIEEKEEEICEESIEYLLDESIDKQEYSFGEEETAEDSGEVLIDNSVKETQKESTQIDINENPIEEAHPISLDSEDDLSDIEFCYRNETSDEEDSAVNKDSEVSENPKENDKEITPEETHVISEEDSVEIDELVLQEDGGSESGEDSFSYGEESEASDFEEFRNINDEVESVGSCSSPSNNSFEEYEDAINTEKKLNNEKVNDLDEEKLEEYEDALNTDLNELAVETLAMTPNDDLELNDEKSEERSVKKNESDVFENLSDDSMLQEEKKEKEVIEVIIDPEDTSDNASQLIDFTMSQMQQLEMENRDEEEKLEVVATDSKGDVEVVEEEITGKSEGLKNAKTDTLTTDGSINKKRKSKLSKEHILAYEEYIRATEAYEFALEQHVRQLERCLAVNEKILRITGTAK >CRE28481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:924492:928731:-1 gene:WBGene00083466 transcript:CRE28481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28481 MMDMLGDQGGETSAASTSTSSVSRFGADAFMSTPDDVMMNDDLEPIPRERCNTWPMRRPVLDHPVNTNSLIHEQIPEEDPDLFGSSEQCGRLGGSSTNGSTAMLHTPDGNNSTSFPSEMSESPDDAANSKKTTTRRNAWGNMSYAELITTAIMASPEKRLTLAQVYEWMVQNVPYFRDKGDSNSSAGWKNDL >CRE28470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:839002:841914:-1 gene:WBGene00083467 transcript:CRE28470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28470 MRRSRIQIKPNVAKAAKQSAPLVATPAPVVTDAVETIPETVVTSEVPIEMEDVVINQNSSPENQDAPSESMKSLHVDVAKSDQNHHVHFTDDVIDNEQIRREQTGEVKGNQNNVSLLSPTSQFASPHPPARLTPRSRNVSMCEDEAILQPKPPREKKRFTGKEELDTKTWKMSDLTRWNPKNERTNFKRERRSSTSSTIITKSEIGDFDAPSPRINAPQVKIGADGRLVIDETSLVVQSAQINHESVWETVEEGRMGSNITSMSFRNRISRKPNLWSDRETDLFYEVLQCTGTDFGLMHHYLSTRSRSELKAKYNREEKQNWGRILKATSQPVRLDGQLEVRIAKLMAEIEEEVQEKKAKHEYEKSEERRVREQNRLQKANERHEEKIRRMQAKELIRQARELEKDARNAQKLSQQLNEKAEKEARARERSETRQLEKQERMDVKKLALEAKKCAIEAKKIINEKRKSDTGSNKEPKIRAESHNLEREAERIIRRMILESQREERRAAQLERNKLGNKKDSEAGPSSSTPVTPAPSNDVDPNASNADIGMTTSEESSSTETSSDEDAVNNKIARREKERMKEAKRKSEPRKTTIEHKPIYVNTMDAKYAQQPVKQAVEMALKAKGTLFDSADEDDEIPPVEHMDTSTSAAQNTPTVQDDSAAGPAPPVMKDIEQVNETEKEVEEEEPVPQAVTDVVEEVIRARSKTPVATNSEESQEVKKNEDKKRDSERMNSEEPPAKMARVEVDSDDIVIDVVGEVDASEDNNVMEIDDEVIDVVTVDPAPVILDEGSKKDVVVSESSISTSEPCTSTAPIPSTSSLSASEPAPKPSKKSTALSRRTVKKPVTWAKKK >CRE28320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1889386:1889671:1 gene:WBGene00083468 transcript:CRE28320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28320 MNSIKIAILLLVLLCSIDAVSVNKYCTQNAILMVFSICGSSCENANGLVSACNVAAGRITFEQINAICCPTTTHIAQ >CRE28197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1111435:1112638:1 gene:WBGene00083469 transcript:CRE28197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpy-14 description:CRE-DPY-14 protein [Source:UniProtKB/TrEMBL;Acc:E3LMU4] MDGKDQHCHARRLAFTAVAVSTTAVICSIIALPLMYTLVMNIQSEISQETGFCMVPIFFQMRSRDMLMNMYSTAGKSNYRAKRAWQFGSWVQDSGVGGGAGGYGSGPADSGYGAQGTNNGYGPVVNAEPEPQCCTCQQGKAGPPGPPGDDGHDGKDGSAGNDAKNGKDGGVGPSDGLQSEPCVICPPGAQGLGGAPGAKGPQGPRGSPGLSGVDGRRGEPGMSGPAGTQGEPGPQGPPGKKGDDGRVINVNGPPGPPGAPGPQGRKGERGPKGVTGSVHPGVQGPTGDQGRKGRAGRKGETGGQGPIGSKGPNGDCFHCPTPRTPPGY >CRE28200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1123665:1124818:1 gene:WBGene00083470 transcript:CRE28200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28200 MSDDEKNNVEGTTSLFWILLFGIPLFIILFLVLIRKFYHRKRRETNQPTRFKFVENVKPESTWQYEYEGNVVVRCERIRGFRRFLRSCFPFPELGMWRLRDLMIDLYGQKFGGNPRFQVPDEVMTIAIPKNNFRVKGEFSTEFEPKDGVQGDYTYEMYSSSVQITWFHFEGAKYLAGICIYMDNRYNPLKIIKENVKNAINDLRIYKPNPLNFKEKLMKKMWCSFRNQWIIITQNEFGEVDKFIFNGKENQMELVKCDDCSFEMNAKIIGQSPVSKKNLKPLPTLDFSKFLKVEFCEKANDLMMIGKDSNGRIAHFEWDSEIGKFKIHSCWDCDVNARSRFQSNDEFNDSPPSYEFLELIELTEN >CRE28309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1807894:1808357:1 gene:WBGene00083471 transcript:CRE28309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28309 MNTSLRIVFFTVAFLAIFQEVDGEIIKEEMNEYPNIFEFGLLAVKPQEVRMFEKEERSDSSHGKFTYTDIFGKVIQVFYTADESGMINPDISNK >CRE28048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:201022:203120:1 gene:WBGene00083472 transcript:CRE28048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28048 MDDDVFFQPREKRESFEYPSRETRVDRDPTTSTRTFFPNKTENESQDEESVEDEESTAGESSEESLSDEDDTDEEEEKKFEKEEKEETDEKRLVSRVEKSIKIEVQGSCETAIPLKQSKAQTTFTESEKLSVAGTPRISKKSVTPARCLNAIKAELSQIDEVRHGILVPKLFLNTQSRSNNAVLAIKKEPGTENHTISSTPAITNGSSFLAPHLTTEIHVPNSSIIGFKNMITMKDHPKTPPSSSRLSTPRQTGETKHIKSVLGEEPLKEINPSDNSSRESVTVKHQQILSNNHHKKNVVELAVPDEQHTDLSAMALSERPKRKIKPTEKVATLASIHHSIYHNLTIVNNSKKQERCTNGKRQNRRSSPYCKHCELIAEEKRRAKNESKVKNALETNASMTSTLGVSEPVGSKPKALKDQPTRNSGGREGVNAPKRLSTQSKRPSGTVASNEPEKKKQKLIDSDLLSIPSSLAIIVDTELIAAENPSLDSSNIPKFSENGPTVSIWESTVDIQWIKDVKIARGKTKNRQFFGVPVAEYPTDIPVINFWVDNLFREETTKDRFATDYRRYMAQGSKARKDGKAGYEIR >CRE28486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:959518:959717:-1 gene:WBGene00083473 transcript:CRE28486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28486 MGLFSHLISMGLGAYAGAYFAQNYELQKLPSASEMVKSLEDYLKQYKKDP >CRE28526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1284923:1285210:-1 gene:WBGene00083474 transcript:CRE28526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28526 MTVPMAFDQPTRPLDDQLPYFSVHIRKEERRNQHSALLNVRSSRQQLPLRSMVSTAPTTASAPAPAPKSTSSSRTTPMEVDEAEDVKKTKVITDG >CRE28642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2115461:2116082:-1 gene:WBGene00083476 transcript:CRE28642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28642 MAIPVLIIVKTLSTSELLVLETFHLKIELPFLEVMLFVICLFLFLLILLKWQLGGLRMNQEKFSVFINASVIYILIVVSFIFIITQSILSNPSGKRITTTNQYIYTQFLPFIWIPSVFVAYSEYMHKWILKPISPEPPAIQMAVVSTGNETMNMRRASIDTTVSYLSINEINVEI >CRE28551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1514760:1515017:-1 gene:WBGene00083477 transcript:CRE28551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28551 MHRLFASRRVTDANLVRSLYGSVTTLFAIGRSRTTRIPHSHTVRLWMSNFAIDCARTARIRDRVRMVYDVLLRDSDCFRKRIVYG >CRE28583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1751506:1752869:-1 gene:WBGene00083478 transcript:CRE28583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28583 MAFNADDATAQPPELITFDEQVVACEVEIDTFEDVEYVDEDQQGTILVDHYVERHEPPETGDYDYQYEADDTDPNEVYLFDEDTAQIHQINTSHNETEDEDIDVQYVEDTDPSSSSIPSTSSAPDPSSFQSTSSATSFTMHQRGIPNSGNTFIIQKTNERNRSMPVYSPVSFQTTYSESVCRNGKRVIKRTHAALTSEDMESIMEESQVMKRIQKEISQLKKANDEMKKTFELSHRRLESINLVYSEAKLKQKEATAEKRKLQQQLTLARATNTQLAKQGIVQTRYHFQHQ >CRE28561 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1606764:1607465:-1 gene:WBGene00083479 transcript:CRE28561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28561 MEFFDETLLVTVRTSMLTVSTVVILLWVFGHVYSHYGVYGLLIFALIMLGMITAIEFLYKALEPLQETINRLQDDVNYLYDENAAAEHDDDE >CRE28034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:99234:100239:1 gene:WBGene00083480 transcript:CRE28034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28034 MPVAIVTGASSGIGRAAAILLAKNNYKISLTGRNVAALNELAGQIVSAGSDKNDVLVTAVELASDEAPKKIVDATVQHFGRIDTLINSAGILRAGPVLESGIEVYDELMNVNVRSLVRLTRAALPHIISTKGTVVNVSSINGPCPFPNVTYYCMSKSAVDQFTKCLALEMAPNGVRVNAVCPGVTVTNLHRTSGQDEATYSAFLERSKTTHALGRPGDANEVAEAIYFLASEKSSFTTGELLRVDGGRGIMHPR >CRE28604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1893346:1893697:-1 gene:WBGene00083481 transcript:CRE28604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28604 MLFFKFTVLFFLALAFFSLPDSAHANIEDNCVSKVEEFMISKCGKCSTEDYQDFAQLACNEQMSESRIIQACCPEQFD >CRE28641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:2113937:2114631:-1 gene:WBGene00083482 transcript:CRE28641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28641 MMILVFLELSVMLFGIRKVRNLALFTIPLFLIAKFSLIFHVFVFEIFHVAIHIAVMDNIIHMTTVFLLMALLFRWITKSFKIDQPKFCIIFHSIVIVSIFSITQSVQILADILQNKHDSSISFVYCSFYMYYLPYTWILSVYFCNWTPIQKWIQNQEITSSDIPEVQMETVSSNSVQRRASIDTTVSHISLAEINVVI >CRE28448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:709913:710440:-1 gene:WBGene00083483 transcript:CRE28448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-56 description:CRE-TTR-56 protein [Source:UniProtKB/TrEMBL;Acc:E3LMH8] MKTLLLVIFTAIPLVYCSKQSIRITGKLECRGKPAQFVELQLLAWGNILGSAILAENVFTDADGYFDISGYADQYFTISGRLWIWHTCFFDASVQKDPCKNWLEFKVPDDFVSQGAIPLLTWPLGFVDLEKEQRNEHLDKCQ >CRE28279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1654843:1656049:1 gene:WBGene00083484 transcript:CRE28279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28279 MEHDKSNILTKLFSKLIANASKSNLRVWIERFILHHKQDSYSITQTETTDSVIRHQQAEPVLHNDPPARQDQNAFYEGNATPFDVMDGIRRNKPTAKTENHQNVPGPSHEGQGSSSGNQRAPPGGAGDQENQERRGRFNIRHVPNDAMLWDGHLDHTDNLPGYRHPEPIAEWTPQLERQLQQADALAREEARSEAIRVKILREQSPSEL >CRE28021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:19006:19812:1 gene:WBGene00083485 transcript:CRE28021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28021 MKYHFLGDMECPSWLLEEICTNFSNLTVLKFKQLCNRASHLILYGHDDETVLSDTSEGINAVNNHSNLVLIGNWLLLKPAGYDCPSEDLEKEVVQLGLPPEHGNQLRKVYEVNKAELMEKVKNSVHKEPHATVLDSSPTSLTFQTDSQVYDVSMSGSMMNQLKNDIENSLTKVKDFAGRLPSISN >CRE28293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1756763:1758286:1 gene:WBGene00083486 transcript:CRE28293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-296 description:CRE-TAG-296 protein [Source:UniProtKB/TrEMBL;Acc:E3LN69] MTDTEAPSPFCIKLVATQMKKLSTEKPAIKIITEITDNNDENSPATNSPEDVELLTFVEVYEELCKFIKMLGKIFEFVEKDVREKIDLLKELHESNPEGYKTVITMVHSEKPIDKKEKESGAIAILHLNRALEFIVEFMYAAVAASNEDSIPKICKECYDGTLAKHHPWIIRTAVKVAVYTLPSRERMLEYIRGSAPDESMIRGHIDDVVQHGKLVHARINTIYTIHELHKIA >CRE28430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:554864:555924:-1 gene:WBGene00083487 transcript:CRE28430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28430 MRITPHAQDTGGFFVALIEKVVESDFDGSAANGPAWKKKKMFKDEPFTFLKLDDERWRDIHNHYGVDETFQYQNLFSRRLESNDANSRQLFYANDAVKNFVKENMAKVSIQNAGMKMFSRTEGKVENTRFRLSQEGIRYLFKFMNKQKVKIGAEDMLYMLKSEENLVPLEKLECKTDVRKNQNGTVVVYCDEDEPVCTWVGYHTIAPYISKEERLHLLRMMGVDCAEIELLMKSKRKEKAAQDREAAWQRKLEEEKTAGQPSTSSDGAPTEQISESPAENIQEMADAEPAQ >CRE28512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1200361:1201451:-1 gene:WBGene00083488 transcript:CRE28512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28512 MSPQKWHDTFSQLLIPEEILKENGYLFFESEISTRAQFNKSNKWSAFMFMPDTDPTRKCTRCSRLFNRKSTFAYPKLCQYHPLKSEDVNGIKHHLCCFKRVGTSKGCQSHPFHVHSQPSENVLEKFVMTPKPVSSRDYRSNKVFGLDVEMVHTENGLEAGRISLVDCQGRILIDEFIKPEGRIVHLNTQFSGIEMNHLDDAKSLKQIHKLMFQFINQSSIIVGHGLSNDFKALQLVHLKVIDTGLIVTTENGKMMSLKRLAKKLLDVDIQERVGGHDSIEDAMTCLKIAEKLVNMY >CRE28299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1781162:1781696:1 gene:WBGene00083489 transcript:CRE28299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28299 MIFSLFVALSVAGILIGGHKPDYRKLKGIERTGVFKADVEYYRDKSDVFAVITCKPNPVSNYPTWIMVKTGELQNPKIENGVVGLIPLSGGINITYAAKYDGDGEYRGRDFLEYKLRKFKHVGCYFGTDST >CRE28333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1990124:1990459:1 gene:WBGene00083490 transcript:CRE28333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28333 MKCKQINLKHEYGAIRMEVLFSSNGKLLLEIFRSGYVEFKYRQAILFCITSGVLPMDYAVSILDVMHCKSIYQFRVAEIPARDILPLLMILPLHGVRKHPGITFFISTIVL >CRE28325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1926282:1926761:1 gene:WBGene00083491 transcript:CRE28325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28325 MGFPRTKWKILRIIYLFIFLYVIYKTLIFIHVLYLNHQLGAPLDFSEMMSEKDPKKILNDFIKFKNNYIPFDFHQIAIQNEKMIQLHRKEFIRHSIGSQIIIAGGK >CRE28247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1467931:1468785:1 gene:WBGene00083492 transcript:CRE28247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28247 MIKNDNANQNQPFVLNSTLGDFLHSVAFFFMCVSLGIGVFGPISYSNNQLVIGFLLQAMFIHTLLAINSRLFFSISAFLTFGSVLLQLFAFFFFMFTTESFNWLPMGHFLVVMFCVLFIVTVIITVQLFCSAALLKLSIYEDPQKPAKKSKKFRKHGTKNRKNSKKSETKSGDWTTKSENSVIPPTNSCSHAPTCSTRFTSISSMDNSSKIV >CRE28047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:200047:200977:1 gene:WBGene00083493 transcript:CRE28047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-63 description:CRE-TAG-63 protein [Source:UniProtKB/TrEMBL;Acc:E3LM20] MTGTHDFRSWWYGSTESSSEGAEEEYSEQYEDEDQTIEPAVKARQTTRDLADGFEKAHKRFMFNVQNLERKYAEREQRGLPESHIEIDRYGNFYFPENMVPSRQQTFVNRMFQYHSLFGKGSVSAPRNSIQSFDGDHQALKRSLQLDEKFGKKERETEQYGNRCQRKKCRIISSSYGKKRIVLYGNIIEFSVSGIPKVEFYSTSSCSSRYNSRYPE >CRE28603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1867646:1868076:-1 gene:WBGene00083494 transcript:CRE28603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28603 MSNQALEPLVEVDPSYFDDEDFENRDATWEDLENALKADAIAADRVFKANTMIEMLERMEEFFMSISRMSKVYISYHNYVKRLIDEKQGEDDDDEEEAEENKENRARG >CRE28423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:516955:517557:-1 gene:WBGene00083495 transcript:CRE28423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28423 MAWEIIEDGKEPYPGMRVVEVATKVLKEGYRMTFNAEVNVEFADFIVTKCWPADPVERVTMKEVVKWMKVFVKGQGGDPGKSGVSPVKSKKKNSKTKMMLKIGKKLKRGSNSKEKSRSSSMSSANTTSGSSAQGVSTATAHV >CRE28494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:1020261:1021041:-1 gene:WBGene00083496 transcript:CRE28494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28494 MISPFDYLHISMLLVGPLVVMIGCGGKKKAPPPKSASKMSAPPPAPALPPPAPDAAAPPPADAPAAAADGEKKSEKKEVEKKEEEKKEEEKKDEKKDEEKKDGDKKDEKKEDEKKDGDKKSEKKSEKKSEKKDDEKKDEEKKDDKKEEKKEEKKDEKKEKSKKSKKSNKSKKSKRDKKDGDKKDDEKKEDEKKDDDKKEDKKDDEKKEDDKKEDDKKEDDKKE >CRE28089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4:413242:414491:1 gene:WBGene00083497 transcript:CRE28089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28089 MGDLFERNCAFTNINDTDFEQSSFNQFKEENGDRPTSAIDLNNDNSGSIDEDIIPASDSSWNCQEETEIQLEEIYTDNNCNDFHDSIDPPKSFPPTISEEGYYQQNKGNLFGRPFSIKMGAGQSLLRFLRRIVPNDYDRINITGSSNQLHERSHNQRVDAERGGTFSRIPSEFEGVPDRINSYLLWNRQDLNNVPIYYPYIDNNDNDGTIDREFIHVELVSNCQEESEIQLEDSSIDNNCNVSEYNINTEISNTLSGLTRNLQPNVIMEEGQVFIPTL >CRE00993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:237021:237852:1 gene:WBGene00083498 transcript:CRE00993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spch-2 MKPSEKRRRSRAASLAPRDQNGRFTPRKKTTGGHTSRRSARSVSRDRDSSGSSRRSRSRSARSASNYRGRRSRSSVSRSSSRRRSTSKRLTRSVKGRGRKMGSKSVRAHGSRSRSRSVSTAREPSRLRRARSSRRSSRSVSSRRSTKRSLSRRRVTSKQKAATRSRRAARESSTHSSSRSRSRSGSRRSTRGKSTKSYRQSSRRG >CRE01109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:529539:530519:-1 gene:WBGene00083499 transcript:CRE01109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01109 MLRYIIPRKKGSFVIAAFLTVAFFCIVYYHRNDRRRTKFQFPDIEKYAEELVRLPETWNGEFHQIPDYSKPREGPGEKGKPVVLSGKEAELGQADMKKWFMNVHVSDKISLDRDVPDPRIQACKDIKYDYATLPKTSVIIIFTDEAWTPLLRTVHSVINRSPPELLQEIILLDDNSKRQELQEPLDEHIKRFGGKVRLIRKHVRHGLIRAKLAGAREAVGDIIVFLDSHCEANHGWLEPIVQRISDERTAIVCPMIDSISDSTLAYHGDWSLSVGGE >CRE01027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:441240:442152:1 gene:WBGene00083500 transcript:CRE01027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01027 MDDITRRNAYRFVAYSAVTFSVVAVFSLCITLPLVYNYVHGIKSQINHQISFCKHSARDIFSEVNHIRANPNNATLREKRQAGECSGCCLPGAAGPAGTPGKPGRPGRPGAAGLPGNPGRPPAQPCDPVTPPPCRPCPQGPAGAPGAPGPQGDAGAPGQPGYGSGVGAPGPAGPKGAPGAPGHPGQAGSPGRPGADAQSQSTPGAPGSAGPQGPPGPSGAPGAPGGPGFPGAPGPKGPSGAPGQPGANGNPGAPGQPGQSGGSGERGICPKYCAIDGGVFFDDGTFRRR >CRE00973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:151184:152020:1 gene:WBGene00083501 transcript:CRE00973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00973 MVIFWNTPLKVKIAKIVAIVLTIIPLIPQLLNFFYKWTEPLEGNWILAFLIGAIFEIIFVIIIIFECKCLILVSLIIFIIHLVIYTIASNFVILGFAVTSMTTMKRRYNIHDEKDAFYSALLMEVFFVFIGILYFIRFYAALTVYRWTKIPRITANITSSGPKITLQNI >CRE00965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:106467:107692:1 gene:WBGene00083502 transcript:CRE00965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00965 MMGIKPPGPPSGPSPPGKLPDGDEGWDYDETAAPGIVPEPHYIQSTYIYRSPNVQEKTFSSRVVNVLKDIRTRLAKSARLTLENENIECSSPTDHYRCQEYAATGTWNYLIVEDQQKGAITYTFDRKYNEKNDGTIEMALLEFVYTLFRFSVLIFSAFSRHSPSSLIAFASQEAEKEVGYIRALTVQEMDVRFFEIKPKNPFDFQSLSGKPGHRPISLEKFGPNELNLLEPALLEVRTGSELKLTSESLKRIDSTKEFDKLLDEYKYVFILFWTSISSVY >CRE00972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:146531:150492:1 gene:WBGene00083503 transcript:CRE00972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00972 MSYFNVPHATFEQHRPPPSVGFSMNFANFQASPQQELPAFIKRPSLKGQRVDQSIHNAEMIKNRADLLNYMRRQGASEILDPSEIPREFIQSAQDHPDAFGIDLLAEVVCLDDPTLDSYLCMICDNWSDPKGMIRHLCSTEHKSAYMYKKHPVYHVEVSREKDPIIRSRVLNNCTRDLKVQRMGDVVNTRMKTLVDKKTIERLWPGYEEYFYHQKDSSRPWSSGGFKPVVGPPIVLPEVVKLPVSVTDVSMERNRNESFPSKNNDGRGRISDGSCNRSRRSPSRESRDQVSKGRRRSRTRSRSRSRERRYRSRRDRRSRSRSRSRSRSRSRLRNRSRNRSRSRSRSRSRSHSRNRSRDRQSQQTRKDIYTEQTDSFLARLTENRQSSAASDAQLPKETDFNAKLATVRGLTQAGVIRGTQVERGSGSPIEKPAQVEPTISAGERRRIERQKNEHEAELKRKVMGVLMGLEHLLVVHNGSVPRELILKEYEAYGLDPEDGDREVDRFINDSRNVEEVEPAKNNINDVISQFGLAPNPIPTNQYVQPSQYPPQNIQPVQPVQQQYGISSQPPTSVSAYSQAFNLHGSRKAPLSPISAAIVPLNQSALASQPQQQKNLSFYQNPSTSMDYGITSTDASGFSAKSTSEKEKDDNSSSDEEDEAYMEVYKLIGRDKNKKEKQPVEKEKQEEVIIPGQKMALKRTILPNGAPVGGKPMNPPVVPSPTPSNASSATFVALTPFYVDSTATESAPKAISNPVEVTRPQLETSLGIPAPIAVKNVHQMPTQQQPQPMVYQNPQQGYQMNASIGQYQQQQPVYQQQPPPHQYPPQQPVQYQQQMYQQPHAPPQYPQQYGIQQPGYQLQPPQQNAYSQQMYQQQPVQNYQPQQPQYYQQQPQQMQPQMQPQMQPQLQPNFQNNAAPSYGGAPQQYYWPPQ >CRE01006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:335499:336420:1 gene:WBGene00083504 transcript:CRE01006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01006 MSHSSSENYRTFQEFIKLTSIVAAVELTIFFLSLAFYHYCIFRKKLSKEIERIRIEGQKLERITKGEPEPELLSPTQKSSLLEAEPTQLSEKEKPKKKKIQAKKAKTAERKKETKKKTIRKTSSNNLRLSLEDTQRDDYDPEITDAPAVPPFNPLQTPPNEDSHYDNASLRRETSIREAKSDPDQTRTCSSLNEKSVYSPKTNATQDKSLEKLDDPDMRTAREDLWN >CRE01092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:444806:446474:-1 gene:WBGene00083505 transcript:CRE01092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01092 MDDITRRNAYRFVAYSAVTFSVVAVFSLCITLPLVYNYVHGIKSQINHQISFCKHSARDIFSEVNHIRANPNNATLREKRQAGECSGCCLPGAAGPAGTPGKPGRPGRPGAAGLPGNPGRPPAQPCDPVTPPPCRPCPQGPAGAPGAPGPQGDAGAPGQPGYGSGVGAPGPAGPKGAPGAPGHPGQAGSPGRPGADAQSQSTPGAPGSAGPQGPPGPSGAPGAPGGPGFPGAPGPKGPSGAPGQPGANGNPGAPGQPGQSGGSGERGICPKYCAIDGGVFFDDGTFRRR >CRE01086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:372244:372513:-1 gene:WBGene00083506 transcript:CRE01086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01086 MSNLKSEKKLENGVFVNSYFGGDLVFLTAQNSAKGYAYLQPIVKAFVLCSIGSQSGRTRISCRSNQRNSVFS >CRE00991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:227306:229690:1 gene:WBGene00083507 transcript:CRE00991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00991 MDISDISGIQLATSPLPRTAIDYNSSFEESPAKRVKYSHELTKSFDEKLSQTVTLVLRNNEEVIVDRSLLSHYSTYFRVLFSNEFRDSKSSIHRIRLISATDLHLLLTIPRASEQGIKPNINVQKAVELLEPAAYLQIGIALDYISDVICANLSHEISFSDIIKIFRLALLYYTPLAVRVWRAMIRQFQTMFSTNVYLTLKENELMGLLTDKHLNLKSEDEGTVIVNWIKHNSPLQSDKIVQFAQKNFARRPQPDATKYEVIRNRQPIGAIVSFGGWASRGVAQKIEVFNTRCDRWQSCNFDYDVPNIRRAYNGIEIVDDKLVVFGGFNGFQHYQTTVVFDLATKNWKNGANMHDKRCYVTAAKVKDSHGRQLIYACGGMNGVSRLKTAEIYDYHSDQWTEIANMSHLRSDGAVVTVDNKVVAVGGFDGRNIHFGGEIYDPIVNEWHALSSNMRTRRTGCTAVSIMNHVCMVIGGFNGNKRLDTAEIYDIREGLWHPVSVMHNARSNFSACPMDYSIYVAGGFDGQSTTKESERLDLRTRKWQALPDLAEAKSALRMVSLVDHPFLDELFNISEDDDIVTRW >CRE00975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:163540:164499:1 gene:WBGene00083508 transcript:CRE00975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00975 MNHSVIFRYIHFTFYFHNMSERYSKNGVHTFENVVGHLAQSEEQVHPLGSIGGYEWKLGLRVYDETDFRTSLICGNDNPKVKIGIRYYLKIKNSNEILQEKFKREDFTNLESDSVVISKYIPHLEVLNLKNGWLNDGKCTLEYGIQVEFILGYDDVGILFLRVVGILFLRVFLSLSLHLIALELGFVPFHYKTILLLAILITSSYLLSSLPLSHTLSPTVLYSPLITFRFLASLPNKTARSLLRILLTLSLYYYLLQLFPTIHHPT >CRE01053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:89034:93100:-1 gene:WBGene00083509 transcript:CRE01053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01053 description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:E3MI84] MHRIWVLRHFAFISSFRIATKQRRFFCSQTPSTSFASEAAVKYEKKSPTEHVLLRPDTYIGGVAMRESEIVWLRSLESKQMYTKEVSYPPGLLKIFDEILVNAADNKSRDSNMNRLEVWVDRKTSRISVWNNGGGLPVEIHPSENIYVPTLVFGNLFTSSNYDDSEIKTVGGRNGYGAKLCNIFSTEFIVETVDSRTKKKFRQRWFNNMKECDEAEIVDLQNEKTTDYTKVEFVPDLKRFQMDCLSDDIIDLIGKRIFEVTATLPNDVQVYLNGQKCEVNGFEEYVRMFNYYDPSSFLFLHPTSRWHVGVAKRNTYIGDDQLILPKVVSFVNNINTEKGGTHVDYVMDKIVNVIKPLVDSRLAEFGKSVKPAMIKNNLSIFINCLIENPSFESQTKETVTTKPKLFGSSFDCDHKKVTKWAEESGFVEEIVEEALNLKKKKVPAKRVTSSSVRDIVKLEDAEWAGISGKSEKCTLILTEGDSAKALALSGLEVLGRETYGVFPLKGKLVNVSNIDDVRASKNEEISNVMRILGLRFEEEAPTQESMRYGRLLILADQDEDGSHIKGLVINFIHKFWPSLIRSRDFIQSFRTPLLKAKKGDKVKSFFSINEYQKWAEETEGKWKIKYYKGLGTSTSIEAKEYFSDLDHHVINFKYTGLNDDKAIRMAFDREKSDERKEWIRGSKRLESLVDDGKTELSYKEFIDGQLMQFGMVDLKRSIPSLIDGLKPSQRKILWTLLNMDESTEMKVSQLAGAVAHRQSYHHGEESLVRTIIRMGQTFCGSSNLPLLQPIGQFGTRHEGGNDAASARYIFTSLASTTRLLFPHADDDLYEKRIEEGLVVEPRWLCPIIPLILVNGTEGIGTGWSTKIVNRNAIDVIDGIRRKIDSVEGEHEIQPYYEDYRGKIEAVTSKKFITTGKIHLSRPERKNASTFSIEISELPIEIWTSKYKEKLSKIVENLPIVEFSERHTEKRVNFRLTVDRKKAGRFLQKTNSELLNFFKLRSSITENRVLFDKNGLLKEYKSISEIASEFFDVRRDLYEKRLKAQKDECEAKLKYVDNQIKFIDLVTNGTIDLRSMGRDQLESSLEEYGLESDPLSSKTHNAKKSDYSYLLEMPLSRLTSDEMNRLTERRFRRKTDLDAAESADWRSTWHSELDKVTTAIQKKINK >CRE00957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:52357:54333:1 gene:WBGene00083510 transcript:CRE00957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00957 MTRQNCTNSESANFRRAFISQQKEVETVEYKYTRLINEPTKVRVNVDLKAPDLYLLLRKATERLYDFKCCKVTEFSQILPAAMARDRAKTLVQRLKREIPRQHFEVTKKTIEFEDYDCAKVFLEPLNQFRSIEDETKNWNWVDAVNSLVQALTHKL >CRE01079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:322322:324946:-1 gene:WBGene00083511 transcript:CRE01079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01079 MTSLLGGNFSDALHTALASQLNVLSQKTSDSDIPTTFSSSSSVDSPSYDPMSLVAAGMADQNGGIMDMFKDGLFAALVHPPPTDVANSDTASSESPEPVAPSVEHEENLNGTEEHHSAAVSLIQSFGTPIRKSEENEQQLISEATIRDLMPVLAQAAQGPNGSSQYNQSSNGLNISSNGSTSSPSAWARNAGRKKSHPVWDFFKDMKDSTGAGGVICLHCSWSGDDRSPNNLRTHLKKFHSEDGIFNRFIPLDRSRPADTVDKKQEVYPSLVTVSPCSSPLELSFGLLLTVLT >CRE00974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:152245:152989:1 gene:WBGene00083512 transcript:CRE00974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00974 MSFGGPPQIFCFQTRHVVIFFSIFGLIECLWQSVFNGDLVTKTTGFVSSALEIVLLYGVIKNQSKLLKVSMYISLIHLTFDFGGILVTPVYFASQVASGYESNDTYPNILGVKTAEEDRFVVGLVTGYSVEILSTAGVALSVLQFILINRCYVYAKMMESGIFKQSKLSVIKF >CRE01032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:486101:486836:1 gene:WBGene00083513 transcript:CRE01032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-immp-1 description:CRE-IMMP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MIH0] MAQTSTIKSLWHFTKGSALIYCVCHTFAKHVGELVICSGPSMHPAVQDGDFVLSERLTIKNNNVQIGDIVGCENPQKAKELLCKRVVAKEGHPVESHLLPSGRVPIGHVFVVGDNLALSTDSRQFGPVPEGLVQIRLTLRIWPLNRFGWVSDHWFWDKTDQNKKG >CRE00970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:139660:141547:1 gene:WBGene00083514 transcript:CRE00970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-arx-3 description:CRE-ARX-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MI97] MSSSGVPVLHWNFGIGPITCHAWNKDRTQIAVSASSNEIHIFEWRNGDWQSIHVLSEHDLPVTGLDWGTKTNRIVSCSQDKNAFVWTFDKNVWKPELVLVRFNRAATYVKWSPSENKFAVGSGAKLVSVCYYEQENHWWVSKQIKKPIRSTVTCLDWHPNNVLLAVGSCDFKCRVFSAYVKEVDEKPSPNPWGQKMPFGQLMSEYSVGGWVHRVAFSPSGCRLAFVSHDSSVSFVDSTVDSQKVQNLRTIHLPFTTVEWITENSVVTAGHDCSPVLFVVSQDVLKEVCKLDVPSAAKSSSVNSALQLFKNIDRNNAAEKVNVALKTLHQNRITQILPHSGTVGNVVKFTTCGTDGIVALWDLKNHPSLV >CRE01096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:453527:454553:-1 gene:WBGene00083515 transcript:CRE01096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01096 MDFEAISKFVSHSDRVKCVDIHPVKPWILTSLHTGVVQIWNYETKTLVKAIQVRKSELFSCSENSVRSAKFIPQKNWISTASDDRKVRIFDSESFNLIREFEAHSDFIRSIVIHPTLPYLISASDDKTIKVWDWKNEWRLEQQFDGHEHYVMQMAINPYDTDVLISASLDKTLKIWKLGEEKEVGMLEGHHKGVNCVAFLGKSKIVSGSDDRSIRVWDYETKSCIESLEGSHQHNITFLSSFNDWIISGSEDNFVKIWNSKSLRLGKELNFEMGRVWCIGIEESGIISVGFDSGAVVLKI >CRE01010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:354153:355157:1 gene:WBGene00083516 transcript:CRE01010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01010 MHRESTTDRRKTRAPNSWQSFGGSGSGKNYEKKERTPRSEVQGNSDESKHHDEKRKILEDYDILDYQFKNLQRTEGSSCNSHHNVNSNEKKPLTICNSVFDYEKPSPRGLTRDKESIEKCAKKMQPSNNRSMSMIGNPSKIQLNQISEPDASKFNEIKQIPDTMKIEVRRTVVREGGIHFEETSIIQFPKNLPPNVQIITAQFVPGNHDQ >CRE01114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:555069:555616:-1 gene:WBGene00083517 transcript:CRE01114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01114 MSVLQKIADIEAEMARTQKNKATNAHLGILKAKLAKLRRDLITPKGGGGGPGEGFDVAKTGDARIGFVEAHGERGLV >CRE00956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:49611:51752:1 gene:WBGene00083518 transcript:CRE00956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00956 MNETMPSMNSSSSFYDFLDSSMAERSSFSSRPATSSSFNKEGTPTSSNMFPSSTSEECISQFTLPNYVESPQSIQSLDTGNIPKKVRGDLDLTGLELMPFLFKAADKLHAIKDKQAKAEGIKKRLIQLQNMFNENKIPEHLIRNLNYVVDSIEYENYQQAWEHYNRFSQSIPEEMSKSWFWLSSLKMLISELKGAQRIGSAGSHFRS >CRE01067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:216406:217359:-1 gene:WBGene00083519 transcript:CRE01067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01067 MAHRQNQKMIPAIPTTSLRVQTDKKQEDVDEKTLINKLIKEFKESLTPDGEERIHIGLPHTGRQGELVDSSVVTKQRRSSLLGRHLQEKEAREEPTRRARNTSCFESKELKPPTNRSSTCSSNQTFLFPANTLSWPTSASNNQARKSVLEAESFREERKSKQKVLDWNKAQEATMCQQQQERETSPRTKSSLSVSNIVCIGQHDWSICPSTAFQQHSPASGHNAFLGQPDATTKKQI >CRE00963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:87470:88962:1 gene:WBGene00083520 transcript:CRE00963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE00963 MYGNAEKSPVNWIAWSFGLFLIGLILIVSGYMFVVYLKSQHKKNQLHEEEKRIEEEKAYRERLAAVNNQSVSTTFGGGTTSSIRENEQQTSEKMKSEKTVPTEKTENSSKMNEYSVRSSDETIGDKSEIVQKESNICLKSAPISSTIGSKEPSTEELSSKKSLTDLKPIIIKSENKGCGGEVSVEIPIKTQDPPIKKQVLSAESAPGSSIGSDVSTYVISSQRSEMNNYNMLEAGRTPPTYSENALHQTSSIETFVPMTQIQSSSVGTPLTVIVAPGQRNSETAVSMTTPPINHK >CRE01058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:126127:126800:-1 gene:WBGene00083521 transcript:CRE01058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01058 MIDQKLFILGILLVFAFVLNAIGVFTPCWIVDSFGFTSRIVPYNRYAPLWFLAATVSMYLSFVLYIFMILIYTYSLLIVHRKGYSRSVKKWFSLITNASGMIVCFTVLALILIGVNISKASNSSDSYTLGYSAWLCVASAVISLGIFFNVVFFVHKECK >CRE01089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig40:393881:394266:-1 gene:WBGene00083522 transcript:CRE01089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01089 MSSNPYPFVMPPRIVQKMNPASKAAASSSGVLSSPSSSTTASSSKKKKISKTLANQTLTFEFNQNSKLPESRKKELAEKLGLSPEEVRRFFVNARMRGIRAIVGQFRVPKFKI >CRE01421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig400:10718:13268:-1 gene:WBGene00083523 transcript:CRE01421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01421 MSRLIAEHQKETEAIRKEREAMKSELKEEKKSELSTKLTKASNRQITLDASNRVLKQFLNITRTVQDASESLEFIEHYCSHECPENFECAIAIYLDELNELKLKFKERVFHFRQSAINEQNVHQEVRDVCKSYLQKSEELMMSESLLELCFHLPTAVENKKIFEIEEFKKKAGKLSEDLLLYPSTQTCSSKILKTFKTSQSSGGPNLPTSNYNLSPSSSLIAVSNNSSNNISPSELFLPGIIENTLRKVPPRCGFTTHHANFYIIRNCATTLAIPLSIIYSDSFLKSHVPASWKHAIIIPIPKKGSLTSPENYRPISLIDPFSRVCERILCNYIKINLFRNISRFQHGFLSKRSCSSSLVHSISEYRLLLSSHKSLDVVYFDFRKAFDQVDHQFLISKLDSFGIPSNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLCIAAFADDIKLYSHDPLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKSYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNFIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPFWNALTINVPHFLCPSEFKSLLVNNIARF >CRE02696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig401:14915:15193:-1 gene:WBGene00083524 transcript:CRE02696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02696 MIQKPLSDVLNAPRRQEQLRQLVALAADVPLKDVGIYFSWKNFEQTRQKEFEEEVAEGLTTFFKVPTDAKDIEGITQFWQIINILTCYNPNK >CRE09860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig402:3474:4857:1 gene:WBGene00083525 transcript:CRE09860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09860 MNRPPPDSTPIDLCALILYDNYQIKSAGTSYKNYEKLSEAMGKKAISYEEYKYWFEKYSKQRERDDLPKPDIRGCILSDVINGKTAEKSIDNLCKAFKYHKIDKEDHDYWFKRFENGDLFNQVKFSKLPEDLITEIVEKCDLVSFFQLRNVSHSLRSIVDHTKPPITHIIVECGGNHVSFKLNNQILVYFTDRKGVDLSKVYFKHLCKFEGDDYTKIAFNYLEMLLKNPKLQLNFLQVEIRNDISKKSFPIFRDLLTSLSHKIHVEHFFLSVPKYEDITTVVKCMKPGTLERLIVFGCKGGELSNIDELIETEQWKQAKLFSYENLLDTSIEHFFHFNEFYINIVSMSIEDVLNLSHALSNSPNFESCRVKVKRYDQEAVMNALRLDQGCFSELFPNLLFYLYPNSIEIADI >CRE09857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig402:181:797:1 gene:WBGene00083526 transcript:CRE09857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09857 MLLKNPKLQLNFLQVEIRNDISKKSFPIFRDLLTSLSHKIHVEHFFLSVPKYEDITTVVKCMKPGTLERLIVFGCKGGELSNIDELIETEQWKQAKLFSYENLLDTSIEHFFHFDEFYISIVSMSIEDVLNLSHALSNSPNFESCRVKVKRYDQEAVMNALRFDQNCFSELFPNLLFYLYPNSIEIADI >CRE09862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig402:6227:8105:1 gene:WBGene00083527 transcript:CRE09862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09862 MSVTPAMSIYESTFAQSDKTDAILVVEGKKMHVSKAVLSFHSDYFKTLFDREFKDKWMPEYSIENANFEDFAALLSLLYPCPIEPTEENAEKLLELADRFLIPSAKYSLELFMKMCKMDKMNKIRIADKYKFMDSPIDLSAFVLYNLRLWESAETSYKKYEKLCEAMGKSVLAFNDYKYWFQMYYKQKERDDLPIPDIRGCILSDVINGKYVSKSMNDLCDVFKNHKIDKEDHGYWYKRFKNGHLFSQVTFSNLPEDVVSEIAEKCDLTSYLQLRRVSHGFRSILDHSKPPLTLIVFECEENQISLNLNNEVPVIFTDLNNVDPPSHFPGHFYKFKDNDYAKVAFNYSEMLLKNPKLQLNHFVVAFSKKKHNKSNQMFRDLLSSLSHKIHVNDFAISFENDEDIITVLKCIKPGTLEDLTVGGYPEDEEELPSIHKLVEMKQWKQAKFLDIVQFLDTTIEHFFHFNEFYINIISLSIEDALNLLQALSNNSDFKICQVKVKKYDQEAVKNALRLDQNNLSELYPNLVIQFYISEFLIRNIDYSDSH >CRE09858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig402:1333:1964:1 gene:WBGene00083528 transcript:CRE09858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09858 MSVTPAMSIYESTFSQSDTTDAILVVEGKKLHVNKAVLSFHSDYFNTLFNGEFKDKSMQEISIKDVKFEDFAATLSLLYPSPIKPTGIKSLCQNWKTPIFPEENVERLLEIADRFLISSVKYTLELYVKTSNKDKMDKIRIADKYKLQDLMYYGIRQFTSCSQFKGIKRHAVYNLLSDKAKLDLLSYYFTLAGYD >CRE09863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig402:8339:9775:1 gene:WBGene00083529 transcript:CRE09863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09863 MNRPPPDSPIDLCALILYEIHQWKTAGTSYANYEKLCEAFGTEAAMSNEEYEYYFDECLKEAYISTKNGRFLIAGIHFSDKMYIYRDLPIPDIRGCILSDVINKKSAQKSLNDLCEAFESVKIDKEDHDYWFKRFENGDLFRQVTFSHLPEDVITEIVEKCDLVSFFQLRKVSHGLRIIVNHSKPELTRVVVECGGNHVSFKLDYDILVYFTDRKGVDFPKVYFKHLYKFEDDDYTKVAFNYLEILLKNPKLQLNYAQLVFFKNSHNQNNQMFLDLLNSLSHKIHVEQFLIHFLTDKDIITVVKCVKPGTLKSLWVFGYKDDGELSTIHELVETEQWRKPKFFSSGKLQYTSIEHFFHFDRFFVNIKSLSMGDVMNLTNVLSNSANFEHCMVTVVRYDQQAVKNALRLQYNHSSAPRLKSELYPNLVFRLSTSSIEIFKADFRNV >CRE24374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4035:900:2211:1 gene:WBGene00083531 transcript:CRE24374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24374 MPLREPIRVDPEEPSTSTGPQRRLDENLRKFDELFSKTPPTLWEVCAENQRGRLVKTKYSTELRSLRGVNFKEILKSHQIVLNDLARISGTTFGELCEGLQFLVHFKKIPIFKTKKEDALEFFQLVCNCIHFSNDRWTNVLDSKNLLNPRTTDLVKKSIARSKCPLPPKGGKEGEEVVETAATSSLFPPSKHTTPSPVTRLQDREKVPIPPPPSVDVLYKGPFNVLEDEYLRPIHRFNFSKTTDNKFTVVHEKLLKQVTKNNGNIRVKELELSDLDYYQDDKDIQPTQISFRLDSVVQIVHFDLPKVEDDGYFSPNLPSRGWYLLDKYMEANVECTEAFKHVRPNGRPMKPGFKR >CRE26834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig405:13907:14350:1 gene:WBGene00083533 transcript:CRE26834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26834 MTSTSRERRRQSRYYLRLSNLMTTQELQKEWTIGKFEAEKLIEEVKEAISQAKKELEMPEDKENYQQGANTDQEKKVIEQGHRLQSSIVAMEKRLAEFQQRVERYQQNLDALSSPHATTGEEQCKDSSQTPADVATTQKEIGTSTQK >CRE26833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig405:12432:12653:1 gene:WBGene00083536 transcript:CRE26833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26833 MKATSTNTHNTSWKTTHNRRKERGGTIEKGGITSDKEKLQFELPPTTMQRRASEQFVPRHNIFSGRANSRFSN >CRE26835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig405:14533:15168:1 gene:WBGene00083538 transcript:CRE26835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26835 MMQNNDNKLPADRIYSVEELLFGPDPWEEGQDKHVVPPVDEALAAVLLGALDRDKDDVQVEKPSVPAPTNRIVDKQGNEITLQRVQQLLEDGNTCEFEELIAAVKEKAGPDDLPAQILAMCCEKLIIMYEEIHEVFAEKEATMEADLADLLDLTSTLTLRQEHLKEAQANHHLVKISGPSKLPAHAKRGCLICQQEHSATSCTSFPSVSSV >CRE25946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig406:532:1526:1 gene:WBGene00083541 transcript:CRE25946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25946 MANFRSSRPYRRGTMEQFIEDPEGFTHFFPDEVHSQLGFRQTKFHTFYFKYMEKKFQKSEMNYQSFLQLTTKLEVEEDVLQIFFQERFYEETKERIVLSKPKRRNDVCYPIRVPSTCRRNFGPTCCAFFGLSCSLEPVTSTPKQNRSSRKRLHVDVSPIRSNPTSSRSSSRSSSEDSPGPSSRVKNLQTRPSKASSVPLSSPNLPVGSRNQSEETEPNCRKRLCSTDWSEMF >CRE25948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig406:9793:13796:1 gene:WBGene00083542 transcript:CRE25948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25948 MDKIPKDEELLLFPVKEEPLIVPKIEEDSYEETYEAYSPPVEQPKQDPNESLRDYLMGTTQPPPRPKELTQEDIDLLESVFLDFVTEKGIFFDADVMIKSLIRKTCLTRNELRDWIRVRKEKVRQEVPACLSKSTSAVFERVYSDLKTKNGGCTPFLSPEELDNLAEEVNESKKVIQRWFNYKRYREKMQQERAAVKPLPKAPIPRRHSAVKRPCPPPSVSDEDRKIFQKQIKILEDLYEEYGHNGMELSVQDLQFLGSKGEMGREELEEWFQLKIVEENVSNHLTQYMEASKDPFTTIIKSQSLTAFFNNEYNKQKWTTPARNQMLSNSSNLAPYVIDRWFIDKKYKEDSKIALNAYRQAASLRYNEEYPFFSKVSPGMRSALIEIRNDPKKSTKDFLKLCQQRNEHVEMTDFTFFYGKENRNEREFQKEYLEEQLKKITPEEQEVLTTVFYEWRINVNMFLKHVTHLKLSTTVIKEMHAKMKKNPPPRIEIERKPSTSTQIPEPGYVEVKAEEVDYEEPIYEEQVVAVEDQKNIDLLITNPQNGTDYFETKQEIFEFEEIPGPSSQLLEQVPEEHWIEESEYDAYEAREYEYQYEEPLIEGDEDQKAAHLLEMELRDQEYFEEKKPETGFD >CRE25950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig406:18294:18909:-1 gene:WBGene00083543 transcript:CRE25950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25950 MIGRADIEGSKSNVAMDAWLPQASYPCGNFSDTSYLKQSGLKGSIGHAFAVCTRTESQDQASFCPFALREVSVLSELALGHLRYDLIDVPPQSNSPLDTVFEESHGAIKWLRLMLRKKAVKPILFQPNK >CRE25949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig406:16946:18182:-1 gene:WBGene00083544 transcript:CRE25949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25949 MDSNDTIDLPAPLPPKESVAGPSEHAAPVPAPVESCTGEQRREHTPERSFVIAGRPLAQRQGVPLMKAPVRRIVIVRAAPPPPRLNDTDDDVVFVGAVINNYDDLFAATHEQPAPILVADRVPKVKDIDPLVE >CRE25947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig406:2613:8374:1 gene:WBGene00083545 transcript:CRE25947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25947 MAAFERTKCGSFVLFKPSEEQRRAGMKSEQIQMYLFKKMETEFHKNRGYRKYINELETDVKKYVLKEYYRMMRNEHVGNQMVSPAPRLVPQERAVSVCSPVRICRLSFGMPCNCVNNIFCRNRNKSPIEETPVEETPRPQTPHFEAPLDEPCTPRSARLRNRRNRDIETPVSDRSVSVTPAPRGRKRKAEADDSVDYTSMDYPKTPKFSTPLNKKRRLTEQEGTSNSFELLDLELSPIQANSSTSSSSSSINSPLGALDVPCFSEHFIDHPPALACVKSEHGGLPPGPHLSISKAEAREARKIRKKTDEKWASAFRAKIRQSNLLFEELGEKHGFWQLLDPKMPRQKNDLLRAEISVILKKWGKVFDRRGIDESKVESFVVARDKLKKRIGKEQARSALRIFPEQYSRKLSEAFDKFPRNYPMFFEETLTVNVASDVIKEFYDQAEENRLNQSTQEEDLVTNGSSLSNRDVSPGTLARLEEEKASRRMDESINFVAHPFGQSLERREDVQEELNVEENGSFSQEELALAENGEAAQEYQEANGDEVQEELDVEENGEFAYEDMIPLENGEAAQEENQNAADGDEAQEMNDEIVEVIDDIDDDIEIIEPPPRRVFVAPIIRLDPDMIPFGGYQQAELEQPPIDIDVLPPVRQIDRRFWHDDDEDDDDLQIVEEPEVQIIPPAANNEVADPDIQIIAIKEKEVIKLIIPKGATNNWSLWTAPQVHDWAVSVLRLHEDYYGSKDRKADLRLLHDVVGEQLHNIVQNRNWRGSQYAFDTIVKHLQKVIDAFNAQNR >CRE31559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig407:27722:29452:-1 gene:WBGene00083546 transcript:CRE31559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31559 MDPILSEWDDSQSIVKSKITNRASIRILERYPLKETDVETLFEAEEMAGGEPEELYKLCRQLLNVWKYAIFFQPKPENFVELLQEKNYPPPVIKGLLEAYTSETTAEIVEHLATASHSAIPRVLSTDWSCRTVTRRNDVATSDREAILTFSTVDGVKRIELSGRDLEKLYWSVNRVQTSLDSLLER >CRE28015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4079:327:1247:1 gene:WBGene00083547 transcript:CRE28015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28015 MFAIAQRNQIDIPYKSVEEVKQAYNFHNLQSFLDIYYAGANVLINEQDFYDLAWAYFEKCAEDKVVHTEMFFDPQTHTDRGVAFETVINGLQRACDDAQTKLGISSHLIMCFLRHLSEEAAFETLKQALPYKDQIIAVGLDSSEVGHPPAKFERVFAQARKEGFLVVAHAGEEGPAEYVWEALDLLKVNRIDHGVRSEEDPALMQRLIAEKMPLTVCPLSNLKLCVVDDMAQHNIHRLLQKGVHVTVNSDDPSYFGGYMNDNFISIAEALDLSNTELKQLAKNSFEASFISNEEKQKWNNQIDQLA >CRE30534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig408:15782:16042:-1 gene:WBGene00083548 transcript:CRE30534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30534 MEVKFSYPEVGSRKSEVNFLIRKSEVGSDFPYPEIGSRKSEAIFLIRKSEVGSRQGKNARKSEVGSRKSEFHATLLSIRMDSSPNG >CRE30536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig408:20708:21510:-1 gene:WBGene00083549 transcript:CRE30536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30536 MTFPVFSAINTETSDVSTIKEAPEVTPRSLMGQVKKLYDPAVGENSGCVNRLVDIYLENASLTNSDLNVFLRHWLSGGCPRLKLFCARTGSVDILQVLAGLLRNAVFVEGRGDYTSPFAYRRTLTSGFDIRRADGVTATVCKQGNGTLVIAVWPETTYNYN >CRE30532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig408:8619:9824:-1 gene:WBGene00083550 transcript:CRE30532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30532 MTEVGSKIPYPEVGSEKSLEVGSEKKPEVGIPNNTAYASDVSDIITQLRANHVIVHIAVDSIPSGGSNSATLYEMSFQTNGYCAFATGRDLYNAFYDMTWILGTHYQFFARNFVVSGSGRIEIPTFKTPIPPGYSDWCATAITVQNHTLDNSFVSLNYTIESTDGSYALKRFTNNLFGTSQSALIALNESLFYKWTIDYHYNTDGPQIIQLRMYSGYYHDFLPLPPSE >CRE30535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig408:19520:20238:-1 gene:WBGene00083551 transcript:CRE30535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30535 MTFLFALLLCWIISVFFEAIESFLIPNIPLPPPHFPPSQTVSIRQPDVPIPSSPFPLFLVPFVPLRRIIDFMKADALVSLSFCSRKSHSVIKTQRRAPFDGRLCVSGPNRNVMFYSVKNLTRVLRAANYLHCSNSDKNNYVKMNGQYVPVEVHRYSGYLISYSDNTMNGLKAITEYVTDLFNLDVAVLKINRESFHLIEWMNSRQKTPLKKSFTSAGEQINRR >CRE17800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4083:324:1229:1 gene:WBGene00083552 transcript:CRE17800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17800 MTTHSQAKVQASVLALLPLIVFLAIFLGSGIYHTIIGTEFAFYQVKAPIAALPAIILAILLYRGKINAAIETFLHGASHPNLILMFMVFMLAGAFASVTSAIGSVDSTVQFGLSIIPSEFVLPMLFIISAFIATAMGTSMGTIAACAPIAFGFSQATDIQPIYAIGAVVSGAMFGDNLSMISDTTIAATRSLNVELRDKFRVNIWIALPAAIITILIYILTSHHAQTIEYKSYNLWLILPYVIVFFLAFTRLHVLAVLTIGIVLSGVLASLKILNLPYLNTMPLFMMALWVCLRLPYFLCS >CRE30642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4087:3486:4028:-1 gene:WBGene00083553 transcript:CRE30642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30642 MQLVFVSREKYRQKNNHDWIDELTQHYPNTYIIPEGGTNELAIQGCQEILTPEDLNHYDVICCAVGTGGTITGLINASSSQQEILGFSALKGDFLQQDVSQLTHKSNWKILDDYCFGGYAKTTVELVSFINNFEQQYRIPLEQIYTGKMFYGIFDLISKGYFKENAKILILHSGGLQGRS >CRE30247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig409:3288:5088:1 gene:WBGene00083554 transcript:CRE30247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30247 MENIKFMNELMAKLPSGCHSLLGSSRSHIFPLKDPARTRTRKYSLYVFLRTPLPSYTFETQRTSCRKQYYLSAFNKLAVSTKRRRTKLSEVDRNLVYASMIETPTAKWRSKNACDYV >CRE30249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig409:14477:17129:1 gene:WBGene00083555 transcript:CRE30249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30249 MRDRDAEKWGYGPTYCREVASIVIGIFLQFTLVVGAILAMPIVLGNKKFSIIKTLKTAYRSFGWSYNRFGDSQTWKNHPAEKEKRMCLQCFKFQDQYARKMRKEEPLLEYMTQNIREVIKDLASRPPEEKVAEVQKQAVRAFFKDRAGITTHDSSQKPTDALFYIIIKI >CRE30248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig409:11879:13801:1 gene:WBGene00083556 transcript:CRE30248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30248 MDHSGFVVDNEFVRESNKKNKGSRFGMAVDTQWFVILSIPIITRHGRKNSLMLNEK >CRE10797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4097:1397:1879:1 gene:WBGene00083557 transcript:CRE10797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10797 MQLSFMEMSSPVGILKLVAHDHALVAVLWENENPKRVRLAELIKNNTHPVLLETAKQLNEYFQGKRTQFDLPLDFAGTEFQQKVWQALLTIPFGETRSYKEIAEQIGNVKAVRAVGAANGKNPISIIAPCHRVVGADGKLVGFAGGLDNKDILLKIEQVP >CRE02346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:341674:342195:1 gene:WBGene00083558 transcript:CRE02346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02346 MFASLLKVLIVGCLLVSATLGIPSSNLKSLTAIYCPHEINWSWRAMLIGSDDGTNGKQWARNEGGGYEQLQFIEMGNVVNDPPSPDEIELEIWIEHTCNVDAANWKKLEADSELIFPLGKGDIYAFKALHLGKENKP >CRE02397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:333841:334301:-1 gene:WBGene00083559 transcript:CRE02397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02397 MTSLLVSTFAVLFILCHCEQKTVDFEVAGVVYCQYDKEFSWVASLYWHVEGKPKTLLDSKKGSGSEQMQFFKLKGTVSGDPPNPQWLATEVNNTCRDNDSRYKMYEFGVPLHGKQIYSFSAHNIPYDMHGWATAMAGV >CRE02356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:478642:479112:1 gene:WBGene00083560 transcript:CRE02356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02356 MCHIIDDASKISLQIRKDVEKWNKLVVPGNKTTESYVITAKDFIEMYQSHFGVLQKTVTTTIKKVFMKKFDGRAIEVARNA >CRE02396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:332542:332715:-1 gene:WBGene00083561 transcript:CRE02396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02396 MGSKTGTINPTFQKNQTMDTESTGTPTGGPTGGPIGGPTGGPTGMQTGAPIDGVRRY >CRE02457 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:719549:719882:-1 gene:WBGene00083562 transcript:CRE02457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02457 MVNHEALKTLAFVFFYFFMLFALLDTGIFYENDVKFQETHFWMQGPDTWRSTIKTVYLVRVLDFFRVCNFIKSTFLT >CRE02415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:416222:417377:-1 gene:WBGene00083563 transcript:CRE02415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02415 MICLSGTHIQSLINLEEICDGCAKCSNIAQKCLEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILSTIFCDKLAIWICESRVLPDEGEGLEYDHRHMPREVIDIILRKWNVKSIKLSILHITNEEVCSVEWLRYDYFTRVRLNDPYLKTKQSDLKFNHVEVSLSYSLDCVRDLGNRQLIVNEPKGYDNFIPNIRRMFPTDQISMELPHWYFIACNNIEKKMSTILQVVTMEQHQNLSLNIKFFVQSGIVKKLNERTNRVELLGIASGYVLQEKRFYCFKKSSPFNAEHGPEVFFDNEWIGRRFQVRNTVNQFNFNLDVYIKEKELEEGFDNELLHEFPNSFVGHFFA >CRE02338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:149905:150200:1 gene:WBGene00083564 transcript:CRE02338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02338 MKQRDDTRFPIESSQAADRNLIDSASIITTDGFISANRGNLIISKILKVDPAVVNAQQKALLLKQKTPDGIRSIRKALMPQ >CRE02399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:343891:344946:-1 gene:WBGene00083565 transcript:CRE02399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02399 MRLLIVLVAVGFFVAICSATSVKFFIAGHCPSSKIGSWTGVIRQKVNTSYKEITDTSGEPSENFFFGELKGEVISSTESAILEISIITSCDENLAFGSDFEILIPTGKGFQYGFRGYNMENKDDKTDSEAYEYLFMKYLEKDCEENECKESSREYVAKGCDLFKKESEQCMKGYDDTLSKFCQKDGSSVHSLCSEYGSTTSSTKMILIIGGAVGAIIVLVLAIAVFFYCRKKKKSKQNLTGTMSGSGTISGTTQQSTGTSHFNTGAPTGTPLGTPTGGPIGAPTGAPTPTGTATTTGVERY >CRE02417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:418184:420291:-1 gene:WBGene00083566 transcript:CRE02417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02417 MMTGAELFNVVAIVIHLPFPSLHFYFLRLSQRRQSTPRRRIIQADMAFEKGKRTRTDFIHNKNQRENGIHPKHRCPISCFVIFVHQTFGFSAVSFIGEQVISSPPNVLVTAFVESTSRGINVRKMRIIVKTHLI >CRE02337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:143736:144818:1 gene:WBGene00083568 transcript:CRE02337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02337 MNLRYRYRNVSEPNVVSEGFKEFQMNFVDTLTHFPVSLFLIGLYILKIYRKQTAHLSPFLSFHILMYASVIINIYTISMKPGISVFLNVLLFWILTLFYLFNYIILSHIFIDLFVVVFQVTNVYFKTCDIHITRRNIKCVLIVVLIVFRLVFLSDYLVLSISDWEINLDFSNGSIHLINILLLIILIIQWKISGIHQKDSFILINSIIMLLLITIFQVITIFKHVLKYNSDLPLSFDKFIYNVYYFPYLWIISVYICNFKTVQTWIQNQRKRTSITAVVPESLIVVRPQRIDETVVSNLSVSELETVM >CRE02330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:42092:42522:1 gene:WBGene00083569 transcript:CRE02330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02330 MFSKDKKCNQGTSDRSFNNQVFWNFGWLETSDGPLHIFEYLTGHPTMPKIAELTVTSNFVDDVDMEFSLTIWTFQNFHLLSSGMDHKRKFVVSGLQMDKFGQNFTDQ >CRE02453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:699764:701757:-1 gene:WBGene00083570 transcript:CRE02453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02453 MATSFRFTKLPYLARLLAMRMMTTNELVSYSLISRKSEYMVRSVFGKRDYIEAVLHNEFINICTNFEEIEWRREQITLELHSRRNNIIPSRNGPFEVNATVRDNQERKYPLRKKLDSHEWIAHFIRIFQSRKFKLLFDRRSDYSLGLIQNLFHDTEIIGIGILNCGRRYAMEILRSFKPIRQLMLIGVNFIDFDMEELEGYIFQNLDYFKIDGGSFELGINRLLAMDCKIIEVWGSSMSVDNLNTFIRRWIAGNTNRNLEALTIMFDMTRRPRHPRNYRQRILENIQHSVVEKDHHKKLRAPINSALWAEVDYIEAQYEIQGVDKRVVTIQFDDKGMEMRFKFVVGQ >CRE02381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:130986:131729:-1 gene:WBGene00083571 transcript:CRE02381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02381 MLQELVNSCELVGLEINASKTKVLRNKFASSHQIHIRKSNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKMSQVKDPLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE02379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:110557:117984:-1 gene:WBGene00083572 transcript:CRE02379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02379 MAEVVPECISFAWAYKPRRVIMPILRKRHFALAVFSRESQDGSVQVHVMDSEMDHNYVMITPEEAFQFSSFYFEGVKTSDVKLEKGSKKFRSKVIYMLCKKENEYTYYKDIWKVMLKVCSMLEIAMQHFENNKILVWIREIKVAAVLDRNTDVFSFAHWMGDSKTKHYNMEFILKSIKSWRS >CRE02430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:456975:457903:-1 gene:WBGene00083573 transcript:CRE02430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02430 MHYKKSAISAIRQRGNGIAARPWLELVERAERDEDVPLEPVEDDLGAMVIEEPFHIFADNDGYMRVNPIQIGNIEEFGVDEEEAGELGEIGEKNPC >CRE02362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:539537:541754:1 gene:WBGene00083574 transcript:CRE02362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02362 MTESYVITAKDFIEMYQSHFIVLQKTVTTTIKKVFMKKFDGRAIESTCSWKSRQQLEGALNLLTEAGMDPNVGTHDAEDFKILVAHLKDYRIFVWTIQKDTLLSEIIAQQNEQAHGMIPLLCIDGSYDFFIPTLSNVKLSYCFKCHDFGGRYHARTCSAICKNEKQ >CRE02432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:482288:482677:-1 gene:WBGene00083575 transcript:CRE02432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02432 MSTILQVVTMEKQRKLSLSMRFFVKSSIVKKVNEETNKAELRKLAPRYRHRRVNFQSCVRRSLPIDDVDQYLEEFNQEKWIGRRFQVEDIENLFNFNLDIYIKEKELEKGFEKELLEEYPNSFVGHFYE >CRE02398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:340265:341397:-1 gene:WBGene00083576 transcript:CRE02398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02398 MAYRRIKKIKLESHEDVYEQMFIQYLKVNCDENNCASIYEQHVMAGCAGRQWFKQDCNSGAKKALLVYCNTEKADTSSSNGLLIGVLIGGALFFFCICIVVLMYCRRKKSGAKNDQEIGVGTPSTTTGKKGKKGKKGKTTTSTGTTKTGTTKAETTTGTTGTKPKKAKKAKKTKKAKKAKKGTTTSSTGY >CRE02359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:517416:518214:1 gene:WBGene00083577 transcript:CRE02359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02359 MPREVIDIILRKWNVKSIKLSILHITNEEVCSVEWLRYNYFTRVRLNDPYLETKQSDLKFSHVEVSLSYSLDCVRDLGNRQLIVNEPKGYDNFIPNIRRMFQTDKISMELPHWYFIACNNIEKKMSTILEVVTMEQQHNLSLDIKFFVQSGIVKKLNEETKREELLGIASGYVHQEKRLHCFKKSSPFNAEHGPEVFLDNRWIGSRFQVRDTFNQFNFNLDVYIKKKELEKGFNKEQLQEYPNSFVGHFFA >CRE02414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:413842:415314:-1 gene:WBGene00083578 transcript:CRE02414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02414 MKIEYAFDVEDRIFQPKDYIYINYRKINNQDVLPYFIFLNTAVGVKVRKITTRRLWLLEDKFKRRLHDLIHSQLIGTNGTNIQTVIGLEEACDGCVKCSNIAKKCLEYGPLRISTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILSTISCDKLALWINENRVIPNEGTDLKFDHRHMPREVIDIILRKWNVKSLKLCMLHMTKEHMCNVKWMQYDYFTRVRLNDPYLKTKQSDLKFSHVEVSLSYSSNCVRDLGNRQLIVNQPKGYDNFIPNIRRMFPTDKITMDLSHWYDIARTDIEKKMSTILQVVTMEQHQNLSLDIKFFVESGIVKKLNEETNKEDLLGIAPGYVLQENRLHCFKKSSPFNGNNGPEVFLDNKWIGRRFQVDHAENRFHFKLDVYIKEKELEERLDKKLLQEYPDSFVGHFYA >CRE02334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:104111:105299:1 gene:WBGene00083579 transcript:CRE02334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02334 MCGGRTVRPSPKKPATKKKIPKTATSKITKKATPKKSVAAQKKTPQDQKKKGQASKKVVSPSEPAPKKVRTTFNSNKNAF >CRE02450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:675234:676540:-1 gene:WBGene00083580 transcript:CRE02450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02450 MAEAAPPNERDPVILKTEDRLETPAKIVSEKTTETQEKVQRQIKQQSIGSFFTSYDYLFEYIPIIGVALG >CRE02360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:534552:536165:1 gene:WBGene00083581 transcript:CRE02360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02360 MLYAKVFTNQNLLENILSYLSDDFKKNLDIRLVNKSINNTFLRLVRRNHRTMKIEYAYNIENETRSTNFIYINYRKINNQDVLPHFFFLNTVVGVKVRKITTRKLWMLEDDFKQRLHDLIHSQLIGTNETHIQAVIGLEEACDGCEKCSNIAKKCLEYGPLRLSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILSTISCDKLAIWVNENRLIPNEETRNHMHLPREDIDIILRKWNVKSLKLSMLHITNEELCSVEWLQYDYFTRVRLNDPYWETKQSDLKFNHVEVSLSFSSNCVRDLGNRQLIVNQPKGYDNFIPNIRRMFPTDKITMDLSHWFAVPEINIEKRMSTILEVVTMEKPQNLSLDIKFFVNIGIVKMLNKKTNKEELLGVASGYVLQENRLHCFKKSSPFNAEHGPEVFLDNKWIGRRFQEKELEEKFNKKLLQEYPDSFVGHFFA >CRE02416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:417573:417866:-1 gene:WBGene00083582 transcript:CRE02416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02416 MSVTNSVPEILYAKVFTNQHLLENILSYLSNDFRKNLNIRLVNKSINNTFLRLIRRNHRKVKIEYAYNVRNIETRLEDYIYINYRKINNQDVLPYFM >CRE02351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:427836:428634:1 gene:WBGene00083583 transcript:CRE02351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02351 MPREVIDIILRKWNVKSIKLSILHITNEEVCSVEWLRYNYFTRVRLNDPYLETKQSDLKFSHVEVSLSYSLYCVRDLGNRQLVVNEPKGYDNFIPNIRRMFQTDKISMELPHWYFIACNNIEKKMSTILEVVTMEQQHNLSLDIKFLVQSGIVKKLNEETKREELLGVASGYVHQQKRLHCFKNSSPFNAEHGPEVFLDNRWIGSRFQVRDTVNQFNFNLDVYIKKKELEKGFNKEQLQEYPNSFVGHFFA >CRE02327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:12886:14662:1 gene:WBGene00083584 transcript:CRE02327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02327 MENFLSLDPIEAIGNKMG >CRE02357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:483413:487123:1 gene:WBGene00083585 transcript:CRE02357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02357 MGEIGRDGGYGAVERGRELLQRGLKLEKLKLTNSKDALQKSDQMIRVQSMRQYVPVLNIYEATPTGATVHVGRFPFVEAKFIAVTAYQSEQVKCLKVQKNKFAQGFRESVKAPPTSTKRPHSTVSANSSPDSTLSDGSEISVKKGRSDVYQAPPTPTTNQYYQFQTVQNFQPSFQQNFGHHHGFDTAAGYWNPPPLQYDFTMGHSNMYGYQWNA >CRE02411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:404438:404905:-1 gene:WBGene00083586 transcript:CRE02411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02411 MSPISIFKTSGRVLFCNSSIQMGNVEEFGVDEEEAAELGEIGDEEEQGNGENLENESSEEDAMEDD >CRE02340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:156272:157134:1 gene:WBGene00083587 transcript:CRE02340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02340 MASPLLPHEAISQLIPLELNPLNRPNIGTEEETDDAQDSSPRELPAPAVLFSPHMKHFPELFLTKDLPDIAECKNHTNPNVNNNAVSGDTDDYFDIIEKRLGNADTFQDPQRVLPAEAADDDFSELPAGRVRTYLSRKAKGLPINYVHHADSQETAGTLPPGMLSTISPKTKLSTTERLNDEPLMVFPAKLGSSSPY >CRE02383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:134889:136381:-1 gene:WBGene00083588 transcript:CRE02383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02383 MPRILLKQIHGKKKSPIELYQGNFLDPKLEHIILEATVIYTNNQDGEFILYLKKVTSLFIFSLHVTFPNFRELAKFVKGQRGQCHIKLVISKVSLRKFQKAMEPGDNGANQEKVPSSSKWPRWDSAAAA >CRE02375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:5041:9883:-1 gene:WBGene00083589 transcript:CRE02375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02375 MCSYSKMSESQLEIVLVSFTMCSYSKMSESQLEIVLVSGEDQPEAFKKR >CRE02445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:546543:548156:-1 gene:WBGene00083590 transcript:CRE02445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02445 MSLSNCVTEIFYAKVFTNQHLLENILCYLSDDFMNNLNIRLINKSINNTFLRLISRNHQRMKIEYIPHPEEIEIQPKDFIYVNYRKIDNYAVSGYFTFLSTAVGVKVEKIITKRLSYLGNKFKHKLHNLIHSQLIGGCGKYIESLIGLEELCDGCEKCSNIAKKCLEYGPLRISTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSNNKKECFKELDKTIQSTISCDTLVIWINESRRIPYDDAFLKYDHRHMPREVLEKILRNWSVKSVKLNMIFYTDGGICSVDWLQYDYFTPVRLNDPYSITEKSSDLKFSHVDVRMSDSIYCVRGFGNHHSEIIVPRGYHNFIPNIRRLFPTDKISIDLSHWFYIAETDIEKKMSTILQVVTMEKQRKLSLSMRFFVKSSIVKKVNEETNKAELRKLAPKYRHRGVNVQSCVRRSLPIDDVDQYLEEFNQEKWIGRRFQVRNTENLFNFNLDVYIKEKELEEGFDKELLQEYPNSFVGHFYE >CRE02329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:38976:39751:1 gene:WBGene00083591 transcript:CRE02329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02329 MGKLEYDKKCATNPDETKKLSRQFENLISHKIIIFILTRVTSRPVSARLTNNSSSQRRTENGKRIDDRTAQMDPDQEQHGFDDVTTVTKHLNDYQIILWSIEGRQTVPINPSSLAYSTKMVIECVRSLASRSASTAKTE >CRE02344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:334510:335511:1 gene:WBGene00083592 transcript:CRE02344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02344 MESVKKIDIYSYRQLYEELFVRYLEAECESTDCQTVYDRSLLGCDIRGWHAGQCREGLQSALPKFCMQTSFGGKHSVCYPYVVNWLMYIIIGAALLGIILLVLGFFVFRYLRNKKKNKKMGSKTGTINPTLQKNQTMDTESTGNTGTPTGGPTGGPTGGPNGMQPGAPIDGVQRY >CRE02339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:150365:150621:1 gene:WBGene00083593 transcript:CRE02339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02339 MDKLMAPEAKTSQVPTPVTHDPRLHCSFHSNDSSRIQTPGTAPPLRRAHFTRSNSNPRLNRCPLYQWLIN >CRE02355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:474115:478145:1 gene:WBGene00083594 transcript:CRE02355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02355 MSATNSVPEILYAKVFTNQHILENILSYLSDDFRKNLDVRLVNKSINNTFLRQIRRNHQKMKIEYAYNVEHSFARSKGFIYINYRKIYTHDVVGYFIFLNTVVGVKVEKITTRRLWLLEEVFKRRLHDIIHSKLIETNGTHIQSLINLEEICNGCVKCFNIAKKCIEYGPLRFSTLQTITYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILSTISCDKLDIWINESRVLPNEGTDPKYDHRHMPREVIDTILRKWNVKSIKLNILHITNEEVCSVEWLRYDYFTRVRLNDPYLKTKQSDLKFNHVEVSLSYSQDCVRGLGNLPGETEPPVGYDNFIPNVRRMFPTDQISMELTHWYFIPKIDIEKKMSTILKVVTMEQQHNLSLDIKFFVDSRIVKKFNEGTNREELLGIASGYVLQENRLHCFKKSSPFNAEHGPEVFLDNKWIGRRFQKYKGKNGNQRLKIASTTIGFRWISRTRLPDKLVIFMSNFLCFLLIFIIFNVPFIFL >CRE02403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:370197:373555:-1 gene:WBGene00083595 transcript:CRE02403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02403 MERGREQQPPQPPPPPPFEFQILNQLQLILQRQDQIGNLLAQQGNRIHEMQNQMNQITNQLGNVQQFNWWAQGAINLLLPQEAIAPPEVVNVIANGNQNGNGNDPANGAIGGHGEMMQPQPQVENGNGPEQFVQQVPIGGNENPDVPVAREIPEIPEGARPARALNIPVLRDQRRNRRHHQLPRHHHNHRRN >CRE02393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:298898:300794:-1 gene:WBGene00083596 transcript:CRE02393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02393 MQVSHSLWPVLYTRAYDRSCQMLSTDWEFHPWSLNLQMNIGNITTLDRLHPFLYSDHSSFFFHSKQKTVQIPTIYLTDTLNLRGVRQYCVQCDGLYMMTEQNMKFLALMTDSLIRLLIEMSGSSAVGVVDDLYSFMFNIDVE >CRE02371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:674293:674897:1 gene:WBGene00083597 transcript:CRE02371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02371 MGRKTSKKTDFLFSAVVVALRLATTVLRVVIEVNSMKLILQFMETIYLISNTVLLIIIGIRWKIGKLKINQHIVCRFVNSLILTASFITSLLFFLSKQLLFPTVENPEVIIESEVYTFNFYYLSFFWIISVYICYSVPVQRLVIRQDMPTERVQVVTVLTIVKPENSN >CRE02380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:129127:129558:-1 gene:WBGene00083598 transcript:CRE02380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02380 MDIELILDDSARLALHMEPIDDNNIGELLEEMVDEGGEGGADAEDEEETGNENDRSDDDESD >CRE02434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:495063:497503:-1 gene:WBGene00083599 transcript:CRE02434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02434 MDKIRVNVPPLSTLGQLPLVGPSPTAESILPSALINQIYRGYTTVGNQGTNFERQLQSQRYSQLLLQQQRQYPLLQIQHVQEMLSRNPGILSTIHGMIQHQFLVAQQQAAQQAQQQQQNLPFYRFVVGQLDSLRTWIDRQMDVYRARFYGEGMRDEELHFETGDRHGFENEEADRNEFNEFWVNNPFPDVRASPMRDNSTPEFAADDAVEDMEV >CRE02328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:25450:29424:1 gene:WBGene00083600 transcript:CRE02328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02328 MSMKKTLRNRGKHSILLCRRCVESFREDLSKKYRNTGSQSRRFIQEMKRRLEDVIDENTIDDVIQDFRTTVVNKNAQKFAEMETAVLHEQGRCEDEASLYRQHLNTDSPLCLMNNFATGSAEKRMQHTECYQLEDAAAEHRNV >CRE02429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:453415:455641:-1 gene:WBGene00083601 transcript:CRE02429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02429 MLFKQFSSFFSVFNTASVDFWSFVKFPYSKNHFCAESSVFDRKKTHSVNYLFESFVNSCFFLVFLNIIPIFCTTQLDEILLNGYIPPDFAARALQSEKDVRKKFYGPGFGYNCFCYMLLDPRILGTNIETVTFEKFVKSVFYVGKGTGGRPLDHFRDARKELEKPPNEQDLSEKYRRIGDIWKAGFGIPKHEISHGVSDKAALIKEACMIDAIQVKNLTNQKKGEFHGFTKSWDMNTKEEFGSYELHKLRPSVQYVFYVGKGTRSRTLAHFRDVRKELSRPPNEQKLTEKYRRIGDLWKAGFRIPKHQFTHGVSDKEAFIFSPELNAVIRNGRFSRDSLERALRCENAVRNNFDPRVYMAFCYVLIDPRVSGVNIETLTFETFVKSVFYIAKGSNSRPLQHFIDARNEMDKATNDQKMNKKLQTIVDIWSSGFGVPKIQFCNFLKTRQQEMCLYNFQLTECQMMIKEACMIDAIQVKNLTNQKGGVETENRRIHIHPPEWTPRHSPSQETAKELIN >CRE02387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:182260:185942:-1 gene:WBGene00083602 transcript:CRE02387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02387 MLKLFGKEDKRAKEKKAKNNNNVNEKRPASAQSSNGAEITMFSLSAIVAHYKKTNKTLRSSEMRQVLLNKRI >CRE02361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:536687:538332:1 gene:WBGene00083603 transcript:CRE02361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02361 MSVKNSVSEILYAKVFTNQHILENILSYLSDDFRKNLNVRLVNKSINNTFLRQIRRNHQKMKIEYAYNVEHSFTRSKGFIYINYRKIYTHDVVGYFIFLNTAVGVKVEKITTRRLWLLEEVFKRRLHDIIHSKLIGTNGTHIQSLINLEEICDGCVKCSTIAQKCIEYGPLRFSTLQTMTYSKNYKKLHVTDKLFEDIAEYCISKSKNKEECFKELDKTILSTISCDKLAIWVNESRVLPDEDTYPKFDHRHMPREVIDIILKKWNVKSLKLSMLHITNEQMCSVEWLQYDYFTRVRLNDPYWETKQSDLKFNHVEVSLSYSQDCVRGLGNLPPETEPPAGYDNFIPNIRRMFPTDQILMELTHWYFIACNNIEKKMSTILQVVTKEQHQKLSLDIQFFVNIGIVKKLNEGTYREELLGIASGYVLQENRFHCFKKSSPFSAEHGPEVFLDNKWIGRRFQVRDTVNRFNFNLDVYIKEKELKEEFNKELLQEYPNSFVGHFFA >CRE02384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:161479:162495:-1 gene:WBGene00083604 transcript:CRE02384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02384 MNLRYHYKNASEPYIFLDGFKEFQLNFVKTLTHLPVSLFLIGLYILKIYRKQTAHLSPFLSFHISMYSYVIINIITLSKNPISSLTTLFFIHFSYIVLSHIFFDLFVVVFKVTDLYFKTCDIHMSRRNIKCVLIVVLIVFRWFFIADKLVLSTTDCEINLDFLNVYIHLINIILIIIITIQWKISGIHQNDTYILINSIVNMLLITIFEVITIIKHLLKYNSDLPLSFDKFIYIVYYFPYLWIISVYICNFKTVQTWIQNQKKRTSVTVVVPESLVVVRPQQIDETVISELSVSEVETVI >CRE02400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:347526:347758:-1 gene:WBGene00083605 transcript:CRE02400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02400 MKIIFSFLFLLFLFSCHVNAARKFDPNRPTMSNQGQLNNQQRRINEEVVNSQRNTEFLIIH >CRE02420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:432256:432498:-1 gene:WBGene00083606 transcript:CRE02420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02420 MSVTNSVSEILYAKVFTNQHLLENILSYLSNDFRKNLNIRLVNKLINNTFLRLIRRSHRINKNSSSDENRFIRVMDISIG >CRE02406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig41:391204:392627:-1 gene:WBGene00083607 transcript:CRE02406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02406 MRDADDERSVCSASLCFQCYSNYFNVILGAHLTNNSVTNMRGNLSLCIRNIDDRNMFL >CRE13881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4101:3627:4799:-1 gene:WBGene00083608 transcript:CRE13881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13881 MQKLLQDFSIPAVFAGFITFLIGISVSAVLVIQAAQLLGANPEQITSWFWALGLGIGLSGLILSWKFKYPVATSWSTAALALIIATASGYSLYEAIGAFLVSGLLTATLGFLGIFEKIISYIPQSLTSAMLAGVLLKFGIALFASMQNDWAFILSLLAVYIITKRLWARYCIVITVIAGIIICPFFMEFHVPTLHWGLAKPVWMTPEFTWSAILGLALPLFVINMASQYLPGIAMIKSYGYKPHVNQLIGWTGTAQTLLAPFGCYTVNIAAISAAVSLDDQVHPDPAKRYIAGMSCGFFYILMGLFAATLTSLLMSFPHLFIVALAGIALFGTISHNIAIAFHEVKDREAALLTFLFSASGVQFFGIGSAFWGLLFGFAVSFILNFKLKK >CRE13880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4101:325:1617:-1 gene:WBGene00083609 transcript:CRE13880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13880 MTNLRTKDIIALGFMTFALFIGAGNIIFPPIVAQQAGEHVWLAALGFLVTAVGLPVITIMALSKTEGSIAILSSPLGKVASLILTVVCYLSVGPLFATPRTATVSYEIGFSSYFGNGGTALFIYSVIYFAFVTLVSLYPNKLLDTVGHILAPLKIIALAILGIAAFLIPTGSISPAINNYATSPVSEGFVNGYLTMDTLGALVFGIVIIHAIHSRGVTDKKLVTKYAIIASLISGVGLTLVYLSLFKLGLGSHDAAPNAENGAVILHAYVQHAFGDLGSLFLTGLIFIACMVTAIGLTCACAEYFSELTKIPYKVLVIILVLFSLVISNLGLTKLIAFSVPVLSAIYPPAIVVILLSFFWGKFNHPTTVIAPVTGVAFLFGLIEGIKVTSFKDSLPFMIQNLPLNEQNLAWVIPSLIVLIICVVIDKIKK >CRE25045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4126:133:621:-1 gene:WBGene00083611 transcript:CRE25045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25045 MTYISIPLASEKHTTTQKRSDLQGIRGIAILSVLGFHFLPKQFPNGYLGVDQFFVLSGFLMCILLSRTTVFSDNFSIFHYIFEFYYRRLKRILPLYLLVIAGSLVALFQLFPDTAYETNLKSGEKALVFMSNRWKTEAEDYFSMV >CRE30352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4128:2895:4034:-1 gene:WBGene00083612 transcript:CRE30352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30352 RGAPRGTDPAVVRDHGAHRRDHLNRARHRHPARIRGRARRAEDRRSLHGRHLVELPRGARPGQLPRPRGSRRHRRPGDRRARPRPQLQRAAGDHRLGRDRHRRAQDRREGRAVHPRRRVRARDHPEGAVVDHPPRSDRHARPHRQRGRRVRLEHHGVAHLVRRDHLHRSRARAVRRLPDPREDPRPLDQAVLLGRLARGAARVREPLLDRHPAADRARDRTQPGRAPRLRLVRGAARRDHQDGRLRRDLPGRRLDLHRAVLRPRALVHAVPADRARLGGRLGGDRGHHRRHGHADAHPLDARPAARGRRPAARGRPDPRYGPHRGERRRPGARARDRGAARGDPRPRPLQRAAERPRVRQRRSRTRIAARNSLRDSAQA >CRE06183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig413:9923:13061:1 gene:WBGene00083613 transcript:CRE06183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06183 MSFSDPEDPRSQRIFLIRHLNDATKSCLKLSYQSSFRPTYTIFPYLDETSQNAADKLAEDVYRNKKDFLDNITVLGLVALVSTNSDLYFKVPVVNLAIKWLLLNPLPDETLHSVLSSINIHCIPFPKLARVRIAIYLYLKTPELYTYFDINFAAPGVVFIRRKSGIPNDSEEYNDQEKMWIYKAINSITDGYVNPSYPQGTRRNDPDGVKPKSGKLKYTEQFADVAKELENPIFKWDFENKGTAYVHKEPVVQDPLTAPHPMEFAPPRRGFVEYYSPKKEENKVEEKRIEKSPPKSPQQLAFVPPVVQNESVRKARIRVQMLDWYPIDETKHTYG >CRE07086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig414:10780:11130:1 gene:WBGene00083614 transcript:CRE07086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07086 MMLKQLFVFLAIYVVCSIAKEVHVPSPQAKKELTKMGMEKKYVDEYAAMIDEYEKRYAALKKDENEFQEKFKTYMKKLPSKQIILLNNWFNMHASESN >CRE07085 pep:known supercontig:C_remanei-15.0.1:Crem_Contig414:9813:10180:1 gene:WBGene00083615 transcript:CRE07085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07085 MLKQLLVFLAIYVACSIAKEFHIPSPQVKKELTKMGMEKKYVDEVAAMNDEFEKGYAALAKDPVGLKKAEDANMEKTRAFMKKLPTRQYTILNDWFDIHDSESN >CRE07089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig414:16975:17178:-1 gene:WBGene00083616 transcript:CRE07089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07089 MFFPCLNIALLFTLLHLLAFCLKNWKTHSDYSLEKFYNDATSLILHISTVSKFSTFTQSAIADLNLN >CRE07084 pep:known supercontig:C_remanei-15.0.1:Crem_Contig414:8328:9338:1 gene:WBGene00083617 transcript:CRE07084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07084 MFKQLLVLLSVLVIVITADRPHPEDAKQAIADLQAAGIDKKYALELFHIEHKMNQGAAKANGDKEKIKKLTEEYNKAKTSAQIRKELAKLGMEKKYIDEFVAMDDEHEKKYAELAKDPVGLKKALDVYKAKVGAFMNKLPMKQHSILNTWFDMHEAELNKNN >CRE12375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig416:6443:10173:1 gene:WBGene00083618 transcript:CRE12375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dvc-1 MTGGSLVDPSFELLDPCPDIHALFVQFDARFFGGSLACCEVKWSPRMYSCAGICSYEVRGGRGGLCSIRLSKPLLALRPRKDLVETLLHEMIHAYLFVKERNRDRDGHGPHFQSHMHRINQAGGTNITIYHSFHDEVRLYKKHWWRCTGPCKDRRPFFGYVKRTCNRAPGPNDRWWAQHRQTCRGDFIKVKEPEGFGAKKPPKTTPGPAPKTKKSPPPPKVTLDDYFNKPGTSSSSSPKKSPITPFSGGGQKLGGASSTSSLLNPGSGGIRLGGVGSGVSRLLPPIHFSPTPSSSAPEVVTLDDDDEPEDALEMSFVLNSTTIICPSCNQEVLENLIHGHLDYCLA >CRE12345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig417:7169:8503:-1 gene:WBGene00083619 transcript:CRE12345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12345 MSLHMSTVTHIFQSSPGPFNPKGREHDLAAIQKVMKSAQHSICISVMDYIPSTLYMKNGNKFWPEIDDSIRDAAYRGVNVRMLISHWDHSRKEMIPFLKSLQSITDGLPRYNGTEHGQVRVRIFTVPANEQQKKIPFTRVNHAKYMVTDEIAYIGTSNWSGDYFISTAGVAMVVRQPAATKRLQQVFDRDWNSEYATDI >CRE11524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig418:17760:20350:1 gene:WBGene00083620 transcript:CRE11524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11524 MIVLHFVVDNDVPSTSILCTCVLINTWSLKSACPSKIIGPQVKYEIIVLSVS >CRE10691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig419:12453:12548:1 gene:WBGene00083621 transcript:CRE10691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10691 MYAGIPVNLACSSNIFVFYKIKYDSTLLYRK >CRE21711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4191:1195:1398:1 gene:WBGene00083622 transcript:CRE21711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21711 MSDVLRNLQEFRKEKEKIKLEKEEIKEEKIEKKVEKKGAEGGKEWDFLLKAEPVSEDYGKRDLEWKK >CRE09480 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:2113:2540:1 gene:WBGene00083623 transcript:CRE09480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09480 MQFAVIPFVLFSIFHTVTAASTPVCTSGFTLINNKCLKLYTTPASHSAAEESCRSVGATLVTVKNENENQAFTSIVGSTVSFVPVGSRRLTATKPPFLHHPSGFYSASGASRTVETVKVSQFSPTSGS >CRE09547 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:53207:53566:-1 gene:WBGene00083624 transcript:CRE09547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09547 MRLVLTTDISVWDRCCWMMAINEPKPRSRPFIANCIILLTCTHRPNQKIRIEIVEKMRQKLGKPLVLWNAANTM >CRE09615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:493531:494484:-1 gene:WBGene00083625 transcript:CRE09615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09615 MTKPLTYLSLKVVFQYMDVNKRLELNFHCPALRSAERSVPLNLHFLTLEKEKIVVNEVCYKVESKYQRIKTVLNDRKHVRVENLEIYDLNVVPDSLKFRTRNLDSGNLNLERVLPSIDRASFPLKELRVNISKTPNLERYLGFTQSLILFKTKHDGENADLVRSILYNRKCPNIELKNFILLSNTTVALIQNWKNNQKEIGTVLTIHHEYRELQIYVDDLLEVLDGRFAFFNDSALQ >CRE09582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:365987:366889:-1 gene:WBGene00083626 transcript:CRE09582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09582 MMVKVEDKMLEFHLDTGSQITLISEKSWKELGSPSLSEVPFKVACANRTELVVKGRVSVKFELKGVTYSDYVYVTNRDMNLIGMSWLCKSPEIEAVLKDMVANSKIEEVEEGEQTSWTSQSSQRVNQVREMSESEKNSKIVERKGCFRCGGRHVPERCWTEKKECYQCGKRGHIAKRCQSEKMFWNGGNEKMRGRLNHEEKEKFGRREANGENFHGVCPLKVNIPGSAWNNRSAAGNQSWRDIGYGVGRMRPRGPSMRNNYWLPSHDNDGWFYMSGRKLRWILEEKSNRANFSYCGGGVV >CRE09617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:497440:497706:-1 gene:WBGene00083627 transcript:CRE09617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09617 MTKPWTYLSLKAVFEHVDVNKRFKIKSHCPTLRHIEKEVPLRLKYLSFRKNEIQLNTTTIKRTSYKNKAGKK >CRE09490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:119805:123634:1 gene:WBGene00083628 transcript:CRE09490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09490 MFIGAHFLLYHFLKLYEFLISDDVPDPQKRHEGTPDDDDQPGPSTSKPLGRRPRSTREQVESTASASSEQNTPNGRGRYRRRRGGKAEDSDDEPTEDEAVSTDEEAELTTTPRPHQAPEKGKPRGRKPGKKRKSVSGKKFPPNFGVREDKKVEKVEESEEGKVEKKQEEAELEKTTAGSEAEEIDLLHDAIDEDMMKNYNIGTPESYHSNSPSPAPPPEMPQPQEPPVEEDPPLLISEVNNLTVAEPVEPMDEGQPTAPPPLIADTFGDDDDDGDDVPPNLSPQYEKNEVHEEEVEMAPVAPVAPPPQHHTPNQHSHNSHNGIHQEESYHDPMSVAGPSSSSIHVTPQMMPGEMKIHKIIDLVAFLKDVLTENSRQNLKHLNLSPKPSGRSEKYGLYDQFADGWARGVNTMKYSTSTTSYFQIGQLLPSLKSLSIRQRITSETEFRDILKYFPGLTKLDLTHSALDSLAGVSKLKHLEILLIGGISIERSRDFRDIEYLEELKHLSFAANWTCYCCQNGNTVEMYIRHRIYLPKLESLDFSMTKEDDETVQWFVDTHPTLKCLSICETRKIQKIVYPGIAVYHTTTIGGSVKSLQYYLAIGNPNMVDAVLDKLYFQLRDEIGGASDEDLRECLGAINSAAESYSFLGRVNSHSCYKYMMESKDASIFEESDRKKMIDIILNDLTASLSLLKENIPREISYSWDALNNRNLLFQTPHLKSARICYLAMKTVELTDEEYNHPHLLVLDMLKDQADITSNEFNLIDVAQLKAKLRKVRDAKTSDKITKSAAKRVLEFVGIHL >CRE09542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:629093:630164:1 gene:WBGene00083629 transcript:CRE09542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09542 MAIRFYEQFFVTLITFSQIPLFPTFFLSSSFPPLIAHSHYFGTAIMSVYEISNGSHFLISLNRFCLLYMPHYYEIIFSNSKTILWRNMLWLSSIIFCVYFYEIVDCNYSYDLPSWTFELETTKLCEQVSWYTDFVFNTTVMIVTLFLNLMAAKEGRKQSRRLVNAAGLQLSKVQQRREWNFVKQTCFLGLSMVFSQFSYYVVAPVISDESPILLFFVSTLWAFMHAAEGGIILASNKELRSVYKKTSTVAPG >CRE09611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:485408:486792:-1 gene:WBGene00083630 transcript:CRE09611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09611 MTKPWTYLSLKAVFQYMDGNKRLELNFHCPALRSAECSVPLNLQCLTFEKENIVANGVCYKVEWYQNHKGSKKWRQKKWGSKKWRQKKVESKSLRIKTILDDRKHVRVEILKVHNSRVIPDNLKIRTRRLDSGNLNLERVLSFINRASFPLKELRVNISKTRNLTNYLGCTQKLILFETNHDGENTDLVRSILHDRKSSNIVLENISLSSDDTVTLIQNWRNYQKKIGTVLTMENRFRILPIVLPDGDEFSYLNEILQVSNGTHANLNDSVLQNNMDRRCISFPFNETSELVLYSVRDGPIWNRRIKLEVMPITSTGPETLFDIFIDLLIFSSYFILLLIALILTAAVGVAIEKM >CRE09536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:600262:601076:1 gene:WBGene00083631 transcript:CRE09536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09536 MDILVICFIQETDQRKSTYRNKENCLKEAQPVATYIVPPKLKNRSKSITTESNVPNGASQCDLTTISFTDNCFNASFICYSNHVSQSNTSRTLSEPPDLPLIPLSRTNRRLTTSWSYGLRSFSVHHSGFIRKWLLTPDLETNMTVSPMIQIHHIRKTNIHALQNHLQDSKNPQSIVIVGSPALMDPKIQAEVSYPKNSLLRFHSQP >CRE09603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:466574:466915:-1 gene:WBGene00083632 transcript:CRE09603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09603 MQLGFRILPIGFPNFDEFPNVFELLEVLNERISNFNESVLQNNMARRCVSFPLNRASEVVLYSVRDGPIWNRRAKLEVMPIGSTREDQQPFLIFS >CRE09530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:514798:515385:1 gene:WBGene00083633 transcript:CRE09530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09530 MSILDSAEKNMELLEKSLVLYEQIAQKAAGLKDPISRKAAAGDYERSMEVIKRCQEQIEEAEKNAEDDKEKHQKAKRMVDRAGEIVKRIHELMEMIAKKSNNVKENSVDEKVGADQGERGENLALTVGTAHRWFTEGCKRNAATSLSKRSVEEGEEDEEPRVKSVKKREVEDVPGRYESSGWAKNVQMCADLFKK >CRE09508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:347567:349152:1 gene:WBGene00083634 transcript:CRE09508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09508 MCIDLLNFLPSSLCNRWPPWKARPSGSSGDTMKSGGVWDSSDRRVYQGTFEERKLRSLYPQPFGDPLGLEQILAEGSTKEGSSMKTPPGHSKEFPGKGADGGQSSLNSAEENHHDEIELQLQEYLDSRIELDSARGTEDGFGNEIDVYSSQYLFEESMGMINGFFKTREDVGMESVGPYPKFVKSPKMCLYERKIGSLYPKPYGDPLGLQDLLREKDEEGTMRQEKAKSDDRQVPIKGSSTSVNQHVPMSRKRAYGNNCSRSAKLHWKGIGRLDDYQENKKDKQWPRKRRASKARKPTTGRVPKRKSPKT >CRE09574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:296910:297290:-1 gene:WBGene00083635 transcript:CRE09574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09574 MSPNAFKPFDAPTVLDMAIRKLEKLEKMGVVEKKKREPKLANHLLQINFVNLLMEHVRKQEKLELSRKRSWEKPMEDPIKIRIRKQKKMLSEESPLKKVKNEDMELKKLEKNLDVLATRLETISIQ >CRE09572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:275302:275712:-1 gene:WBGene00083636 transcript:CRE09572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09572 MTTDNSTDLKKKMAEQDKLNDFAERTYHKLAQVRHTIMIDMIPMKSPTKPAPIKKVVKPKSPGIKSPTEKSPLEKVTSPTTKTNKKNAKKYAPLMAKIIKDVKSDGFSF >CRE09487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:97940:98394:1 gene:WBGene00083637 transcript:CRE09487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09487 MCIMCVVIPLLIIFLVFVVTTWMMFPGSKPSTSERLCRPVLAIAIDEDGDHSIKVFSLEDTGDSIFDMDPVTLNFLLKLKRGNEKNSETIESRTTIPTH >CRE09513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:396356:396640:1 gene:WBGene00083638 transcript:CRE09513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09513 MQPFNVSTLLHRAMMKYSKLDECLDKKKKKKKAPRYYFHVLHLIFMNSLDQYLKANGKMEGSRKRRWAESMEESVKIKKEKKEEELTVKRAKFE >CRE09622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:526613:529353:-1 gene:WBGene00083639 transcript:CRE09622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09622 MADDNEAESLEETWAFLKTGIDKVFKQQSFVPKVYMALYQSVFRYCTSIDLSDRKIGAMELYQAVEGYLNAYTIEVFKKMRELVGEDFLKAYTTEWERFLFSVKVLDGICSYLNRDCINRQRDEGNLNIHTIYRLAMVIWKREMFDKQDKKIIDAVLELIRLERSGTPINRAFVSSVTGNLIELGAEDVDLKKVTTPSKDFPVYKEVFEDKFLAATREFYTAEIQKFHEENDSVTDYMIKVETRLQQEKDRLQLCLHYSTGPHLSDCCEDVMITKQLEFIQNHFGRLLEQKMDEHLTRMYGLCDRVKEGLDALRHALQEHVTKEGQEAIQRVATEASNDPKLYVKTLLDIHERYQGLVDRSFRKDPGFLKSLDNAAIAFVNRNAVTARCSPVQGPLKSAELLSRYCDQLFKKSSKMPDEMEMEVMQKQVVSGLPYSSVAQLKNANSTKKDAIQPSKRQSLTDSMMKHVFRAIQSFGEPTKNSFLFFF >CRE09619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:500700:501488:-1 gene:WBGene00083640 transcript:CRE09619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09619 MTKTWTNLSLKAVFEYVEANKRLKIISHCPTLRPIEKETPLHLDCLSFRKNEIWLNKRFIQPKTYKYRAVKKVERLKSFLRGRKSIRTKELEVLDLSCIPRNLKIYVDSVNSGDLDFSLVLSFLDTSSFPLKFLRTNISNTPAYIAYAEIAEKLVIRVSEVDRGTLERMTDVLFNARNQNILLENFRLEAESMAVLVQSWQENQYEIGRIFTSYIQNIIVGSPEFVDEVLARSNGQKVVLQDCDWP >CRE09556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:164763:165570:-1 gene:WBGene00083641 transcript:CRE09556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09556 MLTIQIKPSPFKYAVFASIYLILACCLLVFFFTECAKRYIIFCYIQIIMAISMTVYFYLPLITGVEADAVNFHQKQFFTTHLRYNLYYPSLLINYFICIYFFRKSARYHLENIKNDMFYKH >CRE09532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:570695:571407:1 gene:WBGene00083642 transcript:CRE09532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09532 MLSRTVLWSLIVVIYYNERTGEKYNFNAADYYVRDNFENLMWCDLFTSFHVILACSMLCFSSTKCAKRYMIFFHIQIIMAISMTVYSYFPLITGVEAGAVNFHQKQFFTAHLRYTLYYPALLINYLVCTYFFRKAV >CRE09484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:11108:12551:1 gene:WBGene00083643 transcript:CRE09484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09484 MKLGVFLFFLFSIFYTSFAASTLVCTNGFTLINSKCLKFFPHPGSYATAEASCRSVGATLATVKNANENQAIATFVDRRVDAVWIGLFCFENDLSKCLWDDGTGSAGIYSNFASGFPRVEVGKCVYYSGRGVLMGQWISGDCLGLQKDTQSHVCELPPTMKDDCDYNYNGFCYSLHSPASFVDAQETCEKECGNLVSITSEMENRYVSIIASNGTSSDRAYIGAMWPSPNFLSWIDGSVWSYNKVVPSISRGSANCMVLSTSTSTADPFGFWTNTNCNSVWPFICKRPAGTQCPQNPPVVTVTPVPPTQSFCNAGLLQAPGVFTSPNYPHNYDNNQLSTYQLATLGSYKILLKFTEFITEPMYDIVEVYDGDSPNKPLLGSYSGNLGSFTVNSTGNMLYVRFLSDLGTNFQGFSATFLSYSGP >CRE09525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:446791:447337:1 gene:WBGene00083644 transcript:CRE09525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09525 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3MJ81] MNTCAYFFFCFLLSKEVITAENLSSRRTWDTEERRIYPALPNNIISIRIQVLQAGFQCSINNSWFKFFEHRLPLSSIEAITIRGSHLVRVHLDTEDYTEGEEMVEDEEYTEGEEMAEDEEYTEGEEMAEDEEYTEGEEMTADEDFTEEE >CRE09537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:602396:602899:1 gene:WBGene00083645 transcript:CRE09537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09537 MFNSNMKHFPELFLTKDLPDIAECENHTNPNVNVNKPNNINLPLNLNTDHLENNAVSGDTDDDFNIIEKRLGNADTFQDPQRVFPAEAADDDFSELPAGRVRTYLSRKAKELPINYVHHADSQETAGTLPPGMLSTISLKTNLSTTERLNDEPHMVFPAKLGSSSPY >CRE09575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:297998:298279:-1 gene:WBGene00083646 transcript:CRE09575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09575 MQPFNVSTLLHRAMVKYSKLDECLDKKKKKKKAPRYYFHVLHLRFMNSLDQYLKANGKVEGSRKRRWAESMEDSVIIKKEKKEEELTVKRAKI >CRE09612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:487693:487962:-1 gene:WBGene00083647 transcript:CRE09612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09612 MNPTSELILYGTEEKGLEGFTFNLKMEIFPAASITSFHQHHPYQFYAQGQESEFSLVLNFFHVPILAFIAGWLMGYVSIDVIKNPSVQF >CRE09552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:117405:118113:-1 gene:WBGene00083648 transcript:CRE09552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09552 MAFSVITAIPIIIMIAMTQHVYIEGKKPLVCWEYTTINSLVIGVLSPVLEKSHVTITVLFGMVQTGFICNILNILHLQAGWNLYYARIMSLVFSIYAAIRMVWYQTILLPKLPLRIHWKSMNFITLFFGLTGHFLWPYCTTANIIIHVYLYLLSIETSIWYYTKEFRLFCKFPRTGFTDYARAYHTTVHRYRSLDEEQ >CRE09506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:339609:340643:1 gene:WBGene00083649 transcript:CRE09506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09506 MNLMLGFQNVSERSFVFTEGFHDFVKNFVNTLTHLPVPLFFIGLYILKIYRKQTAHFSPFLSFHISMYASVIINTHTIFINPDVSGVQSLISIPFFYFNYIILSLIFFDLFVVVFQVTNVYSKTCDIHMSGRNIKCVLIVILIVFRLLIFGAVFVLSILDCKIRFDFMNASIHLINIILLISLIIQWKTSGIHPKDSYIFMNSIVMMLLITILEVITIFKHFLEYKFDLPISFDKFVYNIYYFPYLWIISVYICNLTKIQTWIQNQKKRTPVTVVVPECRVVRPQRIDETVISELSVSELETVM >CRE09550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:104738:107283:-1 gene:WBGene00083650 transcript:CRE09550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09550 MSERLKYSLNGALSYEDFAGRVGDNDFPRIRLEIAGGILWYCALVKYEKDGESYCYLKIYHRDRDLKNNYNVNAFFNIRNTNGQLENKYKRIISGVVNLDKPVRGCSIKIEDLLDEKNGYLKNGALTVEYGFQVESIKVDGIWSFNFHDKWYDSKNKKREMIDVQYFGKSFYAHKGLAINQIVENTKGGECYVTVCNCDLPLINLSGF >CRE09581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:334928:336400:-1 gene:WBGene00083651 transcript:CRE09581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09581 MVQQISMENLCTEIQEKLVDDSKKCPTSSSLQKESSEEAENVFSVYNSLNIVDFSSPADTWKIGEVGEEQEESVTNEEPVGVELELKMVEHWLEWTNVLHNPGFETIEDDVFASNDAKDVNEAEDLKLLAGHEVPQDVAKIKENHGSIEINKGTMASKSTLIGETGICGPSDDDSDEKQSDLMISTEVAIKTSPVPKAKKRQGDSLDDGEIPKVRRISDSSDPTDYCFKSVLERRAVVSFRIFTSQLIHSVFQKLVPGTSLEDALEIARRRATARIEKSMERSYEYNAQNRMMKEFESENPWTGHGGWTNDVRFEF >CRE09610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:481753:484260:-1 gene:WBGene00083652 transcript:CRE09610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09610 MTKPWTYLSLKAVFQYMDGNKRLDLNSHCPALRSAERSVPLNLQFLTLEKEKIVVNEVCYKVESKYQRIKTVLDGRKHVRVENLEIYDLNVVPDSLKFRTRNLDSGDLDFERVLPFIDRANFPLKELRVNISKTPNLKKYLGLTQKLILFVTNYNGEMEDLVRSILYNRKCPNIELENFILSSNTTVALIENWRHNQKDIGTVMVMYHKYREVQIYVDDLLEGLDGRFAYFNNSALQNNVNRRCISFPFNRTSELVLHSIWDGQIWNRRIKLEVMPIGSTREETFRENFRDLTIELEVNSHCPALRSAESSVPLSLHSLILKKRKIVANDVCYKVESKSQRIKSILDGRKLVRVEILKIRNSSVIPDSLKFRTRNLDSGNLNLESVLPFINRASFPLKELRVNISKTPNLKKYLRCTQAVVLFETNLDQEKSDWIRSVLYDRNDPNIVLENCILSSDDTIALIQNWSNNQKEIGSVLTIHHEYGDLPLSDVAELMDVFNGRNVYFNDSVLQ >CRE09541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:610420:618827:1 gene:WBGene00083653 transcript:CRE09541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09541 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:E3MJ25] MRRITRGLQPKSGAIKDNSDSTFNESALLTSKMRDDEISRGTATKPLQLVPENASKEGERGKESNKSVNDTAGHQPTSVIKTLGLTAFNNVLMKGDMRAAKNVYGDVHVKSTQDDASKTEMNGVALVDDTSGGDENSNKISLEALRVNNDQNSWENNGSRNKRSQPESVLDISIDNSLIIARLLNAFAIEAALAKNDLTEAEVAREALQMSIDTDFEMLETKKVAEVVDEVKRFADDVSKRCGESVKPCEGVDIIENEFKEMEKWRTMLALDYGSMFQENSPLSILWRVRDVYYRTVEQKNAIDTIIKCFTSYKADPASADLSFFYKFAPLLSNLKKNPGVFKNPLSAQDLDLLQKDKLLKNIDGLIVLKTIAEEIANRNSTLIAAQEKQKRLGAVAHELSQKYPKEVIEKTKEKLRILTLYSYFPSLPIDARNITHGLPNGATDIGQVFKETKSDFVKNFVLKGSSTEELSYPLLSALHKKIESLSTSLQSGSNMIVAEKSIGAILSGATEDVNEMVDIAKSTNFLQNITVFQGPANIDLWIAEKSASGSILDYVKPFLGKMSNLSPNFSSFTQFLEKINFKKPDIELFFDTLNSTNTDEIVTELNTISQNIGVLLQMKEMASWINSTKIDTLYPEAKTWFETSGAAAAIKTIQTKDNSKKSKKSKRVKRAAQLNKKLSGLKELQKLYPNNKYFVQVLKTKEYVNEWMNEHRKMMKTLNKKIVSQSNQKHSFNVTKPFDVIRQLGFANKFLLDLKKAKSAEEAINKLLKAEKEIDNHINSISDPVLKTILKRSWTPEKKRTLRQLSGIIKQLEKKITQVPNTLEGYGELFKSDENLDGLSDVNLAEFVDLLLQTECPSVGKVTGEKLKGLNLEFANGKLKMKQGLEAFVQALPFLGGLAAPAIFHSKKQPTTETPEAVKETFAKRPITRETTVYKDTTGKVYVAPKSMAPVDESQTSMKPDDPFQKELDVTQSESYVEVKDEKELKEYEEVIKESEKLAEEQDKFRLASYQIPSYRKVYEHANHTFDTVQTFFDDEVENGKVSKERLVFQHRAKVVYFCGKGVDIFKEDNNTTPLKENEMIKTANNIILQKAEPDMYTARDLDEVSTKLPSEKKTKLTSSGEETEPLMSEGQRTTGGADIQYEERIPPKIETRWCHEPVQVMNAHGKGLPSETNELDEIEPDNENLKDFFPQGIRTNEEIDFKTLAHGMLRKEFILREAEQRRKPTFSKNFLIELLQLAAEKHKSQPALLKINRKITRIVGDIHGNYDDLIRQISLGLADKSTFVFSGDYVDRGTLSAPIYLLFSQMAKNQRVHLLRGNHELAHVNIDNGFFQECIDEYGETGGREIWLAANAFFAELPVACILNEKIFVAHGGISRLMLKGKTVLNDIKKTPKTPFEWKLFIDILWNDPSELYPSILTNSLVFPKNRKRVPDSDLFTPIGLVAVLDALGLECVIRGHQVVQNGYEPFCGTRCITNYGATNNSKNNNAAQTIVHDNTVEFIRFRNMNEQKKPDDDLTQVRKLHSRSKTKSNSKTKSTSAACAKPEKTKNKQRKSRTSKSSKAPDLLKNGSDKID >CRE09546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:41205:43520:-1 gene:WBGene00083654 transcript:CRE09546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09546 MMISFKTLLPFLLTTAYAAYVEQLEYFPSDVFLFPETCTTTTSSTSSTTRTTTGSSSTVTTTRPSTTIPTTKLPTSSSTTGSFSSVSTTQPSTSSTSTTDVSSTIFSTETSSTFTSTGSSSTATPTKPSTTSTTMTSTTSETTPSTAGTTTLDASTTGTSAIKTSTVGTTSAVVVTFPSSVEIWSKWSFLPIHSFYHNSVSFGQISEIFIPFESSRSSL >CRE09590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:416872:417748:-1 gene:WBGene00083655 transcript:CRE09590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09590 MSEYLEIRFGAGSDTAHRRRLDMKPHVAAKKLACDLLGRRKKIRAKTFELYIYGDPILRLPVGFKVKTSALCVSGNFESILGLVDPSSFPLQELKTTVSRPELLEHPAVKSAKVLKVTYGMSDEYSSVEFFEGLRNVKNKTVYQIECQMNGEQIMELFGAWTNSQRDIGTMFISSFFSSFWMNQRLDEAKRRFNGKYVRLNEPDEKMIPETKCISFPISPNSELVAYGICGADEDPYYLKLEMMPRGSTTSSEWSVSMSGVLNSLGAALNLYNLII >CRE09600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:457723:459200:-1 gene:WBGene00083656 transcript:CRE09600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09600 MPKPWTYLSLKAVFQYMDVNKRLEINSHCPALRSAESSVPLNLKSLTLNKRKIVANGVCYKVESKSQIIKSILDGRKLVRVEILKIRNSRVIPDSLKIRTMNLDSGNLNLERVLPFINRASFSLRELRVNIAKTPNLEKYLGCTQTLILFETNNDQEQEDLIRTILYDRKSQNILLENISLSSNDTVALIQNWRNNQKKIGTVLTMQNKLRIQPIVFPYGDEFPYVNEILQVSNGRYANFNDSVLQNNMDRRCILFPFNQTSELVLYSVRDGPIWNRRIKLEVMPIRSTGRPTLFDFFIVLLNLSVALILTRVLMLIAIIFLALATEKLETMLTVRRTDCASFL >CRE09606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:473296:474283:-1 gene:WBGene00083657 transcript:CRE09606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09606 MTKPWTYLSLKAVIEYMDVNKRLELNSHCPALRSVELSVLLILKSLTLEKERIVVNDVCYKVKSTERMKTILDGRKEVRVEFLKIRNSSVLPDNLKIRTRSLDSGDIDLEVDKEKHQKAKRMVDRAGEIVKRIHELMETIAKKSNNVKENSTSDEKVGADQGERGENLALSVGTAFRWFIEGCRRNAAVKRSVEVDEEDEEPRVKSVKKREVEDVPGRYESSGWAKNIQMCADLFKK >CRE09535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:594640:596087:1 gene:WBGene00083658 transcript:CRE09535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09535 MNSSDKKPQLYDPRPRSEIMSSDNYEVPQIVKPAVAVSPVRDKEPTRGVRQSNRGIRGCDHRVEILGLPIYPDSSDEEEEKKLLTRGAQLVHWPFAKEASRLADETKRGYDSPSNHHKPRIGITRLRHHHRNRWIHQSEPRKPHHLEEFGGYPAVINTQQKKSASETEEVRRNPIRKALKPQ >CRE09609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:480115:481128:-1 gene:WBGene00083659 transcript:CRE09609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09609 MTKPWTYLTLKAVFQYMDGNKRLKINFHCPALRSAESSVPLNLQSLTLTEKKIVANDVCYKVESKSQRIKSILDGRKEVRVEFLKIRNLRVIPDILKIRTRSLDSGNLNLERVLSFINRASFPLKELRVNISKTRNLERYLGCTQKLILFETNHDGENADLIRTILYDRNNSNIELENISLSRDTQVTLIRNWRNNQKKIGTVLTMRNRLRILPIFFLYEDEFPNANDLLGVLNGSVSNFNDSVLQ >CRE09514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:397058:397432:1 gene:WBGene00083660 transcript:CRE09514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09514 MSSNIQTERVNAEKLLELARKRHSAKDKKKETKIWIRLSNFNLINVLDAYVQEQNKFKNPRKRRRPTEKEGLRIRKFRMEVGEPTVKKTYFPKKNDDDKENEDKENQNPQDLDGIEDRLEKMWI >CRE09618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:498940:500067:-1 gene:WBGene00083661 transcript:CRE09618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09618 MTKPWTYLSLKAVFQYMDGNMRLELNSHCPALRSAEISVPLNLQSLTLEKKENCCQRCVLQGGINITKDQNNFGRVIPKGLKFIPRNLDSGDIDLERVLPFINRVNFPLTELRVNVAKTPNVNKYLGLTHTLILFVTKCAPEKAARVLSVLYDRKSTNIVLENVILRSNITVVLIQNWRDNQKEIGTALTMHAFQELQTYFDELLEVSNGRVAYLNNSVLQNNMDRRCISFPFNRMSEVVLYSMSDTPRGDKIAKLEVMPIGSTGSGTFCDLLKEYHNLLVVLFLVLLVIAYLPLLNRMKYI >CRE09569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:263295:263702:-1 gene:WBGene00083662 transcript:CRE09569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09569 MLETFPRFLDRECPRWDTRNIAVVNERLATFGHLSVSFAHRERQPILGRIIIENFPAMDARFWYRPCKRWISVEEYFFVNYGYDLRYPKGYVCRLIPAEYEEADCEGKAENLFPLEVS >CRE09613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:489226:490240:-1 gene:WBGene00083663 transcript:CRE09613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09613 MTKPWTYLSLKAVFQYMDGNKRLKLNSHCPALRSAEISVPLNLQCLTLEKEKIVVNDVCYQVETKSHRIKTILDGRKQVRVEYLEIYDSSVIPKGLKFSPRNLDSGDLDFEKVFLFINRANFPLGELRVNISKTPNLKKYLAFTQKLIIFKTNFDREKAAWVRSILYDPKSPNIMLEQIKLSSNTTVTLIQNWKDNQKDIGTALTMQHEYWNVPLPDVEHMDLLNGKFAYFNDSVLR >CRE09563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:211783:212944:-1 gene:WBGene00083664 transcript:CRE09563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09563 MSENIDPISQYYKNVYPSICTPDDRFLASKQGFVYTCRVIELIAFPIQILSTYCILKKTPESMKPVKTSLINLNIWCTINAITFAFFICPFLCYPYFAGFSIGILSSLGMSMSTQFFILIAINSVMVISVIMLFENRSSLIHRNKFRFKTETDRILWIIANAVGCGGTIGPVFFNLPEQLEAKLLILKGVPCPAKEFFTEPIQVLTTEGFWNTYMTVTCTFIYILLIFQLIFFTSCCVYYLFISKTSQVSSQTRRIQVRGFYGIVFQTVIPILLMMIPLTIFANKKKDGSYDQVQNNVMIVTVCIQNGATSLSILLVHHPYRKFLKSLFWSSKKNETSVVHVTSEVSTRN >CRE09488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:99317:99799:1 gene:WBGene00083665 transcript:CRE09488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09488 MDGSSLYGPFSRKQGIIIISVFLIICVGVLIGMGLINGGFKCGDNEQSTTVTPAYQPTRTLPRVIIKSVVEENPVSSARDVSTQYEKEPEVRKK >CRE09632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:619271:619943:-1 gene:WBGene00083666 transcript:CRE09632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09632 MSEEGLVEGRSPEAVFHQQGTKQSEGRCSQKTHLQTSPFAIMLTIGQRKEVIRKTKTTFRNPKDRVELGPNSAVSSLIQKTCRSTNRTTIKTNQEVGTQGSLLPEEHHHLINRTTPSIDGNNNCGQQYRRITTTSSFAHEKRQDNVPDNALLPARSEISRQPNHFCGREPLSSQRDIQFNDTARTEEDIWTKVNYINFVVGMA >CRE09507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:342885:343820:1 gene:WBGene00083667 transcript:CRE09507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09507 MNFVDNSFQDAGLCFISDVPVLLIETLDSLLSLPKNLWVEVRQSIVNIVQDLKAWFKLTNDLISKIVLILAHMLSASKDVISAIGQDENNSFNKFLNNSAKCLEQLRKK >CRE09520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:433911:435127:1 gene:WBGene00083668 transcript:CRE09520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09520 MLPGLSYPSLRVVLENLEASKRFCISARCSAISRIDKTVPLRIEQLRFLDNEISLNRIIFRFDSINVRETEEQARRRIQESLTPGDILLDYKNDEKKIKVEQLKYLKHSDGYKSRRHRYTRRLPKNVTASDALKRLSNYILEQRKHIIVDELNIWNCSQKVLRLPQNIQFRVRRLQAAKNDVRLLKHIVDPSCFPLAFILVKSWKEEYLEDSFLQSSKELSVFATNNSTEYWLATLSKMKISFVNLREIFFSESEILSIIRNMIESEVSRSTNRIILDCKGEKFLEKLMKKVKKRFSGNVVNFKSMDKLQNIVIPSNIVSVPLDSDTELIVHGFQYKKNWNKNVAIYLRLIVFKIGLCVPIEKKKFLGLTWFS >CRE09538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:606224:606481:1 gene:WBGene00083669 transcript:CRE09538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09538 MAIRFYEQFFVTLITFSFLFFLQISLTGVLINIIVLLAIKKSNSMSGSFGIITKNQVVCNIIMCLIFLLVITIKV >CRE09500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig42:208965:210319:1 gene:WBGene00083670 transcript:CRE09500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09500 MSDTLSQYFINVYPNLCISDTRFLATKQGLLLVCRTITLLSLPIQLLSAYCILKKTPENMKLVKSSLINLNIMCIVSSITFSFIACPYFCFPFLAGSTIGVFTDWCVPMSVQYCLILAFNYGMIISIIMLFENRSSLITRNKFKIKKISNRLLWIMVNFFGCMGLMAPIFLNLPHQTEAKMAILKILPCPNIEFFTEPILVLAEGSWNTYMIVVCTLTYIVLTLQVLFFTSCCVYYLFISKTSQVSLQTRRIQVRGFYGIFFQTFIPIVLILAPLMILMNRRRDGYDQLENNIMMFTLCSQSGATCLSILLVHTPYRNFIKSIIWKQRESGTPITHVTSDLSLRT >CRE13146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig420:17564:18426:1 gene:WBGene00083671 transcript:CRE13146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13146 MLTGILVYLYFILQAVIVFLVSIDGDSKWSHDFLIKDVAGMFLLALITLGFYKLSCCLDTVQKWCKIKPRQRCRNTMMFGFILIFSLSLFGKLAALKMKTKPEDSFVALATMPLIIVVYFGFCFSANKKFKPSLAADKSWTMISVIISSHAYFLYYTIEKALKIEEPMCTAVVLLQVFISLFGAGSTLDIYIYAEQKFVDYNEEEVLLESVKIMPRELEMKSQHAEEKPIEVIFVGDSSDFEESNFDEDDIRSPFTLVLSPIFHF >CRE13143 pep:known supercontig:C_remanei-15.0.1:Crem_Contig420:634:1151:1 gene:WBGene00083672 transcript:CRE13143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13143 MISVIISSHAYFLYYTIEKALTIEEPMCTAVVLLQVFISLFGAGSTLDIYIYSKEKFVDQNEDEVPLESVKIMPRELEMKSQNAEEKPIEVIFIGPLSDNEESDIDVDDNSLYCEICHLGRYTRIPRVLVLGGHIICEECFEKLLQEDRERDASCQ >CRE13144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig420:11111:11625:1 gene:WBGene00083673 transcript:CRE13144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13144 MNAVPPVRRFPRAALLRHMRVWNRFVKKVREDKIAHLPLRLRNYIEAHRSELIMFLCALERLPLFPHEQRERLLEFLKEVYLSQIEPPPPNPTQHNPNAKHWWCEHCNIEHRLNRTFIQEDLNAYKDGLGEEGVDKMEFPYDDYK >CRE13145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig420:13624:15092:1 gene:WBGene00083674 transcript:CRE13145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13145 MVFAMESPKPVNSTNDIDLSQIEEQLKQEWIKLGKSPSELYPLFEPARLSASRAPQVPKRPHQLLSTSTIPANYLLLVARGNKKTSMGAWDKYEVVEFIKLFLNTDTATSTIQVFLKEGVTGEMLECWASHREYELTIHKILGVPIGDTFQILNQAKLVINYYARLHFGKYLE >CRE26046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4205:365:829:1 gene:WBGene00083675 transcript:CRE26046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26046 MNIGKLSQTVGIYAKMIRYYEQIGLIPAVGRSNDGYRFYSDQDGERRKFIRSSRSLGLSVAEIADLMDLWNNKNRHSADVKQLALSHIEKLEIRITELQKMTNTLQNLINCCAGDHRPNCPILEGLQKQDIELQESYHQNDSLSVSPLAQQKVK >CRE29410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4209:2966:3394:1 gene:WBGene00083676 transcript:CRE29410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29410 MRGRQRMARCGRDRRPCGAMRYSGTPRYRPPRRRPTAADGVLSTESNRSRHRGARPRATLLDREPANRPGSSETTPAARATWRPKDGRGGARDPHRPSRCACACAVGSSRGSPQGGDPGRSLDSRTPRRCTSRDGVAVEHPT >CRE29409 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4209:61:643:1 gene:WBGene00083677 transcript:CRE29409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29409 RARSRRYRGSRWLGVGRAVRPGANPRGARQRDRYRRRIGPAGRSARRADRHPRLPRVLHSLPRCDQHGRGRGVRRARLQRPDQRADPAHRRDPRASAREPRAAAPHHGERGAGAPARCRARGRHGRAAGGHPWCALRGPDRGGGQLGGQRARPPQVGVRQGSCGRLPFQRETHAARQAAGQARGPTRPQERSNM >CRE13789 pep:known supercontig:C_remanei-15.0.1:Crem_Contig421:8846:10355:-1 gene:WBGene00083678 transcript:CRE13789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13789 MPPVLFLQFPQVVLTEIFKFIEFEDLFPLALCSRKSFNIVKTYQDKKSSLQLHITQKYIKIQMGYVDNFKFFVLSPASENSKNVNINGNRIRMELHKTYGFYITYWDDEIKGIKSIFEYLSELFSIKNIKNPTEITVTGRDTVWLMDFIEERQDGGNYKLIIDNCNYQLTNYDYRFIIEHAFPKIIETNEIPAGLQLIEFRKSIDILIIRGRSWIEVDNLISLNCTELVISPYGCEAYIITGLIYHWMAGDLSKLKFFQAPTRNLNLARFFGENIGSAKLELMTEKREYR >CRE13882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4221:734:1798:1 gene:WBGene00083679 transcript:CRE13882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13882 MATNLTADEEAGWFVAQQEQPWWQWLLRRFIASGPIPRHVAFVMDGNRRFAKSKHLGNVIKGHEKGFVQLAKILDWCNRFGIREITVYAFSIENFKRSEDEVTGLMRLAEEKFQKLLNDSEKLDEKRICFRFYGNRSLLFLSTSEVDE >CRE19641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4228:863:1910:-1 gene:WBGene00083681 transcript:CRE19641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19641 MLDANKLQQAVDQAYTQFHSLNGGQNADYIPFLANVPGQLAAVAIVTCDGNVYSAGDSDYRFALESISKVCTLALALEDVGPQAVQDKIGADPTGLPFNSVIALELHGGKPLSPLVNAGAIATTSLINAENVEQRWQRILHIQQQLAGEQVALSDEVNQSEQTTNFHNRAIAWLLYSAGYLYCDAMEACDVYTRQCSTLLNTIELATLGATLAAGGVNPLTHKRVLQADNVPYILAEMMMEGLYGRSGDWAYRVGLPGKSGVGGGILAVVPRSNNGNKPLGLWNVVSTAGPGCMVIEGSTLVAL >CRE19640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4228:2172:2993:1 gene:WBGene00083682 transcript:CRE19640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19640 MSQTIDLTLDGLSCGHCVKRVKESLEQRPDVEQADVSITEAHVTGTASAEQLIETIKQAGYDASVSHPKAKPLAESSIPSEALTAVSEALPAATADDDDSQQLLLSGMSCASCVTRVQNALQSVPGVTQARVNLAERTALVMGSASPQDLVQAVEKAGYGAEAIEDDAKRRERQQETAVATMKRFRWQAIVALAVGIPVMVWGMIGDNMMVTADNRSLWLVIGLITLAVMVFAGGHFYRSAWKSLLNGAATMDTLVALGTGVAWLYSMSVNLWP >CRE22764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4238:325:1765:1 gene:WBGene00083684 transcript:CRE22764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22764 MLGKVKDPREAIVRTATPGLDVIPANIDLSAAEVHLVTEVAREQILAGVLRKVVDDYDVILIDCQPSLGLLTVNALTASHGVLIPLACEYFALRGVALLVETIDKVKDRLNPALELDGILATMYDSRTLHAREVLERVVDTFDDQVFDTVIGRTVKLPDAQIAAKPILDYAPSNAASEAYLKLARELVARDGRGMTELGTAEAGGPEAPGFRVSLEVFDGPFDLLLNLIGKHELDITEVSLSLVTDEFIAYLSSLDGQGLIELDQASEFLVVAATLLDMKIASLLPQGEAVDAEDIALLEARDLLFARLLQYRAFKQASAWFRQRIESEEARHPRQVPLDARYRQRGPELVWTLSADDFAAMAVLAFTPRELPTVGLDHLHAPLVSIREQAAIVVAMLRTDGAHTFRQLIAGLQVGSERGVIVARFLAILELYRRDAVGFEQAEPLGELTVRWTGEHWSDDSLATLGTDYDG >CRE17644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig424:16882:17223:-1 gene:WBGene00083685 transcript:CRE17644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17644 LADLLISVFCIPFSYWQVLIFDDQRWLFGSMMCSLLAFLQAMAVFLSAWTLVVISFDRWMAIMFVLTPSIRITTRRALYLVAATWIFSILMALPLLFTTRSFEIQATPAENTV >CRE02795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4241:866:1973:1 gene:WBGene00083686 transcript:CRE02795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02795 MTDTAGQCPVPHGASSASRTAPTNASWWPDRLNLKILAKNPVVANPQGADFDYRAAFETLDLAEVKADVARLLTESQPWWPADFGNYGPLMIRMAWHSAGTYRVFDGRGGGGTGQQRFAPLNSWPDNVGLDKARRLLWPVKKKYGRSLSWGDLMILAGNVAHEQMGMPVFGFAGGRPDVWEPDDDVYWGSESTWLGDEGRLGADGAQELSTPLGATMMGLIYVNPEGPRGSGDPLAAAHQIRETFGRMAMDDEETVALIAGGHTFGKTHGAAPEEGSVGESPEGAPMEQLGLGWKSSHGSGSGNDTIGSGIEVTWTYHPTRWDNEFFHILFAYEWELVTGEGGHTHWRPKDGAGSDMVPMAQGDGRREP >CRE16489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig425:1375:2676:-1 gene:WBGene00083687 transcript:CRE16489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16489 MSGTGQQLRSDISPTTDAVTATLASPLLSSKNFRKVQFDVKTAIYRANAAGSKAAEEAHKIIDDTLANRDALGLKNIDELRKQMLVISGMIETNSHFHQFVSKAKLHLSAKEIAELCQVATDHLDLKPRTDTLPILKEAILELKRVLEEQGCQCLEKISPTEIEDIAENVENTPQENEEPFVDDSLAPSSDFDPNQEELFPRDGDLAPTTRRQHPSSNGMVDSLVYGHLASATAHETVGINNPGGTQVPPVVSSDIRSNTENHEASSLPLGTAPNRASPGLSISCPVCRGSHDLFDCDSPKLPAYCARNQLCVLCMSSDHLTLQCQIHFIRSSPILSGAINKMYPGSGGRFMTSDTQIVASTPSANVPSNFHSLSDSERKEHARTDWTLAPESRNEVSSEEDAYDECRAAERDRKIRSNNYWLSFYDLESILP >CRE16490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig425:8868:9359:-1 gene:WBGene00083688 transcript:CRE16490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16490 MSPNAGRMHALLNSEFKNDISSATSSKRLSKNRGIAKAYKKPRQERNFYPAYIRIRRYVERLLGDVANKKLRARTIERRIKKVMSATYGDFLESSDSSEDSDFGDSESDTPPSESNDEKDSSDDDTFMGPNLEPFSFGAAKVSVHEAV >CRE06281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4253:1000:4184:1 gene:WBGene00083689 transcript:CRE06281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06281 MVSTMPKKPRLETYLHKNQLSINGQPHNTNASLIAFATNIELETQDFTFVERKNVSSALLTFKFKMSCLQEVDKLPYMLSPNGKGDLLNYAGENIAGLNSAQLYVKAPGSRTSIHPENSALASFNHNIGPGDCVRRAQWVDADRQPEDVVKQELHPKKILLSVWWSVHGVHYWELLPEGKTITADYYSSQLQKVKSKLKTSPLHGHRVHYLHDNARPHTAKTTKSLLATFHWTVLAHPPYSPDRAPSDYHLFSDMHRSLEGQDFKTKSEVEKWLKKYFDSKQPEFWRKGIESLPTKWQTVVDKGGHYV >CRE17719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4263:11:793:-1 gene:WBGene00083690 transcript:CRE17719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17719 MTGTERKCFQKYYPSDFDPSKIPRGSKSGPKQFVQRVMVPFNIQCNSCSQHIYKGRKFNMNREKVEEETYLGLKLFRFYMKCHNCLSEIVFRTDLEKCDYKMEHGATRLSEGGSGDFKQEDSEDSEDVLDPMTLLEKRVKQSNVERKNIEELEDLQEMRNGKESVDALEILKAEEEKQKNKEKEEEDRIVKEMLSGRVEKTLVDSGASTSSQKPANSHVKRKIEQKDRFNGLKLIKKASESLTHLDSNLSPFTIRINFCIN >CRE15770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig427:18292:19237:-1 gene:WBGene00083692 transcript:CRE15770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15770 MDGGATLGRGQVFSVQNYKKGARIWHRHPHLVWIGGVLEEDISFQTRQVRLKLEDDTVRAFSNEF >CRE21712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4271:3013:4246:1 gene:WBGene00083693 transcript:CRE21712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21712 MVLKFERESGVELSRDLNVLIKVKAVFSPQYGFSVNIEAIDSSYTLGDIARRYQQILERLTSEGLLNKNKLLPTPFDIQNVLVIAPENAAGLGDFKKDADALDQAGVCHFVYHTATFQGNTAATSISEALANALRQWAKDLNTPPDLIVIIRGGGAVNDLAYLNDYNLAALLCKRSVPIWVGIGHEKDRTILDEVANRSFDTPSKVIGGIRNLIVERTQDVLDSLHKIKLLSQHQITAYQSQNDQYIKVIKTLSQGQINEANKSLDLMKGTVQYLAQQLIKLASNQVESLMRETLLQNPRHVMAKGYAIVRSDGKAIRSIQQISTDHIQVELLDEGYDVLKKNAELLESQEEPDIDNLMKIVEESMSAYKACKSRVDAVQQALNETFKE >CRE20603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig428:3488:3898:-1 gene:WBGene00083694 transcript:CRE20603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20603 MEWEKSVLQKTIGKLTSELEEQTGKRKHLLEGIQGEEDMIKTLKIVINETETRTAIDVAQLLKTANEKK >CRE20605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig428:9765:10243:-1 gene:WBGene00083695 transcript:CRE20605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20605 MYTKQISYILEAVDEEEDPEWAAGRGKTLADQERIRQRKAERARKEMEERERKVKEGRDEAPDVERDEPLPSSSTPDLQKTSEESDDLVAPVEEIMEREATPASSSPPETDCSFSLAFVLEAPSESSQHDEAEDSDGAGTIQY >CRE20607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig428:22406:23158:-1 gene:WBGene00083696 transcript:CRE20607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20607 MNLRVLLIEEVSMVSSVILAAISVNLSAVRNDARPFGGVLVIVFGEFFHLEPVQHSPSYAGHPEEHQAFMATHLHWNLFTINHRLPGYANPKQMLETWIMAEAITIHKAQGLTFDGVIIVPSMDNVQMMYTALSRARSLDLCRIVKYNPLYFKTSEKVKEF >CRE20602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig428:13230:15664:1 gene:WBGene00083697 transcript:CRE20602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20602 MVPYNYAAIRAVQTLQAQEAEMKRQCEEEEHLTARRKKQKNTPPARKGNTGGSDNSEKGRHAVCGRKEEERLTARHRQETLKIVEVQERADEYNQKFVEDIEKDKVLLGNYKKSLEDHSEKLQESVKKEYQELDEKVAGTANQEGSKSDLKELERLLKLRKRSTLRDPAPDSKAHGAKQIRQTMNKFGFGVKRTQDLEEFQKAIAAQVKQADNETATSFEQAVEICGTLGHSRETDVGYGMRYL >CRE20606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig428:17634:20064:-1 gene:WBGene00083698 transcript:CRE20606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20606 MVHFALDFSDVAEFGNLLKTSCYIPSHAPRLSVSHDLSGCPSSPADIPSRLLEHTNHTLHCWNTLSTHAADQELIRIRPHHSDMD >CRE25046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4286:84:797:1 gene:WBGene00083699 transcript:CRE25046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25046 MINQQDEAKKVAGRRVIQDFIHDGMKLGLGSGTTSHFFVRELGAHIREHGLTLRCTTTSRSTIEVAQQVGIEITDPNEIGEIDLTIDGPDEVDHQFNMIKGGGACLLWEKIIAHASKQMICICDETKIVDCLGGFPLPVEVVQFAWKQTLVMVERVLAEHGIDNIRIERRMRDGQPVVTDSGHFILDCHCGPIIKDPSPLEIELNRIPGVVENGLFTREAVGMVVACFDGTSYVKMR >CRE08632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4299:3266:3778:1 gene:WBGene00083700 transcript:CRE08632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08632 MKYDLEFKQAVIAYYAQGHSSLSTAKHFSISDKDVSKWLAQFDLGGIDAIKPKQNKTIYTAEFKYNVLKTMQNERLSLSNTALRFGISSPSLISVWRKTYQTDGMLGLEPKSKGRVSMSKNKHKYIVDKPDHEKTTAELQRELLYLRAENAYLKKLDALLNPPKKDNKQG >CRE19224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:302674:303523:-1 gene:WBGene00083701 transcript:CRE19224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19224 MSSSASEEPTIRSYILSVPTHVTPRPEFQKHFFPVATLFIGPTNPKGHHPAVSLAAMCRILVFKKNFKELTNAVSRFMGKELPLIVIGRSTAVDSNDEYIPLFNNCRFIHREAGGYHVLCRIYPTDISGKEARIRLHKFADRLETPRVFEPVTPPSGRRDSRGLSFNLNSDKRRLPLDSDNEDDENTPENALRFD >CRE19262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:611200:611401:-1 gene:WBGene00083702 transcript:CRE19262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19262 MFFQPYKTISVKGWQYGDDGFVNMIRSHQFDKEWCFRVVGGLVDGYPTGFS >CRE19141 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:304028:304824:1 gene:WBGene00083703 transcript:CRE19141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19141 MSSRSVESNRSYAYSMLSHVTPRTERCRNFEQDPLAKIFIGPTISKGRSPAISFASMVPLLVYTSSFNELSKMISRYMGSARRLIIVGRTLTTDYNDKYIPLFNNCRFIHREVGGYHVLCRIYPDDVSGVEARARLDKTSDALMRGDSWEQPLGDTSQDLKNIKSDELFDFEEEDKRKPAKGHRRIRK >CRE19158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:374718:375875:1 gene:WBGene00083704 transcript:CRE19158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-36 description:CRE-SRD-36 protein [Source:UniProtKB/TrEMBL;Acc:E3MJL5] MQADGYRTILSIFYPIFFVVTITAQVFLLFLIIKHSPKSIHMLRIILGMTCVFQIILAFSSSFIQVRFVTTRKPIEMWSYGLCRRFEPWICYCFYQTEQVLSAMASALTIYGTFFLKYRMVKGVQMSKFEIIKTYLMFYCPFFLSMILVVIIIKTQILTWEAQEQLHLVNTFLYNSGDYIAIAFLSFSKIPNTLNLLIFTGCIITIPALSYYCRKRTLRQIYHQMENMSVPRQQLYKSFIMGLSVQCVLPYIFYVPIYILYYYCLITGKEVLFLEFFLTLVPALPTMIDPLVSVYFVTPFRKQLTRWIKKETEPTRTSNAFTK >CRE19110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:81815:83353:1 gene:WBGene00083705 transcript:CRE19110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19110 MNMELQKRRSCNRRCAVCGHLEQHELLKNVTIDNEKLLIMLGCIYRGEFTIMQAQIFMSRESKTYICRVHFGETLDEIHTMLRLTCAEDIHTCSVDMIQNIMITITALRPHVTAQQLRKLLYDFAERYKHLKDTRNDLMGPDDYSRSKAHEPNDVDEQEIIPKVYRQPRKQVLEADQHDGTVKVIEQEDFKLPTAKPSDHEDCDNPGVCCFCSKQGDRKCMLKVPRSEDRLARWIEKLGPEFEKRLKSGSDNLICRQHFPEAAFSSRGRLLKGMIPDAEPEKVEVTYRIQGNKFLKLDEQKSGTDKKSSIDLEKGHSDRQDEARMRKMIAETNEFERHLNIQDHEVSPERSLSPIEMSPQPTTSAAVETRAPRTRKSVNRSVTPDDGGKLAKNDTDYNPAPKRRGRPPKSLKRKAKTPPPEEDEDYEDDEEEMDEEEFSTVDDDDFVPEQEIRRSRRKSRKVASGSDSDDGPVRYIESRTGLKTVKMARKVS >CRE19244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:491683:492245:-1 gene:WBGene00083706 transcript:CRE19244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-34 description:CRE-TTR-34 protein [Source:UniProtKB/TrEMBL;Acc:E3MJP0] MYLILLFLLLVSYGATETTRVRASVHCGFLKKEGLPIVSLMEEDFSSVPILNWFDSDDLLDETTVDYGEHFTLDGSEVEMFSTEPYLRIRHKCFTMEREDFVDLANFVEDPMGIIHVGHIVLTHQGYKINPMQLQA >CRE19221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:289989:291090:-1 gene:WBGene00083707 transcript:CRE19221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19221 MSSTSGETTIRSNIFSVPTHVTVQPEYTRWNYPVATIFIGPTNPKGQHPAVSSAAMCQIYVFKWTFAELTKTVSRFMGKDLRLIVVGRTTVVDSNDEYIPLFNNCRFIHREAGGYHILCRIYPTDISGKQARIRLDKFADGLEMPRVFEPVTPLSGRSDSCGLSNNLNNGKRRLPLDSDDENAGPSTKVLRFD >CRE19266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:646619:647010:-1 gene:WBGene00083708 transcript:CRE19266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19266 MRSRSTGRRGTEYRIAANSPHGYLSGPASSQNIRGGVGDATVSNQSHAFKINGKFECCQCGFDCRESSSVAEVWLTSQFDKSAQFYGTFNVHA >CRE19128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:227575:228954:1 gene:WBGene00083709 transcript:CRE19128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19128 MSSSNVVPSHQISSTGGSDSMFEFLQTLANRGQKPPVLPDEWKLNPGQQKDQIEGNDGELDNVPAGEEALRNAHLEGPIEAMEFNQEALDVPTDEPFSQDADVPAQEQEASSQVKNSQRKISRLIRKKRNNDPSEIAAFELKRELNCLRPLKAKKVPGQQQNVLAQDSSSLAQDPIMGSLDPVPSVEEEEVQEQDPLPAAEGTRQSPATCARKLVGFSSEVGRILDKTAAKADQDTTNFRPTSDTDRMEPLDRFIDLFFAHKNS >CRE19183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:658673:659003:1 gene:WBGene00083710 transcript:CRE19183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19183 MMNQLIVLLSIIFLSSAAWLPHPHRVHHPIRHDYNFERNCFFSPAQCLLGNFPRSSKLQPMLRVFEDRSLNEIYSKLLITNSKPAFFGSRK >CRE19146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:325234:325731:1 gene:WBGene00083711 transcript:CRE19146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19146 MSSTPKRAFIGKKTKKSRNEEDNDYRPHGEKMDTIHPKMETPRPTRSRGDKELSKGLSNEKKQKKSKTMKEVESLFERKVIMEPENPENVIKKENKGGDQEIKKESDGILQEVKQENAGILQDVKSEIYPIEKKQVDKL >CRE19134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:255423:255928:1 gene:WBGene00083712 transcript:CRE19134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19134 MSSSMAILTTIVICLLATIDVKPAPSGIVEQENHSKIACWESKVSGNLESGFQLSSEEYTLCSYMPSPANYEQGYVNGVDLESDDYSHVLGLLSTTTPGYSMLSVCIHEAFTFRGNLTSTQYSIRCLCNRSGCNIPSSMDNFFTFNQSPIFL >CRE19202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:117190:119196:-1 gene:WBGene00083713 transcript:CRE19202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srxa-8 description:CRE-SRXA-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MJE2] MDFFMFTTNPDLDPGVPFNEMSRPNQLILGFMVVFQLFCLLYNVLMLAATYQNRRSSLSFFSRFIKTFFFPDFLPLAYITVMCISGVIVSVFISINITTYIALEKDEYDGIIFLLIFLSHSSFFSVYLSHFGKEVTVGSTFSYLYSIFITVLMTINRLAIVLNPFNEMFTHKKVFIYSGIMAILVLVSLLIPYFSPCSITFAVNRMSFVSECAPNRHAITVFQNTYAIILPLSCMVINLGIIFHLRLARHGTYQKINRMFCKNSQIILVPLPKPEHNTSVLKMKTRRDFVMMRQTISIAAFLSIYEIGAFITKTFPEAYASLPEGVRDGYFIFRLESVALMNFFIYYMETPNTRRMLRRFLNFKDSDDSSARHMTMATVAPR >CRE19198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:96176:97017:-1 gene:WBGene00083714 transcript:CRE19198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19198 MNNQVGPRHAVPTLSAVVQPGSFRVFISKKKTYTSVCCCGVKRRTALMVLITFLALGLVAIVGAGAGLIGRV >CRE19148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:332677:333123:1 gene:WBGene00083716 transcript:CRE19148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19148 MNTNILMGFSSKEVRGLISISVKKGKESKPATDLRVDLFNRNLLKHLVQLLHASKQARSSEVRRNKRSNKEEMDNGPAKKVVKRGPNDVVDDQIVSPVNSVASISGTDSSQTRTSQ >CRE19215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:239001:239509:-1 gene:WBGene00083717 transcript:CRE19215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19215 MLSKPIIVLCVFAATAYSITTYEDVLEQSKKSVRCWQPKDAKNLSAGYSISSEKFPFCSYIPTADLTSFTVSGAGEEIEESERRELLRAFGMAGDLYGLTTICFQEAIQVHPAPSPSHVGMRCACKRDGCNVPKAFNAFLAYNEVALPKV >CRE19130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:235598:236069:1 gene:WBGene00083718 transcript:CRE19130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19130 MATNRDLPNFHHLTVSERVIWKNYQKDYLLYFDHYSCETANYGAMKAAVKNYITDKLEALTYDSSAGAAELQRFYLYANRHYEEDLNLLMDIYLLDTMWDWGNHIEANRVEVYIMYKYGPY >CRE19223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:297058:297874:-1 gene:WBGene00083719 transcript:CRE19223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19223 MSSTASEEPSIRSNILSVPTHLTPQPEFHKHHFPVATIFIGPTNPKGQHPAISLAAMCRILVFKKNFKELTKTVSRFMGKDLRLIVVGCKTVVDSNDKYISLFNNCRFIHREVGGYHVLCRILPTDISGKEARIRLDKFVDDLETPEVQKPATQQPSGRKNSRGNSFNRKSGKRRFTVDSDDENTPEKVLRFD >CRE19227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:326142:326565:-1 gene:WBGene00083720 transcript:CRE19227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19227 MKFSSLLLLVFLVSTFAHCESLLCYSGFEGAMEIESGFDYCYDTIDFDSREVVYDGHKGHNHIFNETRPIEMEDGPCFINYEKQTVATYCYCTDSLCNVPRRTRRLVQSTYLLSLLEEYHAKHRG >CRE19139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:298388:299184:1 gene:WBGene00083721 transcript:CRE19139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19139 MSSRSVESDRSYAYSMLSHVTPRTERCRNFEQDPLAKIFIGPTISKGRSPAISFASMVPLLVYTSSFNELSKMISRYMGSARRLVIVGRTLTTDYNDRYIPLFNNCRFIHREVGGYHVLCRIYPDDVSGCEARVRLDKCSEALEKGGSWEQPLGATSQNLKDIKSDELFDFEEEDKRKPAKGHRRSSN >CRE19218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:276322:276725:-1 gene:WBGene00083722 transcript:CRE19218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19218 MSKVEVVEEPRKLAVRVIKQNHLEIHYFIKPNTPMRLLKRQFARELAMDINKLCFLFGEHFVAFNDTAEKMGLRNLDVIRVFEIAVEQPGVYGVVQKRRTAE >CRE19105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:58311:59265:1 gene:WBGene00083723 transcript:CRE19105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19105 MKLTAAILCSIAFATTFAADVPEGHTVVAQCKCSDLDECAETIATKTNNCKKKAECTNFLKEIGDVTKIMACLDADHEQMMKVETCAKKKLNGELGCTNSATPVNLTIPLIPVIEIPSLEESGAVPVGEQANAQAEPPLQLRQYLMCIDECTHDDMEVIPGRKKRSPATCAFKLKCALAPPSDSTQNAYTECQKELGYDPTKGAADSCKCLKAAGVNMTCPN >CRE19149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:336268:338005:1 gene:WBGene00083724 transcript:CRE19149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19149 MPSESKVERVLTVQEIEDLKGKTRCLYKLFKNPRMMLAELKPTLVQLLESIRVSKKSLKDEDQLYRPPGEKVNTIHPKHGISRSTRSKRKGNLSKGLSNAKKQEVSQFAREEKDRFDRHHT >CRE19217 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:273855:274295:-1 gene:WBGene00083725 transcript:CRE19217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19217 MPDFSTQTEEFTPFSVISLDGTSHVAMSGKAAATVIRIKISYSSQTGKPLRNMRLCLRGRLLDDDETLASLDISPYTVFVAVIRDPNDSQVTRL >CRE19111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:85429:85650:1 gene:WBGene00083726 transcript:CRE19111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19111 MAVNKVTHIRVKGDIKVDVIEVYPPVPDSDTLQPEQEEEKEEEEEEEQEEEQEEEVEVILQPEEKEEEEFKKV >CRE19147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:328261:328710:1 gene:WBGene00083727 transcript:CRE19147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19147 MPQFPLYDLPRNTFDKLVTTVIGKCKIVKHSTDLRLFLFTRNLAWNVTMSQRSLDEEKAAVAKQNSNPLPKTRPSRMKRAAKNTAEEPQAKAAKLSKQQKASSRNADGQKPRQK >CRE19225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:307708:308015:-1 gene:WBGene00083728 transcript:CRE19225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19225 MKINARAGSDKFIIEVHENDLVSILKDKIEAELKITKKLFRLVYSGRHLEDGKHLSDYNMKDGHYVEVLGRLLSCTDCSEHNHGNIDR >CRE19228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:330862:332288:-1 gene:WBGene00083729 transcript:CRE19228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19228 MIPIIPLEAAVTIDNNNNNVDEMSQGPKLYITTNLNGDDTIFEVFQMQSGSNFALERSLELTYGGIVRTCILYGDEIYKLEDIDVRMLNESEFLHALHRNVRLLIYVPTTDPTYQDAERSLHHFIEIYGE >CRE19238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:401909:402622:-1 gene:WBGene00083730 transcript:CRE19238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19238 MPKQLQAQKQEDVPKKEEKTAKEDKQVPKKGCPAICKQTMIVSNDEWANDLEALLNTEKVLTATQQKSYLFGPMRVAGKLISERISLAVFIRTKIFGAGVESFKEHRDFTLETSSCTNRHPSTRKIAYEEAQSLIQKLINSAVNTSRSFFDKGVVSLLESSLSQNLPAEFEQFYKNFCKQEDNVKIDGGENVVKMEIASGEDGISGESASNQRQKMRQRRLQ >CRE19220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:288659:288951:-1 gene:WBGene00083731 transcript:CRE19220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19220 MQIVVRPISGIDFTLSVEDNQTVQELKENIKNKTGVPVDQMRLIHRGRQLSNETELGTRNFKELDIVFMLLRLLSCSKCPC >CRE19261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:599892:600182:-1 gene:WBGene00083732 transcript:CRE19261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19261 MNSATSEEDRRIIARGLQDIEKFKKMLASFKEIHEVGTPEYEKINQQKRNYTSLQNGPKVPSIEIHNDDGEQMDYNSQEEPETKRFKTNSGGSTSN >CRE19144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:317554:317931:1 gene:WBGene00083733 transcript:CRE19144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19144 MEVKNRDALLQEKINQVKSHEDVRECIKFFQEQFQPSWLRALEPAARNLAEFNIWHHLYRREPVEGCPFQLEINKRGKEFVATMKEIISRRREECEAGSLDVEDGA >CRE19164 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:409979:410668:1 gene:WBGene00083734 transcript:CRE19164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19164 MKGLKTIVPINVHKKDDKNKTTQVVPPTVTTPKSTLAPTAHQPVSTIIQTLLQSLPDTAQSVALLRNIKEQYALTFQRIPWSKRSRARTFKHIEEPSSHIDVFPPIPKPHHMVIPIGGLAKCQCSIECTKTLPMPAYHNVTYENRWNFETPMQRVSVLQLYRGNVEL >CRE19268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:656965:657285:-1 gene:WBGene00083735 transcript:CRE19268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19268 MNFVITIFMLVTLSSATLLIHPHRTHPITHDYKFERNCFFSPAQCLLGNFPRSSKLQPMLRVFEDKSLSKIYSRLLLSNNKPSFFGYKK >CRE19222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:294689:294981:-1 gene:WBGene00083736 transcript:CRE19222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19222 MKIVVRSNSRIDFTLSVEDNQTVLELKEMLEKKTRIKVDEMFLSHRGRLLYDEAELGTCNFKEMDMVIMNIRLLSCKKCSC >CRE19184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:675873:676654:1 gene:WBGene00083737 transcript:CRE19184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19184 MMILRNCALILAAVALVYTKPVQNVDLAAVNTFLTNLAATDVRTDSMVTLSYQNMASKKNPDHDNAKDPLFTSVDASVYGSATYIVIADLLPFFQFDCDQPIPTSNNGYLDAVDSFLTNYINSDAVKAAWTFLQAQGVSTNDATAFRTQLKNLWFTPYARNSVLGSNGFKSVFVGEASGTVMNRFANWFGFYIQENSKTFDYHGWFTKLNVSALFQFDCCLAFTDIEK >CRE19178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:605159:605590:1 gene:WBGene00083738 transcript:CRE19178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19178 MDFKSRPMIVFNLKHKEEKKKFHETIKKMHERKNKEVDHFKRQQLKEDIDNKIVNWNQNLYPKSWKYFDPHFMSEEGLAMYAEVVYNTMGVGSFTFNTGCGNHSPNGVSANQMRLLKMFKGRPGCTVRIDKYNIGLVILERRY >CRE19254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:552374:553562:-1 gene:WBGene00083739 transcript:CRE19254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19254 MQFYRVLVVVCYFSINVEAVLDRNCTHFTAEGKYATNAVNCDNIYSDDACTMIYGSSVVVGTTVERPLLCFMLNGERSEEMKRISTSTCPKTCGYCCQTEPFDCNNSPFPRINCALVTSRMCKDPQWREVLTEDCPNVCGFCLEGGCFDKAVDCTTDISICMNVGMQDFVKEYCRKTCGFCRPTATTTPDPDFRGTSPNCVDHNTKYDRSVSSPANL >CRE19237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:398696:399725:-1 gene:WBGene00083740 transcript:CRE19237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19237 MPKQSQAQKQVNVTKKEEKTAKKDMNVSKKCCPAVPQHTMTVDDSWIENLRAVFNTEKVVTTTEQKGFLFGPNPHLEGISLAVFMRTKIFDTAVESYITQRDAMLKNSSCPRKIQFSRKMACEEAKKFIQRMINSAVNTSRSFFKNEIVSLLETRIGQNLATEFEEYFINLCKQEDRARYGGVANVKEEVPSGKNDISDGSESEPSSDEEAENAPKMSEELRLKIQKMYASLPPQHELVYPPLPPPGTLRIPIGGFAKCQCKEGCEFELPEPAYRILSREELAEFWLIISGKDTENIKSAKSFGRKGR >CRE19211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:201582:203481:-1 gene:WBGene00083741 transcript:CRE19211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19211 MSLFVGFAISIIILVTGYYTWLHTYWKRRGISGPQGWPFLGSFHDLADVNNPRVFVIQEWAKKFGKVFGFYEGATPVLVIGDPDMLQELFIKKFEYFNARKTTNFIHGEFEDPKEEPLVNVFFAYGNRWKKLRALNNPALSVKSLREVHDTMENSVICMLEIMSQHEDGRPFNIHEYFQELTFDVICRVAMGKTFSDQWNNKEVKFVQTIFNKSHRVLPWYLAVLFPQFENTVKNVFILHENLRGGDSLKLWMYCRTTVGNKYEDWMENVKQGVETTPNDFIDMFLDYYKETLIDCDRAQKIEILNSITSSCFAFIIAGYDTTANTLAYACYMLMKNPEIVSKAQQEIDEICTSENISYDDLSKMKYVNAIIKETLRLFPVGWFGCSRQCVTATTLGDIYIDAGVRIEADVSALHLNKEIWGDNAEEFVPERWLESTPRHVMSYIPFGAGPRQCVGMRMGLAEAKLTLAHLLRKYTIHSGPETEEKLTLIGCATVSPLKVTVYIKNRV >CRE19203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:120058:121233:-1 gene:WBGene00083742 transcript:CRE19203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19203 MEIPNATDSLITFATLSNPQLLVHIFAIIFPASFFAFDIILLLSAISSRKDASIPVAYIVIMCLRGLVSNFILTMQYCVFLLTTSKGYEGMFQKIDIFKKFNSDFLALVGKETTLFGTFSYLTALVLNVLMSLNRLAVVLKPFNEWFSHSLVFFYYSVIGIILFVSLAIPYFSSCYVMFIVNKQAFVSGCAPARHPITTFQNTYTIVLPVTCMIVNLGIILHLRFVRNNSYKILWHTLRGSMFSTVSNRSNNILDVISRNVSQTSTFSKMRARRDVVMIRQTISIAVYLSIYEFGAFIIKVFPGLYIGLSQTGKEAYFYARMESVPLMNFCIT >CRE19226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:319395:319977:-1 gene:WBGene00083743 transcript:CRE19226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19226 MAHAYGLTFDECSVLEFLAVAQRDKFQNKRKIYETVLNNTVLAKARHWRAVEIELFRAFGEMSSSSEDELDSSSDDDGTSGDEDDNSDSDDEVNDSGNEYGMLDENVDLEGDKNLQDNEDRGAQNAEHGRYFEISNDIDDSSRHLLSSESDSESDDEPSSKHFKPCVAVN >CRE19197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:91644:95293:-1 gene:WBGene00083744 transcript:CRE19197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19197 MSSDAEIENQIEAGIEDLEELPVRVPADREFERSLVFQNRIACVVFTFLSLVFALTAFGLVCNYFSTKWNQS >CRE19145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:321423:321856:1 gene:WBGene00083745 transcript:CRE19145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19145 MPSQSKVKRVITVQEIEDLKGKIRCLYKLFKNPRMMLEELKPTVVKLLESMHILSLCAYVPHSDACRKIVELGMKQIAILEDVVIRVVLSGKTVKQAAEKHLCRATQNNNPTSN >CRE19160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:379311:381313:1 gene:WBGene00083746 transcript:CRE19160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19160 MSVLIVSFLVFITAYIVHFYWKVSKYPKGPFPLPFVGNLLQFPAENIQLYIDDLSKTYGPCFTIWTPLPSVVVTDYEHVKEVFVTQSIEKNECLNENLLLFSGETFTYRSHLPPEALLQPHVNTGVLLADGDNWRIQRRTSLKILRDFGLGRNLMEEQVMRSVHEMLSQLESIADKKNVDMFWPIQLCVGNVINESLFGYHYKHEDSEKFQNFVGIVDTHLRNVRNRAPLLVAAFPWMKHLPIIGELGYHFLKRNIDTYHKFIQEEVTTQIKEYDEEVEPENFVHAYMKQMKQAGNPNLDMTNLCASVLDFWVAGMETTSNSLRWHLAYMMKYPEIQDKVRKEIFDVVGTSRLPSMSDKPNMPYTQAVIHEVQRHSNMIPILGSHLNVEDTTVLGKKVPAGSFLIAQIWSVMKNDKVFEDGEKFNPDRYLLSDRKTFNKTVLERTIPFSVGKRNCVGEGLARMELFLIFSALIQKYEFVPASKVDLTPVWGAVLTTNPYRCKLVPQAA >CRE19150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:347150:347457:1 gene:WBGene00083747 transcript:CRE19150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19150 MHPTPTPRFSNKQLTDLALASIKKGKKAKDTEKHRVYLLIKMFALALHPYLAAMETERSSTNPPVSRKRDSDGQLSTPSADKQQ >CRE19190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:723007:725857:1 gene:WBGene00083748 transcript:CRE19190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19190 MSSTGHFPGAPHNTEPVTTMATSSSQPIVSPSSASYTVPQQPPGSQSHQGEPSSVASQPAPSSVNPRSAPTDEPRAASSASNVPPAGDAPAADPGAEPGANPAADPGANPTAGQSGDPATTSTDTTSTTTTDTTATTTTGTATTGTATTTTTTSTGTTATAVMTGRITPPVSMETIFQEVIKRCNNCLFLVRKLRIKQDHITDLYLGNEDTHEFKHAAQLYKDFGVMMQEVMLIYDKLDHIARRLPQVLPPTDNLNVMRLLYTQEHTMCHTDIFGLIEKMIDSGNWNEGNYQIFFFLSELLRVPGGRKDNRYPDLFPLPEPRFHFHAVNGHMAFETAYNNMKKEIVIKSLGIYPKTLFRTSSSLIIEFLFGCGGGKVITDSDVVITIKFLVIERYGIVEYINMVAPNEGWDWVNNYGVPMLNPFTPSCYEVYRRLTRQANIHLLNCFGQHASRWTSTSLLQFVSLFGKFRDVFTARCRVCKKFLKNYLPPLIFDIRTPNNAAHEACR >CRE19199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:97293:97558:-1 gene:WBGene00083749 transcript:CRE19199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19199 MQFRVVVDRSQTIAYRSNRGRWNTMRLWAVQLHEDGCLWRFAVVILVMVLLLVIFFLPLAFHNLI >CRE19123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:176485:176955:1 gene:WBGene00083750 transcript:CRE19123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19123 MGLDDWNIEKLVDDTARRIAEGMVEAMEKAFRENPRFREQVLQLDRMIIAELREQVKDNVSEQKQEWVEKRAKAEQKRKQKLKQRKQQRKIRKKKTKRHRRRTWIIFREFVMIFHCQTVALELLGIKFESYEDANLSPIILEEAFVPRNKKKKKLN >CRE19133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig43:252627:253514:1 gene:WBGene00083751 transcript:CRE19133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19133 MYYGSLVTHTHNNGSRIADEDHLPAVSAFLQQLHDFKPTVTRTRPNIINDYYHHDSFKELLQDVPNIVPKITEINKLVEIRHCGRKGNGVVALQDIPPKTYLRTYYGEITTVKEKARKMKLGEMSLDKETKFYTF >CRE18286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig430:8485:9719:-1 gene:WBGene00083752 transcript:CRE18286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18286 MAETLANNPIALRRFITYEALGKVPVFEAYKNLCKRFGHGVMTYVDYEFWYYRALRGELNVNYDRSVDPRQPALLELPTEMLWSIFEKASPIERFIVRKVCSRLQTCIDTMYNKIDEIRFESRYDRVEIKYGNDVLVYRGDKDCSVFRYSLQPHQKPAVVKDVDHVEQAFRDLSILFRNPKLLLKSLYMFVKLERMPPFQQVFESLSYQLHVEKLEFYTWNGREETMILPYLKSGTLKEITIHMWDSDEPIRERMSRLGQIVQCREAKRIKLYVNYRDNFPIECFLNCRGLTLVHWSFINAVTIIRFIGIIQTSTVLESFLVKNNDSDELLKAIRGGGRPLWNGVETGRESCIFKIPIANSNKFWKLDLSGKMVHLKRQ >CRE21110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4312:175:750:1 gene:WBGene00083753 transcript:CRE21110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21110 MTKMECENAVDHHQVGNQTLISKGNGIFHSLPSVTKIEPWIKGGQCDEGTVYSLEVGEIATPDGEKVISPLGDTSGCQAPKGQCELTDALILWISDGITKFCKYSKVQTTEAYITKTKIAIPSLQMALEIKQNQNDTQIENCSLRMAVITNNGFMISIRDYRQSLSELIDSVEKKQRRRRSLTLKEDQRVY >CRE24377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4315:462:599:-1 gene:WBGene00083754 transcript:CRE24377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24377 MAPLLRNSISVLVYANTAVDVFSGGNCSFIINLCETSIFHAKKRD >CRE22172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig432:16995:18190:-1 gene:WBGene00083755 transcript:CRE22172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22172 VVSQLPDGCEFFKIQEIQKVTKLDRSSGQKVGIKISLPSDTCPRGDTEAPPLFGNVSSSLIITDGEGTYYKSQITETSDTWIFNSSIHQCLIDIHEDYFPYEHNLTSPFLPGETFFMRGKTAGYNQQTSIAFWKKGSLDFAIYIMINEDRNYENNIVISTWKNDVLKVRADGMNNLSNPYAYYTDFEIRVTSGETAAKISFNGTEYEYELYPDVPLTEIDTFTVNYNAYSYQTGTVDFLGWTGDCWYEPMLP >CRE22169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig432:2769:8740:1 gene:WBGene00083757 transcript:CRE22169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22169 MVTKTLFLEFCLIFQIFCYKPEISENVKMVVVYGAPYSMMGEISAPGSDNWADCLDTCWKQWNCVLVSQQPWSDTCKLYTIPGTPSVQKKVTSDKNKVGIKISLPSDTCPRESETEAPPLFGNVSSTLIITDGLGTYYKSEITDTPTDWDLTFYTHKCLTDIPEDYYPYEHKLTSPFLPGETFFMRGKTAGFNEQTSISFWNKEANDWAIYIMINEDRNNENNIVISTYKNGVLLERDDGMNNLQNPYGYYTDFEIRVTSDDTVAKISFDGTEYEYELYPDVPLTEIDHFTVNVNGGTYGYQTGTVDFLGWTGDCESNIVAIKPVPLISWDGPAIVSTQSQTSCLTYTSPSDSSRPHPSVYSKKWVKDCRIDLTRDL >CRE01522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4320:34:2268:-1 gene:WBGene00083758 transcript:CRE01522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01522 MFHDPLEYSNRISEAVLDSKLATMSTDDWSVFLFLRGLDGPGDAAAKAFLMQWAEQCERKKEKVTLALIHDEWLRFLQLKQQTKTVAASSPKQPLSVNKVEKKPVRNTSNHKTETKGHGSESQSKKEFTCFKCGEQGHCAPQCPQNSGKKKTVKRWVKKGAKKTQSVRVDNLDGNQSKSVKPSMWVNVGSQMLKFQLDTGSEITLISEKSWKAIGAPELEEVPHRIACANGTEMIVKGRVLVSFELKGVQYSEYAYVRQEFTNLIGMSWLAHSPEVREALDVVVSTVTTAVAEKDSNQLRMSLQTEFPKVFEDTLGLCTKEKAQVRTLPNVKPTFKKSRPVPYGSEKPVEAELKRLENMGVIERISHSDWASPTVVVRKKDSGKLKGKIFSQIDLKDAYLQIELDPEAQKLAVINTHLGLFKYKRMPFGLKPAPAIFQKVVDKLTNGLPGVASYLDDIIVSAETMHEHEHILKLLFARLEEYGLKVSLEKCAFAKSEIKFLGFIVNGNGRKPDPQKTEVIRGMESPKNQKQLASFLGAICFYSRFVPKLSDLRGPLDRLMKQDVDWKWTNIEQNAFDRLKNSVADATMLSHFKEDWKIVIAADASQYGIGGVLSHINPEGQEVPIAHFARSLTETEKRYSQIEKEGLALVYTVKKCHKFVFGRKFSLQTDHKPLLAIFGDNKDLPVHSQNRLVRWAITLLFVQLQHKLCVDG >CRE21009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig434:8959:11022:-1 gene:WBGene00083759 transcript:CRE21009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21009 MEGQREIRSFPIQKLPYLVTSRIIRLMESWEQENHFRFFSCGTASQETGIGRFVTLEEVSKWLKPTETNQLEIIVGLLEKFVSIIPQSFMEVHLNLPEMRTMSIQNVFFHPIIRNCEAVIIIGGKEISSEDLNFILDTASCLRHLRIEDTSTPPYGYFHEKIFELKTFECHTYDWMCIESLFTLKNHGKLSIGKNRFSYADLNRFLKFWVHCEVDMFDRYLHIDMEEDIAEDELFDGITRLNSNRFGLPAYLIKADSNHQQRRKLILCIWYQKRTLKLGAWLPDDRWPIEVEGDKTFQGEYDALIAVERRMELEQILKENRGEEILNEIRELNEQLEELQEESMFTITECIEF >CRE21011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig434:14436:16952:-1 gene:WBGene00083760 transcript:CRE21011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21011 MDEQRENHGGFPLQKLPWLVTAQIIRSMETWEQIRLCLTSNKMELITRSVRLTPVHYECHFLETGQLFVIDFSPLFVTYGYINYPPEKPQDTHIMKEELSKWMGPTDESDFVKIMRVFERVISIIPPNFISVGLYPNHMTITTLQNVFSHPVIRSCDKIFIYGKGITTSSEFLDYVLNTASQSAQLTLENTNPPYGYHHEKMFQFGKFVWMSSEWISIESLFALKNLDKITIGKNRFSYADLNRLLKYWIHSEIDMWKYLDIEMEEDIPEDELFDGIVRLKSMRFAITSYHIKSDSKQRKRGLPILTICCVGNKLRLSTWSPDESWRSPDGWIDSFRKEFDALRTVEKRRELEKRVVTRYGNVKLKIRELNEQLEQLQEEFNFTIAE >CRE21008 pep:known supercontig:C_remanei-15.0.1:Crem_Contig434:7139:8339:-1 gene:WBGene00083761 transcript:CRE21008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21008 MNYILDAYTSHDTASRQFMLEDIQLPYGYFHEKIFQFCDCSYYSADWITIETLLTMKNCYSLDIGENWLSYTDMNRFLKFWTQSEVDMFDDYFNINMEEDIPENELFNGITRLNSSRFRSPTYFVVANSTQRKRQLLIIWYEEKKLKFAAWSPEDNCQDVNGRDVFFQKELDALKDVERQKELKKKLEENNNEEEIMKEIRELNEKLLELEVRGKFSIIESS >CRE24853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig435:14921:15181:-1 gene:WBGene00083763 transcript:CRE24853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24853 MFTSLSAFRQNSFFRLPPSAFRQFFKIPPSVCRFPPKKCLLRFPPSAKIVSSAFRFPLSANFLKFRLLFAVFRPKNVYFAFRLPPK >CRE24850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig435:18907:19728:1 gene:WBGene00083764 transcript:CRE24850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24850 MESAVFECPEEGCTAVFTKYGNLERHLALGKHQLVPEKETLLDFAMERYAENIEGLREHSTPNTLKDALTTLPAGVLPFSNEKGWAIPSKKVYKKYNKDVVQFVMKKFEDSSKKKLKIYPKIIAKELREQKKDGTLQFAPDTWLNYKQISNLYHTFGRKSRELEEKKKKEKKTSKGNQSTGTQVPPTIPSSIRTPQNRASATRKPKTTSTGEESKTRSRRETTEKLVDLVLEELNQKEYDDSEEIYDEISEENQDFYHLIEEIEKEKKDIFDS >CRE20671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4353:3524:3976:1 gene:WBGene00083765 transcript:CRE20671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20671 MRRRTKVLIGSAVALVLIGGTAAIAGPIVYRDVIAKPADAVPTISAGPGTLGSTPTGRLSAADVDGAWSVGSGSEAGYRVNEVLNGTDVTVTGRTSEVTGSLTVQDLTLTKAELSVDVASIATDSQNRDDYFRSTALRTDRFPKATFVLTK >CRE24285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig436:1973:6885:1 gene:WBGene00083766 transcript:CRE24285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24285 MLLALTLLLLSIPSFGAPTCDLNSFCYNPNESTKVTLTRNGNELTLKVYDDKNAIGDVHLVQKDGKDIPISCDSNSTTCEAQLSIDQLEKNLPVSIKLWNDQTSDSFPLDSLVPPRQEGLTKDQRRQFSKAHAILMIFGWLLFVPTGFLFARLGRDLFKDETWFGAAVWFQVHRASNFMGIVCICTSMLCIFISQQWTWKGTGSGSKYWTEVHTDLGVISTVLAVAQPINSLFRCGPTHSRRVIFNWAHRIVGIIAYTLALTAIIIAAVQFKRIWNEPLLELVLVCLPIFICLMASIAFTALESERFRSKASFGPHALKAPTVFWAVGVFFCIAVALSLLVVNGYKNV >CRE12460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4362:1098:1535:1 gene:WBGene00083767 transcript:CRE12460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12460 MNPLNMTGLEIMQAFQKGLVPAPGIAKTMGMEEVGDVEYGRIVFMAIADERHSNPLGGVHGGFAATILDSVTGCATHTVLTAGEGYGTTDLAIKMCRPMPFNKKLIAEGKVINVGKNLVISEGYLRDEEGKLYAHATATNMIIRR >CRE29411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4369:1944:2474:1 gene:WBGene00083768 transcript:CRE29411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29411 MSHLNYETRLPLGQATIDHFMGLPAHPSKCQATYVWIDGTGEQLRAKTRTFDVKPKYVSEYPVWNYDGSSTGQAEGDNSDRYLRPVAVFPDPFSGGHNVLVMCDTLDNEMKPTGEHRGLFFSVRVCVTKKLLLCVSRTPTLLSSDDSAHSPFSHTCKPERQLKSIPKCRSRRVLCP >CRE23777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig437:17331:18431:-1 gene:WBGene00083769 transcript:CRE23777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23777 MRMNLLPSWTLKEAQVKRNNGIMAHAPTLTEDTASVNEPSSSKNAPGFNQLRNTLYLIRRGPQDRISTPLRSYSSPPIGSSFSLPRTSF >CRE23776 pep:known supercontig:C_remanei-15.0.1:Crem_Contig437:5346:12289:1 gene:WBGene00083770 transcript:CRE23776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23776 METKGCTIAQYRSYVPKIVHTPDYVFAVEIEDMTLIATTLSHYSLFKDGFNSASSVHNVPQSGQFLLKAPRNTIVQFGTRRFTGRHEEHETIQLNVEGKMPHFDHGETLVENLLKYCYERIKTDSITVTAKEVRLCASRSVNSTTKEPTSYCSIHY >CRE07978 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4375:3345:4349:1 gene:WBGene00083771 transcript:CRE07978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07978 MIDLALIWVGIIGLGVLIYVVMDGFDLGIGILFPFIPDRQERDVMMNTVAPVWDGNETWMVLGGAGLFAAFPLVYFTVLSALYLPIIFMVVALIFRGVAFEFRFKATRTKYLWDQAFIWGSILSSFFQGVILGAYIQGIQTTAGIYSGGVWDWFTPFSLFTGLGVVVMYATLGCGWLILKTEARLQDIMYTLMPKLLITLMIIFAAVSIYTPITHPEIAQRWFALPNLIYFSPVPILVVLFSGLILHACKQRHEIKPFVYTLALVFLAFTGFVISLWPNIIPPSVSIWQAAAPESSLKFTLVGAAILIPIIIAYTFLSYWVFRDKVRIGDEGYH >CRE23187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig438:2073:2617:1 gene:WBGene00083772 transcript:CRE23187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23187 MRAHSKVNDYVNEERPAGSTAEDGPPSSYHHHNNMPPPPPQRMPNYHPHPHSHHPYNPMGFQNHHEDAVISSAPQINRGNPDAAGAPATLSAAPELRNLRRETVKLVPAQLLRRPGDNRSAAVHQMAPPVQRRQETQQKQQAKNTDEAYNDFMKELDGLI >CRE29234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig439:10564:12754:1 gene:WBGene00083773 transcript:CRE29234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29234 MESKLAQNVTAMITSTGASGIAVADRDGVPLYTTGEISEPKAPLTTFGHSACPRRSVNYASIERGDEAQAQSLVTEFRSSINKRQKRTRDELDENYVEEPENGRPAGRKRRTGGGGQKNNTQYQPPGSQSNSTLATGRALLENKTAIDEYIQFMPRPTDEQPARDARKFGTMLEQLPAIKRSSGGFRKFISKIGDKSVLDLAGIVQRRCVVEADDHMLLRSLRHIYNSMPMSYRRDFEYQARMDFHASRMFVPHLSMPLSEILVTDPNRDMANVGSLLMADAKNMFPKGTKNKKNSYPIVTLHASDGSKTTIANKHGETVSIHFKK >CRE29237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig439:13740:15710:-1 gene:WBGene00083774 transcript:CRE29237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29237 MENERFKRKVLTSLGGIIVCMLLEMIYYTLPVDYQTLQTYTLKVSILIFTIELLPIAWRFFTTFGEMYRFWDFIQILVCLILTDYIWTVYYESTSECLLFVICDFYFVWYDRVLMDRKVHVQNIVYVTVEESRSKFAIECSICRMDYCSHDVGRIPRILPQCGHTVCHGCALNLLTHTNEIDCPFCRKYGFSIDVDTFQTNHAILEIIENEKKNNKK >CRE28769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:146036:146593:-1 gene:WBGene00083775 transcript:CRE28769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28769 MWSDITACALVNGTGILVYSLCDSCASTITIRNRKYRSKFCNLCEKRTFALKFPAHAQKFPKTLYGLFDKQKKNKTFLIEVKTTNDGKLVEEGESKWKKMLPCVLTGRGASVTVALAPCCRPSITISNEVLEYDFCVDCQKRTILAKFPKPIPRMSTNHQSTSTEQKK >CRE28719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:454012:455177:1 gene:WBGene00083776 transcript:CRE28719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28719 MDPAPIPFELLVLLYLSKIGIEQVYAFFITIGIILLFLLLCFPLYVYVNRVNRERDNEIFEYRFTNHFYKMIKKIFFFLFVILATLLYFLYSGVHSSLVTIASATPAFLSIIILHVIIQVYQFICVILAISKLFSHFFPSSEKFVLFVQKVMHRWIALIYLVFFVKEIASIVIYAKCFLKLCSDEESSNIKLKYSAMFIIFNIISLTSSLLYIPLLISSKKSKIPLRIQLSKPQRYILWQTLTTTMWKIATIPLGVLLYSYRFPIILITLVLILIDFIVIPFIIQLLYLSCNKRNVTVIFKTICCCSKKPSSVQPHYQSNGSSRDA >CRE28811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:548367:549035:-1 gene:WBGene00083777 transcript:CRE28811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28811 MAEKEVKMKIDPFDNNNEEVKSGKWSWREIHLQFILFTSPLPLFFIITGALKYYDCDFLLAIWMIVMGVLMELELVYISVYFQRLSVESKTESDLDDAIFGQYVGQRLKDPMLQKSLKLHFKIGFLSYFGIAKCYYIVLWSNYCSGFVFWPTLLISLFYGISLVVLVCFSVCKSKPGKHQNMIV >CRE28668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:160718:161166:1 gene:WBGene00083778 transcript:CRE28668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28668 MTHKYVQEHGGVGCLGRRKLDAWAEEEWGVEILRTPPYHCHWNPIEFLWSQTKQNIRNMGNRDDKIPIVESRTIRFLTEFKADAAKALFDKTKKDEDETRAMMMEKAAMLEDTDFSLLYETDEQGRLVNIRIDES >CRE28684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:258381:263736:1 gene:WBGene00083779 transcript:CRE28684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28684 MTDEIQWSEEEEDVLYDFLVEKCQNTRIRFDIDQLCKEFRRANETNKTPESVRTKVLEFRVEMLMSDEYETETKIRMMFGLKARIGTELLEELRKDAIVKLNGNKRIVFYQEKIRGGLKLDAYENENDPATIFRTDSQMLRLIERLSNSMEKTISIPSFITKYRESTGSKESWFFLTERYRIVKSRIFESSEFDLEKKVRLMYFTNTRIEENVLAELRKEAFVVLHKTGGYIRSYVARDGSLELMDKKAGNRPWSEEEKVDLTQFCLEKCQNVQFPFDVLEVAKEFKELKESDRYVSCIKSRIDELKINELTNLDVETRVKMMFALKIKIGDDLLQELCSNADVKLNSYRHIEKYTSHDGRLKLTNDRKAWSEKEESELLKYLVDNCHRVKLRYDMWNFCEDYKQSSGTHRTVSFLNNRMEQLRPKINDSTELDLETKAQLLFGLGAMIREDLLIEFRKNAKVEVDGHKKIKTYRSNDGKLKLPRVDDVIHKSDEDVLQNEDSIGRVTRRSVNQKRIVIKKEIKVETDGHTLPMSVESDTDEFPMGNAHVTPISIKQEVNHSQNPETSTLTMTSNNVSNVSENRGEGDARETVLNSFSRDVSERSSRINSEVSDHTYASPYPDLAFDPIQPVDDFNDFTHSALDDQYIREDEELSDDEKRRRRESADKKIEKNLEEIRMKSVLRREESVESDEEIDVETIGNSDSSDENPMEEEETPNSSNSISVMETPPIRESMKSGNSVVQSDTEENVVQTTSKEKTPDSSSTAGMEIPSGNLVPPIRKSMKNTRSVSVPSRYFIAQSGENLVQPAAINQTTSEQNTTGNGCTLAPEESGNQVITRGGKRKNNEEEEEPSKRTKMENSGDIQNTLPEASSPGNQSSSIESIDSRQILEWMNAFIRTLRSTTLIQFITEIDRAIRELTHQNIPSADVIQLLEAGLLLIKSGSKSTSSQEESMDLKDFLKNLSDSFFYLNSPGFDDFQKKIDGIIGGISGENKVVPMRKILRALQQILEFVSP >CRE28778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:274419:275275:-1 gene:WBGene00083780 transcript:CRE28778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28778 MSSSSPQNSPVSSPPTQWNNDDFKMARCIAEFSINSRAPVPFHAISGRIIRENPEINRKVSEIDEFVKTFANNSLAFFPRIHPWLYAKIFFVCDTPLSSDQIEIFSTNADVAVDSHNYIIYYRQKEGEAEFGDPKMKDYGKSDIQMKSENSTKNDSEDVETVKKAIQKSTEEKQKEQTPIIDLTNSSDSDSNKDEEEEGSKENKNTEKNITETPIKPVNNDSIGRNIRLKRRGIGNSQEIDASKENL >CRE28773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:192347:194233:-1 gene:WBGene00083781 transcript:CRE28773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28773 MSDLGSDPVPDDRIDEFVRQFEGGKSIKRVLIANNGLAAMKCLISIRQWLQNQFVTSDVVSFVCIATEDEMKSASHYLKLADEIIMAPAGSNSKNFANVDVIVNLALKSRVDAVYVGWGHASENPELCRRLRKENIIFIGPSEKSIVASGDKIISTIIAQSIGIPTVTWSGSDVKVDECVDFEHFHELRAQATIKTVREGLEAIEKYRIGVPMMIKASEGGGGKGIRKCERMEDFERFFKEVEMEVPNSPIFLMKCMEGARHVEIQIIGDKHGEVIALSSRDCTIQRRCQKVIEEAPASIVPDEIMEKMKRVSLD >CRE28746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:592255:592883:1 gene:WBGene00083782 transcript:CRE28746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28746 MECLDARPSEENGAESVIQEEYIIEDDDEDLIIMDEQNENQRNRVKEEDEEESTLDEPNISLTCLLKTLWNFVYTLDSPLLAKLRTNIEKEILLLKYTDKQISIKTLDMAMKAALYMMTKKPTHEIEGETWGLKEVLKMFRSITSKFARQSKQLEDLEKQVREEIRKSTVREKKVMMIQVRTAIETALKILVP >CRE28806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:466435:466986:-1 gene:WBGene00083783 transcript:CRE28806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28806 MPAFSSTTSSSYSSASSVSSSSPKSSSTQKRYSCSAYVKKYTEMLILGVNRTYALDKLHDINCPMSVFEKCDTERVLAKHVGNMYEYQYQVSLLHTKFETLRRRELRDAQKKFDKKGELAAHLAFKPEFVELHKKEMEERSQESINRLFEQAIGRIKETTVIPRVKPDYSKFKITKRNVKKTF >CRE28823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:621055:621522:-1 gene:WBGene00083784 transcript:CRE28823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28823 MYISSRNFSFRKCGMDGIQFLREAHKDIFGVEISSSSSSSFGGSSGGSSGSSSGGYGGSSSSHSSSSTPSPDKDTEWWHWALLAVILIAIIGSSISSSVGAYLAVQSSQKKKMEMQNQNGSAPKNSNEGAKESKSDEKNK >CRE28794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:388234:389730:-1 gene:WBGene00083785 transcript:CRE28794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28794 MKVCFLFFLICSSHAYLQIQNSTNQPQIKKKSNHQGRFLLKNINEARRILASGLLNNVKDLLGLDLPSLGPAGNMYRMKWSRHLEEMAEKNLAELDLTPESVLYGNKNIEGYRGFYWLYDISDVVGKFLEKHDIPDFLGIIDILKKFNTVIQALIFIIWGFSQFFNMSGKFPDITFNIEDNSGPLDVFFADRYEFGCAFNEYAICFVRDGQRKLLYKKGVPCTQCPTFCEFIENIDGTIDEGDMCVPPTTSKLAPAPMPEFEFDSSSQLNVLFVFLIMSVFLLKYR >CRE28799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:407484:409536:-1 gene:WBGene00083786 transcript:CRE28799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28799 MEVGLKISIKRNMGNTDAKGLFKFKSDTLKTFNGARRLLAGGSLRTIIDVANGIADDIGISEKIRLPAFGPAANMHKLRWSPQLEHVAFEYGKNQNLMPKDLFKTINFEGFSGFEWKGLLIELAKEVLSWIPIPQMQTVLEPVLNILDQFLTVLLLMVNYPSQIPFHPISKQLGASEAFFAHRYEIGCYTKLTYAVCFMEASRNNGYLYEPGIPCTHCSTYCEFFEDDSGMILEGDLCEAPLEDSNSTSEVVIKTESLIESATINYVNIFPISIILGCFYIFST >CRE28704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:382408:383422:1 gene:WBGene00083787 transcript:CRE28704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28704 MTSFVDNQSLTNYLIIDYDSETDFEDDDDYEVFMVNNISGVSTEKPRKVRKCMKRGFVCCFKTIKYTFLCCRCVIVWRCLWLFVILLIGMLVFVCFYYDDVRKVVQFIYIVIDTSIHLYKRLDDQN >CRE28687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:299511:301097:1 gene:WBGene00083788 transcript:CRE28687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28687 MSLQTSSIHRIQTAAPSDHSPFPPHLPYLFSPVIATQKSMSSEFPLFRLPLIVLNHGLKLMTPFEIISLSLCSKRCKTVCQSLRNQLKCKEKAVKFQLKFSKKREIQLEFNYYLNTLWILSIFQISGKDEIGLSRNDLFVTNWIPTEDDTPQEQIRENNSMVNQYLKVYISNDCDIFVLRKYIDHLAYIFNITLTDLELHFQDFTRDENEKIIDSYCGNRRDTNCVKSLKLIGESENTPEDDEVLYHILNRQEAECQLTLAIKPTSKFHFYGDLLRYSINQLIVRNSDWLTCGELECCKSFSVWMFNSKINEFNIEFMIKRWYSGWTPKWTLAMIEHIFINIDDCIIRIREGISAGLIAIRSEETIEEPDGLSHRIKYSIRRGNGTIGEFLVENNKYLYIKARGNTDISLSTFISMTKEMM >CRE28679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:235033:236241:1 gene:WBGene00083789 transcript:CRE28679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28679 MNKPPPRPSEWEPFPLTPEEILLETERHRLSKSSETQQNTAKNEEYRVIGSSKPVESGNFGRFDNSYLERNEIFDPKMPKIHQKPPTIRSTLPDFPPEFSDEIEDSDVSDMPKLNAIFDLDKEPESLPKNSKIAIDRYAEIETEGFGSSLKILRAIGDLLDMVYTDEFAGMKRKIDEAKERFRRQDKPISLDTISISLRSALLAIKNSAKPAEKSQKSSEDSKALFGILVMLWGISLSLQPIILEDFQKDVTDSMMAVVKSNEEKKVSIQALHTVLDLLLLTIIV >CRE28674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:191617:192168:1 gene:WBGene00083790 transcript:CRE28674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28674 MPAYSSTTSSSYSSGSSVSSSSPKSSSTQKRYSCSAYVKKYTEMLILVVNRSYALDKLHDINCPMSVFEKCDTERVLAKHVGNMYEYQYQVSLLHTKFETLRRRELRDAQKKFDKKGELAAHLAFKPEFVELHKKEMEERSQESINRLFEQAIGRTKETTVIPRVKPDYSKFKITKRNVKKTF >CRE28707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:399264:401014:1 gene:WBGene00083791 transcript:CRE28707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28707 MRQIFILSLISLTWLGIPTVDGNHFLKSGDVVTMIKSEFPLTKHDALTLDELNTLRRLIADGYIKDILKGLESFIIAAGKVIGFFKNAAREAWGAIKKIYQTFESIEGWGNLAVHTGNIIMDFASDVGGAISDLFGKRRRRNKDINTPLGHSGNSLDFRYNTTNIPKEETVFRMPRKTLGPAADMNILVWNRRLSQLASIKRNLFHDGQRDTIYEGKKYRMFKFGGYLEYIIGNFLGKVISEFFKWAKVGYYACYLILSGIDAPIFDKAAIEDSIHEALYANSMEVGCVVAIPDSYCIIGPVKDRSDNMFYEPGNPASKCHYGSNSSLCTPPPSYFFALRDKYEGRLRPKISKFEIVDGFNASDNSTVDEFSVEWPADDDTSFGFSPLFFVILFLISIICGLNN >CRE28770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:167731:167937:-1 gene:WBGene00083792 transcript:CRE28770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28770 MILIEMANQYRSAPILPKPTNSEALEWVKQKVGDDLRKYFNDGFYGDVTQEIQESRRRFEKECATWHQ >CRE28669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:163487:164994:1 gene:WBGene00083793 transcript:CRE28669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28669 MSKSHQKKSTDDSANKTAHNGREEEGGDHLSIKILIPSSAVCDIIGRSGETMRNLRKKNSCQIQISKDGDTYPGTTERICFVKGRLNHIVAVIESIQEKIRKKCPNQTGNDAFDLENTLRGDEIKIVMPNTSAGMVIGKSSNNLKLIKKRFGSKVEIYPKVGSVEAKTALERVVTVAHEESAALLKSIRRILKHVALDPHHSSQINKEDFKKAAKGIEKEKEKEKEEEKEKEQEKEKEKEKEQEEEEKRDSPIQEDDMERNLCLDHWRTCLTNCQENFHRTGEVVGCPAQLRGHPTTGHIQRWVNNVERQRAQEVRRERARDLERERARDARRAVEEAERRRNDEERRRAREAQRVADEAERRRNALGSELEY >CRE28804 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:456276:456655:-1 gene:WBGene00083794 transcript:CRE28804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28804 MKTFALLLATLGVAAVYSAPPGSSGVVAEKTAQKSVFIPFDSAKDLGPLNEKINCHFCCFSKLVLVSLCYQNYELISYRDWMLKTFILKKTMRVVCVVTGDNFEHCVSY >CRE28785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:293734:295978:-1 gene:WBGene00083795 transcript:CRE28785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28785 MSHLNYETRLPLGQATIDHFMGLPAHPSKCQATYVWIDGTGEQLRAKTRTFDVKPKYVSEYPVWNYDGSSTGQAEGDNSDRYLRPVAVFPDPFSGGHNVLVMCDTLDNEMKPTVTNHRQACAAIMKHVADQHPWFGMEQEYLIVDRDEHPLGWPNVLFSSLKDIEELHTKAVETGILHYVIDNSFANLDEKKCEPSVAKCVHDALNAAYQRHEVDKYSPHWQFSNSESSRLASRFETPTAHLLSFLQLTLPGAVSVYYGQEYGLKNAMSKDGELKQMGVMQWYPTGKDHHGFSKESDAPIFFPETDDKLGMDNYNSQFDISDSPLKIYRKLAKLRQRDEALIVGETVRDELINDDVILFSRYIKAENNTATGSAFIVALNFGEKEQKIDFNVAPASKLIPTNKDLAKTEISVVTANVTDYKVREQHNFVESQLVLPPKQAVLLKL >CRE28667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:157071:158147:1 gene:WBGene00083796 transcript:CRE28667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28667 MVSNTPPIVCVSLRSVPSPGARQVPPTVATPVSSLKSTPRRVTVRKPRDDKKHYKSRGTQVSPGRFDDLMKLKSTQTDSSSFTVTSIEVGDKKMTSTLGIQTSPETENVEIENAHDFFERQKKKINGDGKKEKSRKLASRLTLFERSKIVKEVNKFWERKEKVTAEAIRKWAKSSIQYRFGLAYFRVVLSGLGFCFKKLDRMSVIQDRPNIISARMRYLTRKGQLNDENAYFAAFDETWAHDGMVARMATL >CRE28692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:333696:333896:1 gene:WBGene00083797 transcript:CRE28692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28692 MPIRFTQEPITEPVMPPSPTTPPLPTNPIVPSQPASPSPPPLRRSSRNVHPPKRLCMDPKKKSYRR >CRE28720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:458906:459506:1 gene:WBGene00083798 transcript:CRE28720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28720 MAENCELCKENSCELCRENSCELCRKNVNDPKVVFNPGNKDFSLPIFFTIFPFAVYLFAIGLIKLESCPAQPAIPPIMIVLSMCITIELLVATAYTFSYPSFYNAFTGKVVVLSHDCEDGIHVCHKSDKCIEPYSIKEQFKFILKLHGISSFL >CRE28686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:297049:299023:1 gene:WBGene00083799 transcript:CRE28686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28686 description:Succinyl-CoA ligase subunit beta [Source:UniProtKB/TrEMBL;Acc:E3MK12] MFRAAGNLSKSMMRTQRRFLNLQEFQSKEILEKHGCSVQKFVVASNRKEAEEKWMSFGDHEYVVKAQILAGGRGKGKFINGTKGIGGVYITKEKTAALEAIDEMIGKRLVTKQTTEEGVRVDKVMIAEGVDIKRETYLAVLMDRESNGPVVVASPDGGMDIEAVAEKTPDRIFKTPIDIQMGMTDGQALKIAKDLQFEGNLLGAAATEIKRLYDLFIAVDATQVEINPLVETADGRVFCVDAKMNFDDSASYRQKEIFAYETFEEHDPREVDAHQFNLNYIGMDGNIACLVNGAGLAMATMDLIKLHGGEPANFLDVGGAVTEDAVFNAVRIITSDPRVKCVLINIFGGIVNCATIANGVVNAVNKIGLKVPMVVRLEGTNVDAAKLIMKKSGLTILTANNLDEAAAKAVSSLPK >CRE28822 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:615894:616250:-1 gene:WBGene00083800 transcript:CRE28822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grl-24 description:CRE-GRL-24 protein [Source:UniProtKB/TrEMBL;Acc:E3MKB0] MHLLYLFSLSLLLMLVQCQEEDNLDGEKCNDVILYDIIKKVRFLFETHSFSISFKASKTTVDPTEIRQTAMKTMEEVFPLSRSMGCICTDHKFQFPNFTNHRYCSVKVSTFRCHAIVF >CRE28685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:291780:293390:1 gene:WBGene00083801 transcript:CRE28685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28685 MITNHRQACAAIMKHVADQHPWFGMEQEYLIVDRDEHPLGWPKHGYPAPQGKYYCGVGADRAFGREVVETHYRACLHAGINIFGANAEVTPGQWEYQIGTCEGIDMGDQLWISRYILHRVAEMFGVCISLDPKPKVTMGDWNGAGCHTNFSTSEMRKPNGLTAIFEAMKGLEKTHLEAMKVYDPNGGQDNLRRLTGRHETSQADKFSWGVANRACSIRIPRQVADEAKGYLEDRRPSSNCDPYLVTAMIVKSVLLN >CRE28798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:401053:402496:-1 gene:WBGene00083802 transcript:CRE28798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28798 MLKLLILIAVFGIIESIGQEKNVTINHRGRFFMRNLNEARAILASGALRQLKILELGMIKLPPVGPAANMFRLKWSTELEDVAKKSIRLLPASNFYGNYKDGGYSGFYWKYDLVQAVKKLVEKKGVPGLSFGNIEDTLKKFSSALETLVFIVWAILTYPTQFPIGKKQDIGPSEALFAHRYEIGCHFDDFALCMMRAGVNNGSLYEEGVACTQCPTNCEFSENIDGSIEEGDLCIPPAAGSDFEAQMKESEEMQEFIDSSSLNFSPMLICALLVIVFILRK >CRE28665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:144073:144343:1 gene:WBGene00083803 transcript:CRE28665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28665 MSLQMMKAILFVSSLTCVNAAFPPCEAGRKCPPSGIWGEWATEGNGVCKLECGSCAELFQTRTCLSSEIPECICT >CRE28727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:538884:539114:1 gene:WBGene00083804 transcript:CRE28727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28727 MQFNFFHLLILAVLAVAFITPVHSAPYSEQELEALVGLQDKVSEHLASSNLSPAQKKKIEDMMAKKEAAVKNSFGK >CRE28671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:169313:170798:1 gene:WBGene00083805 transcript:CRE28671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28671 MNSGDVEMSEKKKNRPNPKKRLMIRMRKEKELYKRRNQSDGSDQSKNRTKKPTPSSSLLRNPADGPANKKARFEEGEKDGEILSIKMLIPSLAIGAIIGRNGQELNTLRKDHKCQIQISKDGDTYPGTTERICFVKGRLNHIVAVIESIQEKIRKKCPNQTGNDAFDLENTLRGDEIKIVMPYTSSRMVIGKSKANIKLIRKHFGCQIEIYPQDGSAEADTSLDRVVTVAHEESAALLRAVRRILKHVVSDPHHSSKINKEDFKKAARGKEKEKEQEKEKEKVHEDEMKLNLCFVDELLCFRNCKLHYQLTGEVSGCPTPIRGHPTIAQVQQWIIAVEEEKAREARIKE >CRE28700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:363136:366564:1 gene:WBGene00083806 transcript:CRE28700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28700 MSERKRTLDVDQEGSASKRPLNSDPIDYSNDYSPRSPSPIRSPSIASYSPCPSPSPPVSPTPIIRKIERRTFEVPEKFLDSVSKRIHEVQKETGCNVCVSTRITGWTRTVYLEGFQEDIELAIDRIDELVTSSVGFIHQVATRYLKFGDGMSPRSPSPPRKSLRSEYSPYKSPRDESDEDIEIIDNPRVSRELLIPLGLYGSFGSPMREQTVSICKRNGVNWNVPDAETSSKTEEKLVMRLYGVEESVEKAMKEIEEFMENYSEDEMLIHTTKVSRVIGKNGGTIQLISEKSGAVCHFDRESLDDSLESSKTLRITGTATQIEKAKEMVQDLIDSTRCFMEIPYRLYDDVVGDKKENITYISAVSGAECVAWSGDDERKTTIRIQGTEKQVEHAKSLLQDAIDKEHKKGGPLVVSELYILAIWAEMDRPVQFNTMNVPTEKVDQVIGVSGSYINLIREQSNACIEYDPRGPVKKFLISGTTVQVETAKALIKEVTDGPPQVSIPVWNRFEPRMTSGPALTLTPPPSPHSPLFYQNMPPQFSFQASPYYPGPSGPSNAPRIPYQYLPQPPSSLFAQYIPRPPFFPPR >CRE28771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:180405:180611:-1 gene:WBGene00083807 transcript:CRE28771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28771 MILIEMANQYRSAPILPKPTNSEALEWVKQKDGDDLRKYFNDGFYGDVTQEIQESRRRFEKECATWHQ >CRE28708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:405642:406829:1 gene:WBGene00083808 transcript:CRE28708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28708 MNQFERFEVARSVQDENELHNVQESDPVKMNPLNFRKKLLDQFNGARRILASGDISKLLEVLSAIEKFDILFRSNLFGPASDMKKLVWNRQLEQASWEYIQNNGVDLKKFGGTLHYKDYIGFHWMGDIWALMDLLLKAFPGDAMKKVMKQVKTWMELLEKLIILIWMGLCMPKTIPIPKGEEFSAAEALYGNRFEIGCYSNLIFSVCFVKKLPYQKRMFTAGAACSRCETNCEIIRNEEGEDEIGELCVPPSGFYTQQQEEMKALETSFSSSIPIILITFLVIFLALRK >CRE28732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:553094:553710:1 gene:WBGene00083809 transcript:CRE28732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28732 MYFWVKNCAFVNPPVKDSIDSGHSGYSSESGVSQESYNEEVDPKIKFYSTIILLLFLSVIPVYFITAGIVKHDECPAESKIPFWMVVIGSCFLFEVLFSGYIGFKDFKNDSVLRNLKNPETNSKSRPALAMILRKSINNWIHLELCRFVRRRELSQLLKLRNLA >CRE28701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:366789:369266:1 gene:WBGene00083810 transcript:CRE28701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28701 MSERKRTLDDGDKLTPAVKRALIEDSPSAAPISQESSTSTSLARPRTEEIKKSSTEVPERFLNSVSENIHELQMETECNVYISPDITGLTRTVYLQGFKSDIQYAKDRIEELVMSSIGFEPQVSRYEIYKKAHINMYSPRSPSPIRTSSSTQYSPCGAEEPEENEEEHISIEIPASETQCILITEKFGDTLRRLEKATDCAVYTHRERGVIQVTGNNKENVEKAQDKIIELITSSKTPSPEARVSRDMSVPSVFHTKIRGSKRQTFEDICKEHDVQWRLKSFEGSRNEMPIRLTGKEEQVEMVMKEVMELMSELRQEEIIVHSAIVSKVTGANGEMLKLIQEESSALCVFDSETLDDPAEMQKILRIGGTASQVSAAKKMVLDLTDTNARVFLNIPFAVYDAVMGENYKNIKHISEVSGADCSNAIATERKKVIRIEGKAAQVEHAKALLQKLIEAEEAKAKNVDTLVPLTSLILPPPPPPPELPPINP >CRE28795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:391592:394354:-1 gene:WBGene00083811 transcript:CRE28795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28795 MFPVVDGDMLHFRKKLLDTFNGARSMIAGGTLSPMVDLINLIKSDKKLFQFGPAANMHKLKWSRHLEQHAYLHMKEKGFNITNIFTAIDRDGLVGFIWSGTILKLAEEVIKLIPIKEIKYVLKPLLDIIDLLLTAVLLLYNYPGEKHITNTYDLGASNALFAHRYEIGCYGKMMYTVCMMENSTNGGQFYQRGMPCTNCTTGYCEFHEDENGYIEEGDLCEPPKESLSDYLEGFLMVYWIMISALEVEQPLKRNTYYGAAELLYGDRYEIGCHSNWVYSVCFLKKMEYQPWFFDVGVSCFGCQGQCEFWMSEKDGEYEEGDLCVPPKDFYTKQIAEKQQLIEATTNTSMQVSIMILFILVLFFNFRR >CRE28696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:348913:349616:1 gene:WBGene00083812 transcript:CRE28696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28696 MPRCSKAKMSSIQNLKKSREALALKKEKTKREQEDKMKAMQFQLDCVIRENAELRLMTQELRSQRDQLKRENETLRFADPVDLRHWKERCINAEKKFQLHLAKNERATTKQDLKSMENALAVERRAHQETKMALAYYRNQEKIRSSQSHPTTHARPVRMIRDPVNTPEEAQSSTFNSILMNMAARITPPDESNTDGSHEEKKPETLIAEIVVKEEDLCI >CRE28681 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:241991:244429:1 gene:WBGene00083813 transcript:CRE28681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28681 MSQITNPPKWLGTDKENVDLMNFFADRANQSNSLLNISKLCKEFHSERRSKFSEKSLNCRIRAFRLRIHELDDFSNETKVRMLFSMSAPVDSGFLIELKKDADVEYDDVNRITKYEKKGGLKLVRDASPIHVIHLIHTSPISLTLYKDRRNGTPQKSMASKFPLFLLPVIAWIQVLKLMTPFDIISLSQCSKRSKTICKSLRTQRKCKEKAVRFQLNFSTIRELQLEFNYYPNIQWVFPLLRRNEKSGVAVSRITDDVFVPNWMPTEEPAIISSENGTMAKKSLRLYTSKKFQWLNLKQFIHYLSDIFHVELTDLELHFQEYTRKENKKIIDLYCRGGNKKCVNRLNLVGKTVNTLEDDELISIILRRQETLKELKLDLKPSYLFLYLSDSCLFKIPDLLHIRKSEWMSCKRILSDCNSFAICMLDSIFTTKDLTKLMVDWSWGIDPKWSLAMIGCDFVDIDECFHHGELLGSDPKLVVQRSEETTVSSNGWTRRVKYSLERPNNVNGEFIVENNMFLYVRVGISMKLPLSAFITMTKTGDYSNLRTWRM >CRE28731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:549456:549907:1 gene:WBGene00083814 transcript:CRE28731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28731 MQNLEELHIVEAEDQDLEKNSTKVEKFPISTIIFTVIILFIAALFLFLGVTDYKTCPEDPRIYIWLNIVAILLFLERIISVTHVYTKVWFNNNCPEPTGMLVDKSVMKKWMKKHDQLNRRPLFPDLIWLVMVFL >CRE28803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:455472:455923:-1 gene:WBGene00083815 transcript:CRE28803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28803 MSLNAIDLEALHTPETRNEDFENLKQREYGFSFLYIFITFVAIQFASLFLILGLSDYKTCSADSRVRIWMMLVGALLLLERGIAIRRQIARTNFHNYKFQSLENEDWKHEMQRKKLKSRPVSQDFIWLLLVVL >CRE28706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:390045:390928:1 gene:WBGene00083816 transcript:CRE28706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28706 MVRRIFAAGDIGKIYDLIKKAGDLLGADLPDGLLGPAADMYQLKWSRRLEQVSYEYMETVKDKPSDPINSIHYQNYIGFFWIGNILNLLKDALDIIPDFGKVKDAIKSILEYVEVIVILVWMLITIPKKEIKEGDNFGPAEAIFAERYEIGCWSDPWFSVCFLDRIPFRKRPFKLGPACTLCSTHCEFWQQMDKTIEEGDLCVPPQPHQEKMLEVVTEAATSSAMMRNMGLVWMFSLILFLVYSIRK >CRE28656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:59509:60591:1 gene:WBGene00083817 transcript:CRE28656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28656 MNQSILSTSNTKLSNSIVEKIYEFWQQPENYKHVPVEIALELFNSNKIKMRELAWYLETGSNIPENFEEICKELVEKHFPQLIHEKEGMVYYNWYGFFQYCVYVQDDEDYNQQEEVHERKLKEYESQLTAMEMISAGTEMVQKIIENKRELMMTDPKTALKIVEPAQWIMYKRCNDIDRSKYQKEYIRLLSSNEEPREAHFLAFKEVMTALLKKQKQDLIEKENNKKTISKKPKTTKKSETPVDLSFLIPYLSNDAVKRVEENVGNNSSKKGKIKKQEHKNEVNEEEKEEEKVLTVRDFLKMKKESRKTSMDILKKQTNMLTAIESV >CRE28695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:345799:348084:1 gene:WBGene00083818 transcript:CRE28695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28695 MRVSKVKRVRRENLEKMRKKRAELRKNENSLEDGQEHISNLKRDRDLYKKSFDKVAMDNNDLKIENEKLKRSVEFWRDQHHYQVKLCQKRKEENDAAKESNLRFFREKEVWKQRFELASIEKRALEQEKIIARNKKQLMIPEEDAEQLKSHIMWLREQMNSEKVAHNRTRLQLHELRITRADHSEHDVPIVPERRLTPNEILMRMIEGLEVPVVKLFRYNEVILLSNASTSHLIVLTEFLLFFVYFNSIPGLSGKLRLTTNRLMRKYTKVPKRISKASNMQNLKLAREKRLMNKELEKEKGPMNSTTPSSAPRDPAVSLPPRDPRPYMVRVPIKTRGVVERAPAPAPAAPSETAPKGPLPPYNPRKRQLEIAAERQQQRVFDEQELRRKHSEEILVWKERFERSQAERKSLERRMYRQAQEFQERFDETVDNISWKERYAHLENFCRTCKGENINLKVELNGIKREMDKRDKEITELQLDLAYVRSLCTMPIPPRVKKPRKPRKPKLLPPPLSPSTINL >CRE28816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:598966:602776:-1 gene:WBGene00083819 transcript:CRE28816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28816 MYYYLDATGDLQGPYSTSAFDKWFLKYFPAGTLIYDKEGRPHLIDDIVNQKLKSKTKVMFCDESSILETTFDRTDLDVTIQLNTSSFDNDSTLNLDYTQLENGVDEVDCGVGSERDTEATYVLRMCNIYMDRRALLNRRRQHPDTYIPFEHSWSDWVPQPVQIHIAIRSMVHARNNIQNYEDIVVNGYLHFLNIYPHPCKLCNIDFDDSKEMLLHFISVIHIQRSYAKNRLFSYIDMHCIKKITNEIELSYETRNFHYLAKDVFELRQQPSFFDDVDIPTAFESLKSTVAVLQTIPLLTPTSLKNSIDTCEYVEAALFFRQFEDQYNKTPTSLRSRRFGPTGKTRCNYCNVEFDATFLDSFPKHIFSEEHIHNALYFGVSRRDMEYWLSFLVPLLTGNCKEIKHKEPSKLSLQESDFPLCYKPVDVVKDDFSYSGDDLISVLNYVRDKIRISFPVLEDSEYRTTCRWCHTDICTRNGVLRHVLYDKCHLDRLTSISNDDLRRLFRSLGITITMPLFHRSKSTSSRMSPLSHTEKQFNLQRLRNICCRTMERSGLRHVAIRSFPFGLVNHCDLCDVDIDRVDGLIFHFCNEEHTDTLMNNSRIASSDINYWLKIFNVGGRSAKKC >CRE28796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:395187:396244:-1 gene:WBGene00083820 transcript:CRE28796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28796 MKTLRKVSVVSLLFYVFMLAGHCFGEEPKRYPATSAVVTNIAYFHLLDCFATANHTNPLNSLLRLSLDESLFVPAKKRASECPKDRKTTIAHNLTETFFVSEITSNQLNAKARERYRQDADNFQKVDEMARIMHAAYYTDQFDLVFDKETVGEKADVGQALDGTFSFGCDMSVCKEDGKKKGVIVCHFNIILFIWSTDGLMAIMRPVIMSALSKMR >CRE28777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:271558:273211:-1 gene:WBGene00083821 transcript:CRE28777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28777 MTKNELWSERDESELVEFCVEKCRNVTFPFNIRQLCEEFKETSGTNRTVECLQTRISLTRAKIHKRTNIDMETKIKLIYGLKTPIDKKFLKEIFKNADVILNKRNQIVKYQERRQGGLKLNVYHNTHNPETSLKRDEEMLKLLEELSVTREFPISDVSFVNKFKESTGSSEEINSLKYRYRLVKNRIFECSELDMDTRINMMYISSTRVSDNVHKEILKWNVNVEIDSDGRIKMKYDNSTKKDSEDVKLITQTLKELKKEITEDSKSIQILRIPKAEDVEELLNDDNSMSLQKFLKILLSIIGPLNSPKLIKLQSEIEKAVSESDHNIPLEIISNSLDNILLFATKFATNSIANEHSTCLNKFLTIVETATFTMSHVDFKKTLRAHYEEASNKRIPINKIRYAIDYVLEMVLR >CRE28812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:550259:551461:-1 gene:WBGene00083822 transcript:CRE28812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28812 MSEQTELFLNSTDDSFSLIAPIQMAQIYVFVFATFPGCLVLLIVIFPFYVYVNRMNRERDEKTLVFPFTNHFYKMVKKTYSFIFSFFAALFVLYQIGFLFGVFFLYIAILILFLLNIMTQVFHLLISVLATFKFILYFFPTTEKWVMSAQRTLHKRIRWVYILYGLKESATVYSLFGCISGKCTEEEKWNIEASYTISFYVANCILLCCSLLYLPIMISIRNFSNLPMVQQNQPQKYIYWQTMITVLFKSVSLPIALVFFAEHDMVFILFLVVTDIVMTPLIIQVSYLSCNKRNVDTTLGVFCCRCSKGGSSVEPDSNFASV >CRE28697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig44:349807:351535:1 gene:WBGene00083823 transcript:CRE28697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28697 MPPITKRVKAARHNGCGDCPSANRVKELSSEVAALKTEIKALKSENEELKSDKRQQQELNNRFPELLAVWRTRYEEAMDKHLNKVLMRGGDVFIPMGVHLREMKTIETWKRKHSEEQTLRKRLEGFIEKFKKNNNELRRDYETQEKALKKAQKMVEMLMPDKWKASDASGEKLDDDIQDTEDFEEELIAKREDTPSTTVEGLELIPKREDTPSTTMEGLKMIPKREDTPSLIAPNDLEMIPKRKEQAIENGINVKEEEPEEWYQPAVKMEVEDFDFTQNPAEASTSSSSNLNSHFP >CRE02700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig441:10318:15461:-1 gene:WBGene00083824 transcript:CRE02700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02700 MFLTPPTIFICVGSLTLSSWLSRPLFLRSGWTFSQDRLELDHYKLVVVDFVLVIGESSSSVGSPILNLSWVTISSSCWLCVVIGESLRSVGYAFFEARQYSIWFFRKRYIFRSWVTISSCWLCVVIGESLRSVGYAFFEARQYSIWFFRKRYIFRSWVTISSSCWLCVVIGESLRSVGYAFFEARQYSIWFFRKRYIFRSWVTISSSCWLCVVIGESLRSVGYAFFEARQYSIWFFRKRYIFRSWVTISSSCWLCVVIGESLRSVGYAFFEARQYSIWFFRKRYIFRLDHYKLVVVDFVLVIGESSSSVGSPILNLVHSKTIHFQSWVTIIFIGPHSMENRNDSGERLATFCEVNRVFHMNSQFIKPTHRRWTYISPDKQHRHELDHILANGKYITDVSVVPSFTNGSDHRMLRANVHINAKQAKFEQVKRRKPPRRVLDPTAALLATENLDSCEDQDIDKEYDTLVHVLKKAQDAAVTIPTNHSRNRLKDSTRLLLSKRRFTDRSDPNFKTLSKECRQAVKRDHENFAKDRLLNAANQRKSLRKVARDINEYQSYIPCLLSGETGEKLTSREKMEIEVRRFYSNLFTTKQSKATTIIPQQTKALPPFLPEEIQHSLNSFQNGKAAGEDKLSADFLKSCHSSVHKLLANKFSRYLQEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPVLYKVFTKCILNRIRKSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGREYQIPITMVFIDFKKAFDTIEPTALWESLKTQGIDSGYIKLLKECYNDCSTTITPAFPTKVVEHFLGKAT >CRE02699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig441:4427:6990:-1 gene:WBGene00083825 transcript:CRE02699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02699 MSRRGEANAKAKREGINRREERGMVECKQRVTRGQWIEENQLTIVWRDSWVTISSSCWLCVVIGESLRSVGYAFFEARQYSIWFTRKRYIFRSWVTISSSCWLCVVIGESLRSVGYAFFEARQYSIWFFRKRYIFRVGSL >CRE02698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig441:623:956:-1 gene:WBGene00083826 transcript:CRE02698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02698 MLESMNEWTEAIDKGHQVDLIYFDIAKAFDRVPHCQLHDKFQELKLNKNLVSWIAKNNDLWGSAGLRDLASAVWYLCLLFRVIFHKMLAVSN >CRE21111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4432:432:662:-1 gene:WBGene00083827 transcript:CRE21111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21111 AFSHSDKYLSTKSGYKWAPNNPDGVTVNGTTASCMILWSQKNETSVRGLVDDAPCDHKKKNYAAVRGGFCGRVAGI >CRE26843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig445:6221:7828:-1 gene:WBGene00083828 transcript:CRE26843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26843 MSDSNPPAEPNRNRKRKSDLSSDTPSSSSRPPQPVPISSGSSSQSSSSITVENVILTLSTPHEKKDLNANNIAEKVLTFTEEYGEKVAASIGKAWLLVRMEMNHRIGNPDTCEKTWEMAQMMYVRLFNWFKYYEDSEDKEEILKLHVDLWNKWFDIDYEQLWNDSDFLKEVEKKWQELEMLNSNPSAEPNRNRKRKSDSSSSSGPPQLSPMSIRSSTESSSSLTVEDIIGTLRKPLEKRILNEDAIAEKVKEFTEEYGLKVAASIGQNWGIVRDEMNPRSAVQYKDMKTEKQIMYLRLFNWFHYYEDSDEKEEVLNLHLELNERWLYEQEWYDHLTVYGVWRKLWKPLGIRTIDVEDVTTRVNRIIETYGIPTKRIAILIGKSYATFTHQMAHPSGKRYEEMTPGLQEFYVRLLNWLEFYKEDWQRVSVLQMHKKLWREWSQKEEEKQKERKEWIAKCIRYNFHDAFRYDLSLD >CRE11658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4456:1259:2837:1 gene:WBGene00083829 transcript:CRE11658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11658 MSKTFAEFSLDESLQKALESLGFTTPTPVQELSIPAALEGKDLLVSSQTGSGKTAAFLLPTLNALAGQDTFVPFKDRMKAVTQPNILVISPTRELAQQVCQDAIAFVRHMKGVRVAAIMGGMPFGKQIQQLKGAQVVVATPGRLLDLVNRRQIKLDLVDALIVDEADRMLDLGFSEDLEAISDLALNRKQTLMFSATFAPRIINLAERMMNEPERIAIETGHSTNTDITQTLHWTDGFEHKKKLLTHWLNEEDVDQAVVFASTQEDTDMLAEELAEAGLSVVALHGAMPQTVRNRRLRSIREGRAKILVATDVAARGLDVPTISHVINFGLPMKNEDYVHRIGRTGRAGRTGKAITLATYRERGKIRALEDYLEARLSVSEIEGLEPSPPPARGSRDGGGRGRSNGGGRREGGRGGFGGGRRFEGESNFKRREGGDDRPRRSFDDKPRGDRPSYGDDRPKRDFGDRPPRREGGFGDRPQRSFGGEDRPKRDFGDRPPRREGGFGDRPQRSFGGEDRPKRDFNSDRP >CRE11657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4456:539:601:1 gene:WBGene00083830 transcript:CRE11657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11657 MKMLKPLMQAVVPAWGEAAK >CRE10798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4457:1410:2008:1 gene:WBGene00083831 transcript:CRE10798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10798 description:Innexin [Source:UniProtKB/TrEMBL;Acc:E3NWM8] MVLAAVLSMLRYVAGSDDRDFVDRLHSYFTCNLLIGLSVLVSFKQFGGKPVECLVPDIFSSSWEQYAENYCWASDTYYVPTNEPVAGLHIDEKRQRKISYYQWVPFFLLLEAACCQLPSSLWKYLAGHSGKFCNVLLQKRCVKINFQRNSNINVCFKNSYFCVKTFLWGQHKVSKNRSVLQSWN >CRE31562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig447:12814:13912:1 gene:WBGene00083832 transcript:CRE31562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31562 MIESVFDVVFLPLADFAVQFVIPGVLLALLHVGFIREPEIDMGDLTKHNRFGRTPRDQTRILITTVTISFLVVQVPTAFITTLSLTINHFQNNNALMVLALVTGHLQPLLSITTMAANTAALLTAYYVIVKDDDEDVGDSRTSISDNECQNELLLRAQQSTSRRGTRQVWNSIRRISRTYLSVSHSFDTGSLRSFSRKGSAIGDDIL >CRE27581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:112629:113366:1 gene:WBGene00083833 transcript:CRE27581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27581 MIEFMRRYTARMQETPSHLIGSIPGRNPFLCTSDDKGIIEKVSSMVVEKTCLKSREKLLNVVDILKKNVEPSRLTTLRNMAYMPSNILKVALYLPKPERKTYTGRLWILHRLYQIVRMDSQYITQRETVDYLVQLLEIHGWFNPKKLRQRPEKEIPQRVSRRCRR >CRE27630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:627141:628247:1 gene:WBGene00083834 transcript:CRE27630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27630 MILWKTIKSAVVAAFNRFNTCSSDDQDQVEYCQAEDDQFEFVRQLGEGAFGTVVLVKNTLNPNLVYAMKEISKKASWDYVKNEWAIHCKLTKLSHNNIIAYIKMRKTPESYKMFMEYATVGDLWVKIPQGSPLPPVEAQSFFKDLISGLNFMHSHGIVHRDIKPGNLLITVKEYREVLKITDFGWSTHYLKNKSEILLTVCGGSHPYIAPECFNKDHRGPPIDIWAAGIVLINMLTSYSPWSAAKMKNRQYKRWLKRERGIWNSLDELTIGFIRTIVESDSCKRATISEIEASDWYKQNFNTPAKAVIMVAPKNLVSAHH >CRE27632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:630252:630617:1 gene:WBGene00083835 transcript:CRE27632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27632 MSNTIKLKVLGKGKFGEVVLMRNKTNIDEVYALKQKPFINDFLDRETLFPILVYQLTILVKEKKLCSPDPLVLTSTWLLNVLRKNTEDLQLTFGRLVWFFCLCLLVNALGVLRKSEFIKIT >CRE27636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:668094:668923:1 gene:WBGene00083836 transcript:CRE27636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27636 MYIMYKEEILHHFRQPYNYFILLYLLAILLIVIFVTMAHYFSWYEIWLKDLEKLRKRAWWCLRSQVNKFRNRNVPALQEFVACNELFRILDSFLCLYWLFQTGVPFQINYPYF >CRE27607 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:455120:455627:1 gene:WBGene00083837 transcript:CRE27607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27607 MAEKSPIDVLENHLDAVRNAMSIRNNTSLYQLVNNGTDLDSFFALSSANVKSLRFNHKIHKAVNSVKSVVSQIEGTVTFTYSHSEGTNSYLTKVFLQKNSKSPTGWKLVKAKICTDAECSKVPS >CRE27706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:661846:662464:-1 gene:WBGene00083838 transcript:CRE27706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27706 MACLTEWINSSISYLCCRSGVKRATSNETPVVAQNGAANGSGNQTQNRTQNGIQNEVSAGTQTITENAAAVQSGDTGEASAAPASSSLNGELPDQKSNGEPGKPAQAGTSTKKVKAPKPKATKRFRVMPVQVSLDIVEPAPSTEPPVQKRLLCFY >CRE27678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:427518:429376:-1 gene:WBGene00083839 transcript:CRE27678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27678 MFELLFFLLFFLSSQCREQGPPPIDSYTFQSNVYYYDIYINESTISLPDLKPEYRILSAVYISGDLQVTLRELTSFFERFDMFLYGIRVNNTNLETLSFLKPYSVNSLDNTGKMEHKIIVKTHFAVNSIEITNNRNLKKIGIDFVYCYLCYDNIVITNNSNLNLKTECDGIIERYSTYRTISDNLVDCGCTIIGDFNKYVAKLAPSCWMLFGNVTINNQSELLILKEKMANVTRINGGLSVINTSFVDLSFLSSVEIIELNPLKSKYLASVNIQNNRNLSSLGFNAKRDGLYLTIRNNPKLCVTPQELDNLFYGISLDSDLDIDICYNNKTPSYWCDVSKFAGLKDLPDGCSDMTGDLVIDQDFDYASAYKLYGLRNIYGSLTITNSSIRKTSMFPNLHNIRSVKDDRIPLHVYNNSNLSDLFKMSQLRGMESGLAATIEDNPNLETWQLTCVVMKTRKSPVVKNNLNNCGEQTSTVVKRYDKTPLNVDVYHGLPSYPDVSIGGDSHEDPGKLNNPWDLNGTDSTGVDYVATTENNSFALKTVYLICLIIFVYLYHDS >CRE27574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:15909:16373:1 gene:WBGene00083840 transcript:CRE27574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27574 MAYNPYNNSYPYPQPMGYAPPPMGYAPPPIVPVMAPVPVPVATPYMAPPMYGAPPVVVIEEPYHHHHWNPFHHHHHHC >CRE27689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:524088:524739:-1 gene:WBGene00083841 transcript:CRE27689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27689 MNVNNMDLPVEEREAVVNGGAEAQGNPQLEEEYSRVDREHKSLKIATKQEMEDFDNGGYMPPNRKIKEHPFVVKTAKTMMEYKMKGMELEMIAFNSIRAMMAKIREISKKERELAAVKEKMEQQLAAVNVDIEKCQEVMDQTWGKD >CRE27715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:692491:693185:-1 gene:WBGene00083842 transcript:CRE27715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27715 MAFFWKLLLVSAVLLALSLNKVGASYAHSDYKLREVIEPVKELILVNNSVSANKDLKSLFCYIENSYPEFTIHGQIYCNKSPIDGVRPFIESDLRPEGILAIDTTSHGGLYRLTASVEYEKQTKTWLVIRHQCPIESIPPMKQCATPYYWTEISIDLDRVIPSLSYNFDLGHMETYTRARCLI >CRE27679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:429903:431738:-1 gene:WBGene00083843 transcript:CRE27679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27679 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3MKH0] MILLSDSYSIVVGIFVLAIVHFTQAFGVIQFIVTSPEAIHLEVTACVQFECSSHDELSEIREIPAGGIPFHFHTGDYKGSARNRLDLHFRVVDPQTQEEIAMSSHNPELDTEWNKIPIIIETSRGFKIVAQLRNKCQKDYYGKLCDRLCLISPKSHWMCDKDGNRICEDGWGSLDCSRPQCDSGCNQRGICVRPNECRCVRGFHGNQCETCIPSQGCVNGNCINNIPNTCECRKGFHGRFCELGSLLRVLKILIVSFSDDSAVCELQRPCENGGRCVNAPYSALGYTCDCSHDYTGSRCQTALSSMHCSRKDICKNNGVCETVGTKTIRCNCRDGYTGTFCEIKMLMDCFTAKCPANAECHMNGNVPVCVEVGIKPEITLPERAERVFSVDEALMKEKMHIVQETQNSKTTVETICGLLLNQVRHNNTCYFSVILLSIILIYFIYKYRENILTIIQTYRNLTHLDPLLVAYSSAQNRVQIGDQPQPEMSSDSSDNEDDHVSSSCEPSQGSSKRPCTPPPSYLSLDLPGTSYV >CRE27690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:526166:527756:-1 gene:WBGene00083844 transcript:CRE27690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27690 MSVRDYSPELGLHDDDFVVEGSNVEDENVEENGEEEEEKEEEEEEVPAKKPKLSADRKIAASSNGANQDQAPPSARTRAASTRPPKQQTAPRRRQARSGRSTNMQSAPQPGARSFDPMHHQTVKRVSSLPPVRASRQDSIGPKCRRRSVSPTKSEVTDERLCFLREYMALKTLTKKEMEEFDNGGLMPPHRKICTHPVVVGAAEAKKEYATTGKKAEILAFKNVLRMMAELRLTSKKQTLLKALKDEKEKELAALKVDEEINEEAMETAWRQF >CRE27717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:697756:698369:-1 gene:WBGene00083845 transcript:CRE27717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27717 MKAGSKVLLGLSILFFIVGLSCFGIGLYSSLPNVKFDLLFDLEDNLLVHSTIAENRTDFQKALMPLREFAREQVFKLRNKTGSSMFLLISMFSCFLATLIFIAIMKLCFVMENSKSTGITKYRKDNVQINEGNLVAELVRKEIDKYQIVAESTIETDGEADDAVLVGYKQSSRVQQVQRGGTEAGTFF >CRE27694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:546345:549987:-1 gene:WBGene00083846 transcript:CRE27694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27694 MLLHHFITMVILPIVILMLYIPVGCTLSQNEQFDSFSSSGNRTEFQIRQQEKNSQIASAVESDNLSEKELFDLFSNLENNGPDSYNFSMNSYFEANRLIEELSLTVPTERWLQIVKMIENRDLDGLNQLRNSIKKASSTTLSLDPDASNSKKEFQGTRGTKFSTASTTMIEKTKEGRHQITSDVEQTSIINFSTTPLFFKVVAMTIIITFIIVLLILFVLAFKFMSSYPAVRRDVKPTLVGSIKVATVDLKNPRILADREFTTLIFHSLLVNDVVGRFYGKRMRTVFIGDPDDDVYLFQINGQSFLHAVANNQHIFAEIMEQSARGQMAALENILAHVNHQ >CRE27612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:481088:482402:1 gene:WBGene00083847 transcript:CRE27612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27612 MRHFIPVLIVIALQHPANSSLVQNGQIPSLMNFGNGTKNQDIHPKVISTNTVPVIIEAASSDENQKKLDLIQNPHPTTVMSSSAEKGQSGRRRKLKKAKKNRQRENKKPVMYGSEAFSEEELGDIFNNFDQSGLDSYNYSMDTLFETDKFVEELELDLTKKERFRIIKMIEELDLDGLKQLRDSARKTTTSTHAPVSTTPILTTLIDQKETIEKAIEDLSEKSREIQFETVPSTLVEKTSPITTEEPVDVEKSSFPFNSIIWTNINIICALSLVAFIAIIVLFAVAFKLMRKMKQNEAEAN >CRE27698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:590666:590872:-1 gene:WBGene00083848 transcript:CRE27698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27698 MAFEMLRKVPDDHSADIWNLEVFLYDMLVGPLPLIGNLQQEINDKIKREAIYYPQKLTAYCKAVIRSF >CRE27714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:687997:688605:-1 gene:WBGene00083849 transcript:CRE27714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27714 MNRYIKEHSVADLVTDYRANPDEGCYRTQEDEDDDDFEKVLEAKVDKSPGKQVEKTADSDDDLSGWSTEITNIEQLPCYFLKKNSMRRARMSGNMLFTSIRKKKSLMMLWKKLNEVVSARRKRTTDCNQHVANLQKPLEVSDENNLGFGIYVEISFCIISDLFVLNANISDFMEYETFMNTLTTA >CRE27621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:536004:537426:1 gene:WBGene00083850 transcript:CRE27621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27621 MSNTSSALCDQDQQTSQQLSSQVAPEQPTEPSDFINQVEQLPDRSNSTLSRAENAERLRELDANIQFLQRRLIRNRENLEVTRVLEQELHRVSNLFEQITEEHRQQVEHDPVNEEVLEFPLPNDVEEDESERHDLRSEHGYQSNYERFGEMVPSEFSVRNRAMRAARAAFRQLTLKMDSVGQSPISSVDSSLSDLSKPEESEFRSNCTQTEAGRNARLFFDLDGRDYFEAYERHVQQMSVERHGNTIVYRHSDGTQSIRHIPPPDSD >CRE27625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:588792:589984:1 gene:WBGene00083851 transcript:CRE27625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27625 MTDYLSDVFPDSGLKTMFFIMTIVEVVILSLGLIVIFIIISNRAAFGSFMHSYIIFCYFTNAIVYGNSFITTRIPLQTAGNGTIAEYFPRNCDNGGSVCDLLIVSHAMHYNFAYAQYFFHFLVSFYRYMLIYHPAIKALKWNTWKLFPQKFSLLFFIILLLVPLSISNFLILTSQSYYVHHQEEDYFTLETSAVRTIKTDAEITYRNYTLLSESLKNLYLPVKCFSSYNYYRYFSEFYDIQEIKESKFLHLWIVFIAIIAVDFFLTILTVSNMIIAVYNLEKQYKGILFFIPYANDVLIFHQPFLFVVSSMCQKLSFCKTRFKIHKLGKHLNTRIHQRDITKF >CRE27684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:457509:458407:-1 gene:WBGene00083852 transcript:CRE27684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27684 MSVAIQMDEERDNWLNFVAKGNKMNRMEICVIYIDLLDEKDEKLHYIDKDSMMMTGNRRFSLRQRMFVGSIESETGLQKGFIYIVQSKFNHSNQGIDVNLPLCCDIEKINALEIIAMAKSHMLTNSQRTIVRDYMLPCGSDQHTRTQVFIQKLSHLEEENAILGIGRQAINSMRNVVASIFSW >CRE27591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:243132:244655:1 gene:WBGene00083853 transcript:CRE27591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27591 MDYNEIWPYTLQSTILLILFIVWMRKPIQKKSYNGAYSVKKKRYVKDRNYQPVANGGNEIV >CRE27695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:554163:555052:-1 gene:WBGene00083854 transcript:CRE27695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27695 MYNHSPTGQNQGSGLPPQNTQPNHSSSSSNIPVEGVLSTMLDTQKSTLAHLSHVNQGREPTTIAIKMSDLEWWLHNASTYGHSGYFAGMFDYNRPDPHTTDFAILRREKLDLQKINQDLHYGINQWKKYNKTEGDVLKREYDNKLVVFNAQKEEIAQKHQIALKKYYELVEVEKKIANMTDAVNSFKGKVKMEKEDPKYGANNAGNSNA >CRE27588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:230924:233272:1 gene:WBGene00083855 transcript:CRE27588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27588 MSEENYRSSITSRPTFNRTVTSSTQNFGSPGSGNRLIKIITETSSSTMTGGPSSFGQSAASTIRDGREREKKEIMELNDRLASYIEKVRFLEAQNRKLEADVKMLHGRSGKSTVSVKIMYESEITEAHKVVKESSVSKEQSEKEITKLQEQVKEYRDKLEEAQNGRTEDRLKIDELLVTYSELEAEINLLKRRIALLEEEIAHLRKENAWLQAELQRARVQLDQETLLRIDNQNRVKTLLEEIDFMKRGFDSELKDLQAMAVRDTTSENREFFKNELANAIRDIRAEYEKMMNGNKNEMESWYQMRVQEINTQSNRQNAENNYHKDEVKRVKSQVTDLRGKVSDLESRILQNNKRKEDLTNLADDEGRAYEAALSDKDAHIRKLREDCQSLMVELQMLLDTKQTLDGEIKVYRAIIEGVDNPGLAQLVEKVVRTTAINEVADTETMRVVKGEHSNRTSYQRSAKGSIIIKESSPEGKYVVIENTNRNKEEPLGDWKLKRKIDGKREIIFTFPSDYVLQASQSVKIFARGHGTANPPESLVFEGDDTFGVGSNVLTILYNSNGEKRATEYQRQSQQTTTTTA >CRE27608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:465311:466851:1 gene:WBGene00083856 transcript:CRE27608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27608 MLTLAELKLIRHKTAQLDEKIQQMERRRANMIITSRHLVDAFRALAGEYAINCDVVALTGKPVNDPKVANPVICNQGDRRLMENENDVVTTVLEYRAFLANVDDPNPMTVSERWNEENGQETSDHLQVFAYQPLPDSPVDTARQSFFCLSSFCSKIIICVVLFKVSSIDSNFLKALMSMLNCPMIGNKFF >CRE27718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:699400:699770:-1 gene:WBGene00083857 transcript:CRE27718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27718 MLLEMMRKVDQSSGYVYACETTSIPVETTTKAVYTRTAADVPSTTTTQANEATTDAYTTTTQAETTTIVEATTTAADVPSTAQDYENYTTTTTAVDVPLTTTPLI >CRE27707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:665211:666664:-1 gene:WBGene00083858 transcript:CRE27707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27707 MSFTLIAPFLSIIFLYCTKEDGNFIEEDLGKKNRSAMNDYFACLLCFRKKADSQPPQEIIQRNEEINQNAEPINEGPIIEVGNASESIEEEETSTGEVEREEIRRALERGATVTRVEKICIIPDPPRSRRSTMMSADGQTAHD >CRE27713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:687349:687618:-1 gene:WBGene00083859 transcript:CRE27713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27713 MRERVCDRRSSLDDERHCARDLLLMSHMQAIVDYPDVDTQILFNRMLSDRSFPYQLKQSKKASLTRPPENTREHVIAALKAMLNGDWKE >CRE27702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:646747:647275:-1 gene:WBGene00083860 transcript:CRE27702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27702 MFSLRFFVFVFFLTGNLLGFVYQPDTKSTITNEIISNNLGNEQEVEGVIIRSLNELLIAVHSRNYDKIKNLLHADFRYKGCDSTENRETYTRAFALMPKGMILSFKLASFGADNTTASSFVFKAVFTAKMEEEERTGLVQMTLDKKLMVFTEGQTIDC >CRE27711 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:682809:684293:-1 gene:WBGene00083861 transcript:CRE27711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27711 MTRKYLPKLLDISNEQHLRLGIHIKTSFCIISALFVLNGKIREFMGTDRNRLFDTYAEEDENLKSYDIVNHSDIDIQVLYNLTICQLGLCAFCHGSIREAHQGLSRIQNTHRAKELLAQAVGTRQHENTAEQEEIERSRQVPYHMHIDVELMECVFLICSMLFEIPHMPSCEFEMRLMTGQPENTCEHVVTALKTMLKETEGSTYLRGITSHIPSHLLDRLCYRFTQEVCFVVRAFEERCAQHYQPHPTSQLTPLLLDQLVFECLHSTCSTNSKLMLRIMSEFSTHVHEGYQGPGL >CRE27709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:675424:676740:-1 gene:WBGene00083862 transcript:CRE27709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27709 MSLKMELFPGNCSVCYKRAIYYNYNCSACEPCKMYYLRSITKYCRYTCERPPPLSYKDLNCKACRFYKCQKIGMHVNYETVPMLVIEKHGFVAETLRKLIRLDDKRFETLKKFFTLENPTLKQLVEKRGTNILTVKQKSVPELTVADWCFFYTYTTIVFLLNAAFMNDVENRARVILLRENTVKTMLLLSSFNAISKRLERIVLPKGQNVFPEEIRKLFGNAPEFLEKVTGMLVRKLIPLKIAIEELLLIIAIFFCDSGESALPISEKSRMLMKSRHNKYCLDLLKYCHCASPDNFQTRYADLLGIQAVLQENFECIEYIALTIRIQMKLTGHKKLFNDIIL >CRE27699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:611987:612858:-1 gene:WBGene00083863 transcript:CRE27699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27699 MYAFQFRDSKQKADNVLPGPMDKFKSSIPTDLEREEFKKTKKSRFRTTSVSLLKKVLLSNLLKSHTTNPKRLGISVAASKRALTGAKRKLKKNRPTSSRNGKKKSDVIEQIVTNEIDHILANVFQISE >CRE27680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:434072:435703:-1 gene:WBGene00083864 transcript:CRE27680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27680 MFNFSFPFLFGRSNAQPTPNNAQPGALQNISDNSNIPSAPANSMETFPSAGSSFHCYEPTYQQQSVPAIAPVVNTPFFNRLFPVSSFGFNSFFSTGPQLTNFRFDVAQAETTTQAIALTPPATMFPSFGHVDDSNQAFLDPTSSQLSSHKTNDLQRQLTTQKTMPDFETTSAKRGASSPNESSKPKKQRFSSVGDGEWGVPGNSETTHAIQKFSNANVFPPVIVKEEPLEMEQVDARETCTPEMKKQLPMMSRSKSSTRQIATPNNEYDLTMKEVKRELVEEKPTVNTLIEREINTDTVTLLPKQEISQQAKSQQFMEENFGIVVEPDQEMESLILLTEPLSGEEPEMLVEAIEPSQQMPLEDLAEPTNASSLSDPSNPEEPTVPSLENQDDPCALSRWCICSLFFSKFFGWTVTEWVQCKKCESWFHVCCVQMDNGQYSNKTFVCCGKRPTKDGRNAKREVIFRRFMKFF >CRE27600 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:343663:345105:1 gene:WBGene00083865 transcript:CRE27600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27600 MKVQKIRHRFECNLRFLLTWLILSAVACIIYVFVVFGSLLNKTVLPSNNVPSTIPYITVPSEYNVFLVFNSTPETVNREYSGSIRMNFVARDVTQQLFFHRGDKIKISSITLEDSNGTMSTPSPGAYDKSTGVQAYIPITNLTSQENYILRIDFNGELDFPGGGPKHLEYTLLNGTSRYSIVFGNSVTSSSGLRYLMPCLDATDFPAVFNFNIRHSPRYRVISNFAGRIQQSIMHTATIFNATLTMDSSQVTLALIDTDLIPVIVQQSGLIESINKYYRTTIVNNISTDRIIQFMSSKSEQIGKVDVLALPSLSATQQPGITFYDEHDVIRENVDLGSIGSV >CRE27572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:5145:9218:1 gene:WBGene00083866 transcript:CRE27572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27572 MFAPPTLLESGNQSQTNPTTADIMEIPVEYESSVQLKIREERLQEETTLKAAICEQSETDLKNAEIKMSWIVERDNKAYADIRKRKREIDDIQERLEVSKKSGYVDEMLISELHRHEILLESALRQKMQMDNVRHSYEKECDVAKTKADRCKKRWRLAREEARRVSNCRKDAEKAEKIERRQAGKN >CRE27611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:475408:476022:1 gene:WBGene00083867 transcript:CRE27611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27611 MKVPIICLLQLLLILVVVKKTTQRTDIYMKGNLTCVSHWTPKWCARIVLVEKDTLESGTDKIAEFGVVCSKIPWIEYEMKGFQDGDGFYDIFYEMSAVITHSCSGRDLIWKQEHKLNLIPVSKTNVTLVEHFAMSEAFRAKTFFDD >CRE27637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:669256:669582:1 gene:WBGene00083868 transcript:CRE27637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27637 MTVVSNRALSDSVAPICEIFPSSTSILWKLAVGIPVVIAFILAIFIAYHARLAWIRQELKMRREKDLEEIMERMVDHYDDEYEVVDESPLPYYMRKKNGGHYAPLQQL >CRE27692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:541302:542110:-1 gene:WBGene00083869 transcript:CRE27692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27692 MQTTLILVFFFLRCIVFVCFLSSTNSTPIGLDQKIKTSTKLMNRENGSFIDNPSFLKAHNKKKSASIFYIAAKHCNLTEFEQWWITLVEDDENADLTDRNVLTICDRDVNVDDVSISNYI >CRE27682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:444275:446763:-1 gene:WBGene00083870 transcript:CRE27682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27682 MWNFSSFNFSGQGSSNAQQPVSGSFLRTGDQPVTTIASFVMPSVIAETALRGNREIQQQQGNDHIGILGGYSASTANDTFSSGRQIATFVPSLALGNQFDTSQAPPNQLSTPQMETNVSAVLENPFMQRYTPYPSSMFFYAPPEQHSAEMGLPDYSRISPNPLNTFDFTHGSSFQNDPSRFGTGQEPRRATPETENNESGAPLSKKPRFSPRGFGESAPSGCSHRLASVSKAPTVSQYETFNFSRTIKLEPSDVDYHATSLRVPLSSSEVSMPILQSSAPIATTETPPQKQNDVLMRTVKQETTEKALADWDNIVKNISTVTNGCEQEKVSALTKTHQESVDDTSLIGAPILQTEDPVNLTNPMRRVQHKKGRDSSMPRLSSEPAVFDNDTAAHEVVPPNDRSDFHMRNVKQELLEEPMPTLRKESQEDIPEGGKHISALVLKMEEQEVTAVEIIQNQPEAEIESIRSIPQQSMVEETAENRNGNGEEQLIEDPINVNDNNERNSRTDTAKTWQERIAPREEEELDEESSSTISSSSEESETSVEELEDEDQHCSLGELCIVGDYFRRFTGEVTQSPATAVESGSMFAAYCSTMKCIPAKLLYVVAYKPQQVQGMLGMGKFTEGFTRLLSL >CRE27677 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:425650:427256:-1 gene:WBGene00083871 transcript:CRE27677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27677 MVRILCVFLLLKIVYGFYYNPTLYVDPTKPIGYDPDAPKRWNYTILLYSLKLVEVIEPEEKVSVVLEIMEYWYDPRLSWNESIYEDIGAFYTRQANVWSPTLSAFGVNDLVDYRDQDFRLVCVNSVGMLYDYVSVRVSANCPMDVYKFPFDSQICQIRFCLPVFNQVEVEIINEIYQGVLKSDAWKLMGNSEWKLVNLTNRTETLRYDDGFGNLDLGIFEITIQRNPLYYIYMIIFPSFIINAISIVGVFMKGADKMSRLNVGLTNIMTMTFILGVMADKIPRTGTVPLLGVYIIINLVIMIIAIAIVTGITELRRWASPKLKKKQTKFSRKLETFLGTPLEYTCAALLELLTLGNFSIMIGFWLFEK >CRE27624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:583688:585268:1 gene:WBGene00083872 transcript:CRE27624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27624 MDFTPNNGKHGYEKVNFTATPPMPQLSQPSDFPSSMMRQAVSQPNFVHARYQSKRGDMNAKNLGKMLEKYMAVPEYNRKPLVVIMPKTELEIMLQNASANGFHGHFPGEESSNWQNPSRLDYLKLKTDVQRVKTENCSLHMELRSEESGRANEMKMAIERLDRECCKNKKISDEQDKTINGCTAKIEEKKKELDELTEYFKKLQNGKKESDVEKEVLPVVNGMDE >CRE27691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:530445:531333:-1 gene:WBGene00083873 transcript:CRE27691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27691 MDCNLADEVADAANIAFRASESALDVSKVASIVAKRFLFPNIDVAAAETKAFGDAARSAGELALDYLVALMISSDITMNDVAIDAVQASIKSAYAASIAAIAIAGKTLEKLDTITDRIAESLEDEDGLEMMAGDENFDLASEDEPVLSSNQTVTSGTVSPFEAQLQNRVVKRQTGVLKLASRVVNSVSNVFWFKSKRSQTLRKAIDTSPDQGIGSLEEYDGASPGTKKKLAKAINYEQETPISGGSPSTGSSPEYSSEGRQVFSASCADIHFVKESWV >CRE27620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:533775:534032:1 gene:WBGene00083874 transcript:CRE27620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27620 MVPILEIIVSASALVAACVIWKIVSSYNKRKMKKIGKIPFDWDYGVVPWYLSEETPIL >CRE27616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:499968:501380:1 gene:WBGene00083875 transcript:CRE27616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27616 MFVVCENPKLLLWTSVPEKILGCEQAEVRELVARNENCPICPDRCNHPIIANCGHQYCQSCFYDLYIFTGKVQMYPIVPCSLCLRKIYFVTADQPIKNCRRCHLRDEIVELHNVMVAYVRKTESSIFKVKTFSVSIENHTAGNRSGIGKKLGSIFWWAVWWKVSSGQDILSAVLQFPTNSHNLYSK >CRE27705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:656090:656870:-1 gene:WBGene00083876 transcript:CRE27705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27705 MNASSCYDQMYNLSPQPPRQNFRFTIRNSAVQHNDRTKDRTTPVPMDLATTPSPVPPPARRGPQSEYNQLFREVEAAARRRPQEGETWEEVREKTQMAWTRLLAFESKKKGLDYLDKAAEAAVDKLCPNWKEPGYKPPVRTRSSSREPRKDEPPIPKGEFRRHSELSRVVRKPRSDAHRFDLSIYCQRPARDPYPKPSKQGRSRSRPRNRNRRQKLAEQNYESTFGYNAEYF >CRE27697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:559732:563158:-1 gene:WBGene00083877 transcript:CRE27697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27697 MTPKTKKTDVPPNYPQSEYPCGNGYQKSSNGSGHQNNRPSQQYSFTDYGTGYVKKAVPGQKPYWEHNQSYQPNRQVQRGESNQQYPSTKYAKKGNNPRYDHKKSLTAGMGETRKSNGNSDKFSTTESHYRFSGYKGFGNSKYRGEGRNIQMPTTGNSHDASFTNFTTKSNNSRNTYNTSFTTTIGMGETGQPNARRDNLWTTKYDRPSAGNNGFVNPKYRGDGNHNQMQKGGNNKHYSSTKYAKSNFWTTDYNRHSSNSKGFENQKHSGNTNRNSYRYNPNTSYARYTGAKSGPKNVHNTTTDFNFGRFESPQLNGDNFIQIFPSSSSTNLTDGQKLLQNLQKVEFEERSVGDSRMNQSLSGVHDETFQQDSSFLAVGPVFTPPRPVKYPNMLSPAVQKLLEAEKYKQNIENQTKGIKVQSKEKQKLHSDDHMNMTIDCDERFVESPPLDSNVVLNSKFGGNESPRGILKNIHLARRSPPEFRSSVSWGFVEAKSPDTPMYSLEGNLSDLNKSFIKPKNDISSERSTPSTPLNIQPLISAAKPKSLPPTGWKTSCPVTFKKVVEHNDDKNNVGFYMARQAICKFMPSVDITDGLATFVNPQTGRQILMPLEFCFDLYPHIETLPDVIPAGRYHFLADPLNDSVGLHKKRPGIFNKPPHVSNNPKLVQNQYKKVEVARKLLLPPLSKGVKRTPPRVTHVPDEDWLNDTPVKTMDDGKMGQRDVNVKNTSTPKVSTQAVIFTPLQTSTPSKVTALGSPSRTIALVCADHSKELGKHE >CRE27628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:622209:622760:1 gene:WBGene00083878 transcript:CRE27628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27628 MTTAPKYRTAWNDDIESMLVELVSETPCLWRVKNEKDIGGSEEVEFTVIKMMVTKKWLEFTLLFDVKYALNGYKMADRGKIKNRDGSVKEDLTEKWIHFHKLDFLIDPNAGKFKKPKK >CRE27634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:643065:645683:1 gene:WBGene00083879 transcript:CRE27634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27634 MGETGKSNGNRDRFFTTESHNRSSGYKGFGNSKYRGEGRNIQMPTTGNSHDASFTNFTTKSNNSRDTYNTSFTTTVGMGETGQPNALHDNLWTTKDDRPSAGNNGLVNPKYRGDGNHNQMQKGGNNKHYPATKYAKSNFWTTDYNRHSSNSKGFENQKHSGNTNRNSYRYNPNTSYARYTGAKSGPKNVADHNTTIDFNFGRFESPQFNGDNFIQVFPSSSSTNLTEGQKLLQNLQNVESVGNSVGDSRMDQSFRGVQDESCQQDSSILAVGPVFTPPRPVKYPYMFSPAVEKILCAEKRKQKIDMEVANAKKTAKEKKDKLMMKQKIHSDDLLNMTIAGGERFVESPPPFKAILNLTLGEDELPRGILKKIYLARRSPPELRSRSVSWGLVEAKSPDTPMYSPEGNLSDLNKSFIKPKSETSSEESTPSRPPKPLNIQPLISAAKRKSLPPPGWKTSCPVTFKKVVEHNEDKNNAGCYMARGGICKFMPSVDITDGLATFVHPETGRHIFMPLEFCFDLYPFIETGPDEIPAGRYYYLADPQNDLVGQWNRELREKHPELFNKPPHVSNHETGWEDLKAVVNEIAMKTRAPLLQGESPSMYHPSEKVYSSVQSSVTILKNTSDQKLAKLQEKKVEVSRKIILPPISKGVKRTPPRFCHVPDEDWLADTPAKTIDEKKMDQADSVEDTQPTTPKVSTQSVIFTPLQTSTPRKTSAHDSSSRTTALVRELQVTPKSWGNKNKLPSRRITVISSTLDDAELHNVKFLTPQGKKSPDDASSDTSESPSSPST >CRE27615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:495706:496444:1 gene:WBGene00083880 transcript:CRE27615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27615 MPESTSGEWSAGLHAVTIVFVCCSISYIWFSGFLGNIWKGIQLYMDDIALQTATPYNSECPICADPCEHPIMIDCKHQYCKRCLVDMWDHSKSINRSAMVKCCICRQQIKNLVSGPCTNTVQHPSQCMDCGLRHQSVEQYKECIIHANYTAARLARNKTMIF >CRE27686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:497544:498324:-1 gene:WBGene00083881 transcript:CRE27686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27686 MDFIPRDQPKDADEKHHSATFSSVTDVPSLSPSKEMPLSPFQLSLTPLSMSIGELIETEKYLRNILVKYGEVDLINILKKFGIKAEKEDITFPPSLISISSRRSSSIELKNDNPKDWKTMYNQMVKLREVKEKSIGTQNDVEGAKRQFEKSSNIFQKGLRFAGVKKLNVSPPLSAQPVFIGSSVETVEYQVEREAEKNETKKRFWASVFGKIKK >CRE27716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:695319:695934:-1 gene:WBGene00083882 transcript:CRE27716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27716 MKVPIICLLQLLLILVVVKKTTQRTDIYMKGNLTCVSHWTPKWCARIVLVEKDTFESGTDKIAEFGVVCSKIPWIEYEMKGFQDGDGFYDIFYEMSAIITHSCSGRDLIWKQEHKLNWVPVSKTNVTLVEHFAMSEAFRAKTFFDD >CRE27592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:246894:247103:1 gene:WBGene00083883 transcript:CRE27592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27592 MAHQKINPIVAIAKSVKNKPNHVVVNVKSPEDLQKEYATAHKATGREVAAFVFVVVLIVCGFFAATKFM >CRE27629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:624512:626662:1 gene:WBGene00083884 transcript:CRE27629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27629 MSSGYECIKLLGEGQYSHVYMARHVESSTIVALKKMKLELRKIDNENILRSALQEIRVLKTTDHKNIIKYFGKVPDCTVPEFVFEYMDTDLFNLINKCLTPFSPHVSKNIISQLLIGVEYLHTNLILHRDLKPANLLLNTAGRLKIADFGLSWSQNTSQKTLAYEVVTLWYRAPELLFHTSSYKGEIDIWSIGCILAEMITKKPVFPGTSEKDQLRRIFNVLGVPTTEAWPTMTELRGYKNIVTDTQPPGLPSMFPNATLDVISFLEKCWVMDPSQRASCQQLLKHEYFTTSPLSCADEILGSLVDRLKKAKSQAPTK >CRE27676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:423652:425320:-1 gene:WBGene00083885 transcript:CRE27676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27676 MVRYSWVLLFINAISSFYYNPILYVPKYHDFLEHQSNLTKDLFNGYDATVSPIYTKIDPTKPIGFDPDAPKRWNYTIMLYSLKLVEVIEPEEKVSVVMEVIEFWYDPRLTWNESLYDDIYGFFTRQSNVWSPTLSAFGVNDLIDFRDQDFRVVRVNSDGMIYDYISVRVLANCPMNVYKFPFDSQICQIRFCLPVFNYGEVEIINRIYPGLLKSEVWKTMGNSEWKLTNLTNRVDTLRYDDGFGNLELGVFEITIRRNPLYYIYMIIFPTFIINAISIIGVFIKGADKMSRLNVGLTNIMTMTFILGVMADKIPRTGTVPMLGVYIIVNLVIMIIAISIITGITEFRRWVTPILKRRKAKFSTKLETFLGTPLEYTCAVLLELMTVAIFAIMIGFWIFEK >CRE27619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig45:522400:523634:1 gene:WBGene00083886 transcript:CRE27619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27619 MFLLILKAESEEISKRVSFNKIQHFSSIKFNITPVLYQAKNYNKSVQIVFFANTPIIYRLSKCGNSFLSTWDKVLQHEIFLQDEAIDWFLNISNASCRDNHSEMHQLHLDLLPSGPSSGAVMFKAFDRQTESSMYRNTTSFIINSGGNEHLTFKSVISDDFLAENTTVQIKSDLTSPVRVNFGKCGLILSDESFHLHKTDNIVLTGAVLSKIKSLAGIQCSSHNEETSFEFLVTTDNTTSGVVFFEIVKENESSDVEYVILAVFIVIFLLVIFCFVLKCSKHGSSKMLQKKIEDNRNRFPMAPILNRCDTCGSIHRLQ >CRE03506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig450:1423:1650:-1 gene:WBGene00083887 transcript:CRE03506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03506 MSKGGHGGYVDDWNLHGSTSSAPSSSRIPPPGTTVILTGRGGDQDDEEEGGGVRRHLTQDEDGNIYEEVRKFDKN >CRE03507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig450:2677:6212:-1 gene:WBGene00083888 transcript:CRE03507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03507 MPSESPPAMAVTFALPPRPSTVPPMTSTVTQEPLIEGHPNEIRDSEDVTTMMENPNPLNRKISIDNCNNQEIITNQLSIDSNQPSTSQAPPPQQPVKPAVTVLLDQERYLPIDELLTAMEACGFDNYSEPMRIFLQKYRQAKKMSGPPHITHPNYVRPPQFAADPPVQPLFYETENGGTKYDNEQ >CRE13884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4501:539:1233:-1 gene:WBGene00083889 transcript:CRE13884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13884 MILSKYSVFSYSFYQFPKFSTGLDTSVCCAYSTVNPICQGPNNRFTIDEDLGIFIVDLGRAFIMNTILIELSWRGDVNAFSYQLCVGMQNTNASHWQMIADYSKFDCRGVQKVYMEDTVIRYIMIKVIDPTSYRLESSHVEAIYSSQTMTVDPKSYCIGELENFLFFSILKKYEIRF >CRE04388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig451:585:2874:1 gene:WBGene00083890 transcript:CRE04388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cps-6 description:CRE-CPS-6 protein [Source:UniProtKB/TrEMBL;Acc:E3NL25] MHCRRVAKKMLNTHIITGPLYLPKLEENGKKYVKYQVIGENNVAVPTHFFKVALFEVSPGKYELESYILPNTVIEDTVEISKFHVPLDAVERSAGLELFTRIDPKAIVKINGVKKGGLLW >CRE04390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig451:9146:9595:-1 gene:WBGene00083891 transcript:CRE04390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04390 MPAALKSTGVRAALKSTGVRAALKSAGVPAALKSTGVRAALKSAGVPAALKSTGVPAALKSAGVPAALKSTGVPAALKSTGVRAALKSTGVPAALKSAGVPAALKSTGVPAALKSTGVRAALKSTGVPAALKSAGVPAALKSTGVPAAL >CRE23295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4517:2162:3472:1 gene:WBGene00083892 transcript:CRE23295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23295 MSDLPQGQKHCSFCGKTQSEVGKLIAGEDAYICNECVDVCLDLVQTSQQVETGDWATRPLPKPHEIRAALDQYVIGQDTAKKTLSVAVYNHYKRLKVSQTGHKPKDAVEIAKSNILLIGPTGSGKTLLAQTLARLLDVPFAMADATTLTEAGYVGEDVENIIQKLLQKADYDVEKAQKGIIYIDEIDKITRKSENPSITRDVSGEGVQQALLKMIEGTVASIPPQGGRKHPQQEFIQVDTANILFICGGAFSGLEKVVQQRQEKGGIGFTANVKNKDDSKKVSELFRQVEAADLVKFGLIPEFIGRLPVIATLEELDQEALMQILTEPKNALTRQYQYLFEMENVDLLFEDSALRAIAKKALERNTGARGLRSILENVLLETMYDLPSRSDIGTVIINEAVINDKAAPEFKSERQPKHENTEVEKVDLKVLDTKSA >CRE05279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig452:76:1524:1 gene:WBGene00083893 transcript:CRE05279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05279 MCDALFRGNLKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGNLKMCDALFRGYQKIRKKEEKGKQKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGYLKMCDALFRGNLKMCDALFRGNLKMCDALFRGYQKIRKKKEKGKLKMCDALFRGYQKIRKKEEKGKQKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKKEEI >CRE05280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig452:2442:3899:1 gene:WBGene00083894 transcript:CRE05280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05280 MCDALFRRYQKIRKKNERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKEKGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKNERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGKLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGYLKSENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRISKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRNLKMCDALFEISEIRKKKERDI >CRE05281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig452:4068:5909:1 gene:WBGene00083895 transcript:CRE05281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05281 MCDALFGGYQKIRKTKERGYLKMCDALFRGKSENVRRTFSRISEDQKKERKRKSENVEVLRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDVVKRNLKIRKKKERGNLQNVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKTENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDVVNCTMLCIHLTT >CRE05282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig452:9437:11195:1 gene:WBGene00083896 transcript:CRE05282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05282 MGTNPDTSASFNRKQFEYNREAAISQRVRSICGQPTMIGQQVVRQHAQLRASDYLHILTGSENYRYKIRDRHSINSLREYLEALVTAGADSLLEPILLVRLELLRADPVIEKVAGRGDIFVTPSATEYIDNPAIRNIMDEHMITGGARGEKIRFVNFSPTAHELFDSPATTDKVPPGKHLQKAEEHRFTTFMVTDYQSPT >CRE06189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig453:15221:19092:1 gene:WBGene00083897 transcript:CRE06189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06189 MISLIFYFLIFQLSVSYVPEISANLKMVEVYGTPKESSGIFRMPTQENWLDCLYKCLATWNCVLVSQLPNGCEYFNTQEIQSVTRLTSSDGRVVAFKISLTDCPPVPQTPPLFGNVTSSLIITDGSDNYYKSEITETSDTWDFNYSIHKCLTDIPKDYTPLVNHGEPYWMDLASSFTPGETFFMTGKTPAYGYRFTISFYRQGTGGGENDYAMRIRISNGYGNKTNTEISTFFNQTTEIKRDENLANPYAPLQDFEIRVNSTDTVANIYMNSTLLQYTLDPQVPLTDMTQIVINLGGFAGLNVTLYYIGWTGDCWKMISNHVNKESQRNRHQGETVLE >CRE06190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig453:85:1609:-1 gene:WBGene00083898 transcript:CRE06190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06190 MSLTLLLLLLPSISICYNPPLSENVKMVVVYGKPLEFCANPEKSEENWANCLQQCWKQWDCVVVSQLPDGCEFFKIQEIQKVTKLDRSSGQKVGIKISLPSDTCPRGDTEAPPLFGNVSSSLIITDGEGTYYKSQITETSDTWIFNSSIHQCLIDIHEDYFPYEHNLTSPFLPGETFFMRGKTAGYNQQ >CRE06188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig453:10315:12185:1 gene:WBGene00083899 transcript:CRE06188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06188 MSRFTEAELQAGMDRVREWEEVLSSSKTLEKYLIPREEWIMDSFEHILQKFGHVRFYISVMAIGINTGSDLNKLLTLEQQIEALGKLIECLEEDLKGNPSNEIGIKRAIMIAQIGILKANKSRNVNELDLWRDIKIVVVVLVIVYFFYPCT >CRE20180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4547:1653:2332:-1 gene:WBGene00083900 transcript:CRE20180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20180 MQSTEKKFIKSFVFKETQFVAVTGYKNEAVRKLKTNKNPFARPDYKEDYKEDVVENSEKDSTWDSGISSMNSTVASSIESPTSRRDSGVSSHSQNPYQFWGSSMTPGPFIKKEPTPENSRFDMNYTSGGASTSDSQSQYQFWNSSMTRVPSSGVKMEPISFNMDHNSQYSNLPPLHSWQPDPYPLTATPGSSNQPWDENSFGQKQNYKF >CRE07880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:42213:42945:1 gene:WBGene00083901 transcript:CRE07880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07880 MSFSKTIVFLLVICTCFIGHDAWDRIATWGFRSIFLYANQTEVWKLTFKVNHQDTALQAMNVVSDWIPKYWKTKDAYLNKNNKLSNQTYAEQQAWEFLQQRDAMRKFLRFMFRSTIDTKYFTEDQAIRVFFSHLDLEINIKCFQMRDIWWKSDRDAQSNFTRGRPLFKNRTMTEFAKTHKDFGTKFEKLTDDYYYYHYSSAEKLNWTLVAEY >CRE07881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:3585:6229:-1 gene:WBGene00083902 transcript:CRE07881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07881 MDPMELKPLNSTMNEETSEESSVAHSESGLVTPRDTWGRKISGPVDRWYLVYIIFTMHGMGMLMSWNMFITIAPQYYHDYWFNNTNYQDSFMSIIGVTSQIPNVGVMILNTIVVMVGFMMLRVVIPLIVNCFLIAVIVILAIFVTPSPDTVTWFYVVTLIIIMAMNLANGIYQNSVYGIVADFPDNYINSLIIGNNLCGVFTSVLSILTILISPNDIELNALLYFSISLAFMIVCLFSLYFLVRLPFYQYHIAKGVEARAEESVDNPSLKQYWECFRMCWVQLFNNFYVYFVSLLIFPAMMTDSAYSDPTQGKTSVFGDNLFYPITTFLNFNLFAWIGSTLANYVQFPSAKYLWIGVVLRTVFIPYYLFCNYRPETRLWPVLFENEWWFTIGCTIMAMTCGYMSSLALIYTPVEVPARYQKLSGMLASIFLMLGILVGVASTPIAAWAVENIGSKKKT >CRE07884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:17087:17803:-1 gene:WBGene00083903 transcript:CRE07884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07884 MVEVDAKPLSVEEDENENEEKYENEEKEKLKKWKKKSRDVEPKWMEKVRKASEQRDEIARKIDEFEEKIESMHIPVPRSETYPLEIQQPNHRLRLQYEALHRHYEETMQERSIVLEENSRQTEERERLHKEIENMKEDSEEVATLKKKLENTRRLLQVNVEETVTAHARRDAALARLTEPQQHGSSSATRQR >CRE07874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig455:1569:2087:1 gene:WBGene00083904 transcript:CRE07874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07874 MDADTLAHSRRDIEELLRQICETKEIQQSNNDCGEYAEKIQDKMDVFKGIINFALNMQEAAETEAIRVFTYDFLGVMIPKLENAKYDCVDTREELDNIERDLRQLISFWNSEALMVDVIQEMR >CRE12382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig456:21225:23215:-1 gene:WBGene00083905 transcript:CRE12382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12382 MVYYADLYTTTANVRFDTKQEEEIEYHTDRESLSDSLDSHVPDPSLGYGDKTTGSNLYNVLKKFLNNGKVSLCGGQVFIAVKRYPDESDISDIISQLRANHVMVHIAVDSVPSGGSNSATLYEMSYQTNGYCLFATGSILTNGFYWMTDMLNWHYQFIAQNFVVSGSGRIEIPAFKTPIPQGYTDECFFAITVQNHTLDNSFVSMNYTIESIDGSDVYTFPSDGANPLHGTAQSDYFSLKGSLSYKWTIDYHYNTDEPQIIECRMYSHYYHDFLPLPPF >CRE12348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig457:9356:10586:1 gene:WBGene00083906 transcript:CRE12348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12348 MTTRPKPVFYETAKCVALYMDPNVRLQLYLRCPQFRTVHRSQTFRIRDLKVRPENFEIDGTIYKLGVITQYTNKPNPGLVTFRNSGGGLQWDVDVYGLPIVTVNETGNILDDNEKVEILQRQIKRLDEILQNKEHGSDYIQGIRRGIEADQWEIEMLQMRINRSPPPYHNYLQLVVRTGEDVKLEHVAYEKPFKLTREYIEKRIFSNGNIQVGNLQIGGDWYQNDLVDFIRRGSVQPDVEPLFQYAPQGDKVKPLLSIREGCLEVGVLKVTGNVANAVTSLQKVLSTVPLKQLRTVHQPFPNDPIIKISQFVLIVGCLPFNVLSSCPNNRTHIEGTTCISNNQFTNVVNKWMESDVSVGKYYSIGFCEVYFVEELFAKFRKLPGAQSGENKETR >CRE12354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig457:4773:8156:-1 gene:WBGene00083907 transcript:CRE12354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12354 MPARPKPLFYETAKCVALYMDPNVRLQLYFRCPQFRNVHRSQTLRIKDLKVRPENFEIDGTIYKLGVITQYTNKPNRSLVTLSNSGGGLQWDLDVYGLPIETRNETRNMPDDNEQVAILQRQIKILEEKLRNKELQPDDIKRIKIKIEVARWEIERLQTRISKSPPPYRNYLQMTVRTGEDIKLERVVYEKQLKLTREYIEKRVFSNGNIRVRNLQIGEDSYAINLVDLIENGSVQADVEPLFRYAPQGDKVKPLLSIREGCLEVGVLKVTGNVTNALVSLQKVLSSVPLKQLRTVHQPFPNDPIIKTSQLVLIVGCWPFTVLSSCPNNRTHIEATLYASNNQFTNVVNKWMESDMSVGTYYSIGFYDVYYIEKDFFMWRKLPGAQSGKNKETRLTIFPECVIIPMKNDTELNVYFNEANEEEREYCRTRFIVKMKWQPRGYARAGLLTLLHIDSFIFSPIRISERMTQPPSKPLFYETSRCVALYLKSTIRIQLYLRCPAFQTIHRTQNFRVADLKIRPEDFEIDGTVFQLGILRHYLFGNVPESTTWKNAAGGDRHDIDKYGLPILAQNGQQVPTDAQYIEQLEQQALLLERNGPRSSRALTEDDVKSHRMDIERKRLKIQSYELRMRNEKPPFQHFVELAMTCGEEKNSEFVAYEKTLQEIKDYILHKIFTIDAKGFIGNLQIGDDAFNRYLAWHIQNPRQLFPINPVFQAQMAAQNFFMPLPGLVPEVPPVFQEQPRVADVVPLLKLRAESVEVHRLVVTGNIENALASLKPFRCETPLKELKVSAQPYPDDPVLRTAQLLNIVSASRMIQIRNLQNSRIHFDQLVCDEQEFHVFMRMMEEAETMRYYSFEFSELKDLNFLVKRFRNLPGAERDDFAEIRSTNLPKHIVIPLINQKELNIYVEETSMEDTKYCDTPFIVKIKV >CRE12355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig457:19413:19767:-1 gene:WBGene00083908 transcript:CRE12355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12355 MLRFSILLCLLASICLSSAYNNRNFDQLEYALEQDTKALSRPRRVPAPEEARYCRKRMLTYIFSICEKTCDSMNGKDIATECCGKQCSPEFVRLHCCPSTV >CRE07198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4574:3457:4179:1 gene:WBGene00083909 transcript:CRE07198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07198 MTWPMYKRILRMFGSNRPTLSASLMITLVVLVVGIPLTFAIFILQHEGRNLYFDLQRQLSSGHLTVPDFIRELPIIGKEVSRTVREINADPTSLSQTIGLWIQGHMNYGKFVLNEITKNLVKLGFAIMSLFFFYRDGQTILEQVSKALQMLIGPRIHHYLDTISETTRAVVYGVGLTAVAQAFLAGVSYFVAGVPNPMVLTIVTFLMALIPFGPPVAYGAVSLWLFSQGQTMEAIGVMAWG >CRE07199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4574:1568:2017:-1 gene:WBGene00083910 transcript:CRE07199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07199 MLIGACIGIVLTTIVLSSTRNGKIKAEYEQYIAELELEHQQTLASAQKRSVNTSRAVLKGKMAEQLAPIMPEFRYLPSDAKFLGDPIDYVVFDGYTDFRDGEGLAEDIEVVLIDIKSGGARLTKGQQAIAQAIQDGRVRFETIRIDFED >CRE10700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig459:15812:16349:1 gene:WBGene00083911 transcript:CRE10700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10700 MLFYFLFLFPLVVFGYSPQTPECIEEIEKSNGCVKNHTLFSKLDSIFHPNPSEYISLLADINNALGCLPLKSNCSSTKIYRESLTLISGHLQWLMEKMRECFTSDKHDEIWEKCKQGQQKGQETQDSFGCKCIGEAQKSIEVILEIMDYKIMKEMGIPILY >CRE10697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig459:8244:8926:1 gene:WBGene00083912 transcript:CRE10697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10697 MFLFFLIFLSLPISGHTNSNNNTLDPVCQKEFIKFEMCIKEQSLLPSTTNSSDNGSDFGESYRRELQQEIDCGKPMNCYYMQLYMTQLNQAQLYMNYYIEHLESCVVMDVTMTIRSRCELQTPKTNKDETKEEYYDRFAIDEKCAIKLLLEWSTCEMKDASHFLHYHRLLYSYYKFQERYRNELKPYFFKSVIPFK >CRE10696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig459:6872:7517:1 gene:WBGene00083913 transcript:CRE10696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10696 MFLFLLVLVPVAISGLDSQCVEEFHKMLGCVKNRTLFSRIYDLGLDEEWMDRNLAEEIGNAISCSSMPTCLDAEDFYRLLLQEKWTIDFYHSELKSCLGNGTLKEIKRICNSIPRPPSDDLNPCQGIEDPCLSEELVKQKTCTDAHLPDFKVFSFALHTECVSLHVPHLADKWKEYSIDYYRSS >CRE10699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig459:14117:14666:1 gene:WBGene00083914 transcript:CRE10699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10699 MLFYFLFLFPLVVFGYSPQTPECIEEIEKSNGCVKNHTLFSKLDSIFHPNPSEYISLLADINNALSCLPSKSNCFSTGVYRESLTGKSGRFQWLMEKIRECFTSEINDEIWEKCKQGQQKGQETQDSFGCKCIGEAQKSVEFRIGYDLDRMTYEIMKWMGLPILY >CRE26654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:44722:48021:-1 gene:WBGene00083915 transcript:CRE26654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26654 MKECEEVLKNPLEKKPAIQCLKNLTTIRETFDGNTRLPYYAQGVIFSKKVRLMEDLIEMEKKYKYLKIWLLFVLIPIVSITVYCLIHIIIDRAIPEWYYLLTSGPVTFLIGFFVVMPLLLCDTSEEEKEDICTAESIFSSSDDDEVKIRKTLNDLIDFQIDVIDTLFEIEDLNQKERRTQFKEFLLFFSIYCPLFIYFVTRAVIGFINSRDPYYHAAEFNNFGYFVFLSVFEGFCFLLGTYIYIDYFLEWGTI >CRE26679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:311251:311717:-1 gene:WBGene00083916 transcript:CRE26679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26679 MAPGFPLFLLPDNVVKSVFQSMEVVEQMLLSMVSMRTKKIVCSLNFPSRKISLGTCAPCELEIRFYSIIINVVFEKSEKEDAERELLEIVRPERVRFNAARKVNYGIVESVSCQWENGTFKVRDYIDHFMEILNHDKIDE >CRE26642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:479328:482414:1 gene:WBGene00083917 transcript:CRE26642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26642 MLKVEMGGDDQSTEGTESSHESQKHEFSKNNYEFQLIHEVRLVNPSISICVLPWSFPGWLGKQPYENEKETAGYVVDWLRIGKEKWGFDTYCVGVWNERNFSESYVRSAFALSQQSALQELRQTLNSSGFNKTLIVAGEGFQMDDSYRRLLDNDFFNDYDIIGMISWHLMSAFYPQIQWWRCGLSVVDEEKFETENAFHVLKLVEYVTGHVKRGWRILSHGRGSGRFEGGGTYVTYTDGKDFTIFVETMSYDSSLCQYSSPLPYSVKTNQNIRFEFSGFSQFQVNRLNITLNFVTPQSVPLNQNVIEFSLPVDSIGVLTTLPVTVPHRITVPTPTFLLKYFDDFSKYSTDEEPRFWMPQKGSWVVREGRAVQKVTEPPISWCTGRTRTPYRGKNSLLKADVTIPENSTAESVILGLRSNCSGCDIEVTNCRGIFVEINFSTGQTKVFSDFVQRTEIAEFQTRREIKFGKFYRFAIHLIDSHLFVKFGNHLVMTSVEIQEDVIEKTQNDTLFVIGTGNFGISEWDNISTDYFPEIWY >CRE26641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:477821:478102:1 gene:WBGene00083918 transcript:CRE26641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26641 MTVYEATWYIPVFRCMDRRISFTEDASTKSTNKSTTRGIDAVKAGKTLREKSKGMMEAWFKANRNPDQLPDDRKTTDLTLPEMLSYYIFQIRK >CRE26630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:390982:393094:1 gene:WBGene00083919 transcript:CRE26630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26630 MPLSDSAPFDFPAIGFSLHSINAHGTPYLSVRVDYDPLNFESFSEDAIKWYQWVDDKFVLYHINPPRPPPGCPEGPHSHVRKHYSTQPRFVFQDGGFFVKVEFATWLRNSAPVDRPRCQCNPWYDTPFYDHSDPPECQALAFAAVIADGLREGKMMEGSRSLEGTFRSEKSDQKSVSFSVAPSFDVNRPRASDVVNSSRNEYRHLEKTMIRIDRMPMEVMVRAYAFASHNRVWSTWILVEVESCREHSVRAFELNGGFFLQKTPEALGTRIPREMSPLDQWVAYHVAILDYVDVNAPRPRYSTSRLSSLSLIRENDMNCGCLPSLLSQHEAFGLSDFCQIRQIAQKAMLLVHHKLQSGTLERMFSLKWKPS >CRE26625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:325154:325510:1 gene:WBGene00083920 transcript:CRE26625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26625 MNTSEIQIVKTRMTGKDFNRFLKHWIAGSNPRLKYLSVGGQSKTDDGEQFAMELLHGINYRKMADGEKMTCVICMGTSEERMETVSGGSYIRRKDGTKAIVYSEDIWFQLILQEFVNI >CRE26697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:544589:547035:-1 gene:WBGene00083921 transcript:CRE26697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26697 MDQLTSPKSLRKCILFEFFRGKPVFETYKSFCEVMGYEAITLKEFEIWYHRFSRGEFDLEYDIRLEPKTRDFCQLPTCVIEKVLEKTSYKDQLSVRKVSRDLKSIVDSMRSSLKSIEMIWHSDHIKCRFNDHLVVYSKKENIKCHGDDVIRVIDENYEGLALRDWKFPMRNPKLRLDILKIECKEPSNRMRENTKIEKLSEFVMDLCDAIESIWRVNVQKLQIDSFHPKINHVEYILQHLVPGYLKSIVMPDFDLKRDELNVEMPTDPAPGVEPSEAYKRAKSNYWRAWSLKNDIYEVIKFKQWKEAEELHLKYNWDYFYDEDLIHFKRFHFTDCNLDRKRLIHLREIFSESTNFELCTLTSPNFQICSEYLESFCEKLDSGDPSVLILNYKIPDDSNKMLEFKLDYNQRKMIISKKIF >CRE26603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:93343:94499:1 gene:WBGene00083922 transcript:CRE26603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26603 MKCKFHIFSAAHEKHVQIWAMWDQVRDATLEWVEEVKVDNRDNLEKKISEWEIKLEAVRNSRLAERAEKRKKERKELAMQAKIAEERKRREDEERARQAVIDSQRRPHDRDGRRREMENSAAMQDNDWRRNAPARDAPPPRDSRPMRGDGPTREPREQFIPSSKADTDSSWRSSAQPRKPDDRRSEEFRRDDGPRRGDDGPRRSDDFRRNENDGPRRGPPLPVSKADTVDKWERGAKPVTSPPQKTESPPAAAPEPKSDGPKKFVPPHLRNKQGSGTPSEETSPRNGANVSSPPDRAQGLRGPPPPSSGGPGGRNLPQRRTDGPPQRNSDTSRNADTGNWRK >CRE26614 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:213801:215146:1 gene:WBGene00083923 transcript:CRE26614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26614 MSINVKRINVSGEYTQNERQIKAISCIKNHVKKHYSSPFESGQEINSRAASVIGTVQETDNRLEEEKQKLSLKMQRHFGKKENYQSNPESGDHPQKHQLATKINVVKKPLKGSIISNCIPTTQFSSNTSYVRLSNSARRPMTLICVRPDIKDFFSNSIPLWNSVTCNTHKFLSPGEFVSLLNHSINRL >CRE26635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:423923:424337:1 gene:WBGene00083924 transcript:CRE26635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26635 MQKKEKSIDRSSRYHIRFSFQNMNFVLREWQVGKGCQRLKLGGFHLKNQHPNLQFEWIEVMQVPKETVRMYKLNSKEEEVAIIGGFDIRINDGVIATISFIHDTNQTKPFVQMVVWPDFSFE >CRE26694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:535689:537793:-1 gene:WBGene00083925 transcript:CRE26694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26694 MSRAWSTSEEEEVVDENKEDYSLLLFYMIGTHFCFFLITYFWLYPTAEPPKNAIMHKLTSDPKKSRLTGEPVRPATRLSIREISFLSRRQMCQERKYQLTEEDQKTLMMARDGEVNYLREAVQMHTDKTMNYGCLIVERGVPIPTDTDLKQDIMEPIEDDDAAPIEDLARLKRHKEPEKPKTKRKKTKERMEALDQLTRGVSAEKLSLFKQNTTPDQSRKLQRTISSMLRPERTQDGAATIEDDKTQQRVIVKKPSSKPTQRPSTLEVNKKKSNTQQKSPTSFGFPKLKTRQTLWSLQWHGQ >CRE26624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:320991:323750:1 gene:WBGene00083926 transcript:CRE26624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26624 MLNFLSSNIRSVKANRLELGALLTSTYYDIIALQETWLHSTTDNTIITGSHPAYTVYRADRSDSKKGGGVCTIIKNEISSQLITAESKTLAYEILAIDLLNNVAPTRVINVYRVPNCSHRNSLSLLNKISDLTDHPGQVIVMGDFNIKEVDWTSATPTSSTRLGSILLDFCNCNQLKQYVTSTTRNGNILDLVFSNVPLLTLYISSPIGSSDHSKIAFQVDLLHEKVPVYTELHDYKNINWFACCEYLDKINWGLIINDNNTIDQIFETFLTHMRQAFLISVPIQKVTTGCHNLPKYLNNLKHLTESLHKRVASSRKKEDFLIYVLIARKYRKKLLKYQRNLELQNFKARGPSRFTHYAKFLLKPRKTLIPTLEPLPGCYAKSDQEKADCLASHFEKQYLNKSTSPLSFPNNFKSSQTTPWITDNDLFKLMMKSKNSSTPTSDGVPHTFMKMISPSISSPLSQICNLTMSRGSVPKVWKHSYILPLNKTAKPSRPSDFRPISITSQICRIYERFLLKQIIAHLDSINFWSDEQHGFRPRRSTVSCMLTALNDWTDNIDRGNQVDIVYLDYAKAFDRVQHDLLLAKLVEVRLNPSLIRWIDSFLSERYFEVKVGKSYSAKRKALCGVPQGSVLSPILFGIFVNDVPKTLPPGVKCRQFADDLKIYASFSNSDSNSLPNRLQLAIDSVILWSKKAKLDLNNSKTECITLGNRRAMNTYTIDGIAVGQKTLIRDLGFLISPKLDFSEHWHKATNAAKFVSQIFTKYNSNDSKIMTLLYKTFIRPVLEYGTEVSSPYKKCDIRAIESIQNSFTRRLLSRQIGRYLTPSDPDYLSANQRNDKYGLASLEHRRQTTDYKMILKMQLGKIDINTEDFFTTNTFTKTRSNNTFHWKAGKTKTRRNFFIHRTLSRVAISSDRPPISPN >CRE26612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:201993:203395:1 gene:WBGene00083927 transcript:CRE26612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26612 MQLNHQPQEIEYLGTIKEVEFEGPKRAFPAVDLKGRGNAHGGFKDFEGPTVKRLQFGPGSSRHLPSRADIHISKETYAMSSYKSPLVEQYMAMYPNGVPVASNRPLDRSNVRQQAPDQQCQVTSIHFPAELGTYRKNSSTVGPIRVAPPQRQIRRRQPVIRKLRIVTTGISAMELTTQLPSLVKPGISTINSSTVGTIWNAPQLQTVPIDPKFRIVNGSLITRKTKKTPPREHQKNLRRLSTPPIMNSAPAPNQNFVQKHPLTGVVPHPHQIQSDQNSATLVMSSAPTVQQAPQHQPNDFDDFEPEEEALKLFYNQVENEAPKKIIYTSPEYLGPVKVEISAYDELFDPSFKPEAKETQCTSAPAAEKQAIMKEPKNKKMESKKEEKKAVEEELEEGPIAALEYEDPVEQLEFENYVKSIQEEHGDDFLGMLLFDVIPGLRLDVSGQIARK >CRE26640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:465814:466788:1 gene:WBGene00083928 transcript:CRE26640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26640 MFGGRTHPFQALTKACATYTIEYLDYCSLYPWTNMKGAYYPKGQPTMIRDNFKIIVKGKPIGYRGLAFCDVLPPSMGYEVKRIHEVWHWDDHKWFKGGFFEKFLAPLLKLKHEASGWPRPDMPAAEKQKHIDDILENDGILIDEANVAKNPALCQLAKLFLNSAWGKFAQNPLKTEIKMFDVNDGDAVFEFFNSKLHQPVSLDTFGSKHIIASREPPKKGLIGAKYTNIVYRSITTATARIRLDVSKKPKQARLIYVDGCAAHRKFSLIF >CRE26689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:468729:468998:-1 gene:WBGene00083929 transcript:CRE26689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26689 MQTIQREMKIVANNRRIVSFGPETTIKCTTSDIQRHPLLSLQAAADRIRVPISKTETMSNWCGPQLRDDKIQYAAMDAVVLHNINIGSA >CRE26663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:193084:194519:-1 gene:WBGene00083930 transcript:CRE26663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26663 MQANDQPQEIEYLDTFKAQNPEMIEIVFEGPKKTFPGVELKGRVNAHGGFKDFEEPTIRRLQFGPGASRDLPPRVHVDYQRPKKTKAVSSIKSVCDEVYADIFPNRPPVASNRPQYRHNVHQQASDQQRQTVRTQFPAGLGTYTQNSTVGPMRIAPAQRQVGIRLPVKTKLRIVSTGSSATNTKKAPPGEHQKNVREFSTAPRKDFAPDPVFHGQITVDQQCQMVPIQLSSTVGPIRNAPRPQTIPTVPKFRIVEGESFERKVKKSPPVAHHKNVMIPHPSRIQWLQNPATPVMPSAPTPAVNFQVTLDKQGELSPPIVVFPPKVTVEQQGSRRIFHLPYNGPVKVERSIYDELMDPNFKPEVNDTQCMSAPAAEIQVVMKDPKNKKTESKKEKKKKVVEEELEKGAIAALEYEDPVEQLKFEKYVKSMEKKHGDNFLGMLLWCQIPGLRLGCGGQIARRED >CRE26668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:221872:224021:-1 gene:WBGene00083931 transcript:CRE26668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26668 MPKVKRGRRKFMLTRRPNKKKEEIAETSDPTTSSTKSKKRISFEPETANISNFFLGGEDGKEQTYKVHWKDFPLRSPTDVFAHQISAVMIYLFWWKTFTEFNEFEEIAKRRPLTAKEQETEAEHARSIQNYKKLIEMGEEFSAGYGDL >CRE26675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:305703:306128:-1 gene:WBGene00083932 transcript:CRE26675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26675 MSQFFIQNLDYIEIGTASSLTLDDLLLMNISEIKINKTRMTGKDFNRFIKHWISGSNPRLRYLTVGGPLTDGYEKEQYERELLQGIYHRKMADGKKRPFVRYMGLYEERTETIPGGSYIRRKDGTEATVHFEYLRFHLILQ >CRE26638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:460862:461818:1 gene:WBGene00083933 transcript:CRE26638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26638 MTSTSSPFPLFCLPHVVLTEVFNSTTPDKIIRISLCSKKAARVIKYTSSKWKKRKDLELILQETQPSVVKYKEFSLLSVSHIFKADNQRYLESLKIGESTVPVGTSNMVTYWADKLKGVRSVMEYVMDIFNSEIEIFDITQDATSSEIHYIFQWMAKRKTAFSLFILDCNNVTTVDLNFFFRNMQFNEVVCLNGRLPETYRYQQNSFWTFPRGFVNLYAGSWMRMSHLLTMNCLNITVMDVPFTNKEINSFLKKWHSGEACPLLKYLHLGFPKLLNLEEVVEGLDGVKVPDGIVREFDIILS >CRE26636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:431470:438588:1 gene:WBGene00083934 transcript:CRE26636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26636 MRSGSIKWKNFKITILHNSGRCGSAHTLFRHRNDFSCILTKEQRVELEKLEKFHKDVTKHYECEMKQKEEVQEMVDGMKKFLKKIEEKFVSFVQKIIDESQQTVVTFSSDKLVIFFRKSLNENDNFWKMVFSDGTRHNIFKAREEMKLITLYQCKVKDCKWKEEGRLWYVWSTHEVTNKTIGNKEGVYIEINEKLINHENETLIRLVRDNHPAYEGDDEALKIQLKREFIFLRDERHCLISVNIAGQLNMLGSVDENSAVNQQVDDSL >CRE26665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:209021:211968:-1 gene:WBGene00083935 transcript:CRE26665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26665 MNNVHQSVDLIVENTYPLKVYRHRLNTGHPNPFDNDYHPGDPEKSDVSSDEDFNSSDPKPHRNFKFSAEQKARRIEKIRKKKEKDIERFGREVDEVEVEEMIYWDEREVIPGYHGTGEGEEHEEGITEHRDEEDGVLEDVEDFQEDFGDFAGEDVIKVAIEDVVEDAGKDVEEEVDVDISVQGVLMSENSYH >CRE26672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:289323:289741:-1 gene:WBGene00083936 transcript:CRE26672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26672 MNDVIPETSESIATSEDTYQCIKKNLDFIRHCVEKLAKSNDPKDMELLNKICLELCQLAMMIKERFPNHIPSSTRQIETNTHETNDIVRVEFPSKKRRRVVKTSEKFGAV >CRE26683 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:411355:413524:-1 gene:WBGene00083937 transcript:CRE26683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26683 MRFGSINWKNLKITILHNSGRCGSAHTLFRHRNDFSYILTKGQRIELEKLEKFHKDVSKHHECEMKQKEEVQEMVDGMKRKFLYFISRTQTKHFKSFGQKFQIFCEKIVHRTFEIHVSLKTHNFETLKKIEEKFASFVQKIIHESKQAVVTFIPGGLVIFFRRSLNEDDKIWKMVCSDGIRNNIFKDREEMKMITLFRCKEKDCKWKGKGHHWYVWSTHDVMDKEVGNKEGTFVEINEKLIYDENETLLRLVRESHPDYEGDDEALKIQLKRQLNMLIPTSSENETEDDLEKSKKKMKKKKKRKDDGNSMLGSVDENNNTK >CRE26662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:175661:175930:-1 gene:WBGene00083938 transcript:CRE26662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26662 MVSALYHQISAREFQSFLQYPWKKSGYMDRDANEPEFTTPAEYCMGKATPEDCYISGCGELGCLKCARCQNWVCFDHLVVSQIHLCPLP >CRE26653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:42631:43585:-1 gene:WBGene00083939 transcript:CRE26653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26653 MLKLREAYEGSCRVESNTVNLMLGIEARIIQKKVDLVDDAKESEKLLQVYKFHLPFLVVTLFFITMYLGFHTITGRPVPHWVQFMLSGPFHCFGCSSPTSSSL >CRE26622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:318766:319524:1 gene:WBGene00083940 transcript:CRE26622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26622 MSSNFPLFLLPDNVILSVIKSMETVEQMMISILSRKTKKIVSSFNLQSYRFTLGTTESCDLEIRCFDLKIRIDFKIFENRTLREALVTEIPLIVKLYATRIVNNRLESELIGWVNRTFKVRDFIDHFMEILHHDRIDEILVSSNDLYPPESMQQLINGLEIRDLYFSEGNSDVDAMIYLNAIKPTRSFFLDGSPLFVWNHTKMSPFFIQSLDHIRQAKFENFFSSTDFSLYFEKM >CRE26649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:587740:589116:1 gene:WBGene00083941 transcript:CRE26649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26649 MQPSTSQQPPSKPKTVSDLHRDVNLFGVPYRSPSPLLYYQLASAEASNENIRYHIGERTDLTRSEILDLREFFNTPSLIGGGDMVYFKRLCTRRVKEMINIFVDYLQQNYEETKVLPPFPSIFHKTIVDVSVECLYRYYLITNNSNIYTAIHDCVKVFYPVPFTHFVIPAELNSNEEFWKTPVQNETLRNLSTTPFRDY >CRE26650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:592809:594247:1 gene:WBGene00083942 transcript:CRE26650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26650 MDIAEDIMMERIAKIRNSYVSPTFQREDSDSPLAMHEDEIEREKEARAAAAKAAAEAAAAAKAAVEAGETLTISTPAVSSSLDSTPLSTADATIATSPETDTPAPPTYVEDVAVPAAPESSPPPPMFIRHPDGTEEVNPEAYIRRGYGWDGAHRQQWADQLARGHDYDSIQDPEIPNYRARPRSRSNSDSPLAKRARSDSEGSESGSKTPDSQRYSPNSPLPYNGTPSPTSQETPVAEDEEEEEEEGQQEEGTEEENH >CRE26684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:420243:420423:-1 gene:WBGene00083943 transcript:CRE26684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26684 MKVPKETVRMYKLNSKEEVAIIGGFDIRRNDGVIATISFCQESI >CRE26701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:575377:576595:-1 gene:WBGene00083944 transcript:CRE26701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26701 MTTYYFPFAQIQNARNQVLMECRDLILCIANYVETTYRNHGHVTKVPQWTVVMIDELLPRMNNIGIPFTSLNIIIPAYFTACVRIHNPSAARDMFYFPQPETNETPLPLL >CRE26692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:510609:510752:-1 gene:WBGene00083945 transcript:CRE26692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26692 MGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA >CRE26666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:217017:217379:-1 gene:WBGene00083946 transcript:CRE26666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26666 MTYCLILHASLPLHVTAFADDIKIYSSNPVAIQNAIDLIENWPSTNSLPLAHTKTALLRLGPKNTSFLYSIAGQPIETSKSVRDLGLITDSSLKFKFHINKTIASALLRTKAVEILQINL >CRE26685 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:447115:447519:-1 gene:WBGene00083947 transcript:CRE26685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26685 MPRVKSESTNPVVQKIVKNTLARHAGLDELRDNNNKPNKETESAAEKMTEKSKKQVSKPSRKRAADSSSSTKNDQNKTAPVPPPKIPKIEKIINVTVHPISQEETDSAVQGLLEAEEREERIMAEYGEYIPKRW >CRE26678 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:310677:311114:-1 gene:WBGene00083948 transcript:CRE26678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26678 MSQFFVQNLDYIVITNAALLTLDDLLLMNVSEIQIDKTRMIEKDFNRFLKHWIAGSNPRLKYLWIGGRGTDDDREECERKLLNEIDHRKIPDEEKRTCVRHMGSYAEHMESVLGGFYIRRKDGTEAIVLSKNHFFHLILQELVNV >CRE26610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:190938:192683:1 gene:WBGene00083949 transcript:CRE26610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26610 MKKFSKLLVKLRTNTYGRFYSNSIDVTVETLTLFTIKEDSECSERSRMYSNFLSLVNISSKNALLNRDEKLKVANKYKPDLDEDWLAKNDFVRNSDGTYKIEKRSSEQAEKEKKDREASHGESSSNAHGAKGDHKQSIKKEDPEPLPSIDVFEEALMVLKNLMIEKTSQFVSEDRVREMIEERLQAKK >CRE26688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:464986:465283:-1 gene:WBGene00083950 transcript:CRE26688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26688 MLKNGRNSKEIVGIELISVNGYFSMRRRLDREREVNLIKEEDVSKILAKDVTKFKKIQGKSDPEDPYGPDDEEHDDVSR >CRE26676 pep:known supercontig:C_remanei-15.0.1:Crem_Contig46:306441:306926:-1 gene:WBGene00083951 transcript:CRE26676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26676 MLLSVVSMRAKKIVCSLNFDPRKISLDTCASCKLEFRSYSFYISLSFEKFEEDAEREIVEIERPERVEFYVARLVGNRIESEKYQWENGTFEVRDYIDHFMEIFHNDCIDELTVKREDAYPLESIQQLINGLEIRELEIYERNSEDDLMNRLKKIFFFRLS >CRE13791 pep:known supercontig:C_remanei-15.0.1:Crem_Contig461:16226:16796:1 gene:WBGene00083952 transcript:CRE13791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13791 MISRFESFLDQNFPNWKSLSDDILNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIASYLKREWAVQSNHPSGPYVYIKKDENSLYPIDLVHHIEAETDEEIQERQQLEKKERWDALISVSKQITVLGRKHGYVKDLNFKNELSKKVEKLARRMIGITLNS >CRE13790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig461:15647:16154:1 gene:WBGene00083953 transcript:CRE13790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13790 MSRFRIPRSPFWLSPCKDDEIDFFLKDAITHNGHHLENLSPSITEKYTSFLNQPKILHVWDTITYETVPLPIIIHDYIATYTRMLHNRRSVAEVYLEDYGYALTFPFSPVIADVLGRYHPIEVCAVRIQNPSVNSDID >CRE13792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig461:11745:11876:-1 gene:WBGene00083954 transcript:CRE13792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13792 MRYLKTVNEISENSKVCSIRHWIFYFCAKFQPIVFKLNKAAHA >CRE14362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig462:1697:2641:1 gene:WBGene00083955 transcript:CRE14362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14362 MVIYLCSFQTSFKVSTPNANATMVDGRKRPSRFDYPLDARPGAGPSHRPILAQDSDIEEVPFYKTTPPAYICYVDFTSNADPNLSDLVLVADGRRFYVDKRVLKFFTQELLTCFFLFQNLARHSTMFNAMFFGRFEESRRDEIKVGDVAEKSLQRFLELTVGVHKVLTDENVEEVLALADYWDAQIVKKICEESKTMGIPKKLEGATTGSTNSSNLECTKTSSSPKESTIRQKWNFGFGGSQQLR >CRE14365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig462:19148:20387:-1 gene:WBGene00083956 transcript:CRE14365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14365 DIKADEIQSSCKKSTQEKDENIGVTDRCTEANTSDDKLCSEDQTPGKAQRSVTFTQYETKKQSVQQKIVSDVINSNVNLVKDQEERDVQNTILEKESMQLLKNMLVVKNTKNDYNANCVSSMDGDSCEDEAKRKKVDQKAEDTEPCIPAYRRTYLA >CRE14361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig462:47:1162:1 gene:WBGene00083957 transcript:CRE14361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14361 MSQFDDGQQPFERVVNKLVSKAVESKEKFRIQKNAVEEAIFQKSRIVDQLRNKKETKNKLQSFQSLEDTRTKMDSALMRAQAAIRELRRQQRTAQLLVDTGVGEQELVDNKMADFGIDVAEERKSLEYLEQDVERERVEFSNLLKVGWKAVQQVSDTMELKIEEIQETSEAVEKKIDVVQNDIKKGFKNEQFFERMARLLEYRLSRVEEIQTAGASASTDNQVRIVFKETGTSPCQLDRSPSPVRRRSPSPVRRRSPSPVRVRSPSPQPAHSRRQHSPHEERNRHLGSQQGTGSSFPVRCVFCLEEHLSDTCRRYWTTAARRERLDQRSRCYRCLRPHPNAPNHRCPPVKQCYYCNSSGRHHRSVCRQRPQ >CRE15048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig463:15762:16068:1 gene:WBGene00083959 transcript:CRE15048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15048 MAADVPPTTTEAYTTTTVPVETTTEQVYQNNNRLHSNMHRRPRRQRVMLPTLL >CRE24378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4674:656:1210:-1 gene:WBGene00083963 transcript:CRE24378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24378 MSLSAKSIAIVYHSPFGHTAKVANYIAQGAEKIGVTVHQMDIEHIDWDILDQANAIIFGSPTYMGSVTGPFKMFMDSTSKRWKNRDWQGKLAAAFTNSGGLSGDKLSVLQQINIFAMQHGMLWSGLPLMPTGVKPTDLNRMAGYLGLMTQSDNAPVEITPPFGDLQTATWFGEYIALLINKITP >CRE23869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4675:1184:1930:-1 gene:WBGene00083964 transcript:CRE23869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23869 MTSIRFPENVEDWRSVEMTYRRRNMIPTLGSLDGKHVRIRAPPQSGSLFFNYKGFFSFVLLALVDGDGKFVWVDLGKLRKKDVHDFISDFKGSPGSTNDATIYNNSRLKTVLEDGATLPKCTFWDSDIVMPSFIIADGIFPLSKSLMKPFGRRSLTPEESIYNKKLSNARVRVEHTFGMLAKRFRILDRSIECSYECAIEIVTAMCHLHNLLVPPTQSNSIDSVEECDVYPYKDAKEQREYLKFLLNK >CRE20088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig469:8:3816:-1 gene:WBGene00083965 transcript:CRE20088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20088 MHIPSLFVDPFSPLLQHPTEQRLKNVDHAPKRPCNLTTVEKQLAVRNALRYIPKEHHGLLAKEFAEELNTYGHIYGYRFMPNFDLYAPPVSEIGANCEKASAIILMILNNLDKRVAQFPQELVTYGGNGQVFSNWIQFRLVLRYLFTMTDHQTLVLYSGHPLGLFPSTPDSPRMTVTNGMMIPSYSTKELYDKYFALGVTQYGQMTAGSFCYIGPQGIVHGTTITVLNAGRRMGLSSLAGKVFVTAGLGGMSGAQPKAAKIAGCIGVIAEISETALLKRHQQGWLDVYSKDLEEIVNWIKEYREKKAVISIGYLGNVVDLWERLAEEPECLVELGSDQTSLHNPFLGGFYPAGLTFEESNELMTTDPAKFKKLVQNSLIRQIAAIDKIAAKGMYFWDYGNAFLLECHRAGANLLREDAQDDKSFRYPCYMQDIMGDIFSMGFGPFRWVCTSGKPEDLRLTDQTACKIIDELKETNVPEYVKQQYLDNKKWIEEAEKNELVVGSQARILYSDRAGRVALAAAFNELVRTGKVSAPIVISRDHHDVSGTDSPFRETSNVYDGSAFTADMAVQNCIGDSFRGATWVALHNGGGVGWGDVINGGFGLVLDGSPDASRRAEGMLNWDVPNGVARRSW >CRE25649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:199683:200310:1 gene:WBGene00083966 transcript:CRE25649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25649 MSRPKVGAIGALILVSFILTAVATFTKNWIVWNTGFFSYSVGVVPYNQVTIFIERNFKNWFFILNRPSWFLASAIMMYISFALFFPLFLIYFHASYKVHHHGCCHSIRHSFNGISLICSLVVMLEAVAFILMAVNSSSYMNVFFPDLGSSAYIALSSAIVATIAMSLSGHVARHHCH >CRE25714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:255777:264891:-1 gene:WBGene00083967 transcript:CRE25714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-pat-12 description:CRE-PAT-12 protein [Source:UniProtKB/TrEMBL;Acc:E3ML90] MPYLSTRIPDARRNVAGDFPSEHSKRSQIKLEPLEQRRFRSTESRPISTSNYLTESVERHRDMEASRLKEYLRAKEGDANQPWNKPGWPGPKKGPNDESLRELETIKQQPQASPISPGDSGWLIKMRKNRYLDHTSKLNEPKKYRSMDQLPTGKVGVAPDDNEKSEGSDPLHWQLRKEFHLAKYSERRASYHVESSSYIHSHSSSQGPPHQPSQHNHHPPPLSPPPVPAVLQHQAHPSHHPPPTVQPVLNRPLSKSQLLYPPGSTENIASEHKPAIRPPEKVTVYENNPIAPSELNVQVKRAPPQGPPPPPPPQAAPSDFDYPERLMSPPPTHQPRSILKGYSSSQQYYSQTNLSESHHHHQQSHQEQHSTLNPQQMHPRPSDRRTPTHFEDLSEDEKTRIMHENLQKHRNMRSGPNGPRPVVTNFNGPFFRLEQVSPGQHQQPQQYQQHPRSQSVDPSGDGLGNHRLLQQTREISASEIELHNYSRNVEPSVVVWPPISEKERKRPNSVLAKNFQDPDKIDEYHRQKRLEQEAIHRHEEQQMISMISWSDVSSANHGVDFSTASFSLSTSTTTPPSATTASSATILSTVGSPGTELXXXQYKDPEPQSYPVQVFETRPISALSDQMDQPQQPPTSWKRTYIVERPRDVAKNEILTSEELLEKESYDVDLLKRRETFVEKPDEPPRINRLGKRWQPPPEKPYVWPTLRRAMSVEPNARPIDFAPGVPQNYDDNEEYKWEPVVNDPGYKKEDKNFTPVSSPPASPRRGHGVGPLDEPAKRQAKYVIQPSPDGSHRPKAVFRKERHTPSGGFYPHAPNAIKVVKKRAQSVQGLLSPTDNVEIIHQRNYHRLDLEQNGHHGQKLRRNHQHGGSEIDLRRTQDLPDWEKIYELPPHSSQIVQKDMPRHVDVQRRLSKFEGSIQNLRAASSTQHLDSMQQLHFPMPDYEPPQPHHQRRRTESSGGGNYRGGGPPPPPPPPMSMSLHNQQPREMSRRNSVASTRIDSPSLMIPHHHQRQSRSDSRGPPQMSRAASSIPLSPQPTPQHHHHHHSQRPTTPGATRARNYIARATAPSPTPYSYDRARAYVPPALPPGYRLADPLPDQRALSPSPGHTRKLIRNVSESAQRLQQPSGSTPQTSRAPSRHSHRQSPNPRFL >CRE25673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:443664:444215:1 gene:WBGene00083968 transcript:CRE25673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25673 MEDDFAEDHQEIDENEKDQMSDVEEEDEKQLDPKMWDEEKKEQDKEKNMDQKQQRRQKKRIKRKKVRKKTTRRDVKMMSRMKTMKFLKKEKSKDETKEDDDGDETDKEDEGEEDQSGHQNLEEVDDSEKANKKRDEHEDEAPE >CRE25688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:47713:48328:-1 gene:WBGene00083969 transcript:CRE25688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25688 MEIISKQTYAVTEMEKNLRSEKKRRRWEAEDQERDEVEDQEYEPEFEEEEEEPRKFFVSRRKAEKRKTSVLQDSRKGEEEPRNKF >CRE25687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:46145:46498:-1 gene:WBGene00083970 transcript:CRE25687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25687 MNQLNLLLVFCLLALTALPAFSQSDRSGMTLDTMGAFEDDAAGANEDSPRFKRWGGWGGRGYGGGYGRGGYGGYGRGGYGGWGRGGYGGGFGRGYGGWGK >CRE25682 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:225:347:-1 gene:WBGene00083971 transcript:CRE25682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25682 MVGFAAGVLDLQSAVDEWYNVKADRKIMALFLLFTWQVFR >CRE25736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:424568:426084:-1 gene:WBGene00083972 transcript:CRE25736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25736 MGSKNREKKRIIKCNIGITGSLRDQIIELLYMIMEKTLGEKQVIEWISSKRWSQAVVVRVQAGYSNSMFSQSCNSYVIVFIGAIVFILALVILGILAPITLTSMLTSSAQRMPPDSTDLSPYSIRHPKFWPKTDKNHFNNLDGIPMPFLFPPNVSTCSGFGFTCTGAVKMIIPSSKRCDGIKDYQDGFDERIARNVNQYFHAVLTSKKIRRRSARPKSSQH >CRE25640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:169683:170859:1 gene:WBGene00083973 transcript:CRE25640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25640 MPISFSSCFSDDRFKCKLVEFFLNYGLYFLLLNELPVFMSTHNGGYDSQYNNQYSNQAASSETKNPVENHQQRAPEVNSLVARFEQEIKVRDEQLAALRKRNEELMSSSFAGGPSDCYTLSWPVEEDDTQNYPRVQKAAQPTTPIVTDFITAQIPIRANGYLCAALVDTGASITIASQEVCKFLGIPSLQAYKMRKAVGLGGITVEMAGSAVIEFKIGSNKIQHRVHFTVGSCTPPGASGYDFILGNDLL >CRE25738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:427852:428669:-1 gene:WBGene00083974 transcript:CRE25738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25738 MPTIRSNLSIPLTHLNRIDDVRMETDDVEKRSATAFRPLCVKCGVFGAQDGSGYAEFGNTRVLAQMYEKKHLTSVNKLYNFSTGPEGDGKWEEAHAKVTITLKGVENETKVAELRADLTSSLSAVIFINKYPGKVIDIEVTVLSDDGGVLSTAITAVTLALAHSGIEHMGLTASAHVALKSNGDYITDPSTSEAEDAIGGVTFAFVPNLGQTTCVNLYGRIPLKATSPLLEFARQRAIALVPAIHKAVVNSVKERK >CRE25745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:456722:457450:-1 gene:WBGene00083975 transcript:CRE25745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25745 MKLLSVFFACLLAVSAFCIAQDGVKGHSMTWTKVESKGNEMSNSQMPHLRQKRWYGGFGGPFGWGWRRPFWGWRRPFWGWRRPFYGGWGMPFGGYGYPFWGR >CRE25728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:371197:376179:-1 gene:WBGene00083976 transcript:CRE25728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25728 MIPEIVFNSISPETEVSANTGRSTTSSKHVYTANKQKNSRQFKRFDTTSPFKHRDVRDLLKSAKRRLKRITDDQVRKHQWSETDVGLAPGDVGRRKTSENLKIQSFSGFSDAKISELARQSYSGNTTSKKEVAPEKFLIDLNPDSKSLVWLLDGCITSAGQPAPNAQLPTEPIASPTNIVIDYRDRVNQRDLSRNNRRRNHNGGGNEWSERKCYYCQKTGHYLRGCRQKREDRENGIITQRSNRDWNPRHNHQIDVNAVFRDDEVKALRDAIQARDEQIEELSKQLDRISQGSHYSTSESSTSEASHLRSTYDPPGESSADSLFHKALKLTMQSYHEYRKDPEYQNEVSLERLLERLNQAIKSLAIREAPSTTDQTPDTAREGEACLVPNQQPPEPTQLSTQLAASLANTATDHKDQDDCRDHRSERQGRDDDYGGRSSENDSQVSRREILLTFTGKCHYCGKVGHMARSHKLKQQSVANQQKSKDPVSNHQVIQVDAEEEASCLREMILWQDLRIHELKEWNDRLRRDNSSSTSGNQTSQADPPSAMALWTIFGQKNKFPRSKFNLV >CRE25702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:176405:176992:-1 gene:WBGene00083977 transcript:CRE25702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25702 MVKSGSPQESHRFCKLYSSFCKLIRSPQKKIFEWPPPPTNLAPRNHQHLHRGIGYIDYESVEDAEKSIKYMDGGQVDGQVIQVEMTIGGRAYVPGQRRVSPFRRRASPPPRDRKSPIRRGGGGRSPPTFRRRSPMAGGRRSPMGGRGSGANNAPLGPSRFRRGGSRSRSPVGRRSRS >CRE25631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:45376:45781:1 gene:WBGene00083978 transcript:CRE25631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25631 MASAYYFIAPPTSPVEIVKHHMKYVVEAGDAENATTFLQLIEFINPAKTNVTLAMAVFSSYEYFPITAEFFNHGHVYTRVDMKKPEREMYMYFMLRPTTSSPSGWTIYNVT >CRE25680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:497501:497710:1 gene:WBGene00083979 transcript:CRE25680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25680 MGKIANETTIASDQIANETNIAIDPVIANETNIASDQIANDSKANEYLPKLQAASKGTKSISAFFAKKN >CRE25629 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:31271:35287:1 gene:WBGene00083980 transcript:CRE25629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25629 MRRGKESDDVDDDEKKREESEEERKATGGSELEDGVKFGEQLQDDLINTFCDKLQKACPRTLDAFMAIQFLMITDEKDIRRLVTGTNPALQVLYDRTRSHYVLVYYNPKYNKVFLFDSLQPYDSAETPQILNEMVFYISRLFGHLFTKHIPVIVDKEFQRQAHAGYYNPMLENYRANSERYTRSIPPRNLYPYRPSSPLSAPYFQAPPTPWRSPTALGPPPPVDNTLISCIRNFANQSIFNGHIFRRKSDRFELENDVEKGLGVVEKREEE >CRE25741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:435896:436282:-1 gene:WBGene00083981 transcript:CRE25741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25741 MVFYIPYSPFATANWSAAPMPPRPSASKSSSQASSVSSTVDENEAPKVFHSLEAVRPIALRAHENSAFHQMIHPRGPSETTSLSPIPKSEIDSIIASASRMLHDSNLPSDILQNRVKQLNNLISQWSQ >CRE25701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:175417:175843:-1 gene:WBGene00083982 transcript:CRE25701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25701 MLKRKKNNNQRIMLQLREHIIVLEYLLYYLAQQIHPVVSRLVEPIEETDAVRIAEPLVLDSSNYKKAAAAQAVVSESEDCLRSAAVNFSSLRLSPLASPLNLLKGEAAENAIFARSE >CRE25646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:185124:186544:1 gene:WBGene00083983 transcript:CRE25646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25646 MLRSNTLDPPPICLLVLKDNRKFCSICYQEITTRSVQDDALNQKINRHGKEEHGRKETQVNCSVIRETDDGEVKISYDRGNIFYCLSEKELEDEKKGKKVVRENKKEEKTSKHRVEVKKEKKKNEKTSKKVVEVKKEKKKDEKSAKKVVEVKKEKKKDEKSAKKVVEVKKEKKKDEKSAKKVVEVKKEKKKDEKSAKKKVEVKKEKKKDEKSAKKKVEVKKEKKKDEKSAKKKVEVKKEKKKDEKSAKKVVEVKKERSSEGDRFRAVQEERKIWNRLGLVLSWSFSLSVTSDGTSPGSKTRVLFNGASQAASKSFMETDFEAVLNLSMRPPYVPKMVCSILAFLKI >CRE25696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig47:137509:137847:-1 gene:WBGene00083984 transcript:CRE25696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25696 MKRMIIDLQRHWLNEYHTSREKCLVELTEKLHQEFMQDQQKIRADLLQQFKEELEQTRIDLDNKHRENLKMESAKLIEKHKRELLAAKKKQWCWSCENEVCKRLSLMKFFKF >CRE18291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig470:7974:8876:-1 gene:WBGene00083985 transcript:CRE18291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18291 MCLAQSPLDGSESSSSGSGSSGSEYLLPRLSRLGQSRRSQKKFRQSSRSQQSSQEPSGSGLIGTNSNLHYPTSRSPSRSASKQRSNGVKESQRSDKSEKMDRQKKTNLVAPVQALSVRGNSKSTVRRTMRGRGRKEGMQFSAEMRQRFLDNKNRLQQKETVRGRGSRGGRIRDRLINQRMLSRGLRGDRNRKHQRGLCGRSQRRGPCGTQVVDGRRSPIKLRARPPTEAPTTNQRGGGGRGGRTNGGRGARRHFGRGRKN >CRE18288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig470:9140:9921:1 gene:WBGene00083986 transcript:CRE18288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18288 MKLLLVLIFVLFSVSTVAVSINALATGFTGLASILDTAVRSSESRSVPSSRIESVHGKVVHSVGIKPLAVGDVLEIVIYAKQSFKIFIHSDNEFFVPIALIVNHWKKELSITSPKFEDFDYDEKRRIPFVLPSQLLINFEVLKIGWKVTINNDWVMIYEKRMSLAFAHTLSIFGDVMVQTVQLYQYSGLEENEAEYGSYEE >CRE13885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4701:222:458:1 gene:WBGene00083987 transcript:CRE13885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13885 MGYEKLLEIDSVQVILGGNGISNMGWNSFIKKWIAMETHLNLELLDIDFKSLEQFRALVLYYIPHKVVDGSVKRVLKA >CRE13886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4701:1456:1937:-1 gene:WBGene00083988 transcript:CRE13886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13886 MDWKWIAMKTHLKLEYLEIDYRDIEKFRALVLHDIPHEVVDRRVKRTFKTRRNETQEISGGIDIKRIDGKTATLFVYRVFSTDRFAMSIH >CRE18391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4709:73:1707:-1 gene:WBGene00083989 transcript:CRE18391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18391 MSYQTSIHFDPTALLIIKKEVDNSILQVESAVSSLVEDQTLPFGIDDALLQFEQCVNVLMLIDMPHVAKIAQLSAAVMRKVMQNPHEINTQEVIALSEGTTMLKRYIEFICLREVRAPQFLHDTLNRLELSLGLDLTPEGQAIIPLLDCVTPNFNLPQSPELEHSVYVHKLYKLCLHKLLKQQETDLDLQGIKLVGTYLANAAKGQASAQYWALVSVALNHIENIILNDTRLRTLISIETNMSLFFKDLSGFNPSLLDTANILSICISQEDEISQHIREQINVGEDILTDTQLQIFSRHLYGPDFETIHSVSQLITDEMSQIRNDIEFNYKNMSDEKTQELKSKLTDLAHVFKVLNLNEAYSGLKQQADLLSQDNMLKDENYAQQLMNSILSAMNSIGILERNYTSSRLQLKVNNLQISLDRLDEAHAALLTETKALVDLSSQTLVQYLQDPQSTSLDQLPSQLSEIGGALLFLAAKDGQKALLLSAEFIQTGLNKEHVFNLAQVNKLLDVLASADMMIENLQNKQPVLQAMFDVALTSSQNLKS >CRE18392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4709:1835:2248:-1 gene:WBGene00083990 transcript:CRE18392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18392 MNFLDMEFKRSGLQALSEVCEVTDTLAMAKSKHPGQKNSLDALVRRYEIPQRDRTFHGALLDAEILSDVYLAMTGGQVSFDMDALSAHTDQAQRNTTRKKIEINAPIILPSDEELEAHENWVKQFQEKHGEACIFAK >CRE21713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4711:1297:4049:1 gene:WBGene00083991 transcript:CRE21713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21713 MTIQQLTNNQATPDISSDHIDDAPISESVIAQLAAEFFSALPKQNPQGFNFDLPDQRSQGVSHQPQPKDPIAALSGRIPQLVWLDNAATTHKPQAVIDRISYFYQHENSNIHRAAHELAARASDAYEHARDVVARFIGAASAKNIIFVRGTTEGINLIAKSWGTQNIQAGDEIIVSHLEHHANIVPWYQLSKETGAKLRVIPVDDTGQIQIEEFVKLLNPRTKLVSITQVSNALGTVTPIQEIIALAHAAGVRVLIDGAQSVSHMPTNVTALDADFFVFSGHKVFGPTGIGAVYAKSELLESMPVWEGGGNMIQDVTFENVVYQPAPNKFEAGTGNIADAVGLGAALEYVESIGIHNIACYEHDLLEYGQHALQSVSGLRLIGTALNKASVMSFTLAGYETEEVGKVLNQYGIAVRTGHHCAQPILRRFGVERTVRPSLAFYNTTEEIDLL >CRE23870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4715:242:3282:1 gene:WBGene00083992 transcript:CRE23870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23870 MFYLFISSLNSGHDATTSLLLISGADKEAKNSYQQTPLQVAVESGQLGTCQTLVGKGAQIENPSDTKTVLHLAAIYGHDTIARYLIQQGATVDRRDEKGRTALDLACDNGKKEVARVLLDTYEWRNLMIPHDVIPLDKHREPVMMERTTPFRTLLKKFPDLASLVMDKCVERSKEDDDLTLCIAYDFSYIDDTYMSRVANEDGEGEQLIGYKCPYDEDNFKLIKDAQAYSSNYDRIYKNHPLKLMANAEKLSLLSHPLSMALLKYKWNRLGRVMYYSALTIYLIFVISLTEFVRHTKAPYNVANGETYYDSSFFEENETCPQIQIVKPAFIWKRIIQILAICQIGIEVFQLYQRKFAYLTNWENWIDCFIYSTALLTFCIIMTILLMNLLVGLAVDDIKGVQEKAELNRLPMQVDLVLQIEASIHIFITRMKKYPTNRYATFPAGKLHKSGLAAWWTNFRKRFGLNASSDSDMDMQIEYENVSCDLSTFSATSQPKFQEITTELRSTLKMQFNQLENLQQNIDVMYEKQIRLEALILNLAKELKIKNINVEDVDH >CRE23871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4715:4054:4786:1 gene:WBGene00083993 transcript:CRE23871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23871 MLEISHHMANVLRDSCPLLSDILERDILMVQLSLPRFDLFHFFFFTSSAFQIDMVTCSAHCRANFDPSSEESFPCEGFNFRAGRNPVCEFFPATGTNENHTKSLKIEEVPTFYYEKVCLQIAKRCEESAYMFDVKNGYRIDETPIRIINASAEGQCMEECVKIQCMSFGFHHAAKRCSFYNSTRRDAVIIKDVKMDYYENNCVHRIFLKTHF >CRE22766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4718:3685:4260:1 gene:WBGene00083994 transcript:CRE22766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22766 MATIHVDGKSYEVNGSENLLQACLSLGIDIPYFCWHPALGSVGSCRQCAVTQYANPEDTRGRLVMSCMTPASDNTFISIEDKEAKDFRESIVEFLMTNHPHDCPVCEEGGHCHLQDMTVMTQHDRRRYRFTKRTHHNQELGSFIAHEMNRCIACYRCVRYYNDYAGGTDFGVYANGITCLLRSSRIRHFGV >CRE22765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4718:542:1255:1 gene:WBGene00083995 transcript:CRE22765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22765 MFLNLGPNHPSAHGAFRVILQLDGEEVKDCVPDIGYHHRGVEKMAERQTWHSFIPYTDRVDYLGGCAQNMPYVMGVEQLAGITVPDRAQCIRVMMSELFRINNHLLYIGTAIQDAGGMTPVFYMFADRQKIYDAIEAITGFRMHPAWFRIGGTAHDLPNNWQKLIREILEWMPKRLKEYHTAALKNSVFVGRTRNVAQYDAKSALAWGVTGTGLRATGIDFDVRKYRPYSGYEKLRF >CRE25049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4726:1187:1645:1 gene:WBGene00083996 transcript:CRE25049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25049 MSLSSLDLNLIVLLVLLACGIFSHNTAVTIAAGVLIIFRITPLSEFFPLLQTHGLEVGIIILTIGVLTPIASGKIPGDVILKSFMHWKSLTAIAIGLLVAWLGGRGVSLMSSQPNVVAGLLIGTVAGVALLRGVPVGPLIAAGILSLLIGKT >CRE25050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4726:30:516:-1 gene:WBGene00083997 transcript:CRE25050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25050 MNYDVVIIGGSYAGLSAALPLLRARKKVLMIDAGMRRNRFAEHSHNFLTQDGNTPAAIIRDAKLQLDKYQTLSCINASVTDVRAVGQQYEIQIDQADDTGTTIQVKKIIIATGVKDDLPKIDGLAVYIRSIWH >CRE30646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4727:562:1074:-1 gene:WBGene00083998 transcript:CRE30646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30646 MTFKNFEIVHVVAMDQQRCIGKANDLPWHISADLKHFKEITQGGVVVMGRKTLESMGRALPKRVNWVITRDQDWNFAGVKTAHSIDAALEQAIPDVLASEKPASIFIIGGGEIFKQTMHIADRLELTHIELDVQGDAYYPEIPTEFKKVASESHVDDKTAIAFEFATYRK >CRE21018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig474:15099:16598:-1 gene:WBGene00083999 transcript:CRE21018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21018 FNSEHFIWIDAGYGHANENFFLYSYKWRPALPDGKISLIKVTPDFDDLKKYDLPKLYRKNVALISGGFIAGDKHAIGQLHSIIHRKFIQLIYQNRIDDDQTLLTLAVNSFPQLFHVVYGDWFDAFRLFDTDPEVQLG >CRE24857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig475:12365:13013:-1 gene:WBGene00084000 transcript:CRE24857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24857 MWNNIQGIPFGAPNPGDPPYQGRGRRNPTERPDALNTQNVTPGAQPPTTHYPAPGAMNGENPRHVPHRSPTSSDENGLLAEGGSLSDVIPGGNESPSNNLSGPVSVSSTRAVGVDSQPGRDNNPGFGRQVEMPNPPNYGRCAVCGKVFDGPLSSRRHYDAIQSHSPGFSLWNNDPLFPEENPNNL >CRE22768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4758:1783:3015:-1 gene:WBGene00084001 transcript:CRE22768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22768 MKLIRKYHIVPYEDGSAVESAKRFLETILNDPTLETSEKCRFYQDLLYRIRQHRELPIMTDEVFDDLRDTYSQQNSNNEASAGAVAVPTLKRELAVVVPKIEKQEPEEPMDEDDDDLKELPAVFKRVKTESADNAYQQQQPTARRLSRKRLHVDVDDVEDNDRFETTMKQRRVAAATLELPSPPVRTSRKRKILDNVKKQKLNIVQPTRPSPPPLLPPPPPTNQRRPPKRRHPILHSKIPEKRRKFVELFDPTGGKLPVWRVRKDYRFAPYQTERPKKEWTGRRHVAKLKNGKLAAAVKREKIKKREDEEEEKLVKPKVELVQEMWPGSDDDDDDDDDKMIDPVLMKEKREESDSEDDVKPDASWRRGTKRPHVGDDDEFWPERKKRRTIRGAGPAAPAGGRIYCRLWKF >CRE24293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig476:8894:9888:-1 gene:WBGene00084002 transcript:CRE24293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24293 MEVMALTLSGSSSSSEEHHRRRCKKLKDLELNTDVKPYGRDAKFSSLERKGKHYTKISCPNGGKKYVLLGDKTGTKVTYNGMSNDNSMILAEGVNIDLVAKRNGKRIKLSLCSKKISTQINNARLYSQKVIVDLDMLNKEINVYSENKKDTLEISIYPGSSFLNSSTRQFFTACYAKGIAIFWKNYREGYLYVIRHITRSL >CRE24291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig476:3293:3615:-1 gene:WBGene00084003 transcript:CRE24291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24291 MSSPFLFLRLPGVVLCKKFKSLSIVEKFKLSLCSNKISTQINNDRFYCQKVQMCVDVTNQRIDIFSGNVRDWVQIGIHLDREINNPTV >CRE24287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig476:2011:2788:1 gene:WBGene00084004 transcript:CRE24287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24287 MPTFSLLRLPGVVLCEVFKSLSIGEKIKLSLCSKKISAQINIARLYSEKVIVDLGRVYCIYLKGSKDEIMFWNQISNKLKLVEDLELSSILKPDFNPVFTSWPQKIGIIRSAWFSLEYLLTCTCTTIELDWSHLGMTDFNTVLKNWKTGGFPNLEYLYVEGQNIQNNGTMNWSRMVIQTDDGSKKATINTRYNRIKMYVTPFD >CRE24288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig476:4096:5037:1 gene:WBGene00084005 transcript:CRE24288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24288 MCFELSMAPQTETLSRPSRQPPTQLSITNSFISICSKKVSTQINNARLYSQQVIVDLNILSQKIRVHSENNEDTFEIFTYSWSSLNSNAHQFVIAGCTIRGTSISKGIQIFWKNHSEGFVSVIQHLLKMFQCKISTIINRLNCDLYQPVVSELFHLQLEFKKLTISIDGSKRRNLLWNQIANNLGLVEDLIIVTILPSVFVPVFTSWPQNINITSSFWFTLKATCTCTTITLWGSYLSSMDLDEILKKWKTGGFPNLERLEIHSDNIEDDGTMILGMNLEELNAKVIQTDDGSKKATINTRYNRIKMYVTPFD >CRE24292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig476:6281:6886:-1 gene:WBGene00084006 transcript:CRE24292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24292 MYCGHARVLQRTRYISKIFQCKFSISKNFNSDIYQPVVSELFDLQQEFKTLTIDLNVLKDRKLWDHISTKFGLVEDLSISSSFDPGFRPVLASWPQKIAIMNSDWFTLESFLACTCTRIKLGWSNFGNNDLDVILRKWKTGGFPNLERLMITSGKMKDNGEHILGMNWSELEGMVILSDDGSKKATIHTYFCSFKMSVTPI >CRE01523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4760:74:581:1 gene:WBGene00084007 transcript:CRE01523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01523 MPIPQHTSDEIPHRPLLRDIAEQRIRSAILDGTFRPGEALHDRELQDWLGVSRTPIRDALNELARAGLVEMEANRFTRVVTPLPEETPEAMHTLGVLLGGIIRLALPRLSAEEHADVLHRFERTGALYAAGELRGAREEVYALWTALAELARNRHLLRVFRDSFAGLFF >CRE26047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4765:138:1394:1 gene:WBGene00084008 transcript:CRE26047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26047 MPVLQDPWLEDQFMLVFSKILSQTQLSQPVGLVVIKDAQINAFAVPGGMFALNSGMITASSNMDEVASVMGHEIAHVTQRHYSRSQEAFKGQGLLALAGIIVGAALASKADGDIGGAVMMGTQAALMDKQLSYSRNQEREADRIGMQFMYGAGYDPESMADFFETMHRSTSRLSFLPDFWLTHPLTTERMSEARLRANQFPKVPFRAQQSDFDIIKWYTAVISGQATDRQLLALAQSNNLAGLLALSEFYLLQGDYDNAQTQLNLAKKVNAEHTLVTLIQTDIYLGRNKLDDAYQTIISKQIITPENRVLSYKLAEVYIRQQKPKEAEQLVNRFVNKNNKDIIGWQLLQQAANLDKKNPMRTVNVLRNRAEVQYWSGDEENAIKSLLHAKRLAKENNAMSARIDTRLKVMQDERKLKI >CRE23190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig478:1295:2855:1 gene:WBGene00084010 transcript:CRE23190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23190 MSSLVSSKQVLSRLGLWERSTACAMVVLGSIDPLFFPYTSKVSPQNAGTQRNKQPPGFATVSNQKTITIELVGSEDRILLWNQISNKLELVEDLTIISVPNPAYTPVFTSWPQKIGITSSYWLTLENLLTCACTTITLEDSRLKNKDVDKILRKWKTGGFPNLERLKIHGRNITNNGTTILEMDWMELNVMVIQTDDGSKNATIKTNVRSIEMSVILF >CRE23191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig478:7703:8524:1 gene:WBGene00084011 transcript:CRE23191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23191 MLLDRQVEFERISIRHNGSEDEKLLFNQISSNLGLVEDLRIYSVGNPGFSPVFTSWPQNISILSSAWFTLEYLLACTCTTITIWNSLLGNKDFEEILKNWKAGGFSNLEYLYVESQNITNNGELILGMNLMELARTVIQTDDGSKNGTIRLDTGSIEMTDESKHVFSVNSFKLHWSDPPAFKKPQIKRFLIKILLQPITRDWKR >CRE29239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig479:19134:19802:1 gene:WBGene00084012 transcript:CRE29239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29239 MEVPKPFSWYPVTSRGALYDRSCRFGNYDINFYGGLDWITEDVMTPGDMRMEWLETELNDRKRKENGKNRGKRDQQLAEDTLEKMIEDARRLPENKTSPQEILKKWYSTTIHFTMKGRTCYKTETLTYDKKLIEAKKYVATRLFGNRRHSIIVRHFYFVEEESEVVRLPSGFLQFKVQSLKTCTKPAAVFXXXXSSHQSTFPSTRSVAVLYHLSSEYHRQSV >CRE29240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig479:21064:21519:-1 gene:WBGene00084013 transcript:CRE29240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29240 MVDAVFKHYDHDRDGFISQEEFQLIAGNFPFIDAFVNIDVDMDGQISKDELKTYFMAANKNTKDLRRGFKHNFHETTFLTPTTCSHCNKLLWGILRQGFKCKDCGLAVHSCCKSNAVAECRRKSSSNLTKAAEWLSSPRGSVRSKLFSTCK >CRE20672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4793:382:1600:-1 gene:WBGene00084014 transcript:CRE20672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20672 MTEMIALSRERGSGAVRRRRRRTMMRWGGKLLQGVITLLLVSLLIFFATQAMPGDVAKVILGVNATPERLATLRKELGLDAPLLQQYLTWLGGILRGDWGVSLTNGAPVADTLSLRLRNSLTLGALALIIMLPISLVIGVIAAQRKDRVFDKLFMGGSMVVNAVPEFVLGTVLIALFGTTVFRIFPPVSLIPPADMPWWHPLALVLPVTTLVIGGVAYLSRLVRVSFIDVMNSEFIQTAQLKGLSTRRILYRHALPNALAPIIPAASLVAAFLIGGTVVVEYLFSYPGIGLSLVESVGNRDLPMIQAVVLIIASAYFVFNFIADLLSDAGLGGTHDPAERPEPRARVGAPSALPRARTVLRLEPGALGDRPRGAHDAARLLLHPLIDKSIRYRHR >CRE31181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:28466:29591:1 gene:WBGene00084015 transcript:CRE31181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31181 MKVSFFTIALFLLFSTVEARPVVVANLYGNTDAGMIHVPQKRANRLVLDVTLALLLSYFGLTVGGIIFCCCWRKEVVDTYKSLDDGTSTTDPETPIGSFLNSTQVVTTSRFYY >CRE31234 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:511898:515627:1 gene:WBGene00084016 transcript:CRE31234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31234 MFPNQFATQDQYQSVQTGIPSAGRLSGEESFVLTSTANESYEWNSISKNCGQNGQFSLYQIITNWVLSAGHPMPILDQFDAVHSFSHGKNYSENYGNHNIPQSEHMMNHQQIMSNFIATEIDIFETQNEQPGNSFNSLLTANNRQSAENYSGICAPYEYDEEFQENHNPQIPLNPVQTFSSINIATDIRKLPSHREKVESTCVYRGKTFRNALHLPEHLRTHTGEKPFNCTKCSSSFTQNRTLLRHMRIHSGIKLKCKHCEFDCFRPAGLAEHVKRKHRFEQTYQCELCPNKYTVLTDFTRHKKQHDYDGFQLICRTCGASSKNTRCLRGHQKKYGCKIEDGHLKRKTQW >CRE31219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:355181:356232:1 gene:WBGene00084017 transcript:CRE31219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31219 MESTDKDGHVDDLPLFPTSHRLAHHANDYAQDAQDDGLQERKGKEQRFTENDAMTDEEYRVLLHNLALEESKKRSPLRYGLLTLSNPEPTSQLSPVPARHPWSPSPPNPEQPSTSGYTEENARRASERFEEEMFQAIEESKNRKRKRTGK >CRE31288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:413732:416325:-1 gene:WBGene00084018 transcript:CRE31288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31288 MKPLMILCCFFLLVLLLLPAHVSTFNTSLLQITEDVRFHESNSSGFMHPHAVKKRNAESEELEFDFEWKRWLNYAFHNNESLVLEVVNKFPAHVTLRSCDKLLLESVSPITNSSIALGPYWVQYLRQLIIVSTPAEQPLTLFEASFNLTRFKGCVTTQNVTSEFEEVEIRQDANRVGLYNVKIGGIVSRGMYSINIIMKVYYILSALTQNNTEQMVIKMGSGGPIRLCLTKCNLLLEEIITINDNRNYEVDKDLLVKMRMLDQTVCGTETVNSGLSIMVISDHRLAITLSFHLQPRTTTTQPPPTTTTEAPAIINVDETGVIRFSDWIGEGKAVIDLRQILEKSPNFMLMMEIESSEPMTFNLEKQHRELIGRSVPFSEERFFFSGPWIPHLTRLTEVVEEVHNFTLKFSTGGIKASGHFRFSKSEKIKTQDAGRMNTKEIVNIHQDSMHPVVYYLALGKLIGDAIQNRSLTLRLNSSLPGNVDIGFGKCETNSIAIQLNATDSLVIDQDLLEHMDRMNNLFCILDRNLGRFDLTVSSPTPVNGTLHFGFDSPTEKESFPVLTAVSGTIFGLLVVIGLCVFLVRRRQNHVQVVLRKNKPATEFENRLSTVHYNTQFQQIDFSVAFGPVEELDYVQSDSGSPSETLEAIKLKKMKAVDRVQYRTERLQENRLSSGNNTIRSIQENRPCTSVWVNADVYGNNAAVSDVVPTKREIDGEEVEIDETELYVHDDSRVFFV >CRE31261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:91016:92424:-1 gene:WBGene00084019 transcript:CRE31261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31261 MKHLVLLLFVFFCLTQCENRPLPEYHLGDFGNALFAWEQGLIQEIQRLRPVDPEQESTNSVDQNFTFEEFSQYMQKLRVFALIFALVFMFLIFIHVIYIDLVARGWVV >CRE31225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:441533:444741:1 gene:WBGene00084020 transcript:CRE31225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31225 MDLLRNFAKTTSARAHRCFIATYRTCYESIVKMVFGSPIIENVETSPMDSDNFQLAIQNHKIGEIILEKKRENLFQSTPDKKTYLMLDGDCPEKNTYTLEIGELATPGGETFASSFGDTSGCKAQDGDRLTTSARIMWETTGIKRFSKYSSVTTSEAENKNRYSRTGNGIRYFTKPEQVKLRNVLARICGTPFERIHLDVIGPMQETKEGNQYIIAAVNAIRCGQSNTKPNKRNICQILDGKYCWKSWNSIAVERFNRTLEEMLACTARKPENFDDWDRKLPVVVHAYNANIHCSSGYAPEKVIFGRRTIAPMDLVLVLTDVKSMANHKSNYFHKYGVKSPNQTMFDILPGNVLATGCATGIMMQSAQFDVDNCKNHSEKLREACRKAKSPSSSFAAHPLFPFSLLHSLSFSCVSRRAALFFSFLWVGHVEIDQFLYMEVLLK >CRE31239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:579401:579829:1 gene:WBGene00084021 transcript:CRE31239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31239 MHFAEKKALQEHKAIPEHQHNRVPSSAKITTPRIPGQAGAPGKSAADVESQPTPGAHGPGRPQGPPEPSGTPRALERPWFPKSRKSKRRSGAPRQAEINGDPGPLGQTEQSGGSGERRI >CRE31183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:56424:56931:1 gene:WBGene00084022 transcript:CRE31183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31183 MKLVILIVVFAFFAIPQGQSLPYSGHELRSYDLNSQVPSLPLLPENITTTTTEISTTTISPLNVTNVIVSLVCGLSIIGIIVMVVIVLKASQIGLHYN >CRE31256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:44783:45437:-1 gene:WBGene00084023 transcript:CRE31256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31256 MSGQQPKKAPSTCGQHPKKAEPAKVEVVHVLCDCVTSNKTQVEHNRMKALERRIEFLLQENNDVEIERDRFQEEIRRRNSEIAWFRNDRDAREDTHCCALCIRMYDGQAVLPKTLSCGHTFCQECIDRITVRLQWGSWLRCSTCRRRINMPAGGFQTTYAMVPAYIPAPPGHLQL >CRE31229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:481853:482712:1 gene:WBGene00084024 transcript:CRE31229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31229 MMRFVKDYSKMEQERNMEFSLLVLLTVEFVLCVFGYFMDSSLNNTVVETFYTIWIFNLLVASLLNITTKPVIFSIYRAGFRMIYLAAVVYIFCSYGYMTVSAYVLVFCIADFVYVVNAVSNEQMTYPGKWIAMVNRKWQISEKEADIEHARFDSPISDVEALKNLGDMEWDTSCDYFTADDDDFIFPYPPMKSSESVIV >CRE31300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:532917:533932:-1 gene:WBGene00084025 transcript:CRE31300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31300 MKFLRTFLIFLVAVELTFSTFFNTVGTLTCPLYKKWCYHVAMYEEDSIGFFNDEVGVSGVQCVQKKKVVYNLTGEQNGDGLYNNFYEIAIIVTHNCTGQWFNIRRIYSNITYASVTDKEVFNTWDAELSNDGERVPDYNY >CRE31188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:84328:85876:1 gene:WBGene00084026 transcript:CRE31188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31188 MRTVRRKKKTMKEVKTQRRRKMRTKLQTNDLDVTVDPELVSKQNSTTNANNDEKNEASKTGSEKSPKTSKPGEETETTEKDKYADSSDQTDKDGETTENPPIDIKEKEMHAIAEEISLRALNDKEWANKTGTHTELKEYYHDGTSLSHVSDDPISGLCHTGEFSLEQPCDSTKTALSQNPPTTQRLSVGLLTVRSSRVTSSRSGALLTNNEAHFVQHTLQNFFDLVWIKVRRTLWHPMNLLFNFMVTGGPPKPGFQMYHKHFDAIVAIMIGIMRVINCSIMDSMDISSDFDFFEKVVLFLTPVGEMNAQLRMGILTDMSHAETGFIFVSLFMKQ >CRE31205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:224109:224398:1 gene:WBGene00084027 transcript:CRE31205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31205 MGASISRVYTTGQVEEMRRRDMESDDSEMDDDILLSSKYASFARFSLTICCTVAVITAILFVSLIIAAEFATNGKSQD >CRE31196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:160603:160875:1 gene:WBGene00084028 transcript:CRE31196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31196 MHSIRHRRLKSQLLLLYKFIAGASHFPFLNTIVRLSDSPRRPMALIYLSPLSDNFFSFTIPYWNAITYNVNTFLSPSQFAILLDSSITRF >CRE31298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:524381:528308:-1 gene:WBGene00084029 transcript:CRE31298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31298 MAFPLLRVPFVCLRTVFKTMEDVDLIRTARCSKRMRVVIKSCGRDIGYNTWTPEQKNRLNLKDIMDLFHLFRESEPEEFQARFQLGIRVSAQLICRLEILPKSIALSPIVEMPVYFKPEIYFESIQNLDENDTSIEHLNLGSMVPVAKKGVKKFNSYSENQLEGFIKLVQYFESIFGCRLIKVCIDGSDTDEFSINLRNVVEGLNLSNLTMNAWELHNLAKVNRNDLFYIMENVNTESLAYVCHSFAPQHNAAEHIQECILLDNITKIRCTRLVLAISNCSHRTIVNFISNWLSEPVLWGKTACVALNEMANMELIFASLRENRSGEQLNFNVVHRAVKDWQIITHSDKVLNISLLAGKHVVLKC >CRE31286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:380012:381436:-1 gene:WBGene00084030 transcript:CRE31286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31286 MNGQHTEDNNQSDVSDYGIYQDCATVPSLPPLQTPSPAVRRLDFGYRVIFGEVKFIVQRYKIGDEDGLIRRTVFIRKTVQKRYEGSFWQARREKSSQRGMVEDEKGKQEKQVDEEIEKDVQFSPQQRNSIDEGYEEMEVSDNEGHFDDQKLYPFLI >CRE31236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:548046:548521:1 gene:WBGene00084031 transcript:CRE31236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31236 MSLKLVFAFFLALNMAVPVVGLSCNWVNDWRTDVVHDRKFCTAYFETGAGHASFGGSKAHPKELTTFMYDFMNEADDCQLQTGIPIMDGSGDTTSIWACVCYESHCNFPFSYEEFVRRGHTLRPSFVPSVIPGEDAPGHH >CRE31227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:473652:474159:1 gene:WBGene00084032 transcript:CRE31227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31227 MIVPKLPVEKLWTFSGSSQEICNSHPKVADSIASRIPTFTYDPDNKDTIVIDGFILSDDVRTRLVLSKTIIKLDDTFKATASIYQRRQELFRIEYTRSNLEEYTGIVLRRFATS >CRE31191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:101400:102315:1 gene:WBGene00084033 transcript:CRE31191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31191 MNFLTIGINCVILLVLGVNARLPEYHNHPIFEPAFNRTKNSVTEIVLARNFTDPDTRFHESSFRHRFLKAVLACMAFIFLFSLIVATCIHESLLKRNEDLKKEIEYEKQENLRVSWDNVEENLKTTIFKECSLCFFEVLDKFAALVE >CRE31312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:657472:658133:-1 gene:WBGene00084034 transcript:CRE31312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31312 MSPESNLNLCLENLLRVYCASFSNTYKKKMKSLGAEFEGDKTAMRKSIFEGYFDPKSRNPVIRFFSNKISPCLMLSLRVQVVRLYAFDRKMFYKDGSEPIILPKEILKNLTKKEIKQLLQNHIVRQDESEGLQALAEQAVEVQQQEENFTREEALLNELIDTIKEFHKLKKNNRLKYGINTQFNNRY >CRE31240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:581917:582772:1 gene:WBGene00084035 transcript:CRE31240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31240 MECLSCTSCGMKVLLKSDERQVEKFFIQRRNLDIGFSVFAKEPIEEGMVIACLKGEICGEKHVNNNETVAEYPAFYNFLNSLLFL >CRE31323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:752461:753338:-1 gene:WBGene00084036 transcript:CRE31323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31323 MTQIMFETFNTPAMYVAVQAALSLYASGRTTGVVLDSGDGVTHTVPIYEGYVIPHAILRLDLAGRDLTDYLMKILTERGYSFTTAAEREIVRDIKEKLCYVALDFEQEIATAASSSSLEKSYELPDGQVITVGNERFRCPEALFQPSFLGMKSAGVHETVYNSIMKSDFDTRKDLYANTLLSGGTTMYLGIADRMQKEITALAPSTMKIGVNAPPERKYSVWIGGSMLASLSTFQQMCISKQEYDESGPSIVHRMCF >CRE31210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:266045:268354:1 gene:WBGene00084037 transcript:CRE31210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31210 MHFPSPNTHEHSFTHSFNAWTTFFSIQLKEGPTIIEGIKHHAGENGGCNRDVDSADFLIGIRTPHLALYLFSSLVQLISDGSVNNIEIEHNSFPSNQEKLDVLEAGPLDFHTIPIRTIVYDVGIVKLWKIRKTQFQQISNDFFNFRMISLTLRLSVSADEPDAEIGVLEMWLTLQVSASAHAARVVWSVRHKKMRELNGSSGRKCEVGGRTMLRMKSLVVQYVNRNAKCSVVMLSKISIWLSRI >CRE31195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:141973:146538:1 gene:WBGene00084038 transcript:CRE31195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31195 MCAGLRHSFVNDFYRILGLFLYYKDWYVNSFITSKITCYCFSDWLLLLTCRTPVVFSGNYCLANNSKKFWHQLAPIRQPFRVFWTQKRKFSTRNNIATTYFCYQSLPADWLDNMARLLQFAYLELKKLKAEQ >CRE31282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:328744:329399:-1 gene:WBGene00084039 transcript:CRE31282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31282 MKPLLLVFLFVFSAVSADELDKFNNLRRGFAKSNAMPDMWELTSSKELLDIASHIECPTKPGANWRFFYLNGGKNARDYDNFLIEWFKKFEKTNASVVTAQVKEWEKGTAYFLEYVNPIQTKLACVDKQCTIESTDLNIKINYRRMCLFGPNNYLGMTMPIDEKSGKVPGSKCGPNGKNNDGLCVPK >CRE31209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:259673:260109:1 gene:WBGene00084040 transcript:CRE31209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31209 MSISGDNDDIEMAVMDSEDQPLLQPQLRRAKHRVPILKRLNDEQQLNLLMVTLIAAVLLGAAIGYMWVFLAGGFSGTGEVLIDEKEHRLVMELRRNLTRSEGKMFM >CRE31306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:599762:602452:-1 gene:WBGene00084041 transcript:CRE31306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31306 MTPIERMQAAAKELDRVKNTIADFQQKHGKNYDSLDMFELAHELGKDYGKSTLEMAVALGKNERKSRQNATNVPTWFLKITPDVMQVLEDSFSWIPAQSNSSDVIVPAAVPSKTTEQVQEIQDSLFFFELSQRSTPEVGKSLREIAVTLGKQEREMIRAAPSIPAWFHRLNSEQLAIAQKLASSLEKKGELAKPNS >CRE31264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:99096:100153:-1 gene:WBGene00084042 transcript:CRE31264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31264 MIIRKFLVLTWVIYSAYPLAESNATTSNINLGIMNETLFFVTEILRSKLSLPVYLLCQIAVQLVLILLFSSSCCTRFTTKIHWDSYYVHFEKMAKDKITEIEKKVIKLEKKKKEEKLGRRKRKVCQRRTRQCSV >CRE31203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:198314:201497:1 gene:WBGene00084043 transcript:CRE31203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31203 MYTVFHSFNLFSSTKQSLVDQLVDELLNSRGIRVEGKMRTLLPMLVIACFNGSEALIRRKHVRNNNITQFNYHVQLSCSAMPYFCYYGYYLEFDWLSPDDMFTHIPFHCGSGVSHHYAQFSFFGDDRDDWHKDLHPFIEIYHNCTRSHNVLQITRIFNRVAVNGSRVEESIAIAAIDKGVPTMVEINAEFMEHSYKVSFISPDVTARAKQGINHYPAEIKDWLQSKTIHLDASEVNKNTTQVQFVDHKLEWVPMEIFEEEGSGFAAVVHNP >CRE31192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:105877:107478:1 gene:WBGene00084044 transcript:CRE31192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31192 MKSIIIAVCLMTQLVRMEPHLISASPPSTITPTAAAVQSTSPLLHTIASTIANTTAIPLDENTLKASMPPLTITESQQDTLKLVFLLFLVALLVVVYVGFVKQNRLYFGIETIQLRSRSHQKIRHDIGEDEEKEFGTKKLMFA >CRE31179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:24206:26769:1 gene:WBGene00084045 transcript:CRE31179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31179 MELIVLVFVAVVLVFSSIGTKPIEINTEQRQQLDRCSLYDTCHYFLFMNKEQTPGENQEIEFIEVHVKEDGRPVMGTFL >CRE31278 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:287110:287463:-1 gene:WBGene00084046 transcript:CRE31278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31278 MLEFLSRRSFTLKELKRLVVVGKTPHVQFGDPMRVSIHEVSPNGYLDGIKSAVKQVANADVHIFIVMMSMTTRLAMVL >CRE31253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:746965:747443:1 gene:WBGene00084047 transcript:CRE31253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31253 MRLPILLLTLAVMVSATIYWKHPVRDAKALVEHQLKLIIDAMYIQDIKLFEKLVNESYILVQPIIAMYSSEKSGYILSASKTADGSLIALARSTKDGHDSLYFKWELYAESPSGYKLVICGFCSVIGQY >CRE31287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:404500:405061:-1 gene:WBGene00084048 transcript:CRE31287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31287 MTFAEIVLIFTVLVTCGTSDQISFEIDINCHLEKTFCFAGAILLEEELKADEELQKIPFSCTLRTITLSSRIECDQSLQNSDVISLVSVHNCTSNGQILRVYKNLRSTTKSHLNLLNNGKKVYESKSFFEGTFDDLVFE >CRE31304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:588866:589084:-1 gene:WBGene00084049 transcript:CRE31304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31304 MKPNESSTSSSLIPSFVQHSNTLANYSTLQTLSVHLNLNLPSVASLVKYSTDVTYPSNQPHPMHIFPLMSDA >CRE31212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:281410:282363:1 gene:WBGene00084050 transcript:CRE31212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31212 MNSLLLLSLCAYLVVLVECQGPVFPMSYNVGSSSSTIRGKRHAEFLAHLTCPLSQEWCVYVKYVVDDLLYDDVVHTMPMICALGGRVLEHYQMLDYSGTEPKIIDFDYQPKVIIYHDCTVGQIVSEYEHSFEEQYRQTNCTGFEYTIDLLGKGSRATALRNVFGIYRVDHVVRDWPTEHYLQYPTRRSQYPEIPPWGVVPSSFPTERCLRMSDESGNSTTTTPVPTTVAITTEKITTFSDPKWIVNAFWESVDNVAKANSANSTLPA >CRE31223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:412790:413459:1 gene:WBGene00084051 transcript:CRE31223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31223 MKTLLLLVFFFVFSTISADELDKFNNLRRGFAKSNAMPDMWELTYSKELLDIASSIKCPTKPGENWRFFYLDGGKNARDYDNFLIEWFKKFEKTNASVFTAQVKEREKGTAYFLDYVNPIQTKLACVDKQCTIESTDLNIKINYRRMCLFGRNNNLGMTMPIDKKSGKVPGSKCGPNGKNNVGLCVPK >CRE31260 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:76475:76962:-1 gene:WBGene00084052 transcript:CRE31260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31260 MKLGYLFPVFFIISSIIIEGESFILKKMIEKPEIHPKVSLRSKYNLHTIGSDLQFTAVKQLDYEKSTSAKKKSRSRKYRFIPSLLAFNVMFLMVFDVRIFIRLMEQI >CRE31273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:225184:227044:-1 gene:WBGene00084053 transcript:CRE31273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31273 MGHFPLPPVTCQCRTPVGFQNVLLRPKKNHYFDTMENYVRKLLGQPNTSLFAVQAERGCLPSQNLTKATTTFQPYSPVRRARSRLSKLLASPSSSFNDFQSLNPLMCSDASGRIVITDSEKASIFTTAFTSNFKNPSVAPNASDSSFSVILSASHIIDFHGSDLFAPWVIENSLRKLPPRCGFSPHLANEGVNRAPENISDTYFNTNFRNPIIQQLFDNTHNINNYSNQQSQNSPRYPTNTEYSKQPEHSTYRGNSQDARNAQNPETNLERSRSHSNESVSNQQRFLQNYPRFTSESNHQFDWNVNSNIEKAIRQQRPTTRQTSSLRLHSE >CRE31206 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:231887:232818:1 gene:WBGene00084054 transcript:CRE31206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31206 MGVVKHLLLFFLLTSFGEIAKCDHDLMSTEQDYGFNQRMKKRFDNVFTSKYPNPRTMIYYGGALKCDKPVFCATIYYSLLGSVVMRIPPHCTNRTVLEHEALVIYDSAYSMRDTTKISYVGGFNFRVRVMDTLIPDVRITHNCGSAQVIQENHQLSKIDRTKRSKNQIYAISLSEKDTGVVGEEFKNDYKRMNFDKDQMTAKWIHAMDVTGNRKLDTQMRTYRKARYFPKSDDHVCRVTRNIKKIDLFWMAVMDTVTQDVFDELFTKMTQRDLLYFC >CRE31184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:57739:58257:1 gene:WBGene00084055 transcript:CRE31184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31184 MKLTLVFLVVIFVIITVHEGESFPYQNHQLRREPSSTTPPTTEDITTTTTADIPTTTSNQENVDKWVKALCIYSLILVIAAFIILLKSITVSRRRR >CRE31270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:196064:197837:-1 gene:WBGene00084056 transcript:CRE31270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31270 MHINLIRYETIRQIFRILRSNGYGVGGFSQSTSESEVTTAQTPTNGKTNNPRILIGCIRCGPFSKKTYIKADAYADMVLTCTPLPTPELVQRMTDTFREVSADLTIESDPTSGVCVIISANYFPELKCRVMITSPSLRSEEDSEGAKSEFPEKAMCLNALAMMRSTKWYENHCLYLNSCHQVIRLIRDLRSRHAVWESLNDHQLELLVSNVIDSTPSILNPAEAFKRVLEAISSGYLA >CRE31251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:727139:729551:1 gene:WBGene00084057 transcript:CRE31251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31251 MKRTDNSSSAPNPDPSADKSPSMEDDETTSGSQQKPEPDVEVVPKDTNCDSFQETKTLKLIKPLKTLAERAAFIEERNRMRRYHGPAARTNYSVKREKYDIRTLRTMPSSSARYQQQRASAIMDAPPSPDESEDEFDEEEEMKEEEEDLKLGKEVVTIDDSMEDEEVSNNGEKQILVFEDGETIYKTYVPTLRKLLDKYFVDQETAEIYDAMKRKAVQLNLCDNWQPIQKLIDMFEVSKAVKAKIQEKLKALSIRKYIRDGEPSSTFVECLLDSIYCSGPDMSDAFVLCADVFTELYCMRQQQEAVLTDAGNIPASSSSSSVAVKENSDNQEMATSASEKLTNNSKIVYESSFTDDLKLLKKGCVSIPELISGKVIFSQDVSVQDLQAMTEKYKELSASDFDDIFKKNFLNKLDNIDQVIQEVKDILEGRTSLSEDNTSVAKAILQCTFCIGAKPTDQFIFCEDLFSAAKISDIFYCSAVKMEMTLNATTPVDSKKTEDVSKKRQHSSKASVPSSKDNASDDTPPSKK >CRE31320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:742744:743355:-1 gene:WBGene00084058 transcript:CRE31320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31320 MHSTVFTVLLFSSLIGGIFGFWSDFPPVFLNSENDGSPRNAVYNVNDPIKHPVGFLRPSDNTETMARKFVLKLNKAIATGNTSEIGKYFAAHFFLHGCPGFFNKNQTVELLSQPVDNVMWQFKYIKYRKEYLNDRIYVKPLNKSDNLVYSLEWHSFFDVVFRGNIENCPENVHKKFASHESILDNFY >CRE31307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:607374:608090:-1 gene:WBGene00084059 transcript:CRE31307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31307 MSRTSKTSFNYVHRFFSRKNIGQFKLIVYLSDQLSIGVTLVNKTSQGYTECNVKQLSDLKTEDLKNMTKLLNYPVVDWKIINVYSKTRSLMALLQSLNLRTDEVTVRQQDANAEMLDDIMKLCTNSKKASVSWKTLENFLLDETLYQPFHLDLLRIRQASWVTVSVVTKLFMNCRKLTSMGTSGVQEMSHNLSRSGLLNQKLTESLLITKLNHLPKYSLEFLRSRFTHLSETKCKLSN >CRE31265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:115280:116040:-1 gene:WBGene00084060 transcript:CRE31265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31265 MRPSIALIVVLASIAIATAHPLKGSEESKEFEIFVKSSESPSPLSIPSPLPIPSPKKGKYTVSDKIEWALWIIFFIVVFIHQYQHNRVATREAEQAWKEMQEALREDHIKTKPEGSQLNHDI >CRE31309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:628818:629362:-1 gene:WBGene00084061 transcript:CRE31309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31309 MDFLNPSPTVFPTVQPLQIPLPLDQYAPPPSQFASEHDGESIPDSQKDSGKNDSSVALNLLSFAGSMVKKLFKSRKQLLETPVKKVPISKARATKPKNITKEQFGEISFNDAPLRVIDKSNGKLDVAVMKCCAGKLVWAFEEGRMILKMCNRCVDLNRGYARILN >CRE31204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:216056:217036:1 gene:WBGene00084062 transcript:CRE31204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31204 MAIHGDKDDIEMAVMNSEHQPLLQPQLQRSENRAPIFKRLNGDQKLNLLIVLLITVMILIYTYHLCIFLKKVFGMSSQDYGSL >CRE31283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:330628:331222:-1 gene:WBGene00084063 transcript:CRE31283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31283 MSKYWDNPRLTIQNELQCDMDALFCFFGSYDETNVFYTGATVVQIPLSCSKRVGIVKTHIGLKKSGPRYLRVKHHIKLHITHNCTKYGQLLTTSKYSAGILLKEEVTTIELKTDLLSIGHPVTQYKSFFSPKFVFFNDDLFFNQDVYEEDE >CRE31281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:313780:314890:-1 gene:WBGene00084064 transcript:CRE31281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31281 MALPTNLFNAPKTFWNLIDMIFHKTIPENAVTVIQNSMYANVEELNVYCDGEEDFSIRLKFSHEAETKVIQAGRILDNRDYRWFDGEMTETEMFDGCLTFSLSTKREINLKNIKCILKHIVGFFEIQKIILTSDANVMDIFTFETRQKLLKVILSNQELSPYDARYMLEDSNINVLILENVKVKTNGSPSTYTVKPDRVVLKNAPWFSCDSLHKISCTSFELDLDNTSDNIPELCHLSHFIYSWGEGKLSTLDRMKLVLPVEFFKKNSDYADNIIGRLEDDDSFSFVKVKTTDDRKKCTFYGKNHQMKEGELTFGDRIFNDRTFLFRLTGYML >CRE31201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:185732:186091:1 gene:WBGene00084065 transcript:CRE31201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31201 MDSQDNKKTQFQDLLEDLVLQGENEFSLGTTRFKSELKGVGLARQPGFSAFPTGEKRLPSLLGAPGLCGENKMPRLNKPPGLPGQLENDEYPGYNQENQECQ >CRE31180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:27547:28351:1 gene:WBGene00084066 transcript:CRE31180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31180 MHFLSCFVAVVAIWVWLPSEGDSARESASIALKVKSVQPLKTSEMGKVEKTGFILIDYRDDLRLFNVGFLVIAASTLILLLVALLYIEYIGGELEQPLLALLYLFEI >CRE31285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:371095:372551:-1 gene:WBGene00084067 transcript:CRE31285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31285 MLVVPESVTTKFSTNYGKTMFSWMRSRGGEMDDEAMLRLPLQMGRKRQTCARGVTASEVKKNVSYFAPDGRKLSTYLKVVRSGHRDLQKDRKIGEHFFMSAPVHVSGQGGGKMGFDRENSTEVMHLLLEKREIEVSQPLQFSNFLALAGEQKVSGLIFRCYELVCSRSVVMEIEKNLEEISMLKGEKYMREAKTRALRSARSKKKNDEKVVAVVKEEQHLEIDSEPPTKPVTPEKNSAAPVASTVSPNVSGNVQLRKFTPGLGQCEVLTEQKK >CRE31295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:473123:473504:-1 gene:WBGene00084068 transcript:CRE31295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31295 MSGNFSKHQSVSHTSSGSSVTSPVTDDAVEMVREKGDSLSRTHRSRGVVCKMRIPLGTVAKSCFNPNSFYSICTPIIVIYKKYVISPL >CRE31262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:92600:93765:-1 gene:WBGene00084069 transcript:CRE31262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31262 MNNDSIVNGTKIIKSGLANPMEILTNRLIGLLVILVLLVFVALGLMMIMGAAGYTGEQKSALLKMKNSGIVSVEENKTKEKTNMGSSP >CRE31316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:709579:710063:-1 gene:WBGene00084070 transcript:CRE31316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31316 MEDEGETEQENDEDEEETEEDEEDEDEEETKEENEYEGETDEGDEDEEETEDEGETEEEKDEEEDEDEERINKKEEKMGARHGRWRRHSTHSSPASRQHRRAPSSNDALVSRRSLSSSRSSRGSSAQPVRGRGHGRDRNNAPRSNR >CRE31315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:686044:700369:-1 gene:WBGene00084071 transcript:CRE31315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31315 MNTRFTTKNGPTTRSSSNRNGNKVEYRKGTKSDQFFVHRNNSTIEQIATNQQGITQNIGGKQIHCIEKKSTMRELWRTAKKVDELRKTYIKNRDITAPTDEMMNQVVSAVYRRISEITSLLLNEKLDETEGRKFLMRNSRIQHHLRNVKRKRDLNKKNKKFEPEYKEIQKRLQSIEKEFNCKCPGGNCNKNCPCASLDAALRSGLIDDPFERRHHSCNKECGCKGKCSQSFQEPPRDGTEIVLHSETRKGCAVRSKQYFGQGETVAEIRGQIVSTSELLPQDEYGFDTFVEEIDVPVLKQLFVQNKKNRTVEAITEEYEKTFQKIFETELSINPRQKSNEGRFISCSCFGNTKSNLVFQKGLNPANIRVMFTSLMPIYPNQEITYCYSPQYICHQLKDVCLCGELCCISNRELFPFITKKNIHEFYKTLYSHLHEEYIKNINRLPKNGDLCSYQAVIMQSSKFNNTPRNTGVSSRIPISAPTNNRQSEEGRNLPLVMNAAPAQPRSARVRGPPAKQMQSKSSGAIEDVITLSSDEDDILLTHTDQSLRFSTSASTNKRRLGEVRNLPRVAQRYMNQHSRSPRLGGPPANGMQSKRSRENEDVITLSSDEDDILDDFGMEIEEVQAEEPDMEIIEVSDDDEPPTIQPLKKMNQPAESTEKNLRKQYPNVLAVKTESKQKVNIASEPKDSVSNKPTSSLSTTAGKPVEIENLSLAETCAHNLEKVGLESSEKMSKKDSEINMSTMKSEKVSKHQMEPFEVMDESMSDDTPTKNDKLIKKNVTFKIEELPEIKKDLFSNTEKKESSPYTSSAEPVPHSSFELKEVKKLNSLTKVEHDSQQDNTETEGREGPPTVQNTEQTSDTTETGLKINSEEMISETNVRVEKKRNSGIEKAKTPALVQMESMPSSSSSVYTIVHTLEPYLSAKIEIGTDYQVIVPETLTTEPIQEYIGREDREELLWTPREEVIEAEEEMFYKRTHTVYWFAIWRQFKGHIPYEIALQNLMENRYQMAVSLDSVDQYLERLPEKLKELCMAQAKVLATIALSERTTMEQIKMQAMKNYELVDVWKYYFRFIKYALLNGGHEVPCVCDQDLCRPIDFASRVTCTNCTKNLRNSNGRKSLCLICKTYEQITGEARPANRVIFSNDETKFLDAWREREEALGKVQSKEQIENMLRKAETSRWKRLDLTDEEKQMLKEKHYNIIGLNDAQIARKKSDICNQLKPFVLPLFVDCKCLKHQGVVIKERPNWNKKQNLVNPEIPGAEFVFDSMDDPWFDSSKPMPSRRSLRLYN >CRE31211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:272215:273461:1 gene:WBGene00084072 transcript:CRE31211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31211 MTGHQQMKTDQQQDTKQTIPLIKYGIVNWFPWNKNTTNSCGTRVLSSPERVVKVVCSANTNSQSLPLVLVLIG >CRE31301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:554486:554678:-1 gene:WBGene00084073 transcript:CRE31301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31301 MGMLTQLKQTELKQFLNKWYNRTSESPQVIIPIMNSVFNSIYRVADKY >CRE31189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:86048:86267:1 gene:WBGene00084074 transcript:CRE31189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31189 MDNALFRPLGNISQLQKALQPRFCDADLILALVFQIDDDFTLTEKTTLIAHSYYSA >CRE31208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:245508:245726:1 gene:WBGene00084075 transcript:CRE31208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31208 MGQRRLFAKYPKKPESLPKKKREEVVEWTPDYVFWRWLKLTIFFSITFLILFYYEEVHLASERRKKSNNDGL >CRE31269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:157792:158305:-1 gene:WBGene00084077 transcript:CRE31269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31269 MVKGLDKMPWKECERCSREFEPSGDRVPKVLKCGHTLCWGCIKHISHLDFIKCPFCKTVFVFSKRITSINF >CRE31259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:63104:66089:-1 gene:WBGene00084078 transcript:CRE31259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31259 MKVLVLPLILSSIAVLNVIGNGKHSSQCCIHPNYQIIHEVNVSEWDFDCDEPVQIKCNNINFAADLVGIAGRRSYKENFKVFTRNPKQCVRNLTCAPFQGIWTEPRKWIPEGRTDGFFNFACAFKKNGKWQFFED >CRE31280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:307301:309083:-1 gene:WBGene00084079 transcript:CRE31280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31280 MEKPQKPPIWWKQQSVFMPQTFDPTLTIGAIAVDEFSSFTVVRASKQYSDVWEDSITPSHQVANSRPNWKYSVWGCRLRLQSTNKQLSTQNPERIKNALDENFGKWKMNEIEYKRYWMRQHHLSKIREAGRYDPELNE >CRE31228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:474272:476387:1 gene:WBGene00084080 transcript:CRE31228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31228 MSPYCSWKPRSKRLIDIRADDNCAVGSTPAKTSDINATDTHYKRDEKKRAVVVLRMLQIWWISLGQKLQKTGTLLGIDWISTDPQLGSHQVNSTVAAVEKLKDDRKNQCSKIFVSGLVLSPAWRSCFHFIIATPASSRDASPSTPWHRSDEKSPMLRLLDNSRQGHRNLDKDIETEAVIKGLPLLRTLSRTAYAHGLLQQNCGQGSMPTTPDQFKIHITSLIFFVIYNQHLPKHVCSIWESRSSGHGKWDPTHQITSVAKLLDMDNTCSDQPSDNGSPTPSHAPPSTRKTPNLDVNTKNKSYKLLGLTS >CRE31249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:719714:721642:1 gene:WBGene00084081 transcript:CRE31249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31249 MLSDFIISHTPLSTPPKPHRTSFSIRQLKRARQRFSKLLKLPNPPADQIFRLRTLIASTSKRIKSNMVSEERKILSAPNSRSARLLIKKRTRTSPEIPPLYVNNQLISSNAVKSSIFSSVFFSNYNCSPSSSLIPVSNNSSNNISTSELFLPWIIENTLRKVPPRCGFTTHHANFYIIRNCATTLAIPLSIIYSDSFLRSHVPASWKHAIITPIPKKGSLTSPENYRPISLTDPFSRVCERILCNYIKINLFRNISRFQHGFLSKRSCSSSLVHSISEYRLLLSSHKSLDVVYLDFRKAFDQVDHQFLISKLDSFGIPSNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLRIAAFADDIKLYSHDLLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVWLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFKSLLVNNIA >CRE31284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:344703:345284:-1 gene:WBGene00084082 transcript:CRE31284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31284 MRSETPKRRRDIYKVNGIYVKESKPRKGSTEVVKNGSIKRHVRRWPDEKFEKIQKNREETGKERCKSFVTRSPNPGRKEKVGAFERHDRWEKKNPKENSRMQHELKVSFPETLGQHSQWNRGWNQQGSSTPSLPIPPQPSFATYPWRNSFGCSEMTGRYVWIPNCYELRMMNEPDVNWREFHQNDVAPVDFRC >CRE31190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:86771:87891:1 gene:WBGene00084083 transcript:CRE31190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31190 MKDKKESEKPAAEAEYDKDKEKEKDKENEKTAEGAAKKSSVARSSPVTRHRGGSRKRSSGARVGRLIAKTASSKADSKADTAATASWNKGNTTYGQHHVCNTKSRSIEFMTQRASAAISAEDGKISFTSICTPNGMMEQLGEWLCQF >CRE31322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:751620:751994:-1 gene:WBGene00084084 transcript:CRE31322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31322 MVRHEKKSETNATVWNGKNAFNSVGQLIISNCRLAQLIMFFFQSKEELSIFMHKICIREQLETGNSRYSSSFLNCTNSSDPMSSIKFSLLFPDCYQVSRISIDLESVFQRVGGMFHRFSKPGIH >CRE31254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:15720:16078:-1 gene:WBGene00084085 transcript:CRE31254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31254 MIFEVPTKYFPPTTDAAKEVKKTAYVSPFEKFLRTEMASMNKVFGGSYNGTKSSLFDTIKSTISSSSKIFNSSSGIGLASALAEKMTNISSDSSTFFKLTL >CRE31268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:155758:156879:-1 gene:WBGene00084086 transcript:CRE31268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31268 MTIPNVQHAHPYLSQKARKRECEEKRKNTYKMTIAEIGHVLVQKGYASEQDINSQEDSMFKAIEIITSVNLKTKYPSQTREKTKGMKMTREEKRRGNTEREQLRRDKQKDAIDHMREIIRDKKLGAESQREKLEQVTVLKLILDYVRTLPTAIIFPSVQVMPFSYTVPVTFFPESTNTLPISESNDLSALPQIVAQSCSSSIELPRLEPIPSTILTPLQLTILSDDEISHYSGTSGNSTPGHSQIQSGSSSDSSLAPVLNNPETTTTLMSEVALDSTIFETQLFFHYLKSQNILQDIIKIIAENQSDN >CRE31241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:583445:586090:1 gene:WBGene00084087 transcript:CRE31241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31241 MFSRRPFISIWVGDASFDQRQRVEIKEGYTAIIPSGYIHFVYTPEDSIVVGGNFLMTQYLEQHFDQEDAATARDPADSNPQSVGIWRNDLLLR >CRE31193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:118665:119555:1 gene:WBGene00084088 transcript:CRE31193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31193 MPVFLLIFAAAITARPFNNTEFDIEQEGTSDEVERVLIEDVPSNTTMESYEGTANKVERVLIGEEPSNITMESFKTTTPGYTEFQVKMAQGVMMGIGILFIIGAMMISGPGRMLRGQ >CRE31274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:236495:236713:-1 gene:WBGene00084089 transcript:CRE31274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31274 MGQRRLFAKYPKKPEPLPKKKKEEVVEWTPDYVFWRWLKLTIFFSITFLILFYYEEVHLASERRKKSNNDGL >CRE31218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:352321:353813:1 gene:WBGene00084090 transcript:CRE31218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31218 MKVVILLFSLPIFVSSYYVCGHFDPNSIKTLWNVDVGEILKITGGFFEQRNCYKLPKFITTANGIWYAAPQEGEAQDYYLLWQRDIYNRSGLFRMKVHNISNETTFNAGVGQKNTSIFLMDEDPIISLLNNTTVRTEILETETQQTPELFEMPPIESDDEVDLLQELNLFVETPETTSPLETPATPKIQPSTTTKGRMAAVLLALIFVVVILIALGYYGCEKTKKKATSEKVHMGSVLQEKV >CRE31187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:83577:84074:1 gene:WBGene00084091 transcript:CRE31187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31187 MRLIFSWIAAGKSVSNKRRKDMISNSTSVSSIHIENLINFFDELPDEPYFEDKDDKQTPEEGAKEIYEQRETWKEEIAKRVSERQAEIDMKEEVAAVNRSTIKQEKIDSSYDRSMDTTSHSSFIVHIKKDPMDYDEYSMAHVSYPVLLSPPPPPLIPKRSPHPNN >CRE31277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:273712:274362:-1 gene:WBGene00084092 transcript:CRE31277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31277 MRFICSSYIILAIFGISAATLFRTNGTLSCPLFRTWCYVAAMFEEDTFRFLDDRIDITVTHCVNNANQVSYHLIGDQNGDGFYNSYYEVALSVTHNCTTRWNTIRKVRNQIHYSKVSAKEVFQTWNVQLIDEGERVYDFD >CRE31178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:23719:24042:1 gene:WBGene00084093 transcript:CRE31178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31178 MKYIFIILMTIIAAKASIKSGIVLRTKSFEPVTTGVENLIKTEKGYEGKAIFVITFLFFIYHTNWAMLLVRQPRFH >CRE31185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:73157:74383:1 gene:WBGene00084094 transcript:CRE31185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31185 MTYSFPLLDLPTAAILLVVKSMDYGEFLNLSFLSKRAKKSIELMNLKCDELSIYIGDFVHMNMSIGTKRMEWKFYYFLKRNIIDGEDLLEELFENEEMYLGNENELEEEEDGEEIDYEEEEQDMEDIDEEVDEMEADVFALPDNVELLIFDGVSSRAEWYMERLTMKSWIQHFMTIFHFSEIPHLDFTRSSSIFSIEEIKDSLIAINKLSVSSDTGFDVDYLLNNFHSKHLHLGLGVFETLKKPENIMIRNYDMLRIYLNRDHPSTIILDDLLLFNSKTIKVCEAHWNAKSVNRFIKHWIKGSNPRMELLKLLDFSDRAFIDTDILKDVHFTEAPADQIRWFKNQNEQLLPFEGGKDFYRHDGTKATIYNFGSYENFRCIAMCVWHPHCIHN >CRE31317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:712423:712845:-1 gene:WBGene00084095 transcript:CRE31317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31317 MAPELMHRVPYDHSVDIWALGILMYDMMCGGPPFTGDSKEEIKTKIQCGVIKYPKKLSSQCKTVIKALLTRNVQKRITPELKSDDDVSHFDSYFTDLPPIESPCKKVREDNDCCADGEVDDAFDGFDGNNWFTNEWNSHI >CRE31258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:54174:54538:-1 gene:WBGene00084096 transcript:CRE31258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31258 MEESIKTRNRATISDLFAPDFGFDLCDSHANKKEFAEILARLPTRLNVTFTLKKFTNYKSAIKFEVAESGNMNTNLGFYIKLQEKLTSGFIVNCEGIPPH >CRE31252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:730628:731274:1 gene:WBGene00084097 transcript:CRE31252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31252 MENQTYFTMKNKMKKLPLSYMKFLNFPHLNFINAYSLAPEIVLCFESRTAFLYNSKIENSEIDEFVICWQEGETVHNLKFMKTHLDSTHLFNPDTITSSVDIRKFSPLHEPPCFQFPVIEFFGAQETLQKHDLTMNTYVVRETDQIISSISISEDRFDFCVWELTEKQTARMGGTLIKPHLDIFHLEINFIGILSYMHI >CRE31272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:220498:221932:-1 gene:WBGene00084098 transcript:CRE31272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31272 MGPARFHCATLLLEMGSRLVRYVYTFLAMSSQNAGAVNPNPHPPNQMFPNAGTNRQETERQRMFRRACVPVTVVCAILAAVIYYVIMYHTGILK >CRE31213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:284089:284687:1 gene:WBGene00084099 transcript:CRE31213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31213 MRVICFLLIFLGNSCMAASTLFRTNGTLSCPLFKTWCYVVTMYEEDTFRFLDDRIDTSGVHCVDANQISYHLIGDQNGDGFYNHYYEIALSVTHNCTTKRHNIRTIRNKVYYAKITSEEVFHNWNVQLIDEGERVPDFE >CRE31263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig48:94971:95593:-1 gene:WBGene00084100 transcript:CRE31263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31263 MKLGCLLLLFLVILVAAIEARPADIAVFDIEPESTPYEEEPLLTGEETITVESVETSFSTFIMEHLQSVRSIMMMVFFLVIFWATF >CRE01427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig480:2171:2689:1 gene:WBGene00084101 transcript:CRE01427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01427 MNAVPPVRRFPRAALLRHMRVWNRFVKKVREGKIDNLPLRLRNYIRANRSDVYMYLCSLERNGNLFPYEQREQFLDFLKEVYLSQIEPLPPNPTQHNPNAEDWGCAHCGKSHRPDRTFHLDDRIEYMEVMGEEGVDMMEIPIDDYR >CRE01429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig480:4626:5045:-1 gene:WBGene00084102 transcript:CRE01429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01429 MNVSKSVEFLMEPSTNNSFKEDEKTSAVEAASPMKKTMINGELKNTDSCSDLGISDTSGVSCLDSTTSETSLNLESMSSNCGMFNESGDSYWNNEGNDGYDGDKENDSGDDDCIDNEQEMFDENPLQMFSMMAHLFAKQ >CRE01524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4800:556:1575:1 gene:WBGene00084103 transcript:CRE01524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01524 MAKKPKSATESAKVPSVLAFNRKIEPSDALMQAGLWSNRDQVSTWKNIDLHQKRNRGTKSQYGVPDAEKTDPNLVWGDDARLPFDADTLKVFFTVKFLGNIAEPTTHNRPEFENKLNEKFQEYSEKIGFLTLAQRYIYNLVNARFLWRNRLGAEKISIQIKNSETGKIWNFEDAYQFGLNTFDSIQDSQLMEIANLVSNSFKEDHYLLLEVTAFAKVGEGQHVFPSQEMVMDPPKGQKSKYLYEIKTKEGFCAGIHSEKIGNAIRTIDNWYVDETNDLKPAIAIEPYGSVPNRGRAYRINQTDFYTLLINWLEDKEILEDDQHFIVANLIRGGVFGGND >CRE26936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4804:3472:4188:-1 gene:WBGene00084104 transcript:CRE26936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26936 MPRPSRASAHPRLRIRTGPPGYTRTVNDAPPDLIVPTLGIGTRTWQYGNPDGAALILVHGFRGDHHGLEGLALRIAELQPDLRVLVPDLPGFGETPAVPDREHGIALYGEWLRAFAAEAAPDGHAILGHSFGTLVVSNAVSTGLDPRLAILINPISAPALEGPQALLTQLAIAYYRAADVLPERAARGLLGHPAIVRTMSIDLAKTPDPEERAWILCVHDRYVRGLGDCEAVLPALKA >CRE25051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4806:1660:2007:1 gene:WBGene00084105 transcript:CRE25051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25051 MKTITEWFDEYSESHQNKTNKAIHWACVPAILFAIIGILAHFSALLTALLLVLTLVFYARLDIVLAVAMTALIAVMAWIIYLLPVGVGFYIGVFILAWIGQFYGHKVEGKKPSFL >CRE02701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig481:9:676:1 gene:WBGene00084106 transcript:CRE02701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02701 MKSEVEQKKCYLEERIKLFQEDPPSPIERCDTPDSLVEYLSKEGPLETEEELRRNVEQTPRKSIAFNFDMNSIDSIRGTPIGFKSNPRESICSNYDLFERCSTARSSMRSETNTLASTSEFKPPFTPSGTTKERIGILTSRNEKVKPHLQSSYVVEMADVNSPSADEENVRKGGGVEKKKRRNSIFAFKKH >CRE02702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig481:8689:9249:1 gene:WBGene00084107 transcript:CRE02702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02702 MKFETENNFPGETFVTRKITRSVAKISLGQQESIELGNLSALRDWGHAREYVEAMWRILQHDAPDDFVIATGKQFSVREFCNLAFAEIGEELQWEGEGVEEVGKNKNGVIRVKVSPKYYRPTEVETLLGNPEKAKKTLGWEAKVTVPELVKEMVASDILLMKSNPMA >CRE07201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4814:330:1199:1 gene:WBGene00084108 transcript:CRE07201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07201 MKQQHNILATSVAACLMLLTSSNHAAPAPNAGQLLQQQQQGQSLEPQAAVQVESSASNNVPNSPDIQISVRKIEITGNSRFSTAQLHHLVADAEGKQLSLQQLWQIAQKITTYYQQQGYAYSRAYLPQQNLSQGVVRINVLEAYYDQTQIDNQSRTQNWLIEQTVAPLKQGERINSDQMQQQLKLLNRLNGVNTRNVLTPGATVGSSQLNVEVQNAAMLNGYIGADNFGNRYTSQVRGTAGISANNLAGLGDELSFDVMTAGKRMNYGAWVMPLPLQEWVLGQAQVILI >CRE09874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig482:44674:45383:1 gene:WBGene00084109 transcript:CRE09874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09874 MKLIVSLLVLAGFASSARNGIDFIQPVTVATFQCIKNAGYSFVIPRVFTSLGKVDTTGVNNVKNARAAGLTDVDGYVFPCLSTSCPSAANQVKAALDALKNAGTKVSTLWLDIERLAWPANHASNRAFIEAMVKEAQAYGQQVGIYSNYYNWQDIVGLDYHGQSNLMLWWAAYDGVKDFSKFAAFGGWTRPTIHQWEG >CRE19644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4828:2538:2828:1 gene:WBGene00084110 transcript:CRE19644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19644 MSKIRPLHDNVVIRRVEKETKTAGGLILSTSAAEQPAQGEILAVGNGKITDNGVRALDVKVGDNVLFGAYAGTKVKVDGEELLVMKESDILAVLEG >CRE19554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig483:16588:18420:1 gene:WBGene00084111 transcript:CRE19554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19554 MPLDGVIVEIEERKRDDFLLRARIAELEAQLRLETSENLRKSAQETVLKRQIEREQDGHRKVVQHLERERARWERKTQFQETYNRNVELEEEMKKNEKEKQKLVKEVEKLETGKRGRELFLENDVKSMKRARHEESDKISEMKKELKGTKKWGGQQKPYSSLSSREAQKNRNSRKSQVNNQNLKRVTKDKILGDSSSEMYFQDVYKAMGKMGKMKTRLEDGEAYALYHKVGLSRAGYEEVRTILDERHVPNPFPSLRSIRQEENLHASRNLFRVERIQKCEGGKTKDVVVVQLVDLEKFLFEKLEHLAQNDKLIFDESTGNSIWMCISGDKGGGEFKLCATVGNVVAPNSAYHIIPLGMFTDDEKVEAIKEYLADIIEQLNNLTELKLNIGGVISSYPVDQYLGGDLKYQYQMIGHKGAAAKKSCMHCFSDGRVKIGSYERGRCLKARTEADYLLDSANEKNSNSVIPGSAFVFNNVRLANVVPPSLHILMGVAHRYGFKFLLDLAMDIDNKSNTKIDKSKKKAMRNAKGDMNVKEKEYNGLKQHLDSFEVVLQVMSRFKTSTIIPAQSHTSPCSAE >CRE19553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig483:13161:15284:1 gene:WBGene00084112 transcript:CRE19553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19553 MTGFYVTLPSSVEDPQTRNTSSQYVTRLPQVLNLERDKWSVALSDIIYPYSYVNVGQPLSFWVHFQEAPPIHVTFPSAQYSKIDEIINVLNGEIGSRRKRSATQAGMSVMEKVIKVRKEKQEEEKKLGQVGKDAVTVAEKNLKKHADVREEAEKEEAEKQKAAQARIDAVIKLRQEAKLEKRIEKNEPIQNQAEQDAVAESIAEVEAQKRIDAAMRAKQGPETPVSVPVPTGPQGTQAPAPAPAPAPAPAPAPAPAPPPAPAPAPAPAPAPAPAPAPAPVPAPAPAPAQAPAPAPAPAPAPAPAPAPAPAPVPAPAPDPAPAQAPAPAPAPAPAQAPAPAPAPVPAPAPAPAPAQAPAPAPAPAPAQAPAPVPQGPEATKEPQPLQGPKKGAETPIIVQVTLTEQAKDGRGGATTGTPIIPKDDLTEDEKVAQSRIDAAKKAREEAENAAQARIDAAKKAREETEKARQARIDAAKKVQEEVENAAQARIDAAKKVEKEANDDLVNTFSNIRESNKQDSENWLNYNNIKMLVFNRSEKDSEYQESKDSLAKFRNASFIESQKNARGFVHFNKVDERKVGIDFTDDVLFVEFDKPCAYFLGFTDNIVRGSGKAQKNVDFFGNVSALYLYCDCVEPNFIGNELAPLLSIIPCSGKYGDMIRHTITHPRYYPIMSSTIDSIKIRLLSEFAEPIDFNWGTTIVVLHFKKNY >CRE19556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig483:3782:4262:-1 gene:WBGene00084113 transcript:CRE19556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19556 MSFFNHWNYPSLGFQKRNEDIVGLPELGIAGISEDYPDEYQYRWRDSPPRWFQPDPCGVIVADDGSAANPVPTLEPTPVKRTSGKRMPRARKPAEKKTVEKKNVAKKVGFHCFIFKECCESTLEINDGKLSMMLCKACIKLNK >CRE19552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig483:9042:9260:1 gene:WBGene00084114 transcript:CRE19552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19552 MEKWVHRREIESLASERFVFKRGHNLLDGVETVLLKKELKPVSDKGFFSTTGQMIPFGLLPENSILIHDYHY >CRE19558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig483:10365:11309:-1 gene:WBGene00084115 transcript:CRE19558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19558 MKLKKYHVIPYVEGAAVESAKRFLEKILNDPNLDAGEKCKFYQDVLYRIKHFNELPIVNQEILTILKENMRAAKVEIKPEVKPKFETEMSPPFPLYDSETPEEIVDQSDDEFTSVDNIPVVRNKRKTSESGPPTEKRYLASVDDDTSSVKKEFPQKWKTKRPKLVMRVLKKKPSIPIHEIEPGAVFKTDNVGPLHQYRGKDYRKVQHRVPGKRDLKRFKMGRKLEEYKMLRHRKRKSRETLENEAKRKKEMNDSSDEEEEDIKPKRKPKQEIKTELKREIKREPKREIKSEKPIKKEEIHGSGPRIHCRLWKFL >CRE28016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4839:13:1437:1 gene:WBGene00084116 transcript:CRE28016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28016 MNTATFQSELKGGRLLLAAFFLALANFMVVLDMTIANVSVPHITGSLAVSSSQGTWVITSYAVAEAICVPLTGWLAGRFGAVRVFTISLIGFTTFSILCGLSNSLAMLVICRIGQGLFGGPIMPLSQTLLMRIFPPEKHSQAMGMWAMTTVVGPILGPILGGTISDNWSWHWIFFINIPVGVICAVGVMRLLKVAETPIAKLPIDTIGLTLLIVWIGALQLMLDLGHERDWFNNSFICILAVILVVGFIAFFIWEYYEKHPIVNIQIFRYRGFTIATISLAFAFAAFFGSIVLIPQWLQINLGYTASWAGYLTATMGFGSLMMSPVVAKLATKYDPRALSSFGLMILGGVTLMRSFWTSDADFMALALPQIIQGFAVPFFFIPLSNIALGVVRPDEVASAAGLMNFMRTMAGAIGASIAVTIWDDHTKLARSEIVSTMHVEETQRNLVNSGFSPEMALGTISNLVDKEALTLSG >CRE30353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4848:570:1364:1 gene:WBGene00084117 transcript:CRE30353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30353 MNALTALSPLDGRYASKCDALRPYLSEFGLIHARVTVEVRWLQALANRPEITEVPAFSAATNTALDAIVTQFSEDDANRIKEIERTTNHDVKAVEYFLKEKIAHIDELKNAGEFIHFACTSEDINNLSHALMLKNGREVLVVAMQQIVDSIVALAETHAEQPMLSRTHGQTASPTTLGKEMANVAYRLARQIKQFKQVELLRKINGAVRNYNAHYSAYPEINWPAHSQAFVESLGLTFNPYTTQSNHTITSRNCSMLYVVLTQF >CRE25953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig486:4108:10312:-1 gene:WBGene00084118 transcript:CRE25953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25953 MHIPSLFIDPFSPLLQHPTEQRLKNVAHAPKRPCNLTTVEKQLAVRNALRYIPKEHHGLLAKEFAEELNTYGHIYGYRFMPNFDLYAPPVSEIGANCEKASAIILMILNNLDKRVAQFPQELVTYGGNGQVFSNWIQFRLVLRYLFTMTDHQTLVLYSGHPLGLFPSTPDSPRMTVTNGMMIPSYSTKELYDKYFALGVTQYGQMTAGSFCYIGPQGIVHGTTITVLNAGRRMGLSSLAGKVFVTAGLGGMSGAQPKAAKIAGCIGVIAEISETALLKRHQQGWLDVYSKDLEEIVNWIKEYREKKSAISIGYLGNVVDLWERLAEEPECLVELGSDQTSLHNPFLGGFYPAGLTFEESNELMTTDPAKFKKLVQNSLIRQIAAIDKISAKGMYFWDYGNAFLLECHRAGANLLREDAQDDKSFRYPSYMQDIMGDIFSMGFGPFRWVCTSGKPEDLRLTDQTACKIIDELKETNVPEYVKQQYLDNKKWIEEAEKNELVVGSQARILYSDRAGRVALAAAFNELVKTGKVSAPIVISRDHHDVSGTDSPFRETSNVYDGSAFTADMAVQNCIGDSFRGATWVALHNGGGISEVICRILWATFSQWGSDHSAGVCTSGKPEDLRLTDQTACKIIDELKETNVPEYVKQQYLDNKKWIEEAEKNELVVGSQARILYSDRAGRVALAAAFNELVKTGKVSAPIVISRDHHDVSGTDSPFRETSNVYDGSAFTADMAVQNCIGDSFRGATWVALHNGGGVGWGDVINGGFGLVLDGSPDASRRAEGMLNWDVPNGVARRSWSGNAKAQEAIRRAEQQVHGMKVTIPVEADEELLKTLKF >CRE15865 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4865:149:695:1 gene:WBGene00084119 transcript:CRE15865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15865 SSSPIPGLPPAPQQSSSTLPPLYSSVPNDTMSMTYAMPPMYDVTPQTPQFQPLAPSLSAYDTPPSIAPMYDQLPNEPPASPAVRPVLCQVKVDYDFLPQGSNQVEVREGEVIGVLQRTDDDGNPEWLLIKRASGQVGYVPAAYCRPT >CRE22280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4870:76:378:1 gene:WBGene00084120 transcript:CRE22280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22280 RAQRRFEGRSRLSHGVSTNSKASSGERQAVQDLKEKLCYVARDFGNEMKSTFMTPLSLDGRFDIGLESWIRLFLGPQRRVPRGWPIHCSSQVLLKSLIWF >CRE29413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4889:1175:1930:-1 gene:WBGene00084121 transcript:CRE29413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29413 QTSSDDDPPSQFVPYSAYKDLYDRVAALTSLVNQLRGAIIDSGQNKLAAAVAESCEHLPDLPKIADPLLQDPFGAADSSVPMDTSSQIPQVVPPPITPINTLDIAREAAKLLDKATRVVIERMPDDRDNNAQDERDLEFFQKLASSHHLPAPKKAHRHQCSSKFRPLKLQFDSSLDRDIFLHGFHRIRSSEKSLMDIASKPRARRDLTQPELETLRASRKYVYEQNKIAKESKFIMSDITYKLNSKPRPFV >CRE30254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig489:14467:17063:1 gene:WBGene00084122 transcript:CRE30254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30254 MHYFSKNGKLRIRTIPNSNEKSTLSTVLKDAVNKYTPIVKGFIHPKLVGERPAGVREKVFAFRKKQKARLIDEDDKKQGVIIREDIGTGGWILEMTGRIYLESKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKEKGKLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKEKGKLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFSRISEDQKKERKRKSENVRRTFFEDIRRSEKRKKKEN >CRE29958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:79219:81102:-1 gene:WBGene00084123 transcript:CRE29958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29958 MEKIIQNRKTKKIKKKKTIRRNNQKTWRQVSEVLIETVKSKMKISDVLDLSIMTKVIETGCQVRIQEHFNDSLEFQYLNTLEITVNGEKMTVFNVISFLEWITTFDLKWTTVSFINFPEDGILRRALFENVERVSKEVVLFQIHDENITISYRALASLCQICSSSPTPCELLHEMINLPPRAKNLKLEMCSADKAVIPRRFLKNLLNSINPTTLKVYFNSSTEILQMSEYCGDIFSLHDNPITHVSNGNLKFYNAYLNCLEDCCMMVNTYRIDNVVTSFFVTSVEKFVVF >CRE30019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:471492:473017:-1 gene:WBGene00084124 transcript:CRE30019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30019 MADSPLNSPADLRDLIIYDIHQWKTTAKSYEIYEQLCEVLEKEAISYVAYEYWFNRYLKENYYSSNNGRTYRVGDLQVCILADVIDGRSTENSYRDLCEAFGNDKIVKGVHHNRYDHYHFEALQHSLIMAERSVNTTLPDTYNDHDLTFSNLPEDVITEIVDRCDLKSYLNLRIVSHSLQTIVDRRPPPCTDIDIIVRDDYIQLKANKEILVDSCPIELTNFRHCSLYLIEKRVLRDLEALLKNPKLRLKSFRFDSNSSLHHTHWNNVMDVNTATRNYYMLFLKMLDSLNSKIHAEQCTIKSALEKHVKRILQCFKPGTLKKLELNHSCLMSEMNRIVKTDQWKLAKHLKLHGFIALSAENFIHFSTFDVQTISMNDLGRLCENLSQSINFESCNIETTDYLDIERIKNALTPRPSAAPGSYYIPNSNLVIQFSIGHSVNKIFIRKL >CRE29995 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:309202:309468:-1 gene:WBGene00084125 transcript:CRE29995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29995 MPELLGLPQPIPLTSDITSLDSTIHHPCRIYTAVRGPVMEANETNRMLSNHRELQKRLLNMSLQGESGYFIDCFETPAAREIRNPQFT >CRE29981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:261728:262245:-1 gene:WBGene00084126 transcript:CRE29981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29981 MDADTLAHSRRDIEELLRQICETKEIQQSNNDCGEYAEKIQDKMDIFKGIINFALNMQEAAVTEPIRVFTYDFLGVMIPKLENAKYDCVDTREELDNIERDLRQLISFWNPEALMVDVIQEMR >CRE29887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:257778:260405:1 gene:WBGene00084127 transcript:CRE29887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29887 MDPMELKPLNSTMNEETSEESSVAHSESGLVTPRDTWGRKISGPVDRWYLVYIIFTMHGMGMLMSWNMFITIAPQYYHDYWFNNTNYQDSFMSIIGVTSQIPNVGVMILNTIVVMVGFMMLRVVIPLIVNCFLIAVIVILAIFVTPSPDTVTWFYVVTLIIIMAMNLANGIYQNSVYGIVADFPDNYINSLIIGNNLCGVFTSVLSILTILISPNDIELNALLYFSISLAFMIVCLFSLYFLVRLPFYQYHIAKGVEARAEESVDNPSLKQYWECFRMCWVQLFNNFYVYFVSLLIFPAMMTDSVYSDPTQGKTSVFGDNLFYPITTFLNFNLFAWIGSTLANYVQFPSAKYLWIGVVLRTVFIPYYLFCNYRPETRLWPVLFENEWWFSIGCTIMAMTCGYMSSLALIYTPVEVPARYQKLSGMLASIFLMLGILVGVASTPIAAWAVENIGNKKKT >CRE29919 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:435187:435975:1 gene:WBGene00084128 transcript:CRE29919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29919 MFQSSVAVDLARTRYDNVAKSLGSWGETIDETNAHDARKVLDEALAVCRSGEQSALVNVLIGKTDFREGSISV >CRE29953 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:40908:42242:-1 gene:WBGene00084129 transcript:CRE29953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29953 MDEKPERYRWGVLENSWNLYKLLNLLPCSDFLLELFVFGHIYILAAWLALREAWFDRGNKINHLKTELFSSRMWSSKRKNRRKILLIGADGTIGSQIIKIIRQNSDFDCDVTVHHKFSTKQFLKNDENTSVYELDLTDHEQILLLANQLKSHYFDVAIFAAGVMLSPEIRTSDGVEFHNAVNVVGQVMLYELLQNEIKRAVFLSSATARMACYSENPLFLSVYAGPYQAYASSKLSLAVYANEVAREKQVTAISLHPGTVPGHLYNNANALVRYLNATLLPKIMRTPEMAAVLVLHTIFREDVQPGAYYEDSETVDLVSRVPEKERTRIYETIHRRIELWMEK >CRE30041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:646041:647894:-1 gene:WBGene00084130 transcript:CRE30041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30041 MLFPIVLIAFFANVHCKPRIEKVDHVDEFLQNKTFNDILEMSQKFQRARYLETQQKLSDASQPDLVLAAEGAVLPCEAGWHQYSGTGCCYKKTDAISAWYGGTDLCKALHPEAQMASFHSQGESEFVCKKYSSIHAWTGLSQTGTPGVWTYTDGTPDWHWFFAQSSTMKPESSCVEMLDGVLVYLFSWSAKKGQTQPYSCTEEIASICKYCPKETTSTSTTTTTTTTTSTTTTTPTTTTETTTETTTPTTTTTETTITTTTATTTPTTTTEVTTEPTTTTETTTPTTTTTTETTTPTTTTETTTTPTTTSTTTPTTTTETTTTVTTTTPTTTTETATTTETTTTQTTTTPTTPTTTTPTTTTTETRPTPTTPTTTTTTTTPTTTITSPTSPTRITALTTSLKPTTCTSICPPPSIEFKGKCYKVKSTKCRGSVKFDESSDWCGGTMVTISNKEENDFISRVFGENDGTVSQIWIGNTESNGYLDWEYGQPSKPNSALDYCISMDLTAGPWRGKYKYLPCESTVVSSITSMNP >CRE29965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:107512:108842:-1 gene:WBGene00084131 transcript:CRE29965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29965 MVEAGGARRHRMTLESGDHTLTLFAYRTGPFKTVLFYVLTFLTLGIFRLILHWKQKWDVKVRMVPCTFESAEYIYIVDNHNVTELQPVLRKPNVMIPSANGEMQKSAELRWFVFRKLEYIWIDNTDSEETADESDCCWKTSFDIANQIPCRSLLSVSEGNSGLSSSEISRRLEFYGRNEIVVQLRPILYLLFMEVITPFYVFQIFSVTVWYNDEYAYYASLIVVLSLASIVMDVYQIRSQEIRLRSMVHSTESVEVIRDGKEMTIGSDQLVPGDILLIPPHGCLMQCDSVLMNGCPY >CRE29959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:81853:82717:-1 gene:WBGene00084132 transcript:CRE29959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29959 MRSVLRARNKLVFEDIEFHVTRFVEPSQKELVRLIELAGGKVHSEKPDPKYLAQCIESEQPYIIISCENDARFLSYLAEAKLPIYNVDLVLFAMLRQVIEPLPQYRIPIPIVVKSHVFRQPPPYTAPSKEPVTSTASTPTPMEVSAN >CRE29917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:424804:429740:1 gene:WBGene00084133 transcript:CRE29917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29917 MVIDSIIKFKKSCIYDLSPTVVKDVVTALMTRTNWHLLSSHQTRRLNGALNRMPVNFYDRVWTILERSKHGIIIADQFLPQQPTLSDMTRFELTFSYKIEAMLSRISHPEYRQLLVELLSIIATILERNPEIAFTQSRIDCDSLIKKGFAMFAAEEGIKDLNDLTPFYQLEGQALSTSTATFLTKAVVEFILAGRHFSQVINIFGEGTPEDRTLPSQIHRGKMPKILYTGRASSENKKRHIGGNHHQPHLHLPTPTTLGPPSGTITPGAVTPGPLTSNSASGNDESCRLQ >CRE30021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:475131:475654:-1 gene:WBGene00084134 transcript:CRE30021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30021 MKQKNLFSWSVEERESMLVLHSLKPGILQNIVIRDGIRRRSINRIVIMDQWKQAEHLELYGFNLPSIEHLFHFTTIEMEYQKFSVEDLVQLCNGLSRSINFESYTMKTRERLDTEAIKEALNLQQTTSPGIYSIPNSNLVVEFSWGSRVLKLSKCSV >CRE29945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:602156:604825:1 gene:WBGene00084135 transcript:CRE29945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29945 MKTDVGVVESYFWGPDFPVIWIYEVETEGSIVHQIGCALKMRFKKKRGGMLEQGKEKTRRRIELDKDKEKRKTLTWVNKRYTNEDDDACDFRCFRAYFEREISIGRRDGPGWEKKRINKLSRKERNSVIADVRLLKKEEEEEKEKKN >CRE30006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:380610:383688:-1 gene:WBGene00084136 transcript:CRE30006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30006 MDQWDRRCRVATCTVNNWALDFRGNYERIVKTCSEASELEARIRLGPELEIPGYGCADHFFELDTERHSWEMLSKLVEKSKEWPNLLVITGLPTRFRGLLYNCAAAFKNGRLLFIRAKMGLADDNVYRESRWFVKWTETFKHYQMPLNSEFYFEQSYVPFGDGILESSDNVRVGFEICEELWSARSTNIRLSEQGVDIMCNGSGSHHILGKSNYRINQLILGSSAKVGGVYLYANHRGCDGDRVYYDGASTIAQNGDLLAQIHQFDIEDTVSFRILCVQKIIYFQCVTTAIVDLSDNSTFRQMKSSNRGNASDQITVIPIRFEGNMIGGVKFNEKCTEPITNVEELQLSPIAELCHGPPAYLWTYLRRSGMAGYFIPLSGGQDSSAVAAMVRLMCEKVCGAVKRRRETDGGDDPAYYLGGKKVVEDPAELCNQKEMQLFLLFVTVLIPFCSSQITRNCYTCASSDLKDKWYLTGLAPVPDAYFTGSCSNRATSSTRESCSGPCLTMVFENPDEIGSSQTPTTYVVRGCHRTLTNSVSDRITNNGGDFCELDNTYRMADRRGNVVNVRMMSLLCGDQELCNDNEFTQTDFMSGVTCANQTNNNLLNSTPLNCYECTPAEGDNCHESKCTKKYCMKQQIKLDGGFQMTKTCTNVNILGLDNSCQTYDVFTNPGGVAVKSQYTQCFCKDKQFCNSGISYSVLFSSILSIWFSSRFL >CRE30043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:650028:651546:-1 gene:WBGene00084137 transcript:CRE30043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30043 description:UDP-glucuronosyltransferase [Source:UniProtKB/TrEMBL;Acc:E3MMD0] MPVAANMRGYARNPVNVPNTFLLSSEVYENNRNGFFSRTRRIYEYLVDQVSRDKRHLQLKFIYSGPIASRNSEASGKLLGLESATKSRIFENSLLTVNDFPDTFSFIQSRGNDLIPVGEHCASSENLPSDFRNFVEDPISKGTIYVAFGSYLNLEDGPEGTVESFVEALNYFEDYRVIWSHKGNVSQFKIQKYHSFSFQVTGAKCHVKFVNWAPQKELLAHENTVAFITHGGLKSAKEGVCSGVPMLFLPFYGDQPRNAHRFVTNGIAEALYKKSITAVDIKQKLEKLLEDPSYKENVVKTRSYYLDSPMSSLNLGAFHISRVLRRPESQFIRFKRRSISMTHLQYLNIDIIFVIFFVFFIVYKA >CRE29948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:628227:629356:1 gene:WBGene00084138 transcript:CRE29948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29948 MTEHYPSYIVDAFAKKRFSGNPAAVCLIPQNKKDEEYLKIASELNVSETAFPVPIGNSDYKTCSQFYLRWFTPTSEVPLCGHATLATSHVLFNEIGNLNKELKFETQTGILAVRRDESGNVELNLPEYDLTSIKFHHTTNPLHGIFSEFKAPHFLFDIVKCIVPTEMAIEACVYAAKPRVLVVVVDPLTTKFELEAVKIDVAKILQIQNNGFLQGIALTLRPKNALIQGFTDSSDEPFDYACRYFAPWVGINEDPATGHAQCAMGPFWSKITGKRELYALQAFPTRGGLFRLKFQDGRVILNGPSVTVLRGEITLDEPTFY >CRE29935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:548845:550370:1 gene:WBGene00084139 transcript:CRE29935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29935 MPRYRRNDVSVQVSRNRVFSDSFRELFRLRPSEWKNRFYIIFQGEEGQDAGGLLREWFSVITREIFNPNYALFITAPGDMVTYMINKASYINPEHLDYFKFVGRLIAKSVFEHKYLDCYFTRAFYKHILNLPVRYQDLESEDPAFFKSLDFLLQNPIDDLGLDLTFSTEVEEFGVRSVRDLKPNGRKIDVNDANKDEYVKLVCQMKMTGSIRKQLDAFLTGFYEIIPKDLISMFNEQELELLISGLPTVDIDDMAANTDYKGFQKTSTHIQWFWRALRSFEKEDKAKFLQFVTGTSKVPLQGFASLEGMNGVQKFSIHMDSRGGDRLPAAHTCFNQLDLPQYESYEKLRQSLLLAIRECTEGFGFA >CRE29937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:560134:560625:1 gene:WBGene00084140 transcript:CRE29937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29937 MILSIYALLILQFGSIWNSTLACMATNNSAGATTSSCAEPTRTDTDGVTLSLVPSGSTLTVTCTAGISPQTSSVIYNDVTTSLSSISMTCLDGDYLYTTPAPTTTVPVTSVGCTAPTP >CRE29873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:126535:127355:1 gene:WBGene00084141 transcript:CRE29873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29873 MVQGKLKQKTTLPKGVKQKVKKVKKEGPKKGKFLHIAPKKAHIIEAEKVSAHVTKIINDKNEEMVKGRADTAVGKNKK >CRE30001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:362548:363625:-1 gene:WBGene00084142 transcript:CRE30001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30001 MNLLKFPLLVQHRVYQELSILELFILSLTSRRVKTFIKLFKWEVHGVLFSFMKKEVYFFFQDSNELWQRNKFQIPLDANFVCYREDETDVFYYHFKRKKCGSTLALELQQHIFDLFSTASKQLKLTVFIENIQSYRWISSVGRASISSMRMVALEKFVKRHPTLKYLILREEMPYYLPPTSSIFSLRNLSVYVREKSFLYYLQYFRGTHAVFEGSVPIVQVHEFIEKWIDGDYYENLKLVHITKTLRGEPFLQELLDRYQVKKQDRSKTPKFFPYNEEDLFYLSYQTNQIAFNGSVFIENKKNDKVASIQFTSKCMKFYIWRKEDIFP >CRE29974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:230759:232994:-1 gene:WBGene00084143 transcript:CRE29974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29974 MGCAASQENSIATVSSSSNTTTTSTSNNSQKWAISRAPQGSHPINKNLTQVAAIHTSSMDSGSSTVQFPSPRGSLTTAVSSSSSGAQRKMSADSERSLHTRPLTDTAVIHKTQTSTMSTGAGDSPAYQAIERGSLYSLDYRVFIKGPQGIVSPWHDIPLFADKDKSIYNMVVEIPRWTNAKMEMATKEPFSPIKQDEKKGVARFVHNIFPHKGYIWNYGALPQTWEDPNHVVPDTGAKGDNDPIDVIEIGSKVAGRGAVLQVKVLGTLALIDEGETDWKLVAIDVNDENADQLNDISDVEKVYPGLLTATVEWFRNYKIPAGKPANEFAFNGDYKNRDYANKVIGETNEFWKALMKEASPALNTISHVPEAVHQATDEAVTAAVESTPEHGASAALPGDVDKWHFVQG >CRE30027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:587650:588404:-1 gene:WBGene00084144 transcript:CRE30027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30027 MNVCYRMSKTEPLLVLLAILVLVSQVFSIPVDNPEPTTEEPVILPPEPLSKIVFVNDLPPEETLQFEFARGARVYVASSRDIEADSYEENIHIFAPNLDGESKKMSEVGRKVDSETGEKQPVFEDLRYSISIRNNNDEKSKTKNPGIIYFLLAPDDEHVSYVFESTRQEKRTIFIQSNNIENHYVTLLNPXXXXTATNKIWKS >CRE29975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:234447:235473:-1 gene:WBGene00084145 transcript:CRE29975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29975 description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:E3MLZ5] MGCAASQENSIATVSSSSNTTQRQFAGVLVLAGEVDEAVCHHAFYYAIWKKYGVLPERFNWQLQAPDVSFYPLRPEFVESTYLLYTATKNPFYQHVGLEILESLETITRVKCGFATVHDVEDRSLEDRMESFFLSETLKYLYLLFDTDHPINKEEQERILFSTEGHIFPITSLFNTPPSPPSLLDPPLSILPRRNASFCETATEFSAGITPLRHSQMNHLFKTVGVDTNLHNWV >CRE30020 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:473229:474701:-1 gene:WBGene00084146 transcript:CRE30020 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30020 MATSLSDHPIDIRALILYDNSQWKTDDESYSNYKKLCEAFGTQSVSYEDYKNWFNIYSKEAYYSKDRKRSLVLPDIRGCILSDVINGKTDRKSMNNLYEAFHYLRIDMGDHEYWYKRFQSGHLFTRVTFSDLPEDVIAEVVGKCELKTYYDLRNVSYGLRTIVDQRAPPYTEIFLYFGKYGINISIKHKWSLRQKQTFRELKILLRNPRLRLKKFHIETVIIDDQVLGIGPISLSRRDNTRFFDLLSSLDHKLQVEECSILTEIEEELVGFLQCLKPGTLQKIVIRGRQLRRDSINLIVSMDQWKQAKHLKLVEFSLPSIEHLLRAFASFHNYRNVFSSIFSRGSGSTGLSKSINFESYTMRTRERLDTEAIKEALNLQETTSPGIYSIPNSNLVVEFMFDTHVMKLSKYSV >CRE29931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:532246:533700:1 gene:WBGene00084147 transcript:CRE29931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29931 MDKTHKIAVFISMCAFIFSIFFTIVSGCSEYWARAEVIDTRKFRHAGSVHTGIFVGNREIDFGQGGVHTRFNVFQELQEGTSFFSRTVWIFFLFFESMSLIWSMIGVVTCVFSMTSLAMDISVAGPNGIYLWSLLSSVSHGGALALFYSQFQSSFKISMLLEEHHDIGFTTFNQASLSYAFYMSLCALFALYIPPLTLVVFTEKIAIGGRSKTPTNFDPTLMLY >CRE29971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:212372:213104:-1 gene:WBGene00084148 transcript:CRE29971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29971 MSFSKTIVFLLVICTCFIGHDAWDRIATWGFRSIFLYANQTEVWKLTFKVNHQDTSLQAMNVVSDWIPKYWKTKDAYLNKNNKLSNQTYAEQQAWEFLQQRDAMRKFLRFMFRSTIDTKYFTEDQAIRVFFSHLDLEINIKRFQMRDIWWKSDRDAQSNFTRGRPLFKNRTMTEFAKTHKDFGTKFEKLTDDYYYYHYSSAEKLNWTLVAEY >CRE30005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:375001:376344:-1 gene:WBGene00084149 transcript:CRE30005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30005 description:Translocating chain-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:E3MM49] MVKPQGGTKASKKAQPPVLSHEFVIQNHGDIMSCIIMVFIVGLMFPFTNSISSVFIAPQYNGTYVVEAAGEGGQDREVYGYLSGLFDLPAVFFYSICWIVVHAVVQEYGLDKLTKKTHLSKVSTFKFGESFHQLFFVAYSLGHALFLISEQLENFIDIKRIWLGYPAEHRVMTASYKIFFLLQLAYWVHQFPEFYFQKLKREEIRQKTVQALVHIIFISAAYFLNFTRVGLVLIVLEYFTQLVFHIARLAHFLGRKTFSAPAFQAYNIIFILARFCSVILAVLTFWYGLRQAEQPFIDYSAGNFNTAVLRLNMLLGVVLLQLYLLYSFVAYHLGRFRDSAAKKEKKKANVAASQPKKEKKRQDSVSEKKESDSKKKN >CRE30042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:648441:649889:-1 gene:WBGene00084151 transcript:CRE30042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30042 MFSSFVFIFCFSQVFCSTDDHVDQFLQNKTFEDVIGLSEKYHRAKYLETQQKLSDASQPSLVLAADGAILPCDANWHQYPDTGCCYRISDEKSDWYGGTNICKALNSDAQMASFHSQAESLFFANKYSSIHAWTGLSQTEVPNTWTYTDGTPDWHWFPALTSASSAADSSCVEMMDGLLGLLFALSLQKGQTNPYSCTEVNQIICKYCPKETTSSTTTTTTTTTATTTTKTTTKTTTPTTTTKATTKKTTTLPSASVTCTSNCPAQSVNFNGKCYKKCRGSVKFEDSCNECGGTMITISNKAEKDFVSRVFGENDGTVSQIWIGNTESNGYLDWEYGQPSKPDNSLDYCISMDLTAGIWRGKYKYLPCESTVVSSICLMNS >CRE29942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:577299:579386:1 gene:WBGene00084152 transcript:CRE29942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29942 MRLVTVLSLLITQILAAQKSSIGPPKLLEQSEEEVWYHLDDDDIKNRLTLRCEADENTDRYYWLKNGEPFEIDSDDVLWEKEGQSGSIVFVKPHISHQGYYQCFASNIFGTALSNKMNLRLGSLEHFPKRDIQVLRVKEGESLTLNCTPPRGTPSPKIIWLYRSLDDSSVIETIRSRHITVDTDGRLHFSSVELSDGKATLVYECAATSPVLRGEYRSGDRIQLDIEPTQEKSHPVRKLSVSPSEVTIRAGGQLKLQCIFGGRPLPTIFWSKVDGELPKSRLKDLTSHESDFGRSLIVENVHPDDAGVYECRGRHLVHTVNVRVMAAPFWEFDPPHDISLPEESTGELECLAGGQPTPIITWSMNGKFLHELAEDSRRVLLDHGRILRVRSLNHDLDTGVYQCNASNPLGYVFANAFVHVRGLFGSISHAPFFRMPAARHWKVVLHSTVILDCDVDAAPEAVVRWVDADDRPLQVVEGKNKKMYRRKTIDNTE >CRE29923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:449184:450916:1 gene:WBGene00084153 transcript:CRE29923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29923 MEQFLLCFQCGKLYDEDEGRSPVKGECSHSICLLCYSMLTNSSDCPVCDEELTLKEPTLYEPTLNKAILEDAKCLKTKMREDNFSSIVENKRENLLRNTCSECSKENVKLRICVDCNKESGILMKKLEDRDWIVQYFPEDFTNIPSICSNCVFSKHEEHKTVNLQQIVNLKEVIACECYLKFSRRDHTRAGLYERRLRTYESWMTFYKLFTTNEINIFKELEDIPEEMKDLSRKFRLEIQKLVEEVVKQRNRELKFYQESVVSDIPKYEEMIEEAENETSREDMKNELSQLVEIREKIGMKMNEIQLGEIEIEEMDKEIVSRMEQLEESYKKGVLVLIEQSEESTFYRYQALLEEFQKTEECIKCEFELEEYNEKRKIISMKQEKFKEIQMRIEDLRKQKEQVVRENEAENQIFQWKKCQAFLQMELLEDEFKLNQSEINLLKQYERANYFELMRLKFFPLLPLDDLEKAAYDRFFSDFIYTFHSK >CRE29881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:211619:212342:1 gene:WBGene00084154 transcript:CRE29881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29881 MLKTPFWLIFILLNLSDLTSATDQVMVHTFESVLRDSGKQWIIELTYNFNEQETIEDARRITLDAIDNNWKPVTLIPFCAGEGHKEPVLMNPLDQVKQDVETFINYRRALKIYIEQLLQEAIRSEIFDSSELEKIRELFWEVEIRAQTRFSLVEESFFSKLAELYSEEITKKLHAISDEVIDSDSILKTNLSNN >CRE30007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig49:384294:385431:-1 gene:WBGene00084155 transcript:CRE30007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30007 MVKPQGGTKASKKAQPPVLSHEFVIQNHGDIMSCIIMVFIVGLMFPFTNSISSVFIAPQYNGTYVVEAAGEGGQDREVYGEGTILFENFLPVYFFQTHLSKVSTFKFGESFHQLFFVAYSLGHALFLISEQLENFIDIKRIWLGYPAEHRVMTASYKIFFLLQLAYWVHQFPEFYFQKLKREEIRQKTVQALVHIIFISAAYFLNFTRVGLVLIVLEYFTQLVFHIARLAHFLGRKTFSAPAFQTYNIIFILARFCSVILAVMTFWYGLRQAEQPFIDYSAGNFNTAVLRLNMLLGVVLLQLYLLYSFVAYHLGRFRDSAAKKKKGR >CRE03511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig490:20511:21299:1 gene:WBGene00084156 transcript:CRE03511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03511 MMYNCSISMSTLFVILLVHVAARKVSTLGKCSQEKVMLAECLKPHQIYISSTLSNVTGEEILDRVFMNEFFNFTKKVATCIGPNIVCDRTRHYKYFLDALTFVGESLYDPTVFGCLQEIEPHLHSCFGYFYNYKEVMLLTKLSFSTQGVIRCLSDSLQSNNSLCGKRATEKIKCAVIALRLIAKNYHNWNKGKMKVAVFNPKKISPKPYKDIICGL >CRE03514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig490:31659:32042:1 gene:WBGene00084157 transcript:CRE03514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03514 MPILNFLARRPISTGASPAIPLFFAPFFDLKFSFRTRVVIYFFSVYPLLDTLILFIVVSEYKHAVRKIIANRAAQVVSIWNVPSVAPSTPRSNTAHNNRVAESVL >CRE03510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig490:17361:18168:1 gene:WBGene00084158 transcript:CRE03510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03510 MMFNCSISMSTLFVILLAHVAATKVSNLGRCSQEKVMLAECLKPHQIYISSTLSNVTGEEILDRVFMNKFFNFTKKVATCIGPNIACDRTRHYKYFLDALTFVGESLYDPTVFGCLQEIEPHLHSCFGYFYNYKEVMILNKLSSATQGVIRCLSDSLQSHNSLCGKRATEKIKCAVIALRLIAKNYHNWNKGKMKVAVFNPKKISPKPYKDIICGL >CRE03512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig490:24279:26154:1 gene:WBGene00084159 transcript:CRE03512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03512 MPSTCPFKQNGSPGPQSPPVQSPIFGKYYLRNSYQTFFPNVASSVSDLNNPDAKQKMRQEMERLTERQKQIFVETFPVVYKDFRRNGLVLFAKYFSEFPHYKNIWPQFRNLQDSALLASNELANHCSVYMSGLKEIVEVMDDEEKLTYFMARIARSHVKWNINKYHITNMLEGVDAVLKRSFEEKLTDEIVDAYHTLYDVIGNLLDIQKKLVIVKRPF >CRE06191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig493:3262:4024:-1 gene:WBGene00084160 transcript:CRE06191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06191 MHLNLALNLITPLWRDGDLAQVNEALRALGPRTIEVPARGGGVHTHRGILQVGAQNAFMHFGPRGRRTTVAAHFFAVHGTWLRHPEDRMVFLVGNPGSLYPVEYHTNNTHHQQQQQQQHSSSTATATTTLIINTLDETTPTTLIINSNSNNNTHHQQQQQQQQQQQQQHHHHFF >CRE06192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig493:4764:5491:-1 gene:WBGene00084161 transcript:CRE06192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06192 MSAVFSSALSSNAPKTRFAKSPVQVLKANNSNKPTNTYSNYQPSAQTTGGKWKRSIDEAGLDTQPNFGASADGSQPLAKNPRIGSPENGQVCSFGESRKRYLGEKASTIELPTSEAAELCPKFLYDDQTLIIHMCLPCRIASRGLDMKIVDNDNQQLGKLLLFLNIFVNVFFSVVNLCKICRSSFKSQLGVKFFKHDLPCVKRQLLKDIEKNTKDEAAKKDRKQFVL >CRE06193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig493:5927:7252:-1 gene:WBGene00084162 transcript:CRE06193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06193 MLSRIDPKSQDFITEELDFSTLPATQCGIINSRFSYVPLKNQLTESGPWELNLANNNLSYMNPKKTYIVFTFKITDEAGNRVEMGGTPELLYGPINNIAHSIIKSYTMHINGQMVFHNSTNYAYQSYLESVLMHGEEIKNSTLTSAGFFHDEVVGSPKSSGFLKRCEMVYKDGAVQVACNISIDLMNQNKVLINGCDVKLTLYPNTSEFLIEGYNLGANKLKFHVTDVFAMVNEFDLAEGLSNEIELALQSHKNIQYPLISPQVRSFYIEPNRFDAPANTIFTSKMPRRIFVGLVSAEAYNGSYNTSPFNFQHFDISQIHIDYCGQSVPGRPFNLDFESGKFIEPYILMQEALGHARTNFTSNSISKEMFRSAGYTIFGFELSVIAQDHNLFELVKQTNVSVRLNFAKKTPAGGLYAIIYGEFDNLLNINELRVPLISTIV >CRE07982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4935:1377:1970:1 gene:WBGene00084163 transcript:CRE07982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07982 MKLEGRFLNDIVNQNVNVMEYQAHKKKKTCWMQLPSMEQVGKILRNKYDKAIRVQINDRGNLQLLADETSKERKTRALPTDLVFMDDSPDYCRFDRHSGTLGTEGRVCKRGSSSSELKDAIVYVVVVDTIHILKKSNQNAIANLNGAAK >CRE07889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig495:7045:11227:1 gene:WBGene00084164 transcript:CRE07889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07889 MQSALIGLNFPLQRRFLSGVLTSTSSATRCYSSDVKKYECTSSEHKKELDECYNRLDLSFENTKEAFKSKSNTELVRALVVLRLCGVQTLVNQNQMILATMRRVLGKNLFKKTLKNTFYGHFVAGETEEEVRPVVGKLRNYGVKSILDYSVEADISGQEATDKTVKGTSQATVKPAAMTPVVDAKTLETTRERYTVHQEFGDRRQGVASARTYFYEGEEQCDKNRDIFKDSINAVASATGNEGFVAVKVTALGRPQLLLKLSEAIVQTQNFFKALTGGMTLQEGRLTSQEFTKRLHELGVKTDSESVKKFFNDVDFDSDGIVDLHGWNHILDDHVKLGQLFQVLNIKTGALEPLIQNLSNEEEQEFRNMVRRTLDVAEYAISKGVRIMVDAEQTYLQPAISKITIEMMKKYNKDRGNVFNTYQAYLKATLQNMEADMQVARREGWHFGAKLVRGAYMEQERARAQAIGYDDPVNVDFQATTKMYESCLTRIADEVDRRGRTNVSVMVASHNEDTVRFAVNLMKERCIAPSERVMCMAQLYGMCDQVSFSLGQAGFSVYKYLPYGPVEEVLPYLSRRALENGSVLKKANKERDLLWKELKRRISSGEFKAKHN >CRE30354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4968:7:2223:-1 gene:WBGene00084166 transcript:CRE30354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30354 MIQKMLSQRVIRESKSPWASPVVLVKKKDGSVRMCIDYRKVNLLIKYNAHPLPNIETTLLSLAGKKVFTTFDLLAGYWQLPLKEESKEITAFAIGSELFEWNVLPFGLATSPAIFQAAMECVVGDLLGTCVFVYVDDLLIASENMKEHAIHVQTILERIEKSGMKLKASKCWIAREEVDYLGHMITPEGVKTEEAKVDKMKKFARPEDVKQLQSFLGLVGYYRNFIMSYSKIAYPLNFLTSKKNAWVWGTEQENAFVQLKSSVCSAPVLRQPDPETAISGARPYLIYTDASRQGVGAVLAQEANDGEQHPIAFASKSLTSAETRYHITDLEALAMMFALRRFRTIIYGSQVIVFTDHKPLISLMRGSRLADRLMRWSIELIEFNPKIVSVKGKANVVADALSRGGCPLIDPDDMETGDMPNIIGEVKMIKEGNKFDTSEWLGKLRKEEGWSEVIERLENGEKTGSVKFPGIRKGIWLDNYMIIGKSLRNTEDENCSRLVVPEEIIPSLIKEAHEGELAGHFGTEKMIRQLNKKFFWLRMRARVENHVKSCQKCLYTNDYTKMVAPLTPYKTEYPLQIVACDLIDVGLSTQGNRYILSIIDLFTKYGIGVPIPDKKGETVLKAFIERWAIGEGRVPEVLLTDQGREFCNEHFQKFAELVQMKHITTKGYDSRANGCVERFNKTLMHTIKKQNAVAAEWDDQVLFAVYAYNSVVHKTTGDSPMFLMYGRDAKGGLEKIGDD >CRE29414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4969:143:959:1 gene:WBGene00084167 transcript:CRE29414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29414 MRSTRLGTRDASMLGLGMMGLEPLDDSQVKELFGTARELGVDVFDHADIYGSEWHACERRFGDALRLGAAERDAIAIQSKCGIQRDVGGFDFSAEHIVRQVERSLEALRTDRLDLLLLHRPDALVEPDEVARAFDTLEAAGKVLAFGVSNHTPGQIKLLRSAVRQPLIANQVQFGLGHAGLVTQGVTANMEGLPQSEMRDGSLVKWARLNGVRLQAWTPFSSGFFEGGEKKNPKFTKHQD >CRE29415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4969:3237:3935:1 gene:WBGene00084168 transcript:CRE29415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29415 MDIALFIAQLVVVLGCIVMGTRSSGVGLGLWGGTGVAILVFGFRLSPGSPPVDALLIVLSVVLASSMMQAAGGIDWMVSIAAKLISRSPKQITLVAPLVSFLFSVGAGTSNILYPLLPVIQDLSYRNGIRPSRPLSLSVVATGVALACSPVSAAMAAMVTLTDTAPWNFELIDILKVTIPAAIVGIVLSSIVVNRLGKDIAEDPEIQAKIAKGELAAPHADAQEVKAAVTVTA >CRE12357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig497:4024:4844:1 gene:WBGene00084169 transcript:CRE12357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12357 MATLLPSLVNFASIVLFLLIWIIFAKTENSNTIAQYKAIVHISNGYNLFMLIYNAVIGPKNPVRSIRKRKCGQRHLRGGANLCCRLIGGNNGDNGEHRRYKELCN >CRE12358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig497:13431:14045:1 gene:WBGene00084170 transcript:CRE12358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12358 MTEFPARNLTAFNFHFWVAVLQIKFAFNEIRNLEQNKPVTAMNYDFRKAFDTISISKLVIKLQVSNAATSQKDLIKLSKWFQTWQMKVALTKCEYVTFVKSKRTNLKVDPMVNISLDVLCLSQCDHIRDLGIFFHGIYLDSHINSVSHRAQSRIDRPFIILTNSVLNVMLKCYKVFIRPIIKYESTLYSPTLMCLIRMVEYVCI >CRE22283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4990:860:1423:-1 gene:WBGene00084171 transcript:CRE22283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22283 MIKQQETLSPLQVENLLKTLAQRFQQHTERHAQMSWDFVQKQLLLCPEKLWALQQMEMTGGEPDVVVLPNSEGVYFFDCAVESPKGRRSLCYDREALLSRKDHPPEDTVIDWVNKHALALMTEQQYYALQQIFNFDLKTSSWVETPTILRQQGGAIFCDQRYGRTFTYHNGAASYYASRGFRTYIKL >CRE22282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4990:1:522:1 gene:WBGene00084172 transcript:CRE22282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22282 YDNKDYGFAAAVAGNFGVASKYNAYNSELGSNTIYSDAIRVTGSVDLKPAGLDGLVFGALWQTAKPSDDTVATTSGTTVTSFKGLKENAYGITAAYAIPSTPIKLKAEYISATTELDGRDDRKQDLYGIGADYNINKQARFYGVVGQQKRDWSTTSTPEKKKTVIGLGMEYNF >CRE27122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1210122:1210379:1 gene:WBGene00084173 transcript:CRE27122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27122 MSIEGLLGTVSRSIWRYDQDYSDRLHTTVTSNILVAMAGLTGWKTWAGSSPIECAIPAMFSESVSAVS >CRE26955 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:93559:94230:1 gene:WBGene00084174 transcript:CRE26955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26955 MLQPQSRKNRNNSMKNSRNGEVNNHHKSSFYQQLFRHRKDSSIQLVSEIDEILDVRRATSIISMRSRLKIPATLGSISTFIDPTTQEELPEDSVASASTSCFGNKATIPAIVGDNINHRREAGKEAIEWSLLKLEQDCGQNIVLEDQKDSQRVSGPPATSMSTTTDTIDLPSTRNQQQLLKTTWDKNKLSSVSLMNESSDNFANFFY >CRE27267 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2137582:2138632:1 gene:WBGene00084175 transcript:CRE27267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27267 MKSNVQFYFETNKQLQLEMRGSRLLSLLVQDNPNH >CRE27207 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1773062:1774662:1 gene:WBGene00084176 transcript:CRE27207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27207 MLSSNQSFLLLTIFVVGLSAAPRKSPPPPLDDFSTPSGESRTDELPQPTQDLVFETPDSTDDVIFVTGPFRFGRHGKNHSRPPHGLSSDGPSFGGDHSQPPHKFSSDAPSFGEDHSNPPHGLSSDEPSFGGDHSVPPFGQSSDGPSFGGDHSAPPSSDGPSVLDHSRPPHGFSSDGPSFGGDHSVPPFGQSSDEPSFGGDHTGSPHELSSDEPSFGGDHSRPPHGLSSDGPFVAGGDHTNPPRGESSDEPVTEQNFVTENPELDATTGGY >CRE27079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:951260:951532:1 gene:WBGene00084177 transcript:CRE27079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27079 MIFQKLLQNGKSIHLYNRYDKQRRTRKNRMNGEWKNDCFSSQQFSSVNNETENNIYEPENWDSHKMLTDELSFGDSIDFGSSQEDLDNSF >CRE27287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:172645:174701:-1 gene:WBGene00084178 transcript:CRE27287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27287 MFSTKLFIFAAFISFTFSAPTKINPVPDNDSNLVSIDENNGVSPVPENDESKNKDKITTKTPNESAFSSATQDNFSANSTEYPNVTVTAETPSENEVTTGYISKNTSGASHALDSENTAPTSLPSEPETTGTPFVEKKVTTETVFPATEQNERTAVTNIPTDHVTAGTPSIEKEGTTESPHKPETSHTTELNENTSATNPRSTDSETAGTPFVEKKVTTEIMFLSTELNERTAATNIPTDQETVGTPSVEKEGTTEIPHKHETSLTTELNGNTSATNPRSTDSETAGTPFVEKKVTTEILFPSTELNEKTAATNIPTAPETAGTPIVEKDETTHKPETSHTTELNENTSATNFPIEPETAGTPIVEKEGTTEAIVKTSSETSHTTEMNESTPAPSFATEPETAGTPFVEKEVTTKDTKEPTDETTTVVYSTTPKVANTTVPNMKTTAHPEEPETVGTRFVEDVTETANTQQSTSVSSAVTVTTTISTTTKTSRGVSVLLSVLVLCKSFFK >CRE27277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:55841:57103:-1 gene:WBGene00084179 transcript:CRE27277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27277 MSNESTGPVIFLIFFTVLDFFTNTFFIIVLSQKELRNSGINLAMIMIASCNSSNVIVRLIKAIFERVNGYEKTYVEAVYNRIENYVEVYLTAMSDFLVVEMAFCRVMALYTRDWLVSICCNKIKFIPCCLNLIFFLMILWQMKKFEAHRRKTLNSMKSSIDNSSRMLQAILIMFLFVKTPQALLLIFNYLFMMDYYILIAPITTQFVEVLDVANSSTSFIFYCIMSSHFREVFVRLFVPEIVQRRMNSTETMMHITMVASTRNLCVAWQ >CRE26945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:43454:44523:1 gene:WBGene00084180 transcript:CRE26945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26945 MRNFPNTSCLLNMLDAEHSRRNIHVPLSFQQETDYTSLVTRLDEAVNNLKQFHGLWIFDKVVLTMAVASSAYISFFSPSGSPQAMVAIGICSFLVLMYYMNCKFIKWIMSIFHVWLLLKETE >CRE27383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:955930:956453:-1 gene:WBGene00084181 transcript:CRE27383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-24 description:CRE-TWK-24 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ43] MTLIGLSVVGLCVAIVQAKVKLVFDRMLRSIDAQYRIRQVDPHVATMSIVEDEEEGVKRLIQSQSLEDRIIFLFVDEHKKTMLKERWKQKSSMVNRITQTYPSKADKYVQTGQRVYDQRLEGSDEDQSDEDEEDVEYDELGNKIIPPPVRRYIYTVFD >CRE27513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1743709:1745053:-1 gene:WBGene00084182 transcript:CRE27513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srab-3 description:CRE-SRAB-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LP12] MFNETNCQQMAQVATSSNLRITLFVSLICCLLCIPVNLYALYRIHISVKLHFNSKCVLFTHNFFVLIHCVARIGLHGKDLINFFDSWNSGCDIIPSRSRCDIRIFYKFSEYIIEISPFILTIERFVATFQAYHYENRYKWFGIVLNILHLSLGSLFLYIQNSTNTGEVIIYYCWLANTGNRFLVNVPTFFIFFSQLATIPGLLYLLRKNEWNLQKFREASLQKHCTLTERYQISENLRTSSMFRIMSIVTWIFVVYNAGGAYIAHFYMGSMEFADQFALVEIIHAIPIYYIILSILIIRVDKKPQSEFTIRVNTYQPHYFIELQKFFDEAFEKINLRKQKVVPVQKNKIQKTQTSKVFIVST >CRE27432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1270724:1271715:-1 gene:WBGene00084183 transcript:CRE27432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27432 MNFSVFFAAVFLTSFVAAKPTTTTEKPDDSFDIDLFLGAMGDGHFADGTGNFDGVVPMTPKKTEKLPGQKKMKDDFEQPDGVVPKMTGSFVTEEPKPVTSTTDEETDEDYFGDSSVGSAVAGPVTNPAATYLEGADFEKIAQPSCQMLGCTGPIPNDGSYSALSATLDNKACNQIFVPMNGCTDNKGYPMGMLCSVCCDCANAFVQEMKKTFGYKQNIPTSTFN >CRE27125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1225582:1226595:1 gene:WBGene00084184 transcript:CRE27125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27125 MRVRWAISLEDTITSPTFQNIFRRAVLCASEEDVDQQRRFRFKEDALACLIGRLMPRKAAVLHTSNSWSSLEFVRTEIGKPSLVQSSSAPNFEYNVSHHGDLVVLATGETRIGVDVMRVDEARRETAVEQMDTLKRHFSEEEIQTVKGGEKSEMKRWHAFYRIWCLKESILKATGVGLPDGLHNHTFQMNRNYDHIPGELKQFTPEKIILLSGNSTTSSLYFHRSTPQPQWTFEESFIGEKHCVAVASESSSPSENVIPFEMKSLEEILKDADFINVTADVDEELEVFMEKPNKPF >CRE27028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:606583:607881:1 gene:WBGene00084185 transcript:CRE27028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27028 MLTVLLADMDKCPFVFFKEYEASTATNLCKFRNFVMNIMAFAPYISLVCLLINLFHVIVLTQKSMRNSSINLILAAVAISDICFLLFPIQLEVVAIQDSFQPCSTMNSYPVLLIKSIFDSIQFTSRRFSIWLSLSIAVIRTLVVRNPLDPKISQLSKPKSLGYCILCILPICLPVSILGWLKYNLVLNPVQGFCAETNSPMIQYSSNYSDLFIANDYFVFRLYNFLESIISKLIPCILFPIFTFLLVKELLKAEKSRAKMLNSGHSENSSGRKTKLVLYLTITFFIADFPLGIVIFLKLFFYPSSAITEVLNIFTFLFSFCLTVNTSTHMFICFYMSSQYRQTAKLILCCSCAPKRLMIPETECSHKCGTDNK >CRE27033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:643981:644831:1 gene:WBGene00084186 transcript:CRE27033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27033 MITRYLLTIKHNTLHRGFCTSRPSSNIFEKVKHFLLAEERENFDSDNIRKAHKKDGVDEEKWTLIYRDIGASRTFYMTAAFLPCFIIGSAVFAVDINTNSPSNRFDFVQKLVNDAEELGSLVVLPSVALALVIAFLARVQQLRLIRIYQNKTNTEEFMAIRSKYMITQFKVCARDSAKNKMLLLQETFRRDETTGFYFAEDQTDGARVALHFLFGNIQIGNRKFMIMDDMFRANNYRSYMLNETSVPPRL >CRE27215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1858040:1859064:1 gene:WBGene00084187 transcript:CRE27215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27215 MSQQLKDLSVSFLIQYHFDNDTRLCMAFEFEGCESNENNFLSDSECKASCSPTDNVGCPVNSKPLTKEDGSNLCQQSEDCVPEGYCSKRLSGGGKCCRKAIREVI >CRE27420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1166611:1168128:-1 gene:WBGene00084188 transcript:CRE27420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27420 MASESESSYFLGTLNYYYNLLLMTTKGRCIVVTVLVTAGSILLYRWRNENNKKQITPEVQKVPLRLPPTPVFPDDLNELHRKMREEREREERQYEEERNLKLSRRNEEKRARTERSILEITVNDREDELNFMRKKYEIEERMRKLKEEKRNDQLVKDKKGGTFLRFPTYNFIFRSREIHRRNVAELDNKFHENQDIFEKQESLRKEEILEQEERFERRRREIEEQLERDLEEMRRRNQQRRNEMDEQLRQIRMILQMKLWNEVIESNWTKRLNSLRSSNQDIEKLYSQMTRNQDRQLLKSQTNSLLAAVDHQKTLMENEKNEMDRMYREYGKSFLLVIKDSVDDVSSQCDRTLYVLKHEPSNSAKIDECVAALSKITMSIPTLAELKSQWKDGMV >CRE27009 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:511256:511626:1 gene:WBGene00084189 transcript:CRE27009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27009 MSDAIFTRSEVSMHCGEDDCWIIVGNYVYDVTKFVDLHPGGPEILLEFAGGDATDAFESVGHSMCARMMLTKFKIGSLPEDERPDFVQAEYITHAKISLPMAH >CRE27074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:940099:941960:1 gene:WBGene00084190 transcript:CRE27074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ugt-55 description:CRE-UGT-55 protein [Source:UniProtKB/TrEMBL;Acc:E3LQ32] MQLLKYCFLVFILLDFGLICLSLQILVYSPRMIPSHVAFVANIANLLGHRGHKVIVIDNVLRNEISKKFDLNAVEKVIEVKTAENVVKLLTNQNIPLKFWNMRNEPNEQKEVMKNLGELFLEQCKYLMGEKEIFEELKNYEFDFGIHEVFDVCGIGIFEKLGIRKSVILSSTGMRDIVNEALGISGPLQDSSILSDYGSHVPFFGLRRNLQFHSAWRNFFEIQSKQLESSFHLNTSYENLLRYSNLMFLNTHELTDCQRQWNRKIHEIGGISFKIPSSLDKNYISLFAKYTNVILVSFGTTTPSFLMPEKYKTTFMKVFKKFPNTLFIWKYEKEDEFTNKNSNENVLFEKYVPQVDMLESGKISLFITHGGQNSLLEAFHSNTRTLIIPLFGDQHRNARISLENGLSHVLKKEDLSNQEVVEEAIKQGLDGDKKLADGLQRVSINLKNAKQSSETLLIRTIESTYTDIQSPPNFEFNPKLYSADVILMFIDSSFLFFVILFFYFLMRKLFS >CRE27253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2057001:2058062:1 gene:WBGene00084191 transcript:CRE27253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27253 MLYSILSLFAYRHQCVLPDSHKLKMTTKTFVMFISFLNLFALVYMVPFLLWGPDQSVSIENLRRSFIDPPCDLWEPHMYVFLDGSDNRLLYCYFVALFIISSSMIFLVFHSFYSIKKESKTTSRCSQRRQLGFLYALILMALVPNTFLVFPVFGLIVGSVNSMSYNSALGEILVCSLSVHGILSTLTLILSHKPYRSEATRVMRKLTCRNPDPKKSNAQVEFSTRSVKY >CRE27225 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1918918:1919681:1 gene:WBGene00084192 transcript:CRE27225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27225 MRIIETDEQAATEDEVLSLVLDGTFQPSLLKAYIEHTSDENSLKWNFQMAEAMMENKPLQVLKLAGSHQFNGQDQKEIALILVGCACEMINIETSEVSKTEVQNNGPQEIDRSQIKVSGKNSRTSGDNKDPKSLKTGVKVRKNLTRHYDRYDGHISEGCVQMYSEKYEEDPANIHLYFQNLANSTPTRIRARNATSLNRKKRES >CRE27444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1351977:1352186:-1 gene:WBGene00084193 transcript:CRE27444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27444 MLVNIKRMISHRLISLFLFISTDSCLPTLTVTIPLSSTTETPSTTEIITSTVSEATTESDNTCCSSSGL >CRE27221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1895889:1896518:1 gene:WBGene00084194 transcript:CRE27221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27221 MNSNGSDNCKPKPKLKFHFDVSTAFCMNFQSNECQKNENSFDSVGTCASTCLKENYKGCPFRRNPVIPFQCRSNKDCNRNVNSDQKDLLYCTREGTCCKSADLAELRSVFNVTCPPGRQKVQYTYKSSTRLLIGKSCDSKMCPVNSTCHKETYFAFCCL >CRE27034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:647378:648473:1 gene:WBGene00084196 transcript:CRE27034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27034 MGGQTQKRILLRQSEIGKDDHGKSKRRRMKESERKQKKSNDMMRLEEDAHHSSRCFKWCLTAIASPEMDEDSLFILESCPFILPSQSINYRNPLPKKKKENAQL >CRE27026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:603990:604423:1 gene:WBGene00084197 transcript:CRE27026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27026 MLGSGRTDKSSDNKTKLILCLTLTFFIAEFSLGIVRFWKLFYNPDAVVVKFLNCFSYLFSLFLSGYTSTHLLICFLMSSQYRQTFKSTVFCNSISKAKNKKMSVISVSPAIN >CRE27273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:17612:18114:-1 gene:WBGene00084198 transcript:CRE27273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27273 MHSEKNICELLSSKRMDILPNTFFGENERLLRNLQFEHNFFCRSLSNVHKCKRKEELKSTQRQHYTMVVDKFEKIVNNLSTFHSIWLFDKVYFPIAVAALAYISSFSPMSHPAIFALAISAFPVACLLIWRLAFWVASLLAVKLMYNDLK >CRE27335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:539594:540631:-1 gene:WBGene00084199 transcript:CRE27335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27335 MIFWAGRTKKFVVSVVNSNTLKLERGFLIYGKSEAPRITQKYVMQVPATDEAVRRRIAIRNPYGLPKTFRITTSHPDIIKITDQLMSVPPMGKLACEMFFLRSSHHQQTIEVSNLFFNKQTHSDFQTLLYISDAETYVQEEAYSVTLVFEEL >CRE27228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1929688:1932021:1 gene:WBGene00084200 transcript:CRE27228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ceh-79 MVPIVFPTLLPDADPNLVEFCKNLLFASHHKECTTASELLQKVVALKCIQDNNTMSSACSSSAPPVSSQPSCSSAEQSSPSPMDTSDPDEQETHSFTDTPVFFNSMTAVLNNLNKTGVDSIVAEGIALDRLCSPSANPLSFMSKVVINGVEICPVDDVTSNFVKNVLKRLHDHDSFTVDGAPYPFDVKSSLRYSEHLHSQIPPLGTINPPHVAHEQSITFAAMARFMAMHEINIDLKLIFPVESHLLDEHAYYSALFWTYREAFKSFYYPKMPAPLPQPFQLTPAERQMLCRYWNSGNRHVTASECMLLSRRCPSLAPHQVYGFFDKRRRRTYNKYRGLRDDETLALETTQVWRKLREMKQNGDDEDSGDEDDALFQREILSKEDTAKLEVLWQLGHRKPSRNECELLAADLYIESGEQIFAYFEDRRWHDEYQNRKRASSSRK >CRE27439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1319234:1321825:-1 gene:WBGene00084201 transcript:CRE27439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ril-2 description:CRE-RIL-2 protein [Source:UniProtKB/TrEMBL;Acc:E3LNM0] MWRFAVYTPKTTILSKTCLKTIRQALKHTDGRTDYFRFLSNDAAASIRADKDQPIRDVFSLDDFGLLTNKRSSSIESMSSTGDTFLEHLFRNDTLNTFSNVQIPTTMSSRELAVSNEILGYDYVSELLSEGAIPEEIAENLAMEPTPSEAELPHSFSDSSMYHDDQEPSTSGLETTSLPFLIPNIDDPPTGDKTDTRKIMSEKLRRERKLNLSTTAPLESSDEMSTHLFHVPLNSGIQSVGEMLFPDSIPSESYTSQWKEGETFAITMQLPKPSSSTDYPIEFSLDVLEGIMTEGSMLELQKELNAVGVWPEWIFTGDRRLVSRTNTILRFVVENIIDYKEAEEIIKNFSSSHMKAVIPDDIILHLTTKTVDSSSKIEEVLNQLERFTTIAMTRELRPNHSLVEERLVDLYNTSIKKFPEDAATLFSLCSKLNLDRSADIFMKCYGETQADNNNFLKSFGEWKKLSIKYGTRQGIESYWNAALNCEGPIEKRVEALLVHSGKTEHPFSTVARMICSFVKLNKLDEALEVFRAVSVSGKHFKEPLASFVEKKDLGSIERLATLIERGMIAEKRRGSRLQATNKTDVECEKKHSLSDGVHAVLAKFYGVGGQKKKKFVDSKLKKKIHRVDEEQLHDLCKSLQKAWIQCANNKDSVDRLVGWCQSNRIEIDEKVQKKISLFYK >CRE27025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:603539:603820:1 gene:WBGene00084202 transcript:CRE27025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27025 MSSRRYSTWLSLSIAVIRTMVIRNLLDPKIGELSKPISTIYCVLIVSLVCLPVSCLGCFKYRIIPSYPTYCDTNNSTSTGFMTAYSLLFTEND >CRE26943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:28289:30088:1 gene:WBGene00084203 transcript:CRE26943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26943 MEHGMSACVRPGQENAAIRSVVDPLQSETSNHCGRRCFAMEKKKSYMYHVSKALNKAQRNYSQIEKEAFGLVTAVTKFHKFEHGRRFTLRTDHKPLLSIFGEKKGVPIYTANRHQRWATILMNYNFSIEYINTKDFGQVDALSRLISDQMQQREETEESGSFCDQLPVTVDIIRSETHRKLLMKVMECIRSGKWPVLETTAPIWLFQQRQAELSIVQECVMIGERIVLPTSLKPKVLIMLHRGHPGIVQMKKLARSNVYWPAMDKDIENLVKCCDPCAGAAKNPVKNVLHSWPCSTKPWNRVHADDCGQLQGIYYLVIVDSYSKWPEIYATKSITTSATIHIFRQVFAQFGNPEILVTDNGSQFSSKQLEQFCKLNGITHVRSPPFHPKSNGQAERFVDTLKRALQKLRGEGNSDSAQFNYQHGAMPRHFVVNQKVYVKDYRSPKATWIPGIIVRKLGGTIYDVRADDKAWRRHANQLRTRSSATAWQEAVDLLKMPIRFTQEPTTEPVTPPSPTTPPLPTNLIVPSQPTSPSLPPLRRSSRNIHPPNRLCMDEVIS >CRE27412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1137439:1138485:-1 gene:WBGene00084204 transcript:CRE27412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27412 MVCCCPVTTTLVVGVVGYFIYKKIFKVPTIRPKPEAYKKDYKKDVVYLYQFKRTRKCPNLSPFCMKVEVFCRAYKIPYEICDEKRRWSRNGSLPFIELNGEHIADTDLIETRLRKHFNVPSLPALQEAQSVAITRLADNHLFNLLIRYKIQGDEFYMVLVKLIKIPNFLVPVVLPLIRGVFGRKIYKKSTMAIGNFEQEEMDEILHRDLQAIQDYLGDQKFLFGDKVTAADAAVFGQIASVIYPFRCKINNVLEKDFPKVLEYCERIRQEIYPDDFTI >CRE26964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:205706:205936:1 gene:WBGene00084205 transcript:CRE26964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26964 MTFSIYHGPPIVPWASDSAMGLRFCYGPLIFLWASDSAMGLRFFYGPPILPWASDSAMGLRFFYGPPILEQSQIFL >CRE27252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2056609:2056821:1 gene:WBGene00084206 transcript:CRE27252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27252 MSTTISIPAKCGNSSHVDHNFSNLWIHSTVVFEFPISLFAIYCILKITPMKSAMVRVLLLLHQIWYEKET >CRE27022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:600033:601127:1 gene:WBGene00084207 transcript:CRE27022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27022 MQFLDSKEKLEHKGFNLLPASVESLLVCISLNCYLFNEFQSIYISVNRFIAIYFSNHYNTLCGNWATYIVNFAILAQRFIHVLFETYERIIEEKYISFSPELLAFTSLEVSPDGMVLKFFLMFLAALAVNVSTFARITLFYLNSEYRNDKEKWVMVRKNMRLFFQTILQDALFFVDNLFTYQMGQLSNHRFWFFICATFIWQSIHTMDGFIMIMFNDRMHILKKFMFGTSEVTSSG >CRE27336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:544868:546072:-1 gene:WBGene00084208 transcript:CRE27336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27336 MATTIMVQLSVDLRHQSVGLDRFLAAQRLDIQQRHEQLFNENSLEKIRQWNTLKDGYELSDNKGVAQKFIFEEELAAYKKLRYESKPAKLLEAVFKGITTYHQIHPSFGEKVFFEFPLENPHSEPINCTLEFDDTALRPVFDEEEWKFFKAVNKLTTPMERNMMRQTSEQIELYLQPRDVIFVPFVYDAFYFPSDHFNTYSTKVVFRRWDTKEPLSILDLHIHRRSFLLQHAVTFICETSGNWEKQLVLPPMTRDRRVFSCRCSDPSVRLTLRSATLQQIVGFTTYSGDTNDRKTFLLLMYSDHYQTRLMATWKVTILPFFNVDVRSIVGQTTRLHLLVHRRR >CRE27227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1924139:1924845:1 gene:WBGene00084209 transcript:CRE27227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27227 MNSTAKEPVESLSDSKLPGNPGIEPKDQILEISKTISLSTSGVVSGEREQQFKPGAEILDDDKLKALIAKVNLSHSYERWKSLFQCAKIDPSLPRHLVKKRRPRVVGEVKEFLLQFIYYPEDFYGSPKKALGRINNRIFKKTGVELEQSLMKKLLYTVRRDERLKKTEARKSAKSAEHETPVNPEKFREYNDNLFEGLCFPF >CRE26948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:59466:60871:1 gene:WBGene00084210 transcript:CRE26948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26948 MVDAEQSRRSIHVPLSSKQEKDYTSLVNQLNDVVNNLKEFHDFWIFDKVCLTLAVASSAYVSFFSPLCSPQAIVAIAIYSFLVMTFYTTFKFTKWIKNSCHFWMLLKETQQLITGDECILDNSRMKELREQVLAITKRYTALSFSSMYNGWTYYSLLSLAASYLREKKRTGSRIDQYDLNMVVFALVVVVLLSLVVQSTYIFTLIWLDIKQKKYTRRYSSTELQQV >CRE27160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1498101:1501659:1 gene:WBGene00084211 transcript:CRE27160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27160 MSNTPRSHTTTIINNNSNNSGLPMSEHSAFSPYARPASLTSTQDSKQYHQTPHVVLRPEPRLALPPLLQRQEGSDSNISKKIRSKRRERPRSVGLLDLGVASLTYHDQEGNDNEDKSSNGCSPRIPEEQRLHDFKRILQRFQSADRGSSSSTSSHHHNHSHLMSPPIAT >CRE27065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:885503:886228:1 gene:WBGene00084212 transcript:CRE27065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27065 MTDRPKPSTEQSVISQHQNQQHRFYRPGHGVFGHLPDPPKRVFESQGGLTPENAQRVHLINAFRRYGYLEAQLDPLGLRNVEK >CRE27090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:994933:995316:1 gene:WBGene00084213 transcript:CRE27090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-his-43 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LKS0] MSGRGKGGKAKTGGKAKSRSSRAGLQFPVGRLHRILRKGNYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIAPRHLQLAVRNDEELNKLLAGVTIAQGGVLPNIQAVLLPKKTGGDKE >CRE27515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1750524:1752657:-1 gene:WBGene00084214 transcript:CRE27515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27515 MTERYRNNTPDFQTHPVFAVAEFFLRNVIHIYNHFEDINRRRSELHRLIHWLENQKSITDAVRTGLDRLLRREIAPRDVNKYLNGFFSFSYENSRTLYIEITARPSDLDDLSRPNGFSLLNERLQELRNAFYEEESFDNREQNHRDPSPELSEPTPSHAEQMAILLNNAAVNVGPARVIRPASNRLSRRDLDRNAASETRPKPTSSSGPAQPPTRKMDARRREPSTTTFHQLPRVPQSENSKELCEKKFNATLCSNNTSEKVSHEQTSLSNTSFETAGPTVPITPVHLQPSLSKRSTETIVAALAKSSMSELSVHETSICSNDKLSTVSKTDIQITRVKLSAASQPIMHSPLNVSTSLAQFSAEPIRKQPEKKGFLETLSMSPQKQALSSAVSGGMPFHSFRPYPDQQAKVTDEFKSSTFYSSSLHTPTITTSGRQSCFVSTEKRILTGQVATSMISIEPLDWIPEVKKRKSALLPKSIGPLVFQLNETGEIVPATATNALTEDLSFNHFSSEKLPSQSPGCKKKKPNGICGRCGGTIYSSHKIRQCRCLLDRIRPMVTVLRRLPEISLLIQERFVGLGGRLHFILTRRIMQLIVT >CRE27440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1330597:1330929:-1 gene:WBGene00084215 transcript:CRE27440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27440 MPTKLLLSSLIVLFSLLAVASAQYYYYPTSYYTPYYYYYPTVGTTQNDASYQQTYAQQQQYQQTQYAQGNQQYSSVQRDQSGNVQTTGTVAAQPIYYYTYPYYYYTYGRK >CRE27537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1874180:1874921:-1 gene:WBGene00084216 transcript:CRE27537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27537 MYLLPLLLAVFSGTIAFSVDVAPHCELPLDMGETSCKDGKKEIRYHFDTRAFMPLAFEYTGCGGNKNNFKSDSECRDICLGGIDFTGCAAGSDPLPKTELCQEDKECGPKGKCEWFGTFKRCCDKEITEKFDADFSPKCAKGKKVVKEKIGGLNTVITGKTCKSKFCPAGATCVEGNYFATCCK >CRE27446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1359542:1359916:-1 gene:WBGene00084217 transcript:CRE27446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27446 MARSVYVDDVFVGVDTVKEAKEYYHVSKGIFAGAQMNLCKYVSNSPEANRYFTEQEKTEPETAKQRLLGIDWNIDSDVLVYSLPKPKPGLLTMRKVLKTIASCYDPQGMLTSAEPRIRHATFTR >CRE27094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1040007:1040691:1 gene:WBGene00084218 transcript:CRE27094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27094 MLILFPIVLLLDQVHLKSPESNIQIRCPVISRTRQKEFRILQYEECSNITLKACFQQVLLTEIVELEHVSFLFSSGCASQKDLKKFNLTESKFRKLCKESGTKRCKHIYQEDYVYEGTFCCETDADQMFSSWLWNVFFGKSEEMTELLESTVKFLQRKLEGKSDEHVGFRIHSCELSFHFQKDSRFVYIIGPFFVTGLFTALSLLQYYHVNDGILS >CRE27131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1302284:1303072:1 gene:WBGene00084219 transcript:CRE27131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27131 MAFNRPASKRKQTSTDDDPPLQFVPYSAYKDLYDRVAALTSLVNQLRGAIIDSGQNKLAAAVAESCEILPDMSQIADPLLQDPFVAANTPSPMDTSSNIPQVVTPSVTPFSTLDIAREAAKLLDKATRVVIERMPNDRDNNAQDERDLDFFQKLASSHHLPAPKKAHRHQCSSKFRPLKLQFDSSLDRDTFLHGFLRIRSSDKSLMDITSKPRARRDLTQPELDTLRASRKFVYEQNKIAKESKFIMSDITYKLNSKPRPFV >CRE27368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:838824:841122:-1 gene:WBGene00084220 transcript:CRE27368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27368 MSVKPLLIEWAPHCGWICVVTPSETPGETNVAFTDYTGTIKEPGPTKPGVVTCVRWHPKKQFVAVGWKDGGVFFVPKGKNVSHTVIESYPFPNHGVDWSHDGTILMTLHNPSSVHLYSYLCIGEDISTSNLMQIELNDQITLWCKRLSYEKHRSSRVSEEDSGVDESPFGSKESLSDRRDEKSLVPTGTEFLFASKSGTIYGVDNDKQKTIHKLDSEILFLGYCDVISMIIAFTKDCFIFHLAKGPTEGRCAEKVKVKLGGKADKYYLELNDGLLVMCYEEKEIRVWDLVREENGTIALETSKGFQPDETINVVTVNGKRGVITAVTSLNNVAEWKRKRADTNIETAWKLSPHTPIESPITLIRWSPILSASAAITEAGILLLGDNSFTVKMRGKMAACQTSSNSFTLLHATSGVSQELKLSIPSAKGICLGEKQLVVWNDDTVVTYDVQTSLATIQCTSFACVTTSVAIVNQNLYCIEKDKIYARTLQGTLRQEISLPEIEGDPDILEINRCWMAVATTNGFIRIYNLSSKYVFFQIKKDNSR >CRE27400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1031463:1034128:-1 gene:WBGene00084221 transcript:CRE27400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27400 MKQFVAVPSTSRSGTMKQPLIGTFITPPPIPPSLPQSYRESDFSNRNSMHTARSTRLEDFSAKYNRSVLKTVVLSVIPAPRSIIV >CRE27414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1152850:1153170:-1 gene:WBGene00084222 transcript:CRE27414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27414 MVLRAMTETVGLKQVVLLLLNSAIVFLQKDVHTVVDVLIIHVQHFQVWDQIRNAELAVRIDATMNETVSSISEEEALVGKVIQIEVMVQ >CRE26956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:94383:94568:1 gene:WBGene00084223 transcript:CRE26956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26956 MIIWDIALNRCQQLNCRNDEKTRRRTSQSSLEYKPSSQFQLIYWRMLTIREVSPKDIKDCL >CRE27112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1132290:1132941:1 gene:WBGene00084224 transcript:CRE27112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27112 MTSLRFLILLLGVCVVGLMACAPQMPQKQHGFCKFYVDGSSDTSGRKRRQATATASASGTPTGTASASPGTASASTGSGTATASGTPSGSASSSSSSSSTNGAAGTKMGSSKEKKSGSGESKSGGGGGGGAGGGGAGGNGSANGKFNRSKKKKDVKCYEYEDGTKDDGAMLNNGVTYKVTATKSS >CRE27282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:98438:99980:-1 gene:WBGene00084225 transcript:CRE27282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27282 MTNRFDSLCRLGGSLVSSMDCSDSWEGRSNEDWSTGSVCSTKASNLADYISSDIGKAIRMTFGLSQDSISF >CRE27447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1360080:1360499:-1 gene:WBGene00084226 transcript:CRE27447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27447 MSGEIRTQIGNFKSRLLHRFDKDGPLMFPEEFKSFDIESAIVAIKDIQEDEDGIQSIVRKLFAYEQKWISLRKDDPAEKDEHAAYCKKYGDYMETFKKGVDRLQALHNLYRVGYERVKALDVTRTVGLVTPETVGLVTH >CRE27189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1652534:1653451:1 gene:WBGene00084227 transcript:CRE27189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27189 MNVDLLGPFRFFLKFSLLDCSTKSRKIEKNIELNNYRNIHIVAIILSVLWLVAMMSWIVFNFTHNKIFYGGEVTELILCALLIVSNAYIWFISTICLACYSLIFSALNREITYFNDELKKSKEEKFLQNIEVLEKFDHRQNEILNLISFVNESVSFFGGLVPLFLLYGLVNGVYLTAFVDSIPPLYFAILMLNLASIVVYNLCILSPTSSLQEHLSNTTKILINDEEFGCSKDPFSYQTYRIMVDRFQKIDTKIYVISAFPITKRVVAASEG >CRE27024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:603139:603448:1 gene:WBGene00084228 transcript:CRE27024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27024 MKTCPYYFFDEREDSPPSLSCVYYYYFSNAVINCLEFEPYIALFSILINRIHLFILVQKPMRNSSINLIMAVTAFTDIISLIYSLEK >CRE27244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1998978:2000525:1 gene:WBGene00084229 transcript:CRE27244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27244 MALSANYPTPSPSLSITDRPAKCMICDRPAHGFHCDVATCKGCKTFFRRQLLSEREVICNLNKDCYDLKKRRTPLFRCQACRFEKCFLVGMNPSLLVFRCSSPPGPIRNYSMRRIISTETTLPDVIEWLTHLEVKVENFRRSAYNPNWQEIRKVENLLKNTNKLSLADSYGPFPGWPVQCDPALKVQNNYFQYFSPDRKHWWFFNLLTIVENIKAFSIFQQLDLWDQLILIRHVSVGLTTFHISYFTVRNKFQLITQPDGSQHPHRSEVGYQTVSSLIGPLYRIGIRHEEYLLLKAIGICNPAIPDLSKSAQVLIDKERCLFSNTLLDYCLRKRNDGPSRYAELIGILELVEIQQKKLRDIYLFSFGPVLAKLPKQYTISLIEDVFG >CRE27224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1917852:1918679:1 gene:WBGene00084230 transcript:CRE27224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27224 MNVVDELCKNVIEENSISAKQSLENLRDQVSTVIEHNYVQLFAKIQTVLYFVYGQYYEAERIIFFGYFDQVHHSFFQYIYRKIQENKTGTKLDPMDYCRFPLSIDPIYQDGLTKSMCEYLKNYYMSRRNSKKALKLKSLAAKTGLSLDVIEARFKKCRDELPVRRQAKKRINNERADEDYCEYENFDFYQECYNNPEYQLYPTPPSSGFSVYHQFPLTRVPLDQPLTIQIPDETQFPISFI >CRE27258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2074326:2074469:1 gene:WBGene00084231 transcript:CRE27258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27258 MEIFGGAATPCFFFFTSKEIRKLVSSRVSAASSQGNSNVLVRRQTLD >CRE27370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:849506:851256:-1 gene:WBGene00084232 transcript:CRE27370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27370 MIYLSLTRAIPLRLVKRAAFQPNSALYRKMSDNSTCPPINIRRCSCTSEGLSPKKSITSPSWDRRPSKILDENHLPKQLLVQHHHNDGAFLRNISATVSHFQSVFFVKHVQ >CRE26987 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:310681:311929:1 gene:WBGene00084233 transcript:CRE26987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26987 MSSQTLFDPLNWAASLLMTMNGIFGIVCNGIIVHSFATSPLERTSFNLICAYRAVLNCVILSWGFIGTFVPLTIFGDTLFPPVYHLIVITCVNSLYVGLQYCGFLVAINRFCAMYFPIMYSTLFSLKLTIVFTFAIFCYRISRIVVELLQSIPKKCFSVYSSVDLNWSPYLNPECREKYANVVDATAILLVVLILLNIATFVKIYLFYKSTELGSRDIKEKMKRNKIMFTQTILQDLTYLIDMLFTFRLSGLFTSRVWTFISGSFIWESVHSFDGLIMIMFNERLTFLKRSFFSSTANPSFAIQMTKTVPSRSYPAPID >CRE27248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2040985:2042113:1 gene:WBGene00084234 transcript:CRE27248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27248 MTVKLNTGYECPLVGLGTYKIVGDEVLPVLDAALTAGYRLFDTAKVYNNEKEIGNAFELLLPKHHLKREDIFITTKLHPNTEENVNKMVNESLENLKTNYIDMYLIHYPKSFDYSDEDPTNKILRIASWNALCESKDERKIRSVGVSSYEIRHLEELRELGKVRETLSNSIKNNFPPCCNQVEYHPHFCRVELKNYCSSNNIFFQVSDTRILLYQNVSQAFSSLARHNEGLLSSAIITELSEKYDVPKTTILLSWATSQCVGVIPKSTNPSRLSQNLKTVQLEEKEVLKISELNLNQHYVRTTGWLVL >CRE27137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1333283:1333588:1 gene:WBGene00084235 transcript:CRE27137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27137 MIPKLKLFLLLFFGLLRFISCIDYEEVQIEDAHETRYSFFFKSNLSRLKTFRSRILMVDGNVWLHAGRDRNITFKTNGAGKIYVDDTDVSRLPDVVSFLVL >CRE26988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:312918:314091:1 gene:WBGene00084236 transcript:CRE26988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srx-84 description:CRE-SRX-84 protein [Source:UniProtKB/TrEMBL;Acc:E3LPM5] MSTTAPNYTDILNLSAAFIILVIGIIGLVSNFFIIYIFIKSAAERTSFNIICVSRAVVNIIIIAWAFLGTFLPITLIGVSPFSPFYETLVIGIANSLYSGFQVTGMYIAINRFCAMYFTMYYSKFFGFKTTLFITSLLFLYRLVRITMQFFRYIPRECWLTYTSIDLTWFPNMDPKCEEDHDYQIDATAIFLVAMGLLNAATFSKIYFFYKSTDLDVKERRRKQKKNQALFIQTMIQDAVILIDMVFTYKLSLLSNKRAWSFFCGTVIWQCVHSFDGVIMVLFNERLTFIKKTLFTPSSASPSVVLPGKTNSVTPSMYPSRVE >CRE27051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:789778:791352:1 gene:WBGene00084237 transcript:CRE27051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27051 MNPSTLSSKKTGQVSKSKLRRRKQLKPYKELPQNQTPSKCRVCGNPAIGYHYGAPSCNGCKIFFRRVIVTGRKIVCPKDNNCFYGIEVLELLFFSPDMSKRICRACRLKKCLEVGMNALAIEAEAISDEGKALRNELMRGKETIAVVSSLIVTEEDLLDRTIRQLKLIESKIEPLHRAGVPPGYRDVRHLEEILDAPVTLNISDIPNLKLCSHPCTLGNSTYRKPVNYEHSSFLAAIESSKMFDFSSKIDLDSKVALMKHTTVICSNMMNAYFSMNEMKSDVLLYPDGSHIKPSIGDVKLLQKTLFSFLNNKVDNIEYLLLKAIMMCNPVVHGINLEDQKTIEIERNRIMKSLLSYSLFQHGTLHGPSRVAEILALAPIIENQSKHQKDFHVYLNAKHFQEHLNQGQLVRKCISYSYDGILES >CRE26949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:64803:66214:1 gene:WBGene00084238 transcript:CRE26949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26949 MVDAKQSCRNSHVPLSSKQEKDYTSLVNQLNEAVNNLKEFHDFWIFDKVCLTLAVASSAIISFFSPLRSPQAIVAIAIYSFLVMMFYTHFKFTKWNKSNFHVWMLLKETQKLITGDECILDNSRMKELREQVLAITKRYKALSFMSVYNGMIYYAGLNFFALYLRKYKRTGSAIEHFDLNIELSASFTFLLMSNAVQLSYIFTKIWLTIKQNKYSQRYGSTELQQV >CRE26968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:230119:230498:1 gene:WBGene00084239 transcript:CRE26968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26968 MCKLFIAVACIAAVVYAGHMPTAEEAKAEMIAAGISETAAAGIITIAEKYKSQFEQAKSDHEAGKSAFQAFHSEVETYIQTQSAADQAAYKAFVEKKKAQHQGRHSTPSA >CRE27563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2097952:2099895:-1 gene:WBGene00084240 transcript:CRE27563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27563 MLLMLLPLLILLTTSDFVNGCVYKGEKYKSGDTWVARSTFVLRCEITKNGWKTTVVGCRTEEGVQVLPGQTVHERNTKYECIKEKDGTVEIRRTLNIKRKKSCGDHSIGDSWVFEKSFMARCTEKGVQISDCISDSGIPVPLNGSLVLSGVKYDCVMDSNGKVSLHRDAAPQQNVAQRATTLSPVEILGPMFKNFGAMDVDDLLSSKPADPVQEVPAAEQMMESPETTCDFEGANRKAGDVWVSDGIFTKKCTDDGATVILNCIVDDKTIINVDTELTLGKKTYKCYRKKEENRVYYEVRIN >CRE27135 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1329694:1330235:1 gene:WBGene00084241 transcript:CRE27135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-erg-28 MERSTRAWMSIVVVQAMGSVWMCYAKQKAASHYTSTLPALSRAHALPLALLCILRIVLIFDFRNVSVHVAHILLSIIAAVHTMSEVFYYQAMSYGIVTVTEVTLNSFSVVVMLCFILSSSFNTSESDGNGKRPRKVIAKHYMEAEMLTPDEDDELVQAYKKWK >CRE27451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1368873:1369487:-1 gene:WBGene00084242 transcript:CRE27451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27451 MFRLNVFLTSIVFFESFYFDTVNACLATSSPATPIVSTEAPVLRRCLPTDLPLESGETTTDREIEVTYLMYQTTQIPGTLDTTATMNLQCTADTGFFASMSINEGVEVAENVPPAQTVTISASCSSVDMVWQYTLVSMGVSTTVPLTRVWCQG >CRE27450 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1364673:1365296:-1 gene:WBGene00084243 transcript:CRE27450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27450 MLFFTLFLCCIVFLTSENSFIANACLATSPASNPVVTTEAPVLRTCPESDVILGTPNIGNPTRLDVISFGFSSTQIGNTLETESTMKISCATAEIGKRALMQFNSLGSPLENGDGSTPTQNVTVTLSCSSVDMIWEYSVVYMGNTITRTVNTVTCTQA >CRE27491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1606372:1609072:-1 gene:WBGene00084244 transcript:CRE27491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27491 MKDGKSINWRRALRSVLLRPSFIEVFEVSDIDPNNIMSTYSWQFANSAKSCKSQRCIGGGKEIEVHS >CRE27512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1742037:1743395:-1 gene:WBGene00084245 transcript:CRE27512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27512 MLANETDCQQMAQVATSSFLRCVLFFTTILCFLCIPCCLYSLWRLQRSTKLHFNSKCIFITHNIFILIHMTVRMTLHGKDLINYFSPWESGCEILPSRSRCDLRIVYKLSAFVVEVSPFILTAERFMATFRARHYENRYKWFGIFLNTIHISLAFLFLWIQKSENTGGAIVYYCWVGFDTHSSIYIIYIYMLCFQLSSTGNRYALNIPIFFIVFSQLITIPALLYLLKKNERYRETSLNKRSTLTQRYQIFENLRTLNMFRIMSIITWMYVTYNALASFIIHFFLKSMPMESQFASIEIVHCLPVYFLILTAFILREDRKPRSRFVIKVTHYEPQYFNDLQKFFDEAFEKVSTSIDRIRTTN >CRE27501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1679663:1680259:-1 gene:WBGene00084246 transcript:CRE27501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27501 MIRVLLLLSVLVGIAASQNICSWPVDLGGNSCSASNSSIRFFYDVEFKRCLPFLYNGCGGNENNFPEVRLCRQRCTPLDQLVCPANTPSIPNKSGSTGCGACDDPTKSFCHKGPNGAGICCSSDAQSKDFKRLQKFHLFVSGKVNEDRELTCSNGKKKYAVVRGDKERVYIGKSCDHKFCPDGYDCQKGNYYAFCCAK >CRE27288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:175538:175996:-1 gene:WBGene00084247 transcript:CRE27288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27288 MVSKVLFVALFIAVSSAPATDSTLATSPPTVATSGATSTAAPTTGGGVSSTGAAAGSTGSPAQTTAAAEQSTGAGSTAQPNPTVSNATSTSALPTDNSTVSVDVSTTTVATTTKSTHTTTVMLSMIPIAISMFI >CRE27149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1446730:1447893:1 gene:WBGene00084248 transcript:CRE27149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27149 MVVKLLNFPYLIRKEIIKNMDFTSIVLLAECSTRMASVVYSLNYNVAELRYILSRKEFTVHVSTDGETFDAVVSFEFVKELLDDEDGFFTIQILDQMIKVRISRDIEGKCVMQVCRQSRKLIQRLIQEEFQTLFRRVPEFVINTPLRPILARNFSNCESVTMDGSTVKADDVEEILSQFPNLKNARLCWPTWLSVNADSKLLKLDSLVVEQCKTPLLRDLLRGFTGRHLILKNLHLEVFEVEEFIKGWTSNETFPNLETIQIFTSIYPFEWEEILDRIQTQTFDSSKRPAVYSISSQKFMDCPNNDFDCSNWVDIEREGDRKLASIGASSEVIRFFVWN >CRE26942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:25923:27540:1 gene:WBGene00084249 transcript:CRE26942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26942 MEQLVSPGYTLKVNPTNLKKVMAEKPNRNKIMAGTVDAIYFDFAKAFDRAHDVLIEKLKQIDLNENLIKWIRNFLKNRRFRVKVGSSFSVERYAQCGVPQGSVLSPLLFGIYVNSISEILPPGVKCKQFADDLKIYAEVTGTSSNLLQEAIASIVEWADKAKLSLNQKKTVTITLGTKQHDTSYNIDGDVIRKESVEACNRSNIHKMLLNKVDLETKNFFTLRECTRTRTKTRFVWEKSKTKLRSHFLTNRTLPTMKL >CRE27403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1059611:1060988:-1 gene:WBGene00084250 transcript:CRE27403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27403 MRGTPNSISNFRQVAERLKLGQSVEPEAFESVTIFFSDVVGFTVLANKSTPLQVVNLLNDLYTTFDAIIEKNDSYKVETIGDAYLVVSGLPRRNGTEHVNNIANMSLELQDSLLSYKIPHLPQEKVQIRIGMHSGSCVAGVVGLTMPRYCLFGDTVNTASRMESNGKPGFIHLSSDAHELLTSLYKEYLTESRGEVIIKGKGVMQTYWLLGRKE >CRE27338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:572825:574038:-1 gene:WBGene00084251 transcript:CRE27338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27338 MQNNTTCQLMEELSSSNVLTSSLLFTLLSCAIIIPMALLASYHLWFSRTASLFHKNLRIIIQFHLLGFLIHSGDRFILHSIDLFNYLFEDPCDMIPNVWRCFWLRLIYAIGLWITSTTVIPLVLERWIATKYNAVHILILYSGSQIEGVVMTYCMVARDSKVNVGEINGYATVVVQLLARIVFQYLYKRNEKLRKEQLASSLSTRFQLEQNLLVMDILKMFANMSTIYLGLHAFSFIGVLKLKHIVTPPVYFALVELNSSYPIYGIVSILLMYYMLHSNRQKIHNNLQIHVNSKWNGEFFDKR >CRE27532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1851550:1852655:-1 gene:WBGene00084252 transcript:CRE27532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srt-70 description:CRE-SRT-70 protein [Source:UniProtKB/TrEMBL;Acc:E3LP44] MIEYGVAYFSIAFPLIPLFFVIMKAIQLKCRESPNITYILMNMVNFCQLGQAFSHSISGPMLLLPQLLIKLDVIVRIIGCVMNSLWIADFPLMTLLAVTRILIFSNVIGSKRFPILIKLILCSIITWALFLIIVGSFTQNFFLVTPGWDYDFTVSNAEIFATLEVIISFACLALSYVSYILMVYLIYAKKNTVGCVQSRKNEISILLQSTFVTTYITIMIFIWHQSLFSMVSFIDMDNQRNQAILNFCLILHCYVNPILTLVCNKSIRNEFLKLLGIRKGKPYNNGVVSKLSSIHPTETSPS >CRE27354 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:718730:728466:-1 gene:WBGene00084253 transcript:CRE27354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27354 MKFFSRRSISHGFLRKKKNKYAFNNQISPRIDSVVLRKRKKIISKRGIRPSDERVVMLFTGTVRVRVLEARHLRPTEWSRRFSQNEAATAAIDSYVNVDWDEYPIGKTQVRPKTNEPRWNEDRVYIKEKQSDSRFFIVVLCHLMISLPTPE >CRE26941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:16586:16837:1 gene:WBGene00084254 transcript:CRE26941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26941 MSHPAIFALAISAFPVACLLIWRLAFWVASLLAVKLMYNDLKCEFIDYLLKPSRYQLLNYKKNVIVLFTVETTQPLLVFRVFL >CRE27251 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2049211:2050346:1 gene:WBGene00084255 transcript:CRE27251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27251 MLLQGNLDVPLGPIDYEDTMDFPKHINIQRRINYFSSDFYDLLNYFRNFDSYTWTLNVLMSVITLVLSSFSVFVIGSTGKMNRVYQRTIIEHIIMSTVLSFSFPVTGFTIIANYRILMIDKWLDSAKLPLITAAIIVYGYLGVVVFCMYSFFMNFLASVHVRVNSRILRKWDPEFVLRVLIILYGIAILILLWIFAFKSFYWNSESIMNDVQKTHPQYSHLNLEKTVHMLVWTQGLSYILMVLACICLSFVPFSISIISWNYVLVNNQKSKHSEVVKKTAETDNKNGNSIPQHDIHLLHSIFRNSPLLNCATKSSRISEKVY >CRE27265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:2120328:2120619:1 gene:WBGene00084256 transcript:CRE27265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27265 MIFCFILIFLLPHQLLTVEWSNGTLSRWKRVQKSRVTIVDPSLVTGPNSLLNLAELTTRNLEEYIGEMDHPTTTEKAP >CRE27416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1154410:1154705:-1 gene:WBGene00084257 transcript:CRE27416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27416 MAYSNLPTVNNLFQRVPHNPVYSYYILPLSMWISDWTIVIYEAFNTLTILPNYIHASLWVLISKPGEA >CRE27240 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1992562:1993087:1 gene:WBGene00084258 transcript:CRE27240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27240 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LP86] MALWLFHGPFSLVLSLFVFTVLTCNQKFKSLFYRFVQFDIIINIIVYINFASFKLVASGIYVEEILIFMETHTAIRLIRDFICNWSYHIQSSSLLLKCVYRFTLAKYPNGPEVFCFYSFSLPKFLCVQIWKHYFRLILILTLLYSCLAIANNSLLCGFY >CRE27213 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:1834885:1835513:1 gene:WBGene00084259 transcript:CRE27213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27213 MSHKSLLQKVEDDESSIEFDEAGFKEMVLLVKKLSSKVNQQEERIDELCKQKNQLNNPEGEDREEQEPREPERKKPKLRCSEMDDLTSEMKPTENLVSALDKYFFR >CRE27001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5:476545:477428:1 gene:WBGene00084260 transcript:CRE27001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27001 MPIGIGGMGMGMGMGMGMGLPMGMPMGMPLGMPMHHLVGNPFDQNLEIEYSPITQLVSSVAHLFEMHQKSKEREDVHESSNHHSSHGPMFPELSQLQTEMRGRPGMGGLNPSEMSSNGPRFNLIPYTNGIGPSETSSTSKLINSIPPDNIPSVMWRIGDLIGKQVDPKEKEHLYDMTKIKGFPIIKSSEGNEVMYRDEGMKNKTNKSWTILELARKMLGVGKSSSNFLFHMD >CRE03295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:391050:392222:-1 gene:WBGene00084261 transcript:CRE03295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03295 MAPIKKRVKAARHNGCGDCPSASRVKELSSEVAALKAEIKALKSENEELKSDKKQQEDLNNRFPELLAVWRTRYEEAMDKHLNKVLMRGGDVFIPMGVHLREMKTVETWKRRHDAEQTLRKRLEGFIEKFKKNNNELRRDYETQEKAFKKAKKMLAILMPDKPKSSSCEDNDTEDTKIIPKREDTPSTTLEGLEIIPKREDIPPLIPANGLMIPKREEQTIEKLMNVKEEQFEEWYQPAIKMEIEDFDFTQNPEASTSSSLNLTLNFP >CRE03247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:513811:514780:1 gene:WBGene00084262 transcript:CRE03247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03247 MYRYKDLDEMTTGSKESVRKIYGLERLCCGCMDRSHYSVSSQHHSLIPMPMMDSLNLPKKMFDSLRISSILLRTVGRYCLCSFQNRTEILKSFTGLIGTETACDHLIFVIGDDAIEMYSQEIINCFIVVLHAETVTI >CRE03241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:469071:469584:1 gene:WBGene00084263 transcript:CRE03241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03241 MVVSFLQLVLVSVAIIGTYQQVQIKCYDCVTPLGVDDANDYCNASLYCKGVYCTKGPDALSNGIYHGCIDNPPIDTAGASCKLVTNSLGTHTNCFCKNIDFCNDSPAIRDSQIMLVAFLIVLTFFYLYVQ >CRE03306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:502210:502677:-1 gene:WBGene00084264 transcript:CRE03306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03306 MIEVVVRAYQFVSHSRVWSTWILVEVESQREHAVRAFELNGEFFLQKTPEALGVRPFRSTGPSEQWAALHSAIFDYVDVTAPRPRYSTSRLSSMRLIRENESVCGCLPSLSHQDSNGLSEFCKTRQIAQKAMLQLHHKLQSRSLDSILSLKWRFP >CRE03311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:556465:558751:-1 gene:WBGene00084265 transcript:CRE03311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03311 MTVWNGCKKELLVTRISRVQLVCMMKCLETFTSDESINMDSRTMLVYIVVIRLVTTCGFQHEPICHFK >CRE03279 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:267094:267982:-1 gene:WBGene00084266 transcript:CRE03279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03279 MTDEFVVDITVFPHPPELLMEITLKDHKIKFEGRTIKMLKASSSETVLEYDCSILLYKPMKHPGTRERMVLCIQFASTPGMKLVFNNSNRNYVGYVIEKFNAFLEANKAREFTLELPHDISAFSDVHQKRVTIENVPTVDPHQIITSSVPIKKRRAEWEFQIGREGNSTQKLIRLDNAINYTQQSEQVEDLNPFNEVVSNGKDNIPVEQNETVTVKGQIITDGNEAFSANQRIEKGEVKDVRNVKEERVTQEAMNSN >CRE03304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:495296:497928:-1 gene:WBGene00084267 transcript:CRE03304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03304 MDDSQPYPQCPSQQLATALIVSSNILNQPDELCSYAERVPLIRDDDLADLTDPMSRGNLLWYMRTGHLPHPSNFIREVTKREPYMANNRQLLDWIRSMIRGTYSKTRPVPGNRYATNKCSACGSSCIGTALEDHSENDCGFVRYLSNEEKLEFAVANGYAFCGYCNSRSASHTKCDMPRNCRKCHKQGHQHYHGVCNLELTPLQFQEKVRTIRIKRGKRIRWLVENGYLAFPLPNDYVPSAVLNGIRGKHIRGVGPLTSPAADEFGVIPEYAYRWMPYRGLMNRELEHLDLLGPVYLDDEQCDWFMLLEDRAREVYKTNRRSGKIPLIVKLTCYLPDPVFPDDIHALGKIQNQGARVVELPNNGERSIPRAHEELKPLASTSTASSSRALPRVSQSQPSEYGPQANQANSAAVQSVLNYIHSNPPQVMDTDLKSKLIMEPPGDSFNEEFDRIADEYQDLQLNAAMMEVGGIPPNAEQQMEEQLSNLVLRYIRYNVNCMPDDRHSFGVVPLVVNSFESLETPNSREAIIWRIQTWQLILTGQYDSEPVGADVSNDTITRYLRYLVDRGNSLVGSPRCYVRLAFEMIPADYREVFKVIPSIRLFTDPAMDLIVNRWMAAEPAGFAILNAPATQFPYSEELGGPVDEGISVEVERARELEVKGAIRTLDSSRPPHHYRARFPSLDVIDIMLVYPFPEHKSEVIHRIKTIQLTMTANCEHTERLDTCPHPLLKSYLGFWRAVLLAIRCLVENGASAPVRMSDCTVEMLHGGKTSLDLALPSMRVYRWETGDWWLIWIEKTLIPQLWKISGHVCRCTAGPDSTRPRDRQDEQGQ >CRE03196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:76574:77500:1 gene:WBGene00084268 transcript:CRE03196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03196 MNAELTANFNSNLQQVAHLLVFRANNSEHMHSVLLVSYPVTTVTHRLSVYPTRAVTPRANVPSQILEPMDSGRKCTTDDHNGLAVGHQKSTPERTERVGRIISKRSRFVSC >CRE03277 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:249149:249766:-1 gene:WBGene00084269 transcript:CRE03277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03277 MEKGPKILLGIAIFSFILGSSCFGVGFYSSLPEVEFDLIYHAEDYLMVHSSIIENRTSIQEFLMPVRNFTREQVFKLRNITGSSIFLLVLTLSLFLTTVICVTIIKLCCRGSNSRMTGDAHHNINPVEIYEGYERINRRNSENEILENDAVANQIDDAVLLSYQQSSRYGREKRRGSDTEDHVYEHVNY >CRE03223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:311027:313407:1 gene:WBGene00084270 transcript:CRE03223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-glo-1 description:CRE-GLO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MMF5] MGGQDRYGVMTRVYYKDAHAAIIVLDSTRERTIEGALRWKTDLDQKVTLADGSPVPAILLANKCDIENQLGDDKLYDLETNNGFVGSFRTSAKESVGIEEAFKFLANTVISTEQGGQYDVPFLNREGNVNLDDNSTHYKNDSKCC >CRE03218 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:284778:285172:1 gene:WBGene00084271 transcript:CRE03218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03218 MMRFMLGFYIVLFSIPAIARYHSIEDQNTDLTVVQDAGKLHLLHNVDPVVARQNYKAHRYLLNMKRSIAFGRAGFRPGKRTVAINSF >CRE03297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:399941:401180:-1 gene:WBGene00084272 transcript:CRE03297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03297 MSTTIVLTVILALFQTVANTPESSSNVTTTHQLSSTAVDNRFNVETLVLKSNIFCNGTEREARSVQNYTIMKMGDENQWRGLLYCALCNNESIRVTINNVMPTYFIFQSCKVNFWMTPNPFEKATIDFTHPWIEYLWKLSNTCSTKSQFAVYTANKSNTSSVLNNNSSSLVVLTDWTSEAAELRLTKCGFSFNYTIKIRPNTIFNVDRDFLNAFNMIKHTYCSNGTDDDLRYRTLFL >CRE03246 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:512527:512730:1 gene:WBGene00084273 transcript:CRE03246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03246 MVASIIFVLMNFVELPLIIILIAQKMWQFIHAFPVFIYLVINKTIRNGLLRKFRLKTQVSSMISYTH >CRE03195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:66062:73074:1 gene:WBGene00084274 transcript:CRE03195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03195 MVFPQVTKDLQKEGHPRRLQSSAGQHQALIMQPLVVQHFAQNVHTEVQLGLAPPLSGGGSTGGSSGGGFFGSGLFRTGRPQSNRPRAYSMLPLTSSISQEEKANMASNTKKHSNRSHKRYYPLSQEDTLELLLLLNQEFFLLLLVCLSKDVAIRECPPHGRTPRINKARSSKKHIWTFR >CRE03204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:131268:131780:1 gene:WBGene00084275 transcript:CRE03204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03204 MLREGPAIILDPATRMDLLKMTVQTFLFSICSIAQTDIFFFLDRDRKSNTDSNIPFMHNHLYIIIFFLFWDPIIILVSGSSYCKHKISKFIDLFRGTNEDFDDPACSIEMSTIA >CRE03205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:134559:135040:1 gene:WBGene00084276 transcript:CRE03205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03205 MNISNSTDFEPVSELQSYGTLSAASFGFILTSFTLFGIRQSKFDNTFARILAQKLVAHLLAFIMFGFRPLSVKNWSGNPPTAEFVQTLEKTLFCLTYGISLNYVGVLVYLLSIVVYPTLKPYNCRR >CRE03208 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:166154:167971:1 gene:WBGene00084277 transcript:CRE03208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03208 MFQTISPTLNPCNDFYDYVCAKDTRSISNLTFTSMDQELEIQMPDDNTTIASNGTFIPLDKLVKLTRVMKWCTEIEVLFTGVFTVDYFGDLHSDNKMVHSARYTAVIARLEEINATMINIFYNALHANIEILKQMNLPVTERNEFLHWLFDTLKNNTLDEIQKSGISDSAKKSLKKGIEMSKIFFAFYDSNNITMFEKSKLVYETEYYRLRNLLSPGDLSNPNAGKILRLGAIDAAMEELARHITKYDIRFLFQAIVANPTNDAFQYLNNNHITVITRNDLHQPEKAVCREIIKSTTIFKIQMADTMFVTTHEIMHHLYPYGAFLIKSNTTNSAMQCARREVQFLGETDAVKPENGWFNAEIAHEDLVNILAMRVVMKMAASKSINNKQMKEALETIIGGLCKQSERKNQPIPHHHPLEISLNTAVRQYPLFSSLYGCRERDRMFAKSDEFCKPLGDNVKIEDYAVKSNAVNKDVGGFFKDLMITSKNFNFTYGV >CRE03281 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:283565:284091:-1 gene:WBGene00084278 transcript:CRE03281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03281 MKKGQSFLFVLSVLSFLLGCGCFGVGLYSSLPGVKFDLIDVLEDCLIIHPTVQGNRTIMQETLMPLRKLALEQVLKLRRSTGSSIFLLILMISFFIVAAVSAAVIGTCYWNDNYRVSRTSHSKCSSIVFYAGNNEFGVKTHKNINYHSAVGATISADVT >CRE03193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:58763:60436:1 gene:WBGene00084279 transcript:CRE03193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-moc-1 description:CRE-MOC-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MMN1] MPPPALRDRQSKYLAIPMKEAEEIMKNIGKQMAKNTESLAVSQEILGKIAANFVKSTENMPRTRVSTKDGYAIIANDGSSTKTVIGVSLAGAIYDGVLQPGQCVRISTGGVVPESSTAVVMVEHTICTKKTDDEEELEIQLKEHISTGTNIREPGSETRQGDVIVRSGTKIGSAEFGILKAFGIKNIFVFKKPVITVVSTGNELVSPDCEDVPIGMIRDSNGPQLLALFTEYGFHAIDGERVSDDFESIKEKLSKILKTSDIVVTTGGVSMGEKDNIKEVLIDIGMQIQFGRVMMKPGLPCTVATGRFGTDSLKTVLALPGNPASAWVCSHLFAVPLARTIAGYAKTHHTRITVRLAHDIKLGDRPEYVRAFLEELEEEDFPIAHVTGNQISSNIGSLVGANVLLIVPMKEDKESIRKNELVKALLLR >CRE03219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:288988:290351:1 gene:WBGene00084280 transcript:CRE03219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03219 MSTQEPANEISEDNQCAEMIVRFCRKRITELEKIKEAKKQRHNEVRRQFVSAPASEDARYLDEMEMTQSSRFRYGLEQRAIRNFVEDLEAAIENPVPADNSPLNQFNAVYQTFKTKLSLDAAPAMGGVFIHLVNEINKNASETHQISEEEQIIRVSKVKDMTSQFLYNIRNRKLPVRYGTIDFTRWETMFHQNHMESLGILLLHCFYQIPLDDETRRALQTCFSDSFHHYQQWAENHKRSKELEEYRILADVVKMRMAHLSENESKRSTQTNGTADEASTGTTNSPIIGTLWLDVKTAFEYLKKSEQFKVYSFLSACARLQQKRQINEKVVRNRITRESIVHISEEAPITKVGSAKRTHKNMHTLPKTTLAIQS >CRE03275 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:241815:243024:-1 gene:WBGene00084281 transcript:CRE03275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03275 MSYTGSKDDKEGGESSVKTKEELHKMVTENIHDFLNVYYRFRLYVQKSGELSLSIRGEDINVFVEGLYKFRLIPPKKEHLENTEIVMENAPYYREFLPELKSLIHDYDLKKNLVQSTENYEDFTKFNYVAASTNAMIKRILLDHAKQRDRDHFIKEQIYNLISSACNCNADCLWQSYENLMHITELVDDLKGRDEQTREKAFGPLYVMSKDEMEKRLKKNTKRTFLSSMRRDIAAYDYEDSDEPILVNENTDNVPIPSIDVAKGRGLWASHSRTYPDWPSDAKKETSEEGPSKKSKTFSTSNKKEQNANEVVVEIVEVSVDKNAVKKATITRVDSKTSDKTQDGMQSKNNKKGNG >CRE03282 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:286601:287095:-1 gene:WBGene00084282 transcript:CRE03282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03282 MKIALALLFSALLGLSVYATEEEFEPFMDKKFWRSSRLLDSETADTGSHKVTVAEMNDIHDGIIDSMLSRDNQYGNGGITDNDESESAENKETETAQINNFDDIFEAKLLNLRRKLIRYADQLAKTRKLRINLFETLGLQEKDSFAPFE >CRE03243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:477416:479189:1 gene:WBGene00084283 transcript:CRE03243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03243 MYFLCAHLRKTCAHLRKKILEHIKSSIEQEEEARADQIIGADEIGDENTDEDKPWDIFSLRLVSKSVDRSMCEQIGQGNNKIHISINTKLNIQAHKKEFVHAIRINDRPIRINCLRTHIRFLSKCATGISTELIIDDSTSSKIETWKSKFRSYLHELIIKGLIGPYKSELKKVIGMEKLCRGCKLSWLPAIRVVIALLQQWNVSSVVFKEVGTSYLNRRIMPCQYDPKNFFDDMRDFLYEKPTYPCKSFAMDFNVPYGTDQDILTKSDTFVDSIMRLLYWTPSDKVKIAFSYFYSSSHEPKSDFQIKTKDYMLTIVELSAMEFWTLEETKTIETTVRLDNGFFIDKKEFYWYNESLNSSVCLIFSAVDPEALRTQKLIKRE >CRE03191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:52224:53205:1 gene:WBGene00084284 transcript:CRE03191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03191 MTKNCSFLGIYGKIFLPRMISKLGDHPVDSLVYGGNFLLAENFYESIRIAKFEKKCLKNGSKDMAANNTFPNFWNTWSLYLEICVLKDLKNGTEEEKRTALRVGKKMYDEFKTCYRDFEGWYSTEETIDMLTELHSLLSEEYPNIENIQ >CRE03232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:389307:389600:1 gene:WBGene00084285 transcript:CRE03232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03232 MRKFHEKNSSVTNRTTWWLMTVQPHCMLLAYTLDQMKKKLCSPKKLTRCVEIFTSQKLNNNEFYRFGNATFPLRDSPNSEPDATSEIRSFGGKSKWF >CRE03307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:503706:504315:-1 gene:WBGene00084286 transcript:CRE03307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03307 MTGSNGVDFGFPAIGFTLNSIKSHGVPYLSVRVDYDPLNFDSITEDAIKWYQWIDDKFVFYHEHPPRPPPECSAEPHSHDGFFVKVEFATWHRNSVPVEHPRCNCDPWYDDPLYDHSDPPECQALAFAAVIADGLRAGKNMEDIKIEPHLFELPSTTRIRTYWPSGPTRAETLSEMSTLRRAFSTWNL >CRE03248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:516221:516741:1 gene:WBGene00084287 transcript:CRE03248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03248 MNKLIEYGSVESMPLYNCSAHSSEEWSKLFGVRHPYLGYFDIIFGVVVNVSYGSEKLSHPTVIQILYASILSVMFQPEYYKMSCFKIMICLGIVDMLALWINSIITGVLALQGAVWCTYPTFNYVIGSIILGLWCCSCIIVLGLVANRLVEMSKPAYA >CRE03244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:480089:480766:1 gene:WBGene00084288 transcript:CRE03244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03244 MGRWIPHKLSNFDLERRVDMSLHLLTHHPNFNWLDHLVTSDEKWVLYENHHRRAQWVDADKQQEDVVKQEIHPKKIVLSVWWSVHGVLYWELLPGGKTITADYYSSQLQKVKPKLKTSPLHGHKVHYLHDNARPHTAKTIKSLRATFYWRVPAHPPYSPDIAPSDYHLFSDMHRSFEVQDFKTKSEVEKWLKKYFDSKQPEFWRKGIESLPTKWQRVVDKGGHYV >CRE03308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:504885:505390:-1 gene:WBGene00084289 transcript:CRE03308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03308 MTPKFDRMYEMFRSKFSNDVDPTVCKLAPPRLGDPYHGITQFEKDLRKQRKHFLACTRIARLFEKVLGDVRKGRLDSHLVDRCISKFASEHCGESSDSSDSSDDSDAGESDSDNPPSSSDDEKDDSDDNAFGNLEFSGYLEEIDYFPVGQAN >CRE03187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:6079:8174:1 gene:WBGene00084290 transcript:CRE03187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03187 MVRRQIVNRPLKEEGMNDPLANVEQFNIIQFLQNDQEFNIPMETFEQANLEQKVEPIHHQQHQQMVQQPMLMPPHMIQQIHQQQMYQQQLAGMPMTPAITDLTRHGSSSSPSTTNSDPPYSPEGLQSYGGLGTQRMNQGVISNQELPAPVMNRQFNQRMGNSNTSPNPPSFPPFLNSNQPTPASYIQSISPDGQNDGQGFVQSNLYNPLNVSSDESINGSDDVPNRKRPRFDQNIDPSYILNAALSGKLPGELLDEGGQPSIKFFKYLEEQWCPMCDVTGEELGRLQVHVLADKGFNYSTNDNCFVNQKKNHFQVTVKIEAIDPTPPQCFKLNGVCMPIENFQLSFVGVKAESLSSEILIKQSTTERKPILHTPVLFKIVERRMTIVTVPRLHFSETTQNNQRKNLRPNPDQKYFNLVVRLYATATDGTTVLMQAFASERVIVRVSGFVFF >CRE03233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig50:389714:390655:1 gene:WBGene00084291 transcript:CRE03233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03233 MEEVLVGGYGGIETTRETPVEAMELEVIQEIGGYRRNESRGSCGGRDESRGRRDSYDSRQSGGDSSGPDYNAQEVAEVEKAVRDNKNLVNTFDQVLKGIFVEAIHLNGSSKIICVAGVSEVTAESTNL >CRE13154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig500:6272:7979:-1 gene:WBGene00084292 transcript:CRE13154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13154 MTGAEMTGAEMTGAEMAGAEMTGAEMTGAEMTGAEMTGAEMTGAEMVGAEMTGAEMTGAEMTGAEMTGAEMTGAEMTGAEMAGAEMTGAEMTGAEMTGAEMVGAEMVWCRNGCLDQLVEFTLPGNEERERILLQYFNEHIVVPATSGSRSQRLKLDSFDWVKKCNEIAKKTSGMSGRELSKLVIGWQASAYASETGVLTEAIVDRNTADAMIQHEHKMEWLEKEQLKARHQETKFGTTLKRETAV >CRE13153 pep:known supercontig:C_remanei-15.0.1:Crem_Contig500:4:4371:-1 gene:WBGene00084293 transcript:CRE13153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13153 MEEKKLREAGEVFLFMHRIFRASRNLRAHWYIDHLDKAVSIQPIPQQSDPNEEEDEYSQEMTVIGIVPLGGDPTEIVGNEEFRVTVNTKCTYISRYYRIVFVLDLSPSVVVADDESNCCLVDRVIPSLRNALRSSVKSFIIPGTDRVFRPQVHTSICLFSPFMKFEETFTLCQGVFVTESNVDMVIESVETKFVEIYKRLFTFSRPILELWGKLKRRHKHNKFDSLCESDSQSEENIRKVVSGVGEELQMTSSAADSPAPHVLEDKYLMAEGEGKADGGSIMDIQRGIWTATEKHEDGKQLSFASDKSYVAPDWSLIFMLRMGLLQIQMLPENTQSSELS >CRE01526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5000:2335:2658:-1 gene:WBGene00084294 transcript:CRE01526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01526 MINVDPPTGNYPASGGNSTHNIISESDSRLAFKVKSSNNEHYRVRPVYGFVEAKDKAKLEVNRLAGPAKEDKIVIQYAEVPAEETDPMAPFKAGAQQGEIIVKLIAA >CRE01525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5000:1527:1850:1 gene:WBGene00084295 transcript:CRE01525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01525 MINVDPPSGNYPASGGNSTHNIISESDSRLAFKVKSSNNEHYRVRPVYGFVEAKDKAKLEVNRLAGPAKEDKIVIQYAEVPAEETDPMAPFKAGAQQGEIIVKLIAA >CRE14366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig502:147:6903:1 gene:WBGene00084296 transcript:CRE14366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14366 MLTLIRLGCEGHVADLQPNYHIYASTEETISFDLLPVCFATSHLGPVWSTLYFTAHYLYSSLGPMLIYTTFIYQSFIDDFPIVQNSPKQCIGMIALAFFIPSLVLYMPLGSKIATFFRYISQTSFTDITIFILVFFVYGWHKIEQDVLMTSPSTTRPSILEYFIRPTSPIWTTLQFTVVPMLLCAKFAGVFDFLRRGHDVHQHVAVGVAFLPIPQWPRFFIGYMIMFAPLIIMLIGAAFTVYQMVVKHGLTWKDTWKPSPDWMSHASVNPNKPRVHSLAYGILSRLVFKKISYKTGMFSLWLFESFIGILLVVLFFLNTVMISTGLHESGYPGNVANEYRSAMLLILVLIHIWALVDMRKAQQYAQIDGERLNFYIAVATMEMAMLNGYMWMYAEDHDFGTDLPPLLFLLGNTVIRGSCILLAIAIRAHSIEHSRPSNTREASEVDPEDLARGNRQVDEVGGVDGEDDEDDDDSPVIFDLAHV >CRE19645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5028:2232:3685:-1 gene:WBGene00084297 transcript:CRE19645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19645 MSEQMNKSMEGPDLGSDESPRSSVNSHEWQNLMENSQDGTKNPLICHVQDCMEQLRIHVDKSLQAKKLVEDDTTLAIEQKKIVMCEIDRSIERLIEKLNPNQVTSKPSDRSNGNLSGNDYTPKGANIYGNSERESLV >CRE15051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig503:8359:8711:1 gene:WBGene00084298 transcript:CRE15051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15051 MFYKVVFAVVVSFALTSALPIPGDIGGSLPSPLGEIIKQITDLLDSLKAQLPVPLPELPEVPVPSVPEIPIELPTIPEVPSLPEVPTLPEVPAVPEIPSA >CRE15054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig503:821:4233:-1 gene:WBGene00084299 transcript:CRE15054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ubc-8 description:CRE-UBC-8 protein [Source:UniProtKB/TrEMBL;Acc:E3NLL7] MNFSTIAASRPLPLSSQEQRRPVEMSSGIPQKEEPIISPSANTSGKRRIEMDVKKLIVKKHDVQMMNNVNEFVVKFHGPKDSVYEDGVWKIRVELPDKYPFKSPSIGFLNKIFHPNIDEASGTVCLDVINQAWTALYDLSNIFEVFLPQLLQYPNAADPLNGEAARMYMHRREEYDRVVRARKTSKNDKKLSK >CRE15053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig503:14013:14369:1 gene:WBGene00084300 transcript:CRE15053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15053 MDCLSNVYITNRASFITGFRRAVYKMEVGTEPSESNIVLAMQRVFYELQMSSEAVETNSLTRAFGWDKLDAFNQHDVQEFCRVLLDNLETKMKGSSEEKSIPNLFRGNMKSYIQVPLM >CRE26937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5044:1444:1620:-1 gene:WBGene00084301 transcript:CRE26937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26937 LLTPRKIKKGTFPACVDQVNRSTGRSECAANIGLCNNSAYQTIMRTQCPRTCGFCTSG >CRE16494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig505:1306:1575:-1 gene:WBGene00084302 transcript:CRE16494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16494 MLSSTTQSSYNAPTTATSPGNFSVAQVIMNNENPSRQMQIHPMNEMPWFPMDIHQGIGHGMMPAMSPVTPAGMASQMSLTPNGNFPPMA >CRE15795 pep:known supercontig:C_remanei-15.0.1:Crem_Contig506:11342:12814:-1 gene:WBGene00084303 transcript:CRE15795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15795 MSKPSEKAQTPKKPLLEKIATGSFLFVGRSFIDSKGTRSTWLVSPKNNQRVSVQTSMLEKSKLKLGDFVRATFGAEKDAIKGLKKEEYQNKKIVNNIKVEGDVAYIPVTGTVCSKNNMFIFEIDGFSSALYAGTDDLKPGDKHDIEISVLSDPYSSDKFSDKALQFGARLKKEKGLSTEMNEMDIKDKKEKVKKEVKEEASSPSLSSEENGKQTSGTTSSPTRSVTAFVVKIMKQSEEENQYQLWVTTKGKEGIFTSTKKLDLLDAFTGNFEENVNMINRSNKMFFFQKNDIFECTKYIKAVKNPIKNRVVKNNRVFLCVTVKQVTEKRTQEPVASAEYFPKIVGSEAVKVDDKLDKEISIQFKKHTYGYRWTAVKKPK >CRE15794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig506:7023:11186:-1 gene:WBGene00084304 transcript:CRE15794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15794 MTRNQLKLHKIKNYANLMKERSIAPPERVMCMAQLYGMCDQAMTPVVDAKTLETTRERYTVHQEFGDRRQGVASARMHATNSILSEAIVQTQNFFKALTGGMTLQEGRLTSQEFTKRLHKLGVKTDSESVKKFFNDVDFDSDGIVDLHGWNHILDDHVKLGQLFQVLNIKTGALEPLIQNLSNEEEQEFRNMVRRTLDVAEYAISKGVRIMVDAEQTYLQPAISKITIEMMKKYNKDRGNVFNTYQAYLKATLQNMEADMQVARREGWHFGAKLVRGAYMEQERARAQAIGYDDPVNVNFQATTKMYESCLTRIADEVDRRGRTNVSVMVASHNENTVRFAVNLMKERCIAPSERVMCMAQLYGMCHQVSFSLGQAGFSVYKYLPYGPVEEVLPYLSRRALENGSVLKKANKERDLLWKELKRRISSGEFKAKHN >CRE14463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5062:103:642:1 gene:WBGene00084305 transcript:CRE14463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14463 MASYVLLEQARHIEALVLDVDGILSDGFVTLTNTGDEIKSFDIRDGLGMKLVQKSGIKVIIITGRKSNIVEKRMADLGVDLVFQGREDKGAALKEACAQFNLDPEDCLYMGDDWPDLSAFAIAGMKVTVPNGHVEVRRRADLVTQAMGGRGAVREVCDMLLMAKGTYQELLEKYTAIPH >CRE15866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5065:602:2019:1 gene:WBGene00084306 transcript:CRE15866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15866 MSCKSKKEVRPSSSLNSTETSPIQEASKQPDQSETIIQPIIPSSNLNTSRVKLPDQSQCTVFLLSTVFVILISRMCLNYDLLCMTTNSPIWNPDKQLSEQFSEMSQFDDGQQPFERVVNKLVLKAREFKEKFRIQQNAVEEAIFQKSRIVDQLRNKKETKDKLQLFQSLEDTRTKMDSALMRAQAAIRELRRQQRTAQLLVEAGVGEQELVDNKMADFGINVAEERKSLEYLEQDVERERVEFSNLLKVGWKAVQQVSDTMELKIEEIQETSEAVEKKIDVVQNDIKKGFKNEQFFERMARLLEYRLSRVEEIQTAGASASTDNQVRIVFKETGTSPCQLDRSPSPVRRRSPSPVRRRSPSPVRRRSPSPVRVRSPSPQPTHSRRQHSPHEERNRHLGSQQGTGSSFPVRCVFCLEEHLSDTCRRYWTTAARRERLDQRSSATDAYIPMHQTTDAHQSSSATTATAAADTPG >CRE15772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig507:1109:2604:1 gene:WBGene00084307 transcript:CRE15772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sma-2 description:CRE-SMA-2 protein [Source:UniProtKB/TrEMBL;Acc:E3NLM6] MINFDGIKKITERLKWKQGDEDENWAKKAIDNLMKKLIKHNKQALENLEFALRCQGQQKTECVTIPRSLDGRLQISHRKALPHVIYCRVYRWPDLQSHHELKAIEDCRFCYESGQKDICINPYHYKRVHAAGVLPPVLVPRYSEKPPQEVPPTLAKFQLMEMSGSKMPQNVNMANVNFT >CRE20093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig509:11787:12528:-1 gene:WBGene00084308 transcript:CRE20093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20093 MSFAEKGTPSELSYTLAMAPLQMSFFFMAIMSAGFGGPSSTPQTNIWWFGLRKPLCPFLLDKCPSLRTYANVSYRVGGRNRAENEQENGEERQAVIADENEERDEADVMVRNPNPNPQRPRVEEKTRGSGGSQTSSQGSQSGQDGQS >CRE20092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig509:8449:8977:-1 gene:WBGene00084309 transcript:CRE20092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20092 MKSFYDIIEIEETANFDDVKKAYFRFLRAEHPDKNPQNKSSSATELLKAVGQIWEQVKSNRVIYDSWLREQRLRETQGTIGETIELERNQEIEEYCRCGAEFNLTQEEKM >CRE04015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:27539:32411:1 gene:WBGene00084310 transcript:CRE04015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04015 MCEVLILMLNSFYRVYYQLFDLCEHTLISVLILLVVLICFIPALFKTEEQRPLFTIFVLVCGVVGGLIVVISVVYLYRYCLKRRNPVTTTVNPDFKREHIRSVVVGLSRPLPLPQEFLNSSTPKHTSTTTLGYEDDHDIENSISVVPLIVTNDSNYALRLSQNTYNSETSKCLSTFQNTLSALLSVSPAPTRAHSAELHPPEVSEEIRRSSFEVQRGTGRQLPSTEGLE >CRE04056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:376098:376924:1 gene:WBGene00084311 transcript:CRE04056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04056 MSCKEPTTSPTPENNITVVSSDGKEFLLDLKLTEQSETLARLILNFEYDRTDVKKDPVPLGNITSAQMQKIIEWLQHHRYYPKWEQNDIHYSTSFTFETWVEEYLNIPNNEMFELLNAANYLNIPRLFSTICRIMASRITGKSAEQIRTVLNIKTDVKNDVYTGIPIQEDSSSSEDGMSEISLSPSPPPI >CRE04107 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:207427:208101:-1 gene:WBGene00084312 transcript:CRE04107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04107 MPRRTRKPNQNRGSIQRKDELEAKVKLLEEKLLKSEQKEMIATELYNKEKRLCSSARANSTYYRNKLISTTKEMTRITDKLNSATEDLKLIKRKKMLKAQETLRMNQELNEQEKKPWRLCEVCDEDYNHTANGTPRVLKCGHTLCHSCLAQIATSHYIQCPFDRLFTNIGVNELNDLPKNFVVLHM >CRE04062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:442893:443171:1 gene:WBGene00084313 transcript:CRE04062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04062 MRVPAGAPIPFWLSVKNRFPKWAKMSRPTLGSMAVVATAVVTCCAVAAVTLYPKYHHDYYQKAQKEERAMLRSSREQQAGPQNVWIDPFERK >CRE04047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:277734:278476:1 gene:WBGene00084314 transcript:CRE04047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04047 MSQQQQSNFSMDLYTDGAALRNGQNDAKGGWAVVYERNGQIFVSKHYFSWGRQTNNLYELTAIFEAIRIARRIGIRNITIKTDSMYSINAITKWYWNWRKNGWLTRARKSVKNREIIEEIRKSMSDVRYEGGAVKIEYVKAHGQDYLNNLADKNAKLAAYENPIYDYQKYRKYRKIPKDLLE >CRE04105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:203178:203459:-1 gene:WBGene00084315 transcript:CRE04105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04105 MKLILLFTIFVFFFASSIYSADTCQDYGTFCESWKKNGFCEMCFYKCDVRVKYCPKTCGFCDPTKCVDCPPRATEH >CRE04103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:201396:201782:-1 gene:WBGene00084316 transcript:CRE04103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04103 MKFIVLFFLVIVAVFAKPQNPFARTFQKSNQLADDCKDIGTNCLNWARNGFCTNCNWTCEQRRHYCERTCGFCHPDYKCNETCPTFPPMKSGLLTEKEIRKLDQ >CRE04122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:308977:309078:-1 gene:WBGene00084317 transcript:CRE04122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04122 MTNSAIALPPTRINRRRSTKALHDFFSRPFTQR >CRE04101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:198897:199468:-1 gene:WBGene00084318 transcript:CRE04101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-46 description:CRE-SRE-46 protein [Source:UniProtKB/TrEMBL;Acc:E3MMX2] MLFLVGNSTNNIKLCFPIYILQEFKLLTFPWILLVIIELILYLLTLFFAVKCAFIIMKVRAFHKNLSSLALVLILQYAEPLIAKVISWPYETGFWTLKGSPNISFSNTTIRQWWTEKETEMIQITDFSLNFYFFISGFLKLHYAISMSNILLVVAIERSLACYFLNDYEKNHDSG >CRE04052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:342722:344650:1 gene:WBGene00084319 transcript:CRE04052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-308 description:CRE-TAG-308 protein [Source:UniProtKB/TrEMBL;Acc:E3MN07] MHFLSGTSLPKAINHQEANEEGERKTWQRSSSECCSCFVCFYIYSGSNFRISSKPVRRNSLLQALAIGSPHNIPHFKTLDDIVKAIKHAGLEYSNLIFGIDYTKSNFYQGERTFDKRPLHTIDPAEMNPYQQVIQIVGKTLSSFDADGQIPAYGFGDEEFTDQGIFNIADRYDLDKDCNGFEEVLRVYNEVTPSIEMSGPTNFVPLIDRAIEICKEKHSYHILVIVADGQVTNEKINQKAIAAASHYPLSIIMVGVGDGPWNMMGRFDDNIPKRLFDNFHFVDFHKVMFNAPNADASFALNALMEIPDQYKAIKELGLLKHSRRS >CRE04075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig51:72786:75326:-1 gene:WBGene00084320 transcript:CRE04075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04075 MGIGPGDDNESFQIYISRGIEEDSKLPFMTLVTPEGHNLLALADCGASTSLISTQTATKLQLRIVGQRNLRFKGLISETRDEDCKFYRLEVIDQSNNVWAATVASYSGIKIPFSAPTLSSSEYNQLDSLRFNITKIKDLQQFNGRPVDIILGNNLLGNIHQQLITLDSGRMVTRTIIGSIIYPPMVKNALFPVGGNKPIVVTDDMEHIVVHTLDTPDYDLPEDGRLEPKSNVSNQKLAKQVEQHWNLELLGIEPPEVVSSKARLNEQIVEHQKRSSVRDENNLISVQFPYNGREQYLDDNFPVAAQRLVSLTAGQTIETRMAYDTIIRKQIDSGIVEIVTPDMKPSGPVYHFPHRGVRKESSVNTKLRIVPITANKVHTADAEPVRPIDYLIPQSSMVLPESSKTISEVLESGKTEKLTRRLIESTAAVRDNLWNVFSDEYYVLLRESMPRSTAHNKSPPTPGTTVLIVTEKVARYMWPIGVIQKLISSKDGKVRAVEVKIGQKVFQKSVNHLIPLEIPAEERQDQDAPAAGTPSDLHKQITPAKAPPQRTRPYLPRRAKENKVTIGHDQQLGSPSNQPLASA >CRE22724 pep:known supercontig:C_remanei-15.0.1:Crem_Contig511:16791:17152:-1 gene:WBGene00084321 transcript:CRE22724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22724 MAVQNCIGDSFRGATWVALHNGGGVGWGDVINGGFGLVLDGSPDASRRAEGMLNWDVPNGVARRSWSGNAKAQEAIRRAEQQVDGIKVTIPVEADEELLKTLKF >CRE25053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5126:2101:2733:-1 gene:WBGene00084323 transcript:CRE25053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25053 MPQFASAMVTTLKISIISIILAILVGLICSILITYRVRVFDKIAKIYIELSRNTPLLIQLFFLYYGLPKLGIKIDGFSCGVIGLTFLGGSYMAEAFRAGLQAVAKGQIDSGESIGLNPLQVFQYVIFPQALAISIPAIGANCLFLIKESSVVSAIAVVELLFVTKDLIGMDYKTTEALFLLIMSYLIILLPVSILTSYLEYRSRKVSHGI >CRE24863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:4330:4653:-1 gene:WBGene00084324 transcript:CRE24863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24863 MSSLTATPTYNESREEYLRKKREEHVPPTAAKAKLDSRFLYGDTTLILHMCFDCRRLSRNTMVKTMAKHTKELE >CRE24859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig515:3975:4235:1 gene:WBGene00084325 transcript:CRE24859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24859 MVRGGLHWQCIKDPLEYVSRNGSSSSSFLKKGNGSRRTTHGHSEGKKIQRDEEGSRASFFGAGSPSSIP >CRE24294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig516:499:1205:-1 gene:WBGene00084326 transcript:CRE24294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24294 MSVLDSVIISLILPGDSNPRLLKKLQPDKYDYYSAMLDQSNGTDTDRMTITAPCSISLTGTDTDKNGISVIISLISNPGGFKPASTEVNLSPDKYRLLQRHARSV >CRE23780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig517:15054:15709:1 gene:WBGene00084327 transcript:CRE23780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23780 MSTRRIESTIVALNEKIEKTKNATKEKLLFLKPLEDEHKRLKLEKQKANDFNEEHLKAHANHLKSIDRDMVQFRQDFHRRKRSAGATSETEDFHALLETKREETRQLREKSLKLKAEVLSGIVQVNEDAPSCEVCTDEYNEDENMPKVLGCGHTICSKCLKNLDKYNNSVNCPFCRKRCCFMTCPPNLFILKK >CRE10799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5177:136:360:1 gene:WBGene00084328 transcript:CRE10799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10799 MKTILKSHAHFFSMDLFHTSGNLDEDPRISLRDRTIRRDAEKYANMLHPTVVGIQMVPRERRRLFLPAQELNKL >CRE04958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:86392:86775:-1 gene:WBGene00084330 transcript:CRE04958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04958 MIVILLVVATASALLILWVLYINRKTIVNYIKSLSAPEPAVTEAVAPEKSIAPEIPRRSVQIVPPEIKVPSPRKSVQLSRKSVDVHSVESIDLDDEKKDTKEERRRSSHVLTIPVTPAVKGDEYIYV >CRE05010 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:495486:497388:-1 gene:WBGene00084331 transcript:CRE05010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05010 MIAAVLTALLFASRGYQKIRKKKERGYLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIREKKERGYLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGYLKMCDALFRGYQKIREKKERGYLKMCDALFRGYQKIREKKERGYLKMCDALFRGYQKIREKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKKERGNLKMCDALFRGYQKIRKKERKRKSENVRRTFSRISEDQKKERKRISENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRKSENVRRTFSRISEDQKKERKRISLQYAESVNSEEFDQLLERFEPGELNEEFDIDYSKEFGAEKSKRNKKKAAEEEDVDIDEDDDLDLNDLNEEEDGGMEDDDDGDEIEDDDDGWKTMVRKKMMKTRTTTTTMKKAVSEGRALPTFLEKTMDQVMKKWEPMIMEWLVINSRRCSKISKKRRRRAARREESREEVVDSSEEVPKSSENIDVSE >CRE04967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:148209:150732:-1 gene:WBGene00084332 transcript:CRE04967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04967 MLIILFLLCLFPLTSTKPINEKNITPGSNVKEDVKCYFSRIRKTTHETVERSEKSIVSTICSGKLEKLEKYSTLSAGPEVKDEHPLSVIMCRGAGMCDLNFLDPLVMDKWKTIDICEHHVAELLTEWNLLPTFRETHIYRVKTQSFGTVEACSMPDSIGTKHEKGRPIGRSHLSVKAADALIKQDHTLVHPGIPLCRSHENYIRDLMSKPRPPPTKKSRTSSESDSCSSEDPPYASSEESITQKKEITLAESFSQFAMLAGETKVCTVKPWNQLKHLTQEKKARTARNLFLTMLGIMVPDDTEEFKKLVERKTFVGKQWSTGSSASFEAVMEQLAVQFFAAECRRSRLLVLSFVTNSVSYLEMVKYIPHLSRYMYESSKIFGRRKRSENAVKERQLVRYDHKKVQAFIDFITSPTVMIGLPYGVRNVKLSDGTKMEIPNSIRQQSATEVIEMWKNVCMDNDQPDLLLSVSTMYKILEACVATKRESTTCVDYFIAYGMQGFEDMHRVVDGWLAEELFSQSLTQLKTALFEVAQYYRTDYRLHIKSQSRVADHCASFALSDPSDKRLSSPCSSDPHKHSHDLKCDRCQHVNSTLEKLRDYAEEFLLDSREALKTADESTKQNIQAILERREDDKKVIERSIAYVHEMKKHLLRAAFTSQEREKIISGLKDNEALVTLDFAQKFLPKFHRELQSQYYGKKGVSYHISHVVAKIGDRLVQHSFVHVYSGPVTQVLTLY >CRE04982 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:286352:286627:-1 gene:WBGene00084333 transcript:CRE04982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04982 MLEIEPALTIVGDIHGQLDALVRYFDAVGYPPKVQFLFLGDYLGEVLFRPIVILQVLTRTEAVRAKCAPSHNGWHGNSNRSVADLTPLCVK >CRE04991 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:342324:343946:-1 gene:WBGene00084334 transcript:CRE04991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04991 MTDLDPNSPANLRALILYDIAQRKTMRESIENHRVLCEHLVKKAISYDEYELCFNRCLNEIYHSTIEKRDLPIADIQVCILSDVIDRKTAEKSIDDLCKAFKNHKINKEDHDYWYKRFHREHVIQVTFSDFPEDVLAEIVGRCDMKSYLNLRNVSFGLRAVIDQLAPPCTDIEVRCRARLEFGVYGALPADSICFKTPDSCLPIEEIEKRMPRSLTFLLRNPKLQLESFGVVKFAFQGFLSDTETVIRVMNIFNRTIHVKHCSIDVDSEKELIGMLQCFKPGTLEKIEFNHDFPLSNQIDEIDQWIQAKHLVFTGDEFPSIDHLYHFSTIETRYVPMHLEDLVKVILVISDSIPIWTNFEHIKIEDEDTDTEEVKRALNLQPTASPEVYSIPNTNLFIQFERWNSTMLKIYKS >CRE04981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:284816:284989:-1 gene:WBGene00084335 transcript:CRE04981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04981 MNLFLLMANWTAKPIPKSEIAMPIISKNPANDVVIRRPRQDSTASMEAEKMENPKAF >CRE04908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:241789:242453:1 gene:WBGene00084336 transcript:CRE04908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04908 MADPEIVEPSESLPKTSIRRRSCVVCRKPTPNHYVTSFTRAPEKQEQWTCRLANGDEEFATDLREKLAAGRKYLCLDHFDRKDLVHRKMDGMEVIRNRLPIPYRNTYFVRHSLSSDTPSTSEETDMSPIPEKRRKMSTSTLAGKGAELDPASMTLLMDTVNLYVKRAMEEADV >CRE04966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:129333:129599:-1 gene:WBGene00084337 transcript:CRE04966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04966 MFSTKFTILILIVALVIAAPNNKNGQNGGQNGAQGKVSTTSNGVGGGAQIGQGLSGQNGGFGGNSTNGQGNSNNQGGQGGFGGQQRNN >CRE04979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:278015:279735:-1 gene:WBGene00084338 transcript:CRE04979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04979 MKVVFFSTVILVHTVLAGGGGSYVKAPGGAGGGGNGGYASAAAPPAPYASTGASGGSGGGGGGYAGAAPPAPSGGYASGGGGGGGYASSGGGGGGSSGGYAKPSGGGGGGYASGGLQQQQHRHNATSSSYHPAEDTLEDHRADHQEEEEEDRQEAILEDPLLHRHHRHQHQLQLQLQLRPQLQHHLAADTQEDHQEEAEEDLQEGIPADLQLHHHHHRHHRHQLQLQLQLQLHPAEDTPEDHRADHQEEEEEEEDRQEDIPADLQLHHHHQPLSQLQHHHHHRHHRHHRHQLQLHPAEDILDLLVEPLQEEDRQDTLEAALLVCVP >CRE04934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:450913:452331:1 gene:WBGene00084339 transcript:CRE04934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04934 MSLKPSLLLKLSQRSFSVHAPCTSSTTHYIDYSFSNGVIKSSLPTIDSHCHKFIENLNTDLRDLNIIKKKIYSIRGDLMNCFDKTDVRLVPIGSAANLLLNNRSDLDLVFLPSNDSKHWKDFMKQFSGNSQFRNSFLKNTYKQLRSKRVGNVDYPYFNARIPIIRLFSKRKLQVDIQFGNIEPIRSSLFVRTCVEYDERVALLIHWLTNKFLESKILKSSDNLFSRYHVNMLVIHFLQAMPYPVLPDIISLSPWLSKNNDWNNAVKVLTRQGSLYVPSNSDVPNENSVGELVIQMIDYYSQIDFRKMGIDTRGRVFQKTYNCNATFQIVDDYFDVSSTCRVQESPQFLTRLFEKLKLAVKEENYEKLFHYYTPPKKPVLTMF >CRE04989 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:340952:341206:-1 gene:WBGene00084340 transcript:CRE04989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04989 MSISEGFEQYNIDEIAEMDQWKQAKHLGLRVYGTGLPPIEHFLNVSSIEAYFGSIYLTDLVNLCDVIFIFSDKLHIIEFQSVSK >CRE05011 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:497613:501097:-1 gene:WBGene00084341 transcript:CRE05011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05011 MILLSVFLAFNFIGFTGSFSSFKNDDILYLPWASDSAMGLRFCYGPLIFLWASDSAMGLRFFYGPPILPWASDSAMGLRFFYGPPILEQSPFFCNLLCNLILAVGNECPTGWTFNPDTSECYTFSARLFTFDESVQYCNSIGGKSVSISSYSERDALVALTKTNILQPWLGAKRNTTTNKFYNLDGTYFYTLMWTTNEPSVNGDCVTFKGASPSGLQVTQCYQLQPAFCKQTPALCNSAVVGGPNTWSGTFQSPGYPIQYYNNLDCRYLINSPNNTFITVTFYPFLIEEWYDSVDIYEGNSTSYANWIGQPSSYSSGRGFESSGNMMNVRFKTNYAITDKGWLATWKAKKDMPVITQSGTNGTMTSPNYPNNYDTYDEQVYQISVAYGMQVNLTIDDFRTESKYDYLNIYNSSVQSNSTLVYTLSGTSVAPFNWISPRSYMSMKFVSDGVIQYKGWHAFWSYC >CRE04941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:503420:504604:1 gene:WBGene00084342 transcript:CRE04941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04941 MSQAIILEGNHRISTVLDLDCKSNGYAIFRIDVVMLEVDDSVFSSTWPHCLELKFSESFKSESSVSSRVELDSIPIVILNIGMKIHSNEERRTKGIFSTQDSALFTYKYLEKNLSDAELTKLRDHPHERGVFWTKLEKQGLVFASGNSQLPMLPLFILLMTPKSQKESVKKLEDGTFPTSNRLFIPAMNASCNDDNATAELLSNHSSKSSVTKLNDALQKIGNKDNAGIDNEEKSDGSDFVLHEKIDEIEKSSICFFYQTIPTDDVQQMISKKLNHVVAEPSGETIKELAKWPADQIMSTTLNSSVYNGHGGVRSCFLSGERLLPKGSRHLAPVSSRKLSSFVSKWFPGRNDGKIHTVRGFLLSPKELNFNYSQQNL >CRE04957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:85582:85893:-1 gene:WBGene00084343 transcript:CRE04957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04957 MSAKPFETETIESISFAVGEALALLIIWVIYMIGKLYLRIVYYIKSRSAAEPAVKLSGKSVDVHSVESINLDDEKKDIKEERGRFVLTIPVTPAVKGDEYIYV >CRE04996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:371032:372011:-1 gene:WBGene00084344 transcript:CRE04996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04996 MLLVDDHIIRKRRKIPETTCREQKKKDVFWIQYDKRQIFLKLLIVVALAPRGVDAAGGGGGGERIYDESNYQGNLPYKQFMQI >CRE04990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:341538:342135:-1 gene:WBGene00084345 transcript:CRE04990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04990 MTNLPPNSRIDLRDHVLYDKYQRFHTEKSYSNYKKLFERMVKGSISFEEYESLDRSIPDIRGCILLDVINGKSAEKSIDDLCDAFKYYKIDKEDHGYWYKRFGSGHLFSRITFSNLPDYVIGKIVEKCDIKSYLNLRNVSYGLRAIIDQQAPPCTHI >CRE04936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:454166:455025:1 gene:WBGene00084346 transcript:CRE04936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04936 MYARCLSLITTPCCSSTIRGNATLPSLNLYSFRRRIAERLSNKYNEKRVHEIGPDLACLEWLMECGSTSVRMSDGQIITRQREMREYIPHALSEKPSMENPPAIQTGDISYEKQWPNAPYTWIVDVDASDSAIANEGFTYLRDVRRIEKLKFNFCDYFGDEGLKFLAQGRPAQTLTDLEIVLNPCITDGAVYWLLKMKALRRAHFYFLPYVAHRQGFIRQLKIALPRCNVTFPEVDTIGFGYEDAKKTKRK >CRE05012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:509660:510019:-1 gene:WBGene00084347 transcript:CRE05012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05012 MEDDDDGGEIEDDDDDEVEDDGEEEDDEDEDDDDDDEEGGFGGKSSANIFGEDDGSSDEEMRANDYEMAVDKFAEMLEDLEEERQEGWKEERNQEKRWWIQARRCQKVQKTLMFPIKLW >CRE04942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:505386:505737:1 gene:WBGene00084348 transcript:CRE04942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04942 MTQSVPPGDMQTKPTVKIAKVINPSARPPNGVKTTNKKDPMFCRPSYPLSIRITSRTTVSTSSRRTPQMVPPSNTVVSGPNRIWIRKGKRLCRTNEDEKQK >CRE04959 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:88163:89587:-1 gene:WBGene00084349 transcript:CRE04959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04959 MSRLVCPSAKPSSSSSSVSLLFPSISRQLAVFLVLLLAVMEGVSGIGCFVCSSFDGENKGCQDPFNSTMDLSSRDRDASAVANYNYPCWAYKKGRHGLFPADHCIKIVGYRADNESKTLVIRTCALDSGTLTADTEIVRISHCGSFKYEGHQYKGCVQSCDTDGCNSSSVHSFLLPLCFILALSFIS >CRE04949 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:42367:43105:-1 gene:WBGene00084350 transcript:CRE04949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04949 description:Transcription and mRNA export factor ENY2 [Source:UniProtKB/TrEMBL;Acc:E3MN52] MDDDHIMRKKPEAIEMEFQDSGESALVKSTLLSSLQNSEWEIAVRREVKKFLEKAGDDVNAKEVFDAVKDMARREIPQEAKKKLYDQVLEFVTSTNNR >CRE04909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:243169:243558:1 gene:WBGene00084351 transcript:CRE04909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04909 MFSEAYLVIERNKEKQSSPDNEKNTGMIRAEDVKNEPEENISNSIPSTSGASSINERRWDSLNKEIKTEPNGRPGEDYSTTILQESRKSQKLMKEKRSALETTVPSISTSSST >CRE04973 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:243904:245076:-1 gene:WBGene00084352 transcript:CRE04973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04973 MDTDEKTGLSPEVLGVIKKEVKAAALEFEDSAFGYAKKTVFMDFGKGGILKQEVFEAIHKEVDEKFSNSREWLMKNTEEFLSGLKDLEKEYSNMKSQQSKTTLALFIYVLLACTSGFITYNESYDSKTVMALAATAVLILPFFGWNLFQWEKSLKEIVPQCVKLESLISSNRMKRITFTHEEQMKNRMKLIAEPVWKALLRYIIFDGLFFLSIFCSNQYARNHRLDAQSDTYKTLDVACYYLASFVFLFKRSSISHLDQEWNTGRKKLQESYTEYAHVAEQTEDYFGQQRDEAARKDQEEQEDQEEKDYEIIS >CRE05007 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:486745:488499:-1 gene:WBGene00084353 transcript:CRE05007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05007 MFTAVLTALIFASAFRDATPASLCPSGWTFANETSYCYIVSDRYMAYSETAGYCQSLGGSQVFVSLSKEFTFLNYFTAGLFAQPWLAITRNVTTNKWYNSDGTTPFSTWWSPGEPGPNGDCATLRGSDPSGMKATPCYSIQPAMCKQMPALCPTTTNYGGLYTRSGTIQSPGYPAQYYNNLDCWYTITAPNNTYITLQFSPYLVEKTFDYVTVYDGPNSTYPYLGKTDEYLNPRYDFESSSNYVSFKFHTDRTITKNGWLLTWNAKVYSAPINQTGINGTFTSPNYPNNYDPYTEQLYYITAPDGFHVNVTIDDFLTEARFDVLEIYNTSTVIANNLVANLSGNATAPWWWVSPDKFVTMRFKSDGSIQKRGFEGSWYIL >CRE04971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:229496:229829:-1 gene:WBGene00084354 transcript:CRE04971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04971 MEQSLSHSSPCSKRYSRHEEFFDTNCFVGTVWRSVVPLQEPPLSLEAIEEVQQCRGEFNRSISRVFHGTDIVNPNAPDTKYNDAIEDPSVGXXXSTSEPC >CRE04956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:84449:84739:-1 gene:WBGene00084355 transcript:CRE04956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04956 MWYDYYDFDYGYSNKTSYGWLLIPAIILIGVGIWWIYKKKSANETANTIVVAKPVEAGAPTVVPAPQAIPAVQAPQPSLT >CRE04938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:462275:463552:1 gene:WBGene00084356 transcript:CRE04938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04938 MDVFTVLTPIIVIAFLLFLTLRLIIKYCKPSSSAVVSSSSTDTEAPDFTRVANHLFVSNTPHTCMLLPNRNLREWENSRIYVDEAANVRSVTITRISPPGQHIVG >CRE04974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:246339:247884:-1 gene:WBGene00084357 transcript:CRE04974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04974 MSYSDEPVLPELLRKWMLAPEVVKMVDDEVEAAWNKFRTLFETEQNGISYEDARDAATTDKFYDYRLILLVREEYSTKIYYYKKAYLKKFREEFEEHLDNLEEVIAIPARRQRITVILIHAYFILILFSGLISLYSPVSVISVRILGVLSALLTFLFGWNLVIWQKATQTTLNMYEQLSTISKFKIPRATLWTDSNHLRLRTYQLFAIDPRLTRSFKYLVLFYVVAVLIVYFLNLRWKYPLEDSFSEIDLNIYLSVLFPFIVILRSVDYCANRSVYYRRIVIDCFEQFAKRRREIPDSEEDTETDEDEEEEEEEEDEEEEEDDEEEDEEEEYEPESESETDTNSDYGSGSGSGSES >CRE04965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:126934:127385:-1 gene:WBGene00084358 transcript:CRE04965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04965 MISIFKRCVLFSPCTLTLLSVILLINNQVFASSHPVSPDFRAMSTNSLVTPQWLIQNLNKVRVIDATYMPADAYAAEHIPGAAHYAFDSAYFKSEYIKFDLYPPEWLSTVEDLPLE >CRE04891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:103725:105767:1 gene:WBGene00084359 transcript:CRE04891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04891 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MN66] MLHKHMDINPFGIFSRRSEMFSFIGKSITGYLDFVKMSCFGHWNRWYFLVPIFGVFYSFAVLSPWYISGYLVTRVRLINGRLEKSVNQVSWFFYFLENFLFEVALSTHAAVNPIFATFYFMLILLIGVWTTVKLEEKGKKSHSSQHRHFVRKLTRVIACNSFLMSGNLILLFVMSVAYIFYIPLLILEIQSIVVTFTSDMVTLAMPYILFAFDSNIRRLLRIEKTPDIARQFNSCSGRTISNYAIN >CRE04898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:138927:142076:1 gene:WBGene00084360 transcript:CRE04898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04898 description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:E3MN79] MQAVAAEDANTSEYVYIMAETNSRGFIVDDFGGEWHYLWEGSSNSVNGLSVEESRKSMTNLLFLVDNMGMNEEVTAQYLNFSSLVIQMMKEPPFYCVEDCTDAKYSSVAKYAGQLADAFYAYAVAVNRTLTANTTANYRNGSLILENIAMTFQGVGGGDVVVDPDSARRSLIYFIGLNSSLLPQTYARLLINNQSTDFIKYYENESKDVWNGGERPSARPTCGFTGTECPANFVRDYLAITVVIFIFIIFAILAAIGGLLYAIRVRQKEIEKQDLLWQVGFAELQVIQKKLYIFPVKSRAESSQRSFASGPSTSTKLTIESRKETSRYLFYVHRNEIVAANKHELRVLLTEENKAQMRQMRILDHENLNKTLGICLNGPQLLSIWKYCSRGSLSDVISKSSMQMDNFFMFSLIRDIANGLGYIHSSFLEVHGQLTSRSCLIDNRWQIKISDYGLGFLRVHDRIDKQKMLWIAPELLREEWSERTQEGDVYSFAIVCAELLTRSSPFDIENRRETEEEVIYNLKKGGFNAPRPSLDVDESLETNPALLHLVRDCWTEKPSERPTIEQVKSQLKSMSGGNKRNLMDHVFDMLETYASTLENEVSERTKELVEEKRKSDVLLYRILPKSIADKLKSGHVIEPETFEQATIFFSDVVQFTTLASKCTPLQVVSLLNELYTVFDSIIEKHDVYKVETIGDGYLCVSGLPHRNGNEHIRHIARMAIELISSLSIFRIGHMPNERINLRIGINCGSVVAGVVGLTMPRYCLFGDSVNTASRMESNGKPGKIHVSLEANRMLIQGGFQTESRGEVIIKGKGVMETFWLLGEDTLVKTNLKNIAQNHYSSEL >CRE04962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:114038:114166:-1 gene:WBGene00084361 transcript:CRE04962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04962 MYPISPLQMVEHVRNHPKFINSRRIQRRKQKEMEAEKLKKEL >CRE05006 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:486269:486625:-1 gene:WBGene00084362 transcript:CRE05006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05006 MNLTARLATIILVSGVALFVVYRCYKYGSVFGEQQNKREEKTGEEEKTGKGAASASESSRSASNSKMSLKRSESNKLIVLENLNSLIFREGSSSSSSSSSCCSCPQLLNLSNCPVSSS >CRE04930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig52:428831:429864:1 gene:WBGene00084363 transcript:CRE04930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04930 MYKKRLVWFIQTVKLKRTLGSSKQKNQPVDTTDHQRRQASSNRFTKKCKFKKLQNLNSDQEINSKKKTFEYWRFGKLKFREIKKGSDFWKRILVTARIFL >CRE01434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig520:5563:5876:-1 gene:WBGene00084364 transcript:CRE01434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01434 MKIFMILLIALLTIFTVSAWTGNSRLINCTAVRRPCGFSNKCIHGRCYPIPGPSTGLDGSTVDGCYAHGCKKPYECVMGKCVFREI >CRE01436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig520:14174:14640:-1 gene:WBGene00084365 transcript:CRE01436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01436 MTNKDTNHENLRISEIRNKNVSLRYEIIILADLRKIIKDWMLNKREVGTTFTFFEDFQNDVYVAMTELYEELDDCRQDWKEEDNQENMIRDYPHFFTEIDKASKILVYGVRIEDGTGSCMVFKVVAMTGAVEVVDME >CRE01433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig520:17499:17985:1 gene:WBGene00084366 transcript:CRE01433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01433 MEYTVLHRRIASPLLPHEAISQLIPLELNPLNRPNIATEEEIEDAQDSSPRELPAPAVLFNLDMKYGPELFLPKDLTLLYVKIIQIQILM >CRE09883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig522:10350:11064:1 gene:WBGene00084367 transcript:CRE09883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09883 MSPTNADLNKVKKEITRVKKNRPDSQRRRQMRRRQGKEGTKKQTPPHAQAQNSNKDPANKKAHEGDGEILAIKVLIPSSAVAAIIGECGVVMNTLRKDHKCQIQISKNETYPGTLEQICIMKGSLRNILAVIESIQEKIRMKCADQVGNDAFDHANTLRIVETNFLDIRCLRT >CRE17801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5243:1252:1908:1 gene:WBGene00084368 transcript:CRE17801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17801 MANILKIDTSLRTGISGTHPHGSLSRRLTELFIDQWNEIDSSVQVKHRDLGRNPPPYIDEEWIISEFGYAESEEKAQQRLQLSNEFIEELHWADIIVLGVPMYNFGTPAHLKAYIDHIVRMNKTYSFDLSKEQPYSGLLDHQKTMIILSARGGHDFDSADAPFPNHAEPAIKTAFNFIGIEKFHEIAIEYQEFGGELLAQSIQAAEQKTIALVQDLQH >CRE25956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig526:5414:5635:-1 gene:WBGene00084369 transcript:CRE25956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25956 MEMAKFLNVFLTVEQVVAVEKLLAIGVPPINIVRLLQSVSPNQQMSPGNRENGI >CRE25954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig526:12671:13091:1 gene:WBGene00084370 transcript:CRE25954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25954 MYFKDLLSGLKHIHKLGHCLRYIKSENLFMNSGDFGLSPKLSRLRWGAVVRRHGLLIIGCVATKNPRFAADTEPGTKTTFAPENFEKKDVWSAGIVLVNMEKSTINGS >CRE30255 pep:known supercontig:C_remanei-15.0.1:Crem_Contig529:11:1210:1 gene:WBGene00084371 transcript:CRE30255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30255 GNITSIRVTNTSLHAVLDVSKYDADSLKVTVIDQSIIVEGSHGEKEDTYGTIESTFKRRFPLPTAVPPESVQSQLTADGHLTIDAKAPEPKQEGARPIQIKVINTAATEQKQ >CRE05837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:175881:178982:1 gene:WBGene00084372 transcript:CRE05837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05837 MLKILVFSILFGFSTARIYKPLASDPYPTPSPYPWYTTATTLYPWYYYTTTRDTRPTTTRRYDMWTTTRPPTTSLPPIVCPDGYTVLNGAQCVKLVQTPMTYTNALNYCRQNVTGGNLVSIRNAIDNRALTNLAKSMGQTQPVWIGLTCSLSGSPSSCYWADDSGSAYSYSNFASGNPFVDVGQKVYMLISGGSAGKWVSGDGDLMSIDFFCEAEPKNQPEPCFNSFNGYCYDIHFTPETSVFSSRSICESSCGDMVSIHSSAENNHILSIYNQYPSNYYSGDYLRIGGISDSVGKYWLDGTDWDYSNLEYFNPQIGSCMTMAVKDDIVPRGTWMSNNCAVNIGFVCKRKMGATC >CRE05824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:94812:95898:1 gene:WBGene00084373 transcript:CRE05824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05824 MSGILRNVFFILKSIEISDIFQRFSVFLKIENYDFVEMDTCVKSDLPKGLNFPVLLGEKWFEKPEFEVEIRTKGKIFAGIFDIEETEKVKNFSISGVTTVYNVRDAADHLSIELAVLVEEPKIDNRVEIEKIMVTRETQTTREPRKSVKNGETQVGWPGFKNLGSSYSNPILKKI >CRE05858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:475470:477654:1 gene:WBGene00084374 transcript:CRE05858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05858 MEKTHQEVVDLTFDNFFGTTSLLCIILSVSGVFGFFNYLATESIPDEEMEHVQFLLKATVCLAIVLAVLKFVSQQLKDFFNKTEDSKEKFPMFDRLNLIFGYSGIVSLTFIFFAHLWVLDLTSSTIAALYGLFWHIFIGLFCYFFVIKPALKYDYTYKNSSRFKIFKWSIIGTIIGYQFHRGPCFLVMYFYYIFFFYFFLIELITVIHGDAVPFLYDDRPPIIWKRKEAYVYENEEDPTKIRVVTFYQDESHNSVHFSATEIIGGDLDHCHKADDEQMAEV >CRE05914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:484622:487684:-1 gene:WBGene00084375 transcript:CRE05914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05914 MMKMLILALLTWMALTVTPVPASPLPTTALPSQIQENDDAMSTVQSASNQSQLVANEMTPTPPIPATDQNRTEDNDIQSTASLPNANPSPVSSTTSSNSRSLNSSSSSKDSSESEAAQTTLKPWAPSLPKSKDGKIKYEMIVLNDEDPEDHEESTTEATAVVQPTRYWDQYDLSEWNKRQRIKMRAHQELFHRLHHGKEAPPMDSAEMMDVIPDYGEGIHYSEDDLKNMGIKKRVE >CRE05864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:510888:514431:1 gene:WBGene00084376 transcript:CRE05864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05864 MYWKTGKRINGSTVPSQDGVFAVTFSGITQTINAYSRLSATTYYFLGAAVVCFGGDNTGHGGFKLSATTYYFLGPNAACVCCGGKNAGQGRLCLT >CRE05883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:114981:115582:-1 gene:WBGene00084377 transcript:CRE05883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05883 MDCTAPTNYLVSFWLKSLTGVQIWRNLSRVMLLMSSSMHTIFVKRFELVFFRSRPGHTLQNLAKIISDKLHRLVKIIQTEEQIGYQRNSESPEAQIYDNENYSVSDGEVDGDDDYFN >CRE05857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:472130:474940:1 gene:WBGene00084378 transcript:CRE05857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05857 MVAASMTKNIETRRLSKNCYMTASGAILGHLLNVLQAVTILAVIPIIVPILQLFQLYPTVFTYAVLLTNAIFVILELVCAEFVWKQQERELARKANDQRFQQDLMRFGDNLRHSRQRVLVKVLKIVSLVLRYDYLHNCGHQLQFHRRLLLLVTLLQSSFDPLPLVTLLQSSFDLLPLVTLLQSSSDPLPLVTLLQSSFDPLPLVTLLQSSFDPLPLVTLLQSSFDPLPLVTLLQSSFDPLPLVTLLQSSSDPLPLVTLLQSSSDPLPLVTLLQSSSDPLPLVTLLQSSSDPLPLVTLLQSSFDPLPLVTLLQSSSDPLPLVTLLQSSFDPLPLVTLLQSSFDPLPLVTLLQSSFDPLPLVTLLQSSFDPLLLLTLLELLCLYEVGIMDFDRRDGVIMGLLSLDKHSWYVQRSLWKFYSMYFNEFVSFS >CRE05819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:30248:30921:1 gene:WBGene00084379 transcript:CRE05819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-oig-1 description:CRE-OIG-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MNH9] MIRIFITLVFCVVSIIATSKTTQLEDLDFTDHSNGSPKIGRSSYFKQDFRLGYKLKLFCEASGTPRPRIVWYHRGVEVNPDHNRTFFLDSGSHG >CRE05859 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:478637:478909:1 gene:WBGene00084380 transcript:CRE05859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05859 MYFYYIIYFYFFLIELITVIHGEATLFVYVTPAELVRRHWENEKERRRLMNAWRRQEADEYENEEDEDVYPRKIRIISFQQPGIYYEEYP >CRE05915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:492772:495827:-1 gene:WBGene00084381 transcript:CRE05915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05915 MTLCSRKMSKNVRFWVKIPTINEVLQNPSGYEITPLNIISILRFLSSPIPHQIFLPISLSNEKLCVSINPHGEQRDHSFKIYISQTPIIQPSQHALIGSQRVPISHVRDSVYTCWNRKDEGIREVLAMIWEGRNELNIEVSIVTVDGAGVGEELRAAVEWIKGTGLEVMSLYLQDNLTTEHFEYAIYNIQPTIFLNSCVELPDDFRLAESFVFKTERIQFLGAEWLQLNNLNDMECKWVKIVICYWTEADIMQFIRNMMDGKYLTVTWALVVLRDDVCVNTMLEKMQLEGCPYQITTGRMESTFERCDGVVMGLMSHINNTVDTHRITMTVSVNQN >CRE05906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:360397:360975:-1 gene:WBGene00084382 transcript:CRE05906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05906 MSVFNKHSSFFKSENSLQFFARVVMRTAPDQRLYAVYCSMRLGVGFARTEGMRTMFAKSKSLLREAFARVVMRTAPDQRLYAVYCSMRLGVGFARTEGMRTMFAKSKSLLREAFARVVMRTAPDQRLYAVYCSMRLGVGFARTEGMRTMFAKSKSLLREAFARVVMRTAPDQRLYAVLFHAFRSWFCPHNSR >CRE05853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:404453:406847:1 gene:WBGene00084383 transcript:CRE05853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05853 MSYLLYALIVVVIILFIVVIVLLVRKRNQKDQHSDCKVVIPPSLFEYYVSNFLFRYTIFGDLYSSVMGTAAALKLFFLNLYTGDAAQPQTKSLHDHEPIEIPYRRADVPLALKGRLQVENFKTYNFETGYIHGNVIEYPNKLRVAITQAPHMADKKLGRKENIGMYYAAAQEQNCKLMICLTPTGGDQCAQYFPAEKGGKLKFMDGKLVVTCKKVEDKWDGAVARTLKVNFEGSKSFTMNHIQYEKWTGKVLPKKRQALKQILSEMENSAFPVFIHCTDGLHQSAVLAQVLMNKEQLSTKKELDYGLSLQELRNYRVDAITSGEEYVEAGILTFEYLFYNQITKTKNVSLPISLGDNLAKIQQDISAIDEGKTDGDVIEQDKIDAYNQKINLKIPEVAPEVKEEPKPEEPPEEKLEEKEDTAEEKRKKDAEERAKYVKNVEEMMIKLCTNNEFFEMLRKMNEDNQKKCKDDKDGQAKHDEGRKKAVKKRRRHIERPSAYGNDGKKGGTRSKDKKKVRTDPSKLPKQTSHLKTVDEAPLLDATQEDPAPEGATKVDDNMKTAGE >CRE05856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:464543:466696:1 gene:WBGene00084384 transcript:CRE05856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05856 MNQIQPPPYRKPPLSLRIQHLVSKIKENGLRDFEQFLRRNVLFLITLFSMTISITFETFNQDTFQDYIDKIYECTGNFGFLTIYAVVSGEIMEIITMSEEGLVGGRSPEAVFYPQRTKQSEEDAVHKLIFKLHLSSSCCLDTRRSSSIWTLIEDPSNQDNWTKKGRHQKNESKISRPVAPPIGRQSISTKKTEHKVRFFQKNTTISSTGQRRSLMETSVADNKIVAPTPPHHLQIRRERSTFRTTLCYQQGTSLNRTKVNVINFVEGMAQLQKDS >CRE05899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:258726:260771:-1 gene:WBGene00084385 transcript:CRE05899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05899 MNIIMPRFGIKRKTTEVRRCYSLGMKGFEIGSHVGDKSLDHSDFWPLYKVCEELSVVLFVHPWDMHMWDGRLQKYWMPWLVGMPSETAQAICSVLMGNILVMFPKLRFCFAHGGGSYPIIRGRVSHGWNVRPDLCAMDCHVAPNQLDGLLWTDSLVHDAAALELLISTVGKNHIVLGTDYPFPLGELEVGKVVEEYQPFSALDRENLLWKNAIQMLDLDENTLFDKSF >CRE05863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:507276:507565:1 gene:WBGene00084386 transcript:CRE05863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05863 MIDHVQEHHIKVLEDIVKGSQLIQQLSETKYWIAGGRWDHGTTSINVQCWIRVNQYGTRRYSSGFLESRTIDVQWSFAAI >CRE05904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:350261:351562:-1 gene:WBGene00084387 transcript:CRE05904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05904 MVDLVSEVETESTKNSRRTSPRRVKGNRYVNEISFKISEGSGDTTSI >CRE05882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:112130:112926:-1 gene:WBGene00084388 transcript:CRE05882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05882 MTAGQPENIESDEETTNMYNFMIEKAKTPESPLKIKKLVIEYRVKIHELENMDEETKIRMIFALSAPIDSGFLVKIKESDDVQTDHARRITEYKTKEGGFELREELIYRTIKRHLHSSSSNHVRSTDTNKSMDWTTANQNVKGIKNL >CRE05870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:32563:33370:-1 gene:WBGene00084389 transcript:CRE05870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05870 MKTSLLFGFILLYVLSLTVEAVKGNFSKEEQKKLLDALNQDRKEVFKTFNVSSSDLIYGTGLEKEASELECDSGAVWLQYNSVAHDFWNEVYGVKGGMAPRVRFFDLRAFEIGCSKESKCSKTIEKEYMGRIIPEKFVGKEMVMLGGCIIALKEGNDHSRPVNEIFESPAIPNASKYGDLLGLKLSSVVKNTDAIEGVTSGSGNLFNFIVFIGFSLFCI >CRE05860 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:481392:482233:1 gene:WBGene00084390 transcript:CRE05860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05860 MIIENNGFSTTALLGFFVSFEILVFLAVLKKEYRLKPISDGEYEILVYLLKVTVVLAVILAVFKLVIKLIAIVFEYFGKTVEKKETISIYDRLNLIFGYSGILFLTFIFCSHLWVLELTSSTIPFLYGFFWHILVGLFYFLFVFKSALKYVYNYEKSNSTKILKWMFYGTIIGYQFHREPYFLFFYFYYIVFFYFFLVELITVIHGDATLFVYDEYEYEEDEDGYPRKIRIISFQQPGIYYEEYP >CRE05873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:41100:41760:-1 gene:WBGene00084391 transcript:CRE05873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05873 MKTSLLFGFILFHALSVTIDAVKGGLSKDEQKKLVDEINKDRKKFNGNFKDLTYDTELEKEITEALCKKNYLIWLQWNSVHQDVFNSGGDSSPYVQFFDARVEKIGCSKEIRCSSTVGGGPKIPKELVGKEVISLGGCVLRPDLAPNKIDESLIPKGSKYGDLLGIMMSSSGRVFNLIVFLAIMVFYF >CRE05896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:211989:220196:-1 gene:WBGene00084392 transcript:CRE05896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05896 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MNM9] MLWLFILAVTSIQAQQAKNSSLSDTSYSHYYSSVRDEFVPYFSDGNHLRNRRDSNDDFSATVGQILKISRVINGIALQQGLMKQSIPSDTLITEFLNLGSVTPALIQDLKPDEIAALAEEIQSFPKNLIQSVQMDTIEQRFLMYSSMVNKIKGVEKNFAIPESNQYLTDVKKLAGITEKLTDLENSVTIMKSILEQLVALETTTEGAFEVSLKFDDVLQMTKRVQNLDQFKLLFDSKVIGGSIFETLTNVQSAVQEFEDSKAEVTQYDTNDDAIAEKVGGNIKKLNSLAGKAKSALSTLHNLNQLFIHRLHRSGNRELTLTSGFPNGFSDLQLITDDLMDPWVQSVVDGQSESLAKAMQQVKSFGEAIGSIDESFSLPPGGDLVLIGVYERAAQLAEISEKFRGLDQNTKQFKMSVLKPNMKPPNLDKFNNLMEKIKLLGDQYKATLKVIDLAAKLTGDNKDDLSNMLKIIENSTTETAPTQLKTLRESLDFKKILDLLRGAEKELNILKEVQKEVQQKQNQNSTAIGLAKTIGEEYGEVKTYMDGLGGFFDGVDQIRNLKGIDLLGDAMEAIKMFRGYNGSALSFGKIKEAIPSVQQKMVDLQTTMDAFKDGENLLVGLQDVLQDSQTIGSASRVFWSMEKVNKIVVLDQETVKIIQNKMKSVDPEDQKNLDQILLIDNQLITVYAQIDGVKKSTLPPLTSDLSSLHPVFSLAKSAPGSPMVFLEIGRSVEKLTKDITLTPEQLKSLLEMKKNLETLDTFGLDYAKQHKDIDESNKALNQMDLFFADYKSKGTVIPTTTGAPVESSTEPTSSEATLHSDASPGALGPSTEEESTGIWKWFEKNWGWLLTGFIVTLVVVALFYFCIYPCCIKKKMTSMDVHPKVDSDSDSEGEKPDKKMKTKQKISTISQISQTPSTPSVVKQEPEKKVEVPLEVDIVNEVQEVEPPVLKDQNEQNKKKDVVVLKPKEETKELEVEATQKSMRHNLGIIEPGATYFLVFGLTEMSISHWQKALADKIMEKPEMYARYLINTNETSGGEAGLLADSCITAYRQYIRYIRKQSRAGQLQLQDKTFYPKRAIHANKIVFKNGKEIVLIQGMQTENLKIPKKDNIGIFWQAVMEQKSTLILNLTTYYQNGVELCSPYFPTEKNCTTTYGEGKVKVKCLRVTKEFEGELIFYRLEVQFSDRKAFIVTLIHYLGWPFRGIPNRTGPVIRMMEQVSECLDPVFVHCSDGASTSGVIPLIYHLRLSASENNGRVQIQESLHYIRKHRYGAVETGEQCVFAVIALFRWIEQEIGKRERVEIVTRTAKEFDDVXXXXEVVLKSCVAPAGEIQDSESIWENADPLEICYNELTATFVVHNFLEVTPNDKLTFTPSNTGYYTAYITLKNLSSNLVCFKVMKKAPKVSVLPDLGYLKQGESQQIVVTLWPDKKFLSPADFHRFLVHSCVVPEAAIQNFESIWENVELSNICIHILWATFSVCDLVKVTPNDELTFTRTNSGLFIAHMTLKNTPSYSVCFVVKGTPQEHYRVGPNQGFLKKGKSQRIVVTLSGEIPSAANTHRFMVQSCVIPTRHIQDFESIWNNADPSQICYKLVNTTFVEKISHHLEPWRVKLDTFQELAAARAIADAARRELVAARREIEENRAAHSQTRHQCEICLEQFTDVAGNRAPKVLRCGHTICASCVNSLQQNNSVTFCRVVTTNLIEIYNNYIILGDNQ >CRE05875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:54484:56752:-1 gene:WBGene00084393 transcript:CRE05875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05875 MKMLLIISLTFMLITVQSAPNFNKLSWAENEKRLKECGKRRPLPPLRNDSIQFPNGTTGYINNDKNYLLGDCKNSVTPLNGIIIVELKQDVPLVPACLADNETFKNNRTSWLKYKTEDMGNIHENLTESMGNLTQLTNDSNGEYLWEPRATKPLTAQQKKALERNGISIPVIVGQNNRETVFGMGYDYSTTPPGVNKVLAVQSFQNKTTAFCKLLGICERGAHPTDPPTTPTTPTTTEPPPPPTTPTTRPPSTTYYVPAIQEDEEMSSFEIPYDRKVVKGGSAKIQIIWILLIAFVCY >CRE05862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:503644:505279:1 gene:WBGene00084394 transcript:CRE05862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05862 MEEKLLGGENHQFLAQLFHNLWKERGHVFRQNSLISIWKTYVLPFVDTHQCFFLQTEIIFNEESGSYEFIEPMVGLMALRPAVRCSDDDTI >CRE05877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:70513:76706:-1 gene:WBGene00084395 transcript:CRE05877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05877 MANFKVFILKYLLIYRLDQDRYHSTFKKKLRKLFISTCYFQQLFLKIKMLEYPITHPSSSLHHHHHYTPIPATSSGSLSDEDQRQKTTSNYRLIRRRIDEDEDDDYREGAESATSNTVLLMADTITGLLTQVYVLCSSD >CRE05818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:20734:21270:1 gene:WBGene00084396 transcript:CRE05818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05818 MLLKIVFSILALLMVTAFAAPTPITAEEEVVVGHTAIGHDQTARPTKTVFELPQTFHVCCRITNGVWKCGETCND >CRE05892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig53:197620:199050:-1 gene:WBGene00084397 transcript:CRE05892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05892 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MNM4] MDSFLEVTPNDRLTFTGPFTDVVTAHMTLKNTSSNSVCFKVKTTAPKQFWASPNTGLIIPGDSKQIKVMMQPFKRFPSDSGRHKFQIQSCVAPAEDIQDFKSIWKNVDRSKILYNILRTTFIENMNGSSEEEHKKIVDGIVTNDVDILAGILDSLDVENIVLRQELEDARRELVKARKEIEDSRAAHSQTRFECEICLQQFTDIAGNRAPKVLRCGHTICSSCVNSLQQNNSVTCPFCRVVTSNLAEIYNNFIILGDNQ >CRE13888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5301:19:420:-1 gene:WBGene00084398 transcript:CRE13888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13888 MFELSARAQEFVERTKAFIKNEIEPVEADFWNEVHDLNQGGDWTKWQWPAQLEVLKNKAKAAGLWNMFLPDPVLGAGLSVQEYAHIAELSGRSLIAPTVFNCNAPDSGNMEVLWRYGSDQQKQQWLKSLLEEKS >CRE13887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5301:560:1456:1 gene:WBGene00084399 transcript:CRE13887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13887 MSIFNHIDINLYPLFITIYEQRNISKAARILCITQSAASHALQRLRQHLQDDLFVRAGSKMLPTPFAEQIYPSVQNALFAFQNISKQKQQFDPSSVQTLRIAIHDEIEPIIFPKLIHHFQKLNLTIQFLSTKLDRKNVIADLAAQQVDFVIDLEQNYGDKINYQNLVQDHFVICSQHININSELYFASPHIGVSSRRTGLLLEDIYLNQAQFRRQIFMRCQHYSTALQVLAQYPDAMLTIPKQILAHLQVDQSINIFEHPIDLPVLNMGIYWHGVLNENSRHIYLREEISKIFAYAIL >CRE05286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig532:4:1595:-1 gene:WBGene00084400 transcript:CRE05286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05286 MAEKTAGIPQSSNTGKSVGRTVTGPLKRIIDNTIYSASRHASSTKRLLTNIENSTTAPNTGQLKKLDETLTETRKQITNLRALPDQVNSSKVHPLVLESVNKDKYLEEMDNYLAKTQYLTAISICTELIKQIEAELSTHGQPASPFDESIHDANTAVEQLGLLVIDPQTGDLIEEEGDPIEDIIDQLNEELEETNLLAESLKDASENNDGDAKDPTPLAKTEIEQQHLSVEEAASHSADSNMNDRLEDLETEMDNAMLERQGKIAEGKRLRDELATKKATIANRGVATPSPTISIEENKSTGQTLPKNDHQHPTMHETQHSSEQSQVNNMRVIATPSQESFLSEPIQSQLLQHISRLEQHVEKEKSNQSKFMNSVSANFEKIFNTLKKDNNRAKNTRLHSDDDITSSEDEDDYARKYMEGCNPRDDKSRDKNHRIPHSTPKKALAHLKNVTFDSVKSNLVTFDGSDDFQIFRNTFNDYVTQNPNISKDHKWVLLKGQLKGEAAKFLYSLDNPGRSSPPHIQRTRNQIWEE >CRE06195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig533:9955:11287:-1 gene:WBGene00084401 transcript:CRE06195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06195 MDNIRGKLPFRRKSCRSAGYSFKAVVKRLRETSIRGMSNIHESTSRPADDAMRSYTIPHRIVTSRGSKFNRFRLHHHYRWIHQCEPRKPHCLKEFEGRSRNEPMLYDQSTTGHRELMDKLKASEAKISLVPTPVTHDPRLHCSLQSNDSSRIQHPVQLHHYVEHTSPEVTSK >CRE07094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig534:8227:8721:1 gene:WBGene00084402 transcript:CRE07094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07094 MPFVRNEASAVAKDGDKLKVVTVKKHYKFPKDEEMVAETPKNNFLPKTPLKRKREDKVGNDENQDSKKMEWIRSKAKSAIIPAETAAVLKPEYLFKEPGPLIVYLCNACKKFNSARQMETIEEGMTQIRTFSLKATSYSLKTTYFTIKT >CRE07095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig534:1:1336:-1 gene:WBGene00084403 transcript:CRE07095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07095 MSYNKYVLLSVEEHEDLVKNRNPADDSVNKILEAPMENDVKLSLLQQQISSLVKKRNNEANAVKEVEKEKEVEKQPEFDENLEDDVHQSRKEKLLERLYHDPKYGLRGATNLLSRARKIDPTITRKDVNAYLHSNDGYTRHFHKTKDIVHNPWVASGPDSHHMADLAMLPTLKKYNSGFCYILVVVDVFSRFVFARPLKNKECMSVTLAYEDILEKTLRIPKRLYTDKGTEFMGKHFRNLCKELAIVHMNPKNTNVKACYAENAIMRIKNKLEKWFTVSQSYEWVELL >CRE07891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig535:7648:7863:1 gene:WBGene00084404 transcript:CRE07891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07891 MNAFIRDGVATPMEGEMLTFKRGDNALDGLWTFRLKKTLNPRMDKGHYVDGVVAPFGQISGDIQLINDYPF >CRE07892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig535:1977:2402:-1 gene:WBGene00084405 transcript:CRE07892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07892 MPSKLSGKRGKRCNCMDPLRDVRSKYTSQCKIFCTFREIRYRGGLGIRCELLQNLETIRFIIDDQLGKDEAIFHFRDGRILEYSDVIAKSPSELPSIQDGVNLLEFYNNKLKKNISDEQFVLMDKQGRYHPISEMFIAKVP >CRE22770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5358:465:788:-1 gene:WBGene00084406 transcript:CRE22770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22770 MDQPDFFKCLSDPTRLDILKIVLAQGNVCVCEITEALNLSQPKISRHLALLRNLSILLDQRKGQWVYYRLNPELPEWANAVLNIIAAQTANSVMGSSDFNQINSCCE >CRE12363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig537:12197:13135:1 gene:WBGene00084408 transcript:CRE12363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12363 MREKHLLQPLLLFVLSTTVSTQLSCHRIPTSFCCTTRIRDQCPEQCATVQCGTEFIHNCEFFANSRLTAHVNQPLSVVLMMGMRILEAREVEIHREDSKVLVSFFSYRQYRTTEKNILGSGWLTDSNYKNFSIVPEETEFAEKLKENVLKKIKYVYDQRVLYCEEIAEIPYEEYKEFGHDIFNCTFRNEAASNKSVD >CRE12360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig537:3505:3931:1 gene:WBGene00084409 transcript:CRE12360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12360 MDRGECGIFNVAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLHCHHSGVRV >CRE12361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig537:5729:6152:1 gene:WBGene00084410 transcript:CRE12361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12361 MDRGQCGIFTVAPFLECASQGKDNSECCRHRGIVQKTGPQCEQFCRPTQGLSALGVQHIVCGNAVGDMLNCHHSGVRI >CRE06801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:144717:145088:-1 gene:WBGene00084411 transcript:CRE06801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06801 MRLVEIGALPDDSDLSLWEASCETITHQISLKLARKEGGLMEIFNAVVASLPRKSDVFRYSAILSKQNLENSHQKLIEKITEIIPILVESGWKKSPNFFSDNYVSFLGFFQVCLIKLIWIRMN >CRE06766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:383707:386259:1 gene:WBGene00084412 transcript:CRE06766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06766 MMPTHRVNKRTVFEDSDSEKEVEKPPKKKSNRRNKHEDREEDSVKGGDGDSKSGKSTCETASLDFENFNEFSEKVAGTSAIWTFLTIHGPYHHFFKKFGNVLKRDQEIRKFIRPILLEIHKKQIEFRDQWLPPIIRSHGAKKPTVDDVMKIVEEMWKRVFCIEVDGVFITLYKKKSISPLRNVFYGGGQARLICNNRTDVFCDLRRLCKAMRLKISVKQTEELRNALMLYCFLSTADFLSYTMSATIDNSSGALQIQGFRAPNAISNYGLHATFKLKLINGSDAVGALTRGRQSSLWTSLPCKERDNILELVFENGFIEELPEDAKSFLIDYVLDVRNKMRKILEKNSLRHDIESELSGNSSAYVMKLDDRRIERFLVDTLDNVFNTEHMIVFRGEWRNCVFDVIKTLGKVPFGELPYCSEIYESVCQKMNSSSLELISSYFKQETPDGGQSLLAHTLLQLLRFVVKLSLNTIRHVFHFDPRTHRVTYDGELDEEVTDFGEYDQAEPRFIKSEPFIENETSSINESSIKIESSSIDESSQSLHSTSSINMTASIPKKSFDFTPVNAPVAPVPSLPAGCDIRRPPPYFVPTQFQRVNYPMPLVRLTIISDPEEANGTAPSSSDTTRPSTVANETEWNIDDKTKTLEKWVDAYKIMLEWNEELRGELERAKEKLARFS >CRE06796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:122379:122589:-1 gene:WBGene00084413 transcript:CRE06796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06796 MKTSSIFTNAQFYVGASRVKTMMGLHLIDFDPTKVQVDQEALPEYERLWASTLP >CRE06792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:103192:104892:-1 gene:WBGene00084414 transcript:CRE06792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06792 MVENRNRKRNRKRDNAFKEKIYEEKETYNPKKAAVEYKFLRSLNTGLLVAFCVMEWRSKFSKKGTKKNNDDYSWLLWLLNALVDFAEIKEIPTDEEGRVVLFLARLFVYIMAYFGVQVATFEKQLNWSESGGGVIGATIGLVLWETFCKLFEMTFTQLPSISNSTMTLQ >CRE06768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:397245:398645:1 gene:WBGene00084415 transcript:CRE06768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06768 MTSPETPPTTSTSSESELRIEDLFHQKRNEDSISKLFSAIYAPVGIVIFLFRVFLGFHTFLVACLLRKSGVFRQHALRMMCSILGIVVEKTGERDESARVLCANHVSILDHLAIDILTPCLLPSVWDIPSIIRWCFGYVDLGATRGRDHLVRGVSWH >CRE06729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:56601:56903:1 gene:WBGene00084416 transcript:CRE06729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06729 MLQLSELVLLFNIRPSTQFGEVLALEVNNLSITSSPSEHKLEYSRLENGSKPEIPKAINNISANDVIEETDEGDASNEKKILKYSLKFLIGRYLRINFLH >CRE06821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:334669:337000:-1 gene:WBGene00084417 transcript:CRE06821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06821 MISGFDSNKKLCTVCNERPSYGYSYGAFVCNACKNFFRRIHIYKSPLITPIKPCLTGGRCKIMKKCRDCRNRRCLKMGMTPTSHELPEVLDLPKIISKSKSDKEYSNTIQFLKELDRQRTKTFLTRLSMEDLSFDDLITSNSFNLIQRTTNFKPDFYDWATIDQITAIRFMKRFPFFEDLGTQEKKFFIQSSYVQFIILCNAMRTINLKSAEAIYPDNVDVFPVEMKKFYEFCPTKLNRIRCYLVHKLRELRLTPEEFLLLSAILICNPTPMIYTKPNELKIAASRDLYGRTLQKYCETQHQPPIRMLDLMSIIFVIYQTFRDFAEVWTVYHVANPDLELKKLFSDFLLLSMQNK >CRE06734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:96006:96640:1 gene:WBGene00084418 transcript:CRE06734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06734 MLHGSYSLEQFLVLQKTLQYVISSFGNPTSYARIDSPHGKVDFYHINPTVQVIVVLVDTAEVAEEYLNGNTNEALRKPITMLSTYATVGASAGNMSQKPFVENNGYLQYNFTEIIYYCLDTAAGNYAFPGVGGLVGGIVGGAFGGVIGGAVGRYYGVDDGGTIVKNLDLRRHV >CRE06733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:75706:76497:1 gene:WBGene00084419 transcript:CRE06733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06733 MSANNEQSGSSENSSCCSKKKKRKDKDGNWITDDPAGKAVHISAAGAQSAGKIGGEGLATIGQAAPVLQVAAVAYDAYQIGRNVEKDKRKGTSRNTVKKLTTTVATYGVGFGGALAGATIGTAICPGIGTIVGGIVGGVTGGVGGGFGSGKAAEAIMDKVEYDTYRAICATCHRPFLCRKYQTEDVMNCEECQEEREE >CRE06825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:380337:383166:-1 gene:WBGene00084420 transcript:CRE06825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06825 MSKFLEIPYQLATPMYLHAESLGYILEEFRADLEVVDNEDVDNGQNVKFMQKMFDKSGYKLRMYGSAQELAENVRIFSNFSQNHTYFNVEEEHYQKAPILYKSLKSNEKYILKSDLFVFLQNMVLEFTHPNRWNYVSLIAYCLKAQEDKLTECLEFVKFNEEVADDLEKKLKHELKKKPFTNVIFEQLEVELSRLNMDQMTEKFKNLAPKVNWDSNIWKSIRIHSLLTDLNEIWPIREIPRVMAATFMRYGLTLRSLQDVIDENPKMFRPSDTKTVPTVVRVFEDEDRSRYVMKAELSGEVETDTGDSQILHTMSMESVIETKDIEFILHRITRAKHRAAPIKGPNKSKSFYILAVDAFFELMKDLIFGIKIYQKVQWNSMNLESFDNFFNPEIETPYFLKTDTVKKIKESVCLSLGKDASRPAKEVRNAKSDGFTLQNLKNELKHLGLTETFTEIQDYAKDVYVDVYAVKKKYNLRTCDLFDAIEQCQLICVLNRSEKLKKFVHNQRGCERVPGLNCADCAEKDCVETTCAVS >CRE06813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:229519:231937:-1 gene:WBGene00084421 transcript:CRE06813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06813 MLSKLEKLEFADGTSNNMTSLSKGFLIFVLPESVLRKVLNEMDLVVILELSLSSKKSESIIHSCSIPVEMISFEWHQVSVFRNHYEKCDIKFDLIDCGQTENRFIGGCRFADWKAEDSGVISCYPHCRSALFNHLNTIFSVGKLFYTIDKWPVFQKPHELPRNAFSLTIPKVSNPELVEDTIGTILDYFDVEDTLDLEYNLPRLSEKVLQVKNLKLESVLNIPLADFLHHSNFKKLQITKHDYKSDEIRDGIFKWLGNGSKYLRLEYRRTDSDLYQFLRGISSEKNNILRFQKFSKRRSVSVGYNGSYLEFTLKKEKNYEKKKKTRFPLFRLPALPLREIFSAMNPAETLEISLLSQKAKLSIKSLNIRLKSIVLNTDQLKLTDETDERREIAIDDFLNRHELKRKMYRSQMIGESQFFTFVKLQEDFTKTMCCVPMNSAEHLLAFNHFLSLYKVGTVQFNISDPPDRIFTNFQLITNLDISGRLTRLPREVFNVPLINITTRGNIPFADFLRLNCSSIKLWNHRLTNGEVRSWIRHWKEHMTNIQLLSLEDNNYNLDIVLRGFTISLWQTRNEANREAYRLSCSGEIWEIQRDADGKKASVGLMGEFLELRVWKD >CRE06771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:418632:424393:1 gene:WBGene00084422 transcript:CRE06771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06771 MSIYESTFAPSDKTDAILVVEGKKLHVNKTLLSCHSDYFNSLFNGSVSEIEIQDVNFKAFATVLSLIHPNPITPRAKKADKILELAQRFLLPAATNYMVLFLHSPKVDTNYKLFLGDKYGLTDLIKHAVSLYTKPAQIFAFSRTYPNVSEATKAKLIAVTDWCLRYSAASMSIVIIHLMAAYANVRIERLFADFMTEGSPWYAQFDETMNEDKLREMERDFIQTYRNSCQFHYASKYNLRENRPPLDHPDVRKIERTRDARSHSPMINHQQVPDSTQNGRPRGYVPKDELTVERLNKERLVEEERRKRDHDRMAGRIDNSTSSEKRARIDPLSNNFVTSSSMTSSVSNGKLLPPPPIPPQLNYPPPPIGGYSTNNQQKSQMSRRPFEQMTTTSSGSPAFRASARAFDVAPMLTPPVAPKLQNLSDSDMDLEDGEVE >CRE06784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:58918:61019:-1 gene:WBGene00084423 transcript:CRE06784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06784 MLIELADFLNIQLIKTFLLIFVASASLFSHRMNRVPFLLKIFSLFKFDRLLNYGKPQFETSLDDSTRSKYANLCVEVLVAIGAAVKNAQKITAFFDSQNLKVSKIWMTRIPEIFEKITNAYDYPTCFGVAMNLFSLAPILSDRPPSPPVDEQAELRARALAYRSFVLSKLQKIRSAQNPTSSTDASGKIAVDAENLVPMATSKKYSALNSLKISEADKVAIRPTYDKYRYETPNSDEEGNGRGVYDDEYDDEFDGREFKMERLNQELETSSEEDEPIGASSGPPGLSGQPKIGNQSRGVSSGGHRGGRGPGGGGRGGTTTSGASTMTSSEGYTGGRDRQMKERHKSDHKQRGADRKKRGAY >CRE06811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig54:204078:204998:-1 gene:WBGene00084424 transcript:CRE06811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06811 MVAVSPICSKDYTAKRNNTTRLLVGYINYQHVYKLFENDKHFSHLADFEREMAYRTEMGLYYSYYKTIITAPSFLEGVGLITRDTVTEHGHEINTLNRFNLYPEVILAFLYRPFRAFAKSANWQVETCWQVNRGELRPVESCEGIGNPHYFYITGVFVVAGTVATSLFYLGVLVR >CRE13802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig541:10900:11598:-1 gene:WBGene00084425 transcript:CRE13802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13802 MIELVRVMRGRVEMKKETEESESLNTSQDVNTPEEWVPEVVIVTKPAVEPSVPIMDCEECMQEYNENIRQENAHYVNANL >CRE14370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig542:1204:1596:1 gene:WBGene00084426 transcript:CRE14370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpm-1 description:CRE-DPM-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NLX7] MTDFTPKYSIILPTYNEKENLPICIWLIEKYLKEVSYEVIIVDDASPDGTQDVARLLQKEYGENKILLKPRAGKLGLGTAYSHGLSFARGDFIILMDADLSHHPKFIPEMIALQQKYKVRNSNGFSVIFW >CRE15796 pep:known supercontig:C_remanei-15.0.1:Crem_Contig546:3685:5056:-1 gene:WBGene00084427 transcript:CRE15796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15796 MLTRSRIIGRIHEMWRNGKDVTSEDLWKWSKENLSFTKGKTVFLHVLRGLGFLYKKKDHNTVVEERLDIIKRRGIYLNEKKKWDEKNAFYGSCDETWAHDGMVRRYGWQHSNGGNYKRARMSDLEAPQARPQQGKERGKRIIVAAVLTEKGVLPGSELLLISGVNVDEQKADYHRDMDGDNFERYYKTTVPLLAAEAQKEGRPAVLIVDNAPYHCKAIKKPPTSGSSKQEIQAFLTENGLKFFKKQNRDVLYDLVKAFIDCNGGREAFTTYEFDEYAKSHGVTVLRLPQYHCFFNPVELLWSQLKQHLRKEGNTTDTAETVRTRALRFLQNFRDVSSKDLFAHTQKIEQDVREMSEERMLTLSEEHFDLLYDVDDEGRLINVTIDGEDVDNGDFFDSFCLSDDSSDDSFDDSDDDEELIIDYTEMV >CRE20613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig548:2959:3528:1 gene:WBGene00084429 transcript:CRE20613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20613 MISRFESFLDQNFPNWKFLSDDVLNQILDDFVGSIHLRIRHRRCTIYGVPQISRNPKHEYWWSNGHLVSIASYLKREWAVQSNHPSGPYVYIKKDENSLYPIDLVHHIEAETDEEIQERQQLEKKERWDALISVSKQITVLGRKHEYVKDLNFKNELSKKVEKLARRMIGITLNS >CRE20612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig548:2379:2887:1 gene:WBGene00084430 transcript:CRE20612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20612 MSRFRIPRSPFWLPPCEDDEIDFFLKDAITHNGHHLENLNPSITEKYSSFLNQPKILHVWDTITYETVPLPIIIHDYIATYTRMLNNRRSVAEVYLEDYGYTLTFPFSPVIADVLGRYHPIEVCAVRIQRPSVNSDID >CRE07591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:542098:542520:1 gene:WBGene00084431 transcript:CRE07591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07591 MSKLALLALLCLNHIPNGMTLRCYTCDGEKQVIVDGKHYCNAVFDIEHNVVRYGGSDTWPTRMDDFRFGGTKECVLRTGKTRSNPPDVFHFWHCTCFSDLCNHPLTFEQFRSKGYNLRDVEKI >CRE07647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:389960:390310:-1 gene:WBGene00084432 transcript:CRE07647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07647 MKKMLIVNDRPKFKEVKMKTMSKVEVLIIGVNGRSLDKTSTKSSFRQRFRNHILSHHRSQWAILPMEHPSETSDRQRDICPEFMRDFLEKHLDRADMLATPF >CRE07588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:519847:520266:1 gene:WBGene00084433 transcript:CRE07588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07588 MTIPGETTSIWVCICYESFCNFPFSFKEFSRRGHTLRPSFVPLLLPADEPSALLANNGPNRKERSQKIKERSQRITWSLPQRMVPTAKNGPKILGDTSCRIEWSLPQRMVPTAKNGPKILGDTSFRIEWSQPQRMVLQN >CRE07624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:208133:208596:-1 gene:WBGene00084434 transcript:CRE07624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07624 MFAAPKNPTPKPLLTKQTVKEIEGKMRCLRSLMTNKRAQPPAHMNHLIDLMCFFQAMLQCKNMPATDENKEKFKHGQKACDRMIEVAIRVIPGGETLEEAWKAVNEAAKEFKSITKI >CRE07563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:118922:119375:1 gene:WBGene00084435 transcript:CRE07563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07563 MGRISPPRPMVSIFSFLREVFPAWSRRGNMRLLNNILYELGPIRVSLTHRPYEVTSIIQFLPLPPSQLYFYCRSRQEWRSVADHFAIRWRIGVPPEANCCVYMFGRPQELFPLEVLQVEAPDVSQQMVLPHHIW >CRE07597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:22443:24651:-1 gene:WBGene00084436 transcript:CRE07597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07597 MSDKLNEYEVDKKIAEQIMKMKDDYIKELSAEVERLKMAHARIIPPATNTETTPPALPSTWTQTIPPTSPTSIPSTSSASPKLRLATIVEEEPKLHEPGHTSHLSRLRSTLPWCQNFRTMRDEREAQVAEKVYSRQGVKSQKDMKSTLTCQASAPSIPFFQIPSKQWES >CRE07581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:444275:444649:1 gene:WBGene00084437 transcript:CRE07581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07581 MVAFIGDNHMLKSRPEVSAKELLNVSSNDAPFSSVVAEIYILCSEAGVECKAKFGKIIDIIPIKKICKDVIQYQ >CRE07577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:381470:382747:1 gene:WBGene00084438 transcript:CRE07577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07577 MEHNMDVRFSMISDQPINYTVQDFGETIIERKTPGQIDDVIMKRPWIDFFASIIKTRHPEQNYDLTMVIMSDIEATGSMVFEIVEAFHTTKHDMGFDNTIVRWGESGSSENKELGIEGAITAALNSPYPKLHIVTDSPVPLDLQFGKCGLIGLKINMEKKGSLVLDHQRLSSLHRFNHMVCKSNPQENVVELSIASDHHPGAIVQFDLQRTSSINGQLLLTVTCAVLSLFIVAAFATWVARRKWLRYKLRKHYVKFVRRLPAPFDTSQELREYREVVDRNFEDNLQF >CRE07587 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:517162:518816:1 gene:WBGene00084439 transcript:CRE07587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07587 MNHPSHFNFHDFNITKFIDPKPLTNSNVVFDEGEPIRKFYSYKLVVNDGILNRVAIENLMETMKMMGMQVAQPRQVFIQRSRFQNLRQEPPRTHDMKFETAVKLPRQKTTKKNIVNKINIKLEGLNYKMGSSEMHPQKFGGGFVFVKRSSDVYGPVLQELIHQIIKQAQSKRSGELKKILIYFNGITEGQYGMINEKYSVFNIKACKAIHPTDFRPDIIIVALSKTNFVL >CRE07589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:523469:523928:1 gene:WBGene00084440 transcript:CRE07589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07589 MSRQLAFLAFLALSIAVPVSGISCHYGTTEIIDNRKFCTAFYFTDTGYAKFGGESSYPENLSTVLYRFQKEEDCKLLRGIKKKDGSGDTYNMWICVCYDPMCNFPFSYKEFSARGYTLRPSYVPRNNDNESSAEA >CRE07565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:146492:146869:1 gene:WBGene00084441 transcript:CRE07565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07565 MSFKAYHGRTGRIFIVTKSYCNQCHQTRNILLKRININIEHIKPSKFRSDFLNRVKFNDKKRNAAKTGGQPNPSCTWRTRSTSVMTMSTSSISMYKDRVRLTTSSSVEENPSCPKRRPHRHHPEQ >CRE07564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:141756:142248:1 gene:WBGene00084442 transcript:CRE07564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07564 MILMEDETEGTPFHDEVDEVLVKCTDKRSMEYEMQGKQKIYGLLDPFYELHMLIYHTCSDDDTAWKQDEILDPVPVFDTNIQRTENFVLTKAIQIESLSGHH >CRE07612 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:102430:103637:-1 gene:WBGene00084443 transcript:CRE07612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07612 MDNHAFTPINVHFILHILYQLLVNANRSREREELYRVFPRPAGRPVGGEEGLTAFRLVTPTPEPPVNFVCEAPAGTQYPTRKVPGSTAAGDSRIISLLLIALHCLFPPTGIAVVGDEGSDGPAPGSSGSGPGTSLNGEDGNDTSSSDDQPGPSRRRSEPDMRDVGTGTTEAEDPRLAQLMEENERLKKENEEKGSTSSDDQPGPSRRHSKPKTKDLYNLFFNIYFLRDKNIFVYF >CRE07626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:215547:216470:-1 gene:WBGene00084444 transcript:CRE07626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07626 MSFFNGLPTGLSATGARRRLSSIDNLESEDTSSSSSPSSNLLERLLLPLERMRIGFPEVAPRYMESDFISTTPYSIGDMHLDDPHYSYNTRRLRSLERPSAPRRGLSHSGRVQAPPLYSNSFELASRVVSVMQYLSMMKLIVSSLESSAFDAILEKIEEKMDFTPSHKKCLDTTVVKSLKSILEMSTRQSKIALYNPGSITAKDFKIALRTMSFMLQSQKMNEFRAELQEEINADCGRHKISFSKLIIAFNFAIELVIS >CRE07569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:196359:197351:1 gene:WBGene00084445 transcript:CRE07569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07569 MHRIEVKVDESDEAHAPSTFVMPSAAGAPGENNEAHVLARFAELRENDLWNSRHLKCVESERNNVSELTPITNGESPKQSHMLSQNYTKIRRPRRQEQLNEKLRGRSECVQEISARLRKAENKHLLFVLGQIDGILSFVASKSSSIAAHDNDEELDVGSNYEKDNDKTIAKAEKSVKKDDTPESIWRLRNMGSERRKAAKEAEPPKVEEIVGEDEKMEVYKTVSTTSEITTINVCREVT >CRE07596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:6935:8529:-1 gene:WBGene00084446 transcript:CRE07596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07596 MGTQRMLSVVQCLQKGDIGVSEAIILTDSEIALSWIKSTPGKKEVGVFITNRLESIRLASQEIAETGVKVRFGHIRSEDNPADLGTRGITKDEFPSSFWWTGPSFCQKDLSVWDTYQTFEIKESEEDNARINICNSIDDNADTAEIFDSISAKAHGKWHCNEQQTMTEVRKKFWIPNLRQQVKSLLSKCVACQRYNKPPFKYPDMVDLPEHQVKETSPFQHTGLDYFGPISYRKEDNTVASCWGCLFSCATTRLVHIPLIVRPDTSCFLKAFQRFVSLCGKPNPIVSDNAPHFILADKILQDIAETTTKNCNFNNEVKKFLGDAKIEWKFITPYAPWQGGMYERMMRSIKQSMFKGIGRSILSLDDIHTTFTEVAAALNSRPLTYVGQNLDSGFVLRPIDFVYPNI >CRE07650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:411554:411875:-1 gene:WBGene00084447 transcript:CRE07650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07650 MYKQYTLCRDLKNRKQYNDSLMKNDNERLYKTVHDGVKRLEELAGMKKTTNFNCSVVPSYGFYGELQHCRRSRQRRRYRRRMFVPMTHHHFS >CRE07567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:191850:192857:1 gene:WBGene00084448 transcript:CRE07567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07567 MNSSFPTRIVLFQILFSSLISSSSRFEYSSSSCTMTETRETGALTMTVTRKVGALTLEYEEDSNYYDEEEVKRREEEEKRQEEEDKRHEEEEKRRAEELKQRRQKELERRMVLKDKTEEERKIDDYFHAALVCYPGPPKDYVPPEVEEPGPDASREEHMRYAFRHQKVYQHSTDYVLPYMRDPHVNEKQTIMVMQPRTKEETERLRSIFDENLKKMRERKAKGLPLIDEKEKEEEKDEKVEDEEDEEDEEDEEDEEDEEEEDEEEEDKEEEDETEEDEEEEDKEEEDEEDEDEEEYQPPEKRSRSLPTDREPGPSYWY >CRE07590 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:535155:535634:1 gene:WBGene00084449 transcript:CRE07590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07590 MSSPRTLFAFFLALNMAVSVFGISCHRVNDWSTSTVHDRHFCTAYFEVGDGHASFGGSRAHPKDLQPTFRYDFLNEADCQLQTDIPIMTIPGETTSIWACICYESFCNFPFSFEEFSRRGHTLRPSFVPSVIPADDSPAHH >CRE07617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:152461:153168:-1 gene:WBGene00084450 transcript:CRE07617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07617 MILNPLLILLLSIIAIVPSSAEKCCPCPTESIPTKSPFPQEFYGTYKFNQTENFETARLIHYMPIDVDTMTIVFNRTQLPDVFSFFIEVKNKTIINLEEKEVGKPFDFDGKQKQFVFRSGSLFFHNLEFNLRFTELILTINPTLEVSPGDTPHYVFTRVI >CRE07625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:209915:210634:-1 gene:WBGene00084451 transcript:CRE07625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07625 MQVNIPEVAQPYRDSNFILTPPYSVIGDLHFSINVPRLPDHLPAPPAIPAADIATINGVHYAPPPPPHNFHQPNMVSIKKYLEMMKLLITSLGSPLFTGVLARIEGSLQIVPAYLMCQEWKITDSLKCILYMIRNQSYLPPFPVPGSLTAKNIKIVLHALSFMLESPDMNKLRADLQKEIDVDIGLHKINPKKLNAALHYAIESIVI >CRE07632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:271788:272449:-1 gene:WBGene00084452 transcript:CRE07632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07632 MTRLVTIFLIVSCFLLVPNVSCLTKFYFNGTFECFAPHFRHHITIFEDDWFFDDAISHIAAKSSHAPHFFEAYAEDTTDYSGNFELYMDIVHSCNTKGEQVLPYKKLRYYLGNFPENIKEYHRTLHINLTDAGEDTTEVIGTMKKFEENIVDASSEFN >CRE07584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:506783:511170:1 gene:WBGene00084453 transcript:CRE07584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07584 MTKTDYQGKAKHRTIVKDSARMSNIVIKRTTLRTVLENKKTAGPSPGSGNFRFRKRGSSKRVMSGPAHKKTSSTSMELSDTVDEENDHFDERNE >CRE07649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:406676:409125:-1 gene:WBGene00084454 transcript:CRE07649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07649 MSSSKDTRPNRDFIPLKHRPAGLVSQENDSYRSRSNPRSGNKPFYKHENIHANGVDNSPLGQVSDFKLKSSDRCVTSINERKSAFSYCSTDNSNNQNFPANYSIISMGYYQEDKRLNGNGSGHKGRDNQRGNRNSSSRSAVGVGNKTFNGYDNHHDKSLLNYHDSDEVFVDNVTLDASVVKNKSSEGPNSEARTKRVRSCSRRSCTNKSFTALDGCYNKDYIPVTHRPARKADDTFCTRPPLPRVSVRVALNQTSTGTEDGSSQANIFTKRGTRNGDFIPVKHRPAGLVSQENDSYRSRSNPRFGNKPFYKHENNHANGVDDSPLGQVSDFKLKSSDRCVTSTNELKSASCFVPMQLNLFMKKDAVGSQKSGHYKQEISGDGLVTSIVNSKKVTNDGTVECVNSRVKKLITESIQAQSQDDAKVNNPTAHKHIQILPGTEPWFWELSETKKFQQPSNNIVPYSDNGSGSEAVTDGVKTTDRDFVVRKCQEESLPKLQGQWNLVKMPANIPAYRETNGDLNKTFSGFDATRVEDLYLENELNETIRNDEEDDTLEIANGNTVNIVWSDQWTHFMKMKEFASDVFPSGGIAGVYTCWAGGVLEMPYIVVAKDFIMFYDKTEAPQRMPPHFQFELLPLVKSSDDRIVPGVYHYVSVDHEIWPSLMSLGDHLNNACHSADLIYYKDDNLNKVSTSSVFDSNKNQ >CRE07620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:165076:168080:-1 gene:WBGene00084455 transcript:CRE07620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07620 MKEDNTKPARQDFLFLCVFLYAPPLYEQEKKKPSQFSNVAVGELLVSWVCLWFWRDVVPNVRRREERMEALRRRQELNAFLRLANRNFHRRVKELHLPVSLRHYVKSLEYQVNLEPKK >CRE07585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:511946:512248:1 gene:WBGene00084456 transcript:CRE07585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07585 MTVSTEKRPYVHRSCQPTCELRHLFGTELHLIVVALKPLKRRDEVTLPLEPDCLNFETQLKCLHHEWNLIMPKDMRLARRILINTQRSFLRPNHRTQRSS >CRE07653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:434642:436666:-1 gene:WBGene00084457 transcript:CRE07653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07653 MQKVQKQVYALLLRTLEGAIATRISNVTQAVDFPEKELEDLEKEADKRVKPFVLVLLEKNKNDFEKHYLERGQLFMRQRLFSVVTKVEQRCILSSAPYDALCGGLSSCGFSGGQSSDTRLDKKEKEPKDVLATPRDVLTETTKANSYEKAISNGSAYEKKIRDNTAAKNSDVFYEETNFIVNPSSTKFRQNLC >CRE07583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:493621:494008:1 gene:WBGene00084458 transcript:CRE07583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07583 MARLVAVLLLLALLHPVLSMQCYRVDEFQKTVVDGVSVCMAQFDPQDGTSSFSGLQKSPKHFKHQADGCILTTQKSQTGEVFPLWECICSTELCNIPISWKQFKSSGYTMP >CRE07598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:26958:34100:-1 gene:WBGene00084459 transcript:CRE07598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07598 MFNEYLEEVGEVLAPLARRRMETHQEAVAVWRERFQKWQHPGLAIGEDLDLTCYFFRPADQEGPVPQMVKRAANPKSLYQNQHPVAENNNPWSAFGKEAKEKWREIWDQVRAEQDHLASKGLARYKSGKKIETEEMLENEIESEDQQNKLTHYKELYDGFFYLAEQRRILILSIFCNEVFRARSNDNLLRKLVQECKMEESKKDEADDTFWHVPRWCVQDDWTQTITNTTARSYQTRGARGGYRRRGDHRRQNSPSTRPGHDGNGGGRRSGGAPGGTSALRKKPRSRPILRGQLWRMAEPRSREKSDLNEYLFILEKGEAPPQVAKKPCNSTNFYQNQRPARRGNPNWTTKSTSSKKKWEEAWKEARRQQDLQVRSSLIVFRADMEAGRVKTERIIN >CRE07657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:473519:477296:-1 gene:WBGene00084460 transcript:CRE07657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07657 MGLDDWNIEKLVDDTARRIAEGMVEVLYNSIPLPLSLKSLNSVKNAKPKNLVAPANAGNKAAVKNQATKAQQKNAKK >CRE07637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:345686:346378:-1 gene:WBGene00084461 transcript:CRE07637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07637 MTTPFRLLSLPCAPLKRVIQCFDLRELVACSVLSKRMNVASRGAGFRYDFFVELNGNFLRVYRYMDNTFFFNLDDRINYLVVQNPRHREQARWSKLGLSTGEWLYRILHVTNYQQFQLYIGDAPTIDVNCRLLFSALSEIKSVSVITLQNETNTFVQKVIDVILPKTSTFFIQTHHMSKKELQKMLIQNLVSLEIYCDGLRFQLDDLLMTNAT >CRE07571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:214170:214634:1 gene:WBGene00084462 transcript:CRE07571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07571 MFAVPINPPPKPLMSIQFVKDVKGKIRCLKSLMTNKRAQVPEHMALLTDLICFFQTMVDCAHFPATTENLKRFKYGEQVCKMLEMVVIRVIQGESPEEAWKVVKETASNETQSSHC >CRE07638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:350917:351338:-1 gene:WBGene00084463 transcript:CRE07638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07638 MKLVFSLVFIAILGFSSLEAQSDSKLNVEKRFWLPADWYSGNLPGPASVKTFDAELDINQEDMLHEIEEQAVKEDSSENMNIAKRLYIERGGFHPEKRASVYGKGRVHPHKSIYAQLRLRGK >CRE07608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:76447:82508:-1 gene:WBGene00084464 transcript:CRE07608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07608 MVTVFPLDPSNSMNWSGGSDIPDTVPIIETTPDYQSEGELRKYRTKRMRAAGKIQIPNAGQSAVYKDAKMSEFILKSSGHTNTASEFQHSAHPSSTHSNSASTVIEVGDAVRRSSWKRSKETEKKEWIVRASNLLNEHYAQSRAGYIVSGNATRAVVSKLESRRDEPSSGVTKILSDAISASHKDLDKMKEESLGEHWEWLGRELQVYHEFMEQMKKSFIWSEP >CRE07594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:565225:567168:1 gene:WBGene00084465 transcript:CRE07594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07594 MERRRELELPQLPAINWFRFRPRFFTSIQEIHHKRVKGVSIRRTFYPRHNSGDKRRKSFKNKPPNLRAQIENRQTEKAIVKQRQISTDSSEGKRISREMSFSPSYCAGQPEIVFKNQSQEISTNYATLSPAKIRPSPKRTFSSDSVRTSNSSNSISHHSASTFTDFAATPIPANPEYFNVPKCSENQKDKTPPPELPVDYEGSEKENAAPALAANTCQFQPGKTTLSRKDGNRQTITKETRTYMIDGVQVTSTTIHVLGVKITRFRGNILAESCEIYTYPFESFRKQQLHDLRRLQRDEARQKQKLQSEGIKLVDEQARKFTLKQTILIQTSELELDAMERRQRKEKRLRVQQEKDMRAFKKRLKQEMKIFKQELFMLSKVQRKDALKQRKDQNEIEHQLKEKQNAEAMLQRMAEMHKERMASIERKFLMQKDILLRAKENNILELEDKQMREKFVLHRKTFKDEYYLLRTQMLAHSQRKIAQNHQRQMAQIEKNH >CRE07582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:445306:446865:1 gene:WBGene00084466 transcript:CRE07582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07582 MFWCNGNHQTADSSSGVTIQLAFWYFIDKFQWKRGASGSKVAFTHHRYSWYILRGVDGLRVQRLLGHHDSKAITAKHKFNKFEYKYDEIWHKNVKLSTGLATVYKDYHTEPHYEYISIYE >CRE07665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:572136:574306:-1 gene:WBGene00084467 transcript:CRE07665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07665 MMMTANAVRLMILQLLCLMNSREGMMMTANAVRLMILQLLCLMNSREGMMMTANAVRLTILQLLCLMNSREGMMMTANAVRLTFDTPTPVPNELKFSRRRHDDDRECSPSHDTPTPVPNELKRRHDDDRECSPSHDTPTPVPNELKRRHDDDRECSPSHDTPTPVPNELKRRHDDDRECSPSHDTPTPVPNELKRRHDDDRECSPSHDTPTPVPNELKRRHDDDRECSPSHDTPTPVPNKRK >CRE07562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:112209:112969:1 gene:WBGene00084468 transcript:CRE07562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07562 MLNRFVIVADYLLVTATSSSRNVSTSRIAHEVYLGKGDEELSIDRLKQQIAIAHRNPPFLVFKNSRTIQAVLGIFGKMAALCRNRCKNDGKKNFELEAARNYWDVPTTPDDSGWGHR >CRE07631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:254403:255016:-1 gene:WBGene00084469 transcript:CRE07631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07631 MAPPNSPKPNQRSHKKKSSRNRVKDGEDAKFMDGLGIRRKRNGQFGKKRPSLMELQARMKARKEAQKEKEETERVRSEAERKTLLAQLRFPRGCRLPKPEEMQKRNKIDEVLSSDDEEEEEDQNDKDYVFSTAYGKQRKRGSGIRTAKKSGTGSKDKQMADTSVFDQDDEE >CRE07599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:37237:38463:-1 gene:WBGene00084470 transcript:CRE07599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07599 MIFSLLIREDGPLQPERQEPEPQQNLQEDVIVEEGPFYMHSHQPHHQQGASQNQPREAELEEKLKQVEKQEEIKKVADGFKKEEMELKDAEIARLTRQVEMLQGELNTARSVRPPSKINQETQTEPTQATHSAQTSPPPSPPVIPRTMPSTSDPSTSSAPKRERNKTIRRKRDWLCTKVDISSRSRYDNNLCV >CRE07593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:557310:557719:1 gene:WBGene00084471 transcript:CRE07593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07593 MTKSPIHEPDNKLGESNDDSGQIPSLINKGASDNQPSKSVEETNDLKKNPQPKYIAHLADENLDMEEPTDESDQESSETNGSEVIDLSSDEEVIIDETD >CRE07613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:114133:118513:-1 gene:WBGene00084472 transcript:CRE07613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07613 MENDNITITKISNPFVDSSDEDETSEEQVVKFPSTFSTSEELSIRQSTATRNETSHDVCAKISNGHVSFAKNSSRIFPTPWNSLTDVRAKSIPNTWMTVWIELGKKKETVVAKLGPSEHKKRSIVREVEMDKLGTVDH >CRE07648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:399385:402766:-1 gene:WBGene00084473 transcript:CRE07648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07648 MSANNDKKIRPFGTGWKEQGKKQAGAYGNSALQRNESNRSLEWENVFYDATGGRRNSNRSVRNSSTSNGNKSRSQHDAANRTFGHEQEYYDAPIKFSHPSNRSFCANEAPYFHPTGNGYNKVQRARVTSNTSTNSGTCRDELSGSLGGGRTTKSSRINSATSNGNLMLHGYSGYQHEYSKASGERIHSTYVHPNGGNRTKSDSSSYPAPDVNYIKNFVSQNAVNSFGSYQKQETGNESVGEHRGRDNQRKSRNASARSAVGAGNKTFNGYELQLDKSFLEMDSMMCDEVFDDNTTLDTTSGQKKLSNRLDHQESRSRSRCDRSRSNKSFPALDGSHNKDYILVTHRPARKSDDLHCARPPLPRGDDKVDTNQSFSAIRYGSSQGNVTIRGGTRNGDFIPLKHRPAGLVSQENDSYRSRSNPRFGNKPFYKHENNHAKGVDTTLQMIYRGHGAEHKCLVTNDKSAAKSTGAGTPKCVTSYFEKEIGGHNLAESQEETPRNGERDNLNETPNSTQNGSCQGIHTATKGDTRKGDIIPLKLRKAGVIPEKNEGRQSQLKPRFGHKSFDEHKNYHAKGLDTASCVQITDSKLNNSVVCVTGEPNDTACSVMKQSYSVIMQGETVKAQMSENRERLGSENPDQMINDINAGKATGARTVKCAHLEKWIGGHIQYESQDDTGFYQQAALKHNQSFAGFDSSYSDYIGAKKDEQPSNYVAAVSDDGWEVGALSDVVTERAVVVSKAESTNGCVMEVSKDCTMEGQWIHCMKLKEFSCDILPNDENVGIYTCIAGGVMSMPYVVVVENYAMFYRTDDEEAQKMPPHFEFQLIPLVEPLDNRVVPGVYRYINSDHEIYPQVVELIDMGSAYSSRDLVDYEADCLNMASTSAASDPTNNE >CRE07640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:353610:354034:-1 gene:WBGene00084474 transcript:CRE07640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07640 MKLLFFIVLAAILGISSVSTMDEVMDPVMEKRFWFPPSWYGGKLPRTRHVGSDINRGDELFRSELVDTIRDGTVGGYEDKASDDIIMGKRLYIERGGFRPEKRVGRFHPQKSIYAQLRLRGK >CRE07605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:68027:68230:-1 gene:WBGene00084475 transcript:CRE07605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07605 MPTPRERNDKKDNRLGPRRKKTLNKKKKKIIMEEKLEKPDESTKRRKKEEISGGKQRWLLSNKRTTT >CRE07664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:567287:568085:-1 gene:WBGene00084476 transcript:CRE07664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07664 MIRNDQSSVIRTDASCNNYSRSLPGLIKQSSGSPHCAHSSRRNKTSKKTGGNRKKSNHSGSSKSSTAKQWKNLAPAKTIFSKRAKKTALMIEGIQLILDNPDEPEITSILQASYTKLSEQLTKLDNSDSEALSLVLKHPALCSNSEAWLSHATTSVHRTSKYVLAFQNSTCSVTISTISIDSHSSILYQS >CRE07660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:504114:504500:-1 gene:WBGene00084477 transcript:CRE07660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07660 MARLVAVLLLLGLLHPVLSIRCFRTDEFHKKIIDNQKACTAQFDPQDGTSSFSGLQKLPKSFTHQNDGCVLKTQRSRVGEVFDLWDCACFTDLCNAPISFKQFKHAQYSLA >CRE07601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:43714:44389:-1 gene:WBGene00084478 transcript:CRE07601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07601 MIRRGVHRRGNKQGDGKKKWRNRPPEEKSRKDKRRFCAYEVVTV >CRE07602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:47190:55134:-1 gene:WBGene00084479 transcript:CRE07602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07602 MEVGAPVSEDLATFLQRMTLIVIKPIPVELLAQLYCVQEIGLEKSVITSIKRASKFAAGALGEHVERAVKREVHVLARYDELRKNGLCSKQRVAKAVAHAIAKRHRDKEAKTEKEAKREIEENKRMCAGIAEMVDKLVDFRANEIKFGQSSTLVQTLKKLMRRLLLKLKIQDALKFLSEGYTLETTQVKTQVPFLIRGTLRGEKLMVGLDWMVTLCEKNLNGISADEISERQYKLSHYSHIWQVLNPFKEVKKQLLEKTEHLIKCSLSKRQRYLYDDFISRRSTKNNLKSANMMSVLNMLVQLRKYCGHPNLFEPRPVVAPFVVPNFKLMVLTTCEEKWSQWSTSLKWISFIRANVNGSSLTTASIRTPTSSFIRSKTVLYSAPLTITTNRIESHFNMANHGRLTTATVTCDVIIHVVDENNESRRFQTEDVVNLRVSKEEDTVPYPMAIGSLIAEDLDEGENGVVTESLHSGNTSLIAVNSTIGNWIKRKSSIQMLQYGKILKISESTFPMSIRVTVTDEAKRRNVRYSIVSRCKQDLEMEQMSGILKTRLSREHHSECLVFVIATSFVKNKPLQAITEVLVRVIDISDNDPNFDLQLYHKRGTFDHRRRGSKGFRVEFQKISSDSGGDGDGKPKRLGNTTVVIKIMDEDNNAPRFSKIFHVKIPENVAIGLKEDISFQVEEETGMVSVNDTLDYEKKSSNVRLTDGALLRQKFFPRREETQWNVVHTFGVLFCSIRFTFHFTLKRITLSTLDHEKE >CRE07610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:90977:93913:-1 gene:WBGene00084480 transcript:CRE07610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07610 MPTSFLKALSDSQAIVLGAEDPRLAQLMEENEKLKKEKEEKDKKLIRPNEEMKLPARADMLEKKWRNWRKKKEIKDDLKVAMEKKKTAQDGVKAMGKMENQEIKKLEADLKKKTEEKNEMEAKMKEKLEEQSE >CRE07604 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:67428:67900:-1 gene:WBGene00084481 transcript:CRE07604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07604 MSKLTKGKKSEALWDAGAAASNRKLVSKEQEFESTRNTRKKKKIIKEDRVGRKEGMEATTMK >CRE07586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:513554:514850:1 gene:WBGene00084482 transcript:CRE07586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07586 MWNQDGLVGLIRSRFIQISPDWYRLSQTYVSQLFSALHATEDVSHFENQFRNLRTEFERRENREKCRRKQNDGMFDTSGIECYSADRPD >CRE07570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:203336:203889:1 gene:WBGene00084483 transcript:CRE07570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07570 MASYDTDDSEINVITLPNRPANPVGDTIIEMGVIGLQRLEHQAIEQDSRQRNEDNIFPTIENAAQENDGLDTLQNTFITIFIGVACFMILALVGMMITLILEITPDSYKGLTDANHVSPTAHIRHFPQNS >CRE07621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:175906:177254:-1 gene:WBGene00084484 transcript:CRE07621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07621 MTTGECPDENIVNYKNGVLLVIRMINQRPTSMSRQFAIVFFLLATTLNSLNSDSKTGENTERVLESVPEVQMEGFLQTKFLQQRELILKQIEYKTNYTQSLIRIHDAAYNRTKELIEPEHNETKTDIFNDTVTIFKLENEKLSKIKEKIAIKLEELKNLKKKLRDIRRNFIMLNLLAKLVRELANAPFPTRPAFKTEKPQENDDVEYTSIDQLTYDEVYGVYTDWMWEQKTVSENPDNKLERFIVKNANHIVSYFWNKYPASRKDFEVLVKVIQEEYGDDNLIMESKYTASSTPHSANLASVTDTSKKYMLYAIHEQHFGR >CRE07652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:431536:433140:-1 gene:WBGene00084485 transcript:CRE07652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07652 MNSGCLEVFAGSSTILAFHGDPSGGTTKATIEWNDQNGTTL >CRE07615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig55:134409:135205:-1 gene:WBGene00084486 transcript:CRE07615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07615 MTSTRIFEIILLSVLACGLFCAAPNASTSPEPVDEVLTEESDKELPNVYYGNYKIHHSENCDMNLNLHYGTRFDLEHLDMTIAFVKSNQKHKFNVKYSKNKKNGKLDNVEFGKPIKAGETQLIFIRKNSTIFANYVEIIIKDEFLVLSFNSTLPWFVQPKLFFKRQE >CRE22177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig552:4672:5382:1 gene:WBGene00084487 transcript:CRE22177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22177 MKAALFRPLQLILILAVIGITTQYRTNIRIHGNVTCERDQRWCTRMVLMEYDSFKMLHDKVEEYGVKCTTGSFVPFEMNGKQEGDGLLDNFYELHMWIFHSCAENGNIWKQEHKLNLIPVSTTNVNLQQDYATTNKAVGVKSFFDDDENI >CRE22178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig552:8671:9388:-1 gene:WBGene00084488 transcript:CRE22178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22178 MADMRAALLRPLQLLLILAAIGITTQYRTNIRIHGNVTCERDQRWCTRMVLMEYDSFKMLHDKVEEYGVKCTTGSYVPFEMNGKQEGDGLLDNFYELHMWIFHSCAENGNIWKQEHKLNLIPVSTTNVNLQQDYATTNKAVGVKSFFLDDETI >CRE21021 pep:known supercontig:C_remanei-15.0.1:Crem_Contig554:231:5112:1 gene:WBGene00084489 transcript:CRE21021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21021 MFVCEGMCREEFKYGDRKWPYHFCCTSIGKNGPAIPDHERMFDTSGLTPLDTNWKILKPPGLDRHVTEESLDKIYHAYGIAPPRDHISRVLHEAHHKNIVLDDYAKSRYPVKAVSYEPTGDLECTGDVGGPVKVPRNNREHLLTFPVYEIDRALKDKKLNKGMKMHVVLRCIDARDQEQYQLAQTFCDKTFQNEERIARQAGNLFEEQYRPAQRAPEGAIGAEMKVPPAVRYATEGTYNGTPHPYLTDHQFRQGTPSYLFAKSPRWCRYFYKQRNQELQQGEQEGRPHSPSRYPTYRPICTLAGTMFRHGDEFYDPDADNTPGPSVGGSGRGGSPGTSSSSGPSTSGPSTSAPTPDVSKRQEEKPGASGAPEPDGGAPEGGAPEAGEPAPSIPLQNVAFTNYEGFEKFEEAGEDVLLAALRANDADCADAERTRFLILKKLNIFSKFWRLLLPDYDSVEADVDDEDFESRPFQVAHHTFDDKRYVKYLSDCFSNVPSEQKRAETGFYIPQETVDYSVPNAPFDGSEEPKIDDEVDHLTGQLVNLWSSEQEKFIDEDDAYIAERKASRVGLDYSLADDLERNQVDLDGLVRPGLSNDEDKFLKDEKLRLKTMHSRPNLYKIYHKVKNMWKEQRQRPYVKDYFDTEILQPEIEPREEHLPPVHQFNKLTVEDVGKKWIDRCDRRGFD >CRE24297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig556:5709:8616:-1 gene:WBGene00084490 transcript:CRE24297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24297 MRRKFSTFLIILIHLYLAPCVRTLNVVKEKGYGFIEDPAYDQEKCVSTPIKLGLQERHPFALSLRNSCRPPETVEMNEHGEKVRQKRSPIAVGVAIVAFVSSAVVGAFTAWNYICDWSRAAHQSAETKKLEEILNLERSLQTDVKFLESTGLVGLPLKAAILETTNLAPQHMTFIATTVTHYSLYENGSTTAHKTLDVPQSGHFLFTAPDDSFVQIGSRRFAGRHNVHNMIQLHVNETMNDLSHAQLRELKENLAKEGVALNELEEMRLHHSVGFGGGIYDTVTHFVREHWLISAVIGIPLLLAAVVLVIAILKWAYRKPKWRRQKPAPEPKPKPKRRLPSSKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKTYNSFFHFYTLFTHFFFTFSTFFSFPLFHTETKLDWGCTRSLSAP >CRE23783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig557:571:1014:-1 gene:WBGene00084491 transcript:CRE23783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23783 MDVEDIFQHLKESGCIRELVAELREQRESDRRAARRAVRKERQEIKRRIRSQKKKWEMVQEELQIARKRKMRSQRKTRKLYRRKMKKAKDRHWLKFRELKYKFVFCTRVIMRPPILCVSPGINRVRSLLLTFETSFKARNKKRKYSK >CRE15867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5585:2903:3631:1 gene:WBGene00084492 transcript:CRE15867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15867 MIDMGAELAAEQRPATICFDLWHVGALITARLGRENASRIAQAAREAGTSVLVSAEGSDRTDLVLDLWERLSLDFPETGITLQARLHRTPDDLARVMRRPGPVRVVKGAFLEPEDVAFPRDSAPMEQAYRNAVAALVRAGHPVRIATHDAALVDGLRAELGEELRSEHVEFEALQGLGTELLDRLAEDGFVTREYVVYGPEWWLYVLNRIAEHPERAILALADLGLARAPMEADREEASSGE >CRE29249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig559:2129:2638:-1 gene:WBGene00084493 transcript:CRE29249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29249 MLFDLRMEFKTIIIELNELNGSEDRILLWNQISNKLELVEDLVILSGFYLIRSFTPVFASWPQKISIMDSVVFTLESLLACTCTTITHMRSHMGNKDLDVILKNWKAGGLPNLKCLRLDSLMITDDGGHILGTTFRELNGTVIQTDDGSKKATIKIYAQSIEMSITPFK >CRE29253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig559:16129:16488:-1 gene:WBGene00084494 transcript:CRE29253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29253 MNQLTPVFTSWPQNIDITNSGWFTLEYVLACTCTRITLDWSHLENKDLEVILKNWKSGGFSNLENLYIGSQNITNNGELIMGINWRELDGMVFQTDDGSKKATFRIRNQWFDMSVNRFE >CRE29250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig559:5645:6593:-1 gene:WBGene00084495 transcript:CRE29250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29250 MPPLPLLRLPQLILREVFKSLSIIEKIKLSLCSKKMSQINISRLHSQKVILVLDILKQNIRVLSENNRDIFEIFTYPDSEIGQNSNISRGFQIFSKNHQEGCLSVTRNLLKMFQCKVTTNISCYNTDLYQPMVSMLLIRMWNSKSSLFPLKDQKMSSFFTSWQQKIGIINSAWFTLEHLLACTCTTITLGKSHLGSKDLDVMLRNWKAGGFPNLEYLLVDRDYISNNTTTIWKLNPSELRGNVIRTNDGTKKATINTSSGRIEMYVTPVE >CRE29248 pep:known supercontig:C_remanei-15.0.1:Crem_Contig559:7454:8168:1 gene:WBGene00084496 transcript:CRE29248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29248 MPPLPLLRLPGLVLCEVFKSLNIGEKIKLSLCSKRISTHINNAQFYSQKVIVDLGILNQNIKVQSENNKDTFKIFSYPDSWSNHYSNTHQFPIAGCIVPVITTPTGIKVFWKNYPEGFLSVIHYLLKMFYCKISTTIYHYNSGVYQPIISELFHLQLEFKKITISLDGSEQRNLLWNQIASNLGLVEELESYPLKILISEQLPLIGHRRLVLQVFIGLNLY >CRE08328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:124484:126533:1 gene:WBGene00084497 transcript:CRE08328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08328 MNNQQFEQLDSRTVRDVLFEKMSSPQYQPRTVNYQIRTASYQPRTARTEPAAPQGWGLTPNVPQLPEEDPNRKMTIKEYFSMSKIPQIRTQKWNTINVPAKEKEALKLVILDSISRRPDILDIKNTSVIRLEYAIVAIQVFKRTGHILSSQLLMYVFKRAKEQLRIHLRAAISTKLRSPAEVEQKLWEWPVYEFIRFYRVKLQDWETQFRQKHIKPADGQPVVFEIDEDQFEDMDDDQYQEMSFSAQEELYEGTDIVGEATHQSESPPLLMANAKSEPHQPLENPTTSGFPGEMDQVGHMNDTEDHDQNGNQGMMIPANISNLTNDQIEDMKDFEISMDHITHQANCVARRQPEKIDSIRQAMFLAVLEMEKSDATDLGEFFAGMAKLHTRK >CRE08404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:355541:356540:-1 gene:WBGene00084498 transcript:CRE08404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08404 MSSTFDVDCFDDVLSLIFCVDVRKQSFYPKTVYFSLIFNRRRLLRFFGEFLQVSTLSNERNCQKPRCDDSFQDKSSENIMEVSKEPESVSMSDTDGTAKASREGSESNNAQF >CRE08388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:232794:232955:-1 gene:WBGene00084499 transcript:CRE08388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08388 MQKYHKFAPGTFDCSEAHHFRSKVENKLVSVKLTRDYFMMFVWKNDELTSASS >CRE08326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:99593:99955:1 gene:WBGene00084500 transcript:CRE08326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08326 MGDKKEKKYIKIIVEMARNPEGDPVVAHYRITENVKMKRVKDDFAEKMNESVRLYRFLFYGERLADDATAKTLEMEDGDWIEVFETQESG >CRE08344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:348269:348550:1 gene:WBGene00084501 transcript:CRE08344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08344 MTPVTNATMLAHGDVPLSWIIFLVCFLIFAIVGCTQEICRAFLFCKNNRATDRERRYVRLMNLIAKRHQRCIVAQNQEKRPFVEDALTEESIV >CRE08349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:367386:367691:1 gene:WBGene00084502 transcript:CRE08349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08349 MISTLSIILTTLLIFILVIICVVIISCHLLRRILTRKLLTRRHRLQEQRRIIEESQQSVETQQTEEMQGNEFLNLHQFLLEAGDFAPDDFRREWLARQSNN >CRE08424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:542789:543367:-1 gene:WBGene00084503 transcript:CRE08424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08424 MVQDVSNALVYCHRRSIIHRDLKPQNILHSNKNIFKISDFGTATDERDNAYCGTLDFMAPELLCRVKQSTSVDCFALGLIVHLCNEGRLPFLLADGQECDEMKTKGKYEPPVDMILKIQEVTRNLIKRDSKDRWAAKVIISQLVRDYEHQMQDEIFKHELEDL >CRE08370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:30986:31929:-1 gene:WBGene00084504 transcript:CRE08370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08370 MNVLKIIFLLLFTIGASLARPREPTWGFAEFWGSFRCHIAETWCLSLTYVERDTLVDDVVYEMPNVCREGSRVLEHFLRTNVLHTESSVLLDNFSLGINMIHNCSVFGTTYEAYHDFEKQHFMKKCVGYKYGIDLRDLGSESSLDISSNFELPQKDTIWGKRPPVFPSDSCVWREKTTPSTPTTPTTTAPTTSTVTSLFDYLRRNCSETDMANYKNSVVIASSLDDSGLPEPPRSLVHTFRYRSINHSDSDSTMSSTTNTSKFSL >CRE08345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:351369:351658:1 gene:WBGene00084505 transcript:CRE08345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08345 MGAGPTRVFPTSQFEETERHELGFNNEEVEPENIVYPTEYETFAHLGLLLCCTIGILTSILFISFATAVELATERSSKIF >CRE08366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:16420:16707:-1 gene:WBGene00084506 transcript:CRE08366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08366 MKWSKCKIHQAQAIVLHRILARQFYAILAYGPPEELKMKTVEVLEHTVKSPIYEDWINVQAMNIYDSGKAFILLMVH >CRE08415 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:443078:444377:-1 gene:WBGene00084507 transcript:CRE08415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08415 MATWTENLMPNYICNRDLKKCYLDEQCQCSPAEDRMQCPCKDIDIREHFMQTDKRLPNQSGHLRFEVDHDSVKGKIKSASSTTLALKLTDKWKTTIVKTTEACTVTNSHAQGCYSCEKGAIAEITCHSTSEETVANELKKEPVNVLRKTVDEAQGSFRGTVTTISLFTELSMIITAHPCGAEAFGECVHDLIIIHNPFTGPKVYLSVSIESSERRLPLGTCFGILETITGETIADCLENSGQNLELNTPNVTIKLTYLDSP >CRE08393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:270517:271702:-1 gene:WBGene00084508 transcript:CRE08393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08393 MNWTTAATRCNDKSILSYFVENHCRTTLYLGLKLTRPVFDDELRQFLENARSKRLWKFKAWIRNKRNPPNSGNSR >CRE08333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:175691:178192:1 gene:WBGene00084509 transcript:CRE08333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08333 MKILCVWNLTTVSYPFTLFTRQISMKLPIASTHMTFQLVTSGYQTVNQRKGHMDNKDKQTSPIIPYRLITQS >CRE08390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:255906:257600:-1 gene:WBGene00084510 transcript:CRE08390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08390 MTKRPQQVLDQNQKEIIHLYKFIGRKCEKASTFLCLRTLCELYSQRPHKPLQSVDVLFNQSYRGLFNHANLSCLSVKCRLQVIYFLRIPIHPQFFDRVKYDFELLLDVTGRIRYGKEKNRQFVLGSQDFNRPLLEYVYQHDLLHFMAEFCQDQKQPVSDKELAENYVKNNKCVLPISELIKQINIVKPAIQKEQNYHYLTIIKILFMIQYPVNDYYEKKIRLHGHHVIENSLLLKFLSKDRRISLSIPSNPKNIVMPKDVTESLIDHSGYTKPKGKPVMQRTSKREIPTTSEISSEASGSQEEDPPIARSSLNATSVRHLSPGDSSNVPSASKTSPVELEVAQKFLPIHDSAYHSNEQQPPNCLPANPHNPVTSNSGIFPKPANGYNWEMSHVQKHHVGMATKTIQFATGSIIVTDNCLKE >CRE08399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:318463:319648:-1 gene:WBGene00084511 transcript:CRE08399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08399 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MPI7] MNVLRIIFFILSSSFGLFALIVYTMVAHIICKKSIYKTSLFFKYFLIGYPMNFFTWLNSFLSMRIPQTTSCNGFMILFLKSIHRTIFLRIFHFAHYFFAGTQYFYSFLVSVDRFCALYFLPNSDWTWVHVVLTIIFLTGSAICGFLICYGNESFYRYNLYSDYFYIDTTMVNLKIVSFLIAGSILFQVRNKIYRVLASYLSLICFVNICFNVYFYRKLKTATMNRHSALSRNLFKVTYCSIFIDGFLTVLSIINWLISDLHFFEETPLAIEIVRILTWIASDILTWSPPFLLLVFCKTIRQPVQKMIPKIIWKPCPSVTPVAVLGFTNGNQNVQHFTQSFIIQ >CRE08369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:29054:29812:-1 gene:WBGene00084512 transcript:CRE08369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08369 MATDVLPTSAFISPQFFLIEGSSKRESHVFFKEAGKVQPRMQSTQQMTKRNGRPDVITLD >CRE08356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:426922:427992:1 gene:WBGene00084513 transcript:CRE08356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08356 MSTKRLATKSAPAELVTNDDDDYPAPPANKKSSTMAYNASSWMETQARKAKFPTPAEGDIKVATLFKGFDPLIINVCSDCRTFNSKRETQELSPGMVQIQRERERERERERERERKREREKEREREREREREREREREREREREREREREREFKQRRVTEQESRRLHYWRILQY >CRE08330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:158412:159625:1 gene:WBGene00084514 transcript:CRE08330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08330 MSTYKYILILYEVLFFMIGGFLELESVGDVEYVKMDWKNVEKEEKIQQNEEKIIEKLRKESAEENGRKLAEKNTEIENLKESLKTSKEGTRSERAKNQDLNEKLNSETTARKSDWLNWNAARAKEIKKMEET >CRE08416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:444399:444788:-1 gene:WBGene00084515 transcript:CRE08416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08416 MGSCQGDKCANVTRNSLLPELQVVNHFVGNTGCSESCGGPGCGCFYVSSGCLFYRTYAFPLSPEPLEIFSCMDYQPVAKLLLTVTTHNSWKNKAETLEMLTPIGRTTSFMDIAVTVETIETPPAPALNS >CRE08405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:377227:377992:-1 gene:WBGene00084516 transcript:CRE08405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08405 MKMFMILVSFFMILGRILCSSEEENKDTNEFNGIPTNNCSEKYNAFWFNRHFNESSPTYMKAGIRCKKLMKDQPLEREKVLKLGNAFHPLLESENTWKAKCYLLNDQVTMNTALSTIKKYCGNKSGDVMAHHLNRARKCFQCDLAPQTTIFYQ >CRE08365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:8204:9571:-1 gene:WBGene00084517 transcript:CRE08365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08365 MISIFIPALFCLYASISPANCRRPWVVYCRLKCLLWNKRRGRTTEVIERKLGQTVYNVRVGSQRWTKHANQLRQLNEDFLEIEDPAEEMPSFVKADATIIPVPSSRTSIPPTTPVPLRRYTRNIKPVQPFQIQSRQKRY >CRE08337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:200679:202403:1 gene:WBGene00084518 transcript:CRE08337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08337 MDLEESQLFIDDPMDPHPAIERPDEERLRELSTISNIFVEGDDGHFAFLTLDDILPLTNAIIKTYTYFGRHITFETIYGYYLEQKERNGGVPEEIKSFHCIYESALPTPEEPFPVPSGRYNSKLFLKEIQDYFYDIRQVLPSPTLKKTEELFQVACKNATNDQKYKVADIEKLLDIAFLTMKQSTTVGFNHHRFVQASTVLFPFYNLIDRLNIRALSALKERVRYYDRSSGLRSRQTSISSVVQGLCNIIIKIQPAQKIRSDPQPHLFPNLHQVYYPVHHTGMPYGNVNVDINQNHVMANGPQHPELANGGIVPFEHPGFQNFVNPLGDLAPLMQPAVQNLSMIHPMQPANIVLHMQPPPQHPVIPNDDMVPLVQPALQEVELVNGNMVPQMHPNGNMVPQMQPVFQNQEMQWHPHINNGHMVPQLQHPGYANTIEQPKYETVPGYKTPRAVMDAVWLAGQEAVNPEEQIDDEVNAEEEMNGFAMGELRFRRAIVVERRDDASIRAEL >CRE08368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:22028:24219:-1 gene:WBGene00084519 transcript:CRE08368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08368 MTRHSDTTLKKISNCRWIVPIGVNIHIIETTTLEIITKKTKNKLIFSLKVDNKPFEEDQNAQCKDFERWEVSFKRKGKCNIVLDMDTAVLITYEIATIFYLTLKPECGAKMDSVRVVRGFETALYILEVNIAEAETPTASSSHL >CRE08367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:16910:17544:-1 gene:WBGene00084520 transcript:CRE08367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08367 MYRSDYFEILNILLFVLAVTTGPDVCPSIRYESSLLNMLQGNCVNQSSTSFYSAGSLLTNSASTTWQNNFFASRTRMNRNLQSTSTASHLPTNSVSGLLCYEKHIILEIKY >CRE08394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:274403:276789:-1 gene:WBGene00084521 transcript:CRE08394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08394 MSPYWFNMICLALKQEKLKPNFNIKRRNIPIAVISEFSALLLESTGLFDPTLRNFDETTKEVSDILVVNEEKFYVIKLATLNQWNGIDADDFQYFLELLYGEKVINEMTVEEILLIAKMYNTPVVIRQCEDFLLDSSKKTLKKKLQMSVKYNLEKQKKIK >CRE08397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:285682:287267:-1 gene:WBGene00084522 transcript:CRE08397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08397 MNNIVLFRIPQQKWFICTYEKQHGLRECGFYGTLPAMNEHFAEHTYVCFYQYAYFQNSISENATSSVVVYATTSLSDRWSSQSTKDIESVSRKRRIDVMNVENDYGLKKTDGFLRSIYIRAEEEERRIGLNKNSQFYDWTKGPKNRYGMTWEQFRVFFYESPKRMSTWMASCQLLLIPTMEECEKYVEYMIKLYYCTTGLKFSSPSPEIYELLHDLFISGCSIHS >CRE08396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:284820:285098:-1 gene:WBGene00084523 transcript:CRE08396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08396 MVTHEYPNHCGKSYCLIDTLDSIPYFDINKDFRLDEESFTMKSSSHTSIICEVLDSKKDISERMHRLLEGWRRIKMEDEETIKALEQKFEIF >CRE08358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:445689:446129:1 gene:WBGene00084524 transcript:CRE08358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08358 MEPDDDYCLANRFHATPNVDMGEDHGPMDDVRQLSYAVLFASGYNDPEYLKNRTHRDKIKRELFRAPTGVLPECAQWMEYFFEAISENDDIDFPNYEEIKDSILEVLPVTNAIEPLRLSLEADIWYLF >CRE08362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:502495:503751:1 gene:WBGene00084525 transcript:CRE08362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08362 MSSHAFSCRGWRFSCVVVHVILNDSPVNSPVLKHQLRLCCYNAMTVASDLKAMYISSYTVTSKYSINQVTHKNPVLATFPDDYFVHGS >CRE08352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:386039:386315:1 gene:WBGene00084526 transcript:CRE08352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08352 MELTTAAPVSADQIHIIFTTDDPPPRPVEMYSLTTRYGCSRAQKLGWFLFFLLCVLLVCAGIILYNIYQQSRH >CRE08406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:387243:390501:-1 gene:WBGene00084527 transcript:CRE08406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08406 MSTPSSKPTKVIGYPCLTLLLGKLSFVRRKQLCLACPALRKQENTIPYNLEIIGIGAYCSSSYYLCFKIDQLTVEVCYYKSLQTNCCVWYESEHKKTATALPNNMSMEDAAEKLFMFYMNRQGSNIKKFVIKGTRAFLPKCSPLNIGTLCFEWSHDAPTEHGAWIKTTRPVDHLKICNIFRDEALTKAKSVFVDFMNFQEEGGDFETMMNWKCETLKVRGVPFDSMVTHCWTLSKTKLPIGYSFIYDHGRPNLELVTLDWLGMQVKGRKTFWNGRKCVTITMNDSTEFNIHGDKDVDSLEIIMEYNPRGTAIDR >CRE08353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:398344:398938:1 gene:WBGene00084528 transcript:CRE08353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08353 MARATSSQLLKATGLTEETRSHSFQSAIEKRSKVNQAKTQSTFQQDNDPEIEEAISIFNTLRQLITVWIRLPMFPLSDHFTQKKITPKLETWTWRTRRLRGTIREKADRSEFGKQEWHKQHYVEEGIKGDLENKKVANNVSP >CRE08371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:32137:35118:-1 gene:WBGene00084529 transcript:CRE08371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08371 MRILAKLIFVLISITIRIHAERRVPRFATNGYIEFWGKYTCSACDVWCLSVSYMERDSLKDDTVFDMPNICGKRNQVLEHYKKLDYFWSELGLADEYAPGLDILHNCSTDGMTLEIEHNFRRQRASKECGSYEYSFHLNDDGYDSSTNFSAYFELPRYEFFKYPWGEKPSEFPTEGCSGEQEKEIEKKKTTVSTEPVTTTVPTTTTSTTTTMPIFQYLRENCSEADLASYQKSAANFSSSDNSGQPEPPRSIHHTFNHHPKNFKNSSSSYKSNLTIHIRVDNITVERDAAKVLNSISPKINYEEYPVKSITTFDGEYDHYDIFINCGIHIICNRSPQFCRIGAGIHAYMPIGKHYSMKLTLQDPEILSLIPNSVKGSISVDGNYLKVKNKKFRIVMTLGSSK >CRE08392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:265135:268521:-1 gene:WBGene00084530 transcript:CRE08392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08392 MFPSEWVLCKPAHKTEKRKKKLFVKDGHYVHRNCDVQWFEKLLKTGIVYSKGCEETEKSCIAIISNGGCLMDLDLPEWKPVVTSRGSTRNTPHYQPGGMGKNILNFSEYF >CRE08363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:517524:519511:1 gene:WBGene00084531 transcript:CRE08363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08363 MMRSPILKNAEMDQNLLILKKTVLGEITHLLTHRLPELKPLPSEVVADYKLLNFGHEKQISRKEHVPAKEATQLELLDKSVKKLTSSLANRSPISLEHIGVLCFLYRHVTMLHQAFQNFGVTYGQLQAFHGIQKEILIIIDDSVV >CRE08350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:375073:376072:1 gene:WBGene00084532 transcript:CRE08350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08350 MARLRALLAGFPEIDEETLLYRGTGLYTPHNGSFDHMNWTAMQVVEWVSFFCLDYNVLSTLLHLNFDGECLDAFPFHNPLVWETIGIHHDVGCAIALNYLVLRDHANYLEANGLLEPNAVD >CRE08384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:187971:190139:-1 gene:WBGene00084533 transcript:CRE08384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08384 MHPGPSVFRQMTPGDAALGADARSPLPTTPTGLLPSLHPHLSTVKKLTHRRPPRRPVVYRKCGGLNQPKGGIQEDLKAQKRFLIKLKPFEWIYKEMMGLLSL >CRE08322 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:40737:41129:1 gene:WBGene00084534 transcript:CRE08322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08322 MNQFNLLLVFCLLALTALPVFSYPSSPSDPSNLGIDALEVFDNDGIADESPRVKRYGGWGGRGGWGGGRGYYGGRGGGWGRGGGWGRGGGWGRGYRGWGK >CRE08359 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:449485:450661:1 gene:WBGene00084535 transcript:CRE08359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08359 MDYYIDTKFGIDWTVYEQMYPKQTRSKFINQIMEINMLQLLLKHGLEQKPPVSLSDFWRQKITEEKCDGKWTVFKNQLVEENCQCEYDGNGRILSIISDDNEIKVKGSHRKIAKKENPKSEEEDSEEESEDRIKTTGNFYERIKHLLDSCFKFNQMNYVMYFGLKQEAKDKQISNGELLALLEKLSKVVAESEDEQKDVAKMKKFHFLLMINSMASVMPGNKGTKSRIDLMFRMESTRQQSISLFQLGQYLVEILEE >CRE08382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:131480:131863:-1 gene:WBGene00084536 transcript:CRE08382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08382 MWNSVKGETPDVPGSSKKRKHEESNTKLAVPDTSAYNMLFAVNAFLDDLCKVQSALNSLAKEFEKALETKEKGKKRRRFHTTHSLTTWNMLTSF >CRE08411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:426137:426301:-1 gene:WBGene00084537 transcript:CRE08411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08411 MSNIVHDQEVEECLEDLLKKVVENIGVEEEEGEEEEKEKEEEEENDKNEEFNQQ >CRE08412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:431044:431527:-1 gene:WBGene00084538 transcript:CRE08412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08412 MCKISDFGIATDERDGTFCGSPGYIAPEVIGRQKQTSALDCFSLGIILHRCSTGRTPFELPDGHVSDEIVSKCKYVPPVSMNSSVRAVTTNLIKKSPNDRWTAVEVLYSQLVTDHQHQGQYALQKTVRDNDL >CRE08381 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:119909:120352:-1 gene:WBGene00084539 transcript:CRE08381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08381 MEQTTEQATPRLTHCQRLIRYENENNRLQRGSIVNPSVESCEKRLQSGALFILTVARIFVLILIIVTKL >CRE08343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:344475:344759:1 gene:WBGene00084540 transcript:CRE08343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08343 MSNAIISPNEIAKIGFWWLIFFKLFGYIVSFGCIYAFYQCISFCFAPAQKRARNRIYRKLTKRIPGKLRSVMVAQEAGKESSDDEEESGEERIV >CRE08351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:380651:383589:1 gene:WBGene00084541 transcript:CRE08351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08351 MNPKKQQEMYHELERWKSSPQPITYKKCRHQIVATESVGPLAQKNQLAMKCIRGPTPKSWII >CRE08342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:325152:327281:1 gene:WBGene00084542 transcript:CRE08342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08342 MSSKTVVVVHINEYVTKKDFVDALSKYGDIETIIMHVDTHIKSDTKTIYAVVTFQTEDAAFIATRDQKRPILRGFPVRVFTATLLENLGKSQNKSYWCHSYELTDNTPEKRVSSLVCSVTTGTERVLELMDSHSKDNKLEWLDFISEIVYKGNLTLLEKSMKIFFESVDQNGETDRDVLVSAWLPLETSLIKHRHFEAFEDVVQMYIKYGDLGHPVKTMDANKVMSWLYSEKCDLDIPQKTAVMLLVLKFKMDWNPTWHTNRNRDKIMNQDIVIHLYNTQLSWEKAHLRAIQLCFSDMQWKVANGALHLPARTVMDTVLRTALQKFSLEECQVENKARSQARSQARSQTRSQAQSETQSQAQSQAQSQAQSQAQSQAQSQAQSQAQSQAQSQAQSQAQNQEKNHERNQKQNQSQKKKKKKNKKNRK >CRE08422 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:526003:531388:-1 gene:WBGene00084543 transcript:CRE08422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08422 MTYTTIPSYQRTDIYIVGEEPVVYKIDKNESTLRQTNPLAGITQWKCTDFHKLEKVFLLHRKRSGVFTKSILLYDVKMDSVVRELKTDLVFSSSKFREESYEVMTTGMNGDWSMYDLRESSKKAVYSFSLRGPILVLTDVKSMANHKSNYFHKYGVQSLNQTMFDILPGNVLPTGCATGIMMQSAQFDVDNFKNHSEKLREAIGELLGTGSFGSVFKCKSKKSDKEFALKCQGYREMTKCVANALSYCHKRCIIHRDLKPQNILHNGEGMYKYRTLEVRPTNGEGFFNVIFEILLILRHGTFCGTLGNLAPKVVTRSKQTTVLDCYSLGIVIHQCSQGKLSFQLPTGHVSDYMVSKCKYNLPVTMNLSIRGLTQMLIRKSAKERWTVNQLITDYRHQTQDDVYKRERQLI >CRE08426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:552507:553066:-1 gene:WBGene00084544 transcript:CRE08426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08426 MSGVHPDYGTPTKPKMNCQREKGPCSEDGKEVNHVPMKKKKKKIPKKKVVTAEGETPGAKRWLYEKAAESIIPTEPKANVMPERLFKGLDPLIVHMCTPCKKFNSTRETSKIEDGMIQIPLALCSVCRSHLISQKNMKFFQHDCPSLKKELNL >CRE08400 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:332333:332650:-1 gene:WBGene00084545 transcript:CRE08400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08400 MTIFIEKRLGNADTFQDPQRVFPAEAADDDFSELPAGRVRTYLSRKAKELPINYVHHADSQETAGTLPPGMLSTISLKTNLSTTERLNDEPHMVFPAKLGSSSPY >CRE08423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:539148:539438:-1 gene:WBGene00084546 transcript:CRE08423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08423 MIGYTDRGVPDTKYVVTKELTLEDAAWRTILPPQSCIDTNSVSVLTSKPKILNFFQPIGFIFKEKKVLCMKCRRKFEYPTTEKKMINDCHARKAWS >CRE08420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:512197:512472:-1 gene:WBGene00084547 transcript:CRE08420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08420 MEPVPRQIGTSDRKQEKRNSTADAHSVSVAFAAAAASATFNDATTSTSSASGCFCQYGTCCPDSATSWTELTQPIT >CRE08347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:362297:362575:1 gene:WBGene00084548 transcript:CRE08347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08347 MPSATTMFLVTVAIAFLGAIALHVLRRIVGRILHERRQRLEIRRNNNEVQAEDGNAGDENEVINLHQFLLEASDFAQDDIMRAWLARRGDHY >CRE08402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:342122:343439:-1 gene:WBGene00084549 transcript:CRE08402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08402 MNSLLVLVITVISTLLVPIGILVQFFILISIFKSGKCSMYFLLMVCVITNSISFVLSVLAIRKDVIIECETVTWLSCDNHPIKAVHFQLVVFSGYMKVLSSWNRNLSLFLGNTKNRARLCFFALLVIISAIFTIWSTTELHDFAIYYCHTLNCLDLVDRGRQVVLNHFFITNNIIIITNSVVVFCRRKIILLMNTVFFQNFNANNSQESTHLFIPETDHTQDKPNISIVVFECIVALTSILIAFLNVDHVWLKENLSENWNEILQLLVLITCDILTVVYPLRVLLDDKMRTIILENLDCEMLKSFIIWLFPRKGSEQDKKLLTQIPIERHLPLNNPIFVFDD >CRE08339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:251715:254935:1 gene:WBGene00084550 transcript:CRE08339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08339 MNVDDDEFMDDDFDDVLDDEFEGLFEIEQPVLIVEQEGLGLEADDMTLTSPEIVHFSNTEQPSEDGGAEKPLNKIVREAILLVTAATLQAGAVATLADTFLCFDEVTHASTKRKLKSIGKRIKAHDSIWLFMNALFEIPSILLKHGGGEIFGKDLLAEAYYLGSSAFSKGEKAREKCNNLSAETFTRLERMLEQGQVVVEDRDRRCVFAATYADSFLKFYEDIKNLSIKTLDSIKMALSTSLMETNGKEEEIATLKQNVEDLQQKVKNVKRVEDIMKEITKLKAQDKTTANGATITESIANREAAGKRLTKIAKMVKKLDADSEVRRWLDDVVCMDRAGHNCTSNHRGHQGSHLLDLALEDLSRFKNNSKALFQYVKTRSNRVNGSYVANRQSTHLSSLEIAVQRAIAQREASNFNVRPAAPPPVSDIGVATSENVPEPSEEIVATQEETPATSSAVKPDCPDKQMLMESSSPKKKVFASRKVKKFEQATKTPVSELTKIEEDVTESTDPSTSEQSQHVSQGSSSKIDKDSEDAVEPVVKIVFNQ >CRE08355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:414168:415295:1 gene:WBGene00084551 transcript:CRE08355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08355 MSHPYGNKKQIKKKNVPSSGAIRSNGESLGAGSTGRQEIKIEDDVSQSWMDSTIAKTKTIKIEEGISQNSFPAKFVGTREIKMEVGSQQNSIKSADPRNFSAVCHPQLLYNALARLQDPRPSAQNFWFLPQTPSDNRQAQVGQLSTGFNIQNTWLTPSGASTRYPDQGTNSALGAPSSSLTGVFEIPKGNYVGFAEWVKRELFPTEGIKLEDHDPNTPPPPMTPFVAEVYSCVELIAEAFAKLPNYNASGQRLVIEHLLKKIKELSIAAKPYLKDFFVVKLRKSLEEQRLACWIGTDWVLGNFPTTGIVDYGEE >CRE08320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:14315:14734:1 gene:WBGene00084552 transcript:CRE08320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08320 MKRVTAKRLFFNYRKSKTHDIKVTKEEWKRIESEEGQEFFDWCERDRLLFEEFKHQLERGFICTRETDELGAGEEEEDEEEEEREEKKTRKRGRPRKDENDDNEGGPDGKKTKKDEAGPSSQ >CRE08379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:108621:108851:-1 gene:WBGene00084553 transcript:CRE08379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08379 MKRVKDDFAEKMNESVRLYRFLYYGERVADEDTAKTLEIEELGLDRGVRNTRKWIRPGIN >CRE08323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:49934:52689:1 gene:WBGene00084554 transcript:CRE08323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08323 MSSSVFLLSLCSEKMERVVQCLNMKPTNLVYLFLDNGVGVVARYDNTHNQIDHNVANVEFVPAIPSDEIKPMKLGGDKISCRCMKETFEGEFFHSLHYFAPEEITVLESLQRHMRDLFRFDVCVQLELYSLHYLNMSRIIKDVTDTSFDVEELDTEQLENYLTIHPGQDSLHLTAKLTGPQLKSDSKLCSIKGLVVQGTHDGDELDPLFLFETTQQNPRLHFSEIINNFGGEYLVFFKVIYDVNDWAQLIWRWKRKEAYHNLKCVATTSLTGVSIPFEHTMQQFDFVKWDGQRRPRIAKLDPKIIYFKLDSEVMDCSEWMDIQQDGGGKWASITWSQKHICFIVWD >CRE08383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:135016:135632:-1 gene:WBGene00084555 transcript:CRE08383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08383 MDLENPPPLAVSAPVLPSSTSPAPNGNPVILIVPLTPSTVPDAPMVPENPPTPPASATVLPSFTSSAQNDASMNHENPPHTTRAPLAHRRPEPSSDDHHDSEDSSDGLPVAHPNLMGNFQIHGSYHVQNMQMPPANYGADSSGYRDHPIIMDNQTNQDLQELLKEKKWRL >CRE08418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:478319:482075:-1 gene:WBGene00084556 transcript:CRE08418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08418 MFQPEPMASYDPSHVSTQHRNVWFSNRRAKRNKEKNLRNKSLFGTMDSSLSNKELTSTEGSVTGSNI >CRE08325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:75649:76560:1 gene:WBGene00084557 transcript:CRE08325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08325 MWRTARVCWKLICSKDYEEHPTYPAARVFIHFSCNIVAIGNPSDLQTSGIPVKANPEDNTRSTKLTVPDTSAYNILFAVNAFLDDLCKVQSALNSLAKEFEKTLEKKEMEQKEKKVPYNTFFDHLELVYKLGVELEMDSKDNGKMLLQILNY >CRE08419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:504338:506767:-1 gene:WBGene00084558 transcript:CRE08419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08419 MVPYNYAAIQAVQTLQAQEAKMKRQCEEDKMHYRQEILKLKPKIAGVQITAYIKKQETLKIVEVQERADEYNKKFVEDIKKDKVLLGNYKKSLEDHSEKLQEFVKKEYQELDEKVAETANQEALKSELKELERLLKLRKMLRESESTLRDPAPDSNAHDAKQIRQTMNKFGFGVKRTQDLEEFRKAIAAQVKQSENKTATSFEQAVEICGRLGHSEGADVGYG >CRE08408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:406280:407379:-1 gene:WBGene00084559 transcript:CRE08408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08408 MIVKAFLMFLIGMIFIQLVSFCECQHQRPLPTPKFFEKVAPVTNQTDSTILPERFVGRFKIHHIENFENGNHVKSWINFIRTNKTENSFTYTLIFNEIGYAFNNAELGKSIEVHGNQTVKTLATLPPFEKFTFYYEKTEQ >CRE08319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:9975:11413:1 gene:WBGene00084560 transcript:CRE08319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08319 MEKNTESAKAKIEEDRKKREAVEKELEAMKKKENRVENKRGREGEAGHEYEKDNRRRKEENREKMKEEKKTREAVEQELEEIKKKENEEMQKLWAELEKEREKNRMLQAQLDEVPRNEDVPSETKEMEVQASIEKLD >CRE08401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:338636:338887:-1 gene:WBGene00084561 transcript:CRE08401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08401 MLYDQSTTGYCELMDKLMAPEAKASLVPTPVTHDPRLHCSFHSNDSSRIQTPGTAPPLRRAHFTRSNSNPRLNRCPLYQWLIN >CRE08417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:455679:471090:-1 gene:WBGene00084562 transcript:CRE08417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08417 MNAKHGTVDNNVFLVDNSEKDEKLMMQEIDSLMGKLEKAKKKKKKCKLAFVDSSSRKKVMGNAQFWHLPISLNHCDQRDSWKKKLWEMPSFEKKLAFVDSSFRKKVMGNAQFWHLPISLNHCDQRDSWSETSITAIREIPLSNDLKFCTNTKQNTLVQMQSETSITAIREIPLSNDLKFCTNTKQNTLVQMQKKKKKSYGKCPVLSETSITAIREIPLSNDLKFCTNTKQNTLVQMQKKKLWEMPSFEKKSETSITAIREIPLSNDLKFCTNTKQNTLVQMQSETSITAIREIPLSNDLKFCTNTKQNTLVQMQSETSITAIREIPLSNDLKFCTNTKQNTLVQMQSETSITAIREIPLSNDLKFCTNTKQNTLVQMQYPKFKPSIQKINQVSKI >CRE08335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:185097:186262:1 gene:WBGene00084563 transcript:CRE08335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08335 MLEIKYRKEKRIELDETIQTIYDESLSHYKMDAADESEPENGGAEGSHQQQEEKPMVVVLNGQYRVNKENTRIDPIYWKEDSKEIRRGTWFTPDYQPLEMPLSDSIEKNHLQCFLNQMIPEGTTVFSKSETSINREVEKVWMMPRSLQCELGDVGNVAKQWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNTDRRPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPHGITEVKGNEYSRGNRKT >CRE08360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig56:485841:486894:1 gene:WBGene00084564 transcript:CRE08360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08360 MANKNKKKTEQKKEKSEQWSPVTMKTIKWSITRELTRTRTLVSLANRALALERNTNNITVLDGYLAQLRNQLVLIEDLPENAIDLLKTNNKLCAQNVFEANRAQISDHLGDRGHEGLVNQMITLIGDVTTTVNNFRSGRVSAIPGTPPPPPSDVLAPGNAHTTDGIAPRRAHPEQHIPERSVDTCQLNKLSFSEYCFEDMEKDLNKYCILAYSLRSKGCRLDDSFFINSFIGKLPQQVMGTVFKKHHQKDRTFQELVGIAYKTISEKRALDQALKMKRGRITTNEVHDDRYPSTSQSRNSSLLPPDSNKGKMTVGHNKALVLSSDSNSSSD >CRE01438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig560:4257:5316:1 gene:WBGene00084565 transcript:CRE01438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01438 MMIPMTSGCLQTVPGGTPNPTDCCPVVNLRDTPSDLPDGIRSASYNIGNCRTVATITCSTSDNIDLQAGITGNGEAFLDYALNTVSVQLQCSGGAWTFTRDGSTITLQTVECVLTNPPTSGG >CRE03606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5610:365:1351:-1 gene:WBGene00084566 transcript:CRE03606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03606 MQLNKFTDYALRILMYIAPPKDVPYTIAEIAEDLHVSENHLVKIVHFMGKQGWLITTRGKGGGLRLNPDILEFRLGHIVRILQRDAQIVECNTPPCVLRPRCGLKGILDQAVEQFYQSLDHYTVADVLNAPPQHPKIVNSPIPNLNVAPKQKISYEKKADPAISEYSTSSLNWLRKAEFLMSAPKLNLCVEDTGYEVAFAGRSNAGKSSAINALTNQKQLARASKRPGRTQMINFFSLGNPDQRLVDLPGYGYAAVPEAMKIVWQKELEII >CRE09887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig562:18752:19196:1 gene:WBGene00084567 transcript:CRE09887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09887 MTQTELSNSFHENFHLFFSAFLVSSTGFILCYYSESIPDIIEYDYITFFAVLLVCSLPFAVLDPTIWYCIFMVSFAHLLFFKGFYSSTLVTVFVMMCGLFTRIVNNVDEDGTKLFDTV >CRE17722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5623:264:1310:1 gene:WBGene00084568 transcript:CRE17722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17722 MRSGAGSSCGTSRPRPSAETPRPPKLRRPGCSSSPRCPGSPPSSRSAGHCDGVRRPPAGRGSPHSHPRTGSPHTPRSTIERVRDGHRMPRESVSHRVTEHRQPKTKPPRNRHEIAPEHCSVPLVRMSLPVTVTVRRETVDTRVDEVLAWLDQGLLLARGFDGYLGGGVLRDASRENVLYTVYAFTDRRSLERWNHSEQWHGWTRDGAPLARVADVQRRTGLEGWFAETQAEPGVGRRRDRARSTTPSSAPPRWKQAVAIWCGMLPLNIGVTAIATQLPWWGQLPVAVRSLLLVSSLVPLMTFLVMPAVTSVLRPWLKRDPSAMRSERILQDALDSLSSGGSTRGRERG >CRE23867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5636:3163:3816:-1 gene:WBGene00084569 transcript:CRE23867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23867 MSYQKLSRDQIAERVAQDIPDGAYVNLGIGLPTKISKYLPTDKDVFLHSENGLLAFGPPPAKGEEDPELINAGKEYVTMLTGGSFFHHGDSFAMMRGGHLDIAVLGAFQVAANGDLANWHTGAPDAIPAVGGAMDLAVGAKKVFITTDHVTKQGEPKIVEELSYPVTGKHCVDRIYTDLCVIDVTAEGLKVLEKVAGLSFEELQALTGAQLIDATQG >CRE27925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig564:6768:7183:1 gene:WBGene00084570 transcript:CRE27925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27925 MASHQLVKLFVCFALSIPSWTLTCHFSTMCVNDYDVIDNQNVCMAYIHVPSGGFYFGGLIHDVKKISPPYNLTSGQDCQIKIVDNDQFYECFCFTPLCNHPYSVNECVSRGYTLRPIVSM >CRE27926 pep:known supercontig:C_remanei-15.0.1:Crem_Contig564:8434:9113:1 gene:WBGene00084571 transcript:CRE27926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27926 MKKLILFLVITSTFFSIAAGKPLQFMTSGQYEKLEFVLMDKSPSPNTSIEADPYFAHAISRNANFLDSKTTIPIKTSAIQPSDVELMDENNVVTGALLFLIVLLIFFAFALIESIWKSVRRQNTPEAVVHQKISTEENEDE >CRE29417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5649:9:328:-1 gene:WBGene00084572 transcript:CRE29417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29417 MKLLAVNCNTSRAVTREIEVAAQAIAAPGTVVVGATPSWGVASAEGYYESFISAAAVLDLLATWPERFDAVVMAGFGEHGREGARQLLDVPVVDITEAAAITAALI >CRE29416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5649:962:1294:1 gene:WBGene00084573 transcript:CRE29416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29416 MPDTDGTWRTTRMLQTDDLAYDMHCNVVTFEPGASIPFAETHIMEHGLLMLEGKAVYHMNGDWVEVEAGDYLSLRAFCPQACYAGGPGQFRYLLYKDVNRQVRLTPGGGR >CRE26854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig565:4448:5874:1 gene:WBGene00084574 transcript:CRE26854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26854 MENGDVLSSLVSKYYESDMFKIMLKSTIKECMKPLNEKVKALESRLRDLEDKLKVQKEQEEKMPTAKSELEISLAIHLMTGIDTSESFIISPVSIILAIHPFFKSASPQLRLKWAKLLLEGGTPDDMTEYFVDLLSVLRASVLRYEIRRRDGKANDPTIQHLYRNEGYHALEESVFKDFLSTKLKFIELESDEMIVNSINYNPIFDEMIHTFFHSKRTFYSTESSPQTMGFMEWGAHQHHFSEDDTFRMVGIQMRKHISLHIFLPKIRFGLRNALKTQKNGEKLYKLISTAEKKYINIALPRFNINAETDLASFMKSIGIEKELYDIISEKTYRNIPSFVPKSQFELTYQKYNMEEMLYNDDYVDDRDYTGVVDDGAPYCVYFHGTKLDFLADHPFLFMLVKDSHVVYFGCYQ >CRE26858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig565:28453:31027:-1 gene:WBGene00084575 transcript:CRE26858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26858 MPGNTIKVFGDKLESQALQKGCEEDIEKHCRRCIGKPTMRTLNKLRKYGIYVLFFMLLFAMVFQFSADLRQPAYPVFKMAETNGTNRIIIVVTPTYKRMTRIPDMLRMANTLSHVKDLHWIVVEDGNRTIPAVEEILKRTNLPYTYMAHKTAVGYPRRGWYQRTMALKFIRSNTSQILGKEHEEGVVYFGDDDNSYDIRLFTEYIRNVKTLGIWAVGLVGGTVVEAPKVIDGKVNAFT >CRE26853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig565:2830:4267:1 gene:WBGene00084576 transcript:CRE26853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26853 MDAEDVLSSSVRKYFGSESFKIMLTGTVKECMETVTKRMNRLELSLRNLEDQLKLQEELKLAAENPTPIIELEMNLGLNLLKQSDTSNPFVVSPVSIISGVHPFFKCATPEVRLRMAKLILEGGTVDAMTEYFIDLLSVLRATVHFSEIVNLEGPSDPTIQYLYRGGYHGLTKNVFDDFLSMKLKFLEIESSSENCESTLLNTLSCSALFNHWFHRFGTFEGKFHDSPDSQRDVEYMLWPSHRHFFSENDEFQMVQINLRQCVTMNVFVPKTRFGLTSILKNLRDCEQFSGLIKKTKLVYVDISVPKLKINTEVKLESLMTSFGADKNLYKEVTKTVMESDKEITSLIHRSQFELNEKEDDETEYDYGVLECYRPLNPIFFEQKIEVKADHPFLFMYLKDNHVLFFGCYQ >CRE03608 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5650:19:549:-1 gene:WBGene00084577 transcript:CRE03608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03608 MKDEFQTLHPSVQRWVYKQGWPDLREIQKRALNPILSGDRDVLISASTAAGKTEAFFLPACSKVAYIEAGFGILYISPLKALINDQFRRLESLGEELKIPITPWHGDSSQSRKNSAKKNPLGILLITPESLESLLIRESGWLKTAFSNIKYIAIDEFHAFIGTDRGQHLLSLLNRI >CRE25962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig566:7693:9400:-1 gene:WBGene00084578 transcript:CRE25962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25962 MYYCEEYAWFKIKNKSLLKFLCFITERFTRLVNRLHNSCIHISVFCIIVNLFHVTVLSSKSLRTSPIYIILMAIAIDIISSLYDIHTGIVQFYKVINVCYSKNSDYYIILINVIMESIRNYTRRCSTWLSFSIALIRTLVIKYPMDPRFGILSKPRAAFYVILATLILCAPINMLDVYKHHIGYTEGYKCTQHPSSNILWYGSGVSYLFQKNNRKIQRIYQAVDATISKMIPCILFPIVTAMLVREIRKANTKRLKMKSSTPNNSKNTSKLVLFLTLPFFIAELPLGIIFAISPFSIFHGVQGAAGFIFLREAFENFFSFILTASTATHMIICALMSSQYRVVAYSLIRCGYVLEKKKDDKILERTLTVCNL >CRE25960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig566:11987:12362:1 gene:WBGene00084579 transcript:CRE25960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25960 MKSPNNSKNTSKLVLFLTLPFFIAELPLGIAFAISPFSIFHDVRGVAGIIFLREDSEKFFSFILTATTATHMIICVLMSSQYREVAYSVIRCGYVLEVGLVKKSGIN >CRE19646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5668:97:1031:1 gene:WBGene00084580 transcript:CRE19646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19646 MREYKIVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDGQQCMLEILDTAGTEQFTAMRDLYMKNGQGFVLVYSITAQSTFNDLMDLRDQILRVKDTDEVPMILVGNKCDLEDERVVGKDQGQNLARQFGSAFLETSAKAKINVSEVSMIGVINNENRNRNGIELLESSKLE >CRE31570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig567:510:929:1 gene:WBGene00084581 transcript:CRE31570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31570 YSIQDLQLSPNGEFLISASRDKTAALLDVNDLKKLKQYKSERPVNSACIAPNRDHICLGGGEDAMQVTQTSVSAGHFEAKIYHMVFEEEFARFKGHFGPINTMAWHPSGTIIATGGEDGMGLGIERKISFFLGFWHENR >CRE31572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig567:11947:12261:1 gene:WBGene00084582 transcript:CRE31572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31572 MAQPYLPAEKFLSRKQETILLDDDVIYWKRMQQLTVFQEPSVVSSVRISPKKPFHVATTSSVRLTLYDTTVCEPLNLFSRFKKGVCSIDFRHDGRLLGEHLASL >CRE30548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig568:1070:1370:-1 gene:WBGene00084583 transcript:CRE30548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30548 MAIRNGNTQLLSSTTCVSLNSVCAGLKITIALDSQHIRKGNRQFNRSCSIGNSTAGSSTNRRLYRGSACRLQQMLWLTRNSSV >CRE30549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig568:1450:5736:-1 gene:WBGene00084584 transcript:CRE30549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30549 MSDRHFRVLQKMYSENVRQTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRVLRNMYSENVRQTFSNTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRILQKMCSENVRQTFSSTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRIHQKMYSENVRQTFSSTSKNVFRKCPTDIFEYFGTCIQKMSEYFGTCIQKMSDRHFRVLRNMYSENVRQTFSSTSEHVFRKCPTDIFEYFGTCIQKMSDRHFRILQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRVLRNMYSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRILQKMCSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSEYFGTCIQKMSDRHFRVLRNMYSENVRQTFSSTSEHVFRKCPTDIFEYFGTCIQKMSDRHFRILQKMYSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRILRNMYSENVRQTFSNTSKNVFRKCPTDIFEYFKKCIQKMSDRHFRVLRNMYSENVRQTFSSTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRVLQKCIQKMSEDIFETSEHVFRNVRXXXXFRLLQNMCSENVRQTFRILQKMCSENVRQTFSSTSEHVVRKCPTDIFEYFKKCVQKMSDRHFRILQKMCSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRILRNMYSENVRVLRNMYSENVRQTFSSTSEHVFRKCPTDIFEYFGTCIQKMSDRHFRILRNMYSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRVLRNMYSENVRQTFSNTSEHVFRKCPTDIFEYFKKCIQKMSDRHFRILQKMCSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRILQKMCSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRILQKMCSENVRQTFSNTSEHVFRKCPTTFSILRNMYSENVRQTFSSTSEHVFRKCPTDIFEYFGTCIQKMSDRHFRILRNMCSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRVLRNMYSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRVLRKMCSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRILQKMCSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRILQKMCSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRVLRNMYSENVRQTFSNTSKNVFRKCPTDIFEYFGTCIQKMSDRHFRILQKMCSENVRQTFSNTSKNVFRKCPTDIFEYFKKCVQKMSDRHFRILQKMCSENVRQTFSSTSEHVSWK >CRE30258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig569:5403:6173:-1 gene:WBGene00084586 transcript:CRE30258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30258 MSYITHENIQEWGETISDIQKTYEEELTTSINTTLRLNPAAKLAETTPINVYIAEYGVFLTSELEKFQKLHKKYTEQYNAVLSKLHETPIGNLLAETAKTTIEQAHSKNADIRKKVTELENKMKVRHIAANVVAGEENVTPIGKINFEIAVKKQPNLIDLNTDFNKTSSNNHQKVRSFNTETSSSALSFRHVKLPNFDGNISQWSAFYMIFKPTVIDNDEYDDVMKHNILRNHLSYIFHSINLLYLNHLLPEYNSV >CRE12031 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:189939:190584:1 gene:WBGene00084587 transcript:CRE12031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12031 MDCKICLRRYNPDSVRLTPRLLTTCGHTMCQECVGKLAKHRKIRCPFDRRITDLSDGVENLTKNFAILEILGHNGEVGEEGVEEEVSDASENSEDSDSDSDSDDDDSSDVSDDSSDVSDSSDVSDSSSDDSSSSSIASDSSDVSSSSEDEDSPDDSKDSDSDDDGAEGAAQESSDSDDDAGSEPQQPNVQYPDSD >CRE12091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:170151:171905:-1 gene:WBGene00084588 transcript:CRE12091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12091 MYIFETTTATPGATTQRWFGKDPGDYYYYDGGPDVNWKTRPDYFFNQDTETAVISKAEVVDHIVSFVGLFLNLFHFIVLIRKELRTSVVFIIILGICFCDILVFLSSITQRYFSNSEERGMYGGYCGTDKQYWMVFLETLSRAIQKFGRLSSTLLAFVVALIRAVTVVFPMSSIVDRLLKVRTGIFIVLVSLALCGARYVQYYWEYNIFKAGIYASSCYVSMESSNETSLRNQEGYIVLVSTVLYLIVTCILLIALFLVRKKRKQLKSDKSDGTSLLVVMMAVSFLLSEILYSFVFVMDDRNSFTSNRVILQLVNTFTYISRISLTFNSILHVFFCFFLSSQYRAVVWRLLRMDKQKTTRVVEQSIISSAARKTETSHQFGY >CRE12059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:17950:19149:-1 gene:WBGene00084589 transcript:CRE12059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12059 MTTLSKPLSYLTSKCVALYMNPNIRLGVITQYTDTPNPRSVFLDNAKGGIQEHVDIYGLPPRRKHDETENVQADNAEMASLRESIARMDRAKPGSRNNIERLNLKLEAYNMQRNNEPPPYRHYLQLTISTGKLVKIERVVYDKKFGLAKEYIEKIFFDNKNIQVEYLLIGGDKYLNEWEDGIGIQRGHPRHEPLLAYTPQADSVKPLLSIRSLEVGVLHVTGILTNALASLRPILSQVPLKELIAVPYKFTFLEDPIVNTSQFLHIDRPTPIRVLSNRPNYRIHIGTAFSLNDNDFTNLVNEWKKREIRIGTYYSMGEALPSDVKIFRRFRNIPGAKLGENDETR >CRE12089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:161278:161529:-1 gene:WBGene00084590 transcript:CRE12089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12089 MEDVLFLKMRLLTSPVFEDYTIFYDNLKDMDRLISVLGRFEINANRVKHWYYRHKSSARYRSCTLL >CRE12080 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:122573:123063:-1 gene:WBGene00084591 transcript:CRE12080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12080 MRQSSGNRIPRHTATRNPMKPPAPVLMREDAVKQYINGKLGHDAPVKIPGMPENTLFVPIDQLGRLQEKLRGNKEYQEKMKILTSQLALLEAMRFPFIFHSRLFFIPVYFSRGSHV >CRE12057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:8363:9922:-1 gene:WBGene00084592 transcript:CRE12057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12057 MGRREGCLISWIRKNRGFQTSTELSECIVIPMGNDTELNVYCSEPDKEEREYCSWSKFIMKLKWQPRGYARAIEW >CRE12128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:395259:397270:-1 gene:WBGene00084593 transcript:CRE12128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12128 MALHDNVWSGTVGNSCKINFTWKFNWDTLKSQGVNKITGYIYVSSFYNWFTATKIDVTLTENNHEITKQVQGQKYGHDVSFECSLTPHYEIPSYDKMFEPSDQNDTILIVDGKKLHLTKQVPGQKHRPDVSFEFYLTPQYEIPSSDKRFEPSDQNDSILIVDGKKLHVSKWRDELTRGYIKKHEFEKKDFCQPQKYGKFS >CRE12115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:328243:328725:-1 gene:WBGene00084594 transcript:CRE12115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12115 MAPRPIPHSTLYSSATLIPATRPNPIQKVHLETSADKVAKYSKILKHSNCRHAVSHALLRLAQLNCPLRVFEKCQTEKRLQRFERYVECLYQVNILKRKFEELRRKDESEKAGKKAAKLELKEEEYDPEFSGSNGRKTTIMEKYAEYKPTPIRKFGKLNR >CRE12117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:332138:333081:-1 gene:WBGene00084595 transcript:CRE12117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12117 MVSASTLLRFSAVFAVMLVAQSLYLPDGHHIAKRQAGGAGGDGGVGGESTGSGDPGAGGDGGNGGNGSAEGGNGGDGGTGGNGGSTDGEGNGGNGGNAGNGGDADGTGNGGNGGNGGNGGNSTGSGDGGAGGNGGNGGNGGNGGNGGNGGNGGNGGNSGGATTTTLRPTTTTTVTTTTVRPTTTTVTTTTVRPTTTTTTVKPTTTVTTTTVRPTTTTTTVKPTTPTTTTTTVKPTTTTTTAKRTTTPDCKPQYGGGHGGGNTYYGGGGHGPYGGRPYGGGGKVIIIGSPNPSKKH >CRE12108 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:285665:286816:-1 gene:WBGene00084596 transcript:CRE12108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12108 MSIPFLKLPSVVQLEVLKQMEFQEVFLLSLCSEKSKRVAQSLNMRPLKIIYIFSEKCVQADVAYNQYDRVHGVANLKFVPSISQRTPMKLGGNAISYKYVEETSGGEFLHALHCLQEHSNLESLQTHMNSWFRGQLQVQLYVYSLRSMYQSGIIKNVTATCFETDELNTEQLEKYLAIHPNQNSLQLETKLVGPPFGNDSRLWDIKGLAFQNVEDAIFGDEIEVKVSGIMRNFRGQYLFLFNVVYDIRDWTRHDCSDWLDIKQDGEGKWGSILMTNSEICFVVWD >CRE12134 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:430432:433764:-1 gene:WBGene00084597 transcript:CRE12134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12134 MTSNLTCALSSDLNRMASLNFIAFQLLDLTTAFITFVSSYLAIHVVLKRSIFENSTKVLLFLNMFYAVLYQFTYSIEAVVIVYKRFFKLDKPCELLQLESDCAIVMKTLLCSTSGMIYCQTGLILERAFATFLPDYKGKKSLFLGCSIAIIVLICGALTAQIIYWDDPLQGALLACFMFPKQSASRSIIYFYAITGITVFNLAMSVWLNKYNKKLEYQIRFKLCARYNKQEVIESTGTICFLTFTQFIFMILYSSGISILKSVRSQIPVETYHIWVVVLYTVPFIAMSFPTLLIYRVRTANAFRTQRLIGISSIRPTQEDHINQITTMWK >CRE12027 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:168425:169533:1 gene:WBGene00084598 transcript:CRE12027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12027 MDCKICLRKYNVTVPNRTPRILTKCGHTMCHYCIQQQTDDEKIVCPFDREVTEVTNSAARNLPKNYALLEVIERFGNEEDDVEDPLDNVVEEMVEENPGNVVDNVEDEENAPDAESSSSWETSSESDSSESDDVYAHSRFFRRDARGNTNRR >CRE12026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:165342:165885:1 gene:WBGene00084599 transcript:CRE12026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12026 MDCKICLQKYKGNIRKLTPRMLTKCGHTMCESCIRKQTRNQRIVCPYDRKVTEVRNGVNQLPKNYAVLEMMEESKTGNGGVEEDVEVSGGDDLEDDEEEDSEDSEDSEEDSEDSDDSDDSDDSENSDISSDESSDSDDSVTRRDIRIPGFSMTINCGGNVNIRLN >CRE12083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:138644:140219:-1 gene:WBGene00084600 transcript:CRE12083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12083 MFCSLNPSQLYFYLNYFQMSFDNSDKISGFLLEYNSTQFMVFDTEQHLLKRGRISYDGNLELTLGKFYIFNHGKTPRTYNRACEKDIEFYPSRRNQGQMLARTWAVAPGDDLPLETQEEYEGKVWSPWLGLLNDTNGIFEKKFGKGGYGAVRNETQESISMKVPWSKVSDSETEKIIPGRYTYASSTSCARYALCVQDAARNPLYNEKAVGSTKTCSHFVSKKYGVVRYLNKAKLGTWYDHSFKNPGNHTFSMKTAFSVYYKVRARKIMEIQPPLPTEIINNKIVEVTMKFNFDHDEFENPWSRGITNWNERKEGVRKNINICNDYLGMVKVFLNNAVKIIKRAENLQRESQSKRDPISVIVKVRPFGNFVWKNNDHPDHALFQVHSVVGVEYAN >CRE12072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:62213:62790:-1 gene:WBGene00084601 transcript:CRE12072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12072 MVRSFEQHVNDSGVTFVYNRDFQEKKARHLKGIRFLHMSKRRKTKRQRQKIDAVINARVMAWNEEMLRRERYYDLHGMTKDGAVDYVRMIMEMISDEEPIEFETGRGLHSTGRVPKIRTELLEIGDGRYGWIVEEHPKNPGVVVVIKIFNFDFLLK >CRE12098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:231942:232695:-1 gene:WBGene00084602 transcript:CRE12098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12098 MKVLLFSLFFVVFVNGIIFDNGGDSCDDDDDGPGGIMLGGGGSDSCEDDQPQFVLIGQAMRGGGGGGGHRHHRGHRHRGHGGGGGRGGFMIGAERG >CRE12033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:211678:212151:1 gene:WBGene00084603 transcript:CRE12033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12033 MPSSSARINKAPQQPSTVPRDLIKRMVKLSLAKQKKLSESKTSRLLTYTLNKNHCSRIKRKVENWRKALKASAAPRNSEVDKKPQLSPLAIMYPKVAKPAKKPQPIPLVMPNPIPTRSRRPTWLSPLCQISNCPIWFASPSKFPPCYVVLPNPIRWR >CRE12017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:80567:81982:1 gene:WBGene00084604 transcript:CRE12017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12017 MNFRPLSYESLKTVLEYMDPNKRFLLSARCPSIRSADKATPLIIDTFYYKDGSFEVNSTVYKIGIYKKYLNDAETPQEARRYNALGGMSKDLDQYGFEDLSGENTLTPGDVDLRKPNDEGWRPENMDDDERIAEYEHELANTKSRLTSLKCSVNVHPFYDEKEQEDRLHTKIKSQMARLQPFYSRRDGLPVPFKSYIQLTITSGNGKPYIERLEYTKKLHEAVKYLTGKFFGNRLHPVAIGRFRVYDEVMRFPVGLRLDVQSLSVSNIQIVQESLTSLLTNTVKKLNVEVKSAGDFECAMLKNAEILSLQGGGSGIIRPPMVLNLTNLKANIVKLGWTVEDFMTIVRWWVEDVEKEVGTSYKFVGFWDKSSIKDLIESIKRQYEEAKEIGELSMAIPTKYGSYVNVSKKEVKSYYNKQPYDIIFEVVPKESDLPVVK >CRE12047 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:341051:341370:1 gene:WBGene00084605 transcript:CRE12047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12047 MKIFMILLLALLTISTVSAWTGTTPLNINCTDQRPCGLSSKCIQGRCFPLPGPLTRLDQDGPIDGCYAHGCEKPYECVMGKCVFRQI >CRE12130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:413507:417069:-1 gene:WBGene00084606 transcript:CRE12130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12130 MSAFADTPFVVGPRFRKREVLDSTETICFLTLCQFIFMFIYSFGIYMLKTFRTSFTYEQYYFWVVWLYTIPFTAALFPLLLIYRIRWSHFSRVMIIRQFTNTKQTQEDHIKQMKTVWN >CRE12044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:277965:279318:1 gene:WBGene00084607 transcript:CRE12044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12044 MSILFPKLPLVVQLEILKQLELQEVFLLSLCSEKMKIVVQCLNMKPTNLMYLLGENQVLVVATYDNNHNQIDHDVVNVEFVPAIPSDEIKPMKLGGNRISCRCIETSLKNMCSHSLQYLAQKEITVLESLQRHMKGLFRFKPSVQLELSSLQYINNIHIIDDVTDTYFDVKELDTAQLENFLTIYSVQNSVHLKAKLTGPLLESNSKLCSIKGLAVQGTQDQNPRQQFSEVINNFCGEYLIFIDVVYDVNDWAQLIRRWKSKEAYHKLKFAYATPPDEVSIPFEHTMRQFDFVEWDGQRRPRTVKVDPKIIYLRLIYSEDIDCTEWMDIQQDGGGKWASVKVTQDFIRLVVWD >CRE12101 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:254121:255256:-1 gene:WBGene00084608 transcript:CRE12101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12101 MPIPFLKLPYLIQREVLKQLEFDDIFMMSLCSRKVKIMIQSVSINVDKLIYVLSDHAILVSVGYHGRFQRPQDVIILERVEAIPRESRIRINCEIECRYTTRRITDVIKVYLGYLSWEEDMFLKAFQGHMNSLFQNQPDNTVVAGSTNALYNSTGISNVNNADIFIKESDVLNTSQLEDFMNFHPTLNSIHLMFPFTGPPLTSESKIMKINGLSVHNSGQRTSELMANFCKQYLNLTIATYDLNDWKQSLRKWKRKEAYGNLKAVITCTLQPNFVLDFDVFAAEFNLQEWDGERKPKNYRLDTTISHIQSEEFDCSKWFDMQQDGGGKWASILLGPNVIDFVVWD >CRE12005 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:20478:21052:1 gene:WBGene00084609 transcript:CRE12005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12005 MRSVSEVGRTLVVSWSALVAVLSRIQPTFELKPTNFRAKAAGDCRVRTTQFLNIVGASQVAEIQHLQNSRIHFDLLVGNNQDFLALVRMLEEAETMRYYSFGFSELEDVKKSLERFSRIPGAEREDFTEIRSTNFPKRIVIPLNHQKELKIYVEETSMEDTKYCDKPYIVKIKV >CRE12129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:398013:398984:-1 gene:WBGene00084610 transcript:CRE12129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12129 MMGEAIEFKSGTYLVNTAAGILDTRTKNGITCVWRGIVDQSGKLNCTWEFDWDKLERQGVDKLVGRIFVKSQTSPIYGSNFDVKITEENPEIKKQLGGGYNAYNVSYECSLIPHYENPSYDEMFEPSDKNDAILVVDGKKLHVSKAFLSYHSEYFRSLFSSNFKEGQMDEIPIGEVSYEDFALLLSTFYPNPVFPNDRTVETLLEMGRRFLVSSAVSSAEHHLISNSIIENEKMLWLADKHGMSKLLEKCVRRINTVEKAKKLKKSKEYKTLSDATKLKVYERLMNFI >CRE12104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:260840:261972:-1 gene:WBGene00084611 transcript:CRE12104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12104 MYPIPFLKLPYLIQLDVLKQLEFDVIFLMSLCSKKVKIMIQGVNLEVPKLVYGLIDSLTQACVGHHEDLQLRRDVTIFKCLESIPRDEMSQMNLAGNTIECSYKKQLMNGKEMFVVGYHAADEETVLKSLQFHVSSLFRNKPLIVLIAVLPSALTKSTIIADLSEAVIDNRSAIFNIEPGMLHTSELEDFINALTVHDAGQRTSEIMANFDGKCLHLSKAIYTTNDWIQLLRKWKRKEACGNLKLLGTHPPEQLPIMNFDVTEFDLQVWDGLRRPKYYKFTHLRSMDVDCSNWLDMQQDGGGKWASVMLEQDFKFFVVWD >CRE12113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:302165:303983:-1 gene:WBGene00084612 transcript:CRE12113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12113 MDSREDTSIVAAGMLPMLRLVNGISSPEVNGVGGGFTYENPALKKVSMSPDTFTQIPIETNGSTSPVCRQNRAKENGNSEWTVSQSSNCFEFSPTFMHRFHWITQMTFFFGFVIFCLFYFLVYPNIHTQVRGPF >CRE12012 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:57623:57691:1 gene:WBGene00084613 transcript:CRE12012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12012 MVPVSRMYHHPDEIRDRVECVV >CRE12076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:98234:98569:-1 gene:WBGene00084614 transcript:CRE12076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12076 MENNGRGGAQGRKKKETPAGTPGKKWQQKKGQKKNNGQQRPQQLLQYPPTLYHQPQVVQIAAPHFPPQFPSQIPPQIPPQLIPQPTIHYVSPQPAPQFILPPQYPQYSFRM >CRE12036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:228043:228951:1 gene:WBGene00084615 transcript:CRE12036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12036 MRLGDGSARTEGMRTMFAKSENLLREVFAHVVTRTAPDQRLLAVYCFMRLGDGSARTERMRAMFAKSENLLREVFAHVVSRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFAHVVSRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFAHVVSRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFAHVVTRTAPDQRLLAVYCFMRLGDGSARTEGMRTMFAKSENLLREVFAHVVTRTAPDQRLLAVYCFMRLGDGSARTIRDDYIHSGD >CRE12120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:345842:346292:-1 gene:WBGene00084616 transcript:CRE12120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12120 MKFFILIPLVFLLINVVDAQKCDLKNECPPSLHCYYGKCIFLFGNPTSGLTSDHHAAPEDSMTPVLATDDFSTTCFPRCPSRTHICYKGKCVLLEKKFNSKRRWSMCTSEYDCPLFNCCLAGYCVSLGKLNK >CRE12018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:82290:84779:1 gene:WBGene00084617 transcript:CRE12018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12018 MSNKSVESVLKHMEANKRFLLSSKCPSIRTIDQATPLKIQSFSANNNSLKINNTEYKLGVYRKYSPDTLPPIVQKMNDDGGLPDDLDEYGFEDKSGRNKLTPGDVDLRDPRVVEDDAKSVGNSEQISIPDHEEKLEKFYRLLDQAQGFGPIVRNHDHRAPKLDPKRLERCITYFLDDTVSFHATKCPEFEMARQISYDKLDNDIKNLEAKLQPFYSRQDGEPPPYESYIQVTVTTGAQSHVERVKYSKKLHEALKYLSARFFGGREHPVIIKEFDISLNGIIRFPPELRLQIQEMIKGSDIQVVQKHMAPILVTPVYRLTLLVNSDADLQCQILREAKILSFREQFGYDVGTEAYLNLKNQFVHKSLVHPNNWTDFLFIVKRWLDVKKPVGTCRSFGIVDVMMEDTILEKFRKKFKGTKGGRNLCIYIPTKWGTQIKVSTVEDSYRMAPWVLKFEILPR >CRE12016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:78833:80204:1 gene:WBGene00084618 transcript:CRE12016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12016 MVAPSNDAGIKKKRARPLSLPQLLFFLNFFPSATMINSRPLSYESLKTVLKYIDPNKRFLLSARCPSIRSADKATPLIIDTFDYDENCFEVNRTVYKIGICKKYLNGAEIPPKARNHNAQGGLSYDLDQYGFKDLSGENTLTPGDVDLRKPNDEAWRTRNMREDNQIAEYEQALADTRSRLRTANGEPYIERLEYTKKLHEAVKYLTGKLLGNRLHPVAIGRFRVYDEVMRFPVGLRLDVQSLSVSNIQIVQESLTPLLTRTVKTLNVEVKSAGDFECAMLKNAEILNLEDAGSGFIRPPMVLNLTNLKANIIIVRWTIEDFMTVVRWWVEVVKKEVGTSYKFIAMQDKSIIHLIESIKEQYEEAKEIGELSLAIPTKYGSSINVSMSELESSFNKDAYNIKFTVDPDLPV >CRE12118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:335535:340179:-1 gene:WBGene00084619 transcript:CRE12118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12118 MRSFEFSFIFAKIGFFTTLFTNLFLIYATVCHMRRLDFTYRTMISFFGLTGLIFSGWELISKPFMHNFNNSMILFSLRTTVSQKFFQFSIAFHAAICEAMIAMISIQFVYRYFSLLRPEYRKDDGKGTVLWLLYPVVPGVMYFCSFYIYCMPDQFTDGYLRTEMLSSYDLHIIDIPRFIIVSYNTDDTIRWKNMVFLIQGSVILGFHYLLILFYGIKMHFHLKKKLNEFSVTTTRLHKQVFRALVVQILIPTVIFILPCVPIFFGPLLSPLFGIQISLRSGWLCSIFSVYPVADSLAFMLIVSEYKKIFAVKLIGVFAPTATFSAQSFTVDPRVHPM >CRE12105 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:272344:273393:-1 gene:WBGene00084620 transcript:CRE12105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12105 MSLCSEHFKTQIQLFNLKPQIVSYQLGPTGTRVFVTSDDNTVCSLAYILNGSYIPVEEQVPMKLGGMPLACRCDKTELAENDGMHILRYLELEKNQTLKALHSHINTLFHHKPRIQLQIHSNRDLETSEIINDVRDSTIVLKTTKPPNLENFLTTHPNQENIKIEVTYPTVKWIPHPKLLSMDGLSIHKVGLNAKPFLDMFTGRYLILDSPQLKETELIVFIKKWKQNEMCNNLRALIVKNSQLLFNNRRVLRRINALKWDEARRPKSFRFDSMLTTLEPDTHGDIDCSSYSDIQQDDGGKWASIKVTKHGVSFFVWD >CRE12126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:386362:386733:-1 gene:WBGene00084621 transcript:CRE12126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12126 MDEIPIGDVCYEDFALLLSTFYPDPVFPNDRTVEKLLEMGRRFLDPFVIKVTEQLYLISNNSLIENEKMLWLADEYGMSKLLEKCVRRINTVEKAKKLKKSKEYKTLSDATKLKVYERLMNFI >CRE12102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:255811:256961:-1 gene:WBGene00084622 transcript:CRE12102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12102 MSIPLLKFPYLIQIGIFKQLEFDEIFLMSLCSKKTKIMIQSARINVERLLYVLFDHAIQVSVGYNGRFQRPHDAIMLERVEVIARDSRIRIKLGGTNIDCSYRKRFAMTENVYLGYPSSEEDMFLKSFQRHMSSLFQNRPENTLITVFTNALYNSTGIKNINNAEIFIKEPDVLNTSQLKDFMNFHPTLDTIQLIFPLTGPPLTRESKIMKIKGLSVYNPGQRTSELMANFNGQYLHLANATYDFNDWKQLLKKWKRKESYGNLKAVITSPLQRNFVLDFDDLAAEFDLQEWDGERRPKNYRLDTTISHIRSKEYNCSKWLDMQQDGGGKWASITLGPSEINFVVWD >CRE12131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:418788:420631:-1 gene:WBGene00084623 transcript:CRE12131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12131 MNNSTFIQMNSSSSSSCASSTEFERLSSWHLVICQTSYLIAILITFISSYSAIEMVWCKSIFQKSTKFLILLNLFYANLHQVSYGIEACQLLHKHFFMLDSPCRVLQYDLNCAPYFQFLIAEVSGMFLCQTGLVIERACATFYKNFEKTTSTTVTVLISLLVVVISACTGRLLLWDDPLTGYSFSCVSFPKPSFHRAHGFYIVCSLVTFFNLVTTILIMRYNKKLEYATRFKVGARFRKREAIESTETVCFLALSQFVLMFFYCGAVIILISVKTTTTIVFSSWVIWVYNLILQKILSNFHHWGSC >CRE12014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:72166:73395:1 gene:WBGene00084624 transcript:CRE12014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12014 MNSSPLSYDSSKSVLKHMEANKRFLLASRCSSIRRADRATPLNIDTFSYSDKSFKVNNTEYKIGVYKKYLNGAETPPQAREDNDAGGADYELDQYGFVDFCEENTPTPGDIDLRKYYFKDWIIDQDDSLIPFFEDDLMENRNNFAALKAVGFKNVEEENKLNNDIKNAVAVLQRFYSRRDGLPVPFKSYIQLTITTANGEPYIERLEYNKKLHEAVKYLTGKFIGNRLHPVAIGYFCAYGELMRFPVGLRMDVKSLVVSNIHNVQEILPPLLTNTVQRVHAVVESAGDFECEIVKNAEILDLQDGISVIDPSIVINLTNLKANLILWRWTVEDFMEVVRNWVEVVEKKVGTSYKFTIILEESVTQPLIESIKEQYEEAKEVGVLYVLCMFLA >CRE12055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:483120:484780:1 gene:WBGene00084625 transcript:CRE12055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12055 MAPRLRTSATDRSLDSPTNSESRATLLTSQRGSQDSMDSAAETTSIASGTISSRDGKKKGNWLKKFSFGKSNKS >CRE12124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:370001:371487:-1 gene:WBGene00084626 transcript:CRE12124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12124 MIVHLCLLLNLVVFLLVNCFDDPPPPRPRPRPPPVIVHQKRPKTRTEHSEKSMKKVKEEKIRVPVPKPTEPKMKTRECNDNETVDETPSQWGDTDAAFVKSPARGHKKKSSRPSR >CRE12034 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:212986:213363:1 gene:WBGene00084627 transcript:CRE12034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12034 MSPSTKSASTTSQQAKKKTLSLAQIKYVIALHNSSMNKQKSISESTAKIASLQKYTVNKNYILQLRVHMLKIRKAMADKKQEAPSVTAPALQESTEQPIIQPNPMKASPIPIRRRSLAPLSPLCQ >CRE12003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:1678:1924:1 gene:WBGene00084628 transcript:CRE12003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12003 MPGATFGEIEETRLTNYPECIIVPMGNDTELNAYRSEPVEEEEDNYSSIVKIKWQPRGYARAVEWD >CRE12046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:318553:326387:1 gene:WBGene00084629 transcript:CRE12046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12046 MGRRSNAKSDEKSDDNSAATSVKILKRPPNEEHSEETLPVVEGDDSNKENNIVISPKRQKTALVPEVQQEEVIMILSDEPEQTSMDHDHWWQDYCSEVMNLRKEVAESNEKLQSLIEMKQTDGIPDFITEVADLAGKVDEGMTALSDHKAIVETAMNLNSTLLTKYDQLAQNFSTLAKAQQKWVEEQDHAKKFNSIIELISPLHEKINTIGTFVSGVVAASQRPLTDGKERDPSRIPLKKKYCVFCDKLTHTSEECKTVTGYDDRRAMAKQKNICVKCIGQYKEEGQGHVNCPQQNIVCPRCSAKVENKAMSAHSETFCFLKKEQNTAKDRPNAPPRNGLYQQNRSRSMSPEDHTSRNSSRGDLLTRMRHNSPPLQATSLLQRIVGPLKTSITKKCKTAGELIESSDHAIALFEDPHAEHITTHQQKLVDAEEILQDLHKTSAALQNLGEYIITKFSDPEMQASPEKEEYMSDVKNHLAQAHMDEIILLINHNANKLKIILATNTPSIENISLNPENSTMSDDCDHGDKHQSPNENSAHSEDAVPTDHRESNSSQSSTYHETSSDLPNQLPEPTSINESMLKQAETGNRRLQEEVQRLKLNNEKKLLAQMATEKQRLELEKERLLRQETQMDLATARSENYSKILTNIEHTARHSDPTPISVQTDPNQNIAKDAIVQSSATPIAPTPTSQPNPSYIKDNNTTQANPTSQEMLLINVMNKLSSIEITQNKTNTAIFAEMAKGQAKLETLVDKRLEQRLRDLIKAEDASVTHDGDAEDEEHFIEEYEKQGCTADEPSGTSRSNTDKRHRNCSRSRSPSHSHSRHRSRSSHHTDISLDTLIQHIKAFDGTGKLDIFEKTFANSVMKHPKLNDDVRYAILTTLVKGEATPCIDQSTDSKSAIETTLKNLREVYGKCNDKYNLLTKLKQLPFHQSNTRQMRLDVVTHSVVLRQLREKNMSEDDESAIHIIVGKLQPAIRAKLASFLSKMGPRVTQTQVLQRIGQCIDNIEMENTIMSQVTPIAENEVPTSFASIHYARASSYPQNTNGQPIERSDERPQSDRPLAYNPNAYRNSFYDTATKAQLDGIYAPGEKGVNSYLLARSFPFKNEEANKCGTCDGMHNPIRCKLSSTAFRQAAAKRGLCPICNFKHDITTCKTRRCCGYCGGLHHMGGCPKKDFFWLLELTAHNRGIPADTGSKVPNPVSQADLPTALCNTSYSKVSAISPLQTAPVVDQLTISCPQTVVRNTFEEYGIYRFVQFVSRTSPPHHITTAVAENIYHRLTFMCFETRDNQNILALVDLGGSLSQVLESKTQQLRLIVLTKTQLSLPGPESRTNNDSNIVLISDSRVSLKFTIAGNFPAQKKLVRIVTIILSTFSKTLNRKQWEKPLMKQFTASKDPVHQAKVACYFIIRKHYPDTEFIGPKFPFSWSFYIDSSDLYRVDNPVLSQEAYGTILFHDDHHSAFMIVLETHEINGNLPENYTRAVLRTTYWTAQDEALAKSVTKKCVACNIANSYPFAYPITATLPKCKTTPSKQFSKVDIDCYGPVVYQNDVDKRFETADVLIHTCSASRGAFLRLVPDSIPSETYSDNVGTLKLDAAIINKCTEYFEFSQTLIRFSASEAITCRYTTHLAPWQESIYERIVQIVKRQVLSNLLNRHTWPLGVTIKVNKSERDGEIRSAIVKCQEKLIERPVCHLIPLGLKSLNHQCQEDKREGTESYDVEVPAEQEPASAENTLSKTALPTTLITLDKKYAPKLFRKNVLPNIAETSAHPADKGTAEDESEDYKQIVITPRESTDLESMTLLEDSYSTEGGVYQDPQNTLPNIVRDDDAENSPAGRSRDYNPRRTKATHINYVHTADIKILSRPSPPECCQLYHAKHSFDNLKAL >CRE12049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:344878:345185:1 gene:WBGene00084630 transcript:CRE12049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12049 MKLFMILLLALLTISTVSAFTDTARTGIVCKTHQNCGFSARCIQGHCMPVPGTLTGDDGPPDGKDCDERCVGHYYQCVKGKCRFQKI >CRE12099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:233449:233995:-1 gene:WBGene00084631 transcript:CRE12099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12099 MILARDQNLEQCEDDDEPPRFLNINDEDEGDDDDFPGGPGGAGGRGGDGGNGGRGRAGAPGGPGRRGGAGGDGGDCEDGGPGGHAGPGGFGGPGGPGGHGGRGGRGGRGRRGGDGGHGGRGGRGGHSRGHGGGHGGHGGHGGGGNGGSGQIVLIGSARPTAKPKV >CRE12111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:291661:293950:-1 gene:WBGene00084632 transcript:CRE12111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12111 MSLSIIKLPYLAQIEVLKQLELQELFLMSLCSEKSKKLVQTVNLKVEALKFSLNGEGIQVLVESNEHVHCVASMKLVQSVSRDDTSIKLGGSKFQYRCIEEPPTNYLSHTFQYIQSDEKIVFESIQHHMRTLFRDTPQVQLELSSIAALSKADVIKDVTDTIFSMETLETSVLENYLSTRQDQQSIQLKARLTWPLAGNSRLWHVKRLAVHGTFADLVNQILLGPSVVIPGIIRNFGGEHLCFTDVMYNIDSWRQLIRRWRAKEAYHDLRWFSTTSPAALPIIAGTALDEFNLVRWDGIRRPRTVKLDSKIVNFIMKGEIDCSSWMDIQQDGGGKWASIKMTDHSICFVVWD >CRE12058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig57:15635:16714:-1 gene:WBGene00084633 transcript:CRE12058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12058 MTTPFKPLFYETTKCVALYMDPNKRLQLYLRCPYFGAAHKNEPMRIKDLKVRPDNFEINGTIYRLGVITQYTDTPNPRSVAVDNLKGGIQEHVDIYGLPPRPTQDEAENLQLDNGEIASLRESIARLEQRNAEFPGRGFPAVLGNRVRIQRLSLKAEAYNMRTNNTPPPYRHYLQLTISTGESVKIERVVYDKQFGTAKEYIEKIVFGIKKIQVKNLQIGSDKYQNELFNFGTRPDPLFNNTPQTDNVKPLLSIQSLEVGVLKVTGILTNALASLRPILSQAPSKELKAVRHQNTFLEDPIVNISQFLHIDGSAPELHGIPTSDFRLPTSGHFFHSDFRLPTSG >CRE04403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig571:8445:8923:1 gene:WBGene00084634 transcript:CRE04403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04403 MLWTKLKEYQSCGFLMTLSSPKEHEDISKKGLASNHAYSLLDTCIHEGHRLVLIGATNFTNWKGKWSELPAFNEETTRTWRNFEKKSVERRFSWMEIDDLCERFVRLSVCRYHEDWFELRTGEIQLDLAKIEKYEHQECGR >CRE04405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig571:6513:7244:-1 gene:WBGene00084635 transcript:CRE04405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04405 MGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE04402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig571:7608:8378:1 gene:WBGene00084636 transcript:CRE04402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04402 MSISDTIHRNQDDKMLEECQEWVRMFLKDFGPDDIFFDAEFEYSDGSLKWDAHGTILNFIYIKKNSIYPLDLFTNMEWDKNGTLNYQKIKNEAFAPLTLYKDTWPFHAHQGRLGDCWLIAPLMTIARRQKLLEWIIPPNDYSLKYGIFLVRLVGFYCKTYILKIIFRLFFNGEWQIVVVDGHLPCDEQCFPEFAFTFYDRLWPSLIEKAVAKMLGGYHKLDGASSTQAFEYLTGMVQKFSD >CRE04404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig571:4742:5256:-1 gene:WBGene00084637 transcript:CRE04404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04404 MNILVITFWFLMAENKTKQPISISVMAKCDLKFCSFHGIDSDDLVKIVQELNAPSDLSAIYLTIPAKSKCVIGSACVFSTGTKLEKQPKKPEINFEYWIWIFEKEMKKKTKWEMELMKSKEVEKYMYINTIYKPITIE >CRE21716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5711:92:631:1 gene:WBGene00084638 transcript:CRE21716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21716 MDHGALTDNNGRKSDFRNVIIVLTTNIGAESISRNSIGFTEQDNSNDNQEAMKRAFAPEFRNRLDGVIQFKALPTTVIESVVDKFLTELQAQLDDKKVVLEVDQSARDWMAENGYDRLMGARPMQRLIQEHLKKPLAEMILFGELADHGGNVAVSVKKENGKAVGLTLEVFEDQTAEPA >CRE29418 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5729:1217:2193:1 gene:WBGene00084639 transcript:CRE29418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29418 MDPPKPFPILRLPFLSIEEIFKAMHPIEIINFSMISKRTKAVAKYMSFYPRYKIDLYIKETLEIWFVGTRNLVSYVMTSDKEMDGKIEEKQCFESILPVPLISRKVFKYSKDPVDEWKQLCKYVLEIFKKQSINNLRITMDAFVEQNVSIIDFLKANLKSVDRCTVSQKNREINVDEHTAYLLDKIQINSELFTDVYIKDVNFNGKFPKNLEDLHITTSKWIGYERLLEIDCKSVILEKSRILNEQWNLFIKKWIAMETNQNLVCLQLNYRNFEEFKALVLNDIPHEVVDEGVKRTFKT >CRE06196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig573:146:1664:-1 gene:WBGene00084640 transcript:CRE06196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06196 MDSPGSHAPLCRTTGGTAVISNQRGLGTRLNPYYQSTPHQPMVQSMSQPVNYPNYGVGGVSSSGQVLMQGPPPGLAPVGQSPDFDSCYSSCDDISHPSLSRESSDPSKLDDDQRTIRYPAPEVVEFATKLGYSTEQLSHVLNQIGVDSKTVSV >CRE06283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5733:3483:4307:1 gene:WBGene00084641 transcript:CRE06283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06283 MLNAYIYDGLRSPIGRHAGELASVRPDDLAAAVLQKLLEKTGVPGAAIEDVILGDTNQGGEDSRNVARNALLIAGLPVTVPGQTVNRLCASGLGAVIDSARAITCGEGDLYIAGGVESMSRAPFVMGKAETAYSRDAKIYDTTIGSRFPNKKLIAQYGGHSMPETGDNVAVEFGITREQADLFAAQSQAKYQTAKQDGFFTGEITPIEVFQGKKLPPKLVSEDEHSRPSSTVEALTKLKPLFEGGVVTAGNASGINDGAAALLIGFETRAQNMA >CRE17723 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5743:3487:4116:1 gene:WBGene00084642 transcript:CRE17723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17723 MKMKLEGIIAYPITPFHPDTQAVDVDALTITLNALLEQQCNAIVPLGSAGESAYLSWQEWQQVAQKSIEVVNQRVPVMLGISELTTAMAIQKAQKAEELGADLIMVIPVSYWKLNDQEIYDYYQQIAASTKLPIMVYNNPATSGVDMSPELIVKMFQEIENICMVKDSTGDIQRMHKFHELSQGQLPFYNGCNPLALEAFCAGASGWCTV >CRE16599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5744:3572:4516:-1 gene:WBGene00084643 transcript:CRE16599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16599 MPVVLLLLFGMLCIASLLLGAQQIAWSDLFSASSDAWLTLSASRIPRLITIVLTGVGLSVCGVILQHIVRNRFVEPGTTGSLDAAKLGILVSLTLIPAASVLSKMLFAMLFCFLASLIYIAIIRRIQFRNTVLVPVIGLMYGGILSALAEFYAYRNNILQSMQGWLMGDFSRVIQGHYEMIYIILPIVVLSYVFAHRFTVLGMGEEMASSLGLGYARMAAIGLLLVAITVAATVITVGAIPFVGLVVPNLVALMYGENLAKTLPIVALAGASLLLVCDLIGRSVLYPFEVPIGLTAGGVGGVLFLMLILRGLRS >CRE18397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5749:3108:3824:-1 gene:WBGene00084644 transcript:CRE18397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18397 MTSSTHALPKTIYAIQHLAFEDLGILEDTFYDLGYRIRYFEAGMDDLKQAFEFEGLVIILGGPIGVYETEDYPFLNDEIAYLKQRLAKNLPTIGICLGAQLIAHALGAKVYAGHQKEIGWSQLKLNLAQNNPLLPLVNTEVLHWHGDTFDLPVQAELLASSAIYTNQAFRVGQNILALQFHVEVAADDMEKWLIGHTCELRKAGLNIPHLRAENEKFAVNLELKSPLVLEHFIKHLNV >CRE25054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5766:1121:1504:1 gene:WBGene00084645 transcript:CRE25054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25054 MGNIVGVISAVPTGHRGIPLVWNRKKSAGSEKKLFKIAPLFANSDDVAFHAMKVLSNVMLEKHPNATLIFHLVDTPEGSFTVLHKLFKALNLTAGISGITLYSDEYPPKGDLKKVYIPFNNSCHFDY >CRE30647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5767:523:3195:-1 gene:WBGene00084646 transcript:CRE30647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30647 MTDLKVLIKKLSASSRTSLEQSANLCVNHQNFEIEIEHFFVKLLEQQSNDLELLLNKYKISKDALLTDLLECISQLPKGNTRTPIFSKSIVRLLEQAWLLASAEPDPVIRSGHLLIALLTASDLYQIAIRASSLFDLFPIDTMKHKFLEICVNSAENIPTTAQEQEAELKNQPTDHSASSKKTPALDQFTINLTEKAQKGGIDPVIGREYEIRLMLDILMRRRQNNPILTGEPGVGKTAVVEGLALKISQNQVPDALKNVQLHSLDMGLLQAGASVKGEFENRLKQVIQEVQQSSHPIILFIDEAHTMIGAGGQAGQNDAANLLKPALARGELRTIAATTWAEYKQYFEKDAALSRRFQVVKVEEPTEEVAIDMLRAMIPVMASHFNLRIDDEAIITAVHSSHRYISGRQLPDKAISVLDTAAARVALTQNAQPVKLDQLKAQQHNLNLEFNIIENEHRHQPIHEERLAQLTSSLELLDQEIQQTEQQWQAELALIQKIQALETAEQADSNKDEIFNTRTQLAELQGQQPLVFERVNAQIINEIISDWTGIPVGKMVNDEIKQILSLEEKLEKRVMGQDYALHQLVQGIKTSKARLEDPNKPQGVFMLVGPSGVGKTETALALANELYGGESHLITINMSEYQEAHTVSSLKGAPPGYVGYGQGGVLTEAVRRNPYSVVLLDEIEKAHSDVQELFYQVFDKGTLEDGEGRVIDFKNTTILLTSNTGSNAIMQACLNQPVEEWPSAEELTEQLKPSLYKQFKPAFLGRMRIVPYFPLHDDLLVRIIEHKLGKITARLEKQYETKVTYSDDLIELILSRCTEVDSGARNVDNILNASVLPALATEILVALAEQKIPKVIHIDTKDDEIIYLLDPQAKPAKKKSSKKSKVVEA >CRE05377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5772:829:1233:-1 gene:WBGene00084647 transcript:CRE05377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05377 MENRSHDRVSDLKSSFQIKGMSCASCVSRIETALKKVDGVTQASVNLATERADITSNISIDRQALINAIEHAGYDVVDETTELSIDGMTCASCVSRVEKALKAVPGVKEANVNFATERATITGTANVAAFNRSD >CRE22773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5798:136:554:-1 gene:WBGene00084649 transcript:CRE22773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22773 MLPLPWWILGTGPEEDSDNEADTLDDDSEHCEEVGESAPSTPYSMFRTPSSMPFTDTRDELPKVVNLSTFMSVAKRRSSSKFEIPTSPKVRKTEKRNSLVRNETEIMNKTMDAEGFGDFNQTIG >CRE11223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:586003:587774:1 gene:WBGene00084650 transcript:CRE11223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11223 MILRRLLPLFILIATAYGCQDTETVTFFVNGDAKLPQSATACFNSCALGNCTGVLFQGDKCIVMDKTNYFGRVEEQNYIEKKCVKKAPHKLLVMEWDDRILVDRSRSVRKADTKLECLSLCAQEKSFPCLSAMFYRESKDCLLNSASSSSAKLKMDTGGFKVSYMELHGLKGNFCLSIERNCINSKNCVSLV >CRE11210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:488355:489572:1 gene:WBGene00084651 transcript:CRE11210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11210 MFKLVLICLIPHLVNSYVHRSDDRFPICLSETNNACQEEMKKKNETLERIYHIHHPLTDLFNIYTDQCRKVMECASGLECFKGKSQSEIIETSCDDVGTIQYSIDDCLSTILKKIYSNDHNCTAGFSFVSERDVPLFSIYSSFQENLFTADTIFKKEKQCILNIGQGVCKTDDFNFLQNNYDELIELYTNSPTSDIDQWNHPSEKFYRMHCGVLHNDFVQKSENISILSVNQENADVQKVVEIAQTAQKCHQKPVIIPGLEKYLKMVSENIQAFPAVMINIFERRPRLLEYRCLSSVSWWGVFREVVECMNGGDAKKCVLSFIRSRCQEEILADFEDLAVTLTPRNESNVPGVSFSLFKNNQRRKFVFTVNNTLINF >CRE11265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:317511:318569:-1 gene:WBGene00084652 transcript:CRE11265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11265 MKDPTEFPLLHLPLLAIKEVLSTLSPLDLVNFSLASLKSKKVAKYFFYHHLSCGYRLWLGIKEELTVGIIGTENIYYFKLISDETKDGLREGDKLFKYSEDPLTDFKKYVEYAIDTFFWPVSSLYFNLGAFIAQNKSIIDWIKLHVEPFSYFSLHSDIISDEYVSYFLNHIEVDSYLDLNTKMSDNFQLILPRSIKAFEILQSDFVTLDQLSNFDCEFIRLCNTKISNQELNQFFKNWMTSKSNINLQNFSIHIENMESLETILNLPHERVDPGTVRTFRRYRRPFHVAGGIVIKRDDGKIATFLLEPYMEFLHLVMLTQ >CRE11270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:364202:365784:-1 gene:WBGene00084653 transcript:CRE11270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11270 MLLLVLGLTFLCFVIDVFEYFWLCYQRRRAVKEIVEFERMMEHVAGGSFVGLPLSPNDQVLRAICYCDKATFAHHRLRRVDPLMPIRFSTGYDTKIETEMEGIQENSISQ >CRE11254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:166446:167618:-1 gene:WBGene00084654 transcript:CRE11254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11254 MPTKYPPSRYELLREASYSEDPSFFLEILKNSIRNSQEQDGGEVTIGQVQEHMKMEGFVDAAKRSAEGDLYSFKSDGLYSFKTKLAILYACFIFGVISCHLFYNLYLGQELSFEIRAVSIATIWCSPMFVIAFSTIGGSGRGNWLNPLYNENYNAIKVTELKERQLKLIDCYLNQVLPMKAEIPALRYRHDVLTMISVGEWVFYAFFRACYSMYHLSYNWSNKEIREVDTMDAAISGAVFLFFSLWLIYWYFSKLAPVKHTKQADCGAVHF >CRE11257 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:227291:229827:-1 gene:WBGene00084655 transcript:CRE11257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11257 MLMLWLLCLVILSPCVTIEETPAEIADTAIEALTVANNRSDDVFKDFKELGPKLSALMKAMVPIGTMARKAAEQKPEDAEFRALMDFQNRSEKAWKMWEDRARTTSTTTEIPFFAYLDLSLGSTWPWAHLGILEDQIKKVVEETGYVTEDSLKMVSENTAPLLLNTGMQDYGYEFVVIEATDKNYEFFFDDFDRQSDCVYNRGGYGIDTVIGRILHNSTDRDFTLAEKIFRRGKQAEKLNEMIQSEMSKIYAAKTLPLIVDTLKKKIGSGILKEFQCWAVAREWSYMPCPTIDYWASPFIVSNLESITTLSYRNKGRFYQYCQEFRFFFFA >CRE11252 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:161204:162309:-1 gene:WBGene00084656 transcript:CRE11252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11252 MTIITDMQTKYPPDPLSPYQLLLDASRSKDPSFLLEILKDSIRNSQPQDGGEVKIGQIQEHLKMEGWVDAEKRDVEEVLWWYKSDERFSFKTKLAILYACITFGAICCQLVYNLYLGQELSVETRAVLIATTFGIPFFGTLLLTMDTHTQGNWNPLYNKDYNSINVSELKERQLELIDCYLNEVLPLKAEIPASRYRHDVLAMIYVGEWMFYAFFRFCYSIYHLSQNWSNEKIRGFDNLDIVISGFVFSGLSGVFIYFWNSSKLTKD >CRE11239 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:100809:102301:-1 gene:WBGene00084657 transcript:CRE11239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11239 MEKPLPLFRLPRLAIEEVISTMTPFEIINFSMTSLKIKYFIKCFLRTSRNSQYTLIVDTSEEPTVSIIIGSEIHFEFKVASYKTMNRQIEYKNDFENRTSWKYEVFWISSENVIDEWMTLVKIVNEVFKFEAHTVIFDIDQFPMRNKDIVDFIKCQIPSIDSCEFQGKAGADEDVEYFLNNLNVTEIVGFDLKLSDRFTFPHDNYVECFNIDPANWLTFDKLLRLKGSEFYIHGSPLTNQELNLFLFLWMTSQCHQNLGSNNKTISLIGGINIKRNDGMTGTINFDRRLDKMLLKMVVSRIE >CRE11170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:92973:94019:1 gene:WBGene00084658 transcript:CRE11170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11170 MISAAHYYIPKVNGLISFLINFYFILITIQNKNLNLGNYRYLLCYFSIINLICTIFDILIPVSVHGYQYSFSAFIVGGPFIQKSEIHTLLLAIRCGLISAAYSILHCHFFYRWKLLRNLDTPNSTVSLWWFPCFLFHTISFTAVWAVEVMLLMGGNEEKFGYASKSFLEVYGVDIREVNAFITVYQGTSSITSILSYTAFSIFFITSNISLLVLILLRRLIIQMLNKNKDKMSEKVRCLHQQLIKALTVQLVIPSVVCLIPSSMTWLLSLFGISYGSWLSDVAVVLYSVFPFLDPLSIIVFVPAFRRKVTKIFSKSE >CRE11231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:69604:71250:-1 gene:WBGene00084659 transcript:CRE11231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11231 MLTGNQAVYNGFHSLICLIYVSPMIVFDITFLKDNSHHVGFLLMLCYDVSIQILALITVNRFCAVFLPMMYSKLFNTLPILLQRSINRLQPHGSQNLLGLFSEYMPKLMTICTFNVVVDTITIWKVRRIQSARGRTTFQKKKIDFLKQSFGQALYLFICIPAYHIVPLFKSSEIALFMIGIFFGATIHMFDGVLTLIFNMEIRESLIKKYKASGNNSVIQIGVVSPKILL >CRE11285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:501413:502064:-1 gene:WBGene00084660 transcript:CRE11285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11285 MTQHVYIEGKKPLVCWENTTINSLVIGVLSPVLEKSHVTIIVLFGMVQTGFICNILNILHVQAGWNLYYARIMSLVFSIYAAIRMVWYQTILLPKLPLRIHWKSMNFITLFFGLTGHFLWPYCTTANIIIHVYIYLLSIETSIWYYTKEFRLFCRFPRTGFTDYARAYHTCYKRYVCIL >CRE11220 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:566876:567277:1 gene:WBGene00084661 transcript:CRE11220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11220 MDPPSSSSLSYYPSNFQEDGTFEGNFEFADRYLIPQGKFRNNIQFVVVFDDSEPVKPTKPKTGKQPSLLVAKHKAKQDRPSSAADKARFRESRTFVYVPICESCRDASTLEKSVLRIPLCDSCRLRLLSKCSK >CRE11177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:220376:221556:1 gene:WBGene00084662 transcript:CRE11177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11177 MTIFTEIETKYPPSRYELLREASYSEDPSFFLKNLKESIRNSQEQDGGEVTIGQVQEHMKMEGFVDAAKRSAEEDLYSFQSEGLYSFKTKLAFLYACFIFGVICCHLVYNLYLDQELSFETRTVSIATIWCSPMFVILFSTIGGGGRGDWLNPLYNENYNAIKVTELKERQLKLIDCYLNEVLPMKEEIPALRYRHDVLAMISVGEWVFYAFFRVCYSIYHLSYNWSNKEIREVDTMDAAISGAVFLFFSLWLIYWYFSKLATSPVKHTKQADCGAVHF >CRE11180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:230324:233956:1 gene:WBGene00084663 transcript:CRE11180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11180 MTRSIWLLCLVIFSPCVTIKETPAEIVDTAINALTAANNRSHDVLKDFKEFGPQLTSLMKAYVPLGALINKATKEKPQSAEFRALMDFRSRAEKIWKEQENRARTATGTAKIFISEYIRTVKEPIRFMKSSADRYLNPDIIKIESQKSAFEFDCIRETMQMNVRLNFLLVYCTSISLEEAKQLADHRRNLMNAFLRFRVKGNKPLPDIGLYIMEFIDIEEELNRKSKFVSREDMGVYQNSFYSYHEAIEAYFNEIRYKASIIKREYIEDGCLLRELLRKSNFNYTYVETFATQFMSELLHLSSAWPSAHLGILEEQIKRTVKKPGEVTRENLKMVSENTTPLLLNTGLQDYAYQFVVIKATDKNYEFFFDNFGHQSDCAYNRGDYGFDTVIGRILLNSTDRNFTVAEKIFRKGKQAEKLNEMIQSEMSKIYAAKTLPLIVDTLKKKIGSGILKEFQCWAVVREWSYFPCPTIDYFSSPYIVSNLESITTLRYWNKGRFYQYCQEFRFFFFA >CRE11280 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:448630:448836:-1 gene:WBGene00084664 transcript:CRE11280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11280 MRLLIFSLLFLFAGMATCVISSDQEGQFETKSGPVNDYLSKRAYMDEPGEIRPLGVTGNPKFVRQTEG >CRE11173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:143312:144302:1 gene:WBGene00084665 transcript:CRE11173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11173 MVLFKSSVLHTPVKNLSETFFKTQIFHLETTDFLIFDDSDDSDDNEDREDSDDIDDSDDIEDNDDIEDNDENEDSDDSYDSDDNEDSDDNEDSDYRDDSDYCDDIDDIDDNEDSDDNGCPFVCLSIQMSISILEHPNPVSHDL >CRE11235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:88616:90320:-1 gene:WBGene00084666 transcript:CRE11235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11235 MADFFANHEVSRILQMERALVGCRTEVFRLIVDDKGKIMNFNDVISLYPSVMKYCRFPVEPPRDVPAKDLKVPMTAPEDLTFSGFMLCRVLAPDDLRLPLIADKSCGKLVFGLCKICMREENQEDCQHTDDERSFTACQWMARKCRDRRRVGSIH >CRE11160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:15844:16632:1 gene:WBGene00084667 transcript:CRE11160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11160 MAFHRPAPKRKQTSSDDDPPSQFVPYSAYKDLYDRVAALTSLVNQLRGAIIDSGQNKLAQAVAESCEHLPDLPKIADPLLQDPFGAADSSVPMDTSSQIPQVVPPPITPINTLDIAREAAKLLDKATRVVIERMPDDRDNNAQDERDLEFFQKLASSHHLPDPKKAHRHQCSSKFRPLKLQFDSSLDRDIFLHGFHRIRSSEKSLMDIASKPRARRDLTQPELETLRASRKYVYEQNKIAKESKFIMSDITYKLNSKPRPFV >CRE11162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:42404:42934:1 gene:WBGene00084668 transcript:CRE11162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11162 MIYRTFNLFPQLTTFCYLFILPRYGPLNIDTSKEERKRFRYTMQVFVALVYQVAAPTIFIQLPSFIVLTIPFLDLKFSFRGSIIIYGYCTYPLVDFLIILKVITEYRNAYKRFLKKLAYRFIEMLGCNIQTTTVAPSTTVSRTLART >CRE11179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:224556:226763:1 gene:WBGene00084669 transcript:CRE11179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11179 MREFLPDPSSPYQLLLEASRSEDPLSSLEILKDSIRTRQQYGEVTVAQVQEYMDMEQWVQKEKENVQGELYYYRSSCGYTFNTTLAILYACFIFGIICCHLVYILYLDQELPETIRGVITGILMIWPYFAYLYSTVEAPRLGDWNPPYKESYNSTSVSQLKERQLKLIDCYLNQVLPMKAEIPASRYRHDVLAIIIAGEWIFFAFYQFCYSICHLSNNWSDKKIRDVDSVHVGISGAVFLIYSLWLISFWRSSKLGSSFANLSKQKMQLKTFVNF >CRE11191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:326185:327806:1 gene:WBGene00084670 transcript:CRE11191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11191 description:Metalloendopeptidase [Source:UniProtKB/TrEMBL;Acc:E3MQ99] MLIQPIFILLSFSFVLGTANHKYAEKGIDGLIEGDIQLTDDEGSRVKRQISSVEKKWPNGVVSYYFDNGISRFENTGTLFIYTFSDETSRLIIKTAMNYISARTCVTFVESSSAADRIKILNDDCSSPVGMKGGEQGLRLGDKCVTFGEAVHQIMHALGIYNTNQRTDRDEYLTVNYTNVPEWLWQELNINDTTNNVTPFEHGSVMMLPEDVYENGTIESKELDYNNTLGFRRVTFYDMMMLNSHYSCSCAVDLACKNGGRTNPAKCSECLCPAGYAGTLCDQPPPGTQMYYTDVEWKQNITVFGNVDSDLPIGEPRMTYIWITAPEDKAIQMRVVHVYNCTCEPGCNSNGVEPKCWARDPAIVHPVYCCESDRSKMNRVTQSYLNPTPVVMHERSGECTAIIEYRHVTPAPTTPSTTTTTTTTTTTPKLTTTPTTTTTTTPVTTTKPKDCHHTATQTTTCKCTTTHTKMYETEECRIAAAAAGQCNSTTVTGGRKTVTKCSSDTTNI >CRE11168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:86044:87255:1 gene:WBGene00084671 transcript:CRE11168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11168 MENSKPFPLLRLPRLAIEEVMSTMSPFEIINISMTSLKIKYFIKSFLRTSQNSQYNLQLIMNKEPIVSIKGSEVYFEFQVTSDKTKDGMRVYKECLMDEKFDTFWTYSEDLLDCWTKVVKTVKETIKFTDRIFHFEIDTCPTRNKSIVDFIKSETPSIECCKHHGKAETDDNIKYFFNNINVTNGLSMIVRLSNSFKLPQANDLNNCSLDPANWLTFDQFLQFKGSYLCIYGSPLTSYELNQFLILWMASQCHQNLSFFLININHSQSIDTIFNLPHEIMNPNLERIARLSNNTTIPLKGGIEIKRDDGMTGTINFGWRLDKMLLQMVVSRFD >CRE11253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:163107:165503:-1 gene:WBGene00084672 transcript:CRE11253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11253 MTIITEMNTKHPPSTYQQFVEASYSEDPSFSLKQLKESIRSSQQNGEEMTIGQIQEHWKMEDFFDAEKRLVERQLYYYISDEAFSFKAKRVVFCGCFLFGLICCQLAYNLYFDHEVPVIIRVAFIVILFCSQFVIRFSTVQSDDWPNPPYKENYNSISVSKLKQRQFELIDCYLNQVLPMKAEIPALRYRHDVLTMIFIGEWVFYAFFRACYSMYHLSNNWCDKEIRGINGIDGANSIAVFLSLSMWLIYWYFSKLATSPVKHTKQADCGAVHS >CRE11204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:449001:449243:1 gene:WBGene00084673 transcript:CRE11204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11204 MNILSILFILAIGFSFGIGLQLVNDEPQFFMDHGEVAVISQDRPDVPGEIFGRNGEFPTHVTFNDNEGNKEKPTTKKIYQ >CRE11250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:150529:152350:-1 gene:WBGene00084674 transcript:CRE11250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11250 MLIAFFISIALFIVVVESDNVTITRTYHSYDSDETYQRFIQTIYRENAAVYFDSFEYNDYVHYKIRHEHPLEFEKYKYYWSENHEELKEYRHLCEYYFSGHDSLELFRTHYPNNTQPKSFFFHCSFPYSCIGIECQIETRFVLGVIFVLGLILSLYFARKCIMKEIKQMEKIRDQCTRPQYPRGPRFMPPMTPLEAPPPSYNVVFSSTSPPSYESVVRDKVTYTLPSYTSVA >CRE11226 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:9457:11234:-1 gene:WBGene00084675 transcript:CRE11226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11226 MNHVLRYKKRKTKICLTPKNTLKPKRKPISFHSSLSKKKIFELPSLPNNIIKLFCVITVFLNTSLIYMTLFHTKQIVGTYRKMLITFAVYCIVFSIVDTFVEAIFHSYNGCFIYFTLASTFGGSKRTGEIGLLVYCGVYSTILASLAVQFFYRAVVIAAPNYVKYFNGWNYIFCILYSMICGIGWPLTTTIASPDEMTDAYLKDEILSKYGVPVKDVANFAVLAYNGEGESKTLRWNSVACILTVSSLLSFQYMVMLISGILVYREIQKKSRCSQSSKHEKLQKQVFVALVYQVCIGVCSFQQVVFFQVAAPTIFIQLPSFIVLTIPFLDLKFSYRGAIIIYGYCTYPFVDFLIILKVITEYRNAYKRFLIKVANRFTEFLGYNIPPTTTVAHSTTVSRTLAKSAT >CRE11187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:314770:314973:1 gene:WBGene00084676 transcript:CRE11187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11187 MRLLVFSLLFIIGMATCVISSDQEGQFETKSGPVNDYLSKRAFMDEPGEIRPLGVTGNPKFVRQTEG >CRE11232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:72875:73877:-1 gene:WBGene00084677 transcript:CRE11232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11232 MGKLSSMCVFNVVVDTVTIWKVRRIRSAQGMKKFQKKEIDFLKQSFGQAIYLIICIPSLYIIPMFTTNRISVFIMGSLFWPSIHTFDGVLTLYFNEGDMSHNIGEVEAKLCKAYVEEGKPRKTEFDKGLYKTCCEKRSYCEVWAQAWFWYTIGGVILLLILLSVAGCVYCCCCRGRGSGGADKKTNSEETVENHEDLSEVDSSESSCESD >CRE11163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:43051:44840:1 gene:WBGene00084678 transcript:CRE11163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11163 MGIELPSLSDNFFSRLFYVITVFLNTSLIHLTLFHTQQIVGTYRKMIVTFALIGIAFSTLDILVRPLFHSYNGCFIFFTLGSSFRSSKRVAEIGLLIYSGFYAMILAFLAVQFLYRACVISKPSWTKYFDGWKYILCLFYTFLGGILWALASSLTFPDETTLSYMRNEIIQNYGVEIKTVPHLAVLAYNGEGPLKTIRWNSLVCIGCVSAILVFQYSIMLVSGIIMYRRTQGKLTATSSEQERLQRQFFNALILQVAAPTIFFQLPGFVVLVSPFFDFKLSFHSGIVTLGFSAYPMVDTLIVLKVVTEYKNAYKRFVRGFAKDCIEFLGGDTPRNPPATVTNALAIL >CRE11166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:77958:79128:1 gene:WBGene00084679 transcript:CRE11166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11166 MKIIVLFIGISSGFDVGLISRHVPTSFSETDPFHPGAIDGAQAVRSGFLFLEELVKPENYASSQNDSFLVPDPRQPISIKSWSYYWSPVHHPPLANGTAGCKIPIKSLVDILKDMDNSKNRFKFSKEISGNSMEKILRSIHFSDGTAPTEIAWSCTTPINRCCGIGCCSRQSRVYSDSLLHSVFGYMCGGFMLICILILILSLVMFLCNDVWHRYIPWFPTSPRPPATPREEAHEMTELNPTAHRSPSRLHLAV >CRE11171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:94554:95639:1 gene:WBGene00084680 transcript:CRE11171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11171 MISAAHYYIPKVNGLISFLINFYFILITIQNKNLNLGNYRYLLCYFSIINLICTLFDILVPISVHGYQYSFLAFIVGGPFVQKSEIHTLLLAIRCGLISAAYSILHCHFFYRWKLLRNHDTPKNAVSLWWFPCFFLHTTFLTGIWVAVSCLLMQTFLMGANEEKFGYVGESFLEVHGVDIREVNVYISVYQGTSYATSILSYTLFSIFFITSNISLLILIILRHLIIQMLNKNKDKMSKNVRSLHQQLIKALTVQLVIPSVVCLIPSFLTWLLSLFGISFGGWLSDIAVVLYSVFPFLDPLCIITYVPAFRRKVTKIFSKSESTFQSNVIIVRPA >CRE11172 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:119474:121329:1 gene:WBGene00084681 transcript:CRE11172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11172 MSRSDKPSSPDNAQAFSQHDNHISIMMAIEKHPIPLLKTLLSAYFKNSAETTDTICSSQIVASGKTPGSVINSSTDGSVNDESLVAIVQQMMMDPHHWLSILLSRLASDSVKVVEQSSNQLVASGKTPGSVINSSTDGSVNDESLVAIVQQMMMDPYQWLNILLSCLASDSVKVVEQSSNQLVASGRTSDAVVDTSPYSGVINKFTIDEHISQEALTDFHLKSEIEKWVIRESKKNGTSNNGHGKSRCVICQIDLQIKYEDHREMASHKTTFIDSLTNAFHESLKNRATPQTTPSRP >CRE11183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:243135:246031:1 gene:WBGene00084682 transcript:CRE11183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11183 MKNLEHFILKTSSEDLGHKWALGAIWRYVENNGKDAKSRNCYQHALVTFEETASTYEEDYLDSTISPENAYIRTMSLCKHFSEFLRIHPFGFCQGVGHFVPVIPRENIDYGEANRQIFDSTDKMVFSGVVDISGRSLRVGEGQPMLPCWFTVELWIKQGSEKYPKGRGIMEDD >CRE11272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:390999:393456:-1 gene:WBGene00084683 transcript:CRE11272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11272 MDTPQKEFEMKCFIDDIDRLCYAVHTVEENHFNIPWKLKIQQIDGCLSIYLVCLKENQSDHWEMKTEVTVQVLSKDGTSQAMKIYCRYENMDNPYREVFNRFGWFDFMTWDRLENDYAVDGKVTIQANVRILEMVGFEKENLKNFDESVKEFSDIVLIVGDRKFYTSKLFLGFQSSYFKSLLLGDFAESKQSEVVLKDINPDDFQNFLELIHAESPIDDNTIEGILHLSVLYDSPIAIKRCEEFLVEKSKKTLKVKLQMSARYNLKVLKEKCMSEIKSIDDIRSLVSDNIDEMDSSLTKELFKKCIVLTAFTSSAMDTSQKEFEIKFFIDDIDRLVEGIEHTVEENHFNIPWKLEIRRTDDFLSLHLACLRENHIDDWEVKTETTLEVLSKNGTSQTINEICRYGNEKNPDPEIYHCFGWSEFMKWDMLKDDLAVDGEVVIQAKSRIIEMVGFKKENLMNFDESMKEFSDIVMIVGERMFYTSKLFLGFQSSYFKSLLLGDFAESKQSEVVLKDINPDDFQNFLELIHAESPIDDNTIEGILQLAVLYDSPIAIKRCEEFLVKISEKTLKVKLELSTRYNLKVLKEKCISEINSIDDLRSVVSDELDPSISNALLQKFLHLC >CRE11161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:41288:42239:1 gene:WBGene00084684 transcript:CRE11161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11161 MGFYSHQKGTYISRSDLHNQYKFQEIESYWRTQKTFKIAQLFTWFPHLSDWNLTSLAGIQNEIFAAFNLIKSGNLRKLSLRRLRNVSIKGLVTCIEMATGEPASTFLRNVVELDIRGCLIPPCHIKMFDELFPNLQSIILSPDTIIAAKNRKLSTKSITEITHHVNISSEVKFTENENVLAADMNTVAFVREHLPDVRHIFIDLDS >CRE11178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:222326:223317:1 gene:WBGene00084685 transcript:CRE11178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11178 MTILTAMQMKYSPDPQSPYQLLLEASRSEDPSFYLENLKNSIRSSPQQDGGEVTVDQVREYVDIKQWVWMEKQEVEAKRNYYSDEHFSFKTKLAILYACFIFGAICCHLVYNLYLGQEIPIIIRGAFIVIMVCIPIVIIKRLTVPGINFFWLLINPLFYRYYLMKVPELKQRKLELIDCYQYQVLPMRAQIPANRYRHDVLTMVSVGEWLFLAFFRFCYSICRLSYNWSNTEIRAVESFDAGISGIEALCLSVWLIYFWHSSKLARRPAEHTKVGV >CRE11289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:543231:543599:-1 gene:WBGene00084686 transcript:CRE11289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11289 MPIYTGMNAHKNQSAIFARTVPAPFRAIAQSGWTFKENRRVCGGIKGLAPVREFKHRETNSFAYSNDLTKFAATPEFDPYEMTSKNLGYAPLDQFGFLLVNATI >CRE11159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:15278:15716:1 gene:WBGene00084687 transcript:CRE11159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11159 MLANTTTIVFSKWLTLSKVITSVGFFSTTISVTTFLILSFFFVRTNYENYKRYMVVFSLLGFGLATIEAAVNPVFHSYNVGFVMFSLAHPFSASTEVAFTLLLFYTGFYAATISFLTAQFFYRFLAIV >CRE11176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:218162:219293:1 gene:WBGene00084688 transcript:CRE11176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11176 MGQIREHLRMEEWVDWEKGAVERSLHCYKSDENFSFKTKLAILYACIIFGVICFQLVYNLCLGQELSFETRAVLIATTFFFPFFGTVLSLRKPGGDNSDWINPLYNEDYNSIKVSELKERQLKLIDCYLNQVLPMKAEVKACRYRFDVLAMIFIGEWIFYTFFRFCYSIYHLSYNWSNKEIREIDQVDVFISGFVFLILSIWLITFWHAWKMARRPVGYTKQADCGAVHF >CRE11243 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:109505:110890:-1 gene:WBGene00084689 transcript:CRE11243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11243 MELLSLFLLLPMVQSTVHCMRINFAMTSLKIKYFIKCFLKTSRNSQYVLQLNTSKEPTVSIKGSEVYFEFITTTNEAKHEKREFEDFRGIEKFEKLWMYSENVLDEWMHLVKTVIEIFKFIDHIFHFKIDEFPTRNKEIADFIKTRIPVIEYCEFHGKAETDVDVEYFLNHINVTKFVGIRANLSNSFTLPRSNSLQDFSVNPGNWVTFDQFLHLKTCRLFIHGSPITNRELNQFLILWMTSQCHQNLIFLSININDPQYFDTICYLPFEMIDRNVERIGRLSNNNTVSIPGGIDIKRNDGMTGTIHFERRLDKIFLNMVVSRIE >CRE11169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig58:91239:91921:1 gene:WBGene00084690 transcript:CRE11169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11169 MSSLGGSLRGSHVREYANRGGCRETREGERGRDEDRRETCEIKMVLSVPPYFSIVTEFVKIISKLSDHFNFPQFNHLNQCSLDFANWLTFDQLLQFKGARFCIHGSSLTNHELNQFLIFWMSSQCHQNLSFLRININDPESSDTILDLPYEIMNSNVERIGILPNNTAISLKGGIDIKRNDWMTGTIKGGLN >CRE26048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5805:4:849:-1 gene:WBGene00084691 transcript:CRE26048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26048 MEDPKKVAAETESYRKIAFAGIAISTVATLTAILAVPMLYNYMQHVQSSLQTEVDFCQHRTDGLWDEYKNFQTLQGVNGRIRRAARRHTGEVTRSKKIRRQNEDEEVEIIYVDEEGNEVEDQTPTAAPTAAPAPVAQAQVPASSFAAAPPAHRSPASFASSPASLSSGSCCSCGVGPAGPPGQPGQDGAPGNDGNPGAPGHPGQD >CRE13805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig581:8245:8529:-1 gene:WBGene00084692 transcript:CRE13805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13805 MYLVSPFLMFFSLLFYLSINIYKQEDESEAEQEDEPNNDQEDEPNTDQEDKSDTESEPESQDDSKPDHRQGDIGKVTRAQTFWLEKMEEVPVTH >CRE13803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig581:108:790:-1 gene:WBGene00084693 transcript:CRE13803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13803 MSFVQRRFSEMRLRLNSISGKTKLPDKKVFSLVSTILSPLLVPWQRRLETLAVMGFIFMWVILPIMDLWVPFHILFNTRWWFLVPLYAIWFYYDFDTPRRASRRWNWARRHVFWKYFASYFPLRLIKTAELSPDRNYIIGSHPHGMFSIGGFMAMSTNATGFEDKFPGIKSHIMTLNGQFYFPLRREFEYAWWY >CRE13806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig581:10282:11004:-1 gene:WBGene00084694 transcript:CRE13806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13806 MKPIRKMSPKLNRRMRPKLSRMMNPKLNLSHSYHIDSFSIPDSPVVRDLGLLTDPKLKFHAHITRTVNLSLLSLLSHSLEKPLRLYSRKVLQRCNISFSSYPHRLEILNLVSLRHRRLKSQLLLLYNLISGATYFPHLNYHVKISQSPRRPMTLFCCHPSIPDFFASTIPIWNAITTNVPQFLPPSKFETLLNSSITRF >CRE08635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5819:1065:1703:1 gene:WBGene00084695 transcript:CRE08635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08635 MPSSVREQCIKLNGKQVPVRLNSQRGFLLTYWEEEVQGLKTLTDFITSLFSVDVLEITFTKKSIWMIDWVNSKQLTPIATAFCKHGKDILTEEEMLHILKECPASLETVIYPSPPPNFQFRENFRKIDCLILTHGLWVTIENLLNMDGIEILLKTSNLSCIDINVFLKHWLSGGCPRLKYFMANVDDEGFDSIFTDLWDDVVIVEDFPQYRR >CRE14374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig582:5977:6232:1 gene:WBGene00084696 transcript:CRE14374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14374 MRIPPKLRAHIYASGLFVFVTGAAYCAYTGAMEIRKWSMSAPRLQHQEMEDYLRFKEEQARRKVAPPV >CRE14377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig582:2316:2828:-1 gene:WBGene00084697 transcript:CRE14377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14377 MAKKRKIDDEKRAEGAPAEKKEKVEKWLKTSGDSEGATTTEKKKKRPWRNKVRKLAAKKAAAEKKTSENPEESMILEASDDVTKKKKKRGPKKKKFKPEVVEKKADDVTEDSEVVDKIADAKKRLDAGRFRYLNEKLYTCTGSEAFDFFKEVRTAFDLYHKGFADQVLKI >CRE17648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig584:4549:5167:1 gene:WBGene00084698 transcript:CRE17648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17648 MKFLAIAFLLVQSVHGMTLTRVECADNVNHLRAENAEHRQWGNVNKLLYNISLEKTLSEFLHQYNGCPGPIHIGGSEYLVTLNIIEYFPLGEEFMRSEVEKGAFGMPTSTMMACALTTCLEDGRQIFSVITDAVKFSAIKGTPGSNCSSSGRLANSKGLCYLGSDQKKFVRKGVLQQVGDVIDNTLFGWG >CRE16501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig585:11379:12502:-1 gene:WBGene00084699 transcript:CRE16501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16501 MTSPFPLLRVPNLPLARIIDFMEPNELVPLSFCSQKSHSVIKTLRKASCDEHLLVGGSEKNVLSILFKNFGCVLSTTDSAYISSFQKEEYVKLGGQKVRVKFHRLRNHLMTYWEDKLTGLEAITDYVIDLFNIDVSEVCISKYSFKMIEWVNSRQTTPLKKIVYMAMAWSPCSSEDEMNYILRDCRCSSKILIYSEAPPNFRFLNNFRRIDCLDISNSKWVTIDNLLSMDGIDIILGSSTLTSTDLNVFLKHWLSGGCPRLKLFLARIDTVDVSQLLDGLVHNAVLMMNRRNYTSPFGYSRTLTSGVDIRRADGVTATVCYQQTGKLVIAVWPETTYNYN >CRE16504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig585:17362:17749:-1 gene:WBGene00084700 transcript:CRE16504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16504 MDGIDIILGSSTLTSSDMNVFLKHWLSGGCPRLKLFLGRIDTVDVSQLLDGLVHNAVLVMDRRDYTSPFGHMRTLPSGVDIRRADGVTATVCYQQTGKLVIAVWPESTYNYN >CRE16497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig585:808:1855:-1 gene:WBGene00084701 transcript:CRE16497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16497 MTCPFPLFRFLFWIISVFIQTVKSLLISNIPLPPPHFPPKKTSPVIPDVPSISVFLLPEKPLCHKNSTKSTIRWTFLCFRISQKCVIPNFSKSRLCVQCLRLFMFIKFRERKLVKMNGQYVPIEVHRSDEYLVSYWEKTSDGLEVISDYVTDLFNIDVSEVCVSKNAIKMIEWVIKRQKTLLESVTVYGVTSSEEELIYYLRDCTTSSQIEIRSYAPPNFRFSGNFRRIDFLYTTCTTIITAPPPKSHFLGRVKSLCHVPKSG >CRE16503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig585:15933:16154:-1 gene:WBGene00084702 transcript:CRE16503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16503 MTSPFSLFRFLLWIIFVSFQTIKSFLVLNKLLPPPHFPLFRVPYVPLGRIIDFMDPDALRVSSYYNFKSSFSI >CRE16500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig585:9450:10659:-1 gene:WBGene00084703 transcript:CRE16500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16500 MTSPFSLFRLLSWIISIFFQAIKSFLVLNGLLPPPHFPLLHVPYVPLRRIIDFMESDSLVLLSFCSRKSHSVIKTQRRAPFSGRLCVSEYRNYLSYITFQKRNCVLSVSSKDASITSKEREEMEYVRINRLYVGVYRSNGNLVLHWHGNTGGLRNTTDYVTDLFNIDVSEVCVFKDAIKMIEWVNRRQTTPLKKVVNIAWGVIPSEVLIYILRDCATLSEISIHAEAAPNFRFSGNFRQIDCLDIWHGKWVTIDNLLTMDGIVISLEKSTLTNTDLNVFLRHWLSGGCPRLKLFWAETDSVDILQVLHGLMHNTVLVENHRDYTSPFGHQWTFWDGYDIQRADGVMATVHYQPLGGLFIAVWPEASDNDT >CRE16496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig585:12801:13523:1 gene:WBGene00084704 transcript:CRE16496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16496 MTSPFLLFHVPTLPLGRIIDFMEPKTLVSLSFCSQKSHSVIKTHRKVSFDGHLLIGEYHQNASFLSFTYSVFGIVADRNRVLSAHKFVDNINYVDMESVKIGEQHVRVEMDHLHGHLISYWSDWVNTRQQSHVTRVNCEGWKDTLTEDELTHILRDCPASLETAIYPSPPPNFQFRDNFRQIDYLSISDGSWVTIDNLLTMNGREIMMFKSSLTNIDINTFLKH >CRE16495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig585:4187:5322:1 gene:WBGene00084705 transcript:CRE16495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16495 MRKLGGAFERMLISDKVVQSLTRYIILFSAGQAIQATTFFNGVFCLLFTHSIILNKSRDRNRVLSAQKFVDNINYEDMELVKIGEQHVRVEMDHLHGHLISYWSNTVDGLKALTEYVINLFNIDVSDIWASKQSFHMIEWVNSRQKTPLRNVWYADWSTTAGSEEDMIYILKDCRTISQLRICLKLPPNFRFSEKFRKIDCLDIWYGEWVTIDNLLTMDGIVIILKSSTLTSSDVNVFLKHWLSGGCPRLKLFSAEIRFLDILQVLADLLHNAVLVDDHRDYVSPFGYSINLSSGYDIRRADGVTATVCEQENGTMVFAGWPEITHNYN >CRE16499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig585:6381:7638:-1 gene:WBGene00084706 transcript:CRE16499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16499 MTCPFPLFRLLFWIISVFFQTIKSFLIPNISLPPPHFPPKNTSPVIPDVPVLSPHFPLLLVPYVPLRRIIDFMDPDALVSLSFCSQKTHSVIKTQRKAPFIGRLCVSEFDSNFSFCTFGNNNCVLSVRDCSFFSSSERSNYVKMNGQDVPVHVHPLDGYLVSRWYNTTDGLKEITDYVTDLFNIDVSKVCVSKDAINMIEWVNSRQKTPLKSVTVCGVTSSEEELIYILRDCKTSSQIEICSYAPPHFCFSENLRRIDFLEIWHGQWVTIDNLLTMDGIDIILGYSYLSNSDLNVFLRHWLSGGCPRLKLFSAKIGFVNIFQVLAGLLHNAVLVEDSRYYTSPSGYSRTLSDGYDIQRADGVTATVCKQGNENVVIAVWPETTQNYN >CRE20618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig588:2334:6771:1 gene:WBGene00084708 transcript:CRE20618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20618 MNSVSYNLHLLASKSSTKPTIVQNPQIPMDGEATIDRKPRIVLREKKRGSAARVAMKEQWRNSTNMLDSIYLDVAKTIPSVDAMSTLDLRKVPKELNTGTLPAPSSKRFFKNFTSYSPMSSSTLMGKRSQSLAQLGATTPDATREFNRKFKNSSETDTNSTVASEVFGLLEMIEEIQSKANRMYREYDELSSLVNLLAQWHTLIKMNKVSRLKVSQSTKKQSIHSINSLPRNHPMTSSTASDELDDNVLITNEIHSENDRGIDSLRQNCSPYVLDGYNKNGSKGSREGARFRQLKERRKSLGALMDSAEIEKLYLESDYFWQTACNDNNDNHTVTGSSEIDTCLQYHLNRILKCLESLESIETDCPLVYKTSEMLKRLEVETVTLDDLLRIAKSSPALPNISNVLTEIEACPEVQEIWLSTCYPLNSSLIVPKDNLKTQIKLQIAHITEQIYPHLVSRVAESIIRLLNDNVQKETSNVTVFHFVGIFKGRHFEPYIENMGHDAWMVTLLDTEQIQKVAQVVDRLSNVPVVPPLESLKHLGVLLARGDFRISMIVEQYLRQASGHLLSDLLSSYLCLLEDDTTDARLGALKALAIFDNPRISKQITYVAEHDSSEDVRRFATSMLRGFEEEVTRI >CRE10336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:1575:1837:1 gene:WBGene00084711 transcript:CRE10336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10336 MKLESAKNEKRSDEKRILEEALAEKDRVVNQIHESIIVLRTENEVNGRMVQSLMDKLAEVSIASLGGGASR >CRE10394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:228169:228387:-1 gene:WBGene00084712 transcript:CRE10394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10394 MSQTSKRMFACVKNARRRIQPIFLFKQENGRTIRVVEENDVNSEFTIHLTLENGEKDVLRQLKVEETIFDVW >CRE10380 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:30624:32973:-1 gene:WBGene00084713 transcript:CRE10380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10380 MTSIGLFICFLNTSKLKIVGVTMEGQSKTTDVRGFPIQMLPFLPLELIAKHMAMEERLSLALTSTKTEQFLMRCRFHEDSVQTIFFCDQKNGQVADITISTDVGTMVVQCGVAHQDQEMGAGIIKKVVQVSKWCDKKLPTIHNAQTVYRRICRLIPSIGMTVYLGRLDTADVEKVMVAEEFKDWMMACVNGDVDARGVGVVMDHSSLEKSVICSPAVRLPDDFTHEKAFHFKQSHYVNARWVRIEHLLSMRGVQEVTMMYTDLKVTEINTFIHHCMESEEAPLGNSRLL >CRE10396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:231017:231390:-1 gene:WBGene00084714 transcript:CRE10396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10396 MEPFKLMDTPFLVFQKIIKLMEIIEVFEISQTSKRMFASIKYSRRRIQPIMLHIGEKYRAISVFEKKDVDSKFIVHLKTEKVEEDVMRQLRVKDTVLDIR >CRE10358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:249257:249547:1 gene:WBGene00084715 transcript:CRE10358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10358 MAYCLCRSDICNRLPIAEQFMDFEKKHPELFGDIEDAAPPAPPRAPPIFRTGEVPLKIQPLPAGLVPHIPQAASSFAAPILNPPAIVPVNDLRVSI >CRE10373 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:452230:452883:1 gene:WBGene00084716 transcript:CRE10373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10373 MSPSPRREHPFVEPPVNSSHYLQLAQSTLLKLRKKKDSSLRIRVLHKELIKNIWKLPPKPVKTRKVPNILRRRGIQKPRARRGVTAIPKPLSEYIEQLEKESALRETSLHHKMLRQVKTINKCKTVTDLLKTSKKLKFECDRLVAQRSKDNQESMWSCEKCDRGFKDFSSYNHHMEYHSKVTSFMEDSEPKCVEPMGMSSK >CRE10342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:36002:37857:1 gene:WBGene00084717 transcript:CRE10342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10342 MCFWNIGSSTPSYPCTLCIIKSILFPPKKKKPFPLLHLPFVALHNVIKMMDPHELAKLSICSYRLELHLRSYKRKIELIHIHLSNTFYQLDLFNSKDRFIVWFEEEDENKDLPKSMNTVTRLQQFSRSFDAEFDTALVLFQPFPPSLCFDVSHLLLSLYSSPLIDWAFYMDQLEIETVRRYLDIILQGRCEQITFFRSEMSSEFLTELMDKIPLDKKLRIDSGIPSDFRHPNALKFHGSHYKNGRWITLNDLKTIRNVLYVYLDSTIFNCRDINEFLHYWINCDEKMFVQMELQLDESVQIDEDVLKDGLITLRPEQPEEPNEVLCLYLKIKNPNNEKCDMCCLTILDNHQAVFNSWKSEGTSNVFEILELLEKKKSLEMKNEDSGTSEQRKQEIAVEIEELMNQLTKNNESGYIF >CRE10361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:256259:257169:1 gene:WBGene00084718 transcript:CRE10361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10361 MKPDVLKQLEKYVYTTKPFNSENEGFAIVVKKNFIAANRNLAHQVLNKGSKVHLRSVACPRAVTDVTVKAAQEIQNIIMMKLNDEEQFPDYPRESYGDYEGRKVISVGLSENNEIQFEEGVIEDYEI >CRE10417 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:470241:470783:-1 gene:WBGene00084719 transcript:CRE10417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10417 MSSPPATPSLSSVSDIRSLILMSRTKHFKLIEQEDYPWRLVATNRAFHTDLWERLEEIEEEESNKRPPSPEEESVGTKKQKTSGDLEDTEDLSRPEGYDEFSDEWLKDIEEYDGIEDSEYSETDDQPRNGQPESYEPEATEQNSHDIIARFEEWMKNNQDKKIEEYEGYQDLVAIFELYK >CRE10376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:469384:469734:1 gene:WBGene00084720 transcript:CRE10376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10376 MSSSTYQVSRSHKRAFSTTEAAAPPTKKTKTSTVPHATPEVVPRQSKKRSFTQMQTASREFEETDEKPEVKTRAEPMDELDEWIQDIEDYDGFECPNDDDEDFEGVLENMQSVSLF >CRE10353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:211540:212853:1 gene:WBGene00084721 transcript:CRE10353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10353 MSQTSKRMFGCIKFLRRRIQPIQLFKGDQRRLISVYEKNDGNPKCTICLKREKEGNDVMRQLRLKDTVLDYRLPRLGIDNARWVTLDDLLNMECERAFFNDHAFTEEHLKQLRGFNLSPNWEHILEGIEYGVWDEQENKKRPRNFQDHYIYDFEEIDCQNGLDFERKSDGMIGTVMHQSDQIDFFVWNDIQV >CRE10416 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:468650:469015:-1 gene:WBGene00084722 transcript:CRE10416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10416 MSSNAPMLTGNGLIDLPNLIEMAKCKHSKFMKKQEWRLIAVNRAFHTDLWERLEEIEEEESKKRPPFAEEESLGAKKQKTSRDSEDIEDLSRPEGYDEFSDEWLKDIEEYDGFVDWEDSDD >CRE10357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:233880:234194:1 gene:WBGene00084723 transcript:CRE10357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10357 MDQITVETYPSLLERLHVFSFEQSTILDERIVRMRQGDENGSIEAASMISTVLRTIKDSTRRTIVVGSLNRILQARVREGLHLPPAQQFVLTQRQFFVFFQFDG >CRE10360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:254688:255470:1 gene:WBGene00084724 transcript:CRE10360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10360 MKPDVLKQLKKYVYTTKPFNSEDEGFAIVVYEKFIAANRDLAHQVLNKGSKVHLRSVACPRAVTDATVLEALDYQNILMMKLNGVNEEFPYFPRESYGEKVGRKVISVGCNKDTKDVNFSEGVIESYRNGYCYAQLENGAIGNGIFNEHGEFFGTLIPNTF >CRE10385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:176509:178927:-1 gene:WBGene00084725 transcript:CRE10385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10385 MHVQYCLIHDAANLNFLIRIFPAYFSPFSAIFPPIFIIFFSFSAEKRIVTHFRTVDAPDTSGDPLRISHHPSINQAMEDRITTITAKNFARSDFHNASSFFSSPKSDINIPRKTPDTTIHPFFEESSPDLSFHLSGLGIDENNSRRMGTSTSSGQTESKYLKVNRLPSLPTWALDENLEIRSDLTLRKVVDEGLVLMFSMDKSGCHFLQSDYYGENTQNVFFTKCKNIFGNFFLQRVIEFSNHEEQEIIMRYIVSDISALCLDKSACRVEQTALETLDPIYGDVAIPRKNRLKAICTDQNANHVIQKIIKKMELSRWEFLITYLCKTEHDNLLNIGQDKYGCHVV >CRE10375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:464744:465079:1 gene:WBGene00084726 transcript:CRE10375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10375 MSSSTPITQYSETSKPSPTVSPQPLPNATPDDVFNSLGSWEDYAKENNIHAAKKRRRQIPRLRDESEWKEPSITQVHLVPNPFVVKDSDSESKKIQIKRVCFNATISFHYY >CRE10341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:34239:35679:1 gene:WBGene00084727 transcript:CRE10341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10341 MMDPHELVKLSMCSYRLELFLRSSKRKIEHLHIHLNNKFYHVELVNGNARFVTYFRNGEFEKPVITVKRMLQFCGSYESESDKRLTFTQLFPLSLCFDVSHLLLSLYSCPLIGWVFYMDQQDVETVRRYLDIILQGRCDKITFFRSEMSSEFLTELMDKIPLDKKLRIESNIPSDFRHPNALKFYVSQYKNGRWITLDDLKTIRNVLYVYLDSTNFNCHDINEFLHYWINCDEKMFGQMELQLDNSVEIDEDVLKDGLITLRPEQQPDEFLSLYLKFKNPHIEQCDMCGLTILDNHNAIFYSWKSVRTPEVFEILDLLEKKKNLESENEDSGTLEERKQEIAVKIEQLMYQLTKNSQSGYIFEI >CRE10343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:38609:48219:1 gene:WBGene00084728 transcript:CRE10343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10343 MSSFFKPFDQQFSTQLNANAFSTYILIQHRNGVLSSELVENEYEPDESPAESQEERHMQHCLNNTDHMLRMYGTAREFCDHLKIYKNFPGSHEYLNCPVSDLFNTTPMIYDSLKNEKYILKTDLLVLTRNLTLSTLKDALCNVLVSSISVYFMGRERILNEKRIEFVRYDEKVFKGIEKEFLEFTKNKKQNASTPSLYQLSTCSFDKIYEEFKAIHPTKFSKSEDEFLRNELSDFYHQLPIVRRATFFDVYFRNLKSVIDAVQNTVAKHPEWFLPYPKTDPMLRVVRVFEDGKSRFAMISELLSTMNQTYADAEFTYRTVQFENIKANVGSTLNNIEFIRTPIIRAKHKAVPIKTDDGFCILAVDALFDLLKHLIFGAKLFQKCTLVEPAVFSRLEQLFSPRAADRYFIDLKHYDIYREKLMEFLNCSEYSMKLKDVRNAKKDGFTVENLRNELRHLNLTEMFPEILDYAEIVYEWVDKKKQEDVLRTCDLFDAVEMCQLICIFRKFSNLRQFLHSQEACGRVLTLQCDSCEGALRVAWKPLRTAPESLKIQNSKSNASDSKSPSSNWSPGPQNSTSSASSEQKTDSKTGKALEGSSTFVKPPDSAKNQKATPSLVDSESPKILNSKKSNQKKAPESAKNQKSSSEAPPPPAPKKESANCVKCFRTCEMLNETKKELKSTQNKLAMYEKKNLEMDKEKKKKNERILEEQEEKIAKLQKGLEAKDSEIEELKKKNERIVEKKNGEFEEMYREFGVLYKKLANGIDREQENQKLKEEILKLKGENLSRKEEFVNQKECLQRELIEMRPELADAKEKIDKLNHENRQYEAEILEKTGENQRIHVENVRLKAENETKERMIQQLIDRLATSGIQNLNSEDVTTSQYSETPEYASEGVTSQSESPSSQEEESQMTPDAPESIPAPESFQEKRIRIPWFAAITGSWATTSDSGPAFEMRSGASLETTLLFPNQKYSINPESADSECPICLDEVEPKSKKINCNQCKKQFHSHLCFASNITAPEHHSRPVIRGRVVSASVYEPRYADQNIWILEAIQQFLKTDFPLKFDCLSIVLGLVSELHGQFRPFPSQSEERVPSVPWTTPGS >CRE10377 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:471120:471494:1 gene:WBGene00084729 transcript:CRE10377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10377 MSTNVPMLTGNGLTDLPNLIEMAQCKHSKAFHTDLWERLEEIEEEESKKRPPFAEEESLGAKKQKTSGDSEDIEDPPRPEGYDEFSDEWLKDIDEYDGIEDWEDSDDN >CRE10404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:388828:389592:-1 gene:WBGene00084730 transcript:CRE10404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10404 MLKHGPPSLKRALTDSDIIDGYVAYEDYRKLYDHVVKLTHQINELQSSLMESASAKVSERLTDTCPILPDPFPIGQPVSLIVRDDVFTDPSTNTKSYANAASNGLAKPIDTLSIAKEAAKIMDKATRAVVERMPDNKEDPDQEKLDLVFFTKFSTTHGLPVPSEAHRHFSKTACRPLKLQFANNSERDKFLHGFYKVKNSDPSLSSIQNRPRARRDLTKEELKRLYESRKFVYDNNLKEKSSKYIMVDIDYNQP >CRE10351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:189103:189493:1 gene:WBGene00084731 transcript:CRE10351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10351 MPDNSSIGDVEEKEERLQAADFQIPSARFRLFDDVEWRRTTAVSISNNRTTTTSEAKQGVAGECPTRRHFLALIVIKRM >CRE10379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:490765:491283:1 gene:WBGene00084732 transcript:CRE10379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10379 MLMDMAIAKHEIYGKLKELPLQKNTLNRQLYHSLWKRLQKTPESVKRGSSETSEFAQPIAKQAKTDEQVGAEFDLSVFEKPMTLMDPAEILWQNLEAGTSEALPPPPIDDGSLKFDTLDTLNPTDLEPNEDFGAWLKEFDEAHGLNEIDVALDNIFFGNPSDNWNDLLDVTV >CRE10374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:454261:454467:1 gene:WBGene00084733 transcript:CRE10374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10374 MRRVKRNMDLRDKNNRIKFRSSLLSKKDRAWRDSSWETDVDEHEGAESTEKEEESKENEPTQEEKIRG >CRE10413 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:456733:459842:-1 gene:WBGene00084734 transcript:CRE10413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10413 MSSDVKRAIGRPRKHSKESPTKVGQDHTVKSVDDVRCRKGILQFQVSWDHLDLATWEPIESFAPSGIRHWSIKAYLTSEENKQNYKKAVRKYKQHLKDKQAAENLVYDEMENTLSTAGPSSTRRSLSRSPTKSLRNPDLQTPRKHLTDPPTRSSPRKSASSTPSKRARLASTHRSSPARSLSPKRYIPRVRTAMSLPPSDTPPEVPGTTTVYMMTPVKEEEPEEKKDPPPAKKEEKRKLLKPKIAQDPRKPVRRNMDLRDKNNRIKFRSSLLSKKDRAWRDSSWETDDDDEHEGAEPSGVLEKEVKKEEEDDSDDKQSA >CRE10411 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:453057:453452:-1 gene:WBGene00084735 transcript:CRE10411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10411 MSTVVKRSVGRPRKHPRVSPTQVPESPEVSAHNSPEVTIVESPPRTPLSSRKLLKPRIARDATTRRVKRNMNLRDKNNRIKFRSSLLSKKDRAWRDSSWETDDDEHEGAESTEKEEESKENEPAQEEKIRG >CRE10355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:226234:226452:1 gene:WBGene00084736 transcript:CRE10355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10355 MSQTSKKMFGCIKYSKRRIQPMQLFKAEKRRTISVYEKTDENAKLVIWLKTENEGNDVMRQLKMKDSVLDVG >CRE10366 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:292028:292512:1 gene:WBGene00084737 transcript:CRE10366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10366 MFFEDEIRHRQEILHAMLVCNIRSKLKVSPRVLVKRCSSTDDDAPDVAPASKKKKDNLMGPFSSEDFLSRAYAKPLIDFTALHKKQLIQKPQPVDEMPDLFQRIKARVRAKRAANQVIIK >CRE10363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:262634:263023:1 gene:WBGene00084738 transcript:CRE10363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10363 MDLRVGATRGLETRVLALNFTQTLEPNFSKLGGPLQKLNIDSESGKRQLSSDTYHVVYLHTLCESFPSKIENEKLMWIADKYEMEQLLEKMIKELDTIQKAKQLKASDGYNELSDRAKAKILDKIMTII >CRE10370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:362232:367274:1 gene:WBGene00084739 transcript:CRE10370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10370 MTELYIETGPPPPPPQQQQYFHPQPPPLQYGQPSGSGSNNGVVVQNQMNPTTTTSMPSTSSGASHHQNPNPQQYPHPPLHHYNSAPGPSGSAPNPPPPPPPPPQYQQYQAPPPPHAHYELAPLHTVRYEHLPPQPPQSAPPYPRSHVPQYPVKVLDPQQVYAQVQNSRNLRQLPPLAPLAPLPSTKTAFQCPNCNRCLSNGRNLQRHRQSCGQQSAITSTPLPTSSIQEPSAPPAPSNRLAALLSSPTASGSSGGSGAAPGASGVTSTSPPSEYLPHSYSNRMLYSPQEMIVDPNVMLSDGYDYSKTDRYFQSGGDSLWSRDDSFHSEPQSASHDPMDMDLMFQDSLHHDPLRDPLLYHNHPDPLHDPMQDLSHLAPFETEIHKEPSRDYLEPAEMLQTLDPNPQDPDRYFQPRFDPLEMECEEESSSSSQGRETPATTNTTTTSSTAPSYM >CRE10337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig59:1997:2606:1 gene:WBGene00084740 transcript:CRE10337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10337 MATNDDFHKKSMKNLQDFSEKMSKNVAEFAEIQEKAYEEERNAKEAEIQNLKEQIMGKIDVDTANIFRKANEDLKISQEMVKEMEKKLDAKEREMSDLKEEYERNLEEKEDDIRRLRDDLRYSENDAGELYDQMERLERTEERLREKINKLEEKLAEYAENDESDESDED >CRE22180 pep:known supercontig:C_remanei-15.0.1:Crem_Contig592:4316:4486:-1 gene:WBGene00084741 transcript:CRE22180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22180 MVGEVNFIKSTLFLIISIIILLISRFKKVLFLIISIIILLISRFKKVLFLGISVII >CRE21601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig593:709:975:1 gene:WBGene00084742 transcript:CRE21601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21601 MENFSLFYSSEEDALLSYADIRNFQYVWNMVDQEQKRTIPVERVKFLLRLLKGRLEVNPETDRILFKHMCYEMERLHNGEEVSFHDVL >CRE21605 pep:known supercontig:C_remanei-15.0.1:Crem_Contig593:6557:7929:1 gene:WBGene00084743 transcript:CRE21605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21605 MFKKFDEKEDVTGATQLKSSVQKGIRKKLIENYPYLEPHLEEILPKKENFKVIKCKDHIELLADHLGVVRFVKTRNTDYIPTLRTLHKYPFILPHQQVDKGAIKFILNGSSIMCPGLTSPGAKLTPQVPKDTVVAVMAEGKQHALAVGLMSMSSEEIQTINKGNGIESLHYLNDGLWHLAEKSLN >CRE21603 pep:known supercontig:C_remanei-15.0.1:Crem_Contig593:3914:4708:1 gene:WBGene00084744 transcript:CRE21603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21603 MNRLLPLLLILSISGYLSAREIKVYNKCPFTTWAGILGPGNPAGGGFRLNAGETKSVFVDDAWKSGRIWPRTECDGNMNCATGSCGPREQCNGAGGEPPASLAEFTLRGHEGLDFYDVSLVDGYNIPVLIDAQGGHGACKRAGGCFKNINDICPGDLAVRRNGRTVACKSGCLAYNNDQECCRGAFGTPDKCRQSKTAMLFKNACPSAYSYAYDDATSTFTCKDATYIVQFC >CRE30648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5967:593:1210:-1 gene:WBGene00084745 transcript:CRE30648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30648 MEIVCLDLEGVLVPEIWINFAKKTGIKELEATTRDIPDYDVLMTQRLNILKQHGLGLNDIQAVIADMGPFPGAKEFVEWLRTHFQLIILSDTFYEFAHPLMQQLGWPTIFCHKLETDENGMITAYKLRQPDQKREAVKALHGLNYRVIAAGDSYNDTTMLGEADHGFLFDAPENVIREFPQFPPIHGYDALKEAIRKASIRDIPA >CRE06284 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5973:138:938:1 gene:WBGene00084746 transcript:CRE06284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06284 MIGAWCFLDHAGPVVFPQGDGLDVGPHPHIGLQTFTWMIEGTMMHTDSIGSKQLIRPKQVNLMTAGYGISHTEVAPDTETRMHAAQLWIALPDDKINMAPQFDHYPELPIVEQDHIEFTVLVGEFMNTKSPVKVHTELVGIDFFAKESTKTRIPLNPHFEYGFMALEGEATVNGHDLNSDNMVVIEPGISQIEVELPKGSRLLLIGGEPFESPILLWWNLVGRTQEELKAATEQWANQDARFGTIPDYDGPRLEAPAFPDKMRASK >CRE29254 pep:known supercontig:C_remanei-15.0.1:Crem_Contig599:2667:3162:1 gene:WBGene00084748 transcript:CRE29254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29254 MIPYLYIVPPPLPGLSPQQWESDRRAARRAVRKERQEIKRRIRSQKKTWEMVQEELQIARKRKMMSQRKARKLYRRKMKKAKDRQWVKFREMKYTFVSQSRVIMRPPILCVSPGIYRVWSLLLTFETFFKAKNKKRNCSK >CRE26161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:849986:850663:1 gene:WBGene00084749 transcript:CRE26161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26161 MNRFIICSFALLAVFALHGEASVARQRVKEGEKLELAVFKGAKAIKRTVPAGEQIFHFEGVNKGSFVDGKGKKVESSNYEESNGHLIIKKFTKADVGFYAEHPPKIIKTQTDHGFSAVPGPVLEISLE >CRE26456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:967603:968388:-1 gene:WBGene00084750 transcript:CRE26456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26456 MRVIKTCTQDDLMAELFGPIQEASRKHYVLDLDPRTRWCELSELRLTSLLIDYKPVGINREFNMIAIVDRMNRIYDDEPRQSEVFLDDNLKGQFNNQLTNLATGQDVTRVTFPPKYTVRPSMDMTRAKLDTWYGMACCEENESVPVEFHDLADFELPEELRMAVREREEQEKKERQNSEQPLKNGREKRQKKEKDPISNTPSSVRKRKVTTTPDE >CRE26316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1796877:1797424:1 gene:WBGene00084751 transcript:CRE26316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26316 MSKYNGATDAFNDILQKVDETFIQAEAYLRNLHADAVGDKTETKMSVVSPVGSERSCSPIRGYTGSSSASSRSSSSTSSVTSTYGYKTKTYVTLDKKKAVVMASITAKQAIPESHVKKVQQLIEDMFAKNETLTTSSSLSSSSSSFMEI >CRE26138 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:686903:687942:1 gene:WBGene00084752 transcript:CRE26138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26138 MLTTLQTEDKGTGNKNKITITNDHNRLSPEDIERMINDADKFAADDQAIKEKVESRSELESYAYQMKSQIGDNEKLGGKLSDEDKVSIESAVERAIEWLGNNQDASTEENKEQKKELESVVQPIISKLYSAGGEGGEQAAEEPAEDHDEL >CRE26061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:74757:75115:1 gene:WBGene00084753 transcript:CRE26061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26061 MLVLGINRNYALQKLYDLNCPLSVYETCQTKQLIDCAKLYECQSLIDLMDEKFEDLQKKDLKEAEEEKVKNGFLEGQLFIYGCYRDNFCVKENAN >CRE26238 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1333113:1333779:1 gene:WBGene00084754 transcript:CRE26238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26238 MTKLPQTQGVLNFIKKYLYNSTNYKFEDGTTQAINVPYPDSGVYENYILHYPDATSTEILDINIDRLYSEVMVLTDAKIERAYEYILEERDPGNSTTNVLITVGVDDQAVFDGSATSYLHQLQNDYGFRSIVVSIGSQANYLGDLAESKDWYFTATESNSQWVADQISRIICHL >CRE26534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1538115:1538287:-1 gene:WBGene00084755 transcript:CRE26534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26534 MSVVSRYGARVMEPSLDPKVFNVNEVAVGVNLILLYGFG >CRE26388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:464055:465391:-1 gene:WBGene00084756 transcript:CRE26388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26388 MILRFSVFLLFFSICVTFAARIDLQNTGGDDSEEEELDEQFTDKKSKSMYEFLYKRLNQEVVPKKRLAPAVYTIPGPQEPLPGRSHPGDYWPVFPFQNQYSGGLDLDPSISRHIGGDLNIAVPSWGILDIYGRFFNRIHDTTSKFGYVNHPVNMMDLEKEDFVKLMSDPAMHANRSEFVIASTHNLYLFPDAHPTLPLGKFGKTYVPMSCKPPLCNPYQMNFGLGVEHDWGGSDGVEGDIDVPIPISKGMAYRMPFGGKVYYNRENVTVTYGQNLGPIEPFSSLFDYQKHRDPALRIPRRWTRSIREPEPVMTQRPMRNIVMPGMEYMLQQPMELFAQYPYVQKVPQHWIQPYPYFPQRQMYPSMMMPSQMMHGPVRRRRKYRIIPNYHFVYM >CRE26426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:733797:734231:-1 gene:WBGene00084757 transcript:CRE26426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26426 MVVEHGSWDASFNDYVEKLVQGLKNWEKKGEQIKKDISKQKQEWAEKKAYAKFQRKRMLKKLKKLRKNQRKKVMKIRRRTWITFRDFVMTFGRKIVTLDALGIESMTYNHANLSSISHEKTFVPRNKKKKKLHLCYEYNKSEHG >CRE26150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:754601:756471:1 gene:WBGene00084758 transcript:CRE26150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-puf-8 description:CRE-PUF-8 protein [Source:UniProtKB/TrEMBL;Acc:E3LQG2] MSRPISIANTQKSEPVASPTESLVKSIGAQQIVDSVCGSSPLKSYGRHISNNVRNELLPNTPEFQFSTYMHQGGKVLGQNTLYMFGTPPSCACAQENIPINNLSLHLNNMSLHLNSMNNNYLNPNWNAPSMFSLTSPLPSHSCTDIPIHQAPTLRVPVQCSSATDIFSPPSRESTSPDDLLSRYRSNPMKGLKLSDIRGQVIKFAKDQVGSRFIQQKLEFCDSKEKDAIFDEVVAHAPELVDDIFGNYVVQKFFEYGEEKHWARLVDAVVDRIPEYAFQMYACRVLQKALEKVSEPLQIKILARVRHVIHRCMKDQNGNHVIQKAIEKVSPPYVQFIVSTLLENPDTIYDMSVDPYGCRVVQRCLEHCIPQQTRPVIERIHERFDDIANNQYGNYVVQHVILHGSDADRMLIVNRVADNLFEFSTHKYSSNVIEKCLERGAIHHKAMLVGAACSQPEGCMPIVVQMMKDQYANYVVQKMFDQVTSDQRRELILTVRSHIPVLRQFPHGKHILAKLDKYFQKQVVMNYGYSDMSGSH >CRE26487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1186440:1188184:-1 gene:WBGene00084759 transcript:CRE26487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26487 MMRMQQEMAQQHHQKIVAEQQRNAQQQREREQREQRERERERLQAQQAQQALQQQQQQQAAAAAAAQMHPQMMQVIQFMAASGQPVGPQDLQRMLEVIQHQQQQAQVQTQREQQERERKEREAREREAREREAREREAREREAREAQAAREAQAAREAQAAQAAAAQQQIPLEIQQQQQQQHLIMLQQMMMNPAIMAQVQAAQLQQQGQNPLQMMQHAMAAGQGAAGAEMMRRLLPESAMRPQHQ >CRE26466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1016810:1017567:-1 gene:WBGene00084760 transcript:CRE26466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26466 MDEISNSPLFPLLKLPYVVLKNVISKFKQREILEFSFVSKNCNSISKSSNLFRFEIRISFTKDLPVVSLTPSGKFRVSNCHIGEDYFEKREIYMKEIVDMNKLSDDVDEKNLQLSLICADYIFDLYHLNKIAGIYLSSNSSPNKTKTIVEWLNRLISYSMNFLFYVSETNDGLELFLNRLKVNMRTLSLQLTVVKDLKPFIRRILDLDRFEAYGKSFTYPIKWITMDYILSSTFKSI >CRE26214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1154101:1154819:1 gene:WBGene00084761 transcript:CRE26214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26214 MVVVIYSFAGFFGFLAYGNDVQDSITLNLPNDHLGIFVKAVLLFVVYSGFLIQVFPIVAMIWPAIKKKLRNSCGVSTTTKRIVHFAFRYSIVVVVFLLSYAIPRLSDMVPLVGVTAGMLLALVFPSLFHLLIFLPQFECRIGFIFDIFLDIVCIVIGMFFVIYGFITNVQHLMH >CRE26126 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:587280:588257:1 gene:WBGene00084762 transcript:CRE26126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26126 MTITSKHIDYKDADGTVFEGVVYIPENAKNSKVPAVLVFPAFRGITEFEKDKGHQLAELGYFAFVADVYGKGVRPTERADAFATMGPLVSNRVEKLKPRLFAALNTLKTFSEVDQSKIAAIGYCFGGLCVLDLARYNAKLKVVVSYHGTLKPIPDLPLDPILETSVQVHHGDADFHIAKDQVDGFHEEMRTRQADFVFVSHAKAVHAFTEPEADSFNAPGIGYNEKAAKRSWKATLGIFDEVFA >CRE26053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:8800:9549:1 gene:WBGene00084764 transcript:CRE26053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26053 MNWLCVDLPQNTRFTQDPRLYKELTEFKRKATLQSIEGTFWRPKNSVTTNEDPAGIRRDSIDQSNGAKRISISEETFNTKIHVMAITNAIDDMDSVMLRREDHMHQQEEAGTTTNIIQVESRMDTIDFVMKADSIGFQS >CRE26408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:595610:596801:-1 gene:WBGene00084765 transcript:CRE26408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26408 MRILNFPYLVYDNILKHLEPSELLLFSFCSLKTRALVSRMRHTPTHSIFVLDRSEEMNYALVDQPEKEEIVITWNWENEKMGGVRTERIKAKYIDLECRITFKKNSNTPVLWCSFENQSSRKRFATSLHSYMCEVFHVKPEMQFKLSLDYMDELPNTNTVRDVTLLDTNFNPQAVDQFFDNFHVTRALFSKSHRLNNPLKNSIKFHRVNNLFLNTSCWLNPSQFLEMDCENLVMAESSLQIRDLISFVNQWLEGSNTRLKTMYVLSNIGIDADTILDHFDSSPWNPEVDKLEYNKPIHEYCEKIWHDFIHREETRNGVLERKCDGLRAIIRLSTAQFHFHVLHNKG >CRE26579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1920126:1920516:-1 gene:WBGene00084766 transcript:CRE26579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-20 description:CRE-INS-20 protein [Source:UniProtKB/TrEMBL;Acc:E3LRD7] MTAKMFIVVFFLLLLAAILEGRNSDELEYPTENYDGSLTKEELEDIVAEFMKKKNHEHKRRHSPRHKGACGAKAVRKIGSMCPKYCSVEDESLLFDLCTTQMEDDEIVKRCCP >CRE26382 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:434916:435805:-1 gene:WBGene00084767 transcript:CRE26382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26382 MYSRQFLFLSILVVTVSADFSASFNKFQIDNYGKGINDLLARRDIGPHGSYGGGTHDGSVRTSKQAVVLVHGITNTAGTFQGHRNHLLNAGWSDELVRNPFYS >CRE26115 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:533339:536764:1 gene:WBGene00084768 transcript:CRE26115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26115 MEPLLSVLDNCVSSQEHSFVLLISVDIFVFISLIDFEPFSTISFSRRMKLLSFPWLVQDCIQKFMEPTELLFLSFCSLRCRNLVSRMRHTPTYSVFGLKEPETMSYALVKDLKRNNTTLLTWTWKSQMGDRMPEGWSWLKSKDIDFHCKIIFAADSTASLWCHTEKQSSRKRFATALHSHMCEVFRVEPEMQFKLSLEYMDELPYTNTVRDVALLDTSVNSEVVDEFLETFQVTRVLFSATMKPNNPLKDSNRLYNLNNLFIHSAFWLNGSKLLGLNCENLVISLTGLWENDLINFVNVWLNGNNSKLQTFFHIAGPRLDHVAVVDHFELEPWNPETDKLEYKLPVREYGKNLLAEIDRTEMGRTGVLVRRSDGLRAVLRASIRFFHFHVLHD >CRE26452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:949032:950545:-1 gene:WBGene00084769 transcript:CRE26452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26452 MSLDRRESPGLSKDEFRNLYRNIMGDTKTQVNIRQAYTILNCTGKHVTSAHLIEQWRYLDEKISVSRLNDIYEKADELEVDSTGYAKAAKTKTLKPEEILNAVKEGSLIDKQYLEIVLKDFTNDNGCVDIGALLDVAAQSKVEIVNKLLGPKVEEDESFELKVRGCMTVYPKVQCISYTIDVDQPTFCELILRLADNSDVPTDRFNNDLFLVVYNRDHKLIGLTRHVLESRKYSTGMITINRGDEVMIVGMGTTMNRKSSTTERATLIEENSKLSKRFKYTLMNIFDSFDVDLDGLLNKQEMNFYTVASGDSELTDQDWAVYLNSFENRDGCLTMGGFIKIHEVEATDPEGNATADLWHSLHCLGYDTQLSSIYGCSYDIEAHTSRPIGMLPRLQYVVKEHRSL >CRE26477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1097464:1098490:-1 gene:WBGene00084770 transcript:CRE26477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26477 MQWIDRVEMKQYQDCAVAVRVPRHFDEPEEDQLQREIASMRQLRHHDHIALLLGWTNKNDLVCSLLELTHMNLIKYLSQIKETAEQNNSSGFTPMSRIPFQVLYKIIFEVCDGIEYIHSRNLVHRDLTARNVLLTTGLRAKISGFGFCSEPDDPKFTANSLALRFLPVRWLAPECFIGKFSVKSDSWSFGVLMYEIFSLGEQPYEDLFRPEEIIESIRKGRVPAHPKYCSKQTYKIMQSCYQSFMSRRPNFTQLKNAFHVQSTNYYHNPAFEPDY >CRE26190 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1014968:1015940:1 gene:WBGene00084771 transcript:CRE26190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26190 MVNGTLSTERPVLSGVPQGSVLGPTLFLLYINCIGTEFKSNHLLFADDLKLYSLYNTLIDTDLRILEKWCYTWKMKVAPKKCEHIIFSHKNKSACVNYINLKLNDMPIPAVSTVRDLGIHFSSQLSFTHHHTLTIRKAHQRINILFSVLKYSSWKIFIKCFVVYISPLLEYGTVVTSPILKENVIMLESVQKSFIFRVYKNFNMTYTSYLRRLTNELDKLSSQILSNRVLRCWNSLSDLVFPVKPSTAAFKSRISKYNLNHFLSLNPTNY >CRE26137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:685702:686694:1 gene:WBGene00084772 transcript:CRE26137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26137 MKLLRFPQIVQVLVFQHLGLDGLFILSLCSQKMRNQIKSTFWAKSTGLSMVLDSLREEGYIYFEGLFACFKVITWVVENPETTPRESSVLFLKLDNDMISCGLSFDTDTGHPTFGFDEDNWKSAPILMYNYISDLFHTSSDIQFITNTRSFEDLPNIKVFKNFYHEGLRLAGSKLDAFFETHEITNCAVILPYIKTDDLFSRGSIKRRSSLLKVKHLLVYKANFLVINNILSFRGSHAVFLESYMKNNDIKKFLKLWMAGRFRRLDYLLITKLYTPFDPENILFEFNTMPFDESRRSAMYINKSTYVLSLTD >CRE26514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1390009:1390329:-1 gene:WBGene00084773 transcript:CRE26514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26514 MHWELNSDVDTTVMTEIVYLLQIFTSSLPITLQHMIQSGLISRRNCTVDMDVGIVLALHLSCSSQEMMARLQTLLEEQSNCLMLILILMHLMTHLLKLTSICSTVL >CRE26223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1263055:1265965:1 gene:WBGene00084774 transcript:CRE26223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26223 MRIIRKLIIVKSLFEQLCFGKKTKILMRLDTGVSKEVIERIDLKETDEKDCLKCDGACFERKGTIVCRQRENDVRGIHINEQDSLIFPNNVTFSVASSKSSNFSFEFRTLKQFGVLWLEGAWSQAEDGGDFVLVFIDEGKLYVGVNLGADVHLKPISTNVTVADNHWHSVAFRRKERKCELWVDSKKILHVVSSPGDTILDTNGLVYLGGANPKKHKLLKSLDLTNKFVGCVKNLKIFGKEINLLVDSLKSVETPKYCHG >CRE26465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1011120:1014265:-1 gene:WBGene00084775 transcript:CRE26465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26465 MIAFHRWYISVSFVFGFNKLEKVLGSRIEPILENKEALNEKFLVERELSHHWSFSHKNVVSCIDVMSDGTSKYIIMELMMGILYDLLKEQGCIDEEESARVMKDISKGLQYLAGKKLVHRNIKPKNLLYHVKSGNKKQYKLGDMGLVTNFEATTFCGTVDYMSPERFLMKKHSFATDIWAMGVVMYQMTTGKLPLKHPKNNPHDMIDVMKGLLKFDEKHRLTAQQVLHSGWISRWILRWDEEKALRRVSEIRMREGRLNL >CRE26536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1547148:1547914:-1 gene:WBGene00084776 transcript:CRE26536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26536 MAYIPLLLFAVFFYPGVFVFAVVLENAAYVQKERNSERQKEKESFGLLTPSMTTILEDRSIYERNLFSLILVEYSKLLVTDEIKFLRTCNMHLTYSTLVNVILELTALAYSFFLLTVDRFYTYPLMQIILNFVIRCNNGYCQNSSRFFGPADVIGSMTLDIILDSVDWTNYEGIFINFLFFKPCPLVFTLFPLVLAVLQVFATEKHNHVYKSERLMRKHIRERYIRELHFHHFNNDLLNS >CRE26099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:394437:394526:1 gene:WBGene00084777 transcript:CRE26099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26099 MNGVARRKQFKLRPWQSTALPSRHDHYSW >CRE26228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1279158:1279808:1 gene:WBGene00084778 transcript:CRE26228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26228 MRKQCAGTCGYCKLRLSDSKDRNSCHNYLFHNHMPNRHLFISRHILLIFIIFVVIFHLCRSRQFINWLLYQLCLFDFDETTMSKDLWILETTLYPEVFQKNHLWFSSKTVNYIFTFPGTFSSSSSSSSSSSSTCADLVNSSTGVSNCASMASYCTNSAYLTLMKQQCPKTCGYCT >CRE26092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:348062:349152:1 gene:WBGene00084779 transcript:CRE26092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cacn-1 description:CRE-CACN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LRP1] MGKEKKMKKERKRRRSPSSSSSSESADEQTRRMNKTLAEQRLLKKMEKRRQKEEMKANETPEEKKARRMAKKMKKDASRRTADVEDSLIPAELNYTNLNNPFNDTKLTQTFVWGKKLEKEGKSGLSQEQVTKEASQRIRKNLTEAAEYKRIRDSRAAVKEDMEMMKRDADLRAGQMTDSKEREFQLDQVKERTRIRIEQGRAKAIDLLSRYARFSDENTDSSSVPDFELENPIEYMKSTCKSMDDYEDLIEDIKTYRELDDTKEIIATERRGSYWSAK >CRE26383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:436215:438633:-1 gene:WBGene00084780 transcript:CRE26383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26383 MRRTGCLRGHCRDYEILKRTIPNSELKKLTESTGEGDPCSARLAAQSKIHSDEVGKLNTQIDALKKEAENLNMKVLRVNIMEKTLQKSNDQISELKQQNERLTETNRQLTTQQSSSATDVESKTATSSVPRNIPPFRQTPLKPNDPTTSVPKQPIEPDQTGGQKPLQHPPSVPAKRYSFGRQIQSTSNVVPPAPAPTNTLQQRVSPMKRQLPPSIPNEPIDIIPPVPSDNIPGNSLILVHTIKVLSVVPDPTPPTSNTFGSMLPVPHTFQTSARVPTQSLFSSSSTTTVQPQGEKKNILPSIDSAPSTPGGNPTSSLVTTTSSLVPGQPLFGNSSTTITASSSADNMVLPEESVVEGSAGQSSLVSGSIDQQKPTDVDVAANDGESRDSTNVGGVSSSDGRRKRAANDSQLSEAKRQRESPNEAVTSSETRQQSNMAEIPELDDEVLGVEQEVSDEDPNDVTNDESRQEVIDLENDEEAMDDEMEGEEEDDDSFGNDEDFDDDNRVPEEDSDDDDVVVLSDGDDAPEDDNDAESLNDIDDGNDIEEIEIEGSNNDDIGEVVGGEDSQPSLDDQDREAASAVEEAEDEGRDPLGPIDEPSAPADPTGAAGVGSSGRMGQEQRIRLPTGLREAEREDQCSSSNETNEERPGDRMQGRNLARLQQRPLRGAKPTRGVYTPSRGSRGGRGGGTA >CRE26116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:541935:542486:1 gene:WBGene00084781 transcript:CRE26116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26116 MAPRTKRQKATINSHAQRKSAEKPQKRKDDNTFERRILELETQLALQIEQCDRIRMEYEDLENDNEQLLMKYADLVKNAEITKSLLENEKNSHEETIRKMALLEAEMGKVDKIVAHLIDFMRTHKTWGRITEQSIEHLHGIFNKMERRFIAVRDPILRANLIIRQMTYLNLIHDIGDSWRAAD >CRE26345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:183443:184187:-1 gene:WBGene00084782 transcript:CRE26345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gpx-2 description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:E3LRJ1] MASVHGFTVKNAKGEDTPLSNYSGKVVIIVNVASQCGLTNSNYNQFKELLDTYKKDGLEVLAFPCNQFGSQEPSCEIDIAAFVADKFKFEPTLFQKIDVNGDNADPLYKFLKQEKGGFLVDAIKWNFTKFLVGRDGHVIKRYSPTTEPKDMKKDIEAALQAKL >CRE26121 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:561591:561948:1 gene:WBGene00084783 transcript:CRE26121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26121 MTPAYRPLKSLNGRLLRTNINVGSKDASSSSSSSSSSCPSNIYVEMGYRANPGRNKRNDSVARRYIPKSEVFEIDNIRPDEISKIRSF >CRE26467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1019029:1019277:-1 gene:WBGene00084784 transcript:CRE26467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26467 MPKNNPHDMIEVMKGLLKFDEKDRLTAQQVLHSRWISRWKLRWDEESAQRRVSEIRMREGRLDL >CRE26162 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:850996:851475:1 gene:WBGene00084785 transcript:CRE26162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26162 MNRFIICSFVILAVFALYGEASVARQRVKEGEKLELAVFKGAKAIKRNVAAGEQIFHFEGVNKGSFVDEKENKIDSSNYEESNGHLIIKKFTKADVGSYAEHPTKIIKTKTDHGFMSVLGPVLEISLE >CRE26537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1548162:1548356:-1 gene:WBGene00084786 transcript:CRE26537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26537 MVRWNRSRRHDRRGVGGYFVEDSSRENEEDSDDEYDESILKLLPDGEDEDNHRDSMIRYDSTFL >CRE26235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1316474:1317299:1 gene:WBGene00084787 transcript:CRE26235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26235 MNPVIEVVEETVTSTPAPEETKKKKSVKEVKRSKTKKDENQK >CRE26485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1174645:1174887:-1 gene:WBGene00084788 transcript:CRE26485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26485 MAEWSKALVLGTSPSGGVGSNPTLINSFCFSEGLNQKESGGCAESSSPGCRQKGSKTVCVCVADYCNKQGYDMQTSSEEG >CRE26274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1548723:1549578:1 gene:WBGene00084789 transcript:CRE26274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26274 MRRNEKEQLGGDWKKGMKENGWCRKREESHKMSEDQYYYHDSRYLEASSPTNRHDDNRESFTSGYHSSPRPPRTVPRDESPIPDTEPISVSEFSDAHGVPLFRTPPPKRRAQKEQKTNDDDDDDKQSYV >CRE26342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:132161:137296:-1 gene:WBGene00084790 transcript:CRE26342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26342 MTGNPFFIFFFSIIIFFCLFYTFSIEYNVYKSSGLGSIGLPILGGRGRGVMSDSHMQRTFHEAMRQRRTSLPANSLSLNGGKGLVTGSSLSEAKGLIADMLMNKELPGNVATCLRAVATLLEQRPIPINGLLSDFGLPSVIENPYGGESMIVGEMRKQRLRGTPHLSQPQVGQRVLGRVAQPFAVLYPCAFRHEFDTQRAITYRTLSQNYFCVLTS >CRE26072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:195524:196392:1 gene:WBGene00084791 transcript:CRE26072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26072 MTGMKIRNLLLLFTFYSFLNVSHESDAIPLKLRNEFHTLATSRLNYLLSNRSVTDSYLTLLFILKDCQNEVPLTSEIRTSEKQLVLKEVTCGTADMKKWCKEKPERIGILTTLDSASNKKALVRFLCDSEHLSDQNPQQRLMRKMTNCIVVLLIIAIVFSWSMKCTRPIPLHPSNILNLSNKSSTYPSDSFENITLNSTQSMSRSRIASSNRSIFSIAPKKFRIIV >CRE26471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1038968:1043240:-1 gene:WBGene00084792 transcript:CRE26471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26471 MQEIVDSWAPRVTDMDLWECWNIRESTIPSQEVECDEDSNENWMSLFKNPKLRLDTLMLNCWTYESRNKLRILERNCFNHNIHVKKFEIRNIFSITPTVLNMLDRETLEEVSLHITRESVEKLDEFLSSEHLKGIKMLNITTDLCPSDLPLRNFQNLPRLTIGLEGKHVERRHANFIKKLADFIKNLLNSTQLQFCHLSERYTVKMERIMTEFQSRETMVPGFPNIRRFPIPGSNMSSNRILLFTLSSLLSFSIAADSCRDFDNNCRDWITVNPNACDSTEYIKRSCLKSCGQCGDVDPKYDLRRLSQELTPIGFLVGKWRSEHGGKAIFPTIPKFTFGEEIEISIPDDAMQSAHALNYTAFAWSINDKDELHSEYGYISVKPNTKEVALTTVMNNGFVTVEEGPVNGNQIRFRLKDIGRISFSRDLPVHDLVREWTLLDRSTLQARLNMETLTHGMQEHTFIRYNKIEP >CRE26276 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1556090:1557923:1 gene:WBGene00084793 transcript:CRE26276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26276 MIFPIGIPMLRKKRESAQEAVSLREAAERRSQFARVPARSVSAPPRKKWFRSKQQLSGATDGMDGSSRAGSQEIVCDEFTKKLVAAITTWHDIHSSLLQLSIERSSNDSNEDENVSENVSESSWSSASESDSDDDGNGDGKESSSNHLSASAARFSISNPDLTNCQIKQMFPEMADHWR >CRE26460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:971694:972673:-1 gene:WBGene00084794 transcript:CRE26460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26460 MSLVWRLLRDEIEAGSIEIDTLNADPDELKDIQTLFPKIFANEFVSSAIEHESTLENSTTLISYESTMQSTADHHVLPYLTESFEQFETLGEIETIGTTENEDSDCEIIYSGPALFLPLAMPKTELFDKDYGISEQEKSEESERLKAAKQYRKALLSGKTKTALLQKKRKQKRQSKIYREIVYEKMSTKFAIPPEDFRDVVDEIMEEEFPDHQIETGAIMALQHESEMMLTKMMCLASHLADYADRETLRKKDIDFLKFLMSKNMEGCSFAM >CRE26127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:591460:592468:1 gene:WBGene00084795 transcript:CRE26127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26127 MSVAHSLLQYKDSNGKVYEGDLYVPRTTGMRKLPGVVIYPAFRGITEFEKEKAKALAQEGYIALAADPFGKGIRPTDKMECLGMVRPLVSERVKGLKPVIIAAVNAIKTVQGIDVQKIGAIGFCFGGTCVLDLARYNIGLKAVVSYHGTLKAIPDIPLDKIVGTAIQAHHGDADTHIPKEQVDEFHVEMRARNADFVFASHAFAEHGFTEPDADSFGLPGVKYNEKAAKRSWSATLSLFKEVF >CRE26593 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:2007128:2009242:-1 gene:WBGene00084796 transcript:CRE26593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tsp-18 description:CRE-TSP-18 protein [Source:UniProtKB/TrEMBL;Acc:E3LRF6] MKEYFRFQLHYPKFVALHLQRRIITIVISRDPMRPSFSLRTFILWKRLAAQKTTQQFLEVVQKLLELQILNQDLSFKNNENLSFNLYAYSLFPILIAFVFSNTFLVLFEICINFSYEMVVCLMRISSKSRKIIGISNAILIGIMIFLESAQILLKSDKYLEFKNIGILEWLSDQDSLFLYSNLVISTLLIVSIVLLVIVFDVVISQESDHFHVGPRSYSLPIYLSFISFILCILSYSLSLRVSSEFDSKMTKLFMTNYLNYGESRKSRRLIDRIHVDYSCCGVKSIDDFVDLSEIDKSLPTQTKLWSCNEWEYCGVPLSCCKTVSCSQKVELLKEGWDPENITKQWFNRNGCIEKMENAYLFLSYPNYILTDFAMILVLGFHICSMILSQILITSSATLHTAHLETSEASYAWLIDVGQPDPLSLVKKLNPDIDLSEPKSSDHNEEYQGEENDNVEEIISLAACTTEKETKTEIETKNTESSTGTSRKGTSSTGATHASAVSASVMESPGQFSSNEVIIYDSDTGVAKRMPQKTKKTKGDSNLKKNKPKIARKNTETKRKQSKKPSGTNKGRNTKTKKAPNKNLKKKTTANQKKKK >CRE26386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:460210:462233:-1 gene:WBGene00084797 transcript:CRE26386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26386 MWIIVVILFSFENFCLSCRIESSLHGIYRKQSNNLMPVEGGVGRQSIIYEDITLTATSISNYGDCYTKYGPSYIMGLKRMGRPICFRCLTPILLSQNVLQLAHQYEENCYETEKEAKMTCFDSALVTISDGALLFRRFLLEFNKFILSFLLGTSDEQAASCSPIDGRFEVSYRMNDAALSCESGDGTTANNCESSSKIQIQFKNCSFPDFDMTLRCVGSWRDRKNQQYFIVQNEENEEYRCGIVIEETNVRKLYFANDSSCSSLSMKTAFDSYYFHSGAIAKPFAPCAFPVWMQGEFDSMRVSSNELQYLQHHVGAVPLISHCVKTFEDRVMVFSETKCGEPLGYHCLLFNARSQNLIEFKTSVPTDKSNTSICTNNTQWDNVPWFSSVVLNTSPYPCGIFGTFSTPKTLDQDYCYDVVFDCNDSSKMDILAYHCNDGVIFDSKSYTCLASWKHDDVLLIYANRGTDEKECFVTHFQDGKLYVAATGDQCERNIDFAKNQELIMVLEEKREFHSFNLK >CRE26149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:751335:751589:1 gene:WBGene00084798 transcript:CRE26149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26149 MSKMQTLSTKSCRKGPNPNKVVIQDSKPEKKTTPAAPTPKTEVTAPPPTEGFKIKDEVEMLRAGFKNKHGDAVKTQGQTMNVEL >CRE26173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:897802:898964:1 gene:WBGene00084799 transcript:CRE26173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-36 description:CRE-COL-36 protein [Source:UniProtKB/TrEMBL;Acc:E3LQJ8] MKIDKEDDQQQQMRRVAFFAVAVSTAAVISSIVTLPMIYSYVQSFQSHLIMETEFCKTRARDMWVEMQVLHKSGVTRSRRNAGYKEGAGGGGSGSGGYGGPTGAGAADVGPTCCPCQQGPAGPPGPPGDTGPNGNDGHHGAPGVPGKEGSILSSALPPSEPCIICPPGPQGAVGQQGPKGPPGPKGKSQERAGDGKNGEPGMIGPPGPPGGVGEPGPPGPAGQPGRVIQVNGPAGPAGPRGVKGPPGPKGLPGIAGLTEGGGQGPLGDNGGPGPVGGQGPPGPQGPQGPPGDEGSCDHCPEPRTPPGY >CRE26063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:83404:83742:1 gene:WBGene00084800 transcript:CRE26063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpl-43 description:CRE-RPL-43 protein [Source:UniProtKB/TrEMBL;Acc:E3LRH6] MAKRTKKVGIVGKYGTRYGASLRKMAKKLEVAQHSRYTCSFCGKEAMKRKATGIWNCAKCHKIVAGGAYVYGTVTAATVRSTIRRLRDLKE >CRE26140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:691814:692050:1 gene:WBGene00084801 transcript:CRE26140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26140 MIDFIPANCKQPDWSKGVDIERETDSMLATIVLERNQFRFCVWKDRFPKQIPTTPDYHGIPKCLREGKFVPYRSTHLV >CRE26078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:257065:257883:1 gene:WBGene00084802 transcript:CRE26078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26078 MEDYNPLNDVDFHVENQNEYQLAQARRRWRLQLAVGMHLARHVHLENQNEYQLAQARRRWRLQLAVGIQLAGHAHLENQNEYQLAQARRRWRLQLAVGIQLAGHAHLENQNEYQLAQARRRWMRQLVCLRHLFLHDTHMASIQNPPQIAPPEEEEMIYFEQIPFEEWAEDI >CRE26586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1972490:1973622:-1 gene:WBGene00084803 transcript:CRE26586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26586 MPSHSPYSPSETYRIANSYKTRVMKLDTRRVLLETQAAVLDLQITEQQALCAVLKNVDQERYVIFVRSLKYLREMEKGVKEELKNLRLQFGHQCEILKRRISMVKEKNFPVGSFIIMK >CRE26532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1535403:1536302:-1 gene:WBGene00084804 transcript:CRE26532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26532 MEVFRRTGAVVNINMPIAITNVVLLYGFFFIIDYAIKVRGITQRGFFCVDPSIHYTKKSSTVSSLEHRIYNISLMVLSVIIVEALRQFRKTNRSSDLTYRIGTTKIPKYLVAVLTFIGYSQVGYIVNELLVKFVKGFIGRLRPNFFAVCDPLPIKQCEIFDPNTYVDEYFCQGVEDDVEEARKSFYSGHSTVTMYCAFWTVLYLQARLKPALRNNVIVAILQTLIMAGGLFICCSRISDNKHHSSDVFVGIVVGMSLASISVSLIV >CRE26363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:298286:299565:-1 gene:WBGene00084805 transcript:CRE26363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26363 MTSWSSLPAEMKSEVLKYTNFLTCNNVRLCSQADKEAVESMKAKVPFLKIGISLEAVNLLIIQNPNEILRIDLIAEENKIYRSQNLDIGKDSTIMKSDSFLEEISNFLNGIFQRKLEIESCLIENKDVPTHHEMLKFIEKKLPATCPKRLVLSGKFFSNTHKSLPFLKKEKKPEVLIRNWKKNISKRWEGYSLPIIKIYEVSKWKSKYGNQEDIIAWTAIELVTYIARIASNPIYRTHWLPGYHVENNERSLNSYGGTFEQLVNQTPSKTNIKFRFPNYDTYKLRMDSKKINFVKFTECGISMQVGSPQNIDMLDSTCSLEFMCPRCANHSMEDWYFREVIGNLH >CRE26432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:780592:783484:-1 gene:WBGene00084806 transcript:CRE26432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26432 MCRTDEKFRREDENNTFKFANFKLQSMMQNEIAYVNIDARQRQSGQLRGKMGVAPFPAAEQVERRAREKGGAYAESSIKVKSRGGASS >CRE26147 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:742443:742878:1 gene:WBGene00084807 transcript:CRE26147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26147 MGIHRRTRLNVVDNSALGKEADTTGKLPYCIHVYKQGRRAKHMPHAELGDKILVAIRGQMRKAYVVGANTHVHYRKHGVPVTDQNMIVLLDEEGNPLGNRITAPIPTKLMANRANVQFSKVLALANKYI >CRE26341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:122290:122927:-1 gene:WBGene00084808 transcript:CRE26341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26341 MLPSRRSESHSPASRCKSAGHPLTTNGFHSIPSSASSSTHSMNRQGSVDNLIFKLLTRQKYVAKCLGFVPARSSVAIQTTPIVERDLVGCFQTEASSFEVHRNREFPTSHFQQSHLQPDCPQYPQSQTKRGAAGKGPDDIPFRIKKTIPLIIEAYCSVVFAPI >CRE26402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:560681:561168:-1 gene:WBGene00084809 transcript:CRE26402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26402 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3LQ92] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE26258 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1448448:1449436:1 gene:WBGene00084810 transcript:CRE26258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26258 MSNSSPNPVDPSCASEDLLNALTSPLMIFNHFFILSVIFAGFVVSRFAIRKLLNQNVFPTCTKILLLTSVINGIIHQGITAVIRVRTVVRAIRFANDPCSILFLTADCFVDGIVYYHTNLFSSIVCISLFLDRLLSSNPRSFYNSFQTTATVIFIILQIGIPILLIYWIFYDSAYTSYVAMCNYPTATASVKFFYVTSTRLYVLGFVLVISVILFIKNSKKEKQMVHNVYDTESRYNTYENYLATKAICWVIFSQVVCLLSTSFIRKL >CRE26179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:944165:945463:1 gene:WBGene00084811 transcript:CRE26179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mmaa-1 description:CRE-MMAA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LQL2] MVVRALFQTSRFAHISIRYSSLAAASFSKPYIPSVAGVQKSVPFDNLLDQYSRVHWDDTVTYDDPTVQKLFHNLMAGSRAALASAITFVESKHPTKRAQGNLLLKMVLDEEREKYKKYGRDSMIFRVGISGSPGVGKSSFIEALGAELTENRGKKVAVLTIDPTSAMTGGSVLGDLTRMQELSRNPKAYIRQSPTSGSLGGVTRGIHEAVILCEGAGYDIVIIETVGVGQSETSVSDMCDMMCLLLSPAHGDELQGVKRGIMEMSDLLVVTKDDGDLQAKAKMTQAEYISALKFMRPRLDVWRPKVMRSSIMNKESVSEVCSSLYEFWDTIGESGDLEKRRQDQMKKWMWNHVKDEIMAVFQKHPKIAHLAPKLENEIKSGKITPGLAAETMIRTFFGV >CRE26473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1067473:1068086:-1 gene:WBGene00084812 transcript:CRE26473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26473 MVSQVRSITPPPGTEGNPLMNGEDHVPLLNTVMAQMYKEDNMAANNHSADQQEMIDHGFGEVAGPSSSHNNVGNSMQNGHSRGDEEEDDDLDELNDDELAYEEDVEIGDEEDDDEIAANGDMLPVPKEEEEGDDKAVIKEEVPDDGEYGAAKLAAD >CRE26566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1804517:1805284:-1 gene:WBGene00084813 transcript:CRE26566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26566 MVLSQSHPLHHHHHHDHFDGNERSFLSILTDPIVSLFRSIPIEILDQNEELSPSQKEFLDDFVDIQVECDFEDPKELLELHDEIVRNEVEDVLNGILDKIEEHDDLSTEDIESSFVDVPQIIIHDEEEYLNPYGINTQSFKEEKERLQEIPVELTDSGLFSDIDDVENEEESTAELETEDFIPVQLFDHLKSEPPTEEPIKEITTFTSCSFIRSQSSARCKIILPDTSFICTLPPVSATFSAPPIVTTKKVVKRK >CRE26192 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1018533:1018571:1 gene:WBGene00084814 transcript:CRE26192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26192 MTMPLDVHKPRK >CRE26089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:327735:329832:1 gene:WBGene00084815 transcript:CRE26089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26089 MKFLRFPWLVQDYIQKFMEPTELLFLSFCSLRCRNLVSQMHHTPTYSVFGLEEPGKMSYALVKDLKRNDTTMLTWTWKSQIGGRVREERSWLKSKDIDFPCKIIFEPDSTALLWCPSENQLSRKRFATALHSHMCEVFRVEPEMQFKLFLDYMDELPYTNTVRDVALFDTSVNSNVVDEFLERFQVTRVLFSKTMRANNPLKNSNRLNNLNNLFFCSAPWLNGSKLLRWNFENLVVYDTGLMEHDLINFVNVWLNGNNTKLQTFIQLGIARLNNVAVVDHFELEPWNSEVDQLEYKLPVRDYCEYLLAELNETTMERTGVLVRQSDGLRAVLRASIRFFHFHVNENMVCTFVMCKPTPKALRKG >CRE26312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1777028:1777925:1 gene:WBGene00084816 transcript:CRE26312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26312 MISNVRSSLVYQVLLNLQKTLSLVYFLVMFILFFYKGTILPYPRYVRVMEFFIIIPFAPIEYLRISWGSRGNLLESTAFLALSTILSVPIIIILVYLEFFQNYVLFIEEIFTYVVGFFVILQTLLSLVLSITFSSSGQPASGQRTS >CRE26525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:1479517:1483444:-1 gene:WBGene00084817 transcript:CRE26525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wrn-1 description:CRE-WRN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LR20] MSDDEELPPTRPCSDNEQDELPETEPEDNEELEKTGPEDNEELEATEPEIDSDDSFDNAATSKPVADEDYDSFDDFVPSQAPTPIIKNSSKTKRSFQETIESESSSDGSDAGNDSEFVPVDDETQASQRPARQYSLRARSILKEDENSWNEGIDGETSKISEEILNESLDDIEFVDSVLDKKTNIKRKNHFLDEGEFFTDGNIVKIDKETRTKWSTMTSPPPEALKALNEFYGHKGFRDKQWNVVRNVLAGKDQFVLMSTGYGKSVCYQLPSLLLNTMTVVVSPLISLMNDQVTTLVAKGIDAVKLDGDSNHKEWDRVTNNMHRIRLAFLVIIYLHVSRNGYECERSGIIIFVSRTLVFLFRVNSKILEFSDLSLLAIDEAHCVSQWGHDFRNSYRHLAAIRNRSDLCNVPLIALTATATVRVRDDVIENLKLRRPQITTTSFDRKNLYISVHSSKDLATDMGEFLQTDDVKGRHFGGPTIIYCQTKQMVDDVNSVLRSIGVRSAHYHAGLTKNQRERAHTEFMRDKITTIVATVAFGMGIDKPDVRNVIHYGCPKDIESYYQEIGRAGRDGAPSVCRVFWAPKDLNTIKFKLRNSQLKDEVIENLTLMLRQLELVLTTVGCRRYQLLKHFDPTFAKPATPQADCCDRCTEMLNGNQDVSSSTIDVATESKWLFQVISEMYNGKTGIGKPIEFLRGLSKEEWRMKTMNQKQLFGIGKNLTDKWWRALGSALRLAGYLGEVRPIQMKFGSCVVLTDIAERWLMNGKELKLDATPVLLQGKKEKNAPKSAVGSSRGQSSTQVPTDEKKILGASKKREYKSAHEIEQLKNLKQENEDGLPEKIRQLRTRLDDLRVEMASMYEMAPFQIVSNTVLDGFANLRPSSTTKLDVIDGMSAAQKSKYGKRFVDCVVNFAKETGISVNVNANDTIPPHISAIMEKELSDSIRRVYLHHLITKNDPKEVAVARAISEGTVINYLVIAVEKGLPIYLDKVNVSRKNIAICLNAARVHLGSNVIRLAPWKEVIGDVVDYNQLKIIRAILMYEYGLDESKESNGSPQPSSQLSIPTPVSTTSTPRAPPPKKFKL >CRE26083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:280446:281937:1 gene:WBGene00084818 transcript:CRE26083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26083 MTSDRCIRIMALGFVMWNSRVAMADDKICYQCASPNLQNNWAITGLPLRPSTLKYDELCKELGDPAKELVTKTCSSSCFELLLPENGEYDVVRGCHSDFVWDEFKVTTNLSCHMTLVKTIEGKDFYAGTNFCPPVATIPCNKQFKTDDIQSAVDNENCRTDDVHTCKSCSEYDGSGSCSPSTTGTCKGVFCTKTAGTLNGGTYESRGCASFNPIGSNVCSWTDQTYNVSTGVDMALFPPVGARKKRAISMPFRANQCYCQGELCNSSPRFSTFLLSLFTVSFLVFS >CRE12885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:117905:120140:-1 gene:WBGene00084819 transcript:CRE12885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12885 MNLLLILSLVGFAAAGDSNSVNTWGGVIQYDEDWGYVDIRTNAHTFWWLYQAKPANSQRPLFVWLQGGPGSSSSGFGNFEETGPKTLSGTDNKGTWVRLQVADMVYVDNPVGSGFSYVDDKSAYTTDITQIGQDLLTWLRRFLALHSEYRTRPFYIFCESYGGKMSAQFAKVITDAVKAGSLQLNFRAVALGDSWVSAMDYVNTWGPYLYANSFLDDHQLTLVNAEAAKCQALADQQKWLKATNCWGNMENLIELETNGVSWYNILKKGDTDDWSSSAMRSNRVMTSTRRLYNRFVAPQNSDTLSNYMDTVVRKKLGIIPDKVKFGGQSGDVFSYQEGDFMTPVVDVVDQLLKDGYNVVVYNGNEDLICNTMGTAAWVNRLTWSGAQTFNSTTRHSFKTDSYPLAGYYKTYKNLQFWWILRAGHMVAYDTPESAIYMLKAVVKQYNQ >CRE12877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:81784:83504:-1 gene:WBGene00084820 transcript:CRE12877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12877 MYCQVTPLLLLSVFVYYTKSDQLDDARQSCKTQKIQIPSGRTVSSEVIALQYRDLTLSNIIHDECEEREEPEYVRTKRGVGRALKSFSEIGKKVYKGAKSAYKATQPYMKTFKKTGKYGAKALRNGAKLATSDFALDALDMALDSKWDLKKAVESSEEYEQDEEDRPSRRIEKQLDEFRGDLLVLLDENIIQGHIRQTASVKKIVKEMTSLELKASDAHCYKDGFTGRYSIRLRVETDEKMTAVAKHCEDIGEVLKDKNGKDVYEFYEITNEFLVERDEISYIVNSTGCRQNNREKVLKFCPETLLRREDCSVMSVEHCKKSLKDLSEDKKISRILPTGLVYYGPAELLTLERKGQSLKVLMNPYHLEYIRIFDRDRLIIGNDVVYG >CRE12873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:69050:69463:-1 gene:WBGene00084821 transcript:CRE12873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12873 MELFENFPLIIGKCEDSIRNIKVTKYATANNVYWAIPPIRQSENSSNALVMLGIRDGDSEAISKIKTTFLSLKSYGTSAYGSKNIDFDTFYNYVIGVNDTGLQTIYDSNGNIVELKELSGFIFFRDVLGEKVSFIML >CRE12882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:93674:95749:-1 gene:WBGene00084822 transcript:CRE12882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tag-314 description:CRE-TAG-314 protein [Source:UniProtKB/TrEMBL;Acc:E3MQR7] MATEMSNTNKESKLTEESDQKVETQKKKEDDSRIVGCEVCSEKEEVRIILRQCGHVVCLPCILEYVKNRIIVDGHPRFKCPLVTCNEVIHDNDINAVLDEKEPALERYMSITHRRYLQHKQYKHSVIAALPSSDIKRCPLCRAVYMHEPGCNYVICANSVCNTAFCWHCEKPIGRPCSHFTTASHCRLGYLDYERIFRSIQLALDVNFIILWILLPFVYLIAFLYIPIFILFLVPASLAYDAYRKEKDSHDFLVPIDVITIIFRIVIGIVVGIVVSIPFAVGSIVSGFVIVFFYMGFLIIRTIPCGLSGDRVGTFLCFMRWAGRLFKIGPYGKLLDEARRERRDALIKLEENQDDYENALGSSSTTSGTGRTAKTSSTTQDTATTLLSTTENAENLGTAGRTSMTMSKSTEKLTSTSKA >CRE12826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:43380:43856:1 gene:WBGene00084823 transcript:CRE12826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12826 MTIFIALCLIATCIATDGEHECPANEVFKTCGTACESTCENPNASSGPCIEVCISNVCQCAPGFVRNSSGACVKYSQCSEPTPKCGENEEFKECGTHCEPTCKEPNPACIMMCKENVCQCKKGFVRHEKACILQVHCPKLGL >CRE12876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:79297:80884:-1 gene:WBGene00084824 transcript:CRE12876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12876 MEDSKYIIFILLISVLNGERLEHDRLSCSTPNTVYIETGVYWWTTSRIMLNIFSFHEDYWDALTDFECQHKRTARIMTGRTKGLNRTNLADPLIEDLENRWRQDENFRMFFVEKEAKVMNGTIPLEVTKMLMKSEESPFSIGCKDNKINVNIKMMSLEAVNVIKCEDVGEEVQQDFYEYFDISTPWMWESKNLTYYIQEEKCTVISGTLLCPETAIKQNPICSVYNIELCEKTPVHRDPESPTTSYVRQLPGGVSVFGTFKQQTQHNEGAENRTQLLKT >CRE12891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:166535:167348:-1 gene:WBGene00084825 transcript:CRE12891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12891 MVVGRLLLASILVTAVATESVHWQWREIRCKENETNEQGQASACELQLKEHENDENPRVVPFNTCTDETVNGELKTYCDILCPGADTAYRITRWPQQHKTCFSHTTYRLERREDNFYLWRSGDCRTSTIGFTIRCEFKSPRDDFLSDQELFRVARRLT >CRE12847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:231866:232217:1 gene:WBGene00084826 transcript:CRE12847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12847 MGHLAESGCCFNDPEYKDRKKKLKKDRLCIKCLLPHEEDIPCTSNKKCHHCWKKTHHSSMCPEDIEIKWEESDGQSNIFSVHQLKLW >CRE12880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:89795:90936:-1 gene:WBGene00084827 transcript:CRE12880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12880 MSEDPKQIAQETESLRKVAFFGIAVSTIATLTAIIAVPMLYNYMQHVQSSLQSEVEFCQHRSNGLWDEYKRVSLSILHRPSHNHFVFQFQGVSGVEGRIKRDAYHRSLGVSGASRKARRQSYGNDAAVGGFGGSAGGSCCSCGVGAAGPAGAPGQDGAPGNDGAPGAPGNPGQDASEDAVAGPDSFCFDCPAGPPGPAGAPGQKGPSGAPGAPGKSGGAALPGPPGPAGPPGPAGQPGSNGNAGAPGAPGQVVDVPGTPGPAGPPGPPGPAGAPGQPGQAGSSQPGGPGPQGDAGAPGAPGAPGQAGAPGQDGESGSEGACDHCPPPRTAPGY >CRE12879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:86962:87963:-1 gene:WBGene00084828 transcript:CRE12879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12879 MSEDPKQIAQETESLRKVAFFGIAVSTIATLTAIIAVPMLYNYMQHVQSSLQSEVEFCQHRSNGLWDEYKRVSLSILHRPSHNHFIFQFQGVSGVEGRIKRDAYHRSLGVSGASRKARRQSYGNDAAVGGFGGSAGGSCCSCGVGAAGPAGAPGQDGAPGNDGAPGAPGNPGQDASEDAVAGPDSFCFDCPAGPPGPAGAPGQKGPSGAPGAPGQSGGAALPGPPGPAGPPGPAGQPGSNGNAGAPGAPGQVVDVPGTPGPAGPPGPPGPAGAPGQPGQAGSSQPGGPGPQGDAGAPGAPGAPGQAGAPGQDGESGSEGACDHCPPPRTAPGY >CRE12875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:75989:78927:-1 gene:WBGene00084829 transcript:CRE12875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12875 MSKRWKEYKWILPESRSKIIEEECRYVMPSRRPPRSVRTKLPADIVFRNFSEEDSSETLDDPEDFRRAFRSMMHNVSIHPIIMKELQQGLANYLNNHLKPYLRIGRYIRAPRCIGNRTVELFVQVLELDELIIWKCEDIGVIKNNNYEYYKLTPVWMVEDEDNNTFKINLNECKTNKHNHIFCQPDVKVYFNSTCSINKIDTCGISVGIPRGKRYSVSRILPDGVSVYGSIHELSKIILNHPEPNLRLGSMFHRAQCVKEFKRSKIQVTFPLLLPEELLIWKCEDIGIIRNGTYEFYKLNPSWMVEDEGERTFKINLNECVKNQFNQTFCQTGAKVFYDAKCSINNVETCSRTYVKPKEKHGSYSRTLPNGISVYGTIHQISKIRRKSAEEKKKKSTMRPLTYDSPGLFYFSFNTTKIPV >CRE12909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig60:340376:341141:-1 gene:WBGene00084830 transcript:CRE12909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12909 MRLGVSSTRTKDPRTEFAKSEILLREVYARVSIGTTPDQWLVYWSMRLGVSSTRTKDPRTEFAKSEILLREVYARVSIGTTPDQWLVYWSMRLGVSSTRTKDPRTEFAKSEILLREVYARVSIGTTPDQWLVYWSMRLGVSSTRTKDPRTEFAKSEILLREESVLRAQKILRTEFAKSEILLREVYARVSIGTTPDQWLAYCSMRLGVSSAIRDDYIHAVILNDPVIRFMSE >CRE01441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig600:2506:3433:-1 gene:WBGene00084831 transcript:CRE01441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01441 MPFLTDCLAIFFILIVAGCYISAFLIVIYEATMDNLAYSNIGDDTPAPTAQISQEEYEMELRHRDQMARALGSDSMREMNYIVRQDSVAPEAVAQEAPKAPEAGPIQEAPKVTEAQKTPEVHNPGNSFWRRQWELLKSIVCCK >CRE26049 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6005:35:991:-1 gene:WBGene00084832 transcript:CRE26049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26049 MDQAFSFIDHQICFAVKSNSNLAVLNVLAKLGSGFDIVTGGELARVLAAGGDATKIVFSGLGKQEADIQKALEVGIACFNVESHAELDRIQKVAAGLGKKAPISLRVNPDVDAKTHPYISTGLKENKFGIPSDSVFETYQYAASLPNLDVIGIDCHIGSQLTETQPFVDALDRVIMMIAKLKELGIQLKHIDIGGGLGVTYKDETPPSVAEYANAMRPALEKLGLKVYMEPGRSISANAGVLVTKVDLLKPTNYRNFAIIDAAMNDLIRPSLYQAWMDIQAVVSRTDVEAKTWDIVGAICETGDFLGKERELAFQEND >CRE09891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig602:8453:9131:-1 gene:WBGene00084833 transcript:CRE09891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09891 MKFLAIAFLLVQSVHGMTRAECADGVNNARAEEAKQNQWANVHKLLYNNSLEKPLEEFLIQYKKTCPRSAHISGDYVVNLYIMDYHDQKDGGLEFLRRGGSYGIPQSDMMACASTTCLENGKPVFGVITNKVRYPSIPPPQGPPGSKCYLSGRLANSEGLCVLKSDKTKFVRKGVLQQVGDAMDHTFGWG >CRE19562 pep:known supercontig:C_remanei-15.0.1:Crem_Contig603:9639:10271:-1 gene:WBGene00084834 transcript:CRE19562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19562 MYYQLQNYKTMASNLTKKFLKEQRENREKQLELLSMEARYHKNLERVVRSLRAEKRVSEQLKKRLDDANKLIKSLSEVNVKKETPEPDTPRVLREIKEEIEEQVAEHQDSELEKQSFPIKKSQETVAIHWLKVENKRLTQELKLQESRSKAEPKKKSVKFKPKKLKSKKKKDQKIRRNGRGGGIGKSDFLVDI >CRE27928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig604:3283:7700:1 gene:WBGene00084835 transcript:CRE27928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27928 MKLFVLLVVILLSDPISCQNGKYSTIKLQYNDGHPELIFADANADDSSSDPPTAAADSPPSAEQKSSTTQVCRTYRYRRCKAEEPEVRNTCNTYPESSEMYKNQPRYLFCTKAPFTAMIYVVIIQLDIESNWKRMRMHLKKCHHNYQYFLV >CRE25963 pep:known supercontig:C_remanei-15.0.1:Crem_Contig606:469:896:1 gene:WBGene00084836 transcript:CRE25963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25963 MVTIFFCVGRVWMKVNTFVHSQIFDKVQKELFKAQCLQALIPVAVIFIPILSFLALPMLSIHGYTGLSFVSVFIGLYPVIDPIALMYTITEYRELMFELFTCR >CRE25965 pep:known supercontig:C_remanei-15.0.1:Crem_Contig606:38989:39286:-1 gene:WBGene00084837 transcript:CRE25965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25965 MQESVFSIVDRIENVNATLQTIEKQRIQQQDGGNLMNLSALLTSQVRNSESARRPTITSIADKKEE >CRE25967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig606:48022:48719:-1 gene:WBGene00084838 transcript:CRE25967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25967 MLYRAGYAEKDINEAFTRFNVTTMTEHIPEKMAEDIADEVARVTEQKRNYMENHRDYANLNRRVDQMQESVFSIVDRIENVNVTLQTIEKQRIQQQDGGNLMDLSALLTSQVRNRESARRQTITSIADKKEE >CRE30550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig608:1041:1482:1 gene:WBGene00084839 transcript:CRE30550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30550 MVHLHESPVGSGKTTVLAAAVKARLMVQKSCRVALTAMTNSAVVALLTVFEFPTLFTSKDVSKEDVRPLVVQAKNWKTANGIPTHPFDWKEIIKKCFSHQLQNFDMTTVSDEAYL >CRE13538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:368816:370281:-1 gene:WBGene00084841 transcript:CRE13538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13538 MGSAGSVDRGPIRTLVLGCMGAGKTSFMRQMVKNHTKQVCLRREYYVYCVQLNLLNVYRELKNVCTSMEIAINEEQSKAFDTIDEYRHKEKFPQKVIDSIVVLRNSQLFDLCRMRQRILPLPQNYNFFIQRADEFMQQDYSPSENDIMMSYSQTCGLYMEEVTCQGYKFELLEMPGHHLWRAKWAQHFDDPALVVFVIDLSELCDPAFYNQGHLENKTVSVFNSLVNNPVLANVHWLLIFNKTDTFNDHSAGFDFKRLANHLDTGDSARSFYRSQFTTKLPRGKCFAHMVSLVNFKDSQTILTDMFKRIGKMHRERPNLT >CRE13509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:184540:185896:-1 gene:WBGene00084842 transcript:CRE13509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13509 MNQSILSTSNPKLSNSILEKIYEFWQQPENYKHVPVEIALELFNSNKIKMRELAWYLETGSNIPENFEDICKELVEKHFPQLIHEKEGMVYYNWYGFIQYCVYVQDDEDYNQQEEEHERKLKEYESQLTAMEMISAGTEMVQKIIENKRELMMTNPKTALKIVEPAQWIMYKRFNDIDRSKYQKEYIRLLSSNEEPLKAHSFAFEEVMKALLKKQQQDLAEKENNKCSKMIPKKPKTTKKSETPVDLSFLIPYLSNDAVKRVEENVGKNSNKKGKVKKQEHKNEVNEEAKEEEEKVLTVRDFLKMKKESRKSSMDILKKHTEQPSEQFGSNVNVDCNNRKENIQYQ >CRE13556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:465099:465457:-1 gene:WBGene00084843 transcript:CRE13556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13556 MKITILILLLASIIVSSASFGPSRFVSGRNDKTFVPDLPGRKNRIETRSRQVRSFTFDPKDLKTPPRIVNRRGKTVRRTSP >CRE13427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:1839:2393:1 gene:WBGene00084844 transcript:CRE13427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13427 MWSDITACALVNGTGILVYSLCDSCASTITIRNRKYRSKFCKLCEKRTFALKFPAHAQKFPKTLYEVKTTADGKLVEEGESKWKKMLPCVLTGRGASVTVALAPCCRPSITISNEVLEYDFCVDCQKRTILAKFPKPIPRMSTNHQSTSTEQKK >CRE13442 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:124009:124889:1 gene:WBGene00084845 transcript:CRE13442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13442 MSAPVLFICPPHFSFPPGFFNFNFLSLLSTFFSIQMDVPDVEESDSNGDAAYSDYFLDYKSICCWKCKLSLKSLEKLIYSFIY >CRE13460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:228591:230608:1 gene:WBGene00084846 transcript:CRE13460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13460 MFCLETEVPINGWANSLFVHLSLETSSGFNDRIRTSIDKIYEKDAGQRNALVIVRPPGHHASASKSSGFCIFNNVAVAAKYAQRRYKAKRILILDWDVHHGNGTQEIFYEDANVMYMSIHRHDKGNFYPVGEPKDYFDVGEGAGEGMSVNVPFSGAQMGDIEYQMAFQRIIMPISYQFNPDLVLISAGFDAAIDDPLGDYRVTPETFALMTYQLSSLASGRVITVLEGGYNLTSISNSALAVCEVLQNRAMLRRLRDEKEQFASKPQKLESSSIKTIREVCALQQKYWSILKGFQVSKKCFILIIVLVLNVGFLKIIFGSVLNSKLVNKNGKSNAATLKVKTKAATDPIEPSSSRRYNTRRQNRRRSDNSEVTPSTVGLNIEQESVEMSDQSSSGSSSAPPPSYQDLELMSMGPAHAVVPLSECPHLDQVQPLPLTGIDASTNCSDCKIGAEVWTCLTCYQYNCGRFVNEHALMHHLSSSHPMALSMADLSVWCYPCEAYVHNQILIPAKSSAHQSKFGEQMPS >CRE13535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:348393:349057:-1 gene:WBGene00084847 transcript:CRE13535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13535 MLEVPDGSIVRKESVRFGPSTPQILLQTSTCSNVLVVESVSLDVLKSAIWVIDSQVARRVALPISAILDLFPRPF >CRE13451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:188936:190064:1 gene:WBGene00084848 transcript:CRE13451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13451 MVFSIISAAPMFIFYQVLAYSIAYPFHGKFVTKDGLMWELIILPFILTPCLLGSPIEKISVTDTLLFGMTQSSFLCNLFMFIQFNFGWGINYARLMAFMFSTCSLIRFFYFETILIPKFPIKTNWLSIAIITLSFTLIGYLSLPYCTNLMLFLHFYVYLLSIETSTWYFTEEFRLFSKPRHHCSAFNKAYFITVHRNK >CRE13491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:484194:485150:1 gene:WBGene00084849 transcript:CRE13491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13491 MQLSFIFFSFALITVCIALNNTTTNSTNIEEANRNNTRRSNRNMQLSILLVLCALITASLALIDLNPMLRDFPKRRKVTDSPVKMLMKSKYRNRAPRAIISSTHTPGRFRPFTGKRTTLKNWIV >CRE13463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:263821:267720:1 gene:WBGene00084850 transcript:CRE13463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13463 MDRWKGCLRSTEGGLMSEKKKKTEEEKKGRSLTKKKWKRRMEPKRGGAWKGRQQIRRDFEENMFCCREHLTQAFERDKANDQSLKAKFLECESAFNTETSTFLLGENVLLKVKMLEKKRNLRRRASSIPISLVQVILPNRLFLFQRYDEGVMQEP >CRE13434 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:32074:33105:1 gene:WBGene00084851 transcript:CRE13434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13434 MSSEADKLAESLRNIAISCMPIEQRINLGEQDPSFRQEESQVPYQIQMVHIDYKPRRIEINIDKHSFVLRPQPESVKVVVSNNGLIQGFNMPSGMTLDDSLKKITDYFLGRPGTKINQLLISSEDVLEKCVVPELIHQRYICYDSRNLEKALVIKCPESFVFASRFGVDMIRTVYQQFKTLNYTAGMALEIGTGGIESRIFPRAIEALQDLNPKLGVQPKHECYDLECTRPDVEYATHLQCLVFDVEGSDCELVVCLPDFVRIPVIFGGDISHVVRFKMIQKGTTAICSEENWLSVFDVDGFISFVNMAV >CRE13486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:451363:451903:1 gene:WBGene00084852 transcript:CRE13486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13486 MDQPQLHKPREPLKVGPRGGKVYTPPGKGMDIRKWNKEDVDMWMTCFLRPDMYPNTYLATTKQQIDGETLYWMVKEPQKDIHQVLQIPFLSYRVMMRNAAAVINKHTEVTFQKNWAKFRARRNRST >CRE13448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:161212:161902:1 gene:WBGene00084853 transcript:CRE13448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13448 MTKKITIDGREISVQEEDFDYFVDHFERQGCQVDDLSGWQTKTTHEQYDFEGFLNKALEYSNKAPPDVTQFSEKSWGAAALCVKEFFLKHYGILIKSHSAKAKLIDSICCGLPVKEAIDVKNVWIRAEKSHCNFYDMNFVPETDRHSLVDAIKRMSGLIQKADVKVVEADLNSSTIVSFRKVPKDTIKIGKQAYDYNQIVF >CRE13482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:446672:447579:1 gene:WBGene00084854 transcript:CRE13482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13482 MNMNMSMTTGHHGMNMSMSTGHHGMMDMTTMGGPVAKRHRMWMWYHVDVEDTVLFKSWTVFDAGTMVWTCFVIAAAGIVLEALKYARWATEEHMKSHQENMDSRTKYGGIEIPGRSDRNDFWKRHIIDSFYHFWQLLLAYILMNVYMVFSVYICLSLCLGLAIGHFIFASRTGV >CRE13493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:486878:487227:1 gene:WBGene00084855 transcript:CRE13493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13493 MKLQFAIILIFALIICDLVSAESEKTSEIVGRKKFNRRGKMLGEDGKPEKRSVSHDDFLMEMVDSEQQTDRFKFVRLDKEH >CRE13477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:393024:394680:1 gene:WBGene00084856 transcript:CRE13477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13477 MIRRKSYEVPNYSPISPICPTSSAHPAPKKTKLDSPEIEYFDDYSSMAIDYDSRKNAIAGSNIDIYEMYAALCESATPIPVPHTLLEDESEKSSDSETTKRTSSIEDRKQVILKLISRRSSMKISNETLHLSVAILDKSLDLMEVDNESIGALAAITLIIASKIEDVVCLTMESALEFITEKVPSVGIAANLERFVLVTLDFKVTMPTPFNFASYMLVHLCAPESTMHSVYYFLELSLLYVYNRSYSSDVVAYAATCLAFAMETDPGKSVMKTLRETELKLRVFSDKNHQKKRSESREVMRIMSDLFLVAASENHSIYREYATSRRFYVANRRIDPDLQEMLQIDSD >CRE13534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:344382:344775:-1 gene:WBGene00084857 transcript:CRE13534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13534 MSVTSFLRRFSIDVENAGGSSSSSAPGSASSSGPTKRRGRIAASIDSARRRFSLQHGSGTGRDQDPVHLQSIALRGRGKGENGSLPDLMELTSVFRVPDMFFDSRSFYL >CRE13551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:444110:445965:-1 gene:WBGene00084858 transcript:CRE13551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13551 MNFSSTQNLTSEVVTVKPYQDFSGTYIGDINSYIAGIVSLFSNLTLIYATSQVKTFTSSVRFTQYSISILRLIFSFSIMLTCPSIEYELETESLYIIKNGFYLPVFLGEILLAVFIVSIVMSCNGPAVQYLQVAVMLASSSRQQSKCSISIMPILVAVPTAILVYFGYVPQFYDAQISKYFLDRISQQGITSLLIVTVHLTTSTGHFNFDMMSQVCTFFILIVMFISLTITIVCYLSIRRQMKCRRMEVGTATQNTQEQLNTVLLIQFLFPFVTIHIPFYITFILPFFNNEIDFLTDIMLHLCAWCPAINPIIVMIMVKNIREVVSPKLIIDRFGDKSKSSSIHRVFKSRT >CRE13432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:20193:25422:1 gene:WBGene00084859 transcript:CRE13432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13432 MGGSSRQRSTSATRRRAQRRRQFSTSDEEEETTTTTIHGLSSVLIWILATSSLIVVITPPSTNHPRSTSYDPIKTAEKLSLDDITSTFKMNGQLDNNQQYDQGNMFNMKSDYPMFNRPMGLRYRNDEPLMRMRMQQSAVPIRPILTEHPRTPFGLPSTSNHHATTSNGYGYNSPTLEDMDLIDVLWRRDIAGEKGTPTVEPAEQYERDLMTLTEKSSAGVSVK >CRE13455 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:207031:207752:1 gene:WBGene00084860 transcript:CRE13455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13455 MFSFNINGKEDENPSINLIHSSYSREKMLTLNGSPICFLRPALFYNVVRDLPELLRLAPSPDDRLVSLLTTQQSE >CRE13495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:493872:494307:1 gene:WBGene00084861 transcript:CRE13495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13495 MILLVGAVEPVRDLNSLTNLKEFFREVQGRAREKSGRTHPTREFLGFANDGSSFRNPLSTGGGFRTGRSIIWKTSELPSIRGIDRLPQKSKNVTKTVRQKRQLLPNIRQPFHR >CRE13558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:499874:500425:-1 gene:WBGene00084862 transcript:CRE13558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13558 MDYCAARDHFDSLQETENDCEFFYGRGGGGDDDDDFELDSLLRLDLDTNDIVDALNMPRANSDEKTTTTTIRGGPEKNEEEGKTSAISICNLIYFTLISVTLSL >CRE13496 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:494599:494958:1 gene:WBGene00084863 transcript:CRE13496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13496 MKLHVLSALFIITFLIKDVSCQRSPSVHSVGTPQSPRRFRGDLVNTEFRTGRAIDPRLYDLPSTRAPNQPAQNTNLKNDNSSENPLTDGNWFEMLVALSPLPHN >CRE13492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:486054:486370:1 gene:WBGene00084864 transcript:CRE13492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13492 MKILLVLILLTFLATCSVTPTSNSVGTDLQSSHVKRLFRKNRRIRVSREKYPSTHAPGDFRPFTGSRTTLKNWIV >CRE13494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:492757:493601:1 gene:WBGene00084865 transcript:CRE13494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13494 MNMKLKIPLKVQKFNRPGKPVKNGGAVFGVREPFEKFEEEFVSSLGGHSQQENSSDSLMMGQVSVTHSLLKVDSEQDAPSFRELLSSLLVVENDMNSQAK >CRE13483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:447878:448429:1 gene:WBGene00084866 transcript:CRE13483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13483 MEHHMSNDMDMDMNKRPFMWMWFHTKPQDTVLFSTWNITSAGTMVWACCLVAFAGVLLELIKFTRRVIQKNQPTSKKASYLTRLFSTMHIVQTLLFFFQLGFSYCLMLIFMTFSIWLGLAVVIGLSIGFLIFGGKSD >CRE13452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:190967:192327:1 gene:WBGene00084867 transcript:CRE13452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13452 MLKVFKNGFCILQPNVSDYNLWNLLIGLFTMLLHHDLENSQRAWITVDGKQMQNSYWSVVYNSSPSSFIGTVCFLTLFIPRLYHVLSVPFFLNFIPLISIAYNQLSIGSGVGLTFGAIHIGRGYGWRITSVVTAFSFLLGPLFTSVINEICGVVTIYLDPSHHMYIKIGFVYFLQGILYCCSSKYPAFFEDYTIVLMNHFDVDEETQKHLLYAVRSFECEAEYSKVVMLSNLLQKRLHRRCSEIATSLVRCPTEVDMKTIGEVVSKYFGVSSTIQNCRITQHVVAPTEKGESIVRFGSPLHRVIELVRKMSESGSAWKINVDNFDKDLGGSNVELCLKNGWLKRRRGGRFDYYVIGKQFKSRDIVREVMEGVMMGGGRFTVADLQKHGVLDAMSVEITIEGDFAQEENQHKAPSFRLQIIR >CRE13539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:377670:378731:-1 gene:WBGene00084868 transcript:CRE13539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13539 MAKNVVSPLRLLKLPEKVIHEVLCNLEINEAISFSLCSQKTKNLFHSLKQYKVENLKMRICTEVRIHGIFNGMDCYFVFNKNPIPQIGRSYVKDMRQSEMLYLCMNITKFEWRNRGFDVGDWIKHLLFIFNQASLIELYIASNSVVWSLDSLCRTIQGIETYKLFFAFLVPDYNFRSIMDTVRNFRAIVLRRNPFENKLDLQKVLMQNLDSIKCHRLDLDDVLICNSLIIFCIVPNIKQKGLNRLLKLFIFGAMPRLKHLRFVYMNRFSGPLQEETVMKGITYTVQEPNVIRTFRESPASEEINIRGGFDFQRADGTKATVILLDGDAKSFDIFVWD >CRE13552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:452610:453269:-1 gene:WBGene00084869 transcript:CRE13552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13552 MNQDFDLESLDFRPVQSNPKLPMKSIILTAIILPLSVFFMLIGSFTIYDCSLEPRLPIWMILLGSFLAIDRGLTWIFELNMYCFQRNNTRPDEEIKVLREWEFKKAGLQLRISNYAPLTFCGLLFFALIGTYLLLNVFFIPESGECSDLLIQISALFCSLILIPSCLFLIGSLISWIFLVFLNCFCS >CRE13485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig61:450046:451253:1 gene:WBGene00084870 transcript:CRE13485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13485 MPGSERGSSLEARAGSMEKPFDADMVKRPLYLPPGRDTDMRKWSKQDVYRWLSCFLKPANYQDFFVTVRKLEIDGDVLLTILERRPSHLTFGIPEGVFEMIIKNADSVQRKHNGEDIGIYIKPEPLDDDGPYF >CRE20674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6113:1019:2213:1 gene:WBGene00084871 transcript:CRE20674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20674 MDSPKPFPILRLPFLAIEKVFKAMDPFEIINFSMISKRTKGIAKQISVCPRYKIDFHIKKTLEIWFFGTRNMAAYVMTSDKEMDGKIEEKECCGSILPVPLIFRKVFKYSKDPVDEWKQLCKYVLEIFKKHTINDLLMTMDAFVDYNVSIIDFLKANLKSVDRCTVSQWNRERYINVDEHTAYLLDNIQINSDLCTDVYIKNINFNGKFPKNLEDLHIKNSEWIGYERLLEIDCKSVIFDNNRISDEQWNLFIKKWIAMETHLNLVYLQLIYRNVEHFRALVLHDIPHEVVDEAVKRTFKTRRNCIRELNGGIDIRRHDGKTATLFVFGIGLHGSFLMCVH >CRE05378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6132:576:1358:1 gene:WBGene00084873 transcript:CRE05378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05378 MLEHDLELTESEMHLYSRQILLDGWDIEAQEKLKLANVLIVGCGGIGCTTAELLARAGVGKISLIDADTVEISNLQRQIAYVAQDVGFYKSEILAKRLQTINPFIQVESYTSKLDENNAQALIAQQDLVLDGCDNFTTRYLVNHICTQLHIPLISASAIGFQGQLFMVDGDSACYECLFPKAQQHNESLRCADSGVLATTPNVMASLQAHHALLYLGLAKTPLKQKLMLWDGLTMKQRILAFEKDRDCTNCQASHTANAD >CRE05379 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6132:1518:2639:1 gene:WBGene00084874 transcript:CRE05379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05379 MRETFESLGSTYIKLGQFIASTPSLFPREYVEEFQGCLDQTPYLPFSYVQEVLKQEFAGRNLSEIFASIDEKPLASASIAQVHAAKLVTGEDVVIKVQKPGVETILYTDLNVLHWATKVLEKAIPKVKFASLADIVDEIKTRMVREVDFIEEAQNLDDFVNYLNVSQNTAATAPKVYHQFSTRRVLTMQRLYGVPLTDFDVVRKVAKDPSQVLITAMNTWFGSLMLCQSFHADLHAGNLMLLEDGRIGFIDFGIVGQLKPEVWQACMAFMDALQKTDYKLMAENMLGMGMTSTQVDTQVLAEDLERLFSGVLLADPQKIMTSNPSDLNDIMMDMVGVGERHGIRFPRDFALLFSKCSILIVLCVSLHIYGYLC >CRE07099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig614:1920:3760:-1 gene:WBGene00084875 transcript:CRE07099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07099 MIGPLAFGRKDGDDAKAHVPFLGQFGVGDVNQMTAKFTPEGMRGQKANGGTFDIDDEKGPQLGYMDRETTMGERSGSTGKEVLVIKKHNFEGKLPEISKKNLVAEKIVGSIGKEWSFFKFFPDLANYTHL >CRE07898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig615:4597:6468:1 gene:WBGene00084876 transcript:CRE07898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07898 MSMEIVLIVMELMILPAMAIAFCDGKKKKKNQATMSVQQPPVKPVTSQFSPIVADTPVGPPKAPDAEKKEEDVAEKKKEEDVKKEEAEPKKSEKKSEKKSEKKTEEKAKSEKKSEEKKSDKKSEEKKKSEKKSEEKDEKKGEEPKKSEKKSEKKSKKSDKDEKKEEKKEEKEEKKEEKKEEDVKKVEEVKKETSKKDGSKKDQKRDALVENPIITEVSDRVGHDFCVFSVDQNLGYIFDDKKDKTDKKEEKKDEKKDEEKK >CRE12387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig616:7389:7681:-1 gene:WBGene00084877 transcript:CRE12387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12387 MLYDQSTTGHRELMDKLKAPEAKISLVPTPVTHDPRLHCSFQSNDSSRIQHPVQLHQYVEHTSHEVTPIRGCIDAQSLRMAN >CRE12386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig616:2915:5536:-1 gene:WBGene00084878 transcript:CRE12386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12386 MLSNPNHCTSALPPSRKACSFPTEKEQPRMRAKTRSKSQPKSLVTSIVDSTKAEITRTATKAKALATAAEAVLLFLKDPTIKQSNDSDENTLRSAASLTFQMKAVVAKIEDIDSYIYNQFQKPELKDSPDRETLFRDVSNTLLGSGADGFQKKLAAQIAEVESVLMGYGQTLNSFQPSNQPQDPHVRDPRDDMENYINDPHLSQETITLVNELTCSLESPANSRRITSSQCQDLLMMFSNSGFPDRTKELQQSLISENRGLQEENAKHLRAQYKESQNRLALEMKDLQYKEYLQAELTRVQAKEDARKAQLAQLVEQREAQESANLKPAANIINSPIPPFAQSAVRTNTAPSAESFEPSAENRVAPSLAQLSALTTFPQRENVQETQILRSTNQINNPNIEPITAETPNATNRLDMNDVMNAFLSIYQNQRTGAHIQERDESIRSRATSRRTQTSIPTRSEPSHVRRYERGESSELEDESIHQVPSRTATIRGNRSRSSEPIPRRSGLPIEVRLKLLQKFDGTGDFDLFQTLFTSFVLDDDELSPEAKRAVLMNHITGPATICVSHAKDSRTAIAATFIALNKVYGKVNSKHNLLRKLESLPFHQTDPETMRRDEVSLANVLQQLKDRGVPADDHMTMWAIACKLPESMQKSLAKYSIKRDEKLTHDLILDRISRDIEIMALEQAYVSQNNTQATELTDSDTTVNFANANSSRQKASTQSNKNNPRESRRKQVYEPSQHPSEYVDPITNNKLEGYYAPGPKGVNVKILHRTFPFAEKETRSCHVCQGDHNEIRCTLNSNEFREMGKMKGLCPICTRKHAIEACESKYRCGYCDGLHHSGGCPQKEFYRDKNNYPKGAQPVATLFRANKANQLK >CRE14066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:45222:45527:1 gene:WBGene00084879 transcript:CRE14066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14066 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3MRC2] MITCITCLVQLCGTVLSVTRLKFVGSEVAVMLATFIPFISDGLSLVQPWLLLAFSHVARGKIMGTIFRKKLKKSVVAIPKSTTYV >CRE14062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:21011:24971:1 gene:WBGene00084880 transcript:CRE14062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14062 MVSEPEDYADFRILATRCPSGSKKNSMAFDATNGMARYRFSLGEVLSWNIDLDVIVGNGWTRITLREIPRFLVDALDRVMAKGLDVDGIFRKEGSSVRLNKPEILEIYRGMRPIPDDFTVWDVCTMVKRFLKDLKPTLLNSDNVRMNILRKAKMARETGEFELSHLEMVEIFESTGRHLSPSHPRNTRLCDEIAESGSFDSIAKHSDTHKMTTDNLAVVLVGSVFGDFMTSGGTESRKKMQQVKKCTEADLMAKKEDMGVQVAAVKLLIVNANLIGLPHGHYVSSNRLHLNNHHLNVRSTSAMPTVRCTSVSDNEQTPTSSNTPKVFNMAKASMMHQIHPNSDSQKTSRNQLTRRDSDLTHMKAMKPREPVGTKRSSSFLPIPSLRGLRDRVSNQFLKRNKSPSPDKLRRQLFKPQTSADTPHMNPSSSANLPPPPLPPDHSTPIARRHASGVDSDVDDNRRAGDANRSIQKQGSTSSGRKSRTHTKKGNINSAMKGNQFDSDSQKSFQMSPNPMEKRTSISSTSSTITNRSLRRISQSDQNSFTRGDATPPKKKKSGSGTALTRRNTADGLRKDHNHHNRDRRPTRRPTYWGVDTITEEKENRRSVITIDDLQSEEEDPKEKSMMEGTFENEESVLELMNSQARLRRVGVAERRQRRIANANSGPSDSMMVLNGSMAAFEKLPPTPQSMKVTIGKKGFPGPIIGKSPSKSQQEYPTVFETPIRGKEELRVKSMTSAKSKIGQQLEREMKQRLDVVATPMLSRRTASESVAHRVQITQQEPLTAIPLRKLDSIGVRLMISSITQTLFFEVHQHGHAWVGKSQTVVSSPIQRNQVEKTKEAEKVSPILARSPLFRSPSTATPTNSEGRASPFFGFRSKSHLTEDRIFSNQEYAALATPPRERRIQSRPARLPQSNLIYSSPKMKPTDHLTSTSPLLTRSSSMMTSCSPCIMTGNRHQAHLALSPLVQSNNTDDSQTFKCPFLPPMKSHESKERKKNTSTSSSINRHSSTPRTPRISAQTADSSTELGFGDFNEIIKNSTEDTKFPLLHQPVEARPSVTALRSSACGLVQSRINHFQTIERTSISNRRVSTDMSTSGGRPSGVSTTSLKSIDTTTSSSGKL >CRE14090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:242886:243589:1 gene:WBGene00084881 transcript:CRE14090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14090 MSLPLCQFPLLIHVEIFKHLELESIFMLSLCSENTKRLIQRIRLKSKKLRYTVEDDQVSVLGGFTDDERDLKLIATMKCVTSISRKKTISVKLGGEPIKCRFERSSHTLKHLEPENKNVIELLKNHIDSLFHSKPIIQLKLHSPDSLSTSNSRPPASSVVLLPPQSSSCLLSRPPASSVVLLPPQSSSCLLSRPPASSASGPIPSPLILIFFLPSLTL >CRE14127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:131231:131793:-1 gene:WBGene00084882 transcript:CRE14127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14127 MSKSTIFTHFLILNSFCAVLSTNYHFTFHVKLRCRISRGKFGFRAQFFDKDVMWWNGDDPITEEYIDISPPGDITFKSEGMLTGDEWMSEYFAVKMVLYHNCNSMGKEVRVDMDIFPLAFISYNLEDNKYYDYKFTANITELSGDTWQHASLLQN >CRE14095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:256225:257057:1 gene:WBGene00084883 transcript:CRE14095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14095 MNIRINDTYNQSVFRCTKKPPTQIWTHVLEYLEKEDDNALEFLQTHLESLFVNQPPSQLKIESTNSLQSSEIIDNVTDTIFSLDELETTEIKHFLTVRPNQKSVEIHSDLTGRPLKRVSKLFKVPGLAIHESGSMTSKYMDNFSGRCLLLFNANVTYSAWTTLIEKWKNKTAYHKLHAVVTRVPRNVFQEFHFGELLFESNALPWDGISRPRNFMFDPRIPSYRSKSIDCSDWFDIQQNGGGKWASIQIINDKIMFFVWD >CRE14072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:78913:79546:1 gene:WBGene00084884 transcript:CRE14072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14072 MAPSPDFRAQLTERTRRLSQDWKQKRTAQKGRRLGSDSPGNTTLRSQDVRILLSNICPSVTTNSLQQMFSEFNLKTVLVNSDEHKKDVGNGTVTLPKDKAMRLIQQFTGKLIGSTEMKFRLIAISNIEKRVRFSEGPEEIESVSPSTKLKVNEKTKEFLNKMGNNNFNHNALLSTFSNLSI >CRE14130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:134779:135301:-1 gene:WBGene00084885 transcript:CRE14130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14130 MRVSTAFTLLLLLNIFCLVHSTNYYFIFEGKFHCDILNRQFQYNVQFFDEDYMWWNDDDPITEPYKDISPPGDSYFRSKGMLDGDEWMVEAFDIKMVLYHTCTQTGQENRVDMRFRPSARNSYNLDENKYYRYDFATNITELSGEKIQMARLYKSGQNK >CRE14165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:505810:507473:-1 gene:WBGene00084886 transcript:CRE14165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14165 MPPLWILLLVFSVFSVLGEEEFNEKINMKLDVTCSVRPDWCFNITIFTVKVVKKLPVDIYPKVKTILQKSFCSKTALSSQLFEDVSVVSPFKNGFRANYQLSHTCSSDGKPDCVVLDSPYFITFGKEFKFAPHKIGVLAGRGEFLSMLSITAFISHPNSSRFSHTDSISPRTLSSFTWFSIIFPLTICYSTLLSPIIAPLRALRRSPGVL >CRE14128 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:133273:133840:-1 gene:WBGene00084887 transcript:CRE14128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14128 MRGSTFFTLLLVLNVFWAVLSTNYVFKFDVTLHCSIPNREFGYNVQFYDKDEMFWNKDDPITEPYKGVSPPGNAFFKTEGTLTGDEWLSKYFDVKMVLFHTCNSLGESTRVGMRIDPLAAISEYSEDECYQFGLVADITEMRGDVWNVAKLVKNC >CRE14136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:187406:188592:-1 gene:WBGene00084889 transcript:CRE14136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14136 MNQSILSTSNTKLSNLILEKIHEFWQQPENYKHVPVEIALELFNSNKIKMRELAWYLETSPNIPQNFEEICKGLVEKHFPQLIHEKEGMVYYNWYGFFQYCVYVQDDEDYNQQEEVHERKLKEYESQLTAMEMISAGTEMVQKIIENKREMMMTNPKKALKIVEPAQWIQYKRFNDIDRSKYQKEYIRRLSSNEEPRNAHFSAFEEVMKKLLKEQQKDLIEKDNTKCSKAIPKKPNTKTTKKSETAVDLSFLKPYLSNDAIKRVEENAGKNSNKKGKVKKQEQKNEVNEEVKEEEKILTVRDFLKMKKESRKSSQDILKEHREQPSEEFGSKVNVDCNNRKENIENKNSNSKKEWTSKGNL >CRE14169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:543380:544319:-1 gene:WBGene00084890 transcript:CRE14169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14169 MYELSLDAYDDFIKLQWYHLHGRYQPFFFNGILEDYSMNYAQEKLGVVVDGGRSVEKMLKSVKVHTVGFNDLEILLNSSLLKLKNLRTSFYTVEKKECRLDYLLFKEKLKNLFATRKQKVEAENLCFKVTDQSEILLILPHVDPSSVEDIYIEDSREIRDSELDIGEVVQTAQWKNARTLTLENCVLSAPLKHFTHFDEAYINVGSISMGDVIDMKNGICQRNNFEKFKRIHLYYVYVSDEEELIRLLGEQTSDWSYTQVWLYETVDPRTALRLEKFENRITFQTRYVCPNMTLIPLE >CRE14087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:232195:232545:1 gene:WBGene00084891 transcript:CRE14087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14087 MHIIPPGLYRVDVLILISYQMCHFFSIQQLFPIFLNYTPKTACVETAQGSPPRTQYGRYMGRAWTQHEFAEDCMWSVYGPF >CRE14129 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:134071:134403:-1 gene:WBGene00084892 transcript:CRE14129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14129 MINYRKEDYDSMNGILSRIDWTLMLANLPINDMYSLFIGHLKELIYNYVPILDLFAPLSKDSYWKSSRLLSCWNSLPVHVFPVKFFSLWFKNNVKRLNLSKYLTLNISTY >CRE14092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:247937:248304:1 gene:WBGene00084893 transcript:CRE14092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14092 MYSPLIKMIRKWQKKEGLHNLHAVVIHTFASDDFIDELLDELNVLDWDGIRRPKMFNYDPRIINNSKSMIDFSDAYDIQQEDGGKWGSIIAAKNQIAFVVWD >CRE14065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:43008:45101:1 gene:WBGene00084894 transcript:CRE14065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14065 MSFSTERTKKRSSSVTSSEKSWAVQMNEVRLKERRKLVKGFTDFLESSGRMESDMYEALKAACKGNERQSEVLVEPVKKYCHELRERFEELGGERWPLEIIGVMRENGVETVEELRELCEKGVEMVPGGIVENAKKAQDELALLQDAWNEERETLFRELNKLKEEKRLAEEAVSKYKKTLKEEREASSELRGLLRKLENGPKKAGQARDTKEVPVPSRLEVVRKWSPRDSDDEFSMHGRRGDRKTVGERRDSGAKMKCFTCGGIGHGSWQCVSKRVDKVQIQEGTVKNAGVETVEMVEMLGQRRRVVIDSGAVVSVMSTSAYERLKAGCRDWEKEVEVFGKPTFTVLNASNSKMRVRGQIKIPMVVRGRKVRVVFQLVENWVEKILIGTNAFESIGVELKWKDPYGLVNAEENSAEVQFIGGEKKLERPGEKLRKVPTEVSEIQCKSVAVRGERGRSASTGDVGEVFKSEGEKERKKVKIRASVAVIGPRLEARGPGVLEYRNKTMTGWTQKFDWGEVKEAVVLVEYTDKEDENSKRVEFVKSVAKEVEKVWMMPRRLQCEFGDVDNVARRWKEWLKTSANVEVVDPLMPVGNHKTPLILEKWHRKSLDGLQKYLHNALPSHSVRCQWEKNEDRRPQSGLKKDLGKGCPIAERRGKWLRRSSTFSKPIGREETKGEKARGSWTTQATSKADVKVNFP >CRE14159 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:433401:434828:-1 gene:WBGene00084895 transcript:CRE14159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14159 MDKNSVVHVFLKRFIESLPNPRTIKAKGERSDNATQRHEPVVKTFVRHPMGVEFKGTYRTMKAVLGAKKDKTIGMKKRGISGGTIN >CRE14093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:249766:250071:1 gene:WBGene00084896 transcript:CRE14093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14093 MTIPFNRFPLLVQIEILSQLGFQDIFLLSLTSEKMRNLIQFIKLKPKKVQYALSDNGIQVSVGFTGDDKDFHHMANMRHVPQISVKERKQVNLGGVKIQSR >CRE14167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:509755:510132:-1 gene:WBGene00084897 transcript:CRE14167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14167 MPAYAIDKKVLEKSFCWYNHESVHPMFKNVELPSPTKLGALVSYQVSHTCSTDKKPDCVFLDYGFYAPYGEETKFPPHELGIEQGRGECPDWKVNQ >CRE14168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:512149:512697:-1 gene:WBGene00084898 transcript:CRE14168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14168 MLLALFDRIYASISPFLLSKLPVYPKDKTILKKSFCSETALSSQLFEDVSGVSPFKEGFRANYQLSHTCSSDGKPDCVVLDSPFFIPFGKEFKFAPHKIGVLTGRGECKE >CRE14166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:507787:508529:-1 gene:WBGene00084899 transcript:CRE14166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14166 MSPLWILSVVFVLLHIQGAKGYNGTANLRVDITCTVRADWCFTLTMYTVYVKQKVPIILWSKNTTILERSYCHDNRASVHPMFKNVEAESPYKLGFFGSYQLSHTCTKDGKLECMVLDHGFYIPFKLKPDYKEFLFPSGDVGVEKGTGGCKS >CRE14154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:369411:370051:-1 gene:WBGene00084900 transcript:CRE14154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14154 MAPIVHAYNQRIEMIRRIHYQNWMLKKTSQKDKSVGSDRSKLGKMIGASDIGNPDARVLLSNMCMSVTADSLRILFSDFNVKTLTINHDKNGNPVGTGIVVLPKKDAIRLIRQFTDVVIGSSDIQFKLIAASNIDKRVWFADKKDENEPAKRNPLKQHEKARGFLKKMNTNNLNPNVLVSTFSNLSI >CRE14079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:137698:138374:1 gene:WBGene00084901 transcript:CRE14079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14079 MTQFFYIEKKPFLPWEINTINTLVVGVYSPILENSHATITVLFGMIQSGFICNILNILHVQAEWNLYYARIMSMIFSIYAAIRMVWYQTILLPKLPLRINWKSMIIITLFFALTAYFLFPYCTASNVYIHVYLYLLSIETSIWYYTKEFRLFSRYPRTGFTDYARAYHTTVHRYYTSDLHFQQ >CRE14139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:232743:234644:-1 gene:WBGene00084902 transcript:CRE14139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14139 MVRTRIKKSNDAVDSVGIPAAKRVKNKKEPVSNETYKTKTVSKEISKTKPVSNVTSKTKPVSLECSKKKPVPIEPERFGLNCTLPPTPSFEDDMLIDENSGSCEYVENETTATEDTSKIHKEAATYHQLRNVKMERAINCVKQEFFETPKTPRFPPTNFFKKKNSTSRQTAPLQDLVDIDNSSSSMFSDGMRNVQSDAPTSAPLVTTPSYAARVTPFLHSKTGEGSQFSSNNDKWNPIGFTVNNLYNLEKGIPLSRSECEVDNFSYNYYSIHVLQENLQKLSKTVQETDFYPILELAQKFHNVNSDLEKLTLGLAFNTAMVTDTNNKLVNRLRQAEKSKGIYDRECDFIKGIGFDLRKATENEENVMLPCKKIFKLSSIVTPSEAAKWTSSRFYGDARLLFRFVFKEVAKRDPFFVAYSAQDYSNAGYIPFGDKFFGPLVRFVIRGFRQDSDLNIQTTLQCVARETMTNFVDRFRKEYKAQMDTTPKEVAFTLKQYLEEVKELDEGSYVTALDLSTTNPPQFDYACLI >CRE14117 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:539966:540797:1 gene:WBGene00084903 transcript:CRE14117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14117 MKKTLNMKETLMGNDDVIFDLGRLGYVDFRSRNSTIFTNIPNFDSESVYPSYFDPSDNVVPNHFG >CRE14133 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:139417:140242:-1 gene:WBGene00084904 transcript:CRE14133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14133 MTNATVMCTSLDDWLTMAEGNGDPEIQLGLKSYHGLKFKYRIGNVNPQARYVIRLLFTRESDHPWNRNSQGIGFWRETKTFLLPDGELSFQHIDVKSGEELLREEVYFEKIFFENREKLGNRPQRNPPNYIITLTCRIKYFVSVVITNAENYRDTSTHGIQFQYFIAVNANAPLVKNTRESQKIEDRHDSAPPKRRPTPRKTGFKHPRPTK >CRE14086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:228992:229219:1 gene:WBGene00084905 transcript:CRE14086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14086 MLKAFSEFCDAIYPMFRVMTVGFSENEDETTRIIESASEPKRTLQNLTLFTLALLIPVLISFFMYSLDLQDWEAK >CRE14097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:276605:278132:1 gene:WBGene00084906 transcript:CRE14097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14097 MPTPRRALKRQAEKIDTDEIIRTLVLRGDPIIAQNLGFLKNLSSKEIDDPMASVMDSLKEGLTEEDLKLNRNLENLINPKVEVHMTDEEIMNFLLSSKYSGKACAQIMDRNQWDENERIHKEKETKKKEKEEKFEVEKKKNDEKKDDRKRPADDASNQGASTSAPIRSPPAPFGLVKPYKIPRKSK >CRE14131 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:135584:136102:-1 gene:WBGene00084907 transcript:CRE14131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14131 MRGSTIFTLLLVLNSLCAVLSTNYHFTFHVKLHCGIPYRKVGYNAQFFDKDYMWFNSDDPITEPYKTVAPPGDIAFKAEGMLTGDEWLTDFFEVKMVLFHTCTPSGKAMAVDLVLYPWAYNHYNLEDDKYYRYEFTRNITELSGDLVQPARLDKT >CRE14155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig62:370968:371588:-1 gene:WBGene00084908 transcript:CRE14155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14155 MAPSSEIHAQLTERARRLNQDWKQKRVPQKGRRLGSIKQNSDLGHSDVRIAISNMCPSLTPNCLLNIFGEFKLKTISLDSDEQGKPVGTGRIVLCKDESLRLIQRFTGKLIGSKEMSFKIIAISNIEKHVRFAERLNEKESSKRIPSKQHEKTRGFLKKMENHNLNPNALVSTFSNLSI >CRE15059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig623:13382:13747:1 gene:WBGene00084909 transcript:CRE15059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15059 MNARTIVLSDSKLSCEGINVFLKHWMNGGCFKLKSFVIFMDSSMVPINYQVVMNGMQFINRNRELKRSYVNHENRPWTVRGGVDIQRSIDGVTATVLDRSHELNRFHLVVWPDFAGNSHLS >CRE15058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig623:12618:13108:1 gene:WBGene00084910 transcript:CRE15058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15058 MSSTPFPLFSLPYLPLKQVFDNFGPHGIINLSLCSQKSRNMAISYRGPSKDIKIEIHFGRKEKKDCILYSSNFEEHWLLSVSEITKVYRKLDTVRIGNFEKRDFQLRWSENGYLKNPIGRDRIVGDVYTWRYISRHVFNARYPSIAP >CRE17653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig624:5659:6693:-1 gene:WBGene00084911 transcript:CRE17653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17653 MLGEPIEYTSGTYLVNNATNILESKSHHKIKCTWTKRILLPNPFRIIFTWKFDWNKLKNQGVDKITGYITLFSVNNAFTAMKMNLELTKSYQEFTNEFGYDSSIFGYDKVYYAYCLTPHYNPISEKPDYDKMFAPSDQNDTILVVDGKKLHVSKAVSSFSCKISINVSVFQFLSYHSEYFRALFSSNFKEGQMDEIPIGEVSYEDFALLLRTFYPDPVFVTDATVEKILEMARRFLVSSVIKVTEHHLLNMSKINNEKMLRLADEYGMQTLLDKSIRGLDTLAKAKQMKKSQKYEQLSDKTKLKVFDRLMDFV >CRE17654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig624:7337:8642:-1 gene:WBGene00084912 transcript:CRE17654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17654 MANDVFVYSSDYHSVNGDTNVLETKSHHKIKCIWTGTIYYFLKEYNFTWKFNWNKLRNQGVDKLTGHIYVSNSYTVQKIDVELTENNQVITKEFGSSHSDGDVYYDYCLTPHYTSISEKPSDDEMFAPSDQNDAILIVEGKKLHVNKTFLSYHSEYFRALFSSNFKEGQMDEIPIGDVSFKDFALLLSIFHPNPVFPNDRTVEKLLEMGRRFLVPSALSSAEHHLLNMSKINDEKISFSCKISINVSVFQFLSYHSEYFRALFSSNFKEGQMDEIPIGEVSFEDFALLLRTFYPDPVFVTDATVEKLLEMARRFLVSSVIKVAEHHLLNMSKIDNQKMFYLADEYGMPTLLEKYIRGLDTLAKAKQMKKSKKYEQLSDKTKLKVFDRFMDFI >CRE16509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig625:171:1253:-1 gene:WBGene00084913 transcript:CRE16509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16509 MNQSILSTSNTKLSNSIVEKIYEFWQQPENYKHVPVEIALELFNSNKIKMRELAWYLETGSNIPENFEEICKELVEKHFPQLIHEKEGMVYYNWYGFIQYCVYVQDDDDYNQQEEVHERKLKEYESQLTAMEMISAGTEMVQKIIENKRELMMTNPKKALKIVEPAQWIMYKRCNDIDRSKYQKEYIRLLSSNEEPREAHFLAFKEVMTALLKKQKQDLIEKENNKKTISKKPKTTKKSETPVDLSFLIPYLSNDAVKRVEENVGNNSSKKGKIKKQEHKNEVNEEEKEEEKVLTVRDFLKMKKESRKTSMDILKKQTNMLTAIESV >CRE15798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig626:9786:10717:1 gene:WBGene00084914 transcript:CRE15798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15798 MVSDSGSELVLTEQLQQLDRVHCGCHLLNLIISDFSKEKKVNYIYCRVQAFARHLAKHKIIRDKLRSRCTDLLRQKKRNRATVNTIDDLLSVAELIKIERAKNEAEAFFEEDEEEFQPDEENEEDSEKADLDDWMDDDELQGFGTEEL >CRE20098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig629:7952:9577:-1 gene:WBGene00084915 transcript:CRE20098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20098 MNREHVNPFGVVLSTPRRQYQVGRIINSSFPTPWRPFLENRDSPMKALMAWVYDTELKIFQRSAYLEDYSRMVGETMVGAIGVDQEIKSQRVGSFGMIHPSNPAFQLSQLLCTLIQFCRKTDHTELADCMLHATCGMMVLPPPYRSVHRIRDGYDITELRQSTVFRLLKYIFPEPFDDGKHYVTTELMKFGYMVERQVFLRAEVESDYQESIDHIVMQTKKLKEDENVYLDQIAPYPIDQELVDRMEAQAFAQLREMIRRQHEHHQQYMARIQAVALVDEEEEEEEEEEGDEEMEEEELEEGEVEPRYFDY >CRE20097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig629:3811:6644:1 gene:WBGene00084916 transcript:CRE20097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20097 MSANQRTDCFFNLTTPERIDLFRRRQALRVENVFRTFYGWAMLPLAICGAIATIIFIISCYKAIKARRVSRKCYALLMNRAIGDALTCCSALVTCAYVLTWHDINRDMVVVIESFFIGSFWSAMVSYCSLSLLKLFAVWKPFHYRKWFTMRRCVNLMIISWTILVLMVSYTLAVSALVKIPDLNAWSGCKAETCLRNMYRSRNLMTASVYCFTILVFVITCFFIRKAQNFSNSFKKREKDGGGRIRMVRFPLWKLALNVGTFAILNVPYAIWCIGLFLNPYPCLFQRNYSEMMRLLGCIRLFLVIRCILDPVLSFITDFQVVSTVRTVDHKFLFQLRRGFLELFGQGRKVGDHQRGTFKQSYSSSSADQNSIIDRATRSQTVTTIASSNPSTKDKTKKSASFGGLEVDRKIDRF >CRE14770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:370519:370911:1 gene:WBGene00084917 transcript:CRE14770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14770 MSVDITNHNITMKMELLKIAEVQKNIGMANHPTPTQQIGTPLETKHGKKIPTVKGKEKEKIAKQAKKGDHQKKMQTLFKSMNDKMDKASQNISKN >CRE14753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:217037:217484:1 gene:WBGene00084918 transcript:CRE14753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14753 MNPAARCPTNLPEYALAHAGADPYYLAVLDTLIAMNTRMIQVGRQPFSPAGLLEMMNLCTNIRAGWGTLNVYLD >CRE14802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:271382:271679:-1 gene:WBGene00084919 transcript:CRE14802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14802 MQFLSLFFVLLLIASVSAQWNNNFWWYNQQPTQAPAFNWFGNSAGSQSDEKGNTWHGSDNAKLMLFAKSSWP >CRE14816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:371773:373844:-1 gene:WBGene00084920 transcript:CRE14816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14816 MVGADEMDFPFAVATSVITSTAEEEAREHKLMAFSGGIPTKVIKSCKPSPTGSTMSYSTCSSPYFSDSEEEVVAKKPCLTSLKVDQAVNTDLPMAEPAPVIKIPDNVIRPIPLLPTYTVHLITHDQDDQADKSAPDHPVPITEEAPGIPVKLDNIQLPNVRTIIDVHDQQVRRHRYRKYITDEQYRIVWHATPADENADQVKFTVPFTVDKSNFIIKGSFATVPAGLWSRTLESVLQRAETLEDLRKTISEKVPMRTSRPAYMTASRRHQNDIRIRSTRDHAHEYCIAESY >CRE14750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:205940:206245:1 gene:WBGene00084921 transcript:CRE14750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14750 MNREEIQRITLIRNAAVQIGADPMHIFFLDTLVELNAKMIQVGSQPLSTDGLLEMFSTCSCIRAAWSALNVKID >CRE14801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:270300:270838:-1 gene:WBGene00084922 transcript:CRE14801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14801 MFFISNSSSTSSNPFMLKITSEDFCHFKETKQNMKEQKMNASDMHLKTSETIYQITVEIATRAIHMLEKGSKTYRLIASHNKTHEEYKEILLKMEIKLEPAKTDFVIECWLKKDTAQKVAQEHKERIVERKKAKKAASATVKKLYVNFPIEE >CRE14772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:398793:399289:1 gene:WBGene00084923 transcript:CRE14772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14772 MKFLCLLLLVIVLAVLKFVNGAEKCAEGEWCFHPYTIDRNGKETEMLPGDNPDYDEETNNKFRFDEDEAWKEIAKLRELRKVKYGFKVEKGKINRRIVDFDLGSNQAIERFRDVSPKN >CRE14748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:190970:191366:1 gene:WBGene00084924 transcript:CRE14748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14748 MEILPGRIHPNSNLPHNTLMLLRQIHRIVAGRLQLVEDMHDGENSALSRALYQQLETLDKLMIMGRRERNLLDELCIHKLVFVDKVFSFQ >CRE14824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:408549:408680:-1 gene:WBGene00084925 transcript:CRE14824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14824 MDRQHTLPVRDQRLNRENYKLFKKLGEGHFGKVRKSLVDLTLY >CRE14787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:170959:171754:-1 gene:WBGene00084926 transcript:CRE14787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14787 MSSEFPLFRLPLIVLNHGLKLMTPFEIISLSLCSKRCKTVCQSLRNQLKCKEKAVKFQLKFSKKREIRMEFNYYPNIRWILSIFQVSEKDEIGFSRNDLFVTNWIPTEEDTPQEQIRENNSMVNRYLKVYISNDYDIFILRKYIDHLSYIFNITLTNLELHSQDFARDENEIIIDSYTAGHKYGATLIFNFFGNILSFSKILQMSIRCFPGLKNGTLVEILKVIEFLKVLKEV >CRE14805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:284022:284678:-1 gene:WBGene00084927 transcript:CRE14805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tmbi-4 description:CRE-TMBI-4 protein [Source:UniProtKB/TrEMBL;Acc:E3MRT9] MAATSSHLREPERVNLLADQDDSDNEDEVHVKRNPQMWPMNLANSSHAEAGLVDSDGILPGCVGKANRMIRIAFLRKVLGIVGFQLLFTIGICAAIYHIPNSNLILKNK >CRE14777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:71754:72334:-1 gene:WBGene00084928 transcript:CRE14777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14777 MCRLFLEENEPVIAKYRNAWYEARIVMVERQAICKIYFKTDPRKTEFTVHLNHLLVAESATHQLRLFIRIQQNDPEEIELIGFRDVSTYTVEPLPPILTKRTNKKKKKKPLLTLIYITTN >CRE14765 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:263782:264112:1 gene:WBGene00084929 transcript:CRE14765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14765 MSSNDCKVMREICQKLAKAVTVFKEYCAQTKKLKELSKPEEIEMEVFWRRPIVRFTEPEEKWSVLRRVRVPSEPIDIIYPQREQDW >CRE14812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:341213:341916:-1 gene:WBGene00084930 transcript:CRE14812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14812 MSLSQSPLYWRNQNNNNYPLEDSSLDNNFVPIMDPDAPLKTLKSINDMGPLSLWYRIDRWLEGQPLTKYDNNAN >CRE14786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:169157:169624:-1 gene:WBGene00084931 transcript:CRE14786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14786 MFPKKLKIKVAPYLCPAVYCGNRRDTNCVKSLKLVGESENTPEDDEVLYHILSRQEAKCELTLDMKPTSKFLFRGDLLRYSINQLIVRNSDWLTCGEFSRFDSFAIWVFNSKIHPFNIECLIKRWYSGWTPKWTLAMIELIFINIDDCINRVRER >CRE14826 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:411652:412967:-1 gene:WBGene00084932 transcript:CRE14826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14826 MSQGHHHHHAALEEIEVVPSDDFNHRDARTSSVQGHRLLKRLGSGGYASVYLSERVNNGELFAMKIMKKWKIIKYDAIDRTVDELKIHKQLDSPFVIKLIASFHTPTRVCMVLELAARRNLQEITDDRHALIYDFKIKCWLAEMVLGLEYLHYKRVMHRDIKRENMLLTCQGHIKISDFGLSKEGMDKDTFTNTKCGTVPCMCPEMLMRIPYTRSADIWGLGIIALELITGRSLFDSDNSQSIINKLTSMKSCNELEYPSNLTGVGLSFVRAILQFEPDRISLERIRGHPYLEEVPWTSILEFTPLDSSYKMPKKEYSHRPVILSKCPALEGDDPFEGFDYLSDWRASYGRFWLQYPHFRRTTFYETFSKMLLSTPCNFVTRFTIFTTMCPLFVVFLNSFNRLF >CRE14764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:257704:257982:1 gene:WBGene00084933 transcript:CRE14764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14764 MTKSDGTSNNGEIIPKLEAMSPVPSHPSPIPTNVKPEYSLVSVRSNVPIIHLIYEQPAPPGWRYDLAWHKNVYFFNEPIYYRDNYYHIIHNV >CRE14798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:264638:265163:-1 gene:WBGene00084934 transcript:CRE14798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14798 MSFLFFNSKRTNDTEEITQDVLNLASNQSAEAVYNKALAECLMKIKKDTFCVQSVKTCTRAERLAKRVLEFGIRKYRIGGKKAKILTDFFKSCAEMVRIRFELRIRMIPTMAEEIVLEWAAETEKKLNSEKGERRRNQKRLM >CRE14740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:113732:114231:1 gene:WBGene00084935 transcript:CRE14740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14740 MESKTENLVPKMKTIPIRTKPMKRKASIKNKIRMFKMQSDKKIRRLEDKKKQLFEAAKFYEKKYKNLKFKTENETIPMHEKVIDGQNDIIDELAKIVKGVQEDKAEVVRSYSVKMQEVEKILNKLKRGGIIDDDMNIMEPTVVGSDLE >CRE14761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:244550:245733:1 gene:WBGene00084936 transcript:CRE14761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14761 MENQVKAFPILRFPIIVIQEVVSMMNPFEILYFSLLSRIAKLIGQMCWRTSRHIDYRFDVEICKKPFIAFTKGERRWVYEITTEMDKSDQRRNWTDIELQYKYYENPIGGLKTWIQVVQKTLKATLQYIRINIDDYPTQNRVLINWIKTQTSSVEKFVIEGSKLADGDVTYFLNTITAKWGLYLDAKLSDQFTINFPRTLAAFYVHHGEWITWVQLLLIPALSISIVHSSLTPQELKVFFELWMDSKYHEKLRYMSIVLESEEHLEAIASLLHTPIDKKGSWYLDTLFFKDTIQNGVEIKRSDGATAFLGRFQSPHSEFGLIRFCLYSNELNDALNA >CRE14773 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:402711:403271:1 gene:WBGene00084937 transcript:CRE14773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14773 MKPHLLVLLFFVLLPSIQAFKSTRFVFNGTIECPKQRNWCYEIKLAAVHKGYGELITDQETCLRGETKGEYHIDEIKDWKEEYADFFAVSLVVIHNCGDEQKSLIARRFPKEKMSTRFFIRQVDFNLATKVVIKKHIKV >CRE14758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:235637:237397:1 gene:WBGene00084938 transcript:CRE14758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14758 MSKRSLKRLENTRIGKLREIVVDTPTLIQMMVAIEKLVEMFGFDREQFFQAPNQYRRLLLSFQLYNFQERTRMRRDELEKLSHACDLNFITRLDKILKLDHLDCELTLKEFILQIRSIESTSNFFSYLRSTAIVLGNLVDFESHLSTFMILILCPLQRYFIVRGAVCYVGLLGYHGKPKIPDDLTTFVKKKEDFTTTGVPTNAAIRNFPFHQHSVLHLAMLVDPYKSISRDVVHNRIKNDFFLKVSRPQYTPFRYLLSQKYEHKIGDEVKYKLRIDCLDETRNSLYVGYLCDHKKMEDYGVGEKQSINHMYDFGFGLISKWEIAYRLVKKMFGGDTDPIDWSNLDDSGVDMDGSPSPVGPLSIDVAVDTNQGPPTLAPNLPIKLEEAEPTIRGYHLLPINPPPVGWENDPAWKEFNFSSVTYQGQNFSVNTVTVFPSGSNFQSTSEMKNASSNQEGYGSVDTC >CRE14749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:192362:192730:1 gene:WBGene00084939 transcript:CRE14749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14749 MANPLRLNGKNLCDAALEVLHNLRVHLIARMNVEREKPGGTRRQTFRLLRTQLKSVIEFIRVGQLPFTPLRMLRLYQGCINNELQPIPYD >CRE14771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:391661:392124:1 gene:WBGene00084940 transcript:CRE14771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14771 MKLLCLVLFVIVLAALKSVSGAQKCEEGEWCFHPYIIDRNEELRKVKYGFKVEKGKINRRRVDFDLGSNQAIERFRDVEPEI >CRE14759 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:238069:240035:1 gene:WBGene00084941 transcript:CRE14759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14759 MEYAFLAYENPEKGPGYQSFMETTFGTLKRIIICPMDLIKMIGAIETFEKKWDIDRKMFFKIPFEYYRLVGKTRYTAQMSTVEIKQLIKNKPYYSTNLSSVLKLDDFSDDLTIKEFLEQLPGITPTIFFRGYHGQKSVSECRTNAWFKTDKKDFTCIEGLPDNYFSLPINPSAIANLVMIQEIHENVNEQEVNRRGRECLMKSVLKKTTIKEIRDHLDQNYSTPGSAHSPIYRMKVENLQQIRSQLVQDFADSNNQMVNFEPNVNNSYNEGFQNLAKWEAALSILQELFPRHSNVARPSTPHSYEERGASSNPLSTNSSDYLFNSSNPSISTISPALDVHRQSLPFETSSNKIKNSSAEKNELVFNSVFSASVAQYQQEGGTYPTRTSTESANGNKTSNATSSSLREISEYMLIPAGAVGPMFKLVPEGPPAAGWEQDP >CRE14797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:259604:261021:-1 gene:WBGene00084942 transcript:CRE14797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14797 MVPDFDSITGESYHQLFTKDSILCRDVDEMTENKLLSKQDLNENVENFGAGDILLDHAVFISDLMIKRIVSLQVAAKHEKDKNNRSENFMATVGLFKKGLIAFERQQESLRRHLARIIYSNTEEGAAYFKEKAREEMAEVIRERVEEYRRKEAELEAQAKEKVGSKNGVTKLEVIEEEVKEN >CRE14757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:232420:233578:1 gene:WBGene00084943 transcript:CRE14757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14757 MDRVHVYHARCQRALDLLKDNLDELETYNLYSRVWFSFLHNCVKSLYQRCLDIFYELEKEEPDFEKLRVMSYELLEEQKMKFNVMGRIAVDHLQMEGFTKENLMNTFLDWEMVDNGTFIITDMDYLSPVVYDDDEESEFFKLFTIDDLLIFDDTHSTVQVFTTNPFDDPSNDDFIAEFDGLRVEDDHHLMTCRSISRSSTECSVHEIDFVSVSEGEFKEFEEQWEALQLQRAGYEDSSSHHVPATPNLSPSSLLSSPNHYSFFTLVTHSDLMLPLFCSSATL >CRE14785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig63:156682:157457:-1 gene:WBGene00084944 transcript:CRE14785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14785 MNSNPSAPVAVNHNYNNNTISNNNNNNNDAEECHPPEPTPTRSNNRQKNRKPTKGIGKGRPLKTEGPKKRRPKTVTTMKAAEIAHKYVTREMGVTPTVITFANKQLSSMSISDAPQDPAPSSATFAQEKTYFHL >CRE25056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6326:79:462:-1 gene:WBGene00084945 transcript:CRE25056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25056 MKKNKGFTLIEVVVALAIFAVAAMALTKVAMQYTQSTANAILRTKAQFVAQNEISMMMINREWLSGTSSKQVTTQGETWQVDKKAESTLSPNVQKIDVQVSLFNPDTGKVEAGITNMVFFNYPIKEK >CRE25057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6326:1021:1548:-1 gene:WBGene00084946 transcript:CRE25057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25057 MTLDALAAELDLAKGTLYKHFQSKDELYILLIIRNERMLLEMVRDTEKAFPEHLAFFMLHHLHHPERAVLFHQIEERLSTTGQGIHHLFSELYQVRKQRLRIIIRMTESYLESIQSLMSVRDYLASIWSITHGGAAILNSSFYQRYLGCRDTLRVALIDQALAVPKHPQASEQVA >CRE29420 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6329:1103:1983:-1 gene:WBGene00084947 transcript:CRE29420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29420 MFSSVKNLASVLRAGKYSDFPDSERSSYVKMSGQYVPVILHPLDGYLVSGWENITEGLKALTGYVTDLFNTDVSEVFVSDDSFKMIEWVNRRQTTPLKKVVYMAAAWSPCSSEDEMNYILRGCRHWLSGGCPRLKLFCANTGSVDILQVLAGLRQNAVFVENRRDYTSTFGHQWTFWDGYDIKRSDGVTATVHYEPLGALVIAVWPETTYNYN >CRE29419 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6329:133:674:-1 gene:WBGene00084948 transcript:CRE29419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29419 MTFLFALLLCWIISVFFQAIKYFLIPNISLPPPHFPPPQTVSTRQPDVPIPSSPFPLFLVPYVPLRRIIDFMEPEALVSLSFCSQKSHSVIKTQRRAPFNGRLCVSEYDSNLSFRTFRNCDCVLSVCDCSFFPNSERINYVIMKGQYVPVEVHPFEWKSCLILV >CRE29256 pep:known supercontig:C_remanei-15.0.1:Crem_Contig639:1121:1573:-1 gene:WBGene00084951 transcript:CRE29256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29256 CVYVCVFVNNFCSIFNKLCFCFNNLCFCFNKLCFCFNNLCFCFNNLYFCFNNLCLIFNNLCLIFNNLCLIFNNLCFSTTCVFATTCVFCPQIVCFRLQLVVVCVCFQQLVFFFQQLVFFQQLVFLQQLVFYWLQLVFFFNNFCVFATTCV >CRE17330 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:137164:138227:-1 gene:WBGene00084952 transcript:CRE17330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17330 MVALLLLFLTLPVAVFTKDVKCPEGFLHFKRTPTAKNNHTKNWCMKVSVYENVGNRDNARSVCLADNATLTIPENREEYEAISAYIRKANISEPHAIDGQISQKCKLKMFRHQRLRLEINTTRWTGDCNIKKNLFTFDDVNTDTTFALTQFEWSVPNGQGATQHDRDPKLFWVDECLKMSQTHYTGNRTGLPFVDLSWCMGVDGTDKSDWRFKYRVINSVLCGRRPL >CRE17290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:122362:124765:1 gene:WBGene00084953 transcript:CRE17290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17290 MKKGDDSEKTSFENLKKGVTPIYTGAYESNYLMELGNAIEYLMSFAVSVAIQQTLLETALAGLVSAVAWPVALMSVSSVLDNPWNVCVSRAAEVGEHLAEVLLSRSHGKRPITLIGFSLGARVIFHCLLTMSKRSESVGIIEDVILLGAPVTASPKEWSKVCSVVSGRVINGYCETDWLLRFLYRTMSAQFRIAGTGPVDNRNSKKIYNYNLSHIVKGHMDYSKRLTEVLHAVGVKVGPHSEDSVVDLTELEGPHEATGEAEEAINYKSTDTESNLPLVLDGIHEIKVLDSPRLGEKKKIQTTV >CRE17357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:391026:391683:-1 gene:WBGene00084954 transcript:CRE17357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17357 METKYLLLLIFCFNWTPVIGRCEEVKCLSKDNGCVNVGTRQECPPDCRPSCQNQKIRKNEHAHIKVRTKADRGNGLYAKEFIKKGKLVTVYCGPVIRKKEYAVRRAGYIAENIVDFYGTRAGDYIIDPTKRGNLARFANHSCAPNMESHK >CRE17356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:388993:389297:-1 gene:WBGene00084955 transcript:CRE17356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17356 MKDIVQKVMFETTMIILQNGTQTGTQTNFWRHESPSEKTDIVSILNIGSDNTHICSMETSVPLLLRLMPRRHFGSSHMSNQRD >CRE17298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:198432:200172:1 gene:WBGene00084956 transcript:CRE17298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17298 MHKNAFYNEETVDSIFDSLEEADINSEELEKIGKDDISTSNGLQTTQTIHAAGGFLESSDEIGKWEADYEQLVENFLNMLFSSLVGQADMNSEELKKIGKDDMSTANDLQTTQTIHAAGDSLESSDEIKKLEGEQFVENFLNKLFSSLVGQADINSEQLEKIGKDDMSTSNGLQTTQKISAAGGSLESYDKIEKWEAEQLVENFWNKFLPGVFPCSVEQHDDHYPKSGSMFDVLNMNKDYDEDGKDME >CRE17316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:452345:452888:1 gene:WBGene00084957 transcript:CRE17316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17316 MTGYATDCYKAVLEGVEKFCKKDQLSPACKWLPKPTTPIPMTRRLTTTTTTTTTTLAPATTIITDSSTDAGSNFPLVIVAVITILAFIAIGISLFCYCKKKKAAGKKEPMAGVSTGSNSASGISAKSATTPKKSQYGKEAAPVKSKINTPPEKSNVSNIKTAMNY >CRE17344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:278460:281078:-1 gene:WBGene00084958 transcript:CRE17344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17344 MPLFLKSDLLMVFLNNPQNLLKHVKDSLTINTQHTENASVDQIKELVKIENWIKDEKVILEAENKKIESDFPNKVIISISSFLMMIGVLGYLIYTEYTGQLISTGVRFLISGGAIVVLLIVAACFISHDPKMREKNQKAKEGGKREEKLLIDYSNFEKEEVMEPMMMSELNQRQIDIIDFYTEEIEPLRQKNRVWKNRQYVLLFFFYTEWAIYSLIRFCDSVRFISGFSKVDRTKIDPDDVMIEFTNFLFFESILVLAGCLLYLEYLKPLKEKLCMPEELKTVEMLV >CRE17360 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:412805:415103:-1 gene:WBGene00084959 transcript:CRE17360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17360 MNFSYAPKIAASLKESLLSRGHAGLLVQQQEKDLQPSPRLTPLKKEERVTEITGIVIGTYHGNTHLAAPQFERPIIMENAVPQQPGKIFRIIVYTPHYEPGMIRLDKNNSSEWMIPKTSGGGLGGQGLTNTLKIHPQDQYEPKQSDDQVNSSYPEDVFNYCNEVDQSMLTMDYTYQEQYKSQPKVGWTSNDGIFIFVSLTTVGFGNMMFTRSRMIPINS >CRE17353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:366669:367460:-1 gene:WBGene00084960 transcript:CRE17353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17353 MGQLISTPVEKMAEVVSFTISDANSDSVASAVENYCKKRKNKNECAVIPKKVFEMCVNKKKTGCKKLIDEGVEKFCKKDNWKYAACNWLPKTTTTASTTTTTTTTTTTTTSTTVTPTTAGSNVPLIIGIVVTAVVLLVVGIALFFYCRKMKAAARGKSSMTGTTTGTTTASGTGMTGTTTGKSKTGTTTGRSKTGTKTTTKKSKNGKKAKNSKNQTFTSY >CRE17339 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:194938:196242:-1 gene:WBGene00084961 transcript:CRE17339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17339 MKLQLLLLLSAVVYGAAQTTPSPLTVALNCIDDESADVIDDYIAAVEAAVNGLRQEIENCGILNLPCLTEVAAKIVAAVAAIPLQVLGVAAQLTTGGTGCVTGAISGR >CRE17334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:173967:174311:-1 gene:WBGene00084962 transcript:CRE17334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17334 IEIVKKAESLPVSQCDTNYVNKNNLCSLAKTPETPTPPPESQVATPSTEPPVCNPTVAVTSGTTQPPVTRYTTEKLTPEPPPQVPKELEDYEELDGDEYDPDFPTQPPRKYYFY >CRE17358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:400613:400919:-1 gene:WBGene00084963 transcript:CRE17358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17358 MSDRLTHQDIQTVPSGVGSSAPSGPPLDLFSYFGIICENSIWYATKYPFGIEYFANNNKAKYFGGPEEFNGKKSKIVRYACRPSQR >CRE17291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:128110:130041:1 gene:WBGene00084964 transcript:CRE17291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17291 MLSDFIISHTPLSTPPKPHRTSFSIRQLKRARQRYSKLLKLPNPPADQIFRLRTLIASTSKRIKSNMVSEERKILSAPNSRSARLLIKKRTRTSLKIPPLYVNNELISSNAAKSSIFSSVFFSNYNCSPSSSLIPVSNNSSNNISPSELFLPWIIENTLRKVPPRCGFTTHHANFYIIRNCATTLAIPLSIIYSDSFLKSHVPASWKHAIIIPIPKKGSLTSPENYRPISLTDPFSRVCERILCNYIKINLFRNISRFQHGFLSKRSCSSSLVHSISEYRLLLSSHKSLDVVYFDFRKAFDQVDHQFLISKLDSFGIPNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLCIAAFADDIKLYSHDSLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVENCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVVPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE17313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:405753:411723:1 gene:WBGene00084965 transcript:CRE17313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17313 MQRKPLAYDSLKTVLQHMGPEIRFELTRRIPQIRLTEAVVPLKINFLELGVNSTKINGKTYEMRTYHDYDQGVTVPKYIRNRNKNGGLTVDYDRFGHRDDSFRNVLTAGDLDFRDGRVLPAEGRMREVVVNGLESLRMALDEVTSLMQPYIFERLDQSPPWRNVIRLSITSKHKNQKFHQLPFNCRLHEAVKILNKLLVCRGNSLVNVNTLKISNSYYILRIPMNFKLKINNLDLKVGNSFYETVNGIIHESSYPLTSVYANSVSDNINVLDHPLITDAKTIKVTDVTIPRGQRLPLLLKMQNPTILVDSLGFLETNGMIALIRNLKESSRPIGHKIRLNTLGFNTAFQTLKEIANQFDGTRIRGRKIKIPMNHSSTLQVSYLPKHIDSGNEDFYLEIVVVSDNN >CRE17303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:286535:287213:1 gene:WBGene00084966 transcript:CRE17303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17303 MSSQKLLSYDLLITVFNLNNPHHLLRFLKNSLIGTQDNEKEEASVDQIKELLKMEKWMNQKRTSLKTKIEKAEKKASSEFGELFFFFILLSAIIVYLIYTGQSISLASRLWLSAGGIFLLSFVGLCCQMTCNPEELSLKKFERLSIGEDDDDDDDDFEYQMNLEKVEVFESLMVLELRNRHHRSIETKSES >CRE17364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:428214:430772:-1 gene:WBGene00084967 transcript:CRE17364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17364 MLCSPRELNDLLSIEHIIKFPIIPAAPNSPKTSEETDEKDGKKIGEKSTLPDAPFLLKISPEKLVVPPSEPLKIIIKNPTEDTQTLRCQFDSYYYLVDFKDAKSSGQHGVTPAAAYGCYELGPGESCSMTIRTTDKIKDMDLKNIYDYERNAEKRRRLKYPKKPIDIAYYNYDRPEGFLKIKHQKKGVETETKWAVREEQLCFTDDIDQKIDLRVEEINKRRSAKRDGKPLNRIKIDPELSDLMKFYRMKSAHKATRTHQKWREVWGETLVNNDNGTHRVFEPESDVREFVQNKENYNKTLGSEADNIVFWEKVFAKEYRRDPRNPNEKEEKRIRNSGFAKFLFTSGTLEEATEKAEKFLMDQKEEDWRIENQRMEQLRIQRMEEEKKEKEKKKKPCCSIM >CRE17315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:450025:450959:1 gene:WBGene00084968 transcript:CRE17315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17315 MYQICQKIKVTSQVRPSLLIKKSCDKAPQIIYGICVKKKRTNCDTVVPEGVEKHCKKDPGSPPCSWSILTSTISTTTTTTTTTPSTTTTNHISTSASNIPLIIGVVITTSLLFAIGIILFFCCRKTKDPSYGGGSNGTTRTDAKSSKTKKGTTTGTTGTRTTKKSKAGKKAKKGKKTKKTTTTASSGY >CRE17314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:447571:448105:1 gene:WBGene00084969 transcript:CRE17314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17314 MSQPQKVSCEAIKDMERNGQFEIEGVYIFCRDAPEFLLYLCSDCLSYCNTRIYEGVEKFCEGDSGSPACDWPPEPTTTTTTTRKKKEDWDKNYEEVKNWEESEEIQESNVN >CRE17320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:466631:467188:1 gene:WBGene00084970 transcript:CRE17320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17320 MSDEEIQKAKMEKRKEFLDDRMKVEEMITEIEDTWGKRCRCKMPGHYLTKINEVSGKKRKDNKKRRIYMPRTPLETPEEYEKVLEAERNRSNFPSEIDQLLQEAMKSFDTSKISEESKIPQITKKSTSPSSKPTETISEVKPRKAPEAPQPMSVTTPMKNDEVVVQKKEKVVVEKKKKNPCCSIC >CRE17324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:79767:83777:-1 gene:WBGene00084971 transcript:CRE17324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17324 MSGSEEEHLVSALTFRKNEDENDGGEEESADDVGASGAVKGPNKKMEFVNQNFVESTEDESDLSTPTAVGPPLGSADGDETPTFHLTILSSLVTTITTMRGCTPHLSN >CRE17350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:359635:360301:-1 gene:WBGene00084972 transcript:CRE17350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17350 MAFPGEKLNNGKRSILGVRFSFDKLSNSSTVAEEIALNCKPDIEKDVCADEPEFLTLYCIRHKRKNCDTLIREGVEKYCDGSKSSPACDWLEPSTTASPLNLPIIIGAVGGVVILLIIAIAVFCFVKKRKLAKKGKTMTGTTVGSTTATGATITGTPTVGTPTATDNGSRY >CRE17305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:327334:331797:1 gene:WBGene00084973 transcript:CRE17305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17305 MGRERDFKIFNSHSTSNQKMKAQDINEDKKEFQLHVGEKICQGTFSSTFLATTVPDMSGNYSEVAKVGLKKNQNKYAKEQQILREVNGHKYFPRFVYAGESPKFTYIVTEFAGEDLKTVSERNRNGLLSNENLLRLAHKLHGAVGFLHTKGFFDRDVQAANGLIDLKNKSVRVKLCDFGDSTEINQPARRRSSFMRLFHRQDVPPYHPSEDHCHTAVLCLKLLVKMPDMTRRESIFYNSHITKFEFPELVEEHTWIKLIWLSLCGCYENKETNFSFIATFLDAAIVGFDKRTDLDYNIKVGVKICDFGDSSEIKEPERRRSSFFNFFLRPVLPPFHESKDHCYTAILCLKLLTKIPHSSRRKSFFSTTHIEHDWIKLNWMSLCACYTQKSYDFSFIRTFLDSAITGFCKRSELEYETEDRQLKLL >CRE17351 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:360887:361608:-1 gene:WBGene00084974 transcript:CRE17351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17351 MSFLAFMPIEVRIQSILENSTTIATSLQSTCKEPEENVCAGEPRFLFLYCKKEKKPECDTLIRDGVEKYCDGSKSSPACDWLEPSTTASPLNLPIIIGAVGGVIILLITVIAVFCFVRKRKLAKKGKTMTGTTVRSTTATGATNTGTPTVGTPTAADNGSRY >CRE17337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:187742:190286:-1 gene:WBGene00084975 transcript:CRE17337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17337 MLKKMMFIVISIFLVESINAAAPNSNGFDREQLNFINKLNTHRMDFRIYHYTVNMQKLVWNKDLQDLAEKYDVRNLSTHQNLHSVLAHNYTNAAQILDKADLQIFNKYCKKKKELESSNFNYELELLNPLQTIIGCAKKTETELQNGCEIICYIGPSLTLQMPSCTNSTSICTPGFKKSKDGFCSNSDLPDSEQLMYISALNEKRRQIAAEQHIANMYELVSGKSVWNKTLHDIALNIIEHMVPSTPIYNQRWGITEFIHLEDGLKYPPNKRMHSSAQFLPLQREIACVTTIIKTNAFKNLCLLGPEIEIIKKAESLPGCDTNYVNKNNLCSLAKTPETPTPPPESQVLTPSTESPVCNPTEAVTSGTTQFPLTRYTTEKLTPEPPPQVPKELEDYEELDGDEYDPDFPTVPPRKYYFY >CRE17292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:139698:140281:1 gene:WBGene00084976 transcript:CRE17292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17292 MDNRDNARSVCGFFGASLTIPENQQEFDAIKKALKIYENITEGHIIDGEISPHCKAKYAREKHLFMKNKKDCDILGNAFIFDDVNTDPTFIVKQYPSLSPTEYTEEKIEGFKEIPLKMFGSCLSIIQEDWELHLSYCEGNQRRPGAKTIFNSVVCGMPPE >CRE17346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:289312:291023:-1 gene:WBGene00084977 transcript:CRE17346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17346 MEEWINTKKDTVENKVKESKSNFLNTTIACFITFFLSVGIVLYLIYMEYTNQLLPFQVRLFISGGVTWVLVYICVGFYIQMQKNKPTSENKGRKSENYSIPESMKMSELNQRQLDLIDFFIEKIAPLQIEIQVWKNRVTVMGCKVH >CRE17352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:364077:366044:-1 gene:WBGene00084978 transcript:CRE17352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17352 MASDCDKSPQKIFDHCVKKKRTNCDTLVPEGVAEYCSKYEGSPACAWSLTSTTISTTTTTTTPSTTTNHISTSASNTPLIIGVVIATSLLFAIGIILFVCCRKRKEPSFGGGSKGTTRTVAVSGTTNKDTISGRSWSTKKSKTGKKGKKGKKGKKTKKTTTTASSDNVCEEYPQELLDLCNKGKGENCYEIVLGGVELFCKSNNNSPACKWPPKPTTLAPATTIISDSSMAVSSNLPLVIVAVITILAFIAIGNSASGISAKEATAPKKSQYAKEAPPEKSNINTDC >CRE17345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:283935:284700:-1 gene:WBGene00084979 transcript:CRE17345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17345 MPSKKLLSYDLLTIVFNLNDPRHLLKLLKNSLIEIRNNEKEEEASVDQIKELLKMEKWMNLKRTSLETKIEDSMIQRLSGFGASFLFFILLSWIIKYLIYTGQSLSLASRLLLRAGGILLSFIFLALIGLNYQKWRNPGLRGKRLAKKIIVDIRLREDDDEKDEKILLEYQRNLEKEEVFEPLMMFELRNRHVALINSFIDTINLLKQEAKVWRDRERVPV >CRE17288 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:94806:95276:1 gene:WBGene00084980 transcript:CRE17288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17288 MGLDDWNIEKLVDEAARRIAEGMVKAMEKACRENPRFREQVLQHERMRIAELREQVKDNVSEQIEEWVEKRAKAEQKRKQKLKQRKQQRKDRKKKTKRHRRRTWIIFREFVMIFPCQMVALELLGIKFKSYEDANLSPIILEKAFVPRNKKKKKLN >CRE17318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:457761:458340:1 gene:WBGene00084981 transcript:CRE17318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17318 MNKTQSAVCEGMSKAMLNDCSHYFSKDKMDCKSNVLEGFKQFCKSDPKSPACNWLKPTTPIPITTRLTTTTTPTTTTTLPPATTVISDSSTDASSNFPLVIVAIITILAFIAIGISLFCYCKKKKAAGKKEPMTGVTTGSNSASGISSKGATTPKKSEYVKEKTSEKSNIKTARNY >CRE17348 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:296207:303758:-1 gene:WBGene00084982 transcript:CRE17348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17348 MSTQNHWKHTWPCAQIFSEFLCANREKIEGKIVLEIGAGATGVCGLTAAKLGAKSVLMTDHPKLDVALQTLQRNVEANGVADRCHVAGLDWESRESVSSVISSSSSLSNLSVIIASDVFFDPSTFRPLVDTFAQLLIKFEHAVIYFAYQQRDDSWTLAPYLSKYPFLRVELTRRIETDNETIDIFTMTKESLGLYAGIEGGATGSKLVIIDAATNRQYTSSTHGTNFFLTDYTVVCQRIATWIQEVFVAEGLEIRDLRALGLGLSGAEDEEFNRKFVEEFRRNHGKSITENFYLTSDSVMTLLANFPAEENGIVLIAGTGSSCRMKRRDGMVKGAGGWGHQVGDGGSAFWIAREAIQMLFDAEDGFITDFNTDVIKELLFKHYSITDKTRILDFLYSNFEKHKIADFTVSLATRVDDVAISEVFRRAGDILGRHVRTVAKHLSEEDRKVLHIVQIGGVFQSWQALQNGFVNALSGSGTHKIIMYEPCDSPAVGAAVLAAKEQNGIYLEQKVKKNVLREIDL >CRE17368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:502546:502944:-1 gene:WBGene00084983 transcript:CRE17368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17368 NAQKVVVTTRSHLSESVSSALEALKQKNLADSVEKVGGAGFKVLKVLEGCAAYVFASAGCKKWDTCAVEAVLHAAGGKLTDISGRDIRYEPDVQLNNTGGVLATAPWVKHQEYVDTIPQEIKNALPEVSSKK >CRE17306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:333297:334741:1 gene:WBGene00084984 transcript:CRE17306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17306 MSDEDDVVAAQAEDVPDVDSATKTADELFEKLVELEKKSKEGRKTLKEKTESVESIKTKICNMLKSSRSEDTKKKYIGMFEKHLAEASEECAAAQHVMDGINKRVEQLKDRLLKAHESLRATGNGVSEQEPEKLNQ >CRE17311 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:371910:373818:1 gene:WBGene00084985 transcript:CRE17311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17311 MKKLQSKCAICLGVGDGFHFGAEACKACAAFFRRSISQKKTYACKEANSCDLVNNARYMCQSCRLFKCLEVGMNPMGVQAKLTSKNYSEPSQASLLTLSLPTSFNDSMPLLSKMRSNYQKLVDARIVIHREEGQKLFEKKVPRALSYMELIDQGIKDKRLTADWVTWCFEDFCNLAMDQKNALFRNFFTPYYILECVFSSHVNNRPGRVKYPSGDFIDINQLDLFYKNLDNEQPLDKQQIDDLFKPSFLLHQKALIHPMMAEQLDIYEFFALTTILLWDTGLVDISDESIRTGKRVKDQAMAELIFYMKDHKKIKEPGMRIASIVNFLPAVYKSARRIQDDVEVTVFNIYTATEEFCELISGNFC >CRE17319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:463375:465281:1 gene:WBGene00084986 transcript:CRE17319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17319 MAKTLIVKPGRKSGNPTNDTQDVYCQFDSFYFLVDFKSANPWGQQGDSKHAAYGYYTLAPGKSCKLTIGHENPKYPECEWMKCEGFIKCDFEEEMKEAETILKARMESKGNIYYNCDRPEGYLNIMHRKKREDVKWAVREEQLFLAEETEKYQELREKYLKIRKDQERRTRWGETLITDDCGTRRLYKVEPDVRRYVTSRDNEYEGTKKFRETDGSYKWICDEKRQNANSMMFWEYVLADKCIPKDFEGYLQTGPTRA >CRE17317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:455886:456942:1 gene:WBGene00084987 transcript:CRE17317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17317 MLPSENQTSLLETSLLQTIFQRNLVWGNGVPNSEEDLIRTRTVKDNLLVKLKNETENKVFIYRRIQSKSTAQPDGSSSSSSIYLFSAKVEEDVVLPEDEIGMAKTAVAVLRNATIRSRDSPLFTNPVNISVNDKEVVIKSNKICKTWFFAGDQSGS >CRE17289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig64:113315:116022:1 gene:WBGene00084988 transcript:CRE17289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17289 MFQSKRSPQPLAKAFPQRNMSTTSSTSSNSPRHRSLETPIVLQPATRFALANLATTVLRLDFWDDNDPNSIFFCKSTFNIVTQCLDLPDKVVKTMKTHLEGEEELTDIAPMILSVREDPIYKTEGSTPFLASLLIAFVTQGNYDSRYRVLLRHLTTLLGVVWTEFEEVEDSLANTLLDEQFVESEQSRVVREKTARNKKIKRYLMIGAAGGVGGVLIGLTGGLAAPLVAASAGMLIGGGTAVAGLATTAGAAVLGTTMGVAGAGFTGYKMKKRVGAIEEFTVETLCDGVSLSCTLVVSGWIESDTSPEQAFVHQWRHLRHTKEQYTLR >CRE26862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig645:15304:15912:-1 gene:WBGene00084990 transcript:CRE26862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26862 MMGPPGVEAKPEAPPPPPAAPAKATAAAIPEFPVSASGPDKPSATASASSASFVSTATASASVASTASSIPQFPSTVLTSSAVDSDEASTESLYDALTYSDSEKIPRRPLYDMVDGWFESDDDDEEEKEKKLTPGVDDEKEKKEKMLKPYVDDEDPNDYDVINFVLLVFFLIFILSVSIPVLLAASGVWHVDLIMEHLKYGM >CRE31574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig647:7775:8418:1 gene:WBGene00084991 transcript:CRE31574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31574 MNRKTLKFSLIILFFICFPPCLATLNILKEKGYGFVEDPEYRVEKCVSQKIRLDFHDRHAASLALNRKDQLISKLEIDGCGMVARAMREIATITMASNAAITAGFTNIVVALNFPFVWQKSVQKHVVMRNLKEMQLLSMFTSNITLGSIRICIIGGAALASIVLICALAYFCCATCCCSCCCFRKRGTKTNPLANIV >CRE31576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig647:9117:11684:-1 gene:WBGene00084992 transcript:CRE31576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31576 MWKDTFTKAPYKCNCLLTSDTHEHLSVFMTQLSHQDNIMKNWTLDRRCFVFYHEDDILGSIEAYQPVFIYGDGKFKSMAASNSFDFNKIIETQLKKWYDSREEVFAKQLECLEQLMAETDYCEDVGNDHILRCYDPVKYDKEIVTGDCDSRRKKLLDALQVASDAVNNATSAENHEQSMEQFKKCETAVFKHHNQLHLDEDLEVEKCLRNRMFRFYGPAMIDVLHPNPADDEDDMDDEDDDMLDEEQLWEVDDKICTVDVYIRRKLASLGFQIINVSPFAKCHNPHDSALPTSKNTAKYPAYIDIGDRDVPCPWCGALKFACETSWNCCKNGKVWIPPIRRQPKPVEGVFAYKYRKQLTQINAAFSMASIRYNRQDQAPRGINTMKVKGVVSAHPSALNAKSSAPPRYANFIVLQCENKQIAEERARTLKTSVKKDLARLFEEIQEYMDKNNRLYESYKSMKEIEKEFLDAGHQAGYLGNEQLRFRIVSPSELSEDEMKALQFHNGVYCRPSRKGDGYISVAFTWDGHDSNMLPRGLDIFPRNPDHKDKEIEPISIFSELCDVMCYPLFYPDGLGGWGLKKYPRYTGKKSETPTFQQRIKEHLEIIKENGESPDDYFDYDFTTEFKNMEISKSNKKQKKKPADDDMDMDDDMDDDMDDDMDDDMDDDMDDDMDDDMDDDMDDDYQKDEAALNEEDDPMNLDNEKILAYGDLEDMQENPLNDEIGQEPNLIRVERYGEYYYVLDKSKKTRDIPLTDMDPFGPEDIATYNSDGEEEEFFDVSKYVWETELEEKEVEAPGEDEEVAEGSAKAYEEYEDGLDDLD >CRE16875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:1260:4527:-1 gene:WBGene00084993 transcript:CRE16875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16875 MSRGKKEKKEKVLGSVGPVSYKRSILAAFEKQSNGRICPLCKVKFSLASYKSHMNECNVAEDDDEIEVLATYTREEAVLLRAGPEIVLGEESFSEVASNPKKRRRTEKIEELESTSAPPNNVDLGTLRPTTSNENLETSLQSSEVTENIANHVRNIKTSPDSENHRRKSTRVLRSESQQGPVKKESATIAEVIDAIDNFESRTANTSLPYYVRCTIRILKRVISTMKTDGTYYSDDFWMPGDIITFYRFVNELSDGAKCLLVRLFIRKPVWYHLEKLEQKYPEVQNINEVVVELTKMEFVDDDSSLKSLEEALKISDVSVLKNIAKKFKIDSNKNRQETIQSIKSFAQSQQSIFGGTGSVEASVLKSLKKELGTCVKIRDGFVDLFKCLFTVYCPVTTNSANVIDNPSATNVYQDLLFMMLSVENGTVQFPALNPCPTITSFYKDRRMLMEYVIAKSLETTLVLNMSNGNHETALDLAIDAKEFLDQMPEEHKRYYDSLEVHERKFTPIWVHTRCCGHATTLLEKQKKYGMAVEWQRDLLITNKDVQLYCIDSRGLWWDRMLLNLDSHLKEKQECAKMIQLAINDPSVLEKELLSIQDRALKLKEMPPDFRPPLNIGIPKKRVISARTIAKSLGDGRLNRFVIRDDEKEEDVECSVEEAARRWYLENEGYTIGIHDEGATWHTLFGLIFYDIIFSTEEDVASTWHSEVQDCPSDLSNTLYSKRKEKFEDRFEWLAEVDQEVIEDNIRKIWTLKQNETNRECSWKHFPSGVEDCVGLFQCISRTALVSIFRRLAENYRNSRSGFPDLTLWNPEKKTVAVIEVKGPGDRLSTKQRLWLSVFADNGIESEVCHVEAQNSRLLV >CRE16868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:448711:450013:1 gene:WBGene00084994 transcript:CRE16868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16868 MRNGRGLVTSFIAAQRLANLRNTLWNRQQLAFSSSVASSSTPPVQEESEQLAIRFEYGLPLLDVPLPSRNEPCQFTMRPLSDSVGSLCNFLRQEDRGIDYVAVYGTNGVKLATCTSIEHLLQFGAFRLRLNDKFYNVSVPKSGTTPYDSDKLRQLDDLRATVASLHAALCVDEYKLSREKKLLLQLENAETLLAPLHEAKQRIEQECEAHTDRVMWGGFAAMGVQTGLFARLTWWEYSWDIMEPVTYFATYSTVCATFGYYLYTKQVRNQRIIQIANKYFQSFEYPSARERVYTKQFYRRAQKQNFDIERYNRLVNEVEDLRNQLKRMRDPLFQHLPVSYLSNLETEK >CRE16850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:210367:210893:1 gene:WBGene00084995 transcript:CRE16850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16850 MSIAVNNGFSVIKKCTQRFLASYNMRPPIEPEPGLCCQEGCESCVWLVYAQELLDYYRQKYPTDTLNKVKEEIGDKIESPSVREYVMMELAMTEKRYRNMAAIGVKKKKPGEGK >CRE16905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:201880:203554:-1 gene:WBGene00084996 transcript:CRE16905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16905 MGESDPILFFAARRGFYTDGVSFLVIVGLSPHEDKFYRVTERKLTEKGIQLGQFLMGTVVSTELVKDFQLTNYPLDVEIDGNVASVSFGKRTKTHKNHIQITLQSDSLVPSINGTHGFKTKPFGIVRALDKSIQPGSYTIKITAKSSSFSKGSPLCAAVVLTTDSQLPSETSSYVNYHHASARSSSTNYSTISMQSRNHLNDSENGNQPSSNSQDPDSMDDLIARLPKLKPPLPLPRTVKPIINTPSNANSENKIVGSGFAVKVPELPKRNMTAFVTNIHDASKSRLYFLWICDVQEEGMFFSNRHELDRGHFFEGTFVKQEKGKQEWKCIRYLRKADELVQGSVVRDKIELTLPVLSYELPSDPLGKPNVYAEHIGKIVDRNNRLPANCIGKNITVQRSKNNQNVFEWIVTGMLENENCS >CRE16880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:21388:22247:-1 gene:WBGene00084997 transcript:CRE16880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16880 MTEGQAMATAFAITTNLPNWSLIDEISIPLLETGVATLPVLQAALPSVLSITKDDEKLNDRYWKVLRIAQLQIEHLLRSQQELLKHMEKLETESESKPLKTKNVRSERKISGGSTENCEKYKCADCGKVFLNETFLTSHFERRHPKSVQHSVSE >CRE16873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:502615:502986:1 gene:WBGene00084998 transcript:CRE16873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16873 MSQDHSGELDLSGLSKREQQRQKLLAALDPDAASEKFKKQGGVVIATNLDRAFKKRCNDEEKIELGNVEYDEKDNEQYDFGEEHDKFEVCLN >CRE16840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:174278:175790:1 gene:WBGene00084999 transcript:CRE16840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16840 MSNSSSPPSDLVPQKWPMRVFYIMSIVSLPLYFMVFGCLLRLRCVSRSYNTTFYSILLQHCIVDLIAMSFSILNATSKNIPVIREFIFEYQDYYLAAGSYNSVYYFLYIRCTGIVFLSLQRYLIITSPTSLLTQKIQFASKLQIISVYWSVPTLLSLVVLKDTNFEYDSLERMSIITDQEIIQRNTLMALIVVSLTCVICSSAYGALFYYIRKHTAGLSRSLRREVHLAFQVFVLLLAFFVILVYYAFQNYFSQTHNTGPIFYMRALYPIANGLLSYINPFCILFLNKDLARQVIRTVTCHKYKVSEAQISAIASTSTKHHSFHRKVVHMGTNRTIELDRKESNQVMF >CRE16861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:305223:305836:1 gene:WBGene00085000 transcript:CRE16861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16861 MKLYLVFLFVASVVATGKPRRPNSGPVFALDSSEVDKLPSKTADTFVGKPSNLDPADFTGVSWAVAPRINVINGESFYWVPIEVLTVEQHVVRMDLIIMKISVGESVCARDGSLNDINPTRCPLKKNGKRAVYEVKISTEKVKEPKKVVGAEKEAESVRSSEDNELIMN >CRE16821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:57906:59158:1 gene:WBGene00085001 transcript:CRE16821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16821 MAFKTGATIAFIGYYTSIPLFLAYENMRVVTIVSTGGRYYECPPSFVVVLDDLVFKGMIATHSISYILVKQLWIALRAETIMISNDERILAKLVKRGNKWMVEVYKDD >CRE16876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:6409:7093:-1 gene:WBGene00085002 transcript:CRE16876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16876 MILTPKMPKGSRQRPELHRPRIRTQEVTPMRMSQFSRAMPSLESQISIGKQLHSQVSVSMRSPPRSKRFFVPECTSTPALPECVEEVEMNEDPPMVPIVAEVHSHPSDAEVELILNSEPIGQLSPEPRPSSAPLNNCSISRLPPRPRTAEPVEPRRACAPTRKRTARKCTMAMTPHCARPTISWRYKCSKEGAFL >CRE16906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:203814:204827:-1 gene:WBGene00085003 transcript:CRE16906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16906 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MSB4] MNDSKKKIDSVFSILNSQLKYLLFAGRRIEYLGNKCLILIKPHSGRHFYVEEKKLNNSYVYLGSFLFARRTTRRVLYDFEPTDKPKKFNVKVEGDVAFIENLQCELVEEDGLKLFKGKTLGDILCKEPLELGQYFLRVHLMSQATVTKDKRIIHFEGTNLSHVGEKTESSVSPFSSNDVEPTGEIETQPSSKLVVNAPFDPMNTYYFKIINAGEHQIGVGIKTNNRNRFEVEPKFGVIDPNESILVSMKCSPFPQDSEEESVDKITIGWINAPVGAGKEFKREWFRGDTVVQNRSLFIEYNK >CRE16848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:199524:199944:1 gene:WBGene00085004 transcript:CRE16848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16848 MNLRLVILLVFHFIHYSDSYKILVYSNLYGHSHIKVLNTIADTLTDAGHDVTLFRPIIETSQLNKSSVKTKKVIYVEPDEGVIEKMKQIDKFSGSLWTLDSTKPSAMIAKSNTLVEFFGTQCKS >CRE16914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:291716:302287:-1 gene:WBGene00085005 transcript:CRE16914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16914 MSLTYNADENYYEPPLTPQPTWDLPCTYEQLGRPEHNLDKFIEAMFQSVSPAATTPGPGQIVALQQIQALMALQLQQNNIFPKVDDHSSSPTPEMASPSAKRIKLSPNTSNHSDVSVASTSKGVNGEAKKSPKIFRNHRPFFFGHPRASTIPALGIFASDIPRRELLLLREDPPSRLDFHAPPIHPVPSSPSPPTPRDSEMDYHQSSSVASSESSTASTVAAAAAAAAAASIHQHHHPHHLTMMIDEKPNLSALYCDDGLLSRSLTDMVSSGGYDSSSSALSAAASMCYPTPDAYYYHPPIPPQPPQQQQGFGPTDAWLQMQMQPTYHNFSNTVVSTNSPLPNHLLSYGGQPSFESLA >CRE16915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:304210:304957:-1 gene:WBGene00085006 transcript:CRE16915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16915 MLVFIIFTVFSVIAASSRFGEPEIGTVEKLDPREYEILSVGKQSVAGDLFTFETVYGESTCEKDVQLSDLFFVECSLKQDGQRSIWKVTDHERVWMKGAERNVVTVSKVRDLEAGEEI >CRE16897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:151403:155376:-1 gene:WBGene00085007 transcript:CRE16897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16897 MNSRDRTDSRRPKFRDHRTPQFGGGGGRRHENPAHYPQKHRVLSPIRRTAGSPDRRQRDQRHNSPEVRRDHRERHRSQEKETKDAVVTSSSTAAVSSGSSRGATSASVTSSSMSKRKESGERRREADREEKIKDKNNEERRKETTDRSRSSNVAARIQAPVVSSSSTSQNSHRHHHGQQRETDWKKPLEKRPVDNRPMTYATDKSSSSGPTSSSRPRHSSADRHKSSSSSSRRREEDKSKDRGHSKREEEKKTDDRSSSSRRRDEENKDRNTESKREKKEEIPKEEKVVADETKEEDEAVAMQGLEEVDSCKFEFGKKRKCTLVVSGEGDESAELVPKPTVAADEPAPPSPEKSVHSAVGSNASAESDSEEELDYEEDDIDVDIGDDIDVETMKLAARGDLKVDDTTDEEPYDENEAHALIDNDDEVEARKDGEPETKKLKTEKKEDRTEHGTYFRNFHRILHVQLSTLISSQPEPIPSGSPQVQKLSPFNITFLGSSSSKSHRRRDENKSAQSSSDRKERRRSRSKERPRDVNRKDARRNERPSTTERSTHRGGAGTEKQRIPSLLTMRIAAPPGIKKMETFYHSSGDTSSHSSEGVGETFSNRWCQCSCAHHMPSTSTAGSLDASPASTSSSNSEVSASGIPSLMSLRTPFNYNCLFLAPPLPPQPPRRSHEPAARIRAPSPPRRSFGDRSVQRTEDHRGGGRHVAGEQQPRRRSPERVRRDDRRFSGFEDRKRIERAPIDVGKVSLLRNIKTSSITIFQNRSPLHRRRLSPPRGRPGEKVVQSYRNGV >CRE16892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:106528:107831:-1 gene:WBGene00085008 transcript:CRE16892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16892 MPIRVVDYMIIYYPKCEIDNSFLASWQGLAYTSHSIQVFVLPIQILTFWLIVQKTPDSMKSVKWPLFVVQFWCTLLDITVGFLITPYIFLPSIAFAGVGIFSWLGISQTVQLIFLLILTAVLNVSLIYLFESRSSSIENNIFKLRRRCSKIIYYCWNFAASSIILFSLAHIPTNQEEAKLNLLESNPCPTPEFFSLPVLVWLTDQYWISITFSLMSPLILLNAAGNVSFHAICSIYYLYLAPSTVISIQTRQLQRRFFFGVVIQTLVPTVICGIPYIIISLASASDKITQEVTNFLVIVIGFHGFVQSITVILVHRAYRHQFKMFLLGFVRKRLIPSFTLVLKMVYLQMEMSCRNMKKSSIYKKIFAENRVLLCEKVYSEAHIVVKKSCLLSHCCLILIHYFR >CRE16870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig65:487635:489158:1 gene:WBGene00085009 transcript:CRE16870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16870 MSAHAQVYITVISIKTLENHLKNDSRVRRVKWRHLSSERVSIYRYSLPAITYEVRLLLSYFRDLLFNVFQKSKMCKECLCRHKKLCLLLVWLAFVLFLCLVVVLGSLSPDDRAHPFAHNQSKTIPPQSLLLPQQSPPQLSAVLLNTSLVG >CRE04414 pep:known supercontig:C_remanei-15.0.1:Crem_Contig651:10022:10378:-1 gene:WBGene00085010 transcript:CRE04414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04414 CPFTGRGGCARKGAIYQITCECGEVYVGETGRPLSDRIDEHVRAANKPSLKSYSNTVWAKHSKSVHNGESLKLTIKVLTVERNTNKRRAVEATYINTLKPSLNTKEELTDMVTTFGIS >CRE23873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6555:1717:2044:1 gene:WBGene00085011 transcript:CRE23873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23873 MDLPKPLPILRLPFLAIEEIFKAMHPTEIINFSMISKRTRFVTKNKTFYSKYDIRLHINKPLGIAIQGTNNLVVCLYLMASGEKMEEKIADMI >CRE12388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig656:996:3157:1 gene:WBGene00085012 transcript:CRE12388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12388 MYNHGYVVESRGNNYSNRVYLKAHGQQSPHWIVQVSREPYVWKATLLLFVHLRDFFRPTTVHFDPGDLRYVLKNVKGVRIDATGGLVASDELMSWKADFFHDIKDHDDGLAWDKTRRTQHIRYTDPRSGRYYIRTDGTIATVVKWNANGS >CRE17802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6563:489:1484:1 gene:WBGene00085013 transcript:CRE17802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17802 MRPVHERLAATIAKRKNDRQNFEFWSALPEELRKKCIGTMELQARCRLRATSKTNKKLVESLELDVETLVLDGEKSVIKLKYRDGDGVLRCIFVVSNSSGFESGIYLIGWLMKSATIKHMQIMRFRSTSITEVEKQIINKMVPHKSSRISALHISDFNDIIFFFLEKMWTKMDRMKVWVRGGSDYSFDQLMKIPSFDYVKLFETSNFLSNYKNPCAVNAYFPIIQTWVENNAPVGKKLMALDVRRNGFSSFVKRFKSLLM >CRE11534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig658:15201:16579:1 gene:WBGene00085014 transcript:CRE11534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11534 MSCFECKFVVDSMQEDMIGNRQKLSNDVRDFACYKIVPGNMTASCINFLDLYLPTVIQMTIEQVTAEGVCEANKCCPKDSGELEKYVGKGRNIFCFNKI >CRE13889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6581:43:426:-1 gene:WBGene00085015 transcript:CRE13889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13889 MHMPSPNTVAPAQGLYQPDEFKDNCGFGLIAHMQGDASHDLVKTAIHSLSCMTHRGGIAADGKTGDGCGLLLAMPKAFFREEAKKISDITLSEIFAVGTIFLNLDPALAAHAKQILTHEMRELSCDVG >CRE10715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig659:6976:8364:-1 gene:WBGene00085016 transcript:CRE10715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10715 MCSNIKPDEDLPELSPAAKRRPGFTNCAPGCGGWPCSCWYYDPACLFYRYFAEPTSNDIYELFHCPIWSTRLRLKVELGALKLTLDVAPGIKYSIVDSNISITAVGIHTPPLQAHSATFISAYGLGAKIARWTSFTFTPTSSPGAPAKEFAGELQCKDKQSAEDFNCVFDPTLCKCVGFATKVNCRCFHEKMTEYRKNHKLPTRGINHQVLMINNRVTTLTVQETMVCCDYSIVVTIFSQGSTKDLSVESSAVVIVPRTTVAPGVTNKVSVIPFRPLHFTAPPKNGPPGVSPPPAPGGQCGVAPDFTPCVSNEITIKSLLECCKRKNLPAGCQQLCRYDITQAEIRAAMDRGQCGIFNVAPFLECASQGKDNSECCNCSEDWPPM >CRE10713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig659:7:1420:-1 gene:WBGene00085017 transcript:CRE10713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10713 MSFEKSRFTRADPGRADRAGPGRAGPNCETEVGFGYHNNLNLKCRTALATLSENKKYHYSVSDKGGEFVVATRDLYEAAMVKHLSDNSTYGKISKRDYTNNYKALQTDIDQVYYFWDPKTVRRLADNHPAINTIYGLFKTHKFTQRGVDATNDNVKIRPIISGSGGPTDRPSWVVSTILSQLTKFVGAHLENTAHLLTDLNRVKAKGEIHYESFDVESLYTNIHNQSALEAVKRKLHRHGRQIEWYGISSGHLIQLLEAVIRFNSFQFAGTFYIQKRGLAMGSRLAPVLAVIYMDTIETPSNVYPTLLYRRYIDDIFVIAESKTTLDDVFLSLNSQADTIRLTRETPTEGWLPFLNCEIRHKNDAFSTRWYRKPSNKNILIRFDSCQPKQHKINTIRTTQRTAVANSTFDNIKYSKDLAENILRKNGYLTTVKGVPY >CRE16146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:49998:52388:1 gene:WBGene00085018 transcript:CRE16146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16146 MTDSTIVDTSDVGSAQIRASKSDLSIAHSSPGLDSNATTSTACINDGQPLRRDLSSDLLCPLCDQLFYRPVMVTCGHSYCESCIERYTRNTRICVICKHDVGPLEAMIRSITLDNMVRKLKNEDHIETTTSYEDSFISEEKIQKDSTQSPPTQLIAAPRLIRSTMLQKRRLSFSVAAPIICSSSKLMENRDF >CRE16185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:9562:14719:-1 gene:WBGene00085019 transcript:CRE16185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16185 MGSSRKSREDLLDNFYLKDEEKMKAGDNRLKQGDVQAQCAVDPDVPNSPIGFDLKTRHDVDSSMIYSPLDKAKLAAETIVKMTRELSYSKNVHERKRALDRISVELTIIREATNGIDGKNIVDQEKLKRYIEMRITEHIALLEQLLHPDSNLHFEISSHRLLKKANKLKDQLSDLSMEFHRAVDNKEVFKTSIESTATSTPVIMEISPCSHPQNLFNPQEVLEKFCNDVIQFSKQQFKSLKLSNTDGSKAYVLSHFLKIESDILNFQMRTALESLNSPDSELKKPIFDQITSEISRGNQKVIKPHLESMKRAKEEMNLLKSIGSSVSTAANVITELAFWVLSFQNSNEKLLSMGIEPILGEKYQSNGETIPNCSYETSMLMENIETLRVIDYKKYIEEEMKKKKQWQELFEFYYKG >CRE16197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:96727:98273:-1 gene:WBGene00085020 transcript:CRE16197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16197 MEFNMVPAPVNYGMDPPPPQQKRQPRILRQFPIGGILINICEGAVRHIFEKHSKDFTIAANGAKDWPTIERNVSGHIAEMICSSAHLFLSNVRSTRDDSIMIYLKRPQDKLHHCFVVAHEGDNCYRLNTGYKKIIEEESFSGTWINFGTQRVEEYWQGMQGVYTRGAPEENFHYWCIHGRVPRDFANYQPPVSVPVPPPVYLIPLIPVYVVPQYISYQQELIY >CRE16183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:4716:4811:-1 gene:WBGene00085021 transcript:CRE16183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16183 MSRFSEFFGIPNSVPHTPVGYVPQIHRKVIF >CRE16194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:80294:82732:-1 gene:WBGene00085022 transcript:CRE16194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16194 MPDVDLNQKVWGVKAKCIIGVINFFSSVIQLVLGGPTFLIDEKTAKLTKKYYKCGNVGINLSGLAASFVFIYLAIVLYKLGFAKPPPPPPPPRVIVRRRDEVDDEESDREEERPKSGGRRPRTPQKSGKREQSGTAERSEASGTGRLDKTGRSGEKSGKPGPGKTTEEEILAITFHDLSLHDTPVAHRVTLPTNSAPFLATSTHPLQLVPLYTTEKTALKMSQSVDLNYKVFGFKARSHIIAYFILEFATAALLFAAVIDYLNLPSKPPPGVKKMVLDELFPLPLNLLVGILRLMISILGLVGYAILGGSTKGYAGNFRKYYKLTYLVHWFNMFAEAIIRIYIGVVLFNLSFLYPVDPVIVYVEKKPNSRVERSLEESKQKTDSGPGNSGKTGGESGGEEE >CRE16145 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:49443:49818:1 gene:WBGene00085023 transcript:CRE16145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16145 MDIDDEFERTKREMQAIIDEVSNTKIQTFRRDTTDSPSLVQLVTEVFDKMEKDYDTVKNNLDVVVKERENLEKKNTKISKEIDREEGDLNLLEETRNELKKLTELLKS >CRE16156 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:142434:143455:1 gene:WBGene00085024 transcript:CRE16156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16156 MAFNATASQAEEQMWVNTPPPTHPNRGRAGFGPMEQPLALVQFLIAGILVVIREGTVRHILEKHEKDFKFEIKEIEDWPTKEHIVASYIKNTIVHAGQLLITDERRSKENSLLIHMRRPVDRMFHRFVITHEAGNLYRLETAFKLYPDESLLTGYMGRCLVQYDHGVQEVLTAAKVDWRNPHIARVHGCYSL >CRE16169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:343771:344499:1 gene:WBGene00085025 transcript:CRE16169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16169 MSSLEEVREIKDRIVDLQKQINTNLHSSKHTTLHAVPSKDRADTNNETIEDYLGKYMVTLRSEEAKFKDQYKTYKEELYKGLSELYQAPIGTTFAEQAIKYAEEIEKGDTTVREGISEIEKRLQNRHETANEVADEENVQKYGEYRYKIREYEPAPQWRKEQQPIQVNHQKTKKHMLSSRDMEIPIFYGDIAEWPAFFMMFDPMVAKNEDFSDVMKHNILRQHLKGAAYDIVRPFKTDGTEY >CRE16210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:234197:236265:-1 gene:WBGene00085026 transcript:CRE16210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16210 MKILIFLALVFLVAGLLDCDWVPNIGCGGTHHVCKLRATLGWGALNRKEAAITVQNLQCDWVPTIGCGGRIHVCEWSSTVGWGVFDREEAEGLVIYHKKILKLFGERIVGNPKFGEDCPLIQGKNLCCKITILMTVRIKVLFMYYTVYYTATVVTKSLAGEKESQ >CRE16184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:7006:9457:-1 gene:WBGene00085027 transcript:CRE16184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16184 MADDNARIGINAERDNAAVELIRAMETLLTYAPTLTQISEFLRRMHGVSLDRQERAVRNLYEQEIHPKILEIREIRENHTEDDPLEAPFLELIGILRNNQLDVVEEELNARKERLLALTADVCEKLGGNQLRLRSVIGPYTERIHLEIFQNLLEAYGDLQQVQEGVLNWYHGIEHGNNETSVQEKMNSWAKITGKIIFFLTEVTLKLRGFDIEPNRLDDINDIITRSIRLYIPEQFFEFVRALANNQRLPDLENSLEESRETINLLVDDIERLTNY >CRE16155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:140646:141324:1 gene:WBGene00085028 transcript:CRE16155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16155 MAFQHNMVPPTAQYGTKRQKKVVCEFPVAAIRVRIREGTVDHILEKHSKDFDFAIDGETYPPMIEYKVSQYIQEIISTSSQLFLSVARSTRADSIMMYFRRPQDKMHHCFPLAYEEDNCYRISTGYKKRFDEESFSGTWVQCGSQAVVDYWPGMMGVFTRESVADSGHYWNIHGRPMQQQQPMVYPVPLQPIYLVPMKISYVSHQELVCVQ >CRE16158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:173126:173682:1 gene:WBGene00085029 transcript:CRE16158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16158 MRLMIFVESLFLSTATSLLFVLTNAVGTDLSFASKGIERNPDIVNKLAVTARVITAITLQNGLANGKIPVENVISELLNIGPGELKNVESFGNKNLDKFLNDLKSVQLPDEVAGLTNIELG >CRE16196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:93778:96369:-1 gene:WBGene00085030 transcript:CRE16196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16196 MTEWEDIPENTQMRIRQASLTIFKFVTEYTVSHQFWCSIDCSVVELCVRGACVEKPFPRFVVGNGCITNNDCSANEECDFGKCISMSPRNPLSRFVAPKACSTGADCGSQSVCVDGNCVVDNGYGGKCSVGAHCPNGYNCVNARCVPSSRRFERTFRVNCPIGTWCQNTSCLPFPGK >CRE16203 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:149860:150292:-1 gene:WBGene00085031 transcript:CRE16203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16203 MAPMRRWIILLLFAVLLVSQVISQSEPTKDKKQGRKVSELGPSYMRKRTQLPTIFFRPYRPTLALLLVS >CRE16221 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:306011:307803:-1 gene:WBGene00085032 transcript:CRE16221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16221 MNPRLIVLTLLTLSLVEMCVRMIPPEEVSISTTASSLPTDKPGEVTTEGTATSSETDSPSTEVPVTDTPVTDTPVTDTPVTDTPVTDEPVTEDPTDQCTECDIGAIMRDSIPDVQFEFQDTTEAGQCTTNKVTCKRTDTMTCTDNKMLATTPTGQVSITDSTTTTEASATLTCANDGTYSPGTTENTVNGCKTATITCKRDDGQICTSVAVQVPSPAGFIEIASAMNTALATAELTCSADGKYISEGVEITELFCRFNTCAPPPPSCKTCSKAGIISIAAPAPPNVDYIYELTSLPDAACVEARIGCKTTDDRICKRIEMRVICMGLGFRFIFSMNTASWSDTFQCSSDGTFSYSGLFLYGPGVPLHFFYEHSVLERYIPMQ >CRE16179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:443957:444592:1 gene:WBGene00085033 transcript:CRE16179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16179 MPTIRSNLSIPLTHLNRIDDVRMETDDVEKRSETTFRPLCVKCGVFGAQDGSGYAEFGNTRVLAQMYEKEHLTSVNNLSSLSAVIFVNKYPGKVIDIEVTVLSDDGGVLSTAITAVTLALAHSGIEHMGLTASAHVALKSNGDYITDPSTSEAEDAIGGVTFAFVPNLGQTTCVNLYGRIPLKAT >CRE16198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:99476:100369:-1 gene:WBGene00085034 transcript:CRE16198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16198 MAFNLAHATLKNGLAQPAPQQKRQPRILFEFWIAGILIRIREGAVRHIFESHSKDFSFAAKGAKDWPTIERNVSKHIAEMISSSAHLFLSNVRSTRNDSIMIYLKRPQDKLYHCFVVAHEGDNCYRLNTGYKKIIEDEAFSGTWINCGTGVVEEYWQGMQGVFTRGQPEENFHYWCIHGRVPSDFANFQPPVIVPVPPPVYLIPLIPVYVVPQYVPYQQELIY >CRE16149 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:83916:84347:1 gene:WBGene00085035 transcript:CRE16149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16149 MNRKLKTLSEWHNLQNKMVLLENRQVEQDKAIQRMKQEETHKELAKLKRRNEKLRRRLEKEKECPEKFSRRQKELHRQALEMIEKVENMKKNKKMKYFYREKSNARALCSEIRKLLRKSGAVIARPGE >CRE16150 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:101410:101895:1 gene:WBGene00085036 transcript:CRE16150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16150 MILNSTHLFITDKLTTRTDALLMYLRRPGDVMFHRIPIAQDHVEKICIRDGTPRHIFKKHWKDFQHATGGAKDWNMIEKNVSSYLKEMILSSTQLLFTDELVTREDGLLLYVRQPRDKMYHRIALAQDEAEEHVYNVSTAYKLWINEGVQFAGKWKHFESQ >CRE16235 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:415641:416275:-1 gene:WBGene00085037 transcript:CRE16235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16235 MDTIFEEEEEIDDVGSSGMEIDSKPSPAPTNQYEAEVIQQYIKEQQKIYKNSRKVTMKKQKPPKWICTVKNCQWGGRNMENMMSHLKKQHPDQPGTQFAVESTFLTNFKYSQTRKGSLKHIKRDVQEKKVVEELGQKIKDL >CRE16152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:106733:108879:1 gene:WBGene00085038 transcript:CRE16152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16152 MMYFDNYNQQSAQEQSGMVYWAPVNVPTPFGIPGPVEMPVAQHTGFNEGPRILDEFSVGNITIRIHDCTPRHIFKKHWKDFQHATRGARDWNTVERNVSSFLKEMILSSAQLFFTDELVTRKDGLLLYVRQPRDKMFHRIALAQDEAEGHVYNVSTAYKLWIDEAVQFSGQWKEFGSQQDVNYHPRMTGIFTRTSWNSHLANIHHRPTPQIAEVPLQYIVPHFVFSPVPNVQFAPPSPQFIQFLPYPPPVHVW >CRE16181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:693:1953:-1 gene:WBGene00085039 transcript:CRE16181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16181 MADGNAEIPTNAERKSAAVELTKAMEAYLAYEPTLARINEFLRKLHDISLDRQEKEVKNLFHQDIHPKILKIRQLKENHKEEDALDAPFVELIEKVRINELDVDEELNARKEKLLALAAEVCEKLGGIQLRLRSVIGPYTQRIHLEIFQNLLTAYGDLQQIQEGVLNWYHGIEHGNNETSVHEKMNSWAKITGTIIFFLTEVILKLPGFDIEPNRLDEINDIITRSIRLYIPEQFFELVRALANNQRLPDLENSLEESRETINLLVDDIERLTNY >CRE16209 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:229952:230236:-1 gene:WBGene00085040 transcript:CRE16209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16209 MLVEGCSLLELILSKLGCCFNDPEYKDRKKKLKKDRLCIKCLLPHEEDIPCTSNKKCHWKKTHHSSMCPEDIEIKWEESDGQSNIFSVHQLKLW >CRE16200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:112368:113545:-1 gene:WBGene00085041 transcript:CRE16200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16200 MLPLMSLIASASATGAVLVMCSGKDRQANRKPSKKTGSKSTKSHRSGSKSSSTAGTKSQRSSKSEKSGRSGKSGKSGKSKKGKKSSKSKKTPLAIAAVPGGASARQSESKKTRKDRSSKSKKSSKSKKCKAMQCEPNKIKQEKNLSKP >CRE16193 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:78495:79432:-1 gene:WBGene00085042 transcript:CRE16193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16193 MSETIDLTWIVWKRTIKLIYTFIIFFLAFNFPNRSFYKIYSIVLMCLAVLQLFSFILLDSSPKLLGKHFAKKAEWKKKYYKWGRIGQQAAMFMYAVTLGVLGFILYKASSIVPVRAIPPPQNVRVVRRQSRSRKPPPPQPSTADDEDTE >CRE16151 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:103246:105886:1 gene:WBGene00085043 transcript:CRE16151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16151 MHCPTLELTGCEDDRWFSYLENMQYFYNDVAYDFQQTPDPFGYANGMYFAQPAMPMESSMGVRAPMPSQIEMPQNTGFNEAPRILCEFPIGQITIRIRVGTVRHIFKKHWKDFVFAAGGARDWNDVERNVANYLKSMISNSTQLFVTDELTTRTDALLLYLRRPGDVMFHRIPIAQDHEEKHVYNICTAYKLRIEEQEFYGIWKNFSTQNEVFYQSGMNGIFTRTSDNPHLANIHRRPDPEPLETHFAHFVPVQPIPCILVPVQPIHYFPYPPPVHVW >CRE16233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig66:407574:408483:-1 gene:WBGene00085044 transcript:CRE16233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spt-4 description:Transcription elongation factor SPT4 [Source:UniProtKB/TrEMBL;Acc:E3MSP8] MSASVPADLRNLRACLLCSLIKSVDAFQKDGCENCDGVLHLKGDEEKVYDCTSANYDGMIAAMSNDDSWVCKWQKMQRKVKGMYAISVSGSLPSNVVSDLKSVGIRYKPNQRDYSIQAKK >CRE25058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6606:274:1407:-1 gene:WBGene00085045 transcript:CRE25058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25058 MAALEDLEKLYFRMKDDAQFLAEFDRDLAYYVGRPSPLYYAERWSKELGGAQIYLKREDLNHTGSHKVNNTIGQALLAKLSGKKRIIAETGAGQHGVATATIAARLGLECVVFMGAEDVKRQAMNVYRMRLLGATVVPVESGSKTLKDAMNEAMRDWVTNVDSTYYVIGTVAGPHPYPQLVRDFQSIIGREARKQIQEQAGRLPDALVACVGGGSNAMGLFYPFLNDQDVKMYGVEAAGLGIETGKHSAPLNAGHVGVLHGNRTYLMSDEQGQIIETHSISAGLDYPGVGPEHSFLKDMHRVNYVPINDTEALQGFRDLTHIEGIIPALESSHAMAYVTKLAPTMSKDQIIIATVSGRGDKDLMTVARIDGVEMVEM >CRE15062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig663:6331:9257:-1 gene:WBGene00085047 transcript:CRE15062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15062 MKCRFLEANKGSLQFDCLSILLGVVSTPTITSLDCPSQQGAIRLEGNPPVVCLPARLIQSNNCSFRPRRPSIRPQKCQRSGDRCHRWTWLVSLRLKNYIHSYLVNKDVKNKKNSISILFSAPKLLLCLPPKRFHVDHIGAHLFDEVQILGGR >CRE17655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig664:1440:1568:1 gene:WBGene00085048 transcript:CRE17655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17655 MKKDLRAAKAKEKTKGRGGKRGGAKKPAGGGGRGGRGGGGRR >CRE16511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig665:293:2788:-1 gene:WBGene00085049 transcript:CRE16511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16511 MDSRFGATRGFDQKVVDRILKSKYDIELKARIFFVTSTPVTWPDFLEELGKIATYELDSHYRLIRYVSKVDGVTKFKGEHRKWNGRGRAVQIGSEVPTEPNRDDGEVFGVEEEVEDPEIIEPPRVPVLQPQMPPPQYTPWMRGPAYQFVVPGSQMYYVSQPGRVNPESSTPEEDEGRSKRQIPQNNEPGPSTSNVREPPEMEPAKAVVRPAADLIIIEPPFVNQPIISRNHGSSFMDTSSLINHYSKFLREIYFFHGEVSGSFNREIKELYTAVENQNHGM >CRE23874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6675:792:1313:1 gene:WBGene00085050 transcript:CRE23874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23874 MSPLDQMIPATDDQSELTMSVLMTPDMANFSGNVHGGTILKLLDQVAYACASRYSGSYVVTLSVDKVNFKEPIHVGEMVSFLASVNHVGRTSMEIGIRVEAQNIQKRTVRHTNSCYFTMVAVDENGKPQQIPALNLDNDWKRCRFEAAEQRKVARLQENHHPSCSIYKKASNG >CRE20619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig668:266:1303:-1 gene:WBGene00085051 transcript:CRE20619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20619 MRSIGGSFHLLQPFVAALLLLVVCLVYALQSGSGTTTESSPDVLFSRAKYSGMMVVFQTPSYLLTFPGVPVHHTRWRHDAGVHIIDTHHIVRRDSYGRRGKRDVTSSNSQRRLHGVARDCGHACHLRLRSDDAVYIVHLHRWNQIPDSHNKSIPHFANSNYQPMVFYLESKEEVRGGMARTEPDCIYRAHVKGVHQHSIVNLCDSDDGLYGMLALPSGIHTVEPIISGNESDDGASRHRQHLVRKFDPMHFKAFDHLNSTSINETETTVATWQDKWEDVIG >CRE20099 pep:known supercontig:C_remanei-15.0.1:Crem_Contig669:5195:6892:1 gene:WBGene00085052 transcript:CRE20099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20099 MNRRGIPFQNRMVPRPGTPMSDNDSGEEISDAEEDDRRLIVLSITGLGNLEDDFNRQAILRDVSDLFNDSDSSSSEWDSEDERELQLIEEPINVLQRPLMEGTGLILMVNGWPTISAPRINPIRDLEIGTAPCPCCYQTPCFEEQLALRAQTEAAYHRFSTDFLARLKQEEDYVEGTEEGALFMPRARANLLLACTRIATVMLVGCRRRHLNENLQRRHEYSHWREELLNRVDRERRRINHHPNEPEDGPIITPDLEFII >CRE15499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:304319:305817:1 gene:WBGene00085053 transcript:CRE15499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15499 MEMPRRQEGIVVEKMDWKYYVATGKQIFNAREGDPIKIGDSISFTPTLMGDDMCANDPQVISPIRNSKQHQDSLLVECKLVEAYSGTVMNQRVFRSDFVDKVREIPKWNNLDTNAFINKSAYIKCNIHPTKAPFWEIIEMVDDNNETKSLVGLPYFDKIRVGKVRVYVPGYPEDFLLPKSSNIFLDTWIKFDIFTKTAKVDDTKLIESHKDIYETRIGGFLKLQLKRKNKEITTELGETVLDADNVLDRFGFQGDFEKKEIWVRILDSSSNKPIFSVSKEQFENKSIMETRLPVQGEFRQNTQPLNAQRPLMRPSPAQVVTTQPHFQPVNSTESYSSYNHPPPPKPEVPSNRFRNNRPDDISKRSKKKAPTSGRPNDAPVPKKFFFFL >CRE15466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:51410:51760:1 gene:WBGene00085054 transcript:CRE15466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15466 MKDEMGNSALHLAAKQGESFYQIFNFEILKNLLSNGYYVQIHTFFFQMTTPRMISSTFQSLSLPFNTSFQHFLFYFFFHSFSSSPIGSVQWRFEEKGHLHVLRCSINQRDADQFDG >CRE15473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:100024:101886:1 gene:WBGene00085055 transcript:CRE15473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15473 MRNLIFVAVLATTVSSLSFWEKAQGYISGGASANASANVGGSGSVSGSNFLSGLQNSSFGQAVMGGIDMYNQAKNGSGSNSWQTALANSSFGNFYQQGVDFFSQGGNGNGSFIDAAVIQQTFGNSSFGEVVQSGIEFYNNLKNGNSPFAGDASSIIGNFVPFLANASTEAKTEFYALLPNIGNMTIAEMETAMDAWAAKYGLTEEVQAFNQRSENATAVAEEHANSLIMNLPNVVNNLKAINDDKNQTLIEKHTRLMNYVNSLDEDTKDIVFVLFKSFLPPQFTKPKCIGSGGGFGEIYQQALEFFSGNGSSLGMGHGSGSGSGNGKVGGGGMFGNIGQFFSSFNGNGNGNNGSGNGKPHPMIGVLSNFMNKNNISESEASAVMSGAQHDGGFASIQILPAVELNVGDASLASDAQIGLAVIDETHTTKKNKKQQQQANKNKKKTTTVAPLAADANVALEVHAQVL >CRE15575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:390259:391563:-1 gene:WBGene00085056 transcript:CRE15575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15575 MLLSESKNFRWFFKLLNISITILVITLFSINFILTRGDVPQETVYTSNTPNLTRLDGVLPNMTYDDADRLNAYWTQTDLNGLIEPGCMNRTNGKVWCDFEEKGNDFKIAIFGNSLTFNHHKMFLQECRHRAYNVTMYSECGCEPLAALPDEDHCKRHLLDFVDFLESVKPDYAFIFTRFFATGSPFENNNTDLETDSTYKEMINQIHQFTPHIKKKLYILDAFPRTNSQYTLEVAKDLKNGRKLEEIYREIVQVDTYKLARFRTESIVKKCGSKCELIDYEPLLFNQTANRFEFFDSKGFLYFTGANHLSAHGMELVRPIFSELCNKLS >CRE15567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:355030:358030:-1 gene:WBGene00085057 transcript:CRE15567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-16 description:CRE-SRE-16 protein [Source:UniProtKB/TrEMBL;Acc:E3MSZ8] MLSISQFAERSFEREHHNIYFLFSFLLIIALNIVSIGYYSFNLFLTFRVKHYKTNLQKIHQAIYATCPISSTIFICENLLDLFGKRREFEEVATFFIFNPFFRTAIMCPRMIFSDNSNLFSLFSALFSMTTIMLERVFATYFIKDYERKRRSIIGFSIIIIMYCISFLASYILNYTDFIYIFVGFHLFLNVFGYIISLVTYRINRNYYNKNRVTKSDYSLAEQYQIAENIRMYKFFTQFLMVLAIFPITCVTCVLITHYDSRPLHREILCVVFDLSFTLLCILAPYFILKMSESWQNELDAILIKATEQLFTFSAVHLIINIISYVVLLWVYRLNRSYYFQNRVIKHTYSLGERYQISENIRIHKYFSRYMFVMAFFISSCGITTLLQYYSPPGIKRILGTLFELSYILLTIFAPYFSLQMSERWQNEFDLIMVKIGIRRPAKVNDVTERSKRLKNTFGDQMDFERNKHSDVYFEQLKKTWSFKKLKN >CRE15556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:271750:272957:-1 gene:WBGene00085058 transcript:CRE15556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15556 MKHTLKLPLLIGVAGGTSCGKSTIVERIIENLDENAKQSGRQIEIVHLSLHSFYRELSTEEKTLAREGKFNFDHPDQINFELLAETLQKMIDGQTVEIPKYDMITSSMVGKDVVEPAKVIIIEGILLLYDERVRKLLSTKLFVEKNAESRLRNRIDTYMRDYHRTPLSIIRQYTEFVKPAFEEFCRPTKKYADVIIPRGADNHVATDLIAKNLQETFRKIVVDGDAEEEKENDFVTQGSIRRPYSRPH >CRE15582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:445911:446561:-1 gene:WBGene00085059 transcript:CRE15582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15582 MRSKLALILIFLSLVMLSLADHSSESSDDDHSSKEDHSGDDPEDGKTEEDSGKNKEKEKNKKEDKNKDKHEEANYDDDEDSKLNTWDIDAAFVGTEPTKKPGEVDNSEKTTVEPLGGLFTPGPSSFWNEVFGNGGSGGKSDSSATSAPAETTETPEEDSENDNGGSPQGHEGSHGTVSGNPFYPYPRPVDVWDRLIVLAAFPICFFALMLKAEMFR >CRE15484 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:206411:207535:1 gene:WBGene00085060 transcript:CRE15484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15484 MNVAPGPPPFPILKLPYIPLNNIILNFDHLTVLDFSFISKRCRLIVKSTNLGNYDIGMSFRPDQYLIRFHRKRIVFFEFSISSLRKRNKYINAEVKPYLDDRKEISLKFAKVWVEYVCDLFRIGLNFLLLNSSASIEHMSSVAEWMNSICSNVCLCDISGDDVNSDSVTRFFEKATFSINVLVFELRQEYEISPIHCGVLNIDQVVVSTITTKTPCSWFTVDQVLTSNCITMMIGACTFGERDLNRIIKSWISGNNPRMEFFHAVVYPLDFELVLDGIEFEKRDLTLIRRLKIPFLTKQIEYTFFGGFDIRRQDGTVATIQQMGLFEVPRVLFPFRMGVWSKNF >CRE15576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:391830:394334:-1 gene:WBGene00085061 transcript:CRE15576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15576 MSSSKKRLDLQGIRGFAIISVLLFHFFPNQFPNGYLGVDQFFVLSGFLMCMLLTKTENLSIFSILTIFYSRRFKRILPLYLLIIFITVIALYSIYPSTSIILNQSSANKALLFLSNRAQTGDEDYYKKLSVAMDLFTHTWSLSVEIQFYFIVPFIFLIGNSLDTSTKSVYYIILGIISFSYSTISSQHVAFNSVFARIWQFLIGMIAFMISTPFGYSSELINSKEDGEEDNMNVSDTLSKFLLMISMLIILVYPDVLPSSITRPLFTLFTGILMLFSIDDLFLCNRFLTYFGDISYSLYLIHWPVYAFVKLNYGEEYYGWNFYFLRLQNKSRKISALSTGLLLSILLAILFFETYEKWYLSISNINVSALIIVLFILNVTLVNKDAAQDQFFMRQISSNSTFKMDGVYENMTIEDAERMNNIWNKYDLLMMIEPGCIKRTPNHNRWCDFKSHVNDIKQVVEGENGEFKIALFGNSYTMNHHKMVIQECRKQAFNISMYSEKGCEPLAADPEDPNCVEKLNEFVEYIKDSNPDYAFMFTRFFIINEPFSNNNTDLEKDRTYLEMRKQLKQFLPFIKKKLYILDSIPRVIANNVDTIVKNLKSGKTIEEISVRFQPSEVLQTLHFQKTLIGSDGYERGRIRHSALVKECGNKCELIDYLPLFWNKTINMVQYFDERGFSYFNSLYHLSPHGIEHVRPIYNKICSSLE >CRE15467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:55801:58268:1 gene:WBGene00085062 transcript:CRE15467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-trpa-1 description:CRE-TRPA-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MSS3] MFYLFISSLNSGHDATTSLLLISGADKEAKNSYQQTPLQVAVESGQLGTCQTLVGKGAQIENPSDTKTVLHLAAIYGHDTIARYLIQQGATVDRRDEKGKTALDLACENGKKEVARVLLDTYEWRNLMIPHDVIPLDKHREPVMMERTTPFRTLLKKFPDLASLVMDKCVERSKEDDDLTLCIAYDFSYIDDTYMSRVANEDEEGEQLIGYKCPYDEDNFKLIKDAQAYSSNYDRIYKNHPLKLMANAEKLSLLSHPLSMALLKYKWNRLGRVMYYSALTIYLIFVISLTEFVRHTKAPYNVANGETYYDSSFFEENETCPQIQIVKPDVIWKRIVQILAICQIGIEVFQLYQRKFAYLTNWENWIDCFIYSTALLTVYDFTECSSTSGVRLNWQWLLAALCIFFGWINLLFMVRKMPRFGIFVVMFVDIVKTFFRFFPVFVLFIIAFSSSFYVILQNRPEFSTIFLSPLKTTVMMIGEFEFTGVFHGDETLHSEKMFGPAHNAVACALFFFFCIIMTILLMNLLVGLAVDDIKGVQEKAELKRLAMQVDLVLQIEASIHIFITRMKKYPTNRYATFPAGKLHKSGLAAWWTNFRKRFGLNASSDSDMDMQIEYENEITTELRSTLKMQFNQLENLQQNIDVMYEKQIRLEALILNLAKELKIKNINVEDVDH >CRE15483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:203663:203948:1 gene:WBGene00085063 transcript:CRE15483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15483 MYSILRILAALCFIGVVDSYLPSEYRGKSPESSIEESNWVDEKEIRERLSAIAAEEFSHHYREHQVRRIQS >CRE15558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:276202:278315:-1 gene:WBGene00085064 transcript:CRE15558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15558 MIGQQMVNAFVVSRDDSTETFYAYCNSIGRDLIIDKHFVPPDVDILGKWIKVLVCHENKVCQNVLVIDDLYECRVMQGLPEIKVDIEHVSRFEDKFEMFHHNFFGFICDVRNVIKVVEHAVSYNVWIVRHREPGTNSRWRVSVKQDNVVSINFHNHQPDLRVVEGVIHSHNAEGVGYTAWSKSEPTHRIFINYSLCPSEEDLLGLWFKIQLDDKSDAQSLVSRIDSPFPTRVKSNVVEILVQFECVPNASDRAEALHHSYFGYICDPKNVLPGPETGETYSGWIAYHHKADTSSRWRLATQQTINGPLFRDQRPEVRSSNNENFQYRSRSSLSHRRSPSPTIINEYDETDDTVNGQLMSSPYTNAKEHKSPINSTKGYERHIPNSHHSSHSPSTAPNINNSRQINNHFPRDSSPQLNIPVNRPIEYSQRNQTMNHRSPSNQSFRGETTDDSEYYEDSDEEFKDLAPYGRFEGLENTMTEEDRCSPLPQKSREISTTKNQKYGYNDDHQSTSTYMAVNQYGDSNHIPDQNAHQTETKNQKTSEKREILRLKLVIYFFKSLYELVRSWVLLNGYYSKTPESYIFLN >CRE15508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:384343:385347:1 gene:WBGene00085065 transcript:CRE15508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15508 MAMIGSVQGAGWVPATKLIATWFDDSSYATMFSLLGCGSTLAGLILPFFKNFYWRTIEFNTGFLVLIFSFICKRWIITEDAIRKEVKPVKKSELEAEKLIGIKTIVKSTVMWHIASIYFFSMELRTICETWVPLYLQEKNYSPDGFQFLYELGGLTGILMSGFILDRLGSKIGIDQSRRLLGVLFTTAMMIVAVGVFKCEDYTSILGFFIGFFVNGSFNVWCLIGSQAGTKSVTGTVSAFISFIASSRLHHPSVLDHIYFQLAQFSLDHRWLILLTSSHSICLDLRIPLRMEPTSIEKKSD >CRE15500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:306606:308995:1 gene:WBGene00085066 transcript:CRE15500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15500 MEMPRRQEGIVVEKMDWKYYVATGKQIFNAREGDPIKIGDSISFTPTLMGDDMCANDPQVISPIRNSKQHQNSLLVECKLVEAYSGTVMNQRVFRSDFVDKVREIPKWNNLDTNAFINKSVYIKCNIHPTKAPFWEIIEMVDDNNETKSLVGLPYFDKIRVGKVRVYVPGYPEDFLLPKSSNIFLDTWIKFDIFTKTAKVDDTKLIESHKDIYETRIGGFLKLQLKRKNKEITTELGETVLDADNVLDRFGFQGDFEKKEIWVRILDSSSNKPIFSVSKEQFENKSIMETRLPVQGEFRQNTQPLNAQRPLMRPSPAQVVTTQPHFQPVNSTQSYSSYNHPPPPKPEVPSNRFRNNRPDDKSKRSKKKAPTSGRPNDAPVPRKYQEEYDDPNAPEAYPDREHFDLTSTXTVLHEMSRDHATLHELRKAELATHISILQWLPFRTIHVILPVQEIRIGQMLLHWQNIRIYRTWNIGFSETSSIVPIKMKHSSLKFEFNADMEHFKIESKLPKRME >CRE15516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:442858:443663:1 gene:WBGene00085067 transcript:CRE15516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15516 MAFTKLIIAVLLLAVAVESRTITIYNKCPFTIWPGILGPGNPAGGGFKLDGGQSRNIEVDDAWTAGRVWARTGCDGNFNCETGFCRNSEQCNGAGGVPPASLAEFTLKAWGGQDFYDVSLVDGYNLPVLIDPHGGSGCKRAGGCVKDINAECPAALAVKGHNGNTVACKSGCLGYNTDQECCRGAYGTPDKCHRSATAQMFKDACPTAYSYAYDDGSSTFTCQATASYTVQFC >CRE15503 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:342019:342591:1 gene:WBGene00085068 transcript:CRE15503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15503 MFMIGAFLVLLFVFFKRIGEIKTESLLREQEMSEAMDDLVQDHDEELNFERNIASAHCIKMRMQQRDYNSKVGSLQRKLKEKSDAMKALNSKLTALEWAEEDANELVDELVKAREQIRMRDELIESLRNQLKATQLKKDETIQFKNNTLQENKSSNIL >CRE15583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:447395:448746:-1 gene:WBGene00085069 transcript:CRE15583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15583 MQDIDWTPTSFPDLDLIDGSMVTFKCDEENRKRNRKKNEEIEFIEWFVNGKRIQPSWFDWRVSVSTDGKLGIWPIGVGDSGHFECLSNGQLRASVTVNVVSVSPSFQNVLIKGLMNYLFVCAVFSIVTISLGCLLGNRNQEIKDVEVDRMEEFLSENVFKTDQMAKEKVAKIIEQQNVVDERQLLENKAKSNRSTIMILLQNPKRENLKKKKTEADSTNPTASTGTITEGVVTTEEGTATKGSSDTNVITTNTTATTTNKTNDTDVGTTVTKTVEEEDVKDGKEEQGGDSDEDDDDVETDTTSKGSTATGKASKGKGKKKKATKGNKNTKKNKKKNTGKGKKNRKGSKGSNTKGTGKGPNKNTKNKAVAKPAKKKK >CRE15551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:235275:237179:-1 gene:WBGene00085070 transcript:CRE15551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mig-32 description:CRE-MIG-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MSW8] MPRKRPAPPESANTSRSRVTRRSTTTNAPPVKRKPTPEPESDPDSDEDYEASTSQAKKGKRGATTLNRGKSKTKRASLQAKKEVVEEEIEDEEAAEENPVSSREPTPEPPVSSKKQQKPSTKKRKKKETPPDTPPSSPSPSPSRSPSPASVVVKSEPAPKKGRKPGAGKVKKGATESPATKNVPPRRKKQVVEEEEESPEEKKFRERAERRARRIEEAKSRPKLTNEEKLAKIAKKKERKERRRVREKEESMRLKYGQRKIKAEASKWNFGPISSINQRRREEMMAYFPKANFSSDNGVPKGYIVDATTIIDCMHTFCKSCLLKYFDADNKTCPTCGTFIHGSHPTHYVTYDRAFNDLVNQFVPKLEDKELEARKKFLRDCREAIGIDTAAEDRERAERLEKERVTGTNRCYPLERPRFSHHRDDCQVTVNLLPGTPNLPLITRPFIRCSEMTTMNTLKKYISLQIWDDQSRYGDLDIFCDGQLMGKDFSIRFVWMMKRRGQPKSEPLIVRYHMTRT >CRE15566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:353042:354351:-1 gene:WBGene00085071 transcript:CRE15566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sre-18 description:CRE-SRE-18 protein [Source:UniProtKB/TrEMBL;Acc:E3MSZ7] MRLDDCTLYKNGLYPYFAVVFSIITILNILSILYYFFNLYVTFRVKHFKTNIQILHQAIYATCPFSSVFIIIDGVANILGKRDFNLPFALNFFRVMMSCPREFNCSFGFSSLPFSALFALVAIMLERIFATYYIKDYERERRPLVGYSIILLLIVMSICTAFIFSYPELVIVFVVCHLSLNVICYVVSLITYRINRKYYYNNRERKHSYSLGERYQISENIRLYKFFSHYLFVLAVFPISCTIFALIDHIDSNPIHREILAILFDLSYTLLCFLAPFLTNKMSEPWQTELDIIYMKLGIKKPNKIHTIRDSSKILRGTFGEEINVETSKHTDVYFNQLKSSWNQNNGLAT >CRE15518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:450890:451346:1 gene:WBGene00085072 transcript:CRE15518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dpm-3 description:CRE-DPM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3MT29] MASQLVIYSAHVILLVLVWLLAYTEVVPILSYLPECAHNLVYYAPLLAVFFLAIYAAFNVIYGVATFNDCAEAKSELLSEIKEAREELKRKRIIE >CRE15557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig67:273260:275724:-1 gene:WBGene00085073 transcript:CRE15557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15557 MNTRTVEAFVLSNSRQCDAYFAFSKEVSRDLIISYCYVPPGVNLLGKWLRVLIRDNSAVQKVVIINDIFESRVMNGIPEIKVQAEYDGRFEDRLEVFKHDYFGFIFDKSCLISNVGRDAMYSFWITRYHERGYSFRWRISHSDNNFEGTVEAIVHSYSPYDDGYYAWTKSEPRTKICVDNTTCPPDQNMIGKWITASIDRNNRIINSVTVIDDVYETRMRYGSTEILIEFEMSTEPGMFFNHYFGNISDPRNMVTHVERGAWYRGWIIFYIKKGVDTRWRLSKDQTIEGPFFNKLVSNQRRNSYGDYEQNNTRNHRSFSPDVLNHYNNDYNDRNPTHSSSDPNTKRGQQWDISPGRNHFDDGALSRFTSNKNPIPSDGNNFHESCPLSGRLPNFEKENSEDYPHTHFENRSPHLDERRNRNNLERHKNEDSKPDSFKHESKFVRSDRKKISEKIISPESEITESRLNDTVVSDLEYYTCSDEETKEDNRHNQKSNCRVSHHNDSESSSIHRRLNENQDALNVETKIVVKKPSNSFHSNESKPAANHTKSENEKEVRRLKLEILRMSQLVKSLTMESFVSRQMRLSNPEDYEELMELIET >CRE18295 pep:known supercontig:C_remanei-15.0.1:Crem_Contig670:6424:8775:1 gene:WBGene00085074 transcript:CRE18295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18295 MDGVHLSKFVNLAVTNSSCINNISRVFIQYGPSILHTYLWQVSLLFLRFYRVFSAFPTLQFLLFSSFYSNSVLFVSEKTKKIAHLTQFVGIVYMEIKREKSEEIQNLLVTQRVFLFNCATPIE >CRE18294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig670:1808:3744:1 gene:WBGene00085075 transcript:CRE18294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18294 MLAGALVSPKYLIMASRPDNIVLFTHIAVDRLMKKEFNERSGIYQFMYLLLVRTFLMLDDKTEKHLKLKLDL >CRE22728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig671:9231:10537:1 gene:WBGene00085076 transcript:CRE22728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22728 MQNNSVLLILSILSILQHVSTSDNAAARSRCWTSGNGRAAQWWPEGEQVTRGKFFYECRRGQLEPLGCLSSTEQKIAIGATFQQDGYEFVCQLGSDGYIEFGYNACVASDGRTYQKGETWTDAKVRRCCNIYYVTSGDRGNTAGGDYSRRVNLGLGLSKNLTRGWSELTMEFTSSVFPSEFNCLSVMLDLVHKLQSQF >CRE21026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig674:11870:12106:-1 gene:WBGene00085077 transcript:CRE21026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21026 MKTCQSIATLSFSVYYLSYSSSSHTCHLVPYLIGKSYDALFGLYVLTPADIFLHVTPSALLISVGNPTVSSAAGKLLD >CRE24299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig676:8823:9476:1 gene:WBGene00085078 transcript:CRE24299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24299 MLFIPYLFYFGLYDIYYKTDTTLDNLESSEAQKWLVKWILKNILIIAYFRPGLPPQLLVLNDNILLKYGSAGLALTTVWIPCVKSFPTLSAILVVLHVICFVIGPFNTLRRFLKGGYRQYTGAELLRYLNFFNVLGRGIIFHFFEAKPIENPDDSFYLHIANFLNLTFAPQTPIEFVRFESILSVFSLITAMFFPGSFPIA >CRE23787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig677:1111:1724:-1 gene:WBGene00085079 transcript:CRE23787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23787 MNCPFKPMDIVISLPAFDGMTSVFGFPYQFLAQNFGVSGGGIIEIPAFKTPLPSGSTKECLIAITIQNHSKDNFQFKTRSLIIFAALDSSFVSMNYTVASTDGSYSYKFPRNDSKPLYATGQSDVLYLKGSLSYKWTIDYDYNTAATQIIECRMYSSDYHDFLPLPDF >CRE20395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:16071:18476:1 gene:WBGene00085080 transcript:CRE20395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20395 MVVLTELEKKYLVFEHLNLSQYLDIKDRISLRNVSKRIRSIVDSWDPKLTEVYYQQCNSWRFISESFNSVIKAEDLSASVLSILKHPKLRLEKLKLYRQDNQWEAVSTELNRTDSKLSIRKFEILNNNSKYIITSNFLNLEVLEEVSLCITNETKTEIHKILHSDQCKALQMLTVNSYMNPNTFPFKCFNICPRLNLQFHGVSADNKIAYVIKNIVRRALIEIWYISNDVNPFNITFMQQSFRREDTLIKGYPNFRHYLIPETEEYYEIELEKECIRVEWMR >CRE20412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:283092:283852:1 gene:WBGene00085081 transcript:CRE20412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20412 MNLLSFLLFIAIFQFSTVNTRRSKKHWPQDFNVTSLDTWQTMKKYFATVPKNEEEDHINVPIQYRVIGVRYSNGTTDIDRSHYLRPILAFIVKPKGYIFNLAKCYVVLIVMLLIVLVAYTSHGMFRSSVTVHVKDLHDENNPPRECPVALTLNLRTKREGKTPTDVHKEKLVLAAIQ >CRE20433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:232741:241172:-1 gene:WBGene00085082 transcript:CRE20433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20433 MSKEDTIYKFQDGYILLEDLESWYSRGFVTTEDEIRIFKKKNEECDVHNVGSLIRTYGNVFPFRFGESSHVHNRSQMLEIRYKHTEKMSLDDWPWELKKKLDRNEKQDPRLENPSFMYVNYPLCTVKNESEKEDDVITGQDVVDEMEKLKNAYVLRNWCDLYKKYFSYLRNQRVDNQVYVPHCNLCRLSLFGRTEFFKHLFEENHIERLAENMVSRSSFQHWADKLEKCVQIHGEQQGHSIIEDKFQITGKSRFRRIPLFHLVSEKSNYWDLTEASQLIDLFSRVDSDRLKNENGFLALLYNLVEFPTFCHSCNTHVPQCPYRLTEHILSKSHLSSLTSAHTSDVNFWKNYLNIELNATDYGNKDICRILSASDVPAAHNLMNFNLEWNLVDFENRQESIQNLKSLYEKVIEVEDWDKKLRPIRLSSYARCVACKVPFFKTTKDVIEHLFSGKHLNFLLQFGISEKSYVWWKECFQRLIDTNSLGTKVQTKKRRRRKNEEVSSDNTDLVEVNMVLTGILEKVTEMDGNGEMMTEDTKDLVVDEIPSASHEEVDLPDNQTNVQAERETEVDSLKEKSLDVSTHAHFIAKTDDLPLQFEQDPNVYTRQEMMKIRDTHNDKFILDPQLRKELLGFKKPDLPYVPMLSRPPQLSEESALQAEELEATIRNMMIWMRKSGSKNTSNKLVNWRCDYCSTEDNLLKLDNELDAFSHVVSNKHLEKMNFIVPRVEIEFWKEWVLKMQPAAPNMIQKKAGRAAFQLFQQEVNSIPTASKTADSPPHNSSLDPTPGKQQEASNIVANYPRVPMLDPIPEDSERIKTRFMDLLILCGCRKEPHSSKQYDVCSESYFFVETLYDDKQFIHVEGYLLLDEVGVDYQSNIAGVNYSPRVPLLDKVEENEEMASEDFFNKTLDDIAQAFRSQSSRLSEFNVKKRMKCSFCYNFVTPGEFLSLSNALSHILSMRHREQMNFQASEADLLYWKTWIEKIGRKQRILSKNIKNNQTRENSREKGMEQANLEKPKVHVSKKKIFQIKKNDPHVPLLDAPERQQFMLSENNYKLIYNGIAKNLKLLNFPKEENYALKCRCYHCPGSPEFSNQWEVIQHIFNGVHCEWIRYTAESRNFCFYESLISRLKTTEKNGDQNGSSPSNMQESPEQVEVVAQCAIEPQECHPFDPSPRIEPQSSGSAHTPPVFTMPSPCLLPLYASNHSYRKPTDTLCIGPTNVQLESVAKFITDNSLNSWRGNAFEKTICGTCHVDLPSLPTLIKHAFSVDHLSQFQLTGGRFYVEDFEWWVSNLNALPICSSGVTECHLGGLWPIVNSTTGKVFQGFTSKELQLIADVDEQLIVKKTEEILNKVLKHHPVKQDDVDIIMNYLKSCQKEKSKCKIM >CRE20424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:178336:181916:-1 gene:WBGene00085083 transcript:CRE20424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20424 MTMSLENRIRKGLEFDDDTYYSLSHVYTSLVAQVHSVVVTQKGFQYLRVWRARCFGPAKFSEEREERIFRITQEIFKSYLVPPDPRIGKAIEYFGKEYLLEIAVYDNHQEVLKTVNSGDFILLSNVHIGSKDKAITLSIHGGGFASYNREITPIPTDFSDPKMRLFRRRCRRMLEQVTDYENFEEFIEHEELEDENDNLVAEPYKNIMIGDEIAVNYFPKSFPCSYHFLTHIHPEYLRDLDLTADRTVFCSETTSDILPEIMGIDSKNVPANSIFPMRLNHPYSFEEFQATMIDSNHCPGSVMILFEGELIQKHAGGPVLCTGDFRADKTFLSELKSGPCRFLSELKLARIYMDNTYFSLDQNILQLDHARDLLIQNIESRYPDKNIIIPLHRLGRESLIESIVQALNEPILMFKERLEIARILNAEHPAVRKNTIRNIEIVEKDTWDYSVPENSVVINISMNDCIVESQQEKVINIRYSNHSSRYEILNFLNELHFDSIYPCAKKFSKNEMRLMLEAGKKQYKEDDLDRQLNIHEFVTTDDQGTSESLEVVAANPDFQETSKSLEVAEIEMKGSLATKPPNLLAETSDTGFCEESGSVQMEPPRKKGKFQNLQEKIMHI >CRE20430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig68:203169:204591:-1 gene:WBGene00085084 transcript:CRE20430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20430 MSANLPPSFKPIAHYIKIANENASRDPVIYYWSLVCMALGSAFSPIASFNESSWRRLQKTILVEKESIERRDSLRDSIDNPDPESMEVHLKSEEIAAEIQQKTVNCDELRAKIAAINAKILIGKRKTAEIDEKLAKKREDEGKLERKVEQYEKVNGENSERKTKEKRVISIMIRLLSCRKLALIEEIFAIFKLKIDGGPASSRLAPRNCNCQVIDSIRGIHLPQLSYIFNHPEAQTYSALQNTIHLFDAICRILNFAPKYSTESLMTLLKSTWKKRADREKFVDSMIALGKNISQLREACGIPTMATDRTLGTLEEWHRFVIQKKTVFERPIQSVFSPANLMIDMNIIGKNQLK >CRE01444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig680:4726:5204:1 gene:WBGene00085085 transcript:CRE01444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01444 MIYLGALTYIKLSEYQTVWSDRMLKLQRQLWIALIVQTANPILFMYLPILIMNIVPIFRISFGPYANLSMIAFTIYPPLDQIFIIYIINDFRQCVKKIFCGVVRRIRKQPVNNHHENNHTDTTGLNKL >CRE02709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig681:1529:2308:-1 gene:WBGene00085086 transcript:CRE02709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02709 MDSSFLSSRLKLSVKKWDMRMDGHTDRLYGKTNASEDSDKGTYKRTDSSFLSSRLKLSVKKWDIRMDGHTDRLYGNTNASEDSDEGTYKRTDSSFLSSRLKLSVKKWDIRMDGHTDRLYGNTNASEDSDEGTYKRTDSSFLSSRLKLSVKKWDIRMDGHTDRLYGNTNASEDSDEGTYKRTDSSFLSSRLKLSVKKWDIRMDGHTDRLYGNTNASEDSDEGTYKRTDSLFLSSRLKLSVKKWDIRMDGHTDRLYGEYKR >CRE09894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig682:1626:4446:-1 gene:WBGene00085087 transcript:CRE09894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09894 MKPDDTDESVLPNSRAGSRYEGNMKWNVTLGMEIAFLYKNFVQDFRRMGSYITFPNMESKDSDGGSDAPNSRRGSRASSQSRGETTPDSSLSRPSSRNRYDLNLIPKHTPPNQPQLSSTSFGQPLAFQKTPSANENVEIQYDFRTGAAWCRLEIDLECNWLVYESYEDPNSGSR >CRE09895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig682:7250:7666:-1 gene:WBGene00085088 transcript:CRE09895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09895 MAHQKYIVHSDTSKMKKIENLTVRRAEESDELEKKVNMANAVINNIKAMIGFKNDILTLVENAPREASFEFHRTGKKPEESHAQFLTKTQGGEIPQAEKDSRWTSRKDDQGWKLNASFPIFHSVFSGKMGVPLILVAL >CRE09893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig682:445:1046:1 gene:WBGene00085089 transcript:CRE09893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09893 MNSSLGIALLLLSLSGVEQFKMQLVNMLNNYRLEFAKKEQIANMHAVTYDHSLDSKIKKMTCDELESPGPDYVVMPVIQIEIKGDLMKSPSYHPLQTKVACETTTCNDKLACVMGPKNSPPKRSDIKRGSPGSGCSGGNGLSGLCIGGSGGSAVENVPAEKQSGKKSGDSDVESKG >CRE30555 pep:known supercontig:C_remanei-15.0.1:Crem_Contig688:11670:12233:1 gene:WBGene00085091 transcript:CRE30555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30555 MFRCKITTDVKYYNCDFYQSTISELFDEQLEFERLSIELKGSKDEHLLWDQISSNFGRIEDLRIFSAVNPDFTPVFTSWPQEINIRSSYWFTLEYLLECTCTKIKLEHSHLGNKDLDVILNKWKTGGFPTLKCLTIYSQNIKIFGTTILGMNLRELDGMVIRTYDGSKKATIRNDFDSIEICVNLLE >CRE30553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig688:2103:3127:1 gene:WBGene00085092 transcript:CRE30553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30553 MSSPFPILRLPGVVLCDVFKSLSIGENVRVISTPKGITTLGKNHREAFLSVIRHLLKMFQCKISTTISSTTISDLYQPTTAMLFDLRMKFKTIIIDLYGSEDRILFVYVALQLRFGITLLGNKDLEEILKNWKAGGFLNLKYLYIGSQNITNNGELILGINWRELNGMVIQTDDGSKKAIIKTYAQSIEMSVTPIT >CRE30556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig688:232:1165:-1 gene:WBGene00085093 transcript:CRE30556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30556 MSSLFPLLRLPRLVLFEIFKSLNIEEKFKLYICSRKISTHIYNARLYSQKVIVDLDMLYQVIRVCSENYRDSFEIPIYPNFWKRHNSNTQQFSIECRGFLSTIEHLLKIFRCKFSTTIGHYNSDSYQPTISELFNLQVEFKKLAIELNGSEDRILLWKQISKKLELVKDLVIFSGMNQLTPVFTSWPQNIDITNSGWFTLEYVLACTCTRITLDWSHLENKDLEVILKNWKSGGFSNLENLYIGSQNITNNGELIMGINWRELDGMVFQTDDGSKKATFRIRNQWFDMSVNRFE >CRE30559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig688:9185:10096:-1 gene:WBGene00085094 transcript:CRE30559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30559 MAEKIKLSFCSKKVSIQINNARMYSQKVIVDLDCSCHDIKVYSENNKDSFEIFVYFIYGQCNPNSIKRNRQVGFLSVIRHLLKIFRCKLSINNDYNSYSFEKTISELFDLQMEFKTLTINFNRAEDQLLLWNQISNKLGMVEDLLFLSSCDADFIPVFTSWPQTITIMNSNWFTLQTLLVCPCSSINLQKSRLENRDLDEILKNWKSGGFPNLERLIMHSQRFTNSGRTIMGMSLLELDWKVIQTDDGSKKAIISSRGQCIVMSVTPSE >CRE30554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig688:7445:8556:1 gene:WBGene00085095 transcript:CRE30554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30554 MLVVVSPRNAGTPPISDPLFTHLITPYRFSSFHPHLSLPMLPFPLLRLPRLVLCEVFKSLSIGEKIKLSFCSKKISTQIHIARLYSQKVIVDLDILSRKIEVHSENSREIFHIFNCSDTGTNIAPDWQPYRIEGRTVPVIFFLNSIQIFWKNNEEGFLSVTQHLLKMFQCKISIKITGLYQPIIFELFKFQLEFKALTIRPNGSKNQILLWNQIFSKLELVEDLIILHIVGSDVTPVFASWPQTIIIWSSAWFTLEYLLACPCTSILLEGSHFENKDLEVILRKWKTGGFPNLEYLCVYSQGITNNGTTIMGMNFMELNGKVIQTDDGSKKATIIIGSFSNSHRIEMFETPFE >CRE30558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig688:6178:6947:-1 gene:WBGene00085096 transcript:CRE30558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30558 MARLYSQKVIVDLDILNQRIEVHSENYRDSFKISIYPDFLKRHNSNTQHFSAIEHLLKMFQCKFSTHIRLYNSDLYRPAISMLLDRQVEFKRLSIRHNGSEDENLLFNEISSNFGLVEYLRISCFSDHSFKPVFTLWPQKIIIWSSAWFTLEYLLACTCITIRLWNSLLGNKDTDEILKNWKAGGFSNLEYLYIESQNIIHNEELILGMNLRELDGKVIRTDDGLKKATIKTYPESIEMSVTPFE >CRE17803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6883:182:1991:1 gene:WBGene00085097 transcript:CRE17803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17803 MSQCIRASRFLEARTGQVPSGMEGSHQAQQRSQTTSLHRQEPGSLPHPVQKGPNSLAMDNSTKQVKFLSVGYANCFSVKNKLAQLELVTITNNFDIVCLTETKLDNTFPDSLLSLSNNFSVVRKDRNKHGGGVAILKSIRFLPIEIPSSLLSSEIAGVDIMAGGVSIRIVVGYHPSHHSKLDAEILKLQKAKLNVWRKEGNSTNYKNISADLKVALIKEEKRVNDERLTNGSVKDFFKFINSRYKDNQEIGTLKNDSGAPINCDSEKVELFSDSFSKVFTEDNNVHPHFDKRTEELVSSPDFEPYIIEHTLSKLTPKLNTTPDGIPALFLKNVCTAIALPLSIIFRESFRTSIVPTAWKTAIVKPLHKKGSRANPNNYRPISLTSSVGKVMEKLVRKQLTNYLNSNRLLSNCQYGFRSSMSTEAQLLSYQADILTN >CRE30262 pep:known supercontig:C_remanei-15.0.1:Crem_Contig689:2212:2851:1 gene:WBGene00085098 transcript:CRE30262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30262 MSFCAEIQMKLEVDLEAHLCPLPQHITPILPDFSSSLSLHPLPDLLVTADRFETFNEKVTGSDTIVSNPGSFARSNYTFHVYYPSQNRIEASQIPTGETAD >CRE30266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig689:7129:7560:-1 gene:WBGene00085099 transcript:CRE30266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30266 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE30263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig689:3777:4577:1 gene:WBGene00085100 transcript:CRE30263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30263 MDSSSLINCEMENQGQKRRYEDNQEISIYDYYSDSAQIEKLEFQRLKEENEAFRKENQMLKDSERKLKESLKCYELMADRNRTNSEKDEQIENLKKEMEELKSAFWKSLAEQIRMKKDLEESEETRKDAERAILMLHKQLAKERARSVSLEQELEEEIEDLVEKLEKSDEALKEVNKKMKEVEDSSYRFKNRQVKRKLFKEMDDALEFGDEEEEVKKKIKSLEILFENRGSDDEL >CRE19842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:118775:119458:-1 gene:WBGene00085101 transcript:CRE19842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19842 MKTLDNSSFSFFVNMVLRLSWQSTMLIVGPSGQGKSTLARQIVEQRNQIFDVNSRVCFWYYDTFESLPDSLKNRPDILLREGLPNMEELKKYKDQQAMIVIDDLMTKIDQNSGMERLVSVLAHHYDMTIIFLLHTIFYSKVIRNLRLQASYIILFKNNADKSSVSCLGAQLMPGECKTFLAIYKDATSLPYSYLLIDLHKNCPEEIRYRDNILPNKVTHVFTPKSKG >CRE19779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:220802:222691:1 gene:WBGene00085102 transcript:CRE19779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19779 MSIYEKTFAKTDRTDAILIVQGKKLHVEKALLSYHSAHFNALFNAEFKEKSMAEIPIEDVNFKEFATLLSLFQRNPIVPTENNAEKLLELADRFLITSVKRQLELFLISTKIDNLEKIRIAEKYELDDLMTRAAQWYNRREEFKEMKERIEYQQLKDSTKVKLFYRFLKI >CRE19783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:232208:232842:1 gene:WBGene00085103 transcript:CRE19783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19783 MSVTQELSIFESTFAQSDKTDAILVVGEKKLHVNKALLSYHSTYFNTLFNGEFKEKSMPEIPIEDVKLEDFAALLSFIQENPITPKAPQAEVLLQLADRFLLAAAKRHVEMLIAMTPKINLITKLQLADKYNSDVLLKNTLAKLKTKRDFAAVYKTTVGFSDKTKARIYDAYFSKFL >CRE19853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:183965:184467:-1 gene:WBGene00085104 transcript:CRE19853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19853 MRYLQVPSVNVIRFVASDILIITSASLYIPIYMMIKKQKHLTSAQFHKPQRYVMWQLIVMLICKLEIQLPIILLSDNTAVAMSNYEIIEIATTCLTIQLAYLGCCKRNLESLKSFLNYVNSKEELLGNKIKRRH >CRE19809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:355967:357715:1 gene:WBGene00085105 transcript:CRE19809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19809 MNSDVYNEQYPSVPNVSPPDIQFQNSNNQQSPSLPKPRRSCKRQSSSVSTDSSNDYRSMRDKNNIASQRSRQKRQAKIRETREEKRRLETQKVELEAQIVALETQVEDYKRMVMMFAKNKK >CRE19819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:402671:404385:1 gene:WBGene00085106 transcript:CRE19819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19819 MLYHFVFGIFTFSAGNHLFELSSLIGIARSLNRTPVFFMVDEGYKWCLEDTNKTAPGLADQFLVIDGAVPKTIRNTVFHTRCCIYEDPRVLSNIEDEHIHLTGKFYQSYKYFEGMRNEQLSWLKESPAEFPGLPKSDGQTHVMCVHVRRGDFLGVGFQASDAHFIRNAVEYIKEKENTKKPIKTVVYFGDDPEFMKRIFNGTKLAKDNLSKNATYVISQNSPSDDMLYSKSNCEVFLLSASHSTFGWWLGYFSINNRVYYMDMRVNYVGAYKDGKVNIKDYFLPSWTPLKFANDNSTIIVGDY >CRE19798 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:279278:280410:1 gene:WBGene00085107 transcript:CRE19798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19798 MTYCSASYQFSYFNSVKFISLGYHILGAIAMPFHIVGAYCIIFKTPQTMSSVKWPMLNYHILTTLVDVMFGFMVCPYLIAPFAIMLPSGVLQVLGVYQGVQTYLIIMSIATMFVSMIQIVENRYMILSNGNLYWQKIRVPWFCFNYLLASLATLPMYLEIPKNQESAKSDIFAKLPCIPREIREDPALFVVAENMNAILAVLLPIDILIAIQFATFSRLSRLSLKRKNTQLSEMTIKLQRKFLRAYIIQIGCSFTFMVLPLFTILFEYFSMSFNQTVNNIALLMFASYGLSSTIMMLLVHSPYRKFLKEQLGELFGIKKMARNQTVNVVIPSVASMKSRINLT >CRE19814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:388807:389572:1 gene:WBGene00085108 transcript:CRE19814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19814 MFSNPMTINGSDVFFSNVEQFSLTSSAFYMKTFYGGPNFIIRPSYFNIDGSVTTAYTTTGFYAKAVGDDDSVKTIRTLRQLGSSGFTGANIIGTLPNGGNVTVGEYDGNSHHTFTVQASNFSWNTPFFSWNAPFIGENFRMSSSGTQRGEFFVQYFVHQGPLKEIPTTATPTTQSIQTTTKSCGTNSLILSLGFVVFLSGF >CRE19870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:319717:320851:-1 gene:WBGene00085109 transcript:CRE19870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19870 MLTFSKLLLIVLAIQSMFFAQAQLYTEFTTVTVAKQSDMYKRLQFFESTTKVMYEFDGADPSADYTSVTWFDDCYREFKKVPTNIYVVFWIVENTVYCEAVAPSIKKVTPRFPVANLMRVELPGNRCA >CRE19857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:239359:239980:-1 gene:WBGene00085110 transcript:CRE19857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19857 MNNYEEIFAKSNKTDAILVVQGVKLHVNKALLSYHSAHFNTLFNSDFKEKKMREIPIKDVDLHEFTTLLSLVQKNPMVPTGSFFREISDLMNLISENNVENLLKLADRFLIPSVKRHLELFLISTKKDRLEKILIAEKYQLEDLMEREIEKYQRPKDFKNIEDSRNFSQISNATKIKLLYRLSAVKHNR >CRE19838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:113374:113904:-1 gene:WBGene00085111 transcript:CRE19838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19838 MLPGVVRPINFPPNYTPLPPGYVEFNLASHLLMLGRVEHVSPQTIRSLNQFLQEMEPVMMWNSCNGCLIGAESELSLDATPTSHSFYHKLAAKYQARRRLRFTTVKRYFEDKYKITLSYPNSPLLRDPSGSMFPIECVWVRFRVR >CRE19749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:126338:126854:1 gene:WBGene00085112 transcript:CRE19749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19749 MDSIPDGQGALLELEAMEMWEGIPKLEPIQSAEIVEVVDEEPWWVNFEIGSVEGQKREDESVPEMTQFSRSYSRLGEIELESMEQGLEQQDNSMGSMASEDDMDPDYIPDGQAEWMEMERKAGRFYD >CRE19855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:232930:233630:-1 gene:WBGene00085113 transcript:CRE19855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19855 MSETPASYESIFAQSDKTDAILVVEEKKLHVNKALLSHHSDYFKTLFNTDSGEKSPPEFTVEFPILRLGDHFHPDEKVKYFATVLSLVQDNPIKINEGDDFTVLLKLAEHFQLSQSKRVLELALIQSSKGKHEKIELADKYKLDELFNHTLSMFDEKSDFIRHCNILRCHEGHLPFFETLSVEANVKLFYKLIEVCRGY >CRE19806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:338216:338425:1 gene:WBGene00085114 transcript:CRE19806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19806 MNFLQKIALMSPTEEIDSPVPFKRHEIDRIVFVTCTFRPNAKIMEEVFEKMRKKLGTLNECPTFLNFIS >CRE19768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:205371:207075:1 gene:WBGene00085115 transcript:CRE19768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19768 MSEQPVLSIYESTFAPSNKTVAILVVEGKKLHVNKAVLSYHSDYFDALFNGEIQGKNDAVTMSVTSIYESEFAKSDKTDAILVVDGRKLHVNKAHLSYHSDYFNTLFNGDFKEKSMPEIEIKDVKFEDFATLLSLVHPNPIKPEAEACVKLLELADRFMLPGVKPYIELVLLRSQIHCTSKISIGAKYGLDDLLDNGLSLSKSEDWLQSVIFLTGFKELPEATQLKIALKIIKIADFSK >CRE19787 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:248321:249331:1 gene:WBGene00085116 transcript:CRE19787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19787 MRSIKKYHVIPYVEGAAVESAKRFLEKILNDPNLDAGEKCKFYQDVLYRIKNHNELPIVNQEILTILKDNLKSSETRVSAPEPIFENAVVQPPKSEYKEEEEEKGVEKRDDKADMINVDDINVGQRKRKTSESGPPTVKRYLASVGDETSSVKNELPRKRNTKRPKLVMRVLKKKPSIPIHEIEPGAVFKTDNVGPLQKYRGKDYRKVQHRVPGKRDLKRFKMGRKLEEYKMHRKNKRKNIEEPGNKPKRSKTELEKDPEVKPEVKPDVKPDVKPRIKKEPKQEIKAELKQEIKNEPDVKPNVKQEIKNDPDVKPIKKEEIHGSGPRIHCRLWKFL >CRE19823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:425184:426805:1 gene:WBGene00085117 transcript:CRE19823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19823 MNFLESYHDTYQTVETLQPTTSAPNNSTTIDQKRFLSNTNNFIIDAIAGVSACVQVILFPFYIYVHRVNNKKDREMPIYPILNHFYHSMIFQNISLFCLSIDAVFLTNFVRDFSLLPILIKALICLLLLSIVTRYMFVKVYVILLSILAIQRFALYFHPTSENHWLFKKNGLRLLIYLIYGLVVCEDLLFLKRSVTYGEDALKPLFSMQIFLTILLISSSMLYIPMYVSVRKLSHLMSSKLNKPHRYIVWQTVLLAVGKVVSGMYSLIEMFNVPLVIQLTYLGCNRHNLKTFLNSFKLTKIWKRVCCCASSSTNSSQVQPYVIFDPQSTNHQLTN >CRE19761 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:185290:185927:1 gene:WBGene00085118 transcript:CRE19761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19761 MAPRRLRTRQLRTRQLKTRRFQKFMVLFYPNTEKYVTFNEKKLKRFIWSTYALVLISWIIQIFEVPNVDVICFVASDIMIITSASLYIPIYRMIKKQKHLYSAQFNKPHRYVMWQLIVILLCRLVIELPFILLSDNIERAMNSSDYIEIVTTCLTIQLAYLGCCKRNLESLKSFLLEKW >CRE19858 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:240080:240883:-1 gene:WBGene00085119 transcript:CRE19858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19858 MSQNAVISIYESTFAQSDKTDAVLVVEPEEENNDDSDEDYVSPIKYARSSYGRVPQPVVAPRIQSTKLYVNKALLSYHSDYFKEVFDANSKNNEIKIEEVTYKELATLLSLIQDNPIKFEQKDAEKLIILADRFQLPAAKRHVELFLIASSLYYSAKIQIANKYDLDELLKAALLEITHINHIPDENTLKNYSEETNLKIFNRCLELVKSMR >CRE19728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:19422:20111:1 gene:WBGene00085120 transcript:CRE19728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19728 MSTTSALEFSNLPTDTIGRIIEKCDLKEHRCTGFFLFDRISDAVLCGFKFYCLTMDTLFSLRNIFSRSPTFKHCNIECVYLPLIEELAVELGLRLEPGNYLPVFYQYLIPDSTDVLIYEFWMDHIEIRRVSYMEML >CRE19812 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:382093:384172:1 gene:WBGene00085121 transcript:CRE19812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19812 MYLNPEFKFAKLPWLAGQRLFRNMNVVDLMNIAQASPSTDWLTQIASVPTNFSVTFVSSERFFQDHWDIRMDFRDNDAFIWHLWPNATQIVGARIKWMVGKHKLVTKIEKKKSEQEPTIIHSFMVGFQKTLFEIVEWLEKLFTCKVTNINIPFPALQNCPRTARWSALRYSKNLTMTTSCADSEWIVAILKERYAQNCKTFIGEVEIGRPRDISVAALSSLTYRSGRLNFNLLSFDYFLEYVRNLMASNDDRFLILRARIPDWTIEKINEFAQKLELARSPLEPLSRTHFTSEFGDFDVTRPMFQYKQSEDIVLAFNFLVKPGNLYACMAVVRWKRKSADRIEHERQAAENARRNAEEDARMMLEEDEEEDEEEDVRDVERARGDYRRRAIIMAVVRGPPFNIHD >CRE19790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:256359:257123:1 gene:WBGene00085122 transcript:CRE19790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19790 MGDNIPINVYESMFAKSESTDVCLIIKEDESQPAKKSRKLTASSDVTTSPVLTRKMYVNRATLSKRSTVFEGMFAASPDSTEFTINDAKYDELTAVLSVTEPTPIDPTEENVEGILRLADRFMLLDATRYVESFISRSGWDEKKKNELSKKFNLKNLSQRRD >CRE19837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:112652:112864:-1 gene:WBGene00085123 transcript:CRE19837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19837 MSETSEVPLPMDSFDPPPPPPPSSPNVPPVDKIAYPQTIPLLEDAFKNLTLVGTIKLYKDYSGNLHIASG >CRE19748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:125564:126113:1 gene:WBGene00085124 transcript:CRE19748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19748 MSGVPSDYGTIAKPKMNSQREKRPYFEDDGETNHAPMKKIPKKKLVVPEIEKSGAKRWLYEKAAESIIPTEDQSNVMPERLFKGLDPLIVHMCVPCKKFNSSRETVKIEDGMIQIPLALCTVCRSHLIAQKNMKFFQHDCPSLKKEFNL >CRE19739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:43081:45226:1 gene:WBGene00085125 transcript:CRE19739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19739 MPFINVQWLSGQTPGYNYQTTIPDVIFKTNLYCLPLALLFNIFHFKTLIRPNLRSSAIYTFHSFLAVSNFSYILSSWYPTFLHFMRAENEYCYRSYTYSGIQIQLIAGGIRDFSTIFSSWLICYMGLYTCLGRFWNIFKSAKIAFWNSVVVMVLSSFGGITLWSKHEVAEVTTDMDCFSISRTLNPLRYLAIIPDDYLPMYQMILGLIRNVKLVPLYIYPVWLVVLIGFLVVTVVKKERSDQLPSLLLTTCQTIQFLVTYLPEALISYFGSEVARWLPITILFNILLQIILCFVISEKYRDAANFFERMIQIEPVEEINMAVVRTD >CRE19799 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:280912:281798:1 gene:WBGene00085126 transcript:CRE19799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19799 MTYCSASDQFSYFSSVEFNSLGYHILGAIAIPFHILGAYCIIFKTPQTMRSVKRPMLIYHILTTLVDVMFGFMTCPYLIAPFSMGLSRGIFQILDVFGGVQVYLVIMSVASEHVNIFLNIFVKIIVLAMAVSMVQIVENRYLILRSGNLKWQKIRIPWFCLNYLLASLITLPMYLEIPKDQESLKSVIFAKIPCIPREIREDPYLFVFAENMNLTIASIFPFVILICTEILAFSRLSRLALKRNSTQLSDMTIKLQRKFLRAYIIQIVFPCIFCYFQY >CRE19732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:23788:24832:1 gene:WBGene00085127 transcript:CRE19732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19732 MSTTSALEFSNLPTDTIGKIIEKCDLKEQLTLRKVSKDLRSLVDKQKIAYKSITIYLTDSYISCVYNDKDVVYASENWDKNEMPIETSIISNDDYVKIALNDLSIALKNPKLRLDDLYLTVYNSPDEEETLKIGHQKKERKESEKYRVWSNGSKQLTAGYYFGFFPSEFLMHFKRFVIYKWRVDKDFLMNLRALFSTSINFDSCTVESNEGENGSEYLESFCEKVGSGRDVIYCYKIPDDSNKMLEFKLYGCQMIIEKKNF >CRE19722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:3974:4751:1 gene:WBGene00085128 transcript:CRE19722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19722 MSVGPTINIYESVFAQTDKTDAILVVQGKKLHVNKALLSCHSDYFDTLFNGDFKEKSMQEIPINNVKFQDFATLLSLVHPNPIKPTEQNAEKLLELADRFLLSAAKYHLEYFIISTNFTANKKLELAGTYDLENLLVHVLKSFKTKEELVPTKRFSGFSANMKAKILDRQIELNKVVRDRNPWRSEFSESFTDRNTEIPKYYYRAERNNNEAKYSSQSRGDMGCRPS >CRE19874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:378095:378353:-1 gene:WBGene00085129 transcript:CRE19874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19874 MPPSFLEVPYLPMEMIMNNFYYLAIQSLHKTCWDLRNFIDDKKPGINIKRIYILKMTDGVILTISGTEAT >CRE19817 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:396243:396613:1 gene:WBGene00085130 transcript:CRE19817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19817 MKLFILALLAITITTIQAKPQHPFVRILQNSPNQLADDCKDIGTNCQNWARNGFCTNCNWTCAQRRHYCERTCGFCHPDYVCNETCPQPPAQKDFEELTKEEINKLDE >CRE19864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:285668:286770:-1 gene:WBGene00085131 transcript:CRE19864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19864 MPDVVTKEILKNLDFASIRKLRKVCRAFRDFIDCVKPDSNLESINLEVRADIIFTSFSTLSQSTEDIEFFYKEEDMFNGKNICLMERGFFCCATFENNCADLCVDDFLRPALKHQKSLLDELCVIKHLEFDENRQPVPQNPGKLFVPTFEKLFDGLINVLESRDRLLQVESLLISVHGQDQLMQLLRHVDLKVLQCLKVYRLLETEQFWEYGEDNSEFVLDLDILKDCENLEILIVRRFSVCSQLRMLTHIPNMKLSMQTIYYADVLRVIQTMEKSDIYARSEIRFEQFPDKSRFLEAIGLVEDDSVELVHVFPSKLILAYYPALKCIGLKWKHSS >CRE19830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:81615:82974:-1 gene:WBGene00085132 transcript:CRE19830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19830 MNQSNLTTVFKVLYISQYPSLFISIFGMVTNFFHFFIVKKTMKTNPIFTFLMVICVFDCIYITTTATTELVNIINYIDHKCIGFINNADMYVRAIVWYVNQYGMATGSWITIFMGFIQIVAIKNPQKTIWNQKRSTKISLVTIVVFFVFFFIEAIFQLIFFSQLPFPPCLDRSLFYAQNFLLARLEIMDWITVGVIVTEILDLLMINNIKIVPFIVLFIWLRIIRKKAIEFDKLENSKIINSLLWASLLYYLSPLVMAIPVFTFITYLTGSVEYSNEDQMLVLQLSKVVNTFIVSIRPLLIMSKSADYQTALNSFFSLFSGQVGFFSVYHFEMFGVSDSSSEKQINYDFKNCEGIK >CRE19836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:111766:112493:-1 gene:WBGene00085133 transcript:CRE19836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19836 MEIPLVRMSWKTIVPLDENLAGKALSVSMCPVPGCLGWGNVLRHKNAFHRTEEACPVAAHMRKLRQHSRNPHPFMAAPPAPFMATAAPPAPPPHPTLGLQDVKMEEDIALPPPPPPPPHFTMPPPPIQMPNNTPAEPIRLAYITTLSTGGAMSSTMLDFTQTFPINS >CRE19845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:149045:149521:-1 gene:WBGene00085134 transcript:CRE19845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19845 MYIRNIFRVGQEQKLLTKEEAEMMRDIFWKNDRLYNHNFPRVELGFMKEVTEDHVWKQSDYVIYRNLKMYAAHWYKFPPIAEKLNWVLEEDSWSCRYQHYKMEHNY >CRE19834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:109572:109817:-1 gene:WBGene00085135 transcript:CRE19834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19834 MVPVNLKKQLNLVHGLQNIFRETLIIPIGIASVVRVIGICCRPFLILWKCKDYQLVVDSIFDISGGIGSRRIKVQSLPISK >CRE19730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:22217:23417:1 gene:WBGene00085136 transcript:CRE19730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19730 MDQLTSPKSLRKCILFEFFRGKSVFETYNSFCEVMGYDAITLKEFEIWYYRFSRGEFDLDYDIRSEPKTRDFCQLPTCVIEKVLGKLCYKEQLTVRKVCRDLKSVVDSMRSSLKSMEMTWHSDYIECRFDNQLVVFSRKKYIRYDVDNVIRVFDKDYGKLALQDWKFPMRNRKLRLDFLKIECKEPNNRMKKEKKVKKLIEIFMELSEALESIGSRIHVQKLQIDTFRPDFNDVEYILQHFQPGYLKSIVMPGFDLKREELNVERPTSPAPGVEPSEAYKRAKSNYRRACFLKDDIYEITELEQWKKAEELHLKYNWDYFYDEDLIHFKRFHFTDCDLDRERLIHLREVSSCFRQSINNFLPDLL >CRE19792 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:262588:263226:1 gene:WBGene00085137 transcript:CRE19792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19792 MSATPAVSIYESTFASSDKTDAILVVDGKKLHVNKALLSYHSDYFNTLFNGEFKEKSMPEIPIEDVYFEDFATLLSLLQHNPIEITNGNAESLLELADRFLLPGPKSQVKHFIWMSPGFSRFNKLKLADKYKMDVLLERMIALYTHRSHFSDLCYKKNKEISLELQLRMYDLFFEKYFHS >CRE19786 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:247345:247974:1 gene:WBGene00085138 transcript:CRE19786 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19786 MKPGFSFRFPSQTTIIGATQSGKTTLLRNILENGNFDEPIDNIFWFCGIKTPSIPTYLPNLQVFESLPDVELLKECKNQRNIVVCDDLMTEFGKSKESLNLLNTLFTVYAHHLNCAVFNLVQSAFALPPVTRNNSTYIILMKSLSDAAQTKQLLMQQFGDGWRNAFAAYKECMARPFNGMMINNDPSSDPRMRIMTNILDEFPVSYAPI >CRE19824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:429413:430958:1 gene:WBGene00085139 transcript:CRE19824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19824 MNYLESYNNTGTYQPVETLFAPANSTMIDLETVVSYTNYLIFIVIVGVSACVQVILFPFYIYVHRVNDKKDREMPIYPILNHLYYSMIYQTITLFCLCIDVVFLALSDRDVTLLPVLIQVLLFLPLLLLLTRHMFTKVYVILLSILAIQRFFLYFYPTFEKQWLVKKNGFRLLIYLIYCLVACEDLLFLMRSATYGKDAFNKSLFSMHTILTILLISSSMLYIPIYVSVRKLSHLMSSQLNKPHRYIVWQTVLLAVGK >CRE19794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:266677:267313:1 gene:WBGene00085140 transcript:CRE19794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19794 MSETPEISVYESTFAQSNKTDAILVVDGKKLHVNKTLLSYHSNYFNTLFNGEFKEKSMTEIAIEDVNFYIFATLLSLLQHNPIEITRWNSANLLKLADRFLLPYPKSLVENFIWTSSEFERIDKLKLADKYKLDSLLERVIALYTRPADFSELSYNRNKDISEKLQLRMAKLYFGMYFNS >CRE19741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:56479:57968:1 gene:WBGene00085141 transcript:CRE19741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19741 MALQLKKTRYPLPKSVQLGVMLDFVGYPPTSADRFYGTLPTDRISNVELVVGIHGNRRVVDRRRLATREAVSPIPAAGSRRRSARQGTRKVTEDVTGTLESSEASSGPPPSLDPEPSREVNAGMAEAVTEASESSGAPQNPEKVDELDPSAIDMSGTIKLETVTDLNQEKKITQTILTNCVVIDSEIRKAKFIGCKIENSRIFDCKVFDTSKE >CRE19848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:156906:157554:-1 gene:WBGene00085142 transcript:CRE19848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19848 MMKLSNYTQLDLQWMTGSVQVPTTNSAECENFFGEFSSKTMKIPFLSITNRSNAEVQEVLELNKQGQNCFDNLCLYQRIGEENNTRIFTDTFQASPTNLTKIFKLRPRLLDYSCLADITIYSFFSEVSLCANLGEKDDCIMSTIIKLCQEEILVDFENLEVSVGPRKEVYNTPDFEFAMFRDNKKKRRFVFTMKDDKLI >CRE19862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:276214:276994:-1 gene:WBGene00085143 transcript:CRE19862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19862 MTYCSASYQFSYLDTPEFYTTGLHILSMIAIPIHLFGAYCILFKTPETMSSVKFTMFNFHMWILLVDLVFTVLVSPFPLFPLFAAGVFGLLDGIGVNRTFQTFLQFGTVETMYIGMILIFENRYLIITDANKYWKAIRKPWTVLNYICAVSVGIPFYLMIPENQESSKSKVFERSPRPRTCKHM >CRE19816 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:392604:394155:1 gene:WBGene00085144 transcript:CRE19816 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19816 MPKCLIVFFALLGLALAVKFSVPLRSSGSLKEKMVREGRYSEYLVQRKSAVRQNLVDTMDEFYVADIALGTPAQHFTVSLDTGSSVIWVLDSHCDSQNCHEYTLNHTMKSAYNNETSSSFVDANNNFLFYYSQSEIYGLLGKEKVSFSGFSLSNQDFIRATVLPDVFTQQPIDGVFGLGWAPQAELKGVGNPMQALLPQLDQPMFSIWMQRNDGMGSAGAITFGGFDSKACDKNIQYLPLAEPGVWGFTVDSASIGIFSHKQSGKAISASGSGWTGIPDKFMPQVIKATKAKYDWNYELYYVPCSTAASHPDIKLHIGGNVYYITSAEYVLDIGLGNDKCVLAIFVDTGLAPEADWILGDAFIRRYCQIYDFGNSRMGLSKFIQRD >CRE19772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:211752:212194:1 gene:WBGene00085145 transcript:CRE19772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19772 MTLTGGLIHENSQISTLEQWKQAKHVKVETIFMVPIRIFSNFATFEVSSTPFFTEDVAKLIDTLDQFQSTNFKSCKINCTLTLDTKKIVQELNLQSSSVPREYSIRNSDFFIQFSLNRKSFKVYKKFHINI >CRE19751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:131110:131256:1 gene:WBGene00085146 transcript:CRE19751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19751 MSAKILTMLQTINASSHSIVCILMSSQYRDAAKLKCGLKRMRSPSVSG >CRE19760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:180578:181670:1 gene:WBGene00085147 transcript:CRE19760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19760 MEHASSIVESINNITDSNFKSPEELVAHTSRYSGIIIFFLIFPFYEYVYLKNRKKEKDTIAYPIINHFYKVLKYSYRACQSAIVVSVLAIILTFLSEMFSKLLYIIIILSFLAVFVVNLVAGFTIMANHILLCLLAFQKFMVFFYPSTEKYLVFNENELERVIWSTYFLLFIPLIMQFLGFQDMDFVSEPFDTTKISLMKSYVASNILQVTSAFLYIPIYWKIRKQKHLMSAKLNKPQRYVMWQLIVTVFLKLIYILISILLGITINISDCRQFDDIAASVTIQLTYLGCCKRNLESLKFFLLEKWWIRLLLCRFSKNNIVVPTGVSDSSMNFASLPKY >CRE19869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:307670:307798:-1 gene:WBGene00085148 transcript:CRE19869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19869 MPEKDLVLHVIYYDSKSIIFTRVDIEDVPEVVVMNFDVQIID >CRE19802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:317938:318817:1 gene:WBGene00085149 transcript:CRE19802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19802 MNAFVFISSLLLLSNVESCIKMIPPEEVSMSSTAATLPTEAPTTPGETEATTGEEMTTAEATTAEASKCDQCDVNAIAPVLADPVLTVFYTEEYEPVDGCQRTYVLCNRLDSMVCTVLLIATNAEGTSGEIQTDTTFGSAESILSCDNDGTYSAGTMFVYNFRYG >CRE19731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:23464:23625:1 gene:WBGene00085150 transcript:CRE19731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19731 MCSEYLESFCEKVESGGEDIYRFKVPDDSNKILEFRIINNRMEKKIVVEKKNF >CRE19793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:263437:266308:1 gene:WBGene00085151 transcript:CRE19793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19793 MSETPAVSIYEETFAPSVKTDAILLVDGKKMHVNKALLSYHSVRFKALFNSDSDEESIPQIPIEEVEFEDFATLLSLLQDNPIRITKDNAENLLELADRFLLPGPKSLVELFILTSPEFERIRKLEISAKSDKTDAILVVEGKKIHVNKALLSCHSDYFKTLFNGESKEKSMPEIEIKDVNFEDFATLLSLLQDNSKAITKQNTENLLELADRFLLLVPKSQVENFIWTSSEFERIEKLKLADKYEMGVLLKRMIALYTSPGDFSDFTYKSNREISLESKIKMPKTPEVSIYESTFAPSGKTDAILVVDGKKLHVNKSLLSYHSDYFNTLFNGEFKEKSMPEISIEDVDSAHNKAYKDFQIKMSETPEVSIYESIFAPSGKTDAILVVDGKKLHVNKSLLSYHSDYFNTLFNGEFKEKSMPEISIEDVDFEDFATLLSLVHHNPMELSDSNAEDILKLSDRFLLPAAKRHVELFIWTSSEFSSLEKLTIADKYNLDTLLHKTLDWFEADRDAFYEFRYGSGNHLSTKLKSRLFEIFTEKHIFHLFED >CRE19766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:203798:204439:1 gene:WBGene00085152 transcript:CRE19766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19766 MSATPVVSIYESKFAKSDKTDAILVVEGKKLHVNKALLSYHSDYFNTLFNGEFKEKSMPEISIDDVKFEDFATLLSLVQAKPICPKKENAEKILELADRFLLPTVKFPLDLFLANSYMDRYDKVRIGDKYEMKKVFDQGISEFTEDDRFYNMKSNSRYQSLSDKVKVAVLSRVLSLKN >CRE19861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:274362:275468:-1 gene:WBGene00085153 transcript:CRE19861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19861 MSICLIPFLPSESLFVISDDITFFIIRGVIFDSTIIFQIVILAWLTRRGLKKYGKGKSEKTVRRQKQFLRALNIQLLIPFISLIIPLFYIFMEYQLSFYIQKYNNIGFIIFASHGLLSSVVMLGVHSPYREFIFQVFRNFGYLLGIRACVGRGDVTSNYCFISSKPQQMSKGSTSNFEVSITHGESINIDRRLQSITCGLGFLNSI >CRE19738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:38560:41882:1 gene:WBGene00085154 transcript:CRE19738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19738 MNEVNETILTLLIATTIVTDKIRKVDICLSILAFLLCLAHFSILVRRELRSNAIFILILGICSSDIIRNITSILFDFKQIEDYQRINYCFGYDSLAMTSLELFRAFFHRVATSIASWLTITLAFFRTLLILYPMSSIAHQLAETTSTAKTIIYYTIFFTIFANFWGEIGRYALHLKTDSDLCLLPVRLERWDNLTMEEVQYTKEERASNYLFYSTARGLRFISVFKPISHFLLSISLIMAIRKAAKRIQNTRSSLSEKSIKSTTKLILTMSILFFISEFFASLEDYLDEFVPYNEQSRIITFKLMFSDFFHVLSAINTIPQFFLCYFLSSQYQEVVKRVLRIKKKEMSISNEVSTWDPKLVKDVRPPDRLLLLSSPVFGLRRIPSY >CRE19764 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:197628:199720:1 gene:WBGene00085155 transcript:CRE19764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19764 MSEKSKGDLKSKGIAEKSAPDSPKTSEETDEKDGKKIGEKSATKSVKPTETSSSNKRPEKSTLPDAPFLLEISPGKLVVPYPEPLEVTIKNPTEDTQTLRGQFDSYYFLVDFKGAKSSGQQGVTPGAAYGCYELGPGESCSMTIRTSDRGNEDAKHYLEYERNAEKRRRLKYPKVVTDQNKPSNEEDLQKPIDIAFYNYDRPEGFLKIKHQKKGVETETKWVVREKQLYLTDDIEKKIKLREDEISKIRRAKRNREPVNNKTTDPNTSEFMKFYRMKLAHKSTRNYQKWREVWGETLVNNDNGTHRVFEPESDVREFVQNKENYNKTLGSEADNIEFWEKVFAKEYRRDPRDPNKKEEKEDVIWEKMEARHRVLSRNTGFAKFLFTSGTLEEATEKAEKFLSDQRKEDWKIENQKMEQLSRMEEKKKAMEKKKNPCCSIM >CRE19813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:388136:388784:1 gene:WBGene00085156 transcript:CRE19813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19813 MSSTLLFFALFSICSCQVLLNLRDFNGGNAKNRLNPGPDQTGVYVSAFSDSVDLLSKIFIVFGNNGTQTSIYDLKGLRLTTGEIIHCIIDNSTYLTTSLSNNQMTQLTGMMFISSPRQLVDINFHVMDASYQLDIQFKKIDPVDSTVLFLNTNFNVNPSTTSVISQWTQYEGSSVYLYSGYHTR >CRE19774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:213745:214361:1 gene:WBGene00085157 transcript:CRE19774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19774 MSATPKMSIYESTFAQSDKTDAILVVEGKKLHVNKSLLSCHSTYFKTMFNSAPGSSEFQIDNVSLEEFATLLSQFQLNPIKPTRRNVENVLKLADRFALPAAKRYVELYLMTSYEFNFGFDETIRIAKEHELYCLLERTICWEPPKFIEFIYSGHFKTLPDKVQAKILHSCLDRYK >CRE19763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:195166:196908:1 gene:WBGene00085158 transcript:CRE19763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19763 MKFHRMKWIYYANEIDPNPPEYMKFYRMKWLYNATQSHQEWRASWGEQLRNDDDGTHRVFSVQRDYAVEQFLMDKENYNKTLGTEKDNVAFWGRILLKENIENHHEHKIALLNRNAGFGKHDFTAETLEESLEKAEKFLEDQRKEDVKNFELCIEKEQKKKKEKEELEKEEELKTACEIVQPVKQEEEKKEEKKEEKKEEKKKKKNPCCSIC >CRE19821 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:410824:411992:1 gene:WBGene00085159 transcript:CRE19821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19821 MNFLESYHDAATYQTVETLETTTPAPNNSTTVDLEMIFSYTNGVIVFAMLVVCAFVQLILFPFYIYVHHVNNKKDREQCCRVTVAKAVKARSSREVAE >CRE19736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:32614:33994:1 gene:WBGene00085160 transcript:CRE19736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19736 MSEILKNNPTALRACIYYEFLREKNVEEAYKNFCKTVGVDVIDYVDFEYWFYRFYHGNLDLDHERSADPKSLILTDLPMELLNEIVGKLDIQDRFNVRNVSRKFEKVVDKFKSKYDDITIYIGDEEVHFHLDDYYANYEKGENDWFVQFFFECVAFHSNISLSALSAVLTNSKSPIECFCISTGLPETIDIMPKLINTLSKDVSPLFYAKRVELDSLHKDFIIPILSLFKSGVLEHLQLNDVGIDDDTINQLVEMDQFKQLKSFNMSEPLSLSQFKRLSHLSTFEVRMDHVSTEEIIILRDILSQFVNLRSGHISLCSFMKLSEIGTSLGIDYDNSALITYRHRILNSDKSLILNIDRCRVEVSIENN >CRE19875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:379025:381142:-1 gene:WBGene00085161 transcript:CRE19875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19875 MYLNPEFKFAKLHWLAGQRLFRNMNVVDLMNIAQASPSTDWLTQIASVPTNFSVTFVSSKSFFQDHWDIRMDFRDNDAFIWHLWPNATQTVGARIKWMVGKHKLVTKIERKKSEQEPTIIHSFMVGFQKTLFEIVEWLEKLFTCKVTNINIPFPALQNCPRTARWSALRYSKNLTITTSCADSEWITAILKERHSQNCKTFIGEVEIGRPRDISVEALSSLTFKSGRLNFSLLSFDYFLEYVRNLMASDDERFLILRARIPDWTIKKVNEFAQKLELARSPLKTLSRTHFTSKFWEFGDFDVTRPMFQYNQSEDIVLAFNFLVKPGNLYACMAVVRWKRKSADRIEHERQAAENARRNAEEDARMMLEENDEEDEEEDLRDLEGARGDYRTLSKFTKFLIFAAHLLILTMISKVYFDYDFESI >CRE19770 pep:known supercontig:C_remanei-15.0.1:Crem_Contig69:208219:208881:1 gene:WBGene00085162 transcript:CRE19770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19770 MSKQTTTSEYESTFAQSDKTDAILVVDGKKLHVNKAILSYYSEYFNTLFNSDFKEKSMQEIPINDVNFEDFAATLSLLLNNPIKPTGENAERLLTIADRFLLPVVRPSVELILVTSYKDKLEKIRIADKFKLPELLNHSLMLFTKSDDFWRIKRNPVYETLSDNTKAAFFSRLSTLSGYD >CRE03522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig690:11946:12689:-1 gene:WBGene00085163 transcript:CRE03522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03522 MLQELVNSCETVGLEINASKTKVLRNKFASSHQIHIRKNNTMSAIEDVEEYVYLGRLLNTKNDLEPEIHRRRRSAWAALNNIKNTTDALTCPKIRAQLFDSIVLPALTYGSETWTFTKALSERVRITHAALERKLVGITLTEQREKNLHREDIRKISQVKDPLVFITKRKLSWAGHVMRRNDNRWTTLLQEWIPRNEKRPVGRPPMRWADSLKKEISVRQGTQLIEPWSTIAKDRKKWIAVIRAHTN >CRE03520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig690:1819:5792:-1 gene:WBGene00085164 transcript:CRE03520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-col-108 description:CRE-COL-108 protein [Source:UniProtKB/TrEMBL;Acc:E3NMS0] MDLEQRIKAYRFVAYSAVAFSVVAVISVCVTLPMVYNYVHHVKRTMHSEINFCRTIHSEINFCKGSAKDIWSEVNHLKAIPAGNRTARQAGYDAGVSGGSASAGGCDACCLPGAAGPAGTPGKPGRPGKPGAPGLPGNPGRPPQQPCEPVTPPPCKPCPAGPAGTTRTTRTSRCRRFQRKTQVHQENDGQPGAPGKQGDHLGPNGNPGAPRSPQEQPGQERSICANHPQEHQGPAGTSRDHKGPPRCPRTTRDTMGQPRCPRTKGTKWKSRSPRSRRKPRSPRKRWNSRRCRREGNLSKVLRHRRRSLLRGRNSPLNSHFSLRKSIKYSLFHLFEFFPGKTYF >CRE03521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig690:9600:10058:-1 gene:WBGene00085165 transcript:CRE03521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03521 MSSSSAPSTPMKTDCEESWEIQGLKMDLETERNEIQKLTEQLNNAELKNSIISQKMDIVECEKVEIYNDLVRNENEMKCQDMEIDQLEAGIRMAEKLLKEQKEQLEELRGTQKKEKPWNYNMSWGVIHEEMKQVFRTCTNRELKGYRKNANN >CRE11546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig697:28824:29849:-1 gene:WBGene00085177 transcript:CRE11546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11546 MMSREQVSVAFTPSRVNVDKYLERLMNIGKLGTGFTSTIEESEISDLVAECLQSFQRQPMMIECSPPITIVGDIHGQFGDVMRIFNNVGFPPDY >CRE07984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6975:11:1102:-1 gene:WBGene00085178 transcript:CRE07984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07984 MFMLSNVREQWFSNIRVDVLAGLVVGLALIPEAIAFSIIAGVDPKVGLYASFCIAVVISFVGGRPAMISAATGAMALLMVTLVKEHGLQYLLAATILTGVIQILAGYLKLAKLMRFVSKSVVIGFVNALAILIFMAQLPELINVTWHVYILVALGLAIIYLFPLIPVIGKLLPSPLVCIIMITLIAIFLGIDVRTVGDMGSLPDTLPIFLIPDIPLNFETLTIILPYAIALAAVGLLESMMTATIVDEMTDTPSDKHQECKGQGIANIASGFMGGMAGCAMIGQSMINVKSGGLTRLSTFSAGIFLLILVVFISDWLKVIPMAALVAVMIMVSISTFEWSSVTQLKDNPKSSNVVMIATVIVVV >CRE09960 pep:known supercontig:C_remanei-15.0.1:Crem_Contig6978:1931:2275:1 gene:WBGene00085179 transcript:CRE09960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09960 MNSILFAYSLLAVAIIAEVTGSTFLVKSQGFTKLVPSLLVVFFYVISFYLLSQVIKTIPLGIAYAIWAGVGIILTALVGYFIFKQSLDFAAIAGIGLIISGVLVINLFSTSAGH >CRE11536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig698:3362:5735:1 gene:WBGene00085180 transcript:CRE11536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11536 MAPPSPRKRIHFASMMAMGMERINDVPPIAIILPSQPIASTPIRNLRQSVFKPVSEHIERTHGTPLRKSGTTTDVRPPTVPPKLVTRSTSGEIYYSANEDDVATPKRKVSREEVYSTPLEFGKGGENLAENL >CRE10717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig699:3305:4435:1 gene:WBGene00085181 transcript:CRE10717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10717 MNQSILSTSNPKLSNSILEKIYEFWQQPENYKHVPVEIALELFNSNKIKMRELAWYLETGSNIPENFEDICKELVEKHFPQLIHEKEGMVYYNWYGFIQYCVYVQDDEDYNQQEEVHERKLKEYESQLTAMEMISAGTEMVQKIIENKRELMMTDPKKALKVVEPAQWIMYKRFNDIDRSNYQKEYIRLLSSNEDPREAHFLAFKEVMTALLKKQKQDLIEKENNKCSKTIPKKRKCPFHHIKLNRSIFFSAKTIKKSETPVDLSFLKPYLSNDAVKRVEESVGNNSSKKGKVKKQENKNDEEVEEDVKVVTVRDFLKMKKESRKSSIDILKKHREQPSEEFGLNVNVDCNNRKENIQYQ >CRE25078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:194783:195738:1 gene:WBGene00085182 transcript:CRE25078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25078 MLFTTIILIRNENADRPKDVPAKEAEKRQAEEQKLINNARPLTEKEQEEKTELLTQAITDWTKREFTQFIRGNEKYGRDDLESIAKEMERPLEEIQRYAKVFWERVDELQDSEKLLSQIEKGEARIQRKYAVKKALDAKIAKYKAPFQQLRISYGTNKGKTYTEEEDRFLVCETHRLGYDKENVFEELRQSVRMAPQFRFDWFLKSRTAMELQRRCNTLITLIEREMGEVAEAKPVVVATAADKKKASSNAQKSGASAAKKAKTSSK >CRE25454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:749918:750262:-1 gene:WBGene00085183 transcript:CRE25454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25454 MSRPSYGRPQPKNVSDRLSRYRSHQITTCNQPQSQCKLNQLTLTIPAGKGLTIDGTNEEERSRRKELPATRRNYNLNYRQRQCNDAPANTSCHVIIILAGALILVSLINAISFL >CRE25259 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1465184:1465444:1 gene:WBGene00085184 transcript:CRE25259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25259 MEVNRMAWRNQMPQELRDHLVGKLIRAIFPEESDLPQDQVEQMNVIEDAKTIERELFETATDREQYYNLLAEKIYSIQRDIRQSGH >CRE25572 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1656170:1656483:-1 gene:WBGene00085185 transcript:CRE25572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25572 MQYILPPDSVTMVAGAFGGIGALSTLAFVILAVLQSKSAVCKPPGKEDVDKLSSIEGLRQIKDDTGDPPSKGKSSVKEKLQKKKPKKEV >CRE25326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1862972:1863566:1 gene:WBGene00085186 transcript:CRE25326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25326 MSHRADDTRKHRKSRSVKKDHQYPFVSFDGEQVFLFDLALMEICHFQSFDIPADEGKSPVRTRMSLASNPDAVKYLDEIALLKARRIDKDAPRHPYDRRGQPAPPVAESFLSTSASLPPISPNAMTFMGGPPESEKTYKLGKDGTLKVSQLPDGGERFEVASGKQYCVFQQSAGQTCLCSYSD >CRE25290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1639016:1639772:1 gene:WBGene00085187 transcript:CRE25290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25290 MGCDSCMGLAKKKGPMFFGVLPMKSVILFMQFLAIFYQTYQFQDCFGCFLSTVYLIRIILIAVSFIGFIGYLLDRKTVMKVHLWTTIFVLAIPVAIIQIGVFLYCTFLYICWTISSEHVISLEDVLQKSASEMSLDEKMRLEDACNFFYSAVYTGVYYFCSFLACCIFYVWMCHKVIKALDTELNTVSSTSDTNEFRSEKLTKKCD >CRE25231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1283488:1284140:1 gene:WBGene00085188 transcript:CRE25231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25231 MGLLKASIDLLRIPFIFFIFNHAVVLPVLVSDHYFVIALILCIIYVAVLVAHLKNNSVCELFLAAVLMYVTILSYFECYFNREGFVNFYSSVLFKYITGENLSSSGEPNLILIIDFFACTLGAIMLFLQCLELAKHQKLINSLHTESKKDKPTVCFSNTLTEDNDGYFEDIYGELYDYNRWI >CRE25148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:668160:668730:1 gene:WBGene00085189 transcript:CRE25148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25148 MSGIYNRSGRSSITKAHLQTYANKQMPEEIASFHAYHVGILEKANNEEHKTMTKNSAGKMKNDLYLEEPEEHEEKKKLHPIDIDQIDDSDDSTLQFSRNNKVMYTKLDISKEEAEELKNWDDAGSQTDHSDFSEGHYSDESTGERCRRETRNKKKSEKRDLRMDEITRKHNIVE >CRE25061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:9:302:1 gene:WBGene00085190 transcript:CRE25061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25061 REERKFKQKVLGSNKTQEAATNVPTTTAGTGEESTNEEFVRQAHHRPTKAFVQPSRLTDSSVVSVPGPSSASDNTIGQSAHQQLPSNIPQLQDKMPL >CRE25155 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:739808:740589:1 gene:WBGene00085191 transcript:CRE25155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25155 MICPDWLVTFSRVFSLTVSFSCVIVYMIILLFAMTQFKKYHVFFITLYMAMVFTRLLALLMRSSGYFLILYRESVPYQIYSALWIAKFSAQAAALGCILERSYATFYATNYENSKRFYFISLCVVTCTICCGLSYVDSKSDLGRKINTVCFSIFSSLTTIMLVIINRRFVKKSSGAKCNLSERYQLSENIKALR >CRE25094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:329526:330944:1 gene:WBGene00085192 transcript:CRE25094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25094 MIKELICLCLLIGLTTIAVAEHFLADCKKIKNGRYAIGHCQSRYLKCSDGFPHFGDCPEKMVFDEYTRKCAKRANVESCDGDEFEEFTEAYSGEQDETKMELKNKEVKLSIDDMFANGRFFAIKLRMFEFSVCEDLEDGKYASGVCSMNYFTCTSKIARFRSCWPFYYDATLKECSERALIKDCQILRNGTNTQNSGSSFVNGEFLNGNRALMKYCAYRNDGEYPVDECSDFFLTCYGWVAQITKCSDPHVFDPEKLICEHPSNVTMCEFLRKPLTNCDEDGFFAVAECSPLFTICRRGVSFDMSCPSNLMFSQETESCVDSMNIRECPKTNSVPNTKFMELLVSTMHEFETSTETKSKICYPNFVLTSLILRQKHGFDLKLESFNTECLRKIIRYSLQRHERDQREIEDITSVVFLLSIVLGILFFFILLACLCGSADV >CRE25291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1640025:1640736:1 gene:WBGene00085193 transcript:CRE25291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25291 MTIKTKFNDSKSAPNVVQKKKEPMLLGLSVKHLILLYHVVFFLVQIDSFIHIKDKKFNDIVWGIITVICISGSFYGILTENKKYIKFHYWYCIFVSAIPVAFLQLLSVGIIHRLVTGEEGFLSGEIMCALKAFGYVLGFVMIFFLYIWMCYKLHKHLANHSMVLPYSAKDVHVIYNPNYDANHHSINSATI >CRE25122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:472618:474159:1 gene:WBGene00085194 transcript:CRE25122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25122 MSTYIQVNIYNQTLDALKMRGIDLSDILKTIGCPNTHVTEMPIFSSEQNVSSKAITAQIVTQSEEASRSDHHIPQISTTMSLPPSSSEKPILKKPFKSISAEISFVQPLNLKNAFGTNPTISSTLAQALEMNIEKRSKPTLKDLFDDWDPTASLETVGTASEIHNESAMLMGSSLKIPKEEILDETDALIDKTKETLDFNDSELANEQERSIIEELLRQQKHSEKIEDESTLILAKGKRRTGDESDLPNINYVITCNYPGCGLQYNWRVKYGKLRLLDHALTHSNRKIPCKLCGFECTNVRRMRSHYAKAHPNERVEGYGMKALVSKDYVNKAEGVDGETDQQVTDEELKELWNACYSKSIHLVGHATGFVDGEKYRRMTKRRKLEREAMNSMSFTF >CRE25096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:337442:339173:1 gene:WBGene00085195 transcript:CRE25096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25096 MVIVKKNQNPRKFVFFALKVCSFPHSSLLVSQFRNLLIRIRLQRVEEKDNCGLSTDILDLFWFLLYFFNFWGFELNFYLIISLVLFQFIHVLLPFISIGPCDFIIASKWVRIGHPLGSFLMTLSTIFPISISIERFIAMKTARIYETAPVILGPILVILIVNSEKIIGETSLNFQISIDLILIIFIYKDEQFDSGAISFMIFPSKVAGKMFLFFMVILLLNIINFMFNFFLFRENKRLKKMNISLATKYQLEEVYLSSKFVISVTFLHFSFFAAYLFMMIISGLVLKSIMTPLDIWAFNGVLMSMIATYLFLIGICSVYLYDTIRAKKTSEIIGNIQLKSTGTAGALNYDNAIFSIWNSASSLATRRNV >CRE25517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1241494:1242363:-1 gene:WBGene00085196 transcript:CRE25517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25517 MKSPPEDKDPPPAYKETETKNPYSKSEKLIFSSQLTIFERVAGYCICMEWFGFLIMIVQFASIHKYIADELDLCITMVIFFLIVSMGFFPKFTSNFVARLSKTGAHVYTILGLIYFTRVFLQLPETGKWRELSRKVEEVYAHFISFTMLAIQFHIFKCVHFAIELVQEIEAEKKAMNSKKKDTSIV >CRE25268 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1503140:1503476:1 gene:WBGene00085197 transcript:CRE25268 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dao-6 description:CRE-DAO-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LS91] MWKKSLTAYKKVEEEGEKDNTKQHSFNYQKLTDSETMPKQNKNRKSSSYSFGSMTSTSSSSSTCPSSKRYTSTQQSFAFVPPIFPVVPQKFVFFG >CRE25523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1260344:1260644:-1 gene:WBGene00085198 transcript:CRE25523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-23 description:CRE-INS-23 protein [Source:UniProtKB/TrEMBL;Acc:E3LS16] MNTFFFFVLLFFVFSSSLMAHSDRHVRSLCGVKAAKNILKICPGEKGIPCNNGALPSMTEYCSMGFSDSQIKFMCCPDTLKK >CRE25412 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:467292:468187:-1 gene:WBGene00085199 transcript:CRE25412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25412 MRWFSWLLLLFIGKVTYGKGDFEIEFEYPKKENFEKCIDSSQDEDLSDFHYTSINPLGPIVECHLLGMEFIACEDPVPLNGPGQTGQQSTNESFHKEGKCERMGGYRAEDIEFTEVKCRVLPCIECRGPRGFTKSVPCIIYTGHYFLTTLLYSIFLGVVAVDRFCLGYSAMAVGKLMTLGGFGIWWIVDIFLLVLGVLGPADDSNWEPYY >CRE25253 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1432366:1432866:1 gene:WBGene00085200 transcript:CRE25253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25253 MKKNLLSDITKFAGIVFDKVTHMPNNMEKLRNSESPQLVESQFVQEEEVFDDIHLATWTSSGIQLENENDFGC >CRE25197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1068817:1069514:1 gene:WBGene00085201 transcript:CRE25197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25197 MPHYVDVCSKQILQSRIHSFFLFPPKIVAIKNDINAFYFATTVPLIAYFREDGQMEKREFLEEWKSIPEQNEQQFTLHNTHNLNADAICTKLQQNNIHTVARRQVDNQQLLYHSVKYTNNLNVLSELKVNSQTTTITLSLKSKNLMAIANMNEVFQTLLN >CRE25432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:593955:594624:-1 gene:WBGene00085202 transcript:CRE25432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25432 MDEVVLLFVVLVLLISIPSLIQCKSKKKESSRKVDRGKAKVDARSRGVSRKKSSETAAEGNKVSIAKCLKSKEKRSNEQTIQPTITKDGMKIKAKKNNKKNKSKETTVSKTTVSTEDYDPVDEKTAKDYTEKKTRTVSTAEERLPSRREQRTQSTSIETIADKPKRKPKTEEYMESQNDDDTLRCVKSICN >CRE25222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1231468:1232046:1 gene:WBGene00085203 transcript:CRE25222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25222 MGCARQLREAIVSVSKRTISFRDKLIYFTLLCFIFNVLIIFDFNSNLDLNAKINAVIETIILVSISCIFKPKWIKNLKLLVYSKIIIACVFTLLDIEILISGELNDAEFFILVGFLDRFCFTIFSLIQLILIQRCQEELENELTVLYISTISANLA >CRE25449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:731732:732329:-1 gene:WBGene00085204 transcript:CRE25449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25449 MPRLFNTIWNTLRQCIGFQGPQVVDDQEHYQPIIQRPPSQQSRSTSPNSISESVSISVRSSGSESSHEGWKELEELRKGSHRPYIRDISIENPSLTSEDSGYESAAAI >CRE25110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:398091:398698:1 gene:WBGene00085205 transcript:CRE25110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25110 MKAFEDGNKKSMEKSVENDYQYLSTDPRQLVRLALIRVYFMQNFFTSFELATDKTLMALRNKFSFYVFASPYDVIWNRPKCELMKRPLAPNMFRQILTPNQKNLTLGELFNETNTEGLESVNIYIFIKDMDDTFTADEVSHKHKSWKYHQVIEE >CRE25500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1115966:1116204:-1 gene:WBGene00085206 transcript:CRE25500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25500 MFSLKTIALYFLVIMSVFVVYSSAATCADDEDGHCAVFAELCDNADFAAYTSKCAKTCGKC >CRE25384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:302873:304984:-1 gene:WBGene00085207 transcript:CRE25384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-nuo-4 description:CRE-NUO-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LSV2] MPGSMSKLGGLANGLLACSRTGTLSNQVRGVVHKSLLKLPSEHPEPWDYKHKGFNYIDGLKDDTQAHLHQNSKLIVIEGNIGSGKTTLAKQLADQLGFVHIPEFRMDDILIDRYGNDLRNYYSKFPARYRLPDMSMFYKNPRGELSAAMQDRIFNCRFDQYLNALAHILNTGQGVVLERTPHSDFVFANAMRDKNYIGHEYFKHYYFVRKNALPQLHFWPHLVVYLNTPTNKCLENIKRRGNTDEIAVVDERYLKTIEESYKDSLREYRNHSKILAYDWTKPGDTDAVVEDIERLDLDFFEWHSGDVMEEWNTIVDSIGWNGWRQYVTNKFDARMLAFDGIPKHEVGELYTNPRDTGHFLHVMRKEVLKSPFGYGYIAKNGDHQAGITAWHTGHHLPEPWYEYYFREAYYDDLTSHETSLDLDSDSYDPDYVHHHH >CRE25364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:105606:107149:-1 gene:WBGene00085208 transcript:CRE25364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25364 MKYTCEAMRRMSQTQISDSYIYHPYRQRKNSTTSTYSDCSSVSASPSSSTMTSSPPPQTFNNVYDRLKEYISEVPKDPVVCQILLDSLSHIIELERQLAELEQTAEKLKMCQPTPPPTYSSTKWHHEKVPEDLANVDVTEFKHLVHSAPLVYDTISY >CRE25365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:108011:108250:-1 gene:WBGene00085209 transcript:CRE25365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25365 MMSKVLNDALRQLNQISQEEWNRKTEWLEAVVTSRKVAAEEEQRAKRKYFEALKEFLDTSEPKPKRKLLKTITIFKNLP >CRE25310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1763010:1763393:1 gene:WBGene00085210 transcript:CRE25310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25310 MDFSIIKTQILNNRRTFRTPFKVTSMCFSPQKDLIALGSKTGDVMVKRTSWKMIWKTNVSMVPAVGTECKTDSPVTAMHFSPDGRFIAAATNKGILHLLDVETGKIRYSVK >CRE25501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1119215:1119474:-1 gene:WBGene00085211 transcript:CRE25501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dod-6 description:CRE-DOD-6 protein [Source:UniProtKB/TrEMBL;Acc:E3LRX6] MFSLKTIALYFLVIMSVFVVYTSATCADDEDGHCAVFAELCDNADFAAYTSKCAKTCGKC >CRE25287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1635141:1636391:1 gene:WBGene00085212 transcript:CRE25287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25287 MLQRDERKTISDAIHIILSALVVGFYVIMAVLNHASVLHGIFLVIAVTETIVSFLYVFKITWLMHIHLFCQSVLLIIPVFFLYADLYFYHSVTHSTAFLKSYKMDAFLNFVSEPYSLILSIGLVTVWLWRLFLTRMVIVQQAAKKIIAASKESSLKSKMIKGQHNFLSDILNTTSVIMFLVMAYSTSSSNAYTLVRFAMFEVVFAVFRCPLLIEVQFMYQSVAFSFPCFLAAVYDINKLAGCDTKAFLDSYDLVNVIKYFQEDHTFQIHFLLMFWFVRLVDTYYTVIGLSTFRRVVYKLSRGIVNERLTSLIKELETKD >CRE25112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:401362:404342:1 gene:WBGene00085213 transcript:CRE25112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sas-4 description:CRE-SAS-4 protein [Source:UniProtKB/TrEMBL;Acc:E3LSZ1] MFPSENADDEDNTRKPSRPFLKKGEGTARFRMTNSGKRSGAIGNPQSTSPAISLPRFTPMSLPPYSARTVDSGISYEDETRPPTTASLPMDQPSTSTHSPPHNSEYLTNGTPAVVEEHEDNAHRVEDHEEYVERVSAMPSFVPDDGTSSSVEPCSQISEDAVNLRLETERREAQAKMANSMKSVSITPSSYSSDISRPQFHSTPKGSVRSDITDDPIFLPPSLMPSKNHSFGTLSSASLETPQVRPLTSNRLNLQAQSEAQTGLSLLKNGSNFQAAPSRNLPRIPSTNQEQKENIPVDHVSIQSEHVYDQPLQVPGLQRQQRLIAQKQKHNMLIQLRDTIANLDFATEGVWSTKKQLEEDYKRLIMELENKRKELEEEFQRKVETIQEDNNSENERLKRERRDIERDRKILQKGTGERQKELTEMIATLREKLAASETQNSKLRQDIRARDDKLKKKDEEIEKLTKDYTRSKNTCQTLEKRIKQLRTERDRDDKEKELFAKVALNRKTSYPTLLNQSLANEAPTSSRLPSVSSLAINRKPTSGNKGRTVSFADEPHEQSLEVINEDIPPELVMVAGLILSSFKAYCINFQKPYRTTSTSTIYCDSLGETSKVTQTVANGILFQYPNGDMRWMNRQNSVNIYRFAMDKTVAINLVQFNISIIYSLQRQLEVLRPGGNATLISVKRREVRTELHCREDGTYYTEIFDRNGRFVTKDYCHPEVARECVLGSPYSFRDNGTRYVEYTTPEDFELVEPEFRLRWYQGKVMACKIIGRPHCNEKTLRVQVDLTTGNGIMEMVESQLKDGQSQKKTVFQWPSDL >CRE25319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1835402:1835988:1 gene:WBGene00085214 transcript:CRE25319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25319 MVFGWVWNNVVKPAADFVVGTVDEVVNGPPPPPPPPPPTTTPPTTLTTPLTTAPPTSPPTTTVRTTTQISDSKAAIFLVVVVVFLVASCVGVFFLIRWRKKKAAAAALAGSTPGGGSFDAENGSTTGGTSGTSGTSGTSGTSGTSGTTGTTTKTKTKGGKKKKGKKGKKTGASSTSGV >CRE25534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1371852:1374378:-1 gene:WBGene00085215 transcript:CRE25534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25534 MYDPEHRDAWCANESLVQFEPDETAKICAPWEKFCVTAVNTINKAFTSVSRGCGERCSELCESIGYGQDQVNCDDCCEEDLCNSNFSIQYYEVLMSRQYTSWTTPLPGEVEFNRKNNIKFPYSSGSNYLNYLFLSILALLSFTFL >CRE25261 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1482989:1484023:1 gene:WBGene00085216 transcript:CRE25261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25261 MCHAPKKNKSKSDIIPTITTPDRSLEDVLYGDLGDEYRVESDELLEERFKSLMEQLENLKKTNHHVAELLSEAETTNGRLTTQNSLLKDEIRRLEREEKREAELSNEKNMEYLKNVFVQFLKPESVPAERDQLVVVIQRVLHLSPKEVEILKAASAHMATAQSGSWSSYFSGWSAGS >CRE25179 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:916396:917917:1 gene:WBGene00085217 transcript:CRE25179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25179 MPVNIESDVFPFHKLDSVGLQEVLKHLTLMDVFELSYTTANVKNVLGETSLPIKAIKISYNSNQPSIEIASGTSTFLWTFGYPEGVEMSDAGEYKVGRFLYKCMKSENGIHTDHFDIEQGFIAVLKYICSVFNCSNAVISELIIDLGVIEDCRTVCSHYTGFKSIERLAIYQSVPNHVAILSFGMNFDWILTNLIPKDMFLGIGVTEHVLTPRLDTFDLLPTPKRFNKILDLDHFGMSESEWITSEDFLNLNPKTAILMNTSLTDEDINLFIKQWLNSTSDKLEWIEVQVQGRNLSKESILADLQVQRDDYHLQNSRCSSIYRKFETSQVIPFIFPLDSKKVSRANNAGAATISIYRNTFFFHVKNDGPITPQLEMFLPERRREAVGIFLNGNGIVANEVEHAGFQFQNQIGPRDEVFPLRQMVQMELERFELRRLRGQPNEV >CRE25294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1643926:1644792:1 gene:WBGene00085218 transcript:CRE25294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25294 MPRFYDASSYSEPPKVCCIPVKLVVLFMQIAALILPIASICFARNQESHLLFGQVLWAIYFGLSFVAFLVEHKGFMVLHCFFGIACWLGACVVIGLEYFKIRVFSDKPIFNGQFFSNSILLVGTGILLFVALFYIIMCSALVRSLGREPVLPDYNTAMQQPTCPVDTSEHTKLIYPIYPQL >CRE25098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:342320:343015:1 gene:WBGene00085219 transcript:CRE25098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25098 MLLVYKDSDQVEGSISFVFIPVSAAPKMYLFFVMMLILNFLNFILTFLLIQKNGTLMKTNSTLTARYQLEEVYLSTKFAISVVFVHVVFYGIYVSFTILSRYFGGLIIQDPINLAAIRGALMTVHLYTSICFQNSVQMISTYNLVIGIVAIYLYKRIQHKKTEDINGKIQMKSTGNAGAENYENAIFSIWNSVSITTF >CRE25212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1170752:1172159:1 gene:WBGene00085220 transcript:CRE25212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25212 MSVEVSEGRRIIKFTGFDDIDDDIEIDRLETGKSENGNDANQKNNPFKSSKLLQEIHDRERVHGMRRDEARQLLEDKLLFLGDTSLQNVHLRRFCLVVPSEETFQLEDGSKIPSEVITISMDTGKISSILRFFPSKIRNFEMENVENYQNHRMRRVYWNPSVAKDCNLMFRTWSHFLDRCLLSLLLVPLKKHTHLLNFLRKINELRTALNNRTTVSICLSRVICVEDYLGAIQNVMNTQIILDSTPSMDLVPLHYALDYCRYHLCSMDSIIKTMSSFSYSERHFYVTPVYYEYGAFDMSNWACHQEAHINMEPEKYERILHWLSKLSLEGSEDVE >CRE25144 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:643957:648860:1 gene:WBGene00085221 transcript:CRE25144 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25144 MMRPPPLVLDAKMETPGTPPNIPGRIQKATVRKRRESIATRAREFLSAVVKGNLLEVSRKMSPQALKIAHPNTGSVALILAVLNGHKTIVEAILAYSLNTINMHDNDGRTALHYAAALVGLNQDPSLYFILMEKGAKDNLADSEGFTAQDVRTNPGLIDMDRARYANVYPVPRETEWELRFASKSPEEFTKDIINGVLDMSHVPNIPEHLDLIKRLTLLQSQVLGIWDAVAAEDERSLKQLICEKRMGLVRDRDGRTPLHHAYIKRRNELIDYLLYICPESADIKDKHGKTPIEYSPAKPPQLLEPPTTVVDTLLVVPNRERRPSRTDLLHKERFHLPAEEKAAARKRSKSENNMSTSSSSSRSRETESPEGLEPDVLSRIQNIDIKNRDYTVLEQLQIEGKGDQIWRAAKRSSERLSRHVQEFRQLQNRLGAAIDAIEKDDRKKLDQTVDEDVMKTRDRRGMRLLHIAVLREKHEFVEHLAVRFSNQIDLTDTLGRTALHYAAAQQNAIYDSLVDLGARKDLPDQDGVTAEEYRQNPSRLVRPTSAVSSVMLRSMSTDDEFFDPVVFLDFKQFEGNFRLIYGSQKVQRFNVQLNLNLKYY >CRE25519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1249035:1249574:-1 gene:WBGene00085222 transcript:CRE25519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25519 MTSFRTSTYIILWFLANSTYYHMFFMGYPLSEVRSGVPAMGILINIPIMILMMANTRWSLSKARNLGFYYTVFMLFVFLFAGLLLAVRDPTRYICDNGPEICGKHNARSTLLSFIKGLEMFLVYGGLIFLEILRCQIISAQLQDIIQKELFGDDVENEDEGSWI >CRE25576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1674618:1678345:-1 gene:WBGene00085223 transcript:CRE25576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25576 MLPNGFPFINPNLMHAANIQQQILLQQRVAMSGAGGIGQLPLINMQTHNAVAAHAAAAAAAAAAAPTRPSPMLVPPGMGIDDSNSSPADSDWSEHKHTDGRIYYHNKITKQSSWVKPDALKTPQERSASAQQQQPQQGQWKEFVTQDGRPYYYNTVTKKTQWVKPDGEEITKGDQKPLATTTVDTAALAAAVQQKKAESDLEKAMKATLASMPNVPLPSEKNEEAQVNDEVELKKRQSERFRELLRDKYNDGKITSSCNWDQAVKWIQNDPRFRILSKVSEKKQLFNAWKVQRQKEEKEEKRRAIKDAKENLEKFLQEHPKMKESLKYQKANEMFAKEPLWIAVNEEDKKEIFKDCVGFVSRRDKERKEESRKRNLAAFSHILQSMDHITYKTTWAQAQRLLIENPQFAEETDLQLMDKEDALTVFEDHIKAAEKEHDEEKEQEEKRLRRQHRKVREDYLLLLEDLHKRGEITSMSLWSSLFPIISTDSRFEHMLFQPGSSPLDLFKFFVEELKDQYSEDRRLIKDILTEKQCQIIATTEYKEFADWVLSHPNGEKVDHGNMKLCYNSMIEKAENKAKDEEKESLRKKRRVESEFRNLLKAHNVDEETEWSVIKPKIEKEKAYLALEDDEEREAAFRHYKNGTTGTASGGEVLEKPKKKKKDKKKKSKRSDNNSESEGEIREKDKKKKKKHSKEDRTDDDEKNRKSKKSRKRSRSRSVSPRHTSEKRKRHESDTD >CRE25433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:594782:596717:-1 gene:WBGene00085224 transcript:CRE25433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25433 MEENHESLLVNFNDFEFETEETNNFKKATKQEKTDLLEFIGGFEKWSILSDDCKMYVVGFLDYWTRCKLSLCSKKDHEIVKETILYITKGVRPENDGVRMKPKHLAELFKSGFEQKHSDQATIDRITLKDNFIQIHTVSNFIRTWKFHQKLDECIIRSKSSLDPEFCCSNTFSIQNGKTEKEFLKIAKKVMLKCNNTVDTLEIGMEKNVEKGIVAKFGTIKHLIINHRSGEPVKFWLDKLNENDILESLSLYTSKWWYYCYRKYPFDDIAHPSVVKAKNLKMAFHVEITDEQFLSLEASRMAISTDTLSIDTLFEFIRRWFNDEMNDEFVQCLIWTKTNYRSMSSRLFSVFNAVEHNYRSREIANYTEFFKKFEKYGQLGNFYQINKGNDQNKSISVLISTNSFLVLRTGFPCIRDGQPGVKLVFPNDILDVFD >CRE25171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:861773:862093:1 gene:WBGene00085225 transcript:CRE25171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25171 MSFIQILLVFIVFVAISGVFSQDLASCARMDTMEKVARAACIGSCTIQNCATGYCEKRNGRPTCVCSRCAFGSNIPLDKLIKGAASAVKG >CRE25318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1833627:1834190:1 gene:WBGene00085226 transcript:CRE25318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25318 MVWGFFKAIVRPFYNVGVSVANGIGSLFGSSPPPPPTTTTEASTTTLTTPLTKAPSTLPPTTTLPTTTDMNDSTVIIILVILVLLVVVSGCGIFFFIRSRKNKQTLAANGTPMQVGSFDAESGSTSGTSGTSGTSGTSGTRGTTGTTGTKGKKKKKKGKKTSSGTSSPSTN >CRE25560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1575015:1576808:-1 gene:WBGene00085227 transcript:CRE25560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25560 MEESNKDEIIGTDVLPNEKDDPQPEPLELETKEEVSVKPPDRPPPKIVEVYDCNASNALMYQYFGQRDYVECKSLIGEIQSKYNEKNEAALHVRGLIARNEGELEEAMECFQKAYEVSGKNKRYYYEMGRCNFLLGRHQIAVEQLKKASEVMKNNPKVWYWLARALYHFPTEMMNGKQFNPVESAKTVLMNPDIGRDSALICFLGRLCEELQDTKGAIAAYQSALKLESDNTDVMIRLGLLLLRMGDEQEGFEKLGNCLAYDPSNSQALLTIGSIMQSHFDHDVALNKYRVAADVCDYNGCLWNNIGIALLARNKAAASHSALKKASFINPLDYKISYNLGVLHDIMNLHCSALHYIKLCTELKPQNAKAVGAMAVILSHMGDNKNARLAYKRSIELQPFPSIVLNYAIFEYRMKDYPAVSKAVKLYKELEAAGSKCSLNNKQTANLLDAVLKQYDQQPAEH >CRE25610 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1998020:1998647:-1 gene:WBGene00085228 transcript:CRE25610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25610 MCFQLKEYLTPGVVHKFRPYRNVFIGISTVAIFFAVLIIAENIIFLSIVSKQSIPLLLIPYILSWVLFFLGFCFARFAYLIHYGRKGCASVLPLSSPVGWEDSRPVTPVPVSSIRDHIIYFEIGKH >CRE25286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1633630:1634925:1 gene:WBGene00085229 transcript:CRE25286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25286 MARDSPDGQDLPFFRSTRYPFLHRNDHISVSAAALIPLFAWTLRLIHSHLVLIEINTAQRRADPESRDLGPIKQSPIHISLNMSAVILFALLAYFSDGKMGIVFEWMAIFETLMTICYIFNNSWIMLLHFVFQAMIFSIIFSTSCLPFAYRFDTSSVTSLLESYGLNNVIKFCKSHSFFATTVLSTVWFLRMMITHIFLIEVATDDRVHRRKTKFTKLIQQV >CRE25404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:418861:419590:-1 gene:WBGene00085230 transcript:CRE25404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25404 MNGLVIHRSITSGGIMTSLNGGYNIMLKRLNTVIHTRRHSFHKPSTECEFSNAPEPEVIEERLSRCEIRNEDAGEIEVEDMSEEMKEFFAKTHDHRRKLKEKREAAEKKKEDDAKKGNQNEYINVEQINVRGRVEKSADHRNANVEFIEKREKAKKDYGIAATKILSMESSIEMQFETEYASNPKLWPNIPLRF >CRE25387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:322476:323182:-1 gene:WBGene00085231 transcript:CRE25387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25387 MIVAPGACRRLKPPYPYGAISISFGETLFNIRTDNFMLGLTFLAPLAILFLNILLVFKVRRILFERKWVSKRKTDNIISSLIFRMNSSKKQRKSHISLTLTTLAIMIQHLASGAKTVIGIVRPELVPYALIIRHRLIDLGMISVPWIFYISHPMFHEKTARVSSFT >CRE25362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:86926:87330:-1 gene:WBGene00085232 transcript:CRE25362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25362 MDVPRLFKAATPDLVARKVFRIKTVRRDDDVSV >CRE25113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:405477:405733:1 gene:WBGene00085233 transcript:CRE25113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25113 MKSSSTTISTGVVVAVVIGIVVVAICCSIASAITLYFVMRKKKESSSTPAVAAAGAPQSPAPQIIVVQDR >CRE25353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:5017:8359:-1 gene:WBGene00085234 transcript:CRE25353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-wip-1 description:CRE-WIP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LSP4] MPPPPPPPPPPPPPAMAAAPPPSNGARNALLGDIQKGFKLKKAVTNDRSAPAVGKVVGSGASSVSSNGNSGNGNGAAAKPPSMSGGMGGLFANGLPSKPSENKIRRATTNIGPPPSAMTAPPIPPPVPAPAPAVDGKKPSIVSSSVTSQSSAPVPPPPPPISVLSSKPTPPPPPPAQQKPSSDREQFRTMRPMRPSNDAKPAMIRRSGSSEDIPQTTLSGSRMARPSAPPPARPAAPPPPVPTSVPSIAQMSSRFQSPAVSRIADDAPPPPPRIASKTSGAGNHSRAPLPPSSSSAPSIASAPPPPPPPPQPASPYPGMMSTATVSPNVPFHPLNRFHFLPLSQLPPPPKCGSGNSRS >CRE25289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1638036:1638723:1 gene:WBGene00085235 transcript:CRE25289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25289 MPKFYDPNQPEQYLKAPTLFGLFPIKKVVTVMQIIWLSLQIAFLSFVDLAPLLILANIVSIVFCAFVIAVFIVENKILMRAHYWSALVFIIVVIGVLLFGTTSILLNVSAGNFELKSLWYLIGGLIFFCALVIYASLCRKLIRALDQQPDNLPDLDTTQGLFHFNRDACDGSERVNLIYPEV >CRE25622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:2106047:2107997:-1 gene:WBGene00085236 transcript:CRE25622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25622 MRKKRELEKRPEANNGKTETNPWVSFTSFDLNVANMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA >CRE25227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1268790:1270356:1 gene:WBGene00085237 transcript:CRE25227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rgs-1 description:CRE-RGS-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LS18] MGYMGCWCSSIGRKYSGTVSPQRAVTCEPLTFEMVHSWSQSFDTLMSYKTGQKYFADFLKSEYSDENILFWQACEELKRERNSEKMEEKARIIYEDFISILSPKEVSLDSKVREIVNNNMGRPTPNTFEEAQVQIYQLMARDSYPRFLTSSMYKSMRQSFGIVESDVVDNEREREERAERAEQEELSRLNSTNATGSSKEVDISNIDRPME >CRE25472 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:913579:915519:-1 gene:WBGene00085238 transcript:CRE25472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25472 MIDGIPQTGSRDGSRQLNSILLSMIDRIEVVSGATSIYGSGATGGIINIITKKGGDEALSFETKLGLTSGNNFKGDALAYEASQNVSFNQDRIKGNLGVGYVKRGEIQDSRGDRIGPEVAQTDRQDTQTLDVNGQLTWNISDQQHLTVGAQYYNDEQDSDYGPDYGQGLSVIFRGATPSLKALKGLQLEDQPRTKRWSTHAQYNHNDVLGQSLTLEAYYRKEQARWFPTAVSLPHPQLPLPTRSIPVVMQSNTDINIWGSRLALQKDFKVADRALGLSYGLDFENEKNGQEGQRYDTNTFIASNGLNHQATKNYAMGPDVQIAKLGAFIQANYAVTDRINLQTGVRHERINSDVSDSTPYPESIVADFDPSYTAKSLKGGEVKHNATLFNLGGVYHLNDTQQIFANFSQGFSIPDVQRMLRDVPASFVVTSNNIDPIKVNNYELGWRLQEQKGLNLGLTAFYNDSDKSVRFNAAPNFNIEVIDTDERIYGLEANVKYAISDMWNMGGTIAYTRGQFKNILGDWQELDATRVSPLKGTLFSEWQFADNINLRVQTLAIGGTDQAAKDYVNPLNDRSIRKPAEIKGFAIVDVITSAKVGPGRLGFGVYNVWNTDYKTVFSQAVAPTYGAISSLAAQGRSYGLTYTLKY >CRE25399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:399341:399714:-1 gene:WBGene00085239 transcript:CRE25399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25399 MPKQEFSYQDMLGVVAVWCCFFMIIGFITVTCVNFYCIHHNDDVTVLEKWGRRKRLGIRLGVHNRDTIDEQIALQKFKVDKI >CRE25202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1087363:1087739:1 gene:WBGene00085240 transcript:CRE25202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25202 MDSREFHGDRRFHRPASVDLRQPRHTLTTRERSATPPATLGHSTHNNKPPKMKRLDKWIGNLLSERG >CRE25448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:729503:729694:-1 gene:WBGene00085241 transcript:CRE25448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25448 MREIVHVQAGQCGNQIGAKFWEVISDEHGIQPDGGKFSVATGSFAMAQNAILAQLLLSAQLLF >CRE25292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1641604:1642386:1 gene:WBGene00085242 transcript:CRE25292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25292 MKTVNFKGMVVRHLDDGRFEAVPPLFLKWPAKHISLYTIIIDIVISILFILVSNNLSALMLFGVILLSAFFALGYSRESFSIMYIHLVYCIVYIISCVGVVVLIIFDNSGPVHKEMKRIGYPIDPIWVYCFAGVTIISHALMIPPSVKVIKYAAIDDALKKMMEDEEFKKRLRKPCQARPEIPILV >CRE25452 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:744734:745135:-1 gene:WBGene00085243 transcript:CRE25452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25452 MQSAKLLKNKMGQAEKIIGASNQRIDRLFSKFLVPAPTFVLKDASNMLKEVNRRDKLIGAMEQEERKEVIDNNNNTLSSFGTTSCCSVVFNIIWDNAPSRCQQINCCNNEDFIFRIQAKQSISVHLLANAHNP >CRE25210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1158817:1159132:1 gene:WBGene00085244 transcript:CRE25210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25210 MYRRNLTYPMNQDNTDSFVFLALTGLALFDADLKNTTEETVVVSRKSRQVIQKEIMEYHESYLAYQLFRVYIQRNVTFSESNKNISRRYGIELCDESICG >CRE25228 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1271711:1272706:1 gene:WBGene00085245 transcript:CRE25228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25228 MLGAYPPKPSTTTNNKPSSKTRARVITAVSSESGPKTRSASTRLVYLVNTHGFCKKVFFRRTKEQAITITYLGRHSKSETDLPASSTTRSSRQTPSVAQKKGTQTAPVAAKNEESITSTSSQSLDSSLHSKSSMWASCIDAVNQTGAVAKRENKCVFVFFNVLTDLYSSDIRRLRVLHKRCNPGNFEKDVAKDEHATLFAARYLTHRNLWSLNACHAYLPTITKCIISENQTDGSTALDALEAITDTCLEQIVLFSSTTPGRIGVNVVEEERAEKAKDCIALFREVVRKRDFYYKQMDEESIYKMDNILASLKNV >CRE25092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:319640:320295:1 gene:WBGene00085246 transcript:CRE25092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25092 MIDASGKFQICVGFIIACASFRFRQMTYAKYLMEGSYFQHSEFLLNAFVGLCWVRADLIAWAIHLTIALMFVSVSNVYLSCKSLETLEYYGTFRSKYFFDEAHKWPIERIVQAETCLDIMLGMILIKALEVIEREYQEEEQNDQREEEEEEEEEHDENEDNENEEEEAE >CRE25232 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1286648:1287295:1 gene:WBGene00085247 transcript:CRE25232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25232 MLHFIAIEVLYLFHFIAFSLILLVGCEKKKPSKVTQNSSAKKNPVPPKTDQPPSSHMYVDVTCQPKSVMVTKQMPVNNSKVKSKSVNSNEKPMKESPTKNQSKNMTKELEKLRDEAVKEGDKKEEEDFGYENCADMTEEQLKKAKAVQAMSKVQKASKGQTTRHPLPPAHGKVPIVHKTAIKK >CRE25114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:406540:406818:1 gene:WBGene00085248 transcript:CRE25114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25114 MPALRNVTSNATSTTTYISTTHTVMDTVWSFLFSGLFLTFCCCPYITSTVIAIVTLIIVKKKKKSKGTDNSNSATS >CRE25459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:825203:827654:-1 gene:WBGene00085249 transcript:CRE25459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25459 MMERIRETGRRLHRVYRETIEWRNPLTGLYLMAVNSAFWIGVIYCDPKIQEALLATASAGVFAWDILLSSSNNYSIFTHILMWPFQSIFRTLSVGGSFYSIHLLRAEEVRLACYSAYATLACLLINPVWEHNEVNAKIASSAQRTASWFGNWIQYLIITPIVTIYEYTKYIVLFRWVPPLIAYVKHFIFNFREACRELVSGIKNWINVAIIERTKRIAGRFRRFLRYWFCAEWWPSLKEWLKVNVGVPLRYLFDQLCFVFVYIFCAHWFPPLWRFIIKQLKVLGALAYKHVWIPAKGFLLCQFERLRCWLRDTLHRIAIFVRDSFLWPICCLMVEIGKQFSIFIYHLLLEPVVNYLYGRYKIIETSALIYILGPVCETVIDHIPEKNPFCEESDVEFDGFLPEVNDETDLDENQVDDDEDIQSRLSSSPIPEEEFEFERGLQFSAVNGSESSDEEFDLDAPKKTVRRRRREPKKSELTADDDYELLE >CRE25321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1837442:1838026:1 gene:WBGene00085250 transcript:CRE25321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25321 MRPEHPVDFVTNTIVKPIVRPFVKLLFPPPPPPTTTVAPSTTALPPGTTLPPATTGPPPTTTEISPSYAYVVIAIIIVLLVCSSAGGVFFYLRNKKKKEAAAQIGPGGAGGGFDMESGTTSGSSGTSGTSGTTGTTGTKGKKKKKKGKKTSSGTS >CRE25478 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:988289:989005:-1 gene:WBGene00085251 transcript:CRE25478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25478 MIPVFCVYHIFTTLILAVTVLVGCKSKSNAKPKTKPAKPNSSTNKSKMAEPVPAPSNSSLKPPEKEAEPAKEKEKEKEKTKQSEVKEPEAPKKDNERESENKNKEKEKEKQEDTFDAVKPKQQDADRKKELGEKKKAENKGDYKTWNKLIENSEFNKSLSENEKKDKKKKKDEEKDEKKEDSDDKKDEKKDEEDKKKTEEEEKK >CRE25329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1918676:1922481:1 gene:WBGene00085252 transcript:CRE25329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25329 MNKSHLGTADNPHEDVVTAHDIGRLVDVVNVGKGFLRYVGPIHGKEGLFCGIELLEPNGKHDGSFQGVSYFIATPQHGIFAPLFRVTLDADERPKPPPIPPTNRLSRSALPALQLRNPLTQERKPEEDVMSTSVYVSSTKPIAIPTKNCRPPETDPMQMSMFSDMMDGSMFSNGSWSDIGDSMITSNCTFTVRKGPLISNDDDDLMSMPMVQSVFNIDREALRREEQLQSSIVLGESRIGVEHLPIIEDEELETPLVETRTLPIPNDVNANLSKTTTNNTTYIEPPVLETPRVEIHQNGNMDNEDTEATAITPPSQQSPSSGGSMVSQESDSGSRKEDTKSDKASGEKKKKKGKKGQ >CRE25320 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1836300:1837001:1 gene:WBGene00085253 transcript:CRE25320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25320 MAFLLSGLVTAVRVVSNGVKTGLNVVGGALFGSPPPPPKTTLPPTTTELTTTTTEKNSNSGNSNSNGPSGTSKTDPPTTTTEITSTNIDGTDIAIFLVVVLILVLISAVGAFFVIRSRKKKAASVAAMEAALQAPADVEAGTRSETNGSGSSGTSGTTTKGKGKKKKSKNKKKKEKKEKRTAESGSTSNG >CRE25229 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7:1274430:1275071:1 gene:WBGene00085254 transcript:CRE25229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25229 MSAVGPSNPIISPFEIRKLLKLYRRTKRATVFPLLGEAILLGLSIAFYYQMIEKNRDEVGIRIIGVISTGTKVISFIGHYQMMRIVQRNMRNAKHAYRLGWTLLIMKIVCVILEYSILAAFLILMKVSDLVIIGWTIGLTLYHTVLSIVLHVVIEYAFEPLKAYIVELDSKFLPLQPVNSHRAPPGQPEAATVSGEDA >CRE18055 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:52288:52880:-1 gene:WBGene00085255 transcript:CRE18055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18055 MTETESFNYVDISIENHTYIEWWTSVLITVLLICILVSCGSWCIYAAGKISEESTVYHFEREFKPDNDDSKSKYKRKGAGACRIEIEYERDRRDFQAYLVLAAKSFIVWGD >CRE18038 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:289702:290885:1 gene:WBGene00085256 transcript:CRE18038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-zip-9 MSVDEVEVTVPSPSTSSDISSVSRKSSSSTSSSMRDVFVQPGQTLVIRGDDGQEYKVIVERVEESAPVSIKRKSSDDSLIAPKRARAAPVSLVNLSDEEIAERKKQQNRAAALRYRQKLRESRVLSVSAKETLSQRNAYLRDEAERLTKECDVLRRLIFDKLGKNV >CRE18022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:151186:154392:1 gene:WBGene00085257 transcript:CRE18022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18022 MTTSIDLYYETVWKSKCSSNEKSFLASWQGLSLFSHSMLVVFLPFYAFTTYCILTKTPKTMDSVKFVLLNAHCWSCYCDILACSLITPYFFFPTMCGFPVGLLRVLGVPTSAQTFIGIVSLLFMGISVVALFENRSSCIPSNRFRITKKRSRFLYYLFNCTVIIAYIIPPFCYIPEQESAKLFILQTIPCPTEEFFYAEVFVWTIDKFWNNYIWMATGSIVLIILSQGLFYAICCIYYLYFSTAVIISTKTQKYQRSFFLGTVAQVVVPFIFLVIPVATGISSIYFDYYNQVLNNYCVLFLSLHGFAATIIITLVHHPYRTFLIKVIAFYRSSDQTSLTFHLLISILRLPASDLKCLSLRFHLPKNETNAMTTSTDVYYETEWKSKCSSNEKSFLASWQGLSLFSHSMLVVFLPFYAFTTYCILKKTPRTMDSVKFVLLNAHCWCCYCDILICSLITPYFFFPTISGFPVGLLRVLGVPTSVQVFIGIVSCLFMGTSLVALFENRSSCIPSNRFRITKKRSRFLYYLFNCTVIIGYLIPPFCNIPEQESAKLFLLQVCVLVDKMLRLIVRSRQFLAPLKSSSTPKSLYGPLTNFGITIYGWLQGSMVLIILLQVLFYSICCLYYLYISTAAMISLKTRKYQRSFFLGTIAQAVVPLIFLVIPVASGI >CRE18051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:15219:16673:-1 gene:WBGene00085258 transcript:CRE18051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18051 MDFPTLRARKCLEILHIHAHWNARYCRLHNTRGQPINCDCPKNSYHLPDPQEHPPLFIQFLIDHNGFVLGELSDIELDLVMSEMETRIREAKESVEEWEPMKGDDKLDEYVETLEKVKKEKHQVEKEVVSRRHMKRPHNIEFEASYEPTRVGPVCKYGKTPGKRTAGS >CRE18001 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:36754:39580:1 gene:WBGene00085259 transcript:CRE18001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18001 MYVHETWPKYKEYLEIVFGVPYEKFSRQGLDFDMDNDTDLFQSRDIVITRACTIGYEPCVKMAREKFGEVKKNCSGEHQFLNGMGCNKLPYYLRPIVYASALRHGDEKDFDFLFKKWNMEHYLLERDSIFTGLCNTNSRTRSDLAWKTLLNNRARENILARAGTCSKRLINDTLLLDMFYEQPEYLKELTETAEGPVYHILTLVSRNLNTRKDIERFDEILKPHPQFAKYMAGARHHAIDRIGWRHRVAPYFGGNASEAVVEMRRLSLSAL >CRE18057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:56018:56611:-1 gene:WBGene00085260 transcript:CRE18057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18057 MSETESFNYVDISIENHTYIEWWTSVLITVLLICILVSCGSWCIYAAGKISEESTVYHFEREFKPDNDDSKSKYKRKGAGACRIEIEYERDRRDFQAYLVLAAKSFIVWGD >CRE18016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:125418:130731:1 gene:WBGene00085261 transcript:CRE18016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18016 MTTSTDVYYETVWKSKCSSNEKSFLASWQGLSLFSHSMLVLFLPFYAFTTYCILKKTPRSMDSVKFVLLNAHCWSCYCDILVCSLITPYFFFPTVSGFPVGLLRVLGVPTSAQVFIGIVSCLFMGTSLVPLFENRSSSIPSNRFRITKKRTRCIYYLFNCTVIFGYLIPPFCNIPEQESAKLFLLQTIPCPTEEFFYTEVFVWTIDKFWYNYTWMTTASMILIISSQILFYSICCIYYLYISTAVMISPKTRKYQRFFFLATIAQVVVPLIFLIIPVISVLLFIYFDYYNQALNNSCVLLESFHGFASTIIITLVHHPYRTFLIKVVTSNSVSQLSNQQIPVMGTSLVALFENRSSCIPNNRFRIKKKRTRFLYYLFNCTVIIGYLIPTFCNIPEQESAKLFLLQKVPCPTEEFFYTDVFVWAIDQFWYDYLWIATGSIILILFSQVIFYTICCIYYLYFSTAVIISPKTRKYQRSFFLGTVAQAVVPFIFFVIPVASVLLFIYFDYYNQALNNSCVLIVSLHGFASTITIALVHHPYRTFLIKVVTFYRSSEFFPKSQINTMTTSTDVYYETVWKSKCSSNEKSLLASWQGLSLFSHSMLVVFLPFYAFTTYCILKKTPKTMDSVKFVLLNAHFWSCYCDILICSLITPYFFFPTVSGFPVGFLRVLGVPTSAQTFIGCVSLLFMATSLVALFENRSNCILSNRFRITKQSTRFLYYLFNCTLIIGYLIPPFCNIPEQESAKLFLLQTIPCPTEEFFYTDVFVWTIDKFWSNYIWVASGSIVLIILFQMIFYAICCVYYLYFSTAVIISPKTRKYQRSFFLGTVAQVVVPFIFYVIPLATVISFFHFDYYNQTLNNSIALLLSFHGFASSSIITLVHHPYRTFLIKVVTFNRGPGKSTFLGS >CRE18044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:311963:313410:1 gene:WBGene00085262 transcript:CRE18044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18044 MPSIWSKNWSKIKEHWQWFLWGKRPYNELNDVKKQEARRDLYFRLFLIANAPAVANFYATFLLSISVENRVFKDFPS >CRE18004 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:71371:72787:1 gene:WBGene00085263 transcript:CRE18004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-act-2 description:CRE-ACT-2 protein [Source:UniProtKB/TrEMBL;Acc:E3MTR9] MCDDDVAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITVGNERFRCPEALFQPSFLGMESAGIHETSYNSIMKCDIDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF >CRE18019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:135649:140436:1 gene:WBGene00085264 transcript:CRE18019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18019 MEKKPTVMKDEERSSARCGSQESGKRAQVKRIEKTSTVVREKEKSSSTRCGSQESGKRSCYCDILCCSLITPYFFFPTVSGFPVGLLRVLGVPTSVQVYIGCVSCMFTGTSIVALFENRSSCIPSNRFRITKQRTRFLYFLFICAVIIGYLIPSFCYIPEQESSKLFLLQTIPCPTEEFFYADVFVWTIDKFWYNYLWISSGSIVLILFSQLIFYAICCIYYLYISTAAIISPKTRKYQRFFFLGTTAQWPVGSHFFYFDYYNQALNNFCTLLLSFHGFASTIIITLVYHPYRTFLIKMVTSYRNSGRSKFLSS >CRE18059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:59333:60606:-1 gene:WBGene00085265 transcript:CRE18059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18059 MCDDEVAALVVDNGSGMCKAGFSGDDAPRAVIPSMVGRPDHQGVMVNMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPFNPEANREKMTQIMFETFNTPAMYVAVQACLALYASGRTTGVVLDSGDGVTHTVPIYEGYVLPPAILRLDLAGRDLTDYLMKMFGDSFTTTSQRETVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITVGNERFRCPEALFQPSFLGMKSAGIHETVYNSIMESDIDTRKDLYANIVLSGGTTMYPGIADRMQKEMTALAPSTMKIGINAPPERKYTVWIGGSILASLSTFQQMCISKQEYDESGPSIVHRMCF >CRE18061 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:84026:85351:-1 gene:WBGene00085266 transcript:CRE18061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18061 MGITVAIENLKNEPTPGTSRVHGIHDYLYQFFGSSSIDYEIKTENELPPSLKNINKSCIKVPENTTAEELEACFTASPNQDYIEIDGHFNGILSTNSVIYGAKHLRVIFRGDHGDEILLRFKGNRLQFHLTKFHDSTICQFLKEWKSNQEFQNLKSLVINSYDYKNYDAAELLKDIDVRQLDKAEDILHINWRMSISFPSAMMVGCFPLKSFPSSFESRDYLIRDDDGEKASVFIEDDDVRFALWKGNSSVMKTIDR >CRE18024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:157328:161175:1 gene:WBGene00085267 transcript:CRE18024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18024 MISPKTQKYQRSFFLGTIVQAVVPLIFLVIPAVTAISSIYFDCYNQALNHSCTLLLSFHGFASTIIITLVHHPYRRFLIKVVTFYRNSEKYVSSSVPDVVNNVQDGMRRLSDRVNPTFQLKNYMTTSTDLYYETVWKSKCSSNEKSFLASWQGFSLFSHSMLVVFLPFYVFTPYCILKKTPRTMDSVKFVLLNAHCWYILDDCNHLFPGIRKRATWGVTLFHQYVQYKNHCRLKHPVATAPVNPADISLAENSSEPEKKKYRLCTGEVEPLTPVGRISSSVTVQNQRIRCHHPDCTKEEDVEYHLVSRAALCEHFRTEHGTDHMLEQVSFKNEDDYKKWLARRQEDTGTSSITQSTREEVGRITCYKKCKHEGSYERKGNMKFGHPSKKWTGEITCTSFLKLIRTKHSIQVEACFSHFGHDISVADLSLTSKQKERITEMVAQGLPNNLIVKQAKNEFTENSRMHF >CRE18062 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:118030:119635:-1 gene:WBGene00085268 transcript:CRE18062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18062 MTTSTDLYYETVWKSKCSSNEKSFLASWQGLSLFSHSMLVLFLPFYAFTIYCILKKTPRAMDSVKFVLLNAHCWSCYCDILICSLITPYFFFPTISGFPVGLLRVIGVPTSVQVFIGFVSCLFMGTSLVALFENRSSCIPSNRFRITKRRSRFLYYLFNCTVIIAYLIPPFCNVPEQESAKLFLLKTIPCPTEEFFYTEVFVWTIDKFWYNYLWITTGSIILILFSQIIFYSVCCIYYLYISTAVIISPKTRKYQRSFFLGTVAQVVVPFIFFVMPLASGISFIYFDYYNPALNNSCVLFFSLHGFASTITIALVHNPYRTFLIKVVTFDRSSGRSTFLSSLC >CRE18043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:310912:311826:1 gene:WBGene00085269 transcript:CRE18043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18043 MTLVKKILNILRDHCTPILLRPKKFRDMNKEQRNEAIRDATFRIISFLLLPFISAMLFSFALAILSGMLINEIITKALGSYESQKSLRRGCVVLSGSTVLGASLLFCLNYLIPAIYRFFGSFIGLA >CRE18015 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:122658:124711:1 gene:WBGene00085270 transcript:CRE18015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18015 MTTSTDLYYETVWKSKCSSNEKSFLASWQGLSLFSHSMLVLFLPFYAFTTYCILKKTPKTMDSVKFVLLNAHFWSCYCDVLICSLITPYFFFPTISGFPVGLIRVLGIPTSAQVFIGFVSLLFMGTSLVALFENRNSCLPTNRFRITKQRTRFLYYLFNCAVIIGYLIPPFCNIPEQESAKLFLLQTIPCPTEEFFYTEDFVWTIDKFWYNYLWITTGSIILILFSQIIFYSVCCIYYLYISSAVIISPKTRKYQRSFFLGTVAQAVVPLIFLFIPVATVFSSIYFNYYNQELNNSIVLFLSLHGFASTVIITLVHHPYRTFLIKLVTVYRSSGKSTFLGSSWYMKN >CRE18045 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:319401:319754:1 gene:WBGene00085271 transcript:CRE18045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18045 MSLLGKDAVGIQNMLHNSIHKCSADDKKEVFKNVYVSGGISKVPGLNDRLKSLLHSMNPTIPEIEVKTLDIGQIMMEGVKKIIEKGGIDWITKDPLASQKQH >CRE18068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:190440:192910:-1 gene:WBGene00085272 transcript:CRE18068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18068 MSIKIEKPVKEETWNIKPLPGYVCKWKEVKIDGVVTEESRKCFINVCHCEELPPPIDDLEQEEIAAQLDTGKPTFRIPMSIGEMDCVKDNSNENSIKIDVLVNSTFYKKRLASHDDAFFRHLLALIFCDLIKDKHGLDLDPLKPIILRNRVVVGELEVQKVNKKPERQIVEEMYQEDLKRMEKEAERQEKQMEMMEIKKEMFGVKNVEGVRIRLFEGKRLEISLRCELEGKKIEDPMRLGLLLNANRCLVTLDKSRSLFDIGLPFDIDANSAKSKFISEKCSLIISAPIVL >CRE18089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:379323:380275:-1 gene:WBGene00085273 transcript:CRE18089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18089 MWTLIVTCKTCAKVYDSTCQGTGIPSPSNWCATASDVGVSYTLGPIDPEYWVYNTEDDTCWTILSCPSGTLARYLLTGGITSEGNYGGMETVSFCKESGAGAGEWAVWLGEHIPLDSMRCQNA >CRE18014 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:116984:117333:1 gene:WBGene00085274 transcript:CRE18014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18014 MSESPSTAIVMPPPYMDPANSQMKKEFRRVRQESIRQMKVCEHCGASPNAQLRNLPAEQVFVWPAARPDNSIRQDSRQFIGYVIIIALVIFALFAACKYLP >CRE18094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:421482:422505:-1 gene:WBGene00085275 transcript:CRE18094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18094 MLRSKKKDKENGKSEKKEKEEKKKTKGDDGAGSSKTPTIPMIRTEDLGATTSNSHAPPNYKNWGGAHLHTSDISRLSKSLVLFLLKSRFIAKKFDLSFLELTTRTASNALH >CRE18091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:397703:398171:-1 gene:WBGene00085276 transcript:CRE18091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18091 MIFEVPIDHFPASSQQVSKTREHKPLRLSTVSLHSKEVNAAVAPLSPSVRRSQFNFSAEVQKAALPKVRASIPPLKRALTSPALPVQHLNLNLARKASNPSSQTSRNNSVSDLLRSDLFKLPSRLLSTAVSLHQLA >CRE18026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:171503:171872:1 gene:WBGene00085277 transcript:CRE18026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18026 MEDDDDKPDRQVESIRLELNEEVMDKDELKNVWVAQRMLGKGAFGTVYHVYNKISKQEAALKQHRAVVPVVNKMTHNPHNKKKQPL >CRE18017 pep:known supercontig:C_remanei-15.0.1:Crem_Contig70:132199:132423:1 gene:WBGene00085278 transcript:CRE18017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18017 MATSVDLYYETVWKSKCSSNEKSVLASWQGLSLFSHSMLVVFLPFYAFTKYCILKKTPRTMDSVKFVLLNAHCW >CRE17661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig704:11992:12797:-1 gene:WBGene00085279 transcript:CRE17661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17661 MVRKKQCAALVKLYGREITRCLEPIYQEPEKGEYFEELLSLGRIEELIGEFENAVDFSKKLFQELSESPLTRDDEERLYKNVMTYLQACLPGSNVHKLLKCSDRTMRRSQFSTILNNLDGFLRYSDPETILRYLDCYPHYTDVVIALRREIEQNRNDETEDEDFIKKLILRTVPMLGESSAYDIMFSIHENTSNNLNEEAKTFIENVLQLKRGGFKAFYGE >CRE17658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig704:5181:5472:1 gene:WBGene00085280 transcript:CRE17658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17658 MFQKSLIVLAISLFCLASSQIVYTPEVVSSPYYYPSAAAYPYSYTYSSPAVAYPNAFYGWGSNKGQQSPSAAPTQKLTNNQ >CRE03609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7050:120:2289:1 gene:WBGene00085281 transcript:CRE03609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03609 MQNPLKVFSILKTFTLILVICALLFSFSFIFRFSDSNSDEIPFTATTEKLGIFQKYNNQIYAAVPSNGDYLIPEADVQSFYLPNDDYQYRQLGADKLHVYCGNIILKGVQPQQVKTIGDGYFTAGKDTWYCSPSTERNKDLSALREVWQIMQQNFALGSKPQSYLYPYFKLESGNLPYRVNSENDTASNGTFNYFQGKLLSGANPDQLKLVLGERNYAYRVDGKNVYYNNTLLDLKDNGKLYSIEIDGLNNQYYLLNPVDGMVYVNEFAFDPQYAPYHLLSEYGDHINHALFYNDTGIYYFDLNKKKMRRAGDSPFLGKAFKEIAPLIFSDGSQLLYLQASEYRSNKGSASSKSTHILKLAEPLHSTWQKLGDVNYNDGTVWKNGNAFYYFDQLGNSQLVKATIYHIRDPQTIQNLLRTQPRTDDIRQWIDEQKMVEAKHVELLEVETTNRSDKYWGLFIPIMFVAFLSMLMWIFKRFNMKIWLSLLTLGLFTFSSAHADIQTLQQNLKTKYPEIQVKSVQNSPIKDVYEVYMGGRIVYTNEEAKYFFVGNLIDLKEQKNLTEERMQALKSIDVKSLPLKQAIKHIKGK >CRE15801 pep:known supercontig:C_remanei-15.0.1:Crem_Contig706:2324:7059:1 gene:WBGene00085282 transcript:CRE15801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15801 MHIPSLFVDPFSPLLQHPTEQRLKNVAHAPKRPCNLTTVEKQLAVRNALRYIPKEHHALLAKEFAEELNTYGHIYGYRFMPNFDLYAPPVSEVGANCEKASAIILMILNNLDKRVAQFPQELVTYGGNGQVFSNWIQFRLVLRYLFTMTDHQTLVLYSGHPLGLFPSTPDSPRMTVTNGMMIPSYSTKELYDKYFALGVTQYGQMTAGSFCYIGPQGIVHGTTITVLNAGRRMGLSSLAGKVFVTAGLGGMSGAQPKAAKIAGCIGVIAEISKTALLKRHQQGWLDVYSKDLEEIVNWIKEFREKKAAISIGYLGNVVDLWERLAEEPECLVELGSDQTSLHNPFLGGFYPAGLTFEESNELMTSDPAKFKKLVQDSLIRQIAAIDKIAAKGMYFWDYGNAFLLECHRAGANLLREDAQDDKSFRYPSYMQDIMGDIFSMGFGPFRWVCTSGKPEDLRLTDLTACKIIDELKETNVPEYVKQQYLDNKKWIEEAEKNELVVGSQARILYSDRAGRVALAAAFNELVRTGKVSAPIVISRDHHDVSGTDSPFRETSNVYDGSAFTADMAVQNCIGDSFRGATWVALHNGGGVGWGDVINGGFGLVLDGSPDASRRAEGMLNWDVPNGVARRSWSGNAKAQEAIRRAEQQVDGMKVTIPVEADEELLKTLKF >CRE15802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig706:40457:40791:1 gene:WBGene00085283 transcript:CRE15802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15802 MNHYCSLLFGIFFLVFSQVKCTGYLEVSFKSDFNLKSVLNVSSLNTNSSNSRLVPFLVSPNKTEKLSRIPIDFNETVIMTVFVINQDRLGMF >CRE15168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig707:7800:9362:1 gene:WBGene00085284 transcript:CRE15168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15168 MTVSFPLLCLPAVALREVLLNFGTMDLLEFSFTSTRVRDCVFRSARLRVIEHNVEFLKEYPHIVTVFKNDDGLNEHKLEWEFVGGQMAGKSHQEERRIGCHWFEKCHKNGNIIQCHFHNPEFGASVVFNHISKIFQGPVNLFLDLSHIRNLYSILLNQNISECQKLEVYEGYNNDQNDEDLYGILDMVNIGKELKAYVTNQDEIDFDQICHLESLTLENANWMTLPDLISLNCRYGSFINHKFGHLMLIHLLKIGTLYDRTLAKCMGWMSTLNWIEKAKKTRLNQLRERMAEEFKKFENVFESHRGNEKYVTVGKFVKSWIESKEIAHLSFEEWKYALDYITEDTCHLYNRIVKNVNDIQKEIKLWESVDNTNNCIL >CRE15169 pep:known supercontig:C_remanei-15.0.1:Crem_Contig707:9703:10280:1 gene:WBGene00085285 transcript:CRE15169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15169 MHLKIFLVLLLAVYTSQKHLSGTNKTAEFLAKTQLELLKKATNETNLARMSKLASIDIGTLDNVKKLFRLVTILEFSVLDAAAVGKKDIYAMIESYSAEHKAHSIIHLERTNKSPSGWKIIKGQGILVSNDVCDFTCNINSLNTSAVDKFVLNPVLALIGYDQ >CRE15167 pep:known supercontig:C_remanei-15.0.1:Crem_Contig707:6990:7199:1 gene:WBGene00085286 transcript:CRE15167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15167 MSCGWSIAALRLLLAYFSATTASTQKHQTVARLPFSYLLCSPTIRIPFACQRAFSFRLPTTHLQRFTCV >CRE15171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig707:12542:12773:1 gene:WBGene00085287 transcript:CRE15171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15171 MSKEKGVTKSPASKPGTPTTPTQEAEKVKTPEPEAEPPMAPREADDNETINEAPSQWSAIK >CRE10802 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7097:45:789:-1 gene:WBGene00085288 transcript:CRE10802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10802 MESDKNSVSRILDAIKRRLSQSEPEESRRAKSKFQALKLHKEQTVQDFCLIMDEVVRIGYKGVREYQISSMKTTKLLDEMREHTVFEVLLQILGSQLRNCPVEEQYELCRVEATMFDEEWRSGKRKAAQNEMRTNRGQSDQYSNNVPRTGFTQNNASVSQQSSRVNRYTPNRQSNSYQTNSGTDSNSNQCTSTTSQQQNFSTSNDLNNQCAPKGYMYNEKSPECWKNLVHSSDSANNASSSALGFHKC >CRE22545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:109906:110435:-1 gene:WBGene00085289 transcript:CRE22545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22545 MDGRLVLIENFDTPVGNTADLLDRYGEEPYNLFEIAKKARAALKKAEKELEKARKTKKHIEFHQWNIEDYATKIGEIREKIREFEEKIRVEKVCLAAFQRIARDLGQDIEDTWFRRFIIWHNRIGAYFDWPPVFELVRMNSVWFFDVLLAILVFYVYLTF >CRE22528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:408207:408371:1 gene:WBGene00085290 transcript:CRE22528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22528 MSLGQSAVYLSEPPIPLWLRNGDFLVMHGEQRLVYQAIPCIRPKIEKQDIETNR >CRE22535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:61118:62074:-1 gene:WBGene00085291 transcript:CRE22535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22535 MSESTSFPFLKLPFLAIQNIVHNLSCTEITELSLCSRRSKRLMQSIRHPGLTRIEITIDRLRMYIALLKGLEICSIWSVTKELFSTTDYREDVIDKVSIRILRLGNSNFQIDAPTQPENAIKVLVDHMKDVFKLPLTVMFEPFGLENYRRFLPIFPVCYRLYVYSSDKISEEELQFIKDNVVVEWQAEFYKSKK >CRE22539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:70269:70899:-1 gene:WBGene00085292 transcript:CRE22539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22539 MSCTEITELSLCSRRSKSIVRSVRCPEPTYIEIYLHRKNMSIYVMNRNRAQCSFWTVAMIQRGKKDPSKYRVYTIGGVDVRIAKIQEWGFRIEAVENPEKPLKLVVDHLKDVFKLPLEVVLMPDKINDFLRFIPIFPVCKTLLLNGGEAITKEELEYIKDNVVVEYLFDCSIPIN >CRE22544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:107423:109275:-1 gene:WBGene00085293 transcript:CRE22544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22544 MDEEIINLRNVVEYLERILGDLELFDDAQRFVNEPESLYEIAKKTRKALKETEQNLEIAIKSKKIIENRRKNSQNFKTKLAEARAIIACARKLLTVTKQLMKNIQDIADVLEIDIEDTWRRSVLRQFHQTIDWFNAPPDFEPVPENSIWFFSILLAILVFYVKAILMDEELMLFENLVENIERIIGNLDVFVGVQRFANEPESLYEMAKITRKTLKNTEQTLEIAIKREKIVENRYKNKENFEATLAEARARTACAQKLVTLTNEFMGTLQDIADVLEIDIEDTWRRSVLRQFHQTIDWFNAPPDFEPVPENSIWFFNILLATLVFYMYFTI >CRE22541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:73319:73683:-1 gene:WBGene00085294 transcript:CRE22541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22541 MSDSPSFPFLKLPFLIIQNIVYHMSCTEITELSLCSRRSKRVVQNVRCPEPSYIQIYLHRKNMSIFIMNRDRVQCSFWTVARRRENDLFKYRVYTIGGVNVRIA >CRE22554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:195359:199266:-1 gene:WBGene00085295 transcript:CRE22554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22554 MSTNPGLRIFKLPSIALNAVLNMMNPIELIALSFCSKSCYWKCKSFRNTSKMKKQVETFNLLFSWDNVIKLRFRTPSDMFEVEFEIEELIREKAKLKLKDAFVYDEAYCNAFEASYATTRFTSMDITKGNKLLKLLEKSLKSNGDTINNSYFSDPKTIPLYSLTLYNSNLEYLSSFQSWCAYLCNLFNVQPTTLSLNYWYLKADMIETIMDTYCDAENTPINRFELHSFSWYLGTIVDNYEQFLIPILERQNADSELRMLFYPLKSFNFDFNRLRIVSKCIEIKHSNWITFKQLLEFQSETVYLCRSNFLNSHFKNLVEKWRAGWTPKWKRLMVEFKENLDADSLVSGQSMTIGSEENHRRSYIEKNSLIKIYRFCKNIKTSKGDVVKLGYHIARLDKAIATITVENNRIGWFYIQSTEPDAEFTFCVTEHTFDLN >CRE22531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:20294:22085:-1 gene:WBGene00085296 transcript:CRE22531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22531 MKLVLASVLSVVLIVSNANSVDGDVQCGNNKFCPVGFKPFRSPQPSNEYQLLRRSALRVASITRGKLVGILSSRLDEWMINLNDFCYDDTIGFILDENVFDYVVSCVWTGASQFGQCQAVPEKYSKKPYYYVDPEEWYHKLTTVRNALGCNGLIRKDSDSRKSNATTKPSAKNYSNPYHRRSHFNPHLNRFDLREKSELFICNERCIQGGISYIAMVIVILTLAVSFMKNCLEMHD >CRE22543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:78838:79525:-1 gene:WBGene00085297 transcript:CRE22543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22543 MSDSNSFPFLKLPFLIIQNVVHHMSCTEITELSLCSRRSKRIVQSVRCPEPAYIKIYLHRKNMSIYIMNKDRAQCSFWTVAMRRENDPFKYRVYTIGGVDVRIAKIHECGFQIEAVENPEKPMKLVVDHLKDVFKLPLEVVLMPDKIKDFLRFIPIFPVCKTLLLNGAEAITKEELQYIKDNVVVEYLFDCSIPIN >CRE22540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:71685:73185:-1 gene:WBGene00085298 transcript:CRE22540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22540 MYSNYEVVLMPDKIKDFLRFIPIFPVCKTLLLNGGEAITKEELEYIKDNVVVEKSIRHPEPTDIEITIYRRLMYVSLVKRSFVCSLWAIQAKDGKCQHLYRDDVIKGVAVRVLKLSQTRFRIDAPQQPENAMKALVDHLKDVFKLPLTVMFEPFGLENYRRFLPIFPVCYRLYVYSSDKISQEELQFIKDNVVVEWVAEYHTSDT >CRE22537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:64524:65220:-1 gene:WBGene00085299 transcript:CRE22537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22537 MPDSNSFPFLKLPFLAIQNIVHNFSCTEITELSLCSRRSKRVVQSVRCPEPTYIEIYLHRKNMSIFIMNRDRAQCSFWTVARRRENDLFKYRVYTIGGVDVRIAKIQEWGFQIEAVENPEKPLKLVVDHLKDVFKLPLEVVLMPDKINDFLRFIPIFPVCKTLFLNGAEAITKEELKYIKNNVVVEKVFVCSIPIN >CRE22538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:69045:69813:-1 gene:WBGene00085300 transcript:CRE22538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22538 MSYSPSFSFLKLPFLAIQNIVHNLSCTEITELSLCSRRSKRLMQSIRHPEPTHIEITIYRRLMYVSLVKRSFVCSLWAIEAKNGRCQHLYRDDVIEGVAVRVLKLGQTRFRIDAPQQPENAMKALVDHMKDVFKFPLTVLFEPFGLENYRRFLPIFPVCYRLYVYSSDKISEEELQFIKDNVVVEWQAEFYKSQK >CRE22487 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:58075:58582:1 gene:WBGene00085301 transcript:CRE22487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22487 MPPLQQLPVVVNYHPIIERFVLRHTSTVACSIWKISDEETIFQRGNFFALTAQEKQDITQYFGRKKSGRNKHATVSQERFDFIVHRGVIFGESTIPFYFMACFKSTRVYVALFGDRSYLSLDKPVFRLKEEMTDEEN >CRE22492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:79711:80386:1 gene:WBGene00085302 transcript:CRE22492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22492 MLYFPFLKLPFLAVQNIVHNLSCTEIIELSLCSRRSKRLMQSIRHPEPTHIEIIIDQYRMYVALRNGIKKCSFWSIETDEERPLKYNRVDTIENDRVRVLKLTEPNFMIDGTPEPETVMKALVDHLKDVFKLPLEVNFKPYKMENFFRFLPVFPICKRFYFHATQGVSEEELKYVKDNVVVEQWAYYYTADN >CRE22524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:384718:386472:1 gene:WBGene00085303 transcript:CRE22524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22524 MSLMTNNYEGIVSMLQEMSSPHVWDNHQKKNLLNCLQSTTMENLERKLIMVSSLWVLTFNIKCIRNIMQKRTLFSASTPPPSPITVRLFEDGEERYVMEIELYHALNRVSTGSKRLETQNNGFVHNTIGFEEVKTKFGDGIQKIEVWNGGKHKRIPNCFQFIRTPILRSKHRAVPIRSPFPGQFVIPAVDFLLQFLGDIISGQKLFQKYQCSDWENFAPIFKKKEHFFNSDQKHQYFLRADDTLDIKQSISQLEKYEVLPVKEVRNAKSDGFSAQNLKDELKDLGLTETFSEIEEYAEVVYNHVDRVKKEEFLRTCDLYDAIEQCQLICILNRVPNLKKFLHNQKGCGRVLGYKCEHCEKEKKTSDALEISQQPGNIQKTSDIQNSKKNLKIESSNSSSSNQYSKPAFPAPETCDDCSESSKSLEKAENELKMSQNQQRQMEKKVIDMEKELNYLKKEHEEIVQSEAKKTEELNSEKEKNREKDEEILKASKENEELQKTILKLTTAPFLTIPFICHVCRAEIKADDVNWLNCSRTGARFHPLVKIDK >CRE22493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:81926:82595:1 gene:WBGene00085304 transcript:CRE22493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22493 MLYFPFLKLPFLPIQNIVHNLSCTEIIELSLCSRRSKRLMQSIRHPEPTHIEITIDRYRMYVALRNGIKQCLFWSIRTESHIKYNRVDTIENVRVRVLKLSGPNFMIDGTHDPETVLKALVDHLKDVFKVPLVVNFKPYKMENFFRFLPVFPVCKRFYFHATQGVSEEELKYVKDNVVVEQQAYYYTADN >CRE22525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:386950:389638:1 gene:WBGene00085305 transcript:CRE22525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22525 MSKYLKSPDNMLTSTSLESIAQSYILNELRSPIIKNIFSEKEFLEDNGEEFVQKMLDNSNYKLRMYGSADELAQNLKIYQNFPNSYRLFKTEFEPYTTTTTLYRSLKNQEYICKSDFFVILQNMALGVFPGTTSILVRLSNFSALRVKHNMLPHRMEFMKFDQKVFDEIEMEMREARKLCTISNAELTVLAAQLAAGNFDSLVAKFEKVRFHEKLTKKDLDEIRESLMNSYSKLKASSPNPTQDLVVSLIDAAAMSCLRKIINVKRPEIFHQSSTKKTPLIVRLFEDGDQQFVLESELAIAMWPEKASEIFFGRKVVGCHWYTSITMEEAIHRTRGNIEFIRYPIKRTKHRAVPIEGPDPEDPNDWCILAVDAFFEYMKSIITGFKFFQKYIFLDSFDKLEPIFTALEEPFKHEFVRLNLKVFLKNVCFFQTSPYFLQVESVNYMLKVVQDTTSFPFPTKDVRNAKKDGFTVQNLKNELNNLGLTLLFSEIQNYAEDVYEEIYKVKKERYLRTCDLFDAVENCQLICILNRVPNVRYLIYNLRIFLHNQKGCGRVLGYKCVECDKEKEVQKTSDVQDSVKNLKIESSDETSSQRKTSGIQNPKNESSNNSDDDKENQNPIKDQKTSKKMVSDIMNLLAQRSKVPIEILKENSKNCVSESQQQTQLQMELKEKISAKTEENQRLQETILKLTAENEANQRVIQQLLDKLAVGSKKKDMEEVSDDSGAPLASRIPPIVICYVCHQEIEPSDDDWLDCSRTGEKFHQVCAYFHIRIHEQCPACDDKIPNYF >CRE22488 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:65587:66418:1 gene:WBGene00085306 transcript:CRE22488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22488 MYVALRNGIKKCLFWSIKTDKERPLKYNRVDTIKNVHVRVLKCIEPYFMIDGTHEPETVMKALVDHLKDVFKLPLEVNFKPYKMENFFRFLPVFPVCKRFYFHATEGVSEEELKYVKGNVVVEQRAYYYTSS >CRE22490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:73869:74931:1 gene:WBGene00085307 transcript:CRE22490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22490 MFSFPFLKLPFLAIQNTVRNLSCIEIKCIEPYFMIDGTHEPETVMKALVDHLKDVFKLPLEVNFKPYKMENFFRFLPVFPICKRFYFHATEGVSEEELKYVKDNVVVEQRAYYYTSS >CRE22502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:199555:202257:1 gene:WBGene00085308 transcript:CRE22502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22502 MCFWNIGSPTPSYPCILCIIKSILFPPIKKKPFPLLHLPFVALHNVIKMMNPHELAKLSICSYRLELHLRSYKRKIDRIHIHLSNTFYLLDMFYLENRFGVWFEEEDKNKNLPRPMNTVTKLRQFSGSFEAEHDCLLILFQPFPPSLCFDVSHLLLSLYSCPLIDWAFYLNQLEIETVRRYLDIILQGRCEQITFFRSEMSCEFLTELMDKIPLDKKLKIDSGIPADFRHPNALKFYGSQYKNGRWITLDDLKTIRNVLYVYLDSTIFNCHDINQFLHYWINCDEKMFVQMNLQLDESVEIDEDAVKDGLITVQPKQLEEPDEVLCLYLKMKNPNNEQCDMCCLTILKDHNARFDSWKSDETSIKSEVLELLEKKKNLELENEDSETSETRKQEIAEEIEQLILLAFEKNENYYVFEV >CRE22499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:169229:169717:1 gene:WBGene00085309 transcript:CRE22499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22499 MKASKSPSKQPKKIPPPGEIEIEKLDDNYMQWTFDDGEVMFVKMDDPATHSRIGKEAMKKAGIEPKGKYRMDLVTDAPPTIGNYEGLESLEAEPPKRSDSDYLAVELIGLNDWKVEEKPKKCDPKKSINKSKDKKVSVERDGKKSKNTEKKKEKKKKEIPKK >CRE22512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:327774:333438:1 gene:WBGene00085310 transcript:CRE22512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22512 MSKFLKIHDDALTPMYIHKEAMRYMLEECRGDLSAFNKYPQGSSKGKEEYIVKALMDRAGNNLRMYGTAREFAENLKIYGNFTGSHVFFGAIDEPYQTSPIIYRSLNNQEYIYKSDLLVILHNIINQINPNFPFEAVSIIAFSLKSLEEKVTDNMEFVRFDQEILEEIEKELREEIDKNTMRRPETDNLIKEMSGMTFEKCLEKVKSVAPEGVWGDQGQMRDHRIRTVFTTTCKEFPRGMHHLPMSVIYFACDPVIKAIGNVRRNHLGFLRLRDKNSAVTVRLFEDEHGERFVMKDELDDTLYTIGEEEIHVILTMSQEEVYQKLGHQNVEFILHPIRRAKHRAVPIRGPGASGPNNFFILAIDAFFELSKSVITGCKFFQNHDFQRFAMIMEEVDSILKPHCEKPYFIIIQAIDVIKKTLFICDFFFKKNVALKEIRNAKSDGFTVHNLKNELKHLGLTETFPEIQEHAEAVYEGIDNVKKEKFLRTCDLFDAVESCQMICILNRIPNLKKFVHNQKGCGRIAGLKCEKCEKTSEIQNSMKNLKIESSGCHICSTHIKASDVVTRCPLCKTRFHSNCALKWIKDQKHCPACKGDFPGI >CRE22536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:63162:63961:-1 gene:WBGene00085311 transcript:CRE22536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22536 MSDSPSFPFLRLPFLAIQNIVHNLSCTEITELSLCSRRSKRLMQSIRHPGLTDIEITIDRLRMYVALMNGFEVCSIWSIIKTGLNDENFRKLLRDGAIGSVHIKVLKFEGSHFTIDAQQQPENAIKVLVDHLKDVFKLPLTVLFEPFGLENYRRFLPIFPVCYRLYVYSSDKISEEELQFIKDNVVVEWQAEFYKSQK >CRE22513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:333713:339237:1 gene:WBGene00085312 transcript:CRE22513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22513 MSKFLEIPDNALTPMCLHKESLFYMLSEHAESLKSFNNYPFGYAKGKEEEIIGLLMEKEPRMKIYYGSVAEFTENFKIFHNFTGSHKYFGTDDEPYQNPPIIYQNLKNEEYICKSDIFPILQNMTMRLNLKFPFEVFSIIAYFLKTQEEKFSEKLEFVRFDAKFMEEMEKELRQEMKKNVFSPQQTGKLLREMSSLTFDECFEKMKKSNPIVWTVLRHDRIRGYLQEKCNYYREGQHSACVSDVQIRSTQVIKSLQNVMKRRPEIFGNKLKITPIIVRLFEDGNQKFVIKSEVLEAINTNFKDKTDILETIDMEEVEKMMGGAQKIEFLLHPIRRAKHRAVPIESPGLGDDFVTLAVDALFEFLKRLILNLKVFQTKKFERFLRIFSSFEQYFIWNMNRPYFLKTSLVDTMQMLITDIIGNDERIEEKEIGMVKKSEGFTVEDLKNELKHLGLSETFPEIEEHAEAVFKHVDSVKKEKFLRTCDLFDAIEQCQLICVLQRLPNYKKFLHNQKGCARILGLKCEDCEGIKMTSTSSEIQNPVKNLESSVPKNPLEEEIQEPLILDSPEEIQKPLKNLEIASSVSTNPQKEEIQNPRIPDAPKCEEKTSAIQKPSKIPKIENSPPDACKTCVETSEYVKKVMKRVEILQNLVGKLRKDNWILEESEIKKTEELNKEKEKNQELQKTILKLNGENEANRRVIQQFMISSYEDLGDPPLVYDCLICSNRIKSDEEFARCPLCNRIFHSICAYKWLENHTKCPACNGVFP >CRE22495 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:89958:90494:1 gene:WBGene00085313 transcript:CRE22495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22495 MKSQFLILFLPFLVNCQRELDCGFLNSTFEYEDWKNVTKTYVGGCCTLDCLEWLDTNVSDWREKLDNWNSYIINILYDQHCCNDTPATVGTTETSTIIPFTTPTTTIISVDEHLDCHWLRDPFNYSNGLSYTGSCCTQQCLDYLSWTNPLWMKFYEEFSSRMEFISRLNTEAKCCVGK >CRE22489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:66992:67499:1 gene:WBGene00085314 transcript:CRE22489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22489 MQSIPHLEPTDIEITIDRLRMYIALLKGLEVCSLWAITNDNDERRQRLYRNSMVEGVAVRVLKLRESRLQINAPHQPEIAMKAIVGHLKDVFKLPLTTYFRPNRIQNFLRFLPVFPVCKRFYFHATEGVSEEELKFVKDNVVVELRAYFYTSS >CRE22497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:98790:100210:1 gene:WBGene00085315 transcript:CRE22497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22497 MKSQFLILFLPFLVNCQQELDCGFLNSTFEYTDWDNVEKGYVGGCCTFECVKILDQIDKDWRKSINPRAMFVSELYHREGNCCNDTPATVGTTETSRLTTITTTSDNTTSIPTTSQSVSQEKEIQMEEAIINLKHLVENLRLFDGIQRFVNEPESLYEIAKNMRKALKEAEQTLEFAIKMEKIVENHYKNSENFETKLAEARAIIEFAQKLVTLMKTIMDTIQHIADVLEIDIEDTWRRSVLRQFHQTIDWFNGPPDFEPVPENSIWFFSILLATLVFYMYFTI >CRE22534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:59399:60090:-1 gene:WBGene00085316 transcript:CRE22534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22534 MPDSNSFPFLKLPFLVIQNVVHHMSCTEITELSLCSRRSKRVVQSVRCPEPTYIKIYLHRKNMSIYVMNRNRAQCSFWTVAMRRENDPFKYRVDTIGGVDVRIAKINEWGFQIEAVENPEKPLKLVVDHLKDVFKLPLEVVLMPNKINDFLRFIPIFPVCKHFLLNGGEAITKEELKYIKDNVVVEKVFDCSIPIN >CRE22491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:75472:75982:1 gene:WBGene00085317 transcript:CRE22491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22491 MQSIPHLEPTDIEITIDRLRMYIALLKGLEVCSLWAITNDNDERRQRLYRNSMVEGVAVRVLKLRESRLQINAPHQPEIAMKAIVGHLKDVFKLPLTTYFRPNRIQNFLRFLPVFPVCKRFYFHATEGVSEEELKFVKDNVVVELRAYFYTSS >CRE22552 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:177151:178623:-1 gene:WBGene00085318 transcript:CRE22552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22552 MIVEVVSSTFLYFQGSIHRDIKPGNLLCDDNGHIKIGDLGSCSRVEDIEIDEGKQSVAGTIAYQPPEAVSKTITETSVLKLDAWSLGISIVELATPKHPFSQTIITDQEDTLEFDIIFKNSPSLPTDQFNPNLCAFVSGCLKKIVEERMSLKDLCNLPYVKDFNGAIKMESREWFVDSFTKL >CRE22510 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:317264:321751:1 gene:WBGene00085319 transcript:CRE22510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22510 MSLDTGTRNVVRLSISFMLLFFAYMSQEFIQEPLIEAEHRRTGLIDPHAGYHSFAILYFFFTIACLLIAPIVETITAKWSMVIGFMAYVLFQAGFIWLNSAYLYVTSAILGVGAAFLWVGQGKYLTENCTGKTIERNTALTWLIFKFSLLGGGIFLFFMFQNQTMTELVATGGVIPPPSLFSYNPFQFQYKIFVYIFCSITFLGCLNTVFLPVSAYLPEKKETETLAQTLSATFKIMRQPPMLLLSFIFLYTGFSRSFWIAIYPTCIKFTTQLGENTSKLLAISCIATGIGQILAGGIFSIIGKRARIIGKDWICVIACCIHLGIFVAIFLFFPSDAPLHPTDKIGYFQPSVHVAIVCSGLLGFGDAIIQTQVYSYLCDGYSKESSHAFALFKFYSAISSTIAFFISKYFTLTGHLVLYGSFAILSAITAVIAQKMYFHKTQHFFQENTSKIHPMISSEPIKIQITDSGSTISNMPSFPVKGKEAN >CRE22542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:77537:78046:-1 gene:WBGene00085320 transcript:CRE22542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22542 MQSIRHPEPTHIEITIYRRLMYVSLVKRSFVCSLWAIEAKNGRCQHLYRDNVIGGVAVRVLKLGQTRFRIDAPQQPENAMKALVDHMKDVFKLPLTVLFEPFGLENYRRFLPIFPVCYRFYVYSSDKISEEELQFIKDNVVVEWQAEFYKSNK >CRE22532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:44412:45818:-1 gene:WBGene00085321 transcript:CRE22532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22532 MQSIRHPEPTHIEITISRRRMYVSLMHRFEVCSLWSIIAEYEKRLLEFYRDDIIGGASVRVMKLGDSRFNIDAPQQPENAIKALVNHMKEVFKLPLIVDFRPNGMNDFLRFIPIFPVCKRFLLYGTEPISSQELKYIEDNVVVEERYNCMIPVN >CRE22514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig71:339443:343944:1 gene:WBGene00085322 transcript:CRE22514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22514 MSKFLKTNDDFPTPACFLKESNYYVLEESRDCLEVICRFPFGSCKGKEERLIEMAMEDPSLKLRMYGSPSEFLENLKIYRNFSGNSVFFNTDDDPYHTTPIIYRSLKNERYICKSDLFSILQNMSTQNDPCLESTSIVAFFLRNLEENLENPDEMVRFDEEMLEEINKELKIGAEIGFEKSLELSRELFTLNSSQIIEKFKALAPEIWNDENSLRLKFLVENAFHDNLTAMPRFYLGNQYSTASSAIKSIRKLINNRSNLFDRTVRTVRMFEDGTERFVMKAELYDVTGDVSLKSDDKIHVLHTMSMQEVMRNYGHLNIEVFFNHRIKYAQKTFQKLFIRYPILRAKHRAVPIRKLGSVGPLDFYVLAVDAFFELFRSIILGAKMFQKIESFEEFSTSFREIEKDFMVECKTPFFIRHSLIEHMKLKMKFSSSRTAKEVRNAKKDGFTVQNLKNELKHLGLVDTFPEIEEHAEVVFKHVDSVKKEKFLRTSDLFDAVEQCQLICVLNRIPNLKIFLHNQKGCGRVLGYECEHCEKEKDDQKPLKNLKIESSNSSISNQYSQPALSTPNDCEKCSESSKTLTETQSELKMSKDQLKEMEKKVLDTEKELSEVKKENEKIVQSEAKKTEELAEMKEELRKEKAKNQEKEEEILKASKENEELEKAILKLTAENQANERVIQKLLDRISNLSTNNQKTNRINEKTIDESTPIASVTSKNAPLIIDCLICSSQIKSGQEVIRCPLCKRRFHSNCAFKWRKDHTQCPACNGDLPGI >CRE18300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig710:4062:4687:1 gene:WBGene00085323 transcript:CRE18300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18300 MLFLLSTLLFFTSFAASAPVLTISEEMEQIFQNLTTEAQEDYFQILFNDTVTLREMDHLLDQWAEKHGILDKWTAYSGKWETRKEKFHKTVLSVIENLPSAYRMMTEITSNRDQTINQVHEAVDQLKETYNMEVSMISFLSKMMVLNEEYIMNGGNVEIGNEVSQDLRRMRDYKKNRGLNALLVPGPLV >CRE22195 pep:known supercontig:C_remanei-15.0.1:Crem_Contig711:5978:11325:-1 gene:WBGene00085324 transcript:CRE22195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22195 SRSPLLVSLFPSATSSRPSGNKLKSQSVGSKFKSQLTILLEKLQSTGTHFVRCIKPNNQMDAWNFDGAAILSQLQCAGMTSVLKLMQDGFPSRTSFGDLYSSYQRKLPPKLARLDPRLFAKCLFRALGLDQHDFQFGLTKVFFRAGKFAEFDQIFPGFPEKFENPGKSSPRELHGAPGEQELQKQLDEKKALSQKQHEAELLQQIRKGAAENEENQKRSQQEALDSMVSARLRDSDGVALIVPTAPPESSGSSSGSSTMKSSRGGVYDLKNWKYAELRDAINNSMDINLLVACEEEFRRRLRIYNEWRSRNAAKRDDAPPVRAALTVFRDSSQKQRYFKYAFDVGSPLEKTGLWFAHFSGQHVQRQLTLRPSQRPQLMIAGRDDLQMCELTLSETGLEWKSGAEISEAEFESQWKQAGGD >CRE21717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7111:801:1274:-1 gene:WBGene00085325 transcript:CRE21717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21717 MKFLFLCTGNSCRSILSEVLFNSRAPEGWKAYSAGSKPSGQVHPLTIETLENLGLSTDGLWSKTIDDCEQYQPDVVITVCDSAAQEACPLYLGGAIKAHWGLADPSHLDLPKEEKLKAFQVTVDHINRRLDALLALDTTHMSRPDLIAAINQISHIE >CRE18398 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7149:219:1162:1 gene:WBGene00085326 transcript:CRE18398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18398 MKMKYYHDYMLNNRDDSPLYIFDSSFAEDSGRCGIGGRKRRNDERTSGCPEKMHYSPNLMV >CRE18399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7149:1254:1634:-1 gene:WBGene00085327 transcript:CRE18399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18399 MPGVRYLLGEPGPAGFPGPRGAPGKQGPPGVAEEGPDGLPGPAGPPGKPGMPGPLGTPGQPGEDGIPGADAAYCPCPKREALVESSVISQEVPETGYGDGGDKSKREKVEETQQEQTYDIFKFGQV >CRE24872 pep:known supercontig:C_remanei-15.0.1:Crem_Contig715:6200:9997:-1 gene:WBGene00085328 transcript:CRE24872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24872 MSANQRTDCFFNLTTPERIDLFRRRQALRVENVFRTFYGWAMLPLAICGAIATIIFIISCYKAIKARRVSRKCYALLMNRAIGDALTCCSALVTCAYVLTWHDINRDMVVVIESFFIGSFWSAMVSYCSLSLLKLFAVWKPFHYRKWFTMRRCVNLMIISWTILVLMVSYTLAVSALVKIPDLNAWSGCKAETCLRNMYRSRNLMTASVYCFTILVFVITCFFIRKAQNFSNSFKKREKDGGGRIRMVRFPLWKLALNVGTFAILNVPYAIWCIGLFLNPYPCLFQRNYSEMMRLLGCIRLFLVIRCILDPVLSFITDFQVISTVRTVDHKYLFQLRRGFLELFGQGRKVGDHQRGTFKQSYSSSSADQNSIIDRATRSQTVTTIASSNPSTKDKTKKSASFGGLEVDRKIDRF >CRE24871 pep:known supercontig:C_remanei-15.0.1:Crem_Contig715:2316:3955:1 gene:WBGene00085329 transcript:CRE24871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24871 MNREHVNPFGVVLSTPRRQYQVGRIINSSFPTPWRPFLENRDSPMKALMAWVYDTELKIFQRSAYLEDYSRMVGETMVGAIGVDQEIKSQRVGSFGMIHPSNPAFQLSQLLCTLIQFCRKTDHTELADCMLHATCGMMVLPPPYRSVHRIRDGYDITELRQSTVFRLLKYIFPEPFDDGKHYVTTELMKFGYMVERQVFLRAEVESDYQESIDHIVMQTKKLKEDENVYLDQIAPYPIDQELVERMEAQAFAQLREMIRRQHEHHQQYMARIQAVALVDEEEEEEEEGDEEEMEEDELEEGEVGPQYFDY >CRE23788 pep:known supercontig:C_remanei-15.0.1:Crem_Contig717:12387:13346:1 gene:WBGene00085330 transcript:CRE23788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23788 MNLGTAIDGFLSAVEFEYGYSPQTVRAYRRDLLSLSEYLEASAPDSGPESDVSELGLETLRDWLWSRQEQGLAQSTLARNVATLKSFGKWLEQHRLVAGNPASRLRTPKAPSALPRVLSDDQISRILDRAESLARGGDPIAVRDRALLELLYATALRVSEVCGLDLSGLDRIQRTVRVVGKGAKERVVPFGAPAALALEEYLSSARSELMNVATDAASSAGLVRESATTAVFLSASGSRIQAHQIYRLVARSLEQEPGSGPRGPHTLRHTAATHLLNGGADLRVVQEMLGHSSLASTQVYTHVSTERLAQSYRQAHPRA >CRE23790 pep:known supercontig:C_remanei-15.0.1:Crem_Contig717:15312:15450:1 gene:WBGene00085331 transcript:CRE23790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23790 MAAVSMAAVKELPRTHWSRLRATSRRPSRSCVSRV >CRE09962 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7178:1423:1776:-1 gene:WBGene00085332 transcript:CRE09962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09962 MDAFLVSNSLRLSLREKSLSGRSIKEFLSNWLQNEHNSPLEHLTMIIEESVDRLELLNGLDAVPFSEERTFHYSKELEIPPETFSGGYDIRGINGNKASITFEDGYQGARFDFYVWP >CRE09961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7178:134:879:-1 gene:WBGene00085333 transcript:CRE09961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09961 MTTPFPLLRLPRLALISVFMHMEPNEVIMFALLSKRANKLSKCLRKLSASSIDLVVENDSHHLTVLFMYREKLPFNTNNVSTVEKISHKNVGLSVSEWIERVQDVINCKSLKRVDLRGPPRLDMCDALSSLKNISELYIHPGCCPESFAEKALKILSPVTNEITMWSIPFENREEFRTFLKFNLDYLSIYNCASEIPKFEFSMDDVLIAKPTEIRSNRRAINRRRYQPISS >CRE23212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig718:12:506:1 gene:WBGene00085334 transcript:CRE23212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23212 MDDARDPGNDLLEMDDARDPGNDLLEMDDARDPGNDLLEMDDARDPGNDLLEMDDARDPGNDLLEMDDARDLGNDLLEMDDARDPGNDLLEMDDARDPGNDLLEMDDARDPGNILLEHIDLSPVSSSPPMLYPNATVNELNYCPNCHKTFFIYEDLIFHKQVCQ >CRE21849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:64364:65107:1 gene:WBGene00085335 transcript:CRE21849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21849 MSSDFSDYSIKNYYSARNNNPLLPNSIRACIIGKSGCGKTNLLMNLLLDKFQGDDYLDYDNLYLFSTTLFQPCYQALINGFENGLTKKDIRECFKKQNFIVEKNDKKNITIHTSENCADIPDPSSIDPNKKTLIIFDDLMLEKQNKIEQYYTRGRHNNIDCFYISQNYIKLPKNTIRENANFFIIFAQDKLNLDYIYRDHCSEIDKKKFLDLTSAVWKDKYCFLTIDKTSDVDNGKFRKMLKYFIIS >CRE21944 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:361447:361880:-1 gene:WBGene00085336 transcript:CRE21944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21944 MRSRGKDQSCFSSKQSILKQSDKQQERRETRRICLQKTMPMIRKTGKRKGSLKIRNVYSSNLKKSKTGMYTKSMVVNNGKVIVCARNR >CRE21903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:52990:53475:-1 gene:WBGene00085337 transcript:CRE21903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21903 MSDLEQLLQKNLSKPEDIMEFSSNLNQQVQTPDACVQNNDKSINEYYFQLHGGTSLHIIYDDSIFYSNVFELHHQAASPPTHLSYSETHMCWYHHANFYCWIKNEIHNWTLQQAGTTCEMMSTISTSTF >CRE21845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:36946:39590:1 gene:WBGene00085338 transcript:CRE21845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21845 MQRHNPNPLCPSQAMADVLAVPLDILEKPAELARYSERLPILDEFDLDHLQDPRLSGNLLWFLRTGDLPHPELLFKEASAREPYLLDDPRLKSWVISMMRGCYSNVINTAKAFASTRCSACGSSFFGIALEDHSEDRCGFVKFLPRQHWLEFCVANSYSFCGYCNSRSTSHTRCPSMRPCKSCRQNVGHQHFHGVCDLNLSPLQFKDRVKKLRIRRGRRIRWLVDRGLLAFPLPNDFIPSVIQSQADRVIREGQLIRGTGPLLHPEADELDWIPPYVYRWRQFPGLVNRELDHDKQLRHQDFFDHEAEWFMILENRAREIYHDIRQGDRVPFIMKHAFLIRAPRFPIEIYSPGNEPAVEVLAIEPPIEVPLEQDNREERELQGQAPPAGSTRSSPRSSPRRDSENSSEYSEVDHDIVERYVVNAHNNPPVNHDPFLTESILHVRPEGALLDRIREHMHRYDVIEYDAIWTLEDGSETADWESHREETLRSLILPEIRYSVRNKPGDKFSFGVAKPVIEALSTLTAPDSRDALLGRIETWQLVMTGGWDSTPITESVTTNMLLTYYAHLVDLGAALVGSPRSFTCLVTTVFGFPYNNVCLLIPSYHIFRIPAVVEAVRRWMRAPALSFAVQNAPRDQFPYNVELRAPGQDGAEEEVDPEGEREIARVIYTLDVARIGTHFRENLPTLDIIDILLSNECPGPKNLVIRRIRTIQDTITAANEETESLDNYTAEDLDAYCAFWTAILHALRVFVESGASTSARLSECTMELIHGGETAFELAFPTMRAFHSEALGWWLLWVEKTLVPQLKRVSGLQCSCTFHERQPRNQEDQPEQD >CRE21885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:331799:332159:1 gene:WBGene00085339 transcript:CRE21885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21885 MQLSYLLVIIFAVLTPFTNAISCLVGGSHTLKAVDGFKSCVKTYIFSTTIYWYDGSHEYDGSQDCLMEWNEDVENFFRSCYCDNVNFCNVQMGSEKF >CRE21890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:356909:357160:1 gene:WBGene00085340 transcript:CRE21890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21890 MRFFPIAAILVLFLFVAALGAPNSAEKLLSGNSDRKEFVSGSETRSTPKIRGCQDDEDCRRFLLDINGPRCINWMCTPEPFEK >CRE21902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:50682:51996:-1 gene:WBGene00085341 transcript:CRE21902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21902 MFEPGTPWDEALAEITEHSLKSIKQVRTPETNVPSDKKSINYFIFLINSETSAKIICDGFKYDFTVVKKGNLSSDSATVICCYHTSNSNRLIKKETHNLSLQQALENTCEILLGTRNCVIENMYLKEDYKIILKVFGNITVTNLHMEGLMPDMEWIQKINSVTNVYIQKLDLTYSRIVQLSLFADSRCIILDSEAHDYVLNLDNTIIILYEWPKSNLLKKLCEKWIEEDRAEHSYVFLDKTEVGKFKKKYGKKLMSIEGARKYESDQEFNIIIPMISEEFQLRVVCSKMNCYITVEKKFDEKSGSQNAITGRQRSHQDSLSSMFGRIGNFKKRVSRYINFHVHRI >CRE21891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:359471:359988:1 gene:WBGene00085342 transcript:CRE21891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21891 MVESSDDYNSSVENIKRVLADFFKQELRGLVNSILAENREMEEAVSDERRNIKRRIRSQKEKWESVQRKLQENRKRKMKREKKARRICKKKMKAAKSRQWLKFRELKYNFVSRKEVILRLSICSVPLVIYRCMKDGQGYKDNGHEDIELI >CRE21930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:242988:243305:-1 gene:WBGene00085343 transcript:CRE21930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21930 MLNFQFFRALLALCLVSSIGAVTLAICQNYCASVNGGASYDNCSPWISFATQTNQTCYNLCVHNCAAVYDGSCMTGNNFRCCLATTPAKTQEFKVSGCNKLYNNL >CRE21843 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:31082:32849:1 gene:WBGene00085344 transcript:CRE21843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21843 MSSNPQEYVAPGTKESSDFDSSEHIFKCETTNSTTMTTVCRVDRSRSSSGNSAIEYSCRCSSDSSSLGTSSIWDSSKDVTNRCSFVKFDMNYDREMFYYVFSNLEVVYNKFCISIPMLLQYPCNRVRTMEDNTQDPQNETEILALQMVRTLDQTNRILRELLASTHDIEQRVSLVPRLVDRVTLLSNELREFETTSTRLPMIRQPTDIAVQAYEFVIHNRVWSTWVLIDVHEDEDRAIRAFELNGEFFLRKTPQNLGIVVPENVSPESLWLLYHDAILNCAGEELPRPRVYGCRMSHMQLIRPHAFVCGCLPSFVALETHDHVGSCQIRKVAQKALLGFNHELQLNSIEDISSLKWPTA >CRE21934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:308796:309267:-1 gene:WBGene00085345 transcript:CRE21934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21934 MNNGNSNSAMKPVLKKSTNPTVYPTTLPPRRNESEVMEMNNDNSGVVMKPELNKMNNGNANGAMNPKFNNMENESMKNENMNDKNWESTKEQQRKNTSYYCQHHDLSRFCTDSESSSAEEEELVPGTPRPPYNSNEVID >CRE21940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:353146:353487:-1 gene:WBGene00085346 transcript:CRE21940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21940 MSYYGNGYNPYGAPGYGAPPPVYGAPPPVYGAPIHIHTDGHHHGHHHHHHHGLIHELGHALTGHHHHHHGHHHGHHHHHHGHHY >CRE21909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:73865:75166:-1 gene:WBGene00085347 transcript:CRE21909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21909 MQGTSNVATIRIPRPPNPDNETCYPIETYRNGGMPYTRFGVRMKDAENAQIVFCDSYYEFKAMGREQSFSEMETRVHFYTVQDQKLRARVSSLTYDLTLQEAMEETIGMLLGGGDCAIDTMFFQDCPSLDLDSLGQIFVKNLYMEKSLLKQEWITKIKTVQHVNIRMFGTKFSDLFNHDLIVNAESIDLLSARTFNDCVLVIATKLDFPHRLTQLKGQQRRVLDYTGLNSQENCHNFRNNAESEQFKEECASVLMKIKGAQKFKISQEVVMFFSMNGGHTQWCATYSSTMNHVRLEKDIDEEENFKIFTRQEFTQVVVRSNATDFIRQTANRLTTRLASPFRKIGSFFNRVVGRVNRDGYEPLGNIFE >CRE21957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:438513:439746:-1 gene:WBGene00085348 transcript:CRE21957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21957 MSSTHRATCVKRPTRQDSSDSDETSMAPTAKKTLPTKTPTDPSSSLRSTRRVTTSVKRPVYHESSSDDESPVAVAPPAKRAPPTKTSTSSSPPMSDWEREMEEAPSFDEDEETRRLEEYANEGNSSHQSGLSVTTAVMEKGASMATPKR >CRE21855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:112634:114604:1 gene:WBGene00085349 transcript:CRE21855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21855 MKILLLLSLVHHSIAIEPPQPFDFIEDGILKYTNHSVDPCDNFYRHVCSFGSPDSPVSEAMKDVFDFTRQNQNDSWWNQLDIITTFPMFEKNKFSTILNNATSFIEVVTKFFNSSCTHGLDTVALTQDIIQVASNLRRKKLVAKINTNETDCDAELELIRNLLTENSDNFVTTAWKLIFNFVDLAQKHVCQIRNIEDHLKVDVRRGIEATRDMFEDFSKSAKNLVKYTPWVKKQNVVKKIEKIVSELRIHDNYGEDYQTSTNMLHKLEKYYTECKLKYNVVENYELLCYIFMSSTFKLRALSNWFFPDHNAYNGHPSVYFGYPVYHHNQYGKEMATKFQLGHTGIIVGHEIGHTFFDKHDKLQHLPYFSKKVEECVQNQYNATCMEYKEHSCATTDNSLDENGADILGLHLAYKHLKSYYGERLKTKIDRLKMTNEQLFFYSYAMSFCSKAFNCSADSRMMRSATKPCHIYGSRAPETLKKINN >CRE21943 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:359044:359292:-1 gene:WBGene00085350 transcript:CRE21943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21943 MSYYGNGGYSPYGQPAFGAPPPVYGAPGYMPPTIHIHSDNHHHGHHHHHHGFLHELGHALTGHHHHHHGHHFGHDNHHHGHH >CRE21945 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:364315:364653:-1 gene:WBGene00085351 transcript:CRE21945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21945 MSYYGNGGYSPYGQPAFGAPPPVYGAPGYMPPTVHIHSDNHHHGHHHHHHGFLHELGHALTGHHHHHHHGHHFGHHHHHHGHH >CRE21842 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:30055:30754:1 gene:WBGene00085352 transcript:CRE21842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21842 MANRDESSRNFRIVTAHHVHSKANQDSRRENADDGVLDFVRSADGTRSSRPVPRTVEATVDSLLESIDKATLISDRLNDFMKDNSMEKIENRVTQKVLAQLRQEKGETSCAMKELRILRARIESQKKFAKFYEKVSIGIQKGEVSTAEVDTGIDLFDAMLTMEADSDSSRDSKEASDGEPSSPYEVSVALLEEPYVRPRDGAQPENFLGYTLNYET >CRE21942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:356046:356291:-1 gene:WBGene00085353 transcript:CRE21942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21942 MSYYGNGGYSPYGQPAFGVPPPVYGAPGYMPPTVHIHSDNHHHGHHHHGYLHELGHALTGHHHHYHHGQHFGHHHHHHGHH >CRE21884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:326671:328225:1 gene:WBGene00085354 transcript:CRE21884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21884 MYKFCTGVETLFLEYSTFFEKSLFGTKETLDLDIANVHSTLKTCDRYTLQNQTIQSSELENFVNDFTEDGIAKKCLDMKERSTLMDAFSQECDEEAGRHFKYFLADLKSYYNCSYN >CRE21882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:319621:320414:1 gene:WBGene00085355 transcript:CRE21882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21882 MSLGYGYQIHTKMGTNLTRNTADVNEQKVFTTHGFKYYTLDNKDDVSHPFAIDNLGYEADDESDGGSIVVKEQYDYHQLVHDDSSDESSVGSTDESDTESAAGEETEDRDSLSFESDSFNGEEDEKDPLIQEDASDDNSE >CRE21906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:67173:67628:-1 gene:WBGene00085356 transcript:CRE21906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21906 MDEIVAVMKSIEQYVRFPCNPDSMLSEGILQDMVALYKRASLMEKGAAKSLELIRLFNLYKEYLKESRTDYKNETFVVKAQMDMVTKENNAVKNENVKLKQKLKEVGQSVGTDKNAESAKQALDRFNEEAAKIALQNKKRKVRTKPEDLFR >CRE21883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:321485:321807:1 gene:WBGene00085357 transcript:CRE21883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21883 MTVFLLICFIFSSAALDCYVGETNDVHLESGFQLCFVGTVLFGARRKIYGGSKRKMELNPSFNNCWRILTKSDEKAIACHCETEKCNPEN >CRE21907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:67847:68077:-1 gene:WBGene00085358 transcript:CRE21907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21907 MDVSKYIDRKPRNTAVDNIHSEGSQDLKLYEAGSSSNSLSDLSDSKESVDSFGRVERESCTKINLLKPVNPKMNKC >CRE21952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:387469:389871:-1 gene:WBGene00085359 transcript:CRE21952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21952 MEALVEMIKNLKIEFDKEQQWNRYVDGDILYDVTDEKSANTVYSYVVDPENNLSLEETHKYFEYIMQALTNLSVDAIDKIDNKWLEMATKNREVFLEAKAKILKRWTGLIFEKCGAESTKNEIFYQLENLTLSFWRASAERKGKIIFFPGIHIEIVPFLQSIRVVQNYEQYTSELHDVLKKYPLEFLYQYVEPEEEPEPYDEQVPEIFVENKKATKKKMKKKSSKKGLTNTIELALKSGEKRKKKQAHLSQRTLLSRSPSLKRGEHIRFNLYSDVTPIWKKSAGKGKMISSTTRQYISERSNEGTEHKIDFGTEAFLGPIYHISSFESERKSQKYGSWSITLDANLEERKLNGESILVSLKVPVEEVFLGSNEPVVAFRDDTSTDWKKGVFTTHSQFDQSEQTNWKDNTLYKDHSDTFIVTTRLSKMGFVSLFQHNDFHYPYKSWQIVFDKDKISLKLHTNVVELAFVIEGYYFYLDTLTGDEFSKLRNLHQKRMSLSELMMKLERHGVHITPNSDTINKHRDTKKDLELEIFTYRIICLNQINCESCRMNSTVSSETVVLTVDGAPRNITTKAPGKYAVNFGDAGKKVKTHENTVTPATLFQFLMVTRPLIVTK >CRE21938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:340344:340967:-1 gene:WBGene00085360 transcript:CRE21938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21938 MNEKVPFLLEIGDGEYEPEWIQRSLNGLAKRKLEVSDPCDDERPQSIIDCFTTEPMFVIEKNPYLNGEPMELEQTSKFLMRNYDFIQLGHEFPMNLDLLLMTNSKIINLDNHNFSLKDLRFILRSWMHGALPNLQFLCFLTNQLVNQYELIHGIHHQVISQRIVREKKFLCQSIQSSVTAYGGFDIWKFYQLYNREDFCTFQLVVWN >CRE21929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:241883:242361:-1 gene:WBGene00085361 transcript:CRE21929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21929 MVMNMWIFTILYFLEIFTDFVDKVTDRISNISFTGSRNVPVGMSKSEKQYNETKSAVGKKCLGEVDTRKEDEEWLTTVYDGSCMAGNSFKCFLATTPGENREAKVSRWNKFYNNLRTFFRIFRINRNF >CRE21875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:272703:273023:1 gene:WBGene00085362 transcript:CRE21875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21875 MTTNIVPLSLLLFSLIYICHRCIIVPLAKVVSFSSLMSTVVLPPVLIPINLTAFFHNFFLSTVPFRYFMTSNIVPLSLLLFSLIYICHRCTNAPLAEISTVFIRHL >CRE21939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:340997:341071:-1 gene:WBGene00085363 transcript:CRE21939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21939 MTIAQCSKKYQSEYDVIWKKQISA >CRE21904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:54436:55722:-1 gene:WBGene00085364 transcript:CRE21904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21904 MPSRYSNLARMLDMSSSEESIDNMGLHATTVNRFGFLAHSDTRVEMVCDRMCYELTVAKKGDVFDYLATDIRVTTLPETDDEEEDVSIQYYPLKLAVRYMMERLLGTENCTVKDMYIHGPHNFNDFGDLRKIVATNLYLEEPIVDMGWIGKLHAAHNIHIKTLDPVCYPMFKLPVKWQEEKEERRRDVFLFSSPKFKEDQKIWEEEFMKIEGTIIRETKICVTVIIPLDETYQLRVDMTKWTSCVKVERIPREPDYTWFHNLLHIPITIGVAVLGRLIHEITKTGSLEICSQLDENFQ >CRE21867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:226862:227981:1 gene:WBGene00085365 transcript:CRE21867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21867 MAKFHPLVMTLIIYAVSDVAFSGAVAISAFNMEGMWSNPYYPLLHSGILLFSILSVYFIACSNIKLALALHGLLKLIVFLWTLHSLVFPEFYQITKQEAVAVSLYYLLTLVFEMTAFFVINKMNIPFVQDDEVPEYLDYEDEVMVTCC >CRE21936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:311428:313740:-1 gene:WBGene00085366 transcript:CRE21936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21936 MEEEENRCLFIKVTGNDIQLAKWQMLANVFAQRLETRNTSFTITPIDNRFAGSGLSANSLRIQAGLPVQPELPVEPQEANDNQQTGLPMEEKRCIYIKIIGNDHQLINWQMCSHALTIQAGQPMQPEIPVEPEEANLGHEDAEQPDEAEIVNMEE >CRE21894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig72:444162:444693:1 gene:WBGene00085367 transcript:CRE21894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21894 MSNQQGQEEKNVEYFPNPPDRQMHICEEYSQNAEMYRRGLWILYPGPGEFYIPPSRNPNKDQDGNKIPKDEVDDGDDGDDGADGGACKERNNFHQKEEEDDDEDEDKPKVDIQANLPMAPIPV >CRE01449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig720:6781:7143:-1 gene:WBGene00085369 transcript:CRE01449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01449 MGLIFPSKKVSSDDAAADDVQTNQSNQKGKVLGPSAIVPVAPAPVEEAQQPVEEVAVPDEEVPAPVDQDAAQPVEEHVPEDPISPLIALMVRNQHTPSPYNRAPSLTREYRRETQSFFPT >CRE19568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig723:6348:6818:1 gene:WBGene00085370 transcript:CRE19568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19568 MGLDDWNIEKLVDDTARRIAEGMVEVLEKAFRENPRFREQVLQLDRMIIAELREQVKDNVSEQKQEWVEKRAKAEQKRKQKLKQRKQQRKIRKKKTKRHRRRTWIIFREFVMIFHCQTVALELLGIKFESYEDANLSPIILEEAFVPRNKKKKKLN >CRE26863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig725:4376:4821:1 gene:WBGene00085371 transcript:CRE26863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26863 MASGVSTQYGGCFHYRRTEKGKTSSSVLIIGIERLSPPKGLVTLFNEIQHAKEEIYVSNYLVKFCFVAVPFFRSSTL >CRE31577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig727:3732:5959:1 gene:WBGene00085372 transcript:CRE31577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31577 MDAPQNNYLRWFDSRRDLVLLNTDLLISYYVLLDLWAKSLLPDYIPYIEPAIAIQHDKGARNVFEGRKLVFQTYKRKNKAATNLAKLTLTKANPLKPPDKDVITLDDSAVTVVVPPLKVCESKIPVSISSSDVIIIDSPMPQDQEDGSESIIVDSDGGMDGGKLEKRIPKKQRRKSILYNNVLDLVDMTRNRMNNVQSSIEALKNILLSKKHLLYRQLNRPIKKIDGESNDGYVVRQIGLRRYHYKKVEENCDGYERMEYLLPQIHKEFMGYCTQHSRENEAEKEGSAEENEEISSDEFEMKDNMITITDENVSEGVILSAFLKRSYNCFSGIRSLCKKVESIANTSQALVKLEISLESGTIEEQDEALVMPGLHLSTKIDFLRKKPMENKRLTQVDFMRSHGKEIQEFHTQIGKDETYSCAVCHKLSLAENLIKWDSSKILAFLPEGASKTVSKKCVHNKRKMIN >CRE30560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig728:6181:7881:1 gene:WBGene00085374 transcript:CRE30560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30560 MFFRLFLFLSVASSVSAAGNVCKTGNIVNRLVNSQPYYWPATWNETQTAPALEMGQTCSWTVTIPQGYYAKLIINGKTQDSLSIFQTIDAAGNLMRTTQEGMQPYYFPPSKFSVTVSNQAAATFAFRIEWKLLPTLPTLYTQISAIAEVINATNKEYYIVYDSNSGVSLLPFPEDINNYYSLRSTLIFEGGRIQRGNYIGNLFLIYQSGNQYITSSGFDSVVIVNLEASNQTNLLLIQESRYVENLHYVELVPVLNSQYKATINSQIKTSALVSTSSIKQTLIDIQMDDNANITVAYGTPDPMVFDKTYTGLQFKKLLPIMYETPVLTFVLDSGVAVFTFQA >CRE30269 pep:known supercontig:C_remanei-15.0.1:Crem_Contig729:8864:10222:-1 gene:WBGene00085375 transcript:CRE30269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30269 MDKPVFFQFFLISRLLSYICLRGEGGFCTMDIFKTPDDKEGCTYLRPWYSKDHESVFASFFFFEKKFYFEFNRRPRVFGRHYQQRVKNGTRKRHVSKTETSTKTIGTLTLYGMVDCDKVCKGEEFGPALGAYGDATFHKGRAHRLWY >CRE06285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig7293:278:1795:1 gene:WBGene00085376 transcript:CRE06285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06285 MNYRITAVLFGVVFLIIIFINEDDRALSKADKLCIQKEWHQNPTIKETTDHGSSFFIAFGDVQKRVEWLHLPEIVTSEKSEILMLVQSRSENLGRRNVLRRTWMDKNNSQIMRKGRMKALFLVGIVDKDENVKKLLLEEAKLYGDLIVVDLIDNYVGLTYKTIASLLYATSKTPKFQLIGKIDEDVAFFPDRLINLLYNDVIDTNTSTLYGEIVREGGEVNHDKSKRWHVTEKAYRCKKYTECLSGPFYLATRKAALDILSATKHRNFISIEDVFITGLLADDVGVTRKSLPMLYMLPGDKTVEEKTEMLAWHTSKNNDQYMEFFTKNSRTR >CRE21357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:371637:372621:1 gene:WBGene00085377 transcript:CRE21357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21357 MNNDEDISQQPGFETDSTSSTAKEEVGSDEKEEEKEVKRRPSRFKIEDVEQKSKFNEPKAAENVEKPEKMKSLAKRMHEALAKKRAKKAKRVENLRLAREEKNKFLNRNRKPPFDFPFKKREFPLIRPEKDEEWTLESERMGIKKKQKPKNAKINQIDDYNMEEMVEEVEAEEPDSITQIQTDPEQQKPVETLDVKLEPIEMDYSEVGESSSSNQMNSVKIENFEPVRSGITIKQEEASSSDI >CRE21396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:201127:202217:-1 gene:WBGene00085378 transcript:CRE21396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21396 MGAPLKHFVMRLVVDDVSNYKDCHDEIHYDIQWELSFKHTRRHIDIEFYGIKGDDSPWKIRSETTLKVISENGACLTNKKDFDFGNSQGDDARFEWSDVTSRSTLSSDYAVDGKVIIEASVIIKEMIGFDKEDLRKFDESIKKFSDVVIVVKERKFYLSKLFLGLQSSYFDSLLLGNFEESQKSEIVLNDINPTDFQNFLELIHGEDSINSNTIDGILHLADMYDTPTAIKRCETFLLNKSNKTLKMKLEMSIRYNLNDLKKQCLSRIETVAHIRSVMPENIDEMDPSVSRALLLKSMALH >CRE21329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:232202:233788:1 gene:WBGene00085379 transcript:CRE21329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21329 MTEENDNVTLGNNDPGSPKLKRRRISTDTDGNEEKPRIEEPIATIQNVHNMCQELLEKQKNLEKSNMEIVEKLRLAEEKMEKSSKDLGLIKLKIDTIEQSLTNETSSESSDNNDAINSTTSSQQTTPSDVMSIDGKYFVLQHTFEKVSSLKDTNKLSSEGEEHFGLQWSASQLSKHKTVFLYFRRMVIQRNKDYLAYFLYYQNIPDIGDYSIFVEFEPKLSLLKLNKIGNRYNTVFNKDESWGILKFIEWKKLEEDFSVNDKLSAEIRVKIKKTTGIYKDNLRNFDETMKHFSDVVLVINAKKFYVSKLVSYLATHSPYFNTLLLGQFQESKKSEIKLTGIDEDDFQKYLEILYGEQAIDEFTVEGILLVADMYDTPLVVRKCEEFLLKKSKKSLKTKLRMSTRFNLELLKKKCLAKITSVTEMRSILPANIQDLDKSLIADLLEKSISFQ >CRE21426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:362840:363899:-1 gene:WBGene00085380 transcript:CRE21426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21426 MTAGNPFIIKHVVKNISNFNKEEWDYSQNEEHSGIDWKIGITEKNGFVAINLICNHSTNDDKWTIQTVDDEFKIISISGKSCTKKVNQCFNEKTKSNGFDDFIAWEEMIKNYVIDDNLVVEIHVNQMIISGIEKRKLKVFDESMKEFSDVVLIVEDQKFYVSKLYLAGQSTYFEAFFLRNFEESKKSEVILNDINAKDFQNFLELLHGESPIDDSTIEGIVRLADMYDAKMAVRKCEQFLMDNSGKTLKEKLQMAHQYHLENLKIKCLSNIPSAAEIRSVLTYVLSKMDPSVVGALLQKTLALFK >CRE21326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:226886:228472:1 gene:WBGene00085381 transcript:CRE21326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21326 MSEENDNVAPTNNENDISMEEEEVTQETAGNETENQDTSRNEIVEKMLKEILEKQKNFETSLVDKLRSVESELQLIRDDLKPKTVTATVPVKADSENTTALNSENKSATMSTTGKHFILKHVFKDVSNMKENVDHWSEAEEHYGVKWRMYVCRKKEHLQLFLFCSKPMDTVNWSIETQQKGVFISNRVKNKVKECIQQVFDKKTPSWGWMKFIEWAVLEKDFFVDDKLTAEFHVKIEKTAGIYKDNLRNFDETMEEFSDVVLIFLAVHSSYFKALFLGQFQESMKCEIKLTGIDADDFQNYLELLYGDNSIDEFTVEGLLLVADMYDTPLVIGKCEEFLLEKSKKTLKKKLQLSMKYHLEALNKQCRKEIKSIADIKSVLPGDIRDLDSSITTEFLEIALSIQ >CRE21319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:168092:168675:1 gene:WBGene00085382 transcript:CRE21319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21319 MNWFILLSIIFFSVFQDGNCCMKFKRSSDDCKCPDIFDHLATISSKDDVLYTEEGGCVYNITCRVHFETILAFKLADSEILFPEDNNSDWARLHAGEEEGSSVDIFSLFGMICENNGWYITKYPSGIEYFSKNCNCYPFIGVGGEYDGKKSKLDEFDW >CRE21317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:164905:165558:1 gene:WBGene00085383 transcript:CRE21317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21317 MNWFIFLSIILVSFFQEGYCCMKIRRSVDICDCPDIRDRDDNRNEINKTELWYTEGPGCARNLSCGIHYRTFLAFNFHESEIPIPDDAADPFALIISTLEEDYDAPPGPTVNMFSYYGIICENKDWYATIYPIGIRYYGPDYNGKFVGGGGEFNGLKSRVWLFKCKNPL >CRE21406 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:238306:239156:-1 gene:WBGene00085384 transcript:CRE21406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21406 MCIHAMCCVPIKMSDVLKLGYQIVTAMSMILAIVFNWTRKPRKAKWRELLITIILLILLQHVSKISSCQEIDVVNQQETICDTEGICIMVTEEIMRLNTFHKEGCLRLEKNGTTIRDIRIEMIEVELHCIKKSIAFTQNVETKVWSTKRCPRMGSCIQDKCMNVTKDTVLPELKEVNHYVGNVGCAESCGGPGCGCFFVSSGCLFFKTYATPKLRTSCKSQGDNHRLDKIKSVADIRSVLPGDVCDVDPSIMRELLEKSVALHNP >CRE21346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:324929:326190:1 gene:WBGene00085385 transcript:CRE21346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21346 MRKAIQQRSDEREKPMEMKKQKITNNSSESVNEKVLEICQKLLEKQKDIEKSITEVVDKLGSAEVKIENISRKLEEATVDEKLTEETTTCLENSVTSPECSDEKSSQIFPMTGKCFVLKHVFTDAVEMEEEVKYDSEEEEHFGLTWQLRLWKQNDELSFYLRCVKSLSDEKWLISSDAQFKLISKNGKCHSELKINTPEIPGNNRKFGLYSAYPFIEWSKMEEDFLEDGKLTVEIHVKIKEMAGIYKNDLKSFGDEMKSFSDVVLVVNEKRFFVSKLVSRRFSTLIYLNFFQYLSGHSPYFNSLLMGDFQESKKSEIKLTGIDANDFQNYLELLYGEQSIDEITVEGILMVADVRHAVSRN >CRE21410 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:253681:255112:-1 gene:WBGene00085386 transcript:CRE21410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21410 MNSIPLQYERLKAVLLYMDHNVRMSIPLLLFPYVVQKEIFKSMEYCEVFIMSLCSKRMKQCVIRAKRKISS >CRE21324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:222969:223570:1 gene:WBGene00085387 transcript:CRE21324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21324 MDCTAPTNYLVSFWLKSLTGVQIWRNLSRVMLLMSSSMHTIFVKRFELVFFRKIIQTEEQIGYQRNSESPEAQIYDNENYSVSDGEVDGDDDYFN >CRE21404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:220194:221513:-1 gene:WBGene00085388 transcript:CRE21404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21404 MSTMPPVPMPPGNLIRHFNPKCKIPTENSMTEFVEKLYVKPLINFPKTIGPISWFFISEKKVIIGCLVGESINIRQAEALMKKINIYVCKSHIEETHREIYKKLCSHESEDLNPDSKIPKVMTTVSLLMPELKLSTFQQILNEFLIKHNYMMNGDQTEETDSLDESEKEEERLEESDYYLDGSDCSPDDATLPIKKYSPDASTSSAEKS >CRE21304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:70468:70792:1 gene:WBGene00085389 transcript:CRE21304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21304 MYNQHEVTFHDKPSSFHPSWGRAPSFYPTNQQSQAQPFKCLWNTDGKQSHKYFLSLKRLGFHVKEEHATHPIFFLTGANVSFPFSLLLFC >CRE21321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:190280:194400:1 gene:WBGene00085390 transcript:CRE21321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21321 MSSSPPPASPPPTDSTSVPAPPSSTGTSSNPSKLLPLPPVRSAEYIPDKAEYETEEEELVNLGVFEFRGASYRIKKMLGEGTYGKVALVAAESRKLFAAKFFVKDNKDAEDNDESIGVEERYPECEHFTLGGRLHHQGHVGTISDRVATVNGVNSLWKFNGQGRPRKCWEYTDKEWFLRLLHGDNSENTFAIHGSYINAQTIITSTTNLPDHKNNFFHKYGTKDETGHFCFDELDNRIFATGCIINPALRFNQPNSTLEKKPFELPDGHISDEVVSKCKYLAPVSMNSSIREVTSKLIKKSPNARLTAVEELYSQLVTDHQRQRHYALQKIVRDNDL >CRE21347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:337894:338822:1 gene:WBGene00085391 transcript:CRE21347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21347 MEKEGLVQVHPKSVSVKSKHRLILNLLSPEIFTIWIHRLWECAEELKIKKNASILLENQATSLKRSNLELKDRADSLHKQLSDTISRKSGDIMDAMIAQKRGCDVQHDRQVKTLKRTIQEMTMSSPSHGAKLKPYKDLKSPDSKKARLKSVRLCSLILIPTSHPHILHLDSVMFFFDHFLQLCFS >CRE21355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:367604:368706:1 gene:WBGene00085392 transcript:CRE21355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21355 METNEKKFVMRYVVEDFDALQEYKSYSVTEEHYGIPWKMHIQKSSNQFSFNFECLREQNSEWSFDTEFTFKLQTAKDSLQSTHFWSYNRNQKQWGWVNLMGLNKLLEKFITDEKPVTFEMKVRILKMVGCEKEKLKRFDESIKELSDVVLVVKDIKFYTLRMVRNVNNVIGDNKLSFQFLSIQSSYFKSLFLGNFEESQKSEVTLTGIDPEDFQNFLELIHGEPSVDDATVDGILHLADMYDAPTAIKRCEEFLLEKSKKTLKNKLQISTRYNLKILKEKCLSEIKTLDDIRSVIPDDIEEMDPAVSRALLQKSLGFQ >CRE21305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:71187:72128:1 gene:WBGene00085393 transcript:CRE21305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21305 MNSIHPPTFSNFYHPDYSGQSTHAAGSNSTFSDSPNGTEICCWITNGYECGRTFSNAIAVGNHIEIEHLSHNAKKCFWRDCGEKFKTKFDLKAHIRSHTNVMAFICGICKKRFEYVENLNVHMVTHEDQLSFDCTHLGCGRSFDSQSSRQQHMHVNSTHTRYFCNNNEKLDSGKLTSANNTVSVCVFNGTPPPVLKKQDMSMREFIKWSDGYIWALQNPFINSRYQFEMKGAIEDDEL >CRE21364 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:393126:393472:1 gene:WBGene00085394 transcript:CRE21364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21364 MELCESQRKERLTPDSVHLHTTSGESAVFSYRNFRDLTGELYPVEFASEGWPKMRCYHVVAIGSPKVPTYTWLLLQDINCPEIRYLTKVNKIGEDFLL >CRE21369 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:35316:36249:-1 gene:WBGene00085395 transcript:CRE21369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21369 MDSPMDSDLIERHDGVTMEFEESNGEEPEEVVKSGEGLVCHRRSSRKTQNNKAQNNNEKDQKENKNEDEKVEKKEEEKVETEQDRKIQGYFEAAISGYPGPPADYVPPELEPPGPDATREEHMAYAFRKQKVTMHSKDYILPYVKDPSVNEKKTIMTMLSKTEFEIKHLRSIFDETRAKMEIRKANGEPLIEEKEMEEEEAPKTKRAKKNGKNRAKKDRQQSRQMRQFQDPSQPGPSNRY >CRE21328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:230345:232001:1 gene:WBGene00085396 transcript:CRE21328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21328 MYFSHRKDQGFIVILFILSFKHKSLQMSDENENVASLNEDKNDLMQEDQKVEEINTENNEVSVHIKLSTHNLPFHFQKNPIDALNFSVQSMHQICQELLEKQKNLEKTNIEIIKKLHSTESEIQKLSSGQDQILQEIQLKSNKIDEETDVSSQSITSDSSETTIHNEKIPMTGKYFMLKHVFKNVSTMKENEYQWSEPEEHFGVEWRMYVTRNNENLSFYLFCSQSLERGKWSIETNRKHIIISNRAKNCVKTANRTFCNDGIELNSSWGWAEFIEWKALDEDFLMDDKLTAEIHVKIKNTTGIYKDNLRVFDETMEEFSDVVLVVNEEKFYVSKLFLAAHSSFFKALFLGNFNDSKKSEIKLTGIDADDFQNYLEVLYGEYSIDEFTVEGILLVADMYDTPLVIRKCDEFLLEKSKKSLKKKLQMATKYHLEALKKQCLSKIKSIADIKSVLPGSIQDLDPTIMGELLEKSISLH >CRE21399 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:210473:211128:-1 gene:WBGene00085397 transcript:CRE21399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21399 MSTAFKASDPQSPLLDDEQYKVMHRHLEMLVRDNERIPESVAWPYRGPYTQETRELAVNDYLKEWRRQIGNDTEQVRIDRELAILQVYEERFDHFKNRRNRPQDMSDFQIQLAVLDGIFTAWDKHHRPTRTLPRNLMHANRNTIRKELFSAVHKKVDEMAKSRKNAERDARANNRNERGQN >CRE21302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:55543:58727:1 gene:WBGene00085398 transcript:CRE21302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21302 MEKKEEEKYVDSMEDNLKPSTLYLMPTDNVEDINQVIGVYTANAIVNYFNLLLGSHLLYPSERADYRKRVERIGFRASEHYGIVHLLRMLSRFNEYYSYRPKAPGLAKYVETGIKMLMDYLTAHHQKYYLGDDSYELPPDVPSTSRVR >CRE21384 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:157464:158096:-1 gene:WBGene00085399 transcript:CRE21384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21384 MNWFIILSLFFIWNFQECHCCLKSKRSADYCECSDIIDLLDEYADRANIPMTEKVGCVRNITCDADDQTYVTIYFSASEIVRPDDNNNDIGYVDSTNLQTGVPRGPLDIFSSFGMSCENKKWYVTKYPFGLSYEKKDWKLEYITGDWDGKKSEIKFIACIAPP >CRE21318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:166204:167203:1 gene:WBGene00085400 transcript:CRE21318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21318 MNWFIILSLFFIWNFQQCHCCMKWKRSADNCECPDIRDRYIDKWNEIKGYCCMKIRRSVDICDCPDIRDRDDNRNEINKTELWYTEGPGCARNLSCGIHYRTFLAFNFHESENPLPDAASDPFAEALSTLDLYHDTPPARTLNLFSYYGIICENKDWYATKYPLGFAYNGTDYKRKYMATGGEYKGSKSRVWLFTCKMPREFL >CRE21376 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:115167:116279:-1 gene:WBGene00085401 transcript:CRE21376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21376 MNEQFPCSFCEKTFSSWKGKKQHEGGHGDKKYKCEICHAAFGYMSNLIDHRSIHNEPRYSCDVCGIKIR >CRE21349 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:353400:354226:1 gene:WBGene00085402 transcript:CRE21349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21349 MTAPAKEFVMRHIFKNVSSLKEGEEQSSFVEEHFNVPWKTKVGRKDEFLFYCLYCDQPKTADWLITIEREVRLISIGGKTEKRNSELTYKSKSNYTGWGFNLIKWEDMEKNYMVDGDIMIETYVKIKKMTGIERKKLRNFDESMSEFSDAVLIVEDEKFYVSKLFLASQSSYFKSILMGKQEESEILEVTLENCKSKDFQYFLELIYGESPIDGS >CRE21307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:75826:76971:1 gene:WBGene00085403 transcript:CRE21307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21307 MINNYYATYPNRNQYFYHSSWYQGTDPYVPSYFTNTSYSYPSSPHVSNSPVYLAPQFKISACQSIPEHQVTHQPFKCLWSSEGQQCQKSFANSEELGLHVREEHAEGRKICQWYNCGKEFKRPYKLVNHLPVHTGEKSFQCDTCGQAFGRKEHLKIHQRSHTGEKPFPCTHLGCDKRFRDSAGRTKHMDSHAEYHKNQTSKMWSIPTPIKNSFPVFSSYGSPEMNADTTQAVTGSIASGNCST >CRE21408 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:249994:250515:-1 gene:WBGene00085404 transcript:CRE21408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21408 MFPLDVVQIETRDEDDFQFSLVRDAKKLIICSPSPEIDILPSLTTLPNKEVILGYTGSEITAEEYFGFVLEWLIADKPIGTSFSFGIEKEEPAKELLQIIESRVENVKIAERCVSICINNDSKLEVLYIPIKDSRNSKQEGLMYNRKWFLKIRIVQH >CRE21325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:224845:226554:1 gene:WBGene00085405 transcript:CRE21325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21325 MTYGNNKISSENNEEGGSAPKRQRIEAENNQNAETNDQQHLTLRVMYDMCQEVLENQKNIEKSNKEIVEKLRLTDEKLESIQFQLKADKVDETTAISSNSLDSEDSVKTTSSKDSSQEMIPRGIMPTTGKYFVLKHVFKNVSKLMENQNLCSEVEEHFGVGWYDTESISSAQFLQLRSTGMLLKNVSFLSISHTIFFGFGRARLNMRVYRKKEHFSFFLRCSQSLDTGKWMIEVRKKLVFISNRIEKVREDSSAFTNIEKRCNTSGWPKFIEWDVLEKDFLVDDQLTAEIHVKIKNTAGIYKDNLRNFDETMKEFSDVVLVVNEQKFCVLKLYLAAHSPYFKALFMGNFKDSKKSEIKLTGIGADDFQKYLELLYGEHPINEHTCEGLLLVADMLDTPLVRRKCEKFLLEKTEKTLKKKLELSARYNLETLKKKCLDDIKSIDDLEDVLPGNVQDLDKSLMGELLEKAISLHN >CRE21343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:309771:310894:1 gene:WBGene00085406 transcript:CRE21343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21343 MTSSGYNEFILTHVFKNVSSLKEGENDFSDVEEHFDVPWKLYASRRGSCLGLFLHCDKLCKDGDWSIDIDLDIKLMSVKGKIISRSSSHCFPGPDGGIQPKGFGWPNFIEWDQMEKDHKVDDDIFVMARVKIKKTTGINNRKRQFFDETTSDFSDVVLIVEDEKFHVSKLFLARQSSYFTSLFLGDFEEAKKSEISLTGVESEKFQNFLEVLHGEDAINGIYKKFDICGRISLITDATVDGILSLADMYDAPTAIRRCEQFMIEKSEKSLKTKLQMSTRYKMKKLQNFCLVKIKTKEDIRSVLPGDLSELDPSVWSHLMHLLASID >CRE21397 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:202446:203558:-1 gene:WBGene00085407 transcript:CRE21397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21397 METSMKKFVMKHVVEDVMNIEDSKEVSFDEDHFNIHWEMSLSRKGEFFSLTLKGPMSFAQNVREIEAKVSLKVISTSGRSLIVEMDYQFGNPFNVFRPCKWEDFITRKKLDEEYNVDKKVTIEACVIIKKMTGFGKEDLRKFDESIEELSDIAFVVKDRKFYLLKMFLALQSSYFKSLLLGNFNESQKSEIVLEGINPEDFQNFLELIHGEDAIDNVTIDGILHLADMYDAPTAIKRCEKFLLEKSNKTLKSKLEMSIRYSLNALKEKCLSEVQTVAEIRSVLPDNIDEMDPSLAKALLQKSLALHS >CRE21365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:537:680:-1 gene:WBGene00085408 transcript:CRE21365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21365 MAYDMNSLFSSNQPPLNGGGGGPGGPPQQQQGPQSLWSLQQFSQMGG >CRE21374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:101054:102475:-1 gene:WBGene00085409 transcript:CRE21374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21374 MEYYNKNLYGINWSEYLKMFPGKGKSKLVSRKMEVTMLQRLLDQGIVNKEPVVLNAFWQCLVEEEKWGGIWERYREHFRQSVAHRIQHLKFMDSKYRALMLYVSSRRVTDTFKKELAKDECVCQYDEYDRIISIVSKDKQVDVGGKTLSKVPARYNWKTQKDNEKDDKENENKEEDNEEEDEDDEKEYQDDDDDEKKDDDKKEDEEDEKEEDDVKEDGDEKNNFKKGSSKHEKDNETKEEGLSQSNPVMTIERFYDNLKTLIQTHCRKNKLEYSFMWGLEQESKDKEMSNFEMIKLLEKLACWVTECPDEETDVIKIDKFQLLMMFNAMVGMIPGYENMKEKIESALNLECERDQKVPLALLGQMIVSILDEFSTY >CRE21298 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:26270:27098:1 gene:WBGene00085410 transcript:CRE21298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21298 MSSSIQLDVGGTLFKTSKSTLTRFDGFFKTMLETNVPIEQDQSGHIFIDRDPTYFQVILNFMRDGDVRLPNSEQDVDAISREANYFLLDGLMELCNQKLKNSAPRNLPMSRMKFLETYDQVLRVIANPQKPVIIIYYVVSHDGLIEKPQAVQNHQFFDITQFLDKYENTFDVYFKQLDYKRNEEYYQKAWQLGCYYKNNVISECTFSCGIGMLEKIIAEFIEMIE >CRE21405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:234164:234710:-1 gene:WBGene00085411 transcript:CRE21405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21405 MSRPLSYLSLKCVILFLEPHSRFKVIASIPSLKFADLAIPFHIHNLDLEQSKFKINQAEFKFDMVKIFNKDKTRYNEYFQLTINEITHEYLTAQESIEKAMWYLAKKLFRDNMIVTNLSLRSEGEMRLTWLPFDLKLKAHQLFVGQGASLIQAMQLTRDCDPTIQRV >CRE21331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:240648:241069:1 gene:WBGene00085412 transcript:CRE21331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21331 MNYSLAILAVCIVLCYAQKTICEEGGEYGLTLVPTASPMGMCPLGSYEVINQMCCTGSKIRQVPCEDQLDSNGKSMCPLSRLGCYRKLLGSLKEEIELCTKTCGYCPMPPRFR >CRE21401 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:214012:215440:-1 gene:WBGene00085414 transcript:CRE21401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21401 MTKAVQQPSDERLMDLKKQVDFIYSLVNHQFFFQKITNNPSESVIEKVLEMCQEVLNKQKDLEMSNLEIVDKLRSAEDEIKKLSKEQQEKFDSIQSDLNEINQTLKPEEPSKMEENDDSADITALVNLSQKSNEMMSTSGKYFVLKHKFKNVSSMKSGKIYISEKEEHFGVPWWESTINVVQLYFYLFRQLSMKKNDGYLSFFLNCLSLKDTKKKQEIEVEYELKIVSPSTREEKLKFCDLFQSATKKTSWGVPKFIEWEKLEKDFVVDDCFCAEIAVKVRKMTGIYKENLRSFDDTMEKFSDVLLIINDEKFYVSKLYLAAHSPYFEALFLGQFNESKKSEIKLSGIDSDDFQKYLEVLYAEQSIDGNDDSFFISFSFSEFTVEGILMVADMYETPLVTRKCEEFLVRKSEKSLKKRLELSTRYNLEALKVNPYSETTDCE >CRE21352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:360701:361388:1 gene:WBGene00085415 transcript:CRE21352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21352 MVREHRGFLRKKLKNFDATMEEFSDLAIVVEDEKFHISKLFLSDQSTYFKSLLTRNQEESGKPEITLDDCKSEDFQYFLELIYGESPINKETIDKIVHLADKYKAPSAIRKCEEFLINNSGKTLKEKLQMAKKYKLENLKTACLSKIKTVEEIRSVLSYTTSEMDPSVVGALLQKSLSLLP >CRE21327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:228674:230244:1 gene:WBGene00085416 transcript:CRE21327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21327 MTDENNKISSENNEEGGSAPKRQRIDAENNQNAEINSNNSSDSTIQCVYKMCQEVLENQKSIVEKLRLTDEKLESMQLQRKAGTIDETTSITSNSPDIEDSAKTTSSSDSSQAMIPSEFMQTTGKYFVLKHVFKNVSKMKENENRYSEIEEHFGVEWYDIEYSFFRICNFRRMRVSRKKDHLSFFMLCLQSLDTEKWTIEVERELVLFSKRIKQVREGDKTFTNTGKKYNGSGWSLLIDWAKMEKCFLVDDQLTAEFHVKIKNTTEIYKDNLRVFDEKMEEFSDVVLVVNEQKFYVLKKFLAVHSSYFKSLFLGQFQESKRSEITLTGIDADDFQNYLELLYGDNSIDEVTVEGILLVADMYDTPLVIRKCEEFLLKESKKKLKKKLQMSIKYHLDALKKQCLDSIKSVSAIRSVMPRDGNDLDPSIMRELLEKSLALQNPK >CRE21344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:311079:314644:1 gene:WBGene00085417 transcript:CRE21344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21344 MNIKVDYFSNYLTRTMFYNVVSSYIPKQLLEDFDKKKFVGKIGYILNDGADAVQEILDNSNNQLRMYGSAAELALYLRLYRNFPMSYKFFGNHSFEPYRSEVWKSIKSEEFISKHDLRVWMESEVCFVWKDTDLKVACGFTSIFFKTCDAQLDGCYEFVKYNPEFYSQFCQKLDQTMKDISITIKSERSKLEKYYLPSSKSDNSEIISIWESLIPNCNKKQKEDLRVTVSLLYKMFPIRTHPSLHAELTYICSTLFEMIREFMTARPEMFLPYTPTNIKNAPIVIRVFQAESHEFVMKCELFEAIRRKNPPGENIDFVDENGRYAVMELADVYKEYGDQISNINLIPTPVRRAEFKAVPIITSKGDYCILAIDAFSEIFNQLFFVEKVFQKIKNDDWNYLCDYMRKVEQFFKSDERFFVTIESLNKLKEETTEFWKKYEKVPVKYVRNAKKDGFTVENLKNELKNLGLNRIFPEIELHADRVYLSTIINKKDKFLRTCDLFFAISLCLLRCVHGHFDSTRALFVAQKVMDKERTSQLDYRNCPELRDKLKDVYNINPKKVVKEESVDEKSSCEDCVHTNKLYDQVKKELKSTQHTLRTAEKKAKKTDELEKKLASCQRKIEGFENKLDKERDEKKTVQESLEKQIEEINEEMRLMKEQVSMSSAQLEAKKLEVSQKNEEINKANKILTDTRKKLTSKTSKLGDEVKRKENQLESVRREVSNLQKKIDEKDEKEKTEISEKDEIIKRLEETNTRLTIRVEEQDRIVKSLLEKISDSNPSGIPEDKRHCEPRYQLSELWKIRDQYNSGEPLKQAKHMIQKLISLSNRADIHEMANYEYQQFEGKIRNYLLSVEVNIQKLKKNRECSELIPLSDLPAFSDRFMTEYWKEIHKKSEAIEESDVECGICFFEMKSEEEKLDCAQCKKVLHLKCATKWLAVHRSCPYCRAKLLDPIEFPSLN >CRE21403 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:217625:219702:-1 gene:WBGene00085418 transcript:CRE21403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21403 MTEGNENKFSADVAANDSTADDQRVKQLKSENENKTGVSDSTIQSVHKMCQELLEKQNTLETSISGIVEKLRLAEENFKNLKQDLQEKFDSTQVKQNEIDETLKPVVSPPPVYSTRDFQENKGKMSTSGKCFVLKHTFNNISSFKNNTYFFSEKENHFGVPWWVSSINVVHYILYRRIYMERNDGCLSFFLSCLLPENNENTWEIDFEFELKIVNPSANENRRKLCHVFKNDGSGLACGLSKFIAWEELEDDFVVDDCFCAEIAVNVKRMTGIYKENLRSFDETMKEFSDVVLIVNDEKFYVLKLVSKITIEGILMVADMFDTPLIIQKCENFLLKESKKRLKKKLEMSIRYNLDALKKQCISEIKSIDDIKSVIPGDIHDMDSSIMAELFQKFLKLH >CRE21423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:340679:340956:-1 gene:WBGene00085419 transcript:CRE21423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21423 MATQSTSSNPLEANSPRKNHNDNKIMPGIVLLDELDPDQNKADVEHINDEELDFGDVEYENMEFRNAPTDMDPGN >CRE21386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:169637:169895:-1 gene:WBGene00085420 transcript:CRE21386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21386 MKWTLVRDQRDTPQSLIAHLNAIVNNATPSTSILTATNSSNCTDPALRNPVMGYSHQQFFWSGGFLGN >CRE21424 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:344362:345390:-1 gene:WBGene00085421 transcript:CRE21424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21424 MVEVFEYKSAAKTVSSAGNGIMEISDQNGIKCTWLAHTHVNNQLGTYRTNYSWIFDWAQLKAQGCERLTENITVRSTSNSSLAFNVNVDLINTVQTITEERPISHANYSVTYEYLFTPQYTPIPIQKISYDEMFLPSELNDAILVVDGKKLHVNKMFLSYHSEFFRVLFSSNFKEGSMSEIPIEDVSYEEFGLLLSIVYPKTVFTHGILFVEFFSNPSNFSDKTVEKLLEMADRFDMPSVIGHVEYHLLHNTRIGNEKLMWMADAYGMDNLLEKTVCQTSTVEKAKLLKNSPEYKKLSKDAKSIVLDRIMQLI >CRE21383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:156381:156963:-1 gene:WBGene00085422 transcript:CRE21383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21383 MNWFIILSLFFIWNIQECHCCMKWKRSADDCEGSDIADLLDEKADRSNIPITEKVGCVRNITCNADINTYVAIFLNASEIVLPDHVPLNLANKKWYVTKYPQGLSYYPKDSTELKFITGDLDGKRSQIMKIFW >CRE21303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:68091:69689:1 gene:WBGene00085423 transcript:CRE21303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21303 MYNQHHNSFRDKYLDAPYSFHSSWGPAPSFYPPNCHHSASYMYNMSLAIPPNTFSSETPQNPVTQKLKALQVTPIVTDQIFFHNQVQSPPTHLPYIGQPPLFSCTPYHPSNLLNTPATTPNSLYPNTPENQCYNMAYGSPTAPKPSNQNSRDNQAQQAQYQITDQLLRCLWNTDSNPCEKLFSNSKKLGLHVREEHVEATKICQWDKCVKEFKQSYKLASHLPVHTGEKRFRCDTCAKTFGRAENLKIHKRTHTGEKPFACTHSGCDKRFGNSSDRKKHMHSHSEKRYFCEHPDCGRNYSDPSTLRNHKKTHHNDQNSKLRPLPTAIKNTASSFKEFQSDSLGKGYLTNYQLCYDYHENNF >CRE21371 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:52652:53114:-1 gene:WBGene00085424 transcript:CRE21371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21371 MLLQLTPRRHELISVFMMSIGTTFMFLGYDVQSMMAESVLHSVSTKNPDRISEYAGYYGQAIQYISFAFFSLFTATIQYYISSKSMLVLSSILFTTCYIAYIHVNSYIFYSSQLLLGFAYASK >CRE21316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:163654:164670:1 gene:WBGene00085425 transcript:CRE21316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21316 MLSSIKQEPPDDFPESVLNYNCPHCAYKPNGPKRLARHVKCHYCGITFSDETLFILHQIPHSTGNPFKCSLCGTQCANKITFASHVLFSSH >CRE21363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:390282:391870:1 gene:WBGene00085426 transcript:CRE21363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21363 MGSRNSKSVDSIEAKLTEDAKEKYAGVNNFTGVLYKISSSGNVSINANGSTVSSMQEKSKRTSPYNMQREKERKRQQMTRKRNMRQREIVSQNEAAPNDSIQGPPLYTSTPREDGHHAIDYGQHAIEGPNDDQI >CRE21388 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:174506:175139:-1 gene:WBGene00085427 transcript:CRE21388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21388 MNWFIILSLFCILNFQECHCCLKSKRSADYCECSDIIDLLDEYADRANIPITEKVGCVRNITCNADINTFAGFYFSASEIVRPDGNTDNIAYVDSINRQTGVPRGPLDIFSSFGMSCENKKWYVTKYPHGLSYYTKDSEEFISGDLDGKKSEIGKIFCKPPVI >CRE21378 pep:known supercontig:C_remanei-15.0.1:Crem_Contig73:128868:129323:-1 gene:WBGene00085428 transcript:CRE21378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21378 MTGQVCMSPIGCVRNVICDANVNTFVIIFFNASEIVRPEDAFLNRAFVDSTNLRTGGLGGPLDIFSSFGMSCENKKWYVTKYPHGLRYYTQNVENPKLITGDLDGKKSEIKFISCVPPMYDY >CRE06202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig733:9884:11294:-1 gene:WBGene00085429 transcript:CRE06202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06202 NENYSGYPAACLPYQNNTVLYAYSTDIDYDTYMRGVNSMDNLAYLYTTAANVRFDTKQEEEIEYHWDYESFNVSINSHQTDPSLGYGDKTTGSNLYNVLKKFLNNKKAKICGSLVFIAVKRYPDESDVSDIISQLRANHVMVYIAVDIIPSGGTNSATLYEMAYQTNGYCVFATSNEYVLQKAFESTFWILGYPYQFIAQNFVVSGLGRIELSAIRTPVPPLDTDDCLFAITVQNHTLDNSFVSVNYTIESTDGSYVFKWPTDQSFPLEGTAGLEYSSLNGSLSYKWTVDYQYNTDVPQIIQLRMYSGYYHDFLPLPPF >CRE07902 pep:known supercontig:C_remanei-15.0.1:Crem_Contig735:2809:3495:-1 gene:WBGene00085431 transcript:CRE07902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07902 MGITTSKSENLTTDDSNKGSGREKKNYEVVSRNEEGVVFNIVAGTSVTISQNNINFSSSMQEPGKLSWRAKRARRIAARFEKGKHLRKMEANVKKSGGKRVTFKRDVEQKMEVKVKMEQSKHVKTESSELMN >CRE12391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig736:7245:7586:-1 gene:WBGene00085432 transcript:CRE12391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12391 MNSSIGIAFLLLLASAQSVSGVKRNNPDELSNRELVNNLNRKRLEFAKNEHIANMHDLTWDATLGSKLEHMTCDELTSPGPD >CRE12390 pep:known supercontig:C_remanei-15.0.1:Crem_Contig736:6789:7124:-1 gene:WBGene00085433 transcript:CRE12390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12390 MGPKNSRAKESDIKYGSPGTGCSGGNGLSGLCMGGGSGSGGGSVENVPVEKQSEKASVEKQSEDKVVEAKGSRDGAVSSASMPMTLLSLTLGFIIA >CRE11554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig737:14512:15202:-1 gene:WBGene00085434 transcript:CRE11554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11554 MYGTISDVCTRESCPTMCGGSRYEYLWQDGLEYKKPTRLPAPQYMQLLMDWIEVRINDESIFPSSTNVSFPKDFRQICKKILTRLFRVFVHVYIHHFDRIRELGAEPHANTLYKHFYFFVTEYGMVSTKELEALKDMTERLLEPSNRRAPIPSANAFRQ >CRE11553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig737:12571:14241:1 gene:WBGene00085435 transcript:CRE11553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11553 MPKLWFLSENNDYQLEDDEKGNLSFMIIQKMKISASATYSSIRTGILSLTPGDQKCRLYCSGPRCRFCVVVTGQSEIQAIDGLYSTWITSDILAMARLQVEHFEKLDIIEKFRTNHIVSVINLQESGEHSFCGNGNLSSGFSYDPEKLMHGGMYHFNFPLPDFQACTPTRLLDIVKVVDFALTLGKIAVHCHAGHGRTGMVIAGWMMFAMGMSPSQAVDTVRSRRAKAVQSKEQVETLHKFRLLIRNNGGMIIPKQKMMLISEYVSYNQKFLCKPESRLYGKVPKIVYTAMRITLQKMYSSVSFEIEDDYRLTIRCGNPLPENKSLDEQLLDAQLNDEGHEKTQFWYSDQVKNGLTISTIHRQLQNEDFRDILRLLDLFFHSTFHQLTHKEEIYAVLHNWDQVEKDWSPTFWFLLKCIREMPRPLHLALSRLVAKWFLRSEVDLAPRIQQILSPPVSSNE >CRE11550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig737:5507:7200:1 gene:WBGene00085436 transcript:CRE11550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11550 MLYDINRFHVLAFITWQFANYFAGQNIFGIYSNHVPKWKCGNDSQPTKDCKVYISCPKNKLIFVNPAFDSAAIEFDWICGSSAFYQSFFSQIQFGGLLIGTLLFGSLSDRFGRKPIGILVVSNGICSTFASGLAPNVTVLFALRFFVGLSIGGMLVVLCAWIMEVILPQQRMVVRGFFNWGWTRIALTAVCYFTREWRLASFTTAISLIPALLLVIFVIPESPVWLHSKGFKSRMILSEIHIAKVAGVPYTPVEHKLLRPKGLIETLRTKGMFKKLLVLWSMWFIVAICGGAIDLNSGTLAGDLYLNQLCFGVLLVFSKMLLLFVDTNFPNFKRRTLHQGSLIGTLICVIIMTFYTASDYHGIAVLITYLIGTAFLEYTWDACYLCAIELMETPSRASATGSCSLSARVGMILAPMLTHSNQWWPYSVNATVMVLGTSNLLISYFFLQESKGVNLDDVHVDDTPSNDSKTLEEIEAMVQKVRE >CRE10725 pep:known supercontig:C_remanei-15.0.1:Crem_Contig739:13944:15800:1 gene:WBGene00085437 transcript:CRE10725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10725 MSMDRMPAIMCFQCGKLFESILESKQDECRQPCLGSCLHSICIFCFTLLDSPDCPICEKEESFKELIINNTALGIIQSVKTNISMETQERFLKEIIVSEREYFITSIWKYIIQMFEKGSCSKCERENQNLRFCKDCAESGYSNTLKMGPNGKWIVLRSTTGILISCEDCSVAECQNHEFISIRDVDNLKDVTEWTKISGYFPSFKDEYIIDYFGKSVKEMVSIENKWKELKESKGCECFRRVIRLELKESILKCLNLKKREMLFYKDRLNTFLNLYETKLAGIEESEAKCRLQNTIYKLKEIQDKLSDGTKNWLSSEEVDLIDLEIEGKMLKLENEYRLKSFIQVEQVDGYFKYRSLIEELKAADDEQKEAKKKWEETRKEVENYKKEHQHNFEDLKNAMTSLEKHKSSIPEKEFENRIEYVEQYHEILRQDQWAEQMKVDKRVIECNRAFSRKCFAELMILNYFPIPVDSEILNYFELIREFKNDNNLV >CRE20894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:426801:427108:-1 gene:WBGene00085438 transcript:CRE20894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20894 MWQSMMMILRSTLPSLPVFQKSPIPDSNSVRDIGFLAEPDLKFRAHTNRTVAQPRLRSSQILKSLQVQ >CRE20855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:449420:450124:1 gene:WBGene00085439 transcript:CRE20855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20855 MSTDPPPKFVKGILLEEYKKRLTANQACEKINRFFVETNKNTEPVKLQLVQYWFRSLLTTSTPPCVYTDAPCNLI >CRE20856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:451348:452576:1 gene:WBGene00085440 transcript:CRE20856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20856 MTSNEFSCSTTSSRNCSTWRRKSDQIETKSDGSRWYHQEIQTVSTGLGNRRLKLLLIIPHHDQFAGREHRTESDRLRKEMQNENQEEGLNWLVERQAVIGSQRMCKTKSMKIMLELQLLHHHNLLSVQMYFFF >CRE20892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:418768:421801:-1 gene:WBGene00085441 transcript:CRE20892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20892 MASTSEKKTEEEMVNEPPAKKMKETSQEPEWLQYFNKDKVVINKYRPRQARILKKQDEDIMSDPDYQVILTQIYKSNYIRSQEMNTLLQIQENFLDEGVFNKEELEVKENEEEEKIVEEEEDPIMKELQKKIDEIKDKIRLINGESRRNDAEIAELEKTPGVGVPGVSYKKNKHTKGRAKKMMESELKVISQYFAIRDNKLEAEKLLEEAEKVRKDMLKSLE >CRE20832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:198208:201390:1 gene:WBGene00085442 transcript:CRE20832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20832 MSNEARREWCAAHSNNWRQDWLRHWHVGKDPENRSSEETVYCSECGNSWHKVCALHFEEEASMIAELSCGVCKRIPDTSKKRWIARNVAVCGTKCVHYISKRKQACSLSSTVVSVKGFRIPGRITPIKAAHVFEKARSTCAGKQKKDVYKDKWTIKTPPSRRHLEEFAKTLKAENEKSAEEIPIRREAKSASSSFLYTESPTKDMKRMEEQEVAKGYKDNRMLELKEKRCASFSWK >CRE20873 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:213747:215006:-1 gene:WBGene00085443 transcript:CRE20873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20873 MTLQDILDDIVFQHEKELQRINYKPNTLNISLSVNMDPAQLHIEITERVNTDLASLLENFWPNTETSTSRSQVGTSENVGALDIQRAVIEERGVPEVNQVDYLQNRIASLENDLMTQRIDLERITAQNETLQADLQTERDRNHRIFQDNIRLQEYLTLMTTNMKSYEVITRQHEKLCKFIETFESRIQKDFAKLHIGNNNTEDIKIGNFINSVILDAVKMNQLAHPE >CRE20863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:123211:130250:-1 gene:WBGene00085444 transcript:CRE20863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20863 MPKRGNSNATARGSQRKTRSQRANVAAIDNENVDLTTTVSQPTPAASRRFNPYRAQRANVAANAAVTDMAGQLAQLRSLAESQQRELRANVSVTGLEGCNWIGRPTIAQVQQTASAGALQRQMPAPRGRRGRGRPAGRPSQGRRRLLPASMEEQENRSGLLDRGLDSDDDYDEEMRKASLENKKEREEKERKERDRRVQIGERMARERFEEEGRQSETDSLVDTYERIRQRLQAREANRLQEPGQEERAVAPGETLFAPQVSMEPTVAEAGHLPVPPQASYLPANIPQLQPPVPPPINYLPATIPILQQPTPPLLVKEEEPDCQEIGITRPSIKIEHGKENWKKWTRKQVRAFIRSMEYRDAKEAEKLIDLNLNGFGLYYHWADEKNTVNRGISLDFWYEFHYHFAKIVKELERLENENKTKK >CRE20853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:437762:438216:1 gene:WBGene00085445 transcript:CRE20853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20853 MAAHRLVELLVCLALVTPSMAVYPSQNPRLTCHFYSMFVNDFDRTENNRVCTAYFHVPTKTFKFEGTWRDPKKISPSYNFTSGQDCQIKMVDGEEIYECFCFTPLCNTPYSVDDFVARGYTLRPTYTRSSSSN >CRE20861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:44364:51095:-1 gene:WBGene00085446 transcript:CRE20861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prx-1 description:CRE-PRX-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MUY9] MEYILVPLLFEDRHEIFETYLETPESSDVITEIVQKSDGYTFSEIEKLATSINVECAIRDTKYVKRVDVEKAFECFVAGKIGKIEDDQVLPTLEDVGGMFEQKKLLERVIIWPKKYPQLFESVGIPVSKGILLHGPSGCGKTLLANATISNSKFSVVNVKGPELLSKYIGASEENVRLVFEKARSCAPCILFFDELDSLAPKRGHDSTGVTDRVVNQLLTELDGAEGGMKGVIILGCTSRIDLIDDALLRPGRFDHHVYCGHPAQDERLEIMKVLTKNLRVIDIDFEELSRKTEGWSGADIQLLFTNAQFHNARKIAQEEDGLEEDDVAIDMTAIETVFCDSTPKPKKSEVDTRVGQKVTLA >CRE20831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:196440:197608:1 gene:WBGene00085447 transcript:CRE20831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20831 MSCVFENTFLESDRFLSYVLHGLTSIEVPIHLFGVYLIIFKTPKTMKSAKNSILQLHILCSIMDLMMNSLLSFYIFMPSPGGFPTGFMTTLGINPTCQTFLAFSSMLAVALSFISFFENRYDVIVIGQIGRSCKRNIFRILYFAANVVYVELTMAYIFWHLPSVEEGRKVIFETLPCIPAHLVNNPFFIHLNARLPIIPTLSSTMILVIFAQGLYFVIYTSYHLFSAVENVSKHTRSIQQKFFVAMLLQASIPTLLFFAPLICYYMIWRLFYYNQVYNNLLMIAAGCNGLFNTIVMILVHHPYRNAVKEMLWMRTITDNRTTFVATLRPIVDQRELVAIDG >CRE20844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:310352:311419:1 gene:WBGene00085448 transcript:CRE20844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20844 MVSCLAPAIYQIRKSGKTRVQMSNLGKKSIFLKKGELVASGEVEGFDVIEENEENLKLLEEFFERSKLLEQDMETINLIETNVNSGERWDILCEQLKKTCAKSEEEEDVWKVIKNYQHIFATDDTELGRTNVVECEIELTEGAQPVRQKARPIPLAIRGEIRKMIQKMLSQRVIRESKSPWASPVVLVKKKDGSVRMCIDYRKVNLLIKYNAHPLPNIETTLLSLAGKKVFTTFDLLAGYWQLPLKEESKEITAFAIGSELFEWNVLPFGLATSPAIFQAAMECVVGDLLGTCVFVYVDDLLIASENMKEHAIHVQTILERIEKSGMKLKASKCWIAREEVDYLGHXXXXEVIEG >CRE20891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:407256:407822:-1 gene:WBGene00085449 transcript:CRE20891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20891 MGRFFGFFPIFLVFLLLQFSIGYPDMESQMMMHPKTDYIHFTGQLTCKHLQTPTIQALVLWEHNSVFALFLPFQQLSLDQTVHPYRYDIKARAFGDGILNSDYEFYLDIIHNCSYFIESRQQKIWYQHFNTEGNITFNMDIKLE >CRE20830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:194276:196363:1 gene:WBGene00085450 transcript:CRE20830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20830 MDGLTVAALRDHIIRVRESCMSLSDFVEKFVQASGLNANDVINLPLLFGNHDKTSVEIDGLTLNTEQLKVFNKVMNHLRKDQDPIYMFVSGPSGAGKTLLLKALRDATRSHFHSEEESCIVTAFTFTGARSIDGATINCTFRIPVNAKNDNWIGSPQKNSSFENKLKKAKIVLVDGINFINASLIHSMDQKLRSALNGQKPFGGASVIMFGDLYQMTPINGSPIWKGSTANSLWRLMKLEELTKNEKVADQDDLDMLDVLRVGAPYLIQAVSEKLKSMCEMKGETMEDVIQEVTTLKLNNSDKSFAVLTNKNNDVDKINDKILNQLGNRRMFKAQDCVSNLRGSTSTYNLTGKYDKKDLEVAIGCQVMLTANYKTLSYGTVGKVTSFTDDTITVKFPTGHVPLTRCGWLHLQHGWKQFPLALAEAFTFHNCQEITVDGVVIFGELEERNAYTALSRARSLKLCHKLNVIILRIVLS >CRE20878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:251310:252203:-1 gene:WBGene00085451 transcript:CRE20878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20878 MSNELIIKNLELELQNFKNYISTQNDYFQKQLKEKDDRLRDQEKKLTQHASDMNYLKQEKQQCENYYRNELATRITEYENTKNETLHLKNSLQQKGAECSQLQNLLNKDASNMKQRDKTLRETVALAQVKILDLQNSNNEGDKNDHLQKLKTLIDQMHSKLET >CRE20813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:10095:13713:1 gene:WBGene00085452 transcript:CRE20813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20813 MESKKPEFKGKICPVCGDRVSGYHYGILTCESCKHFRCSMRSDCHVDQSCRKRCPSCRFQKCLVKGMKTHVVRANKKRGGRNEFNSYYINDRKERIALSMQSQPGPHFTSAGNQASRVATGRNAQTHYYDPTIFPLPEMMPVTTNTPFLVPSSPSTSSPSPILPLCSTPTERTVNQFFSSTICNTMPDDSVISLILSRTVKNDAHAFAVQVADENLREIVKWAKQDETFSKLELNDQRNLLQTSLLTIHIIDITNAMVLGNLHPQYNIGNGEEVSVGFIALLGAQNLVSSWENIVIRLRHFGFNKYDYCVFRCLSLLDEGHNATVYAKRLQVLHAWSEARSNTAFLEIFDQIRHLASISNQYVWGLQYTRPSVWALLNPNTSVALELIKANSTRSSGGTEVTSRQLQTP >CRE20815 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:18127:18231:1 gene:WBGene00085453 transcript:CRE20815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20815 MILFNMYPALDSFIILLIVTEFRIAAESGIDSGC >CRE20866 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:159794:160979:-1 gene:WBGene00085454 transcript:CRE20866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20866 MDGAAIPPVQKNPEIVDQGVAQEVKEEPQLEARELVDADAAPAAAPAPAPPAPQNNREAELERILEEVFGHLRNRNREESPPPRQNRPNAQRERNAVPRIPGSAPRVNVSRGRAKRVNTRGASRRAQKK >CRE20823 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:86653:87015:1 gene:WBGene00085455 transcript:CRE20823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20823 MSWLLRRGSGRKSKCSGFYLALESLEPAPSSEHTSGVTQSGAVLPGNMSAPCYTAVYSNDIYPLTLY >CRE20868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:164972:167061:-1 gene:WBGene00085456 transcript:CRE20868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20868 MDARPTATSQPTQKKPNGYDFLRELLEENQEDQKSNATLHRTPRAENVVRPNPTGPAAQQDPSSQPNRAEALSLNRIFSRSDAGPGAHPINDRSPIAAAPINHSAPLNLANRRNRTRPFNLTEAQKEDTAAMKKLRKMNKVDPHLHQSGRGARSRSRNEPYYPEADKLFLPVGKNGFEQYNYLQWNKRHVLQWAKMFITDKKHLAFIEESRVNGKKIEYFLNSKETEGLDQDLRQTLMEHLNKVRNTYQKSCS >CRE20890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:401452:402948:-1 gene:WBGene00085457 transcript:CRE20890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20890 MPTTLEVINIFFIILTFPIGATGVEQTVLAQNMAGLNIQALHTLLSRYYNQFPLQPVAPPPASSAPYMLPAMNMNNFNLHVAPLIAPTPQPFNPQYNFIPTNVATMNNEEVRVEIPLPVAMRYNSFLFFTTQNCSGSTRKTSAMEKVSIPAKREKNIGERKTGYVYSILVIN >CRE20834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:234057:234430:1 gene:WBGene00085458 transcript:CRE20834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20834 MQNLKEPSQHWNPTTELFATGRENKKLQSDYDKAKEAYEYYQGKCGTMKVHLDYLREKLVFAQEESVRFRTLLNEVVEKVTGFATKVSVADTEL >CRE20888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:379060:383568:-1 gene:WBGene00085459 transcript:CRE20888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20888 MVTLMLISGISATQSTVECVNNGLKIHGTVESEEVIQLNSIQREGSLRITNNKTTIRDIRVQLIEIQLHCFKETIVFTQDVEVKVWSSKRCLSLQYTFFSGPYSKEIL >CRE20889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:389601:392533:-1 gene:WBGene00085460 transcript:CRE20889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20889 MEKNKLSLLFVLSRVCFVFGQLLIFSLISRVYFIDISVSTLNQTIRLELTMEPPPKKPKQDSQKSSRSHTSLLTAEVTVPTLSTFRPPSINPSNATTASLVSRLNKQDQKIKKLQENGRLKTKKYEEREDLYLDLLQARNLRIKELEKQLKDYIGDGKKKNGAANSDAPEKLDSNKTDKGKGGAMKAMQEAEQTGIAAEKFVEDGNHGNPDEMDDEREEGEFSDESDDEREQHVEKVNQRKMNSLTRMRDPYKTVHRSIWIMGIAIEKCLVTKIGENGVKRTGPGTRLYSKFLGIIEGLDDKNEETMQVGEVYKFQVQDKRSYEQNKDKRLTHLIAVSPADWHLFVSDGVKSMDRDAFQITTTFHLADIKKVNDVYFFLDNILTKVKIHEDDAEKLKNYKENNPSEKIRITAAVKTYQNYMEAYRKDSTRIIFVMTRILKVEKCKTREILFQDNYRVVN >CRE20851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:398860:400578:1 gene:WBGene00085461 transcript:CRE20851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20851 MKRILIVAYTVSVSETIFEFTGILTKNGSLRLCFWLTNAIFYYSIVHISLNVTVLLTAILYIPMIIVYGNCFMNLKKCKPQMYVFWQIMAIVVFKIGYLPSIKAVIYTSGLVPSRMQSSMQIKWCCSAHQAAYLNPKILWPEDCDVRHSGLRKDMRNGFGIGDSGIS >CRE20814 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:14993:16749:1 gene:WBGene00085462 transcript:CRE20814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20814 MPNAPIDSPPPEIFFFALSPGDVLVEVPTSPADDSSRCSSLTPQADSQPSPALPVFVSLSGSGQASVPTTPTLQHLTVNTPVKSNAASAVSVTPNFPEKDSTPRRRFMQVSNRARSRPRGQSQRDEVLKSAEKKMLTPGKRSAETGFAPKASHRRVLFQGSRQHDPPSSSQDKPSSSQRNVCEEDDEPKRKTPRKTI >CRE20882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:312818:313822:-1 gene:WBGene00085463 transcript:CRE20882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20882 MSKQQNICDSSKSPMPRTSALRGAQPTSIRLSPSPDNSLSPNSSRNVHVSRRSNRRNVPSTSNRLPSSSSESSESSEGSPEPKRRKIQKTTKTSSRRDVQSSSRRRRAPTPDYVLPAHYSAKGTHTPRSSTRRRVVPLYRVLSPLTDSSDESPQPMRRKNPNTPVSHLISLKTQPTINI >CRE20867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:162968:163318:-1 gene:WBGene00085464 transcript:CRE20867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20867 MGSHFTIRASPIIDKSWQLTSDNAGGVQALKDSVKIGAVAVTVIGGAVGGMVITSGDGKFNAKERADAAKGIGQIVSDIWNW >CRE20849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:386166:387038:1 gene:WBGene00085465 transcript:CRE20849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20849 MRLGVSSTRTKDTRTEFAKSEILLREVYARVFIGTTSDQWVVYWSMRLGVSSTRTKDTRTEFAKSEILLREIYARVFIGTTSDQWLVYWSMRLGVSSTRTKDTRTEFAKSEILLREVYARVFIGTTSDQWLVYWSMRLGVSSTRTKDTRTEFAKSEILLREIYARVFIGTTSDQWLVYWSMRLGVSSTRTKDTRTEFAKSEILLREVYARVSIGTTPDQWVVYRSMRLGVSSTRTKDTRTEFAKSEILLREVYARVFIGTTSDQWLVYWSMRLGVSSTRTIRDDYIHSGD >CRE20838 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:254317:254610:1 gene:WBGene00085466 transcript:CRE20838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20838 MGKSTKDKKGKPETTKKFSKPKIDKKEKSGEKKEVSKATEDKKVDKSSGETSEPETKVKTMDDWDLEFELEDQPGWRPPARKKRVVPESEDEDEEDE >CRE20887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:378080:378331:-1 gene:WBGene00085467 transcript:CRE20887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20887 MESCGGAGCVLLELRMPVLQDLCQTKIIGQNENFSMHGIQSSGQDPSRLNFTEFLQEIRKNRRDQGPNWNCGITEGLFLFKTK >CRE20848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:374738:375220:1 gene:WBGene00085468 transcript:CRE20848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20848 MSSPRTLFAFFLALNMAVSVFGLSCHNVNNWSSSTVHDRHFCTAYFEVGDGHASFGGSRAHPKDLQPTFRYDFLNEADCQLQTDIPIMTIPGETTSIWACICYESFCNFPFSFEEFSRRGHTLRPSFVPSLMHDDDSSAGHH >CRE20847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:349478:350043:1 gene:WBGene00085469 transcript:CRE20847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20847 MNVVNPTLWLLAVLTVVQTTNFRADGTLSCSLSQKWCYLVELSEKDTLLMFDDRIGDTGFQCVDTASVGYSIIGSQQGDGLENYFYEITMSVAHNCTQEPGTIKKINRNTAYASVFETEIFVQWNVDLTDQGSSVIDYFL >CRE20854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:447442:447738:1 gene:WBGene00085470 transcript:CRE20854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20854 MLNACRRLFTFRIDYQQIGCCFNDPDYKDRKKKLKKDSLCIKCLLPHEEDIPYTSNKKCHHCRKKTHHSSMCPEDIEIKWEESDGQSNIFSVHQLKLW >CRE20841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:272930:273897:1 gene:WBGene00085471 transcript:CRE20841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20841 MIIWKEARETLKRRRISPPKTEESPRNRRRSPPQAKTSAQRRRITPPRTQNRPESHETERTNMPVTYRFVLEKDMEEEARNRCSFCSQRHFSDRCGNHVEMEERKRILTEKNRCWRCLLVRQPGHNCSSRKCFYCAQYGDNEAICTRP >CRE20837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:253500:253772:1 gene:WBGene00085472 transcript:CRE20837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20837 MVSKSKTTTKNSHIPPSTHNMVTRSQAKQLNGKLGAESSKELDSSSTSTIKEVETVEWDLEDEMEYQPGWRPPARKKRVVPESEDEDEED >CRE20824 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:89331:90652:1 gene:WBGene00085473 transcript:CRE20824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20824 MAQVHFFPCYVNYGQFDTMLSNKETSQEAETSVVVMYHDKKVVKMQSKKVHFVSKPYNVSFKKSRILN >CRE20883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig74:317249:320428:-1 gene:WBGene00085474 transcript:CRE20883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20883 MQSSSKSVTLNAIPTGFQPEDPSNDIFETIIARNSMGKAANGYHITTAEVDRRSNGVEKLNSSSLANTLRRTKLKNCGETMRRQMMEKEIPVNIYHRQEVFPNKMVALSEAEAVHMAKDLDEIVKEDYPVAGIAREVANEVMEDGSADIGSFFDADGFKECMEALSGVLSSVVPPITGICAKASKNEELNRGMEHLSQATHGFGIAVQPTWMRALTEIGKGVCKIVKDEMNVKEEVEDTKAPTTIAESSKIAEGAEIKVELEETEVVVD >CRE14383 pep:known supercontig:C_remanei-15.0.1:Crem_Contig742:23214:24117:1 gene:WBGene00085475 transcript:CRE14383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14383 MRITESDDGTVSRFVWCPKHTPELTEADKVQHQLMLRNARRENEKKLPGMSMPTLTTSIITRIRLEQPFSDFREIIYFWYQKRQSRLGAPLLKAWKQEDPLDSPLKSTPDDTRRRRSSIQMRALEDVKTPVTTPSNSKNPEKEQAEKQLNSTKKSMELAIELSKMMTKREEQKREL >CRE15065 pep:known supercontig:C_remanei-15.0.1:Crem_Contig743:9:3791:-1 gene:WBGene00085476 transcript:CRE15065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15065 MTNVYLKPVNDNQTNKTGDNSRNTMSNSQCEMTWKPVARTYAQAASTNPADDKTVTVLGCKYNLLKLGNTPQTSKRSPPKPSRGGARISSVYTLTDELEITHREEGKITFAIDLPNKNNILCPLCRECTQTRGRGSSFTKHMKLHVKEKHQLDATFIYKCSMCNEYEPEKKCGTKWIQTHLQKVHNYKYDESAIVVPVPHNTRQQIANELNNAAPFVDIRKPKAAAVEEKKTENGALLKFLTKSNKDEQEHSQSNDSPNVESPEKANQALTIDPKGNNSPSKSSMRSSQSSASSICQEIQEIITLSEDEEPKAARPKPGINVWSLINETGKDAYIDTDIMMAFLKMRVENCDSVNIIDPLNYQFPARVDLVPLIQRNLEDGKKRVVFPICADEHWTLLTISNGIAAFYDPTGSRMSSYIEELVNELGLIIPKEQDEQPRQRDSYNCGVFVMKMAEAFIHDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKSFAQSRPTSRSSQCAVCPTCSRPATPMMDVGNMEVDPVPQQQETPKSREPEQDEGWKVVQKARKRGVVTERSPNISPEAKRQFTGPEIKVVSPGKFHPLVGETEEMEVTCDSPPTKEPNTEPKVTPSLPAMKIASPEVTKKQTSKKKGKYGKKKQETKKAQPPKGEPTKKAQPKGEPAKLIEQVRTWFDKQMKSYQEQGSNIQTLTWIADSLTAAIFKANSGNKYLVDKITARCPPPLLNEGEMATQTSRRTEAVKPKDRFVKESNEPLRFQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTEEALNTVCSGIKKANVDPSIEGPISSGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLAFLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISDCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCSWLDLTNAFGSVPHELIRRSLAAFGYPESVINIISDMYNGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQTRKTGYNCVGNDVRCLAFADDLAILTNNQDEMQKVLNQLDKDCRSVALIFKPKKCASLTIKKGSVDQYARIKIHGMPIRTMSDGDTYKYLGVQTGNGGRASESESLTQIAAELQMVHDTDLAPNQKLDVLKAFILPRLQHMYRNATPKLTELKEFENTVMKSVKMYHNIPIKGSPLEYVQIPVKNGGLGVLSPRFTC >CRE17664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig744:12439:13980:-1 gene:WBGene00085477 transcript:CRE17664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17664 MFSNSVILGRRLLKVTPQLNAVRTKYLTADGKPRDQLTMRNVDIIVDPNENLIDEFMNGYGKQRLNFERNDIDMWKRCFKDNYSLVFYCLKDTNTLIQTSHHITFHPLPSNSDLAHQYDGFFWIHPDYRGSDSMRMTDYVVKDRLRSVCDNALAQCFPQTMNLWGRMFGHRNYGHTQYVSYYKMDEMKVPEDLNTGGILIKNATDVPNEDIVKYDQEVFPYERSKYVLTLLRKKNGFGKVAYDENGKVIGFGTVIIYPSGECVLSPLYADDKRIAQAIFKNILEQIPLDDKRLLRFHVRSVDKCQGGFEWIQPFVKCPIRKDLAAYLTYNTHLPVINYKKAFVNFPYTNCAI >CRE17666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig744:16888:17666:-1 gene:WBGene00085478 transcript:CRE17666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17666 MAGHKKYGHIQYVSYYKMEEMKLPEDLNTDGIMIKNATDVPDVDIVKYDQEVFPYERSKYVLTLLRKKNGFGKVAFDENEKVIGFGTVIIYPSGECVLSPLYADDKRIAQAIFKNILEQIPLDDKRLLRFHVRSVDKCQGGFEWIQPFVKCPIRKDLAAYLCYTTHLPVINYKKAFVNFPYTNCAI >CRE24620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:338373:339015:-1 gene:WBGene00085479 transcript:CRE24620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24620 MLSLKLHRKTRQTPASFIRIRCLSHISVLLLAFCFIFNSLDSLFMKPGYIHSNIAISSFILLIYQPKSFLLHLGHSYDDFQLFHIKTARLSTIQWLLLFLFHTLLSVGCYGLFCIDANTLKKDGLIDNFHFIRYVCIAINLFSIPMTYQSLLAWSSDKLQFVGIHPETKVHWKGVMRKMEDGKWEVDQSPGDHDLCNV >CRE24589 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:92273:93392:-1 gene:WBGene00085480 transcript:CRE24589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24589 MTSPFPLLHIPSVVLKLIIDSMELNSLISLSLASHKSHSVIKAHHRKPINGRIHTSRSTPLVLSFSGHSYVFSGVDFDPSIKPSTKILEFITWEKQEVPIKIDPVDGYLKTFKIDEVDGLRTFIVYVTSLLNIDMLEISFCKQSIWLVDWVNSRQGTPLHSALFVDRKEELSEEQYLHILRDCTASVKKWLYTTAPPNFRYSEKFRKIDCLDIIDGKWVTIENLLTMDGIDICLESSSLTNTDLNVFLKHWLAGGCPRLKFFLARTGTVNILEVLTDLLEDAVFFEQRRKYTSPFLFTPRLERGYDIKREDGVIATVSDSQQGGLVIAVWPETTYNDFMA >CRE24536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:37577:37831:1 gene:WBGene00085481 transcript:CRE24536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24536 MSVMSTEEALQRLDEAEIVIKESNTTLVKKIRTEKRKNELRRLAIREQEKEEDEFRDFSRDAWVALFMILYLAVFVNFCLILKK >CRE24578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:39555:39911:-1 gene:WBGene00085482 transcript:CRE24578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24578 MYEWRVGCTCRHPDGQMHRPTSGLWDAQTDIRMLECTDRHTDGRMNRQTSRRSDSQTDIRTLGCIDRHPDPRIHRQRYGRSDEKTDIQTVGSTDRHPDGRVHRMTSGWSDAQKEWSDA >CRE24537 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:38167:38415:1 gene:WBGene00085483 transcript:CRE24537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24537 MSVVMSTEEALRRLDEAVNVIKTSNTMLADMIRTQKQKNGLRRLAIRDQREKDEQFAEAAISIFGAAIIMITFAAIFVLIKY >CRE24579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:45931:47658:-1 gene:WBGene00085484 transcript:CRE24579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24579 MLHLESLVCYACRTNQNLNFFGEFSKESSVIPFYLSDNEKRRAFVGTCGHSICLTCAKSNPNISCPICSRSNAFINGTVNYGSTNIIEQYESNVFQLFKKWWQQFNSGIGVCCNCLDHKLLRICMTCKKKKDLKDVDILKYALCQKCQDRYRSDKRSEEEQEKYRGYLKDENKPIECRICHNATTNPFFDSTWFELNTLHLNLDTLNFCADCILDDHANHDTSKMSNNTSQSKLPMRILTVQILEKLLERKLEVDEDQMKCKLRHMRMIMTSEEAIRRAKDPRPFFNETKNASKTPEDYIERLISSLETQYHQYQDLKSTCHCVEVWKDVVKLNIFDFFERNPHFYAMVEKTDLEETLNGCPFEFESSKHEKEMLLEIIRKGEKVSKSREMTKPHKLLWN >CRE24627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:346837:348048:-1 gene:WBGene00085485 transcript:CRE24627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24627 MGKKSAVCYGIYLIIFAMFSMPLSIVLHLHLLYDDEKNLYSPSVLFLWYISFLLGFAVLSNNKLVATAAKRFVRHRYLLAGAMIILNILMIVNSVFRLVGLWENVSFSISFFLTREIVVAFMVAMGIMYLPFSTLFHINTIEFECSYLKSTKLSKKQWLVLLGFHTLLAVFYTTLFLFDESCLGKKELVQNFRFIRSFCQVINILSIPMSYQAILSWNSDKLKFKGKYPNTTRKWTGLMKRNPDGKWEIDQTPEDHNVFIV >CRE24549 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:131069:131494:1 gene:WBGene00085486 transcript:CRE24549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24549 MSINSIFQFPEVSEELLQIWRESLLKLLSTPSEDSKKMETLRATGKSLVLTFLEKGDSWKIGSDPCSSEVAIPKSPVQKENVAPAPEASVTRKSKRTHKPTRKILENRSK >CRE24553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:145840:146150:1 gene:WBGene00085487 transcript:CRE24553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24553 MFTFFVYLITLNLFISRYYCRRLGTKGAQLPALSDQVSVTQPVETEVPQCNKRNLEVRERVETVNDSAGRSKPPPSMKKRRTTKRR >CRE24557 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:201504:201966:1 gene:WBGene00085488 transcript:CRE24557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24557 MPAVKTERKNGRERTGKATASSYEAMKIAKQRKIAKRERERCATINKEFDALKGKIAFLTAGIPVKQLSKKMVLEIATEYITHLKKILRSPSVEPAGSTDNLVVDDHDYFGTTVEKLND >CRE24616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:331690:332709:-1 gene:WBGene00085489 transcript:CRE24616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24616 MAAHLFIVSFNLRAIFFLICGSDRQNPKNFIRHRYLLTISIFLLNAILIINSCFPTGFHENAPNIIEIAILALLFYLPISIFEHLGICFCDFQLFLKKTTRISRQQCYLLFLFHFLMGIGCFSLFHFYTNDLKEENLLENFQFTRYACLAINILSIPMNYNFLLAWNSDKLDFIGIHPETKRNWEGVIKRDQNGEWVIDESPQDHELSVV >CRE24638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:395789:396591:-1 gene:WBGene00085490 transcript:CRE24638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24638 MCVRMIAPEEVSISSTAANLPTDETEDPTNAMDTTPMATTPMDNTPMDTTPMATAGPVTAQSTTAAAETMCDQCNIDDIRFTLEPSGDAGADYSTTPRDPVDGCLRTEVGCIRSDGKQCDTTEMFAVNPTGEHSIGDGGSEAYAILACANDGTYAFMDITDISEIKCVFTDCF >CRE24538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:38750:38992:1 gene:WBGene00085491 transcript:CRE24538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24538 MSVVRSTEDALQRLDEAENVIDTSNMMLLDKIRTQKRKNGLRRLAIQEEKDEQFAEAVISIIWVIIVMLALGVSFVVLKY >CRE24602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:204360:205856:-1 gene:WBGene00085492 transcript:CRE24602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24602 MQKADEVKIDFYDLLVLSESKEVKTTGIQDVLHTLAFHLFKQKKSHGREEEVEKEGEREEQYYEEVQEQEGQEQEQAVEEAFYEKLDARYAENEEGGVHFDLKAAQKHDLGVFLPGETVTLGIEKTINTLLNEVFIRDPFFAIFGTSVGARSCQYPQTDTRFYDIFGEILQKGLKVPESAYTIGELVTKIRSATKSFISRRRKNKDLVGNLLAEFVGYSRRNKLFRYEEPSVHVNAPWADFVNSNEAEESINTQHIAEERLIVVDHRIFKLFQVCNCWLSGSTDTSWLNGEMREFVSIDTADKDNDLNVDPAIFATETSPGMPPHRLCLKVGARIVLLRSLSVEVGLCNGTRLTIVSFGDDIIYCHRNTDTTKKIVFLHRILMSPSRKAKCCRFRRQ >CRE24564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:335669:336188:1 gene:WBGene00085493 transcript:CRE24564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24564 MEPVYTPVIVAICIISIFILLIYQSISLFLHLGISCRYFQLFYVKTARFSKIQWLLLFLFYTLLSVGCYGLFCIDANILETDELIDNYHFIRYVSIAINLLSMPMTYHFLLAWNSEKLEFVGIHPETKLHWKGVMRKMEDGKWEVDQSPEDHDLYVV >CRE24626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:345520:346348:-1 gene:WBGene00085494 transcript:CRE24626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24626 MGAISFILYSLYLLFFPIFLFIIASNHLDLFNPQEKSWGTALYFIWIISNITFIDFHKDTRQTPESFIRIRCLSIISVLLLCFCFIFNSLKTLIMVPVYIAVIVRISIFILLIYQSIPFWLHLGLSYGDFKLFHVKTARLSKTQWLLLFLFHTLLSVGCYGLFCIDANILETDGLIDNFHFIRYACIAINILSIPMTYQSLLAWNSEKLEFVGIHPQTKLYWKGVMRKMEDGNWEVDQTPEDHDLFLSGNDCDCDNDWDL >CRE24544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:109204:109815:1 gene:WBGene00085495 transcript:CRE24544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24544 MQLCVISGRSPSERQPPQLSFLFSIPPLGARINQVIDLTNLVASAQAEMKRMSHPAEKEEERNGGESFFSYRNQQMAQSMPNVPNFIRFRKLLVTFRNVIKTKWDSKRTIEVQPPHQCIGGRTHRADETIQIDGRKLPDGRVYSMYFVHLPLSFPRSHPI >CRE24635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:384524:386693:-1 gene:WBGene00085496 transcript:CRE24635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24635 MNFPGLLFIFLVNSQFFVSIEAAESTTPASENCKSCDPLSLKLVSRNNTPAPIIMGNFHENGCVVTVVACDIPECSDAVLDSYLPDGSFKRVTNDDGTVWPHLELTCSPEGKYMWNEEVQTEFSCYSEDCYIPRCNGHCTDFEKVLLNDNVKLTRPVLRQMSNEGCGTYFVDCRPEKGQICDNILLYNGLEVVSQSPVCAQLPVSCAGNGFGNEGVGVVSNMFCKFKNCRDNVTSTSEETTPSLSTVSEYSFTTTVNGTESTVSVESTDYTVSLASTTSDRCNGHCTDFENILLNDNVKLTRPVLKQMSNEGCGTYLVDCRPEIGQVCDNIVLYSGFEVVSQSQICAQLVVSCGGNGFGNEGVGAVSNMFCKFKNCRDDVTSEKTTYSESKGITTSN >CRE24609 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:245609:246160:-1 gene:WBGene00085497 transcript:CRE24609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24609 MPKSKKSAAALEKRRLKDAISNNEIKYALRVLQSKIPYVQGKENLSKQKTLLLSIMYIRYLENILQQDSQTGSGPTNLPDFSKTVCVVLAQRNDHRERGKKELEMKKENNDAGTPLPLSEKFLHLESLPNCNQQFPRDGSNFSFFVDSYVNYNNFYIPPFDYSFTY >CRE24565 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:353846:354679:1 gene:WBGene00085498 transcript:CRE24565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24565 MGLIWKVEYMSLQFFLCSFLSFVVGESTRYVYQDYSQIEWTVLTWTLCPTISFFRMFFLERHERHDAKMFIRHRILIAVTSVLLNLLIPFSGIIGHFAGNIGNAITTIIKYILLSYFPIAFLNHMDILYRSFLLSHTKTSKISCLQVFLIVIFYIIIMFLHSKICQIHLDFFETDEKLIGFRWLHSFCFLIYMLTVPVVYRAFVAWNSDVLDFNGLHPETGRAWTGTMKKNERGEWVVDGSPEDHGVSYSRNQGIRLLGINE >CRE24617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:332944:334553:-1 gene:WBGene00085499 transcript:CRE24617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24617 MDLGHNALPTVMTFVLLGILTWLPFSLFLVSDLFARRLRLSPLKAGWFSNPCLLLKLIVYHLLIEVGCIRFFLIDAQDFGKEALISNFHFTRYACTTINILSIPVTYLALRASNSEKLEFEGYHPETGKEWKGVMKMDQSEVDQTSEDHKTPVV >CRE24592 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:103623:105492:-1 gene:WBGene00085500 transcript:CRE24592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24592 MVARIMNAQVLRDWMEKDDKYVESVKNKLGEEISQNFRWQSSLQDPLWKSHAALIYAAQDKNMVAEVCNSPFKMNQVQFNYFLHKNRNTFRPIDPNAPYTFYIFPNTTKDVLKLFSKFQLSSWWGYNVTMIYNVEFKYQVIDKQGRFDIVHIVVGGGCTEHGIVNHEYTDVGVQKEALEALKQENNRHVQALFDIMSPAKIKYLEQVDYEIPWVWLEALEKNDEYLEAGVCNHNEEIKFPAYTGKMIRSWYRRLSQMWKPPNKNDLDYVTVQMLSVHDTEMTARITMRLEIGLRENATIHEWNFKIRLSFNKHGDNHWYITKFHALCPATIDYMQESLKHMPELITEHFLEEVRGQAQPAQWYSSVDFIKKFSMNEYVEANICESREATNLTQIRLTMMHKHLPANTTLVGYRLIPSSIKFPATESTTFQMNTVTKPLKKDDGWFYGQKWTFHLKWHNRDQFYYIEKLELTCPEILASEVPNYLRIG >CRE24586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:81884:82522:-1 gene:WBGene00085501 transcript:CRE24586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24586 MKSLLISLLLLAPAVLCATDFTRGSCLQMINKGRGKFAEKHQLANMNALVYNKKLELKILEQLSLTEGCPQPSIISHKGFDNHLNIKNDDSMIDLLSGVGITSMACITTKCSENGEDFVSIISDSSDSPAISGTPGSQCSDVRTVDFDGNLCKVKNNRDGYVRKGIFDDVVKLIIPTKTVIIHVKKSGPVNAPKN >CRE24532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:21822:23209:1 gene:WBGene00085502 transcript:CRE24532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24532 MSTTTVQMPSGVNSQRVAVSDSEALTTSTLVDDMFRTFEEENSRLRALLKEQKKFRDVSDQLIESNKQIRRDINSLRVELALAEQSKMVPTVKRFLVGNWNAVCDTCNAVDRWFSEIEEPIGWMACAGLFAAMTAVAVVREINRSGY >CRE24575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:1800:2180:-1 gene:WBGene00085503 transcript:CRE24575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24575 MGETKTGKVGNVSVDSLSAELDRDLVGTNQFDVNEVEDLMGVWVNQTIEQGMNISRNIVLLADFPKTVEGQKKNRLKGFSMQSLHTAAAQIATNRGDVFIIGGVEHMGRARHLLCIILVPAVFFRQ >CRE24624 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:343817:344062:-1 gene:WBGene00085504 transcript:CRE24624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24624 MLFLFDGSSLGKKELVKNHRLVRPFCQAINIQSINILSIPMSYHAILLWNSDKLRFKGKYPDTTRELDGIDEEESRWNVGD >CRE24535 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:36569:36808:1 gene:WBGene00085505 transcript:CRE24535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24535 MAMNGEVQLPLPADVLVAIAEVEEKKLKSKIQRQKRNIREEKESIQDTLDAWAGLVMTVYLVSFVWIVLCFMEEKRYVK >CRE24566 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:357096:357302:1 gene:WBGene00085506 transcript:CRE24566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24566 MIKAFCQVINICSIPMSYQAILSWNSDKLRFIGKYPGTSIKWTGLMKRNPDGTWEIDQSPEDHNVFIV >CRE24619 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:337492:337714:-1 gene:WBGene00085507 transcript:CRE24619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24619 MSIAYFSAFISALLYFKQFEDSNYRANLDTFIRRIIHLHSSRLSDDIIIAANRFFL >CRE24598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:184418:192061:-1 gene:WBGene00085508 transcript:CRE24598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24598 MLSYTLLTAFAIYANVNCIMISVYMENNVCQKFEGGDLQTVKRSNNVLTKFAYKLSNVAACPKDDNVDGKFWQATPYPNQTRAVNSCGMDNGYLTGLETMEEFDFLIESAKQIGRFRALKPMATSWISGVRKPECIGNATCQGLSAFALADPMLSANPTGYVFNKNKPDLTSEDCLVFRINSDSTYGIENFPCDFTQAADNSTILGGQATVYCPMIVTNGVPEVYSTPNSLALNWDQCLTNCSGTVSCMAVYSNAEGNCQLFDVGQLQTVKRSIQSNSKFAFKIKDENLSTCPVDDRVEGKGSYIGYNATRSQRFYKGYTVNFDPASLSWIFNSTESLYCSDKTYQFFMRPLGPWCMKIVISTDCRISSDIADTCGMIPGGMLSGIESQLEIQYIEQRTGGWDVYKNPYNAIWISGIRKTECIGNTSCQGASAFSFSDPTLSSNLPGYQFKPNKPDGTGADCLAYSIEGDISRGIENFQCNLALTPDNSTCMIAHLCGMIVYTAMIVTSGTPSAFTGSSSLDLGWEDCLNYCTENATCVAIHEEDTVCRMFEIGQLQTVGRSVSVESKFAYKINNDDLLSCPADDTIGGKGYFFGIDTSTGLSTSGRQIYENYTITYDSSTQTWNFLTNGPLMCPSAGHQMFLRPLGPWCMIVCLGRELMRFKMLSFQFYPNSPCQDNAKIVSICASSVNKYLSGIESPEEFEWHERTELIGSTLLLDSMLLGFPALVKLNVLGIQVVQAFSFGDPLLSENPTGYIFKPGKPNGSVADCLAFRVFSDRSYAIDNILCTATNTTDVCMGGEVCGIWPS >CRE24636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:387230:389465:-1 gene:WBGene00085509 transcript:CRE24636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24636 MKLLTILFLTTFLVPKYCDGCLVLGVQTTTSASSSPEPRFSTSTIYEISSEGTEPTISDHTTISTGSTIEGGCESFCNDPDSILVSESTVFAKPEYKIFGSAWGDVCRDFFLTCKPEEGIVCDNIQLYASKTENGSLIELATPNKTRVDATVPCLIFGEYLATDGASLIDEIFCMYTNCRMETTTTEEEPTTTMATTTMGEDFFAMEEWYSRKTRSKLGPLTSHNLTDMCLCSTCQISDVFNYADSTGKVKPWYYDIATEDCSCKAHAVSCNLYTVDSCDSFAIQYIASDDQLYTLAETNGTLVEGEIQCLRNGKFGAAGRSIKELFCTHSGSCSIKISAPDFQTDSHIQFQGSMENPFYQPKIIVLNKISGIPSCIPCGQEEYRQN >CRE24621 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:340014:340359:-1 gene:WBGene00085510 transcript:CRE24621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24621 MITFLLHLHLLYEDEKWYYTICAIILYYISTCLALIVMANTKLLPTIEWFVRHRYLLTGAMIALNILMIVNSVIRLLGLWENIVFTCMLVIVM >CRE24615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:328239:329953:-1 gene:WBGene00085511 transcript:CRE24615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24615 MLLSIFQSSNEIPFVPFLISTEFIWILWFLTTAVNLSDCIYSIVLCVLIGYKLIDSLESQGVTEEEIFPVDYYKEQIEYTFYCLINIGYIIHLVQNTCLLQAFIRFNMKFEYYIGTIVCVAITSFTRYACIAKYQYFVNSHKLSCFTHLELGQVRFHRNPFRNWEGVIKRERNGEWVIDESS >CRE24576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:13995:14426:-1 gene:WBGene00085512 transcript:CRE24576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ins-32 description:CRE-INS-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MV92] MKFLFFVAFTLTLSLCLVHSFNLEKAAKRLEKLEMQLEGYEHQQLVAYAEMFSEINELKKFSEGEAKIRGRRTVCGRRVVDLTLKVCGELSPGTNINLSTICCSKEKACTEDFIKTAACPEKKNA >CRE24550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:136975:137757:1 gene:WBGene00085513 transcript:CRE24550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24550 MITPVSASLQDSQRAYKNFIATQKASLLNYLAIKPRNREEKEQLKIVHDVGQAVVRSLLYGDVNVADLGTTPKPPVPAPTAPALTWNIPPPNISVGEMLKRQLQNQAYLQNQPLLFNLLSSPSVPTPPSSYAGGQRLMLGGTNLTATIEAHNLAHLAQYYGLFSENGYQFPSSLSAIGIPQENMAGVIPKL >CRE24618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:337128:337427:-1 gene:WBGene00085514 transcript:CRE24618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24618 MFHTLLSKDGLINNLHFIRYVCIAINILSMPMTYQSLLAWNSDKLQFFGIHPETKLHWKGVMRKMEDGKWEVDQTPRNHDLCVV >CRE24580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:47995:49800:-1 gene:WBGene00085515 transcript:CRE24580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24580 MIQLDSLICHGCRDESNVTLFDNENCRPIRGTCGHSICEVCVEADPKVKCPTCYKREAFLNQPVNYAALDIPKEYEKHIFEKVKEWWQGYLDTTTTEDQIYEYGHSDLGREVRYPGYCADCFQKDNLKLCLTCKDTKPYKGMHILSYAVCDSCAVAEYGDKKLERYRDHMEPTSEKSECHLCHKKTTDLKFDGSLLERRSDYPFEKYCFCANCALDNHEGHQVVMTIHLHLSKEWRSVRDTAAEIMRKLLRYKRMMLTMDELIKLLKNPNCYVEDKMAEKMEDGTMGSIECDTVRANQYRNREGTIEKTLSSLVTQYEQFQKLDSVCKCVDLWKDVVRLNIFDFKERSPHFHSMADKAHLEKKFDVCPYQLPTSGPEKRSLLKLIEKGKSLTQPFKMDIFKEVRRGRFRNVYCFPAKRNEKSNIYSEDKEFSQHLAEGREQSESFLKHIKTLPSSVYNDPGHLIYPDAADSWRFEEHTPWNLRGTE >CRE24585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:73428:74515:-1 gene:WBGene00085516 transcript:CRE24585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24585 MNQQQNSTSTPSASNIRNPELPQTIATSHAATSSSSFIKPFLKRSPSSPLSSPPISPKKIALMQEKLIEDMELKNAAIRQIIYNAQLKRHAENMALAKTITGKTDEQLTREVNGLVEKAKVLNDLEKAKVSWNSLPAPTSSLAQALVVRPSTSSPSSTPIFNLTHASRLLPGNDKNSRIRQFFPVSPIQNPDYSE >CRE24625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig75:344317:344968:-1 gene:WBGene00085517 transcript:CRE24625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24625 MSFLLFFLLKNTRQTPESFIRIRCLSIISVNLLAFCFIFSSLDTLIMLPGYTPVIVRIFIFILLIYPNISLIILHLGFSYGDFQLFFVKTARFSKIQWLLLFLFHTLLSVGCYGLFCIDANTLEKDGLINNLHFIRYACIAINILSMPMTYQSLLAWNCEKLEFVGIHPETKLHWKGVMRKMEDGKWEVDQTPEDHDLCHV >CRE22200 pep:known supercontig:C_remanei-15.0.1:Crem_Contig751:17153:18303:-1 gene:WBGene00085518 transcript:CRE22200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22200 MEHSIAQAIDKGFSKEKWESRKEGCATSEDIYWKLDALHTFVIDLNWPEEDFRKYLQTKMKSLTSDMISKVSDCTFTAFDGWMQRAKKSTDYMLPSEVCVQINVMFSSKSRAVRVTVDSGEYKYQSKLDETLETMLKTMESCIQEKLIGVLESVLSRLARYDEGNPIGAILNIAPKPASIFNKLKTMAGDTSVQTAAAARQPLTAQQSSGQIGNSYVTFFHGCTELLRQVIIDEIWVNGLFEHWYDNQMKAINDWLTERLQQSLSATQYISLSNIVKKVYQDFALQGIDEERLNSKTYQSINRRLQLEESNSHIQEGIRRLR >CRE21616 pep:known supercontig:C_remanei-15.0.1:Crem_Contig753:1232:2578:-1 gene:WBGene00085519 transcript:CRE21616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21616 MLASAARKKSFSSSSGDLLNAPKGGSGQSRTPPAPRRAANRRQRAVSDFGAIGDALPTLDGDNLINIKAISGLHLDESDEEKGEYRLPTTSMYAFDRDDDLQQSIKETKKPAPEPKTGELRKYRYARRSNLEIAEEIEEEPADSSDDEGQIDDSKRVIDVELDRNENGSLGVQIASLGGRVCIKQLTSEPAISHPDIRVGDVLLYVNGIAVEGKVHQEVVAMLRGGGDRVVLGVQRPPPAYSDQVNPSSASAPLISVMLQKKPMGTLGILNQHFKFEYQLRFAFIGLSLAKRTMSDGIFIRNIAQDSAAAYEGTLRVGDRLVSLDGEPVDGFTPATILEKLKLVQGPVQITVTRDQASDR >CRE21615 pep:known supercontig:C_remanei-15.0.1:Crem_Contig753:2744:4040:1 gene:WBGene00085520 transcript:CRE21615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21615 MDSVPTWTIRSPTQTPCKFKIRSMRSTVRISFFYGEKTHSFFTMIGVFFFFLSQISLALAAPQTIFCLIHTATPSHETRAKTILETWAQHCDDFLFFTDSKMNDSIPHIYYPLLNSRDHSWEKIRRVFKYVHDKIGKKYDWYYRADDDTYALMHNMRTLLANYTSSKQHYLGLRWAFFTPRGFNDGSSYILSRPTMEAFNEVMLDPDRCPDHHRAEEDQEVRFFCHEAIFDHLIIQLAKCLAHMDVYPEDIRDEYGSERIQHFHPIEHNERGREF >CRE21029 pep:known supercontig:C_remanei-15.0.1:Crem_Contig754:29141:29446:1 gene:WBGene00085521 transcript:CRE21029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21029 MFRYFMLFALVSIASASLLSICQNYCSSYNGGASYDNCSPWISFATQTNQTCYNLCVHKCHVVYEGACMTGNQYRCCLETFPAKKQPFKISGCNKLYNNLV >CRE21028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig754:7404:7911:1 gene:WBGene00085522 transcript:CRE21028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21028 MAKFLAGRNEPKPTKAQRKEPNGLKLRSNNTSRKSLQVRRNETPIQIGRDKIMKYAKELVKSHPDLSIDQALAIEKQRQNDRKRRAEEMVVDPYKSCRIEEEFEIYMKEADSYKDEEMKENGKIVEYEIKEIVEDVEGMIIEDKENF >CRE24301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig756:4012:11356:-1 gene:WBGene00085523 transcript:CRE24301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24301 MEKYKEDITSSNAENEPIVDTGLKKQTIKQLFDGCDTLSEARYLLQHLFDLCIDKAAMAAKVEAEFKECTARIEQLEQQSSLKEQLLTSMMEDKNLVDEIEGLVPTDLRKSRTSSQSSLLRSVSPSVVGKITKNNNFKQFINVSEDSHTLQNYKVRRHTATQEELLFAGSDMNSSDGNANPIVDTTAGDVGGDSEEKKDKKKRIAFVSTSPASTSFSATPTTTSSPFSRNTRLRSTVGGGVPNNNNIRKSVQPPTAVNGNGKGLPVRKGIFRLPSVTEDPEHGVFAKSFPGRSRSNLTSSSSTSILMNARGSTSTSSKSVFARISPSWLSDTCAELIMRNNNRKQSRILPLKDGRRGNIITRTHTLEGHARGVLSVDVSENLMVTGSKDRTAKLWDIEACREIRALGVHPNNVHLVKFVPFSNYVFTFSMYEARAWDYRSPECICVKVLNSSGQVNDGDSINASQVMPRQNTIPFLETVITAADVDPTGRHLFTSFAAYVRVWNLSEWKPLGRLNAASHSPKSEVSCLKTTLSSEGSILAYTGSRDHYVKEYDVGFGTGVIESKCEFAPPHYDNVTAVLPLNGHLFTASKDLNIMKFSLKNGKREHFETRAHQQYIQSLTGFGPKGKELLVSACKDGTLRFWDVASYSGMKLVEEYSKAHEEGISGMCTSKSMLFTASGDATVGFWKSNIVEQF >CRE23794 pep:known supercontig:C_remanei-15.0.1:Crem_Contig757:2562:3731:1 gene:WBGene00085524 transcript:CRE23794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23794 MCDISKRHPVSYFPPPIGFPQMPADNGQMPMEDSAQLVAKDIARMSVKGVDWWSDAPMPDDVGGFIRFQPNDENQRPTTENQRPAPMTEMTEKEKRDVETFLNYHPTGESKLIVVHPVEGEAIVLEPEHDNQGYWCSVQLNDCKTMVSWREINLIKAYLKSFQKGKPFLTRNMEFVVDSLETSVVSDDKRMALMGLPMSEKGKHNSIKTSFKDGIKVYCTGGTVKVSVLSDVTIFVQSPFWNHANGQDLAAVTRLGSNQPRPVIFTVFDFEQFKTHLERAKQHPPTDEMNEFLQSICGFNVSVSKGFGPDYGNRTIFETCCWLSIKFTDPLLLFDKYYRQYRLAPDEINSRT >CRE24110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:249163:251768:-1 gene:WBGene00085525 transcript:CRE24110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24110 MNERLNSRKSKSRQGGERSDSDQVDLRCTDNKSDQIKSSVRIRRVLQDLGQNASSDKACFQNMYEKCYSRSDIEQEYSKLHYNILLYLLINTNISLKSVVSTKIVKLKQYQNAGETICCSKKCLSTPLTEAPIFICSYALSTLTRKTKNCAFRPITTRVLLKSTKDKAMENRSGGQNRNLQINTE >CRE24076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:377882:379803:1 gene:WBGene00085526 transcript:CRE24076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24076 MTNSESIQSADSLVNGALFQHLCKTRIESEKLMKETKFNMDECWTRIADDLYHRTCNYLEDKTPKTNKLHAIVRDIRMGHKHRLEFILPRATQRYKAYTCFILFESLHLCLHTERIALYYLDRIRQEEEAAQTASKAVVVASSAPTPNDCTIL >CRE24089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:52485:53719:-1 gene:WBGene00085527 transcript:CRE24089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24089 MEGLNMSLECTRESRSNGTCYGLAVCGFCYDFAETRQVSKEYEQFNLVVIGLMLPFIGCLGLIGNALSAFTYSRREMISSLNV >CRE24109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:245596:247073:-1 gene:WBGene00085528 transcript:CRE24109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24109 MRQRQRSSDDRVRKKVEVEDGDGENEEDGTMVLRKEMLNMKRENANFQNFFFKGGNSESGCSCHNPRVFQFFLL >CRE24125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:420166:420783:-1 gene:WBGene00085529 transcript:CRE24125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24125 MSDSLPGMDSSEEKKVYEDLSPLGFGSELAQPGNATAERTNLFYETNGTENNDKEYYELKKMQLHDVQHAVQDGPSMQGNTMTATEIKMDRTMGNEELMNWDHPMPPPPRDALIYEYNANGTFVILDPDSVHRSSQMNQVFGMDAMEIEHCDMKVGTKETINKGFAFTKCCISC >CRE24090 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:56655:57911:-1 gene:WBGene00085530 transcript:CRE24090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24090 MNLHHRNALKFLLSSGPVGDNLMEIGAGNRRRLCKKTKKIPANAPGNSPLENKKSYNNAKSPSSVPGLETLIPTTKITCSTRNTSVNTLSFSVWDTCRLINNWRNNTSLLLVSIQHLMTFNSIMKTQIPTADGIAM >CRE24063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:204639:205503:1 gene:WBGene00085531 transcript:CRE24063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24063 MSRIPINLEKPILDFESRQVPVFQEVIENFEEKCCDEHHPQRLQVAQSARNSLKLLRMSWEAKVTTERDQLSVYAQVMGVTVGDVLEQKNNIDYHVSDIIHILFVFLLFQRFSLILAQWCTKLSHIQKEFQSMHTHESSQLLTLKMPPGSHEVSQNHFRMHFRKAIMAYTVLTSFDRNLPSLTPVVQFFIAQIINESRTYHDPAVYHMVSLFIEHIIREANSLMLQR >CRE24091 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:58161:59960:-1 gene:WBGene00085532 transcript:CRE24091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24091 MTFMTKSSVMCFHCTSFLSQNKAFNPLSHIINKKEIKIKLYIIKNGKSKSEPMSYILLINIPSCQKNKKSKNPRCECRSLVEKYPYAHYSPKIIKYLCLDRLLIEHGPCNPPFNLLIVFFQLILTGRKQYNYKTPTQTCVGRKKIKRILKKDPANAPGRVSKK >CRE24114 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:279312:280600:-1 gene:WBGene00085533 transcript:CRE24114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24114 MDVEKIIQIIQYAAFVSAQLINVVLLYLLFFRASSSFGRYRVLMIVFSMFAMVYSLIEVLTFPVMFCKGRSLCIASDGPFSSYRPIGVPLVSLYCGSFGMCISLLALHFFYRYIAVCKPDKLYYFEGKRIIYTLIPCYTILVIWTSNVYFLMSIDAEKEAIYHDVLLENYNIDSYKASFISMLYKSPASDLTPEHWNFSQLVPFFICCVILNSCLFAIFYYGSKALKQMNNCGAHMSKKTKELNRQLCLTLGMQTLLPLFTMYLPAGCFIILPIFGIELGAEANKTGAFIGVYPALDPLIAILLIKEFRSFIFCQKKSLAKVSTTTGRVDKPASTFQAT >CRE24103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:207957:209366:-1 gene:WBGene00085535 transcript:CRE24103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24103 MKLLKFPWLVLIKILKFMKTPDLINISLTSRRLREQYTNEINPGQIEIEICNYSADIWIGEFEIKFSSFTTDDIAPIGVRTIGPFTFNVFCLFGDENKSWETVVEDIYTPCWTLAQYYMTIFPKAELGLFCCQDELNERTLQLLSSWDLDLFKEKLFKFPVESENNKNLANQYCKKNHMSAIGYHWDKINIGTKNYGEVESKFRFSDCWLSDKYWTNYVLVSGLKIGIEAWRDLIKTWIRGKLNELIFVRASVVTGLNMLEVTEGFRTHIWNNEEMAEFEGRTHFSAYFEQKGIIIHNNFGRKASLHFDQENSIFTMVVWNTRVFKKCLFLFPEIQALF >CRE24082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:423962:424444:1 gene:WBGene00085536 transcript:CRE24082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24082 MSHANPSQDIPFRGLFGDNNSNECPVTYRQYIEYRDRLNNLATLNYLEELGIRDVKESVSKWLDDANHGPNYHYDRIQ >CRE24094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:104090:105808:-1 gene:WBGene00085537 transcript:CRE24094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24094 MYMPEHISGTGDAMSISGMEPYSRASDEHRTIEAGHDAFFIPEEAIGSIYESADYMTIMDRFADQYQSDLDAHCEVDPIRQIKTVRSQLRDITSTLDELQEENANRDRREQFMWAGLAGLALIMVYSLFRK >CRE24104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:211064:212551:-1 gene:WBGene00085538 transcript:CRE24104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24104 MKLLKFPWLVLINIVKFMDSVDLVNLSSTNRRLREQYISKIRPGGIGILFGSISACIKIGEFVIVFEKYGSYKETVPKEVRTIGPITIDAVCLPPKENKRWQTVVEDVYTPCLTLAQHIKTIFPEAELNFFRCEHECDAGTLQLLASWDLNMFKEKRFEFHVNSNENIKNLANQYCKSNQLSVIGLHYEGFHIVTRTKSFRNNEENELPVDSKFWFSHCKQLDRLINYILVCVLKVRLEAWRHLIKKWIEGKLNKLVFVRASRVTSLNMLELTEGFSTHPWNDEEMIQFKACVSFYGFIVHVSSFFRRTDFSVYFEQKGTIICSRKNRKASLHFDQNNSIFTMVVWDTQASERCLSLFPEIRTRSSFAFDAHILLMPIFYNDFFLFH >CRE24048 pep:known supercontig:C_remanei-15.0.1:Crem_Contig76:2582:2914:1 gene:WBGene00085539 transcript:CRE24048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24048 MFNFKLLLAIFLFFMVAESTGKVVKWHSVSFNQPSWRTSQRSRQIQGYGPMSAFAESGSSRPLFFGSWRKRFDEE >CRE18303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig763:1928:4518:-1 gene:WBGene00085540 transcript:CRE18303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18303 MEYSIGETIQNLINERDEAIRRLSEARIIFQNNMRLKCVERDEMERKYLVSQEEIKKSNQKIIDLQKLAKLDKKEIERLQKDVVKQRKYLRDFMDKTKKETLKRDEKRNNPSPLKDYDVLRNAKVMTERVDKGITAMRSIAGQTHSKFFYRHVCERLDNQQLQKFKFTAYESFQLYHDLNFTRSQMGGLKKWFSTHNMMDPFPSLHKIREIEGTVASKEMYTVTQKQVPDGNGGKKTVTTAFLNNVQQFMNDRVQQLIDSEKLGLDGCTKDGIWLAILGDKGADEMKVCIAVGNVTSPNSSHNLIPLGVFNDDESAEKVMEHLGPAVEQLNQLIDVEVDICNERVKIPVQQYLVGDMKFIYSMIGHQGASAACSCLYCYSPGRQKIGTYKRGENCTPRTEDGYLLDSNKCGAARKSVKEGSSFIFKQVPLERIVPSSMHILQGLAQTFGFTILKKLADEKDSSETTPLPKVSQKLKKEGKEEVERAENDVCNIDDHIFSMECVSKSIENIILKTIDDSGIDEGECSSKMCVYRDKIMENADFFDASTLKCNGCQETHHSVCSGVWTSEEMDRKKKPGAFFLCFECLNCNASKRLESSKKLLESLQSERIRLVKKRDDVKQKYEKRLEIWSGNGETRKQLESIWKSFGADISAFKQDFCGNHVQKLLDEDAIKAYCSIFAHSPEIIHIEQFLIHLGKFQKLCVARELTDFEISTMKLTIDDIWFHLQKFASHLNVTLKLHVLLEHTVQFVELHRTLALTSEQSIESIHAIVNRLKMRYRTERDELRRVTFIFRSLLFNTHVNGTC >CRE27933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig764:863:2989:-1 gene:WBGene00085541 transcript:CRE27933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27933 MSTGINRSRNSSVSTELSFRGNKKSSPPLPSINFKSTKDALRGAIAQSLDAASKALVELRDLRDDHQAGEVDCSLVDYLRKKMLALLMMLDGDEYFLGLLDNVQLLLSEKERSALRKGVLDLSSRCPREDMVSGLRLGVTELETMLTTRSYPYSAEVPVIHLADICKNISTSPRENGEHADDYKSEHLPIFLSSSESSDSDHDIADASKETVEDTVTKQVGIKAVPSALGEESRSALGPIQPSIPQTNGNKRGCALSFPQEFDSTGFSRSVVPRGARPGLSSFLKPEITVCPVCSNGHDILDCNSPKRSMYCAKYDLCIICTSDSHNTFGCPLRIPEISEIAANVSQQAGADVNDRLESPKTRISGITHNHHDPPRKDRIHSVFENRVEVPRFHHSNLNGYDDEGERSYDPRDDRIGKGDHRRHLSFYDLETVLPQFNADPIKYSRFAYSFHKMVFLNPSLDDTLKFTLLEKKLVGKAKRFLIDLNDPRAALEATLVALSAQFENNYSAINAALSQFRQLTFHESDFQRASLELHDCKALIMKLREQGEDVSSQAFVRQLVEKLPGKVIRRLKPLYSNNHTLTVEQVFETYSEYLRVKSFADRFRPSVSRNSSEYPDESVMIMMEVPPNPPPRKSGKKNQKPLVSSVVGPASARPEISNDGRAIAAPTIPSKGLGRRPAHHRSKASLKKSGANPNPATFFRGPLGGSQ >CRE30272 pep:known supercontig:C_remanei-15.0.1:Crem_Contig769:9289:11374:1 gene:WBGene00085542 transcript:CRE30272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30272 MKPAIIILLFTISVSARMFPTYPDTGNRVKPIMTPIIQKKTSNSELTDVIGSRETYEETSVIESLKQMEFLLKDVTNDMVTSPEPPSTTLSTTKRKPSFQDAMRERYLKNKKRVRSTDSEVSVALAFIRLHSPHHEKPLLLLALLGSVLAYPTTNETLIDKPEMESENDSSLIVITEKMDFHEERQKYLRQVEERNQREWYELNKFWEESERLEQLEKEKKAAEDQKWFDDFNEFWNNASYVEEDRKAQETVSVQATERACLHLLFVRLCFWF >CRE30274 pep:known supercontig:C_remanei-15.0.1:Crem_Contig769:13866:14787:1 gene:WBGene00085543 transcript:CRE30274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30274 MRFIEIPVKADSLVQSENGFTSLKEQDALGKLKEENLEEKLASQQLKREMRRRKQEESDVPCLRTRFFTFCFGIKIN >CRE30273 pep:known supercontig:C_remanei-15.0.1:Crem_Contig769:12103:13031:1 gene:WBGene00085544 transcript:CRE30273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30273 MNFLIILLLAIPSIVVSYPSSANETSIELYEHDSKNDSSLIVITETMDMNEEKQRFIDNIINAFKQAEEEKQIELDEIVKVFNEAHRIKEEEERKKAAEDQKMIDENINAFKQAEEEKQREHDEILKFWNEAERIKEEEERKKAAEDQKMIDENINAFKQAEEEKKNETMSADNKPKKACLHLFITEICFWFA >CRE30271 pep:known supercontig:C_remanei-15.0.1:Crem_Contig769:8530:8903:1 gene:WBGene00085545 transcript:CRE30271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30271 MALNLKDKKQPVQISSSKEESKNRVKRYLNLNEVKLHEVAYLGRKDGRIYPKNHKFYYWDPTRRRMLNVVEESGGKKR >CRE30270 pep:known supercontig:C_remanei-15.0.1:Crem_Contig769:7157:7444:1 gene:WBGene00085546 transcript:CRE30270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30270 MKDVILCATHVVCLTIEEQNKLIAELNKNRQELGKKTGTTFKILTYNAKLEDDIRKISPPCS >CRE23601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:35518:36862:-1 gene:WBGene00085547 transcript:CRE23601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23601 MEDYDENHLGINWKEYLKIVPTEHRNQKIGRSMQIQMLQRLLDEGLQNQEPIVMDHFWRKIVDEEQWEGGFKPYNDHYSHVLAKKVEKLIFLPIEYRALILFITSRVVTPDFRNQLEEAECKCEYDTRRRIVSILSKDEKIKVEGRHRKANAKKSRKTQKDYEEEEDEKEENDEEEENEKEENDEEEENDEKEENDEKEEDDEKEDKDDDDNEKDDDKLKKNTAMPIGKIYDNLECLLLVYCKKIKFDYSLMLGLNQESRDKKITNHQMLKVLGKLTKSVAECPDEKRDLVKIDKFGILLIFNSMVGVIPGYENMKKSMKRALEVECGREEQISLELLVGKIVEKLEESSKE >CRE23591 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:473:2454:-1 gene:WBGene00085548 transcript:CRE23591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23591 MQGSPEALTRIVSAHSDPSSGPLLAKQIEEAFDFWEKIKSIGRVIFFIRTVLCNAVITILTLVASIAGVARFYIGPWLVSLKRTEPKATQVIGTGADVQSPAADTPVVSPQETPRIQNKLAGPFPDCARQMKRLRSPASGLSYTDPKYFTAQIPIRVNGIHFWALVDTGAGFTVAGREICVLIGVGKLNPPTVDHALGLGGNEVGMAGSATIKCEIGANTISQTTNFTSGQCCPEGVSNYDFILGNDILSRLPKSFLGYPNKCFEVGDEKLPLGSPKMETIFPYRYKVHVAKNTVISPKSEAFVKCVVPLCQEEKDLVLLSQANSLVAQDMIVAPAIFVPSKAFLLVTNPTNEPKTLYANTTAATATDVRETDETHSILQAALKTEPVHIYTNTEEELTELKDIIDFTICMTLALPDPQTDHTNRATGPVGIGSLGVSQRKVHLTKKKPTHHLSRSCCRARKRFKHLRHLHLHGPPEVIIQDICSPKKAPRRVHLDQIKKFVEITGPAATDRDEGPDSDEEAEQDVQVAQVDQVEVKDIDTTAEREVRPLETIPEEDLDLAAEVNDCDSPPSEGDEQQHRYNLRRKRNPLERVEAKFERR >CRE23617 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:182030:182711:-1 gene:WBGene00085549 transcript:CRE23617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23617 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MVV5] MEFPGIPAEFKDLKVSPNPFDLNSKELTLKNFSKIAILFKVKCTSNKRIKIEGCADILRPGNETTVPIKKQVDNVDGDALYVTYTLVGRQWHDERMSAFKCWERAKKQAVRTNCLTIPIRKSSKTREEKGKNKDEKERDKDRSKDKRKEKTKEKTKDTTKSED >CRE23597 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:16203:16982:-1 gene:WBGene00085550 transcript:CRE23597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23597 MVQLKKITWGCVPPTRNKTVRRKMQPKNSSSNFTSRHRAAGTPTDPRAFWTLIDGPFNQRQLDKERTSSEKRKQHFVTRRTEWSWVQTQLFLRSFRRPVAPPIGRQSRSTKKSEHKVRFFQKNTIISSTGQRRSLMKTTIEDSNIVASPPPPETGQRSGQRFVTIKVSDIKEQQPNHSRAGSLSLHRETFSSMMPPGQKKTIGQKSTTSTSSKEWLNHNINYNCNYLSIFLLFLKAGSVLDCTLYISHFLFPAPTGYDS >CRE23625 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:332956:333616:-1 gene:WBGene00085551 transcript:CRE23625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23625 MAFNRPPHKRKLTSSDDDLPLQFVPYSAYKELHNQVVALTSLVNQLRGAIIESGQNKLALEVAESCEQLSDMSPLADPIFQHHFSSTDSPALVDPPSKFPPVTAPIAPVATINSLDIAREAAKLLDKSTRVLPRKPTDTNVLRSSVLLNYNSTLLLTGTSFFMAITKSDQLTNLSWISSPSPVLVVI >CRE23632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:383448:383837:-1 gene:WBGene00085552 transcript:CRE23632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23632 MVVVSVYPDACPAACSNHGTCVSDTAAFCMCDFGFTGSSCDVPVSAVSAAAIETSSIGFFAVLPWLLFGLAVIWIGVSHARRWNARRRCRSVAVQATFSISPSVYPSAPAAN >CRE23595 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:11919:13561:-1 gene:WBGene00085553 transcript:CRE23595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23595 MIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQMSEAKPPVDEKYYNEANIAEMDDTQKSYTSYEDESDSDMSTTLSPAIPTPEQPSTDTPLSSPIATKPITRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVVVALEKIISKFKSPPIYVYSDFGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVDVLSKVVDGINRSVNRSIKKAPIDVKNGDFSFRRRGRRVRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEVIDGKFYYHEMIRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSAEFDSWVNESEILDIQQ >CRE23563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:103653:106222:1 gene:WBGene00085554 transcript:CRE23563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23563 MKLHKIINTLNKMTEEVYIPRPQRGIHPHLTISYPLVDGIEEIVESVANLLKIEQLEPEDFPEIKISSMIAMMAVLLEMKDKLILLDLFKRWAINTRIDDSLLAGVVQSLRIFDIHFNEVYTIRAAMYNYITKPSAYETTILKIYPDGKIEVQPRRIDENEVKHHTYVLKKLREVTSLGQPTNFRTMAQYDFSCKSKYRSDQIMQQLSYFNTSGYSISDGKSCFGNSFGKVRITEPEEEEKGEESEESEKSEESEESDESEKEEEEDERNPVEILSDYVDKPVWPRRIEGENPFEKLEKLTICTQEKTSIDKERWQLNSSYPDFGSLPTSPTSSSTCTDSSDPNQLFFEFPETPPLAKSIKVYS >CRE23585 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:276290:277351:1 gene:WBGene00085555 transcript:CRE23585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23585 MSRRPPRKFPLLRLPRLALIEVVKCWNPIEIYLFSRVSRKTKEISKLIEIPDVGLGLTISKCYEVYLGNPARCVSTHWYFRLYPGLRNYEKYKHERYLDIFSKNSGKSVGKLIDHCQEIFKCEFGGMSISNLKFEIIDPVMKSSNLKVFINWLNQHEKLSKIPYLSVSSGEDNLKKDMKF >CRE23582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:263141:263644:1 gene:WBGene00085556 transcript:CRE23582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23582 MTVIIDPVMKSSNLNVFINWLNQHEKLSKIPYLSVSSGEEGDQLHSNWFMQNLKKDIGVLSFYGNYYAAERAVLKVNGKVDSLELDSSEKLLDLDHLISMDCVYISGETSLTNRDLNSFLKNWKEMKTNERMGFYFIDAAENLDWSIILKGLDGEIRDVRTLRREYL >CRE23598 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:19021:19473:-1 gene:WBGene00085557 transcript:CRE23598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23598 MWSYEIDQILFNDRFSKKWYKGTVMADKLPKKRPRFKKFGFIVNTDPSNEPGRHWQSIFANGNTCFFFCSLAEPPNVYIQRFLRLFPRVIQNPIRHQSLSAVTCGGYCVFIQAMMSRGVRFETLCEIFIKMVNDDLFIVNYLRDAYNYFI >CRE23599 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:21078:24452:-1 gene:WBGene00085558 transcript:CRE23599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23599 MSRFRIPRSPFWLPPCEDDEIDFFLKDAITHNGHHLENLSPSITEKYTSFLNQPKILHVWDTITYETVPLPIIIHDYIATYTRMSNNRRSVAEVYLEDYGYTLTFPFSPVIADVLGRYHPIESFLDQNFPNWKSLSDDILNQILDDFVGSIHLRIRHRRCTIYGVPQISRNYLKREWAVQSNHPSGPYVYIKKDEGSLYPIDLVHHIEAETDEEIQERQQLEKKERWDALISVSKQITVLGKKHGYVKDLNFKNELSKKVEKLARRMIGITLNS >CRE23602 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:47463:49585:-1 gene:WBGene00085559 transcript:CRE23602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23602 MPPKKYNKGRPKKKNSGETGKPKEEMTQLQKQEAQTAAFEQKNKEYEAELEKARKELETAERVFGEMDVAANLIINHCGNMAESDPLYDVTMKKKDKAKREARIAEAIKLDKERNVAKWEMMVKHFNKKLNVATGASSTDDHE >CRE23551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:25943:26310:1 gene:WBGene00085560 transcript:CRE23551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23551 MLKITIRSAKNDQLALGRDTFVDCQPGSTLELLLLRWRINNRSEYVFPNLHNWTTRNTPRLQESGRKHSTAQWTTSRRKEEVQEEEVQDPHEEHSYAFKLAV >CRE23611 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:121100:121604:-1 gene:WBGene00085561 transcript:CRE23611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23611 MHAKIVNGSFADRLNWSDIGRTYITHRRCGYGVIGVCGAPNIAPVCHVAGRHGMGKRMSKEVGDDAEKKAKERSRFVREKKDERKMTKMMELKSRRNYEDYIKENKLEEDEQEPEAVQHSIDLLCIQFSQIKIN >CRE23627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:361135:361845:-1 gene:WBGene00085562 transcript:CRE23627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23627 MPVIASTDDFTGLSYLIIKKLMVMIQQRPVRLFNLRLIWNELISQHRITKTRGCFYNCLRQEIQPALFQCRDLSIVESSLLFFCTSGKVEETRRDLIANATFDSEGRITRMQLGTTTLEANHDQMDKMKNRFRRAKEAEAEEIQRVRRRETIAIRTAPYPDRSKRRRLT >CRE23583 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:264440:266734:1 gene:WBGene00085563 transcript:CRE23583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23583 MSRRPPRKFPLLRLPRLALIEVVKCWNPIEIYLFSRVSRKTKEISKLIEIPDVGLGLTISKCYEVYLGNPARCVSTHWYFRLYPGLRNYEKYKHERYLDIFSKNSGKSVGKLIDHCQEIFKCEFGGMSISNLKFEIIDPVMKSSNLKVFINWLNQHEKLSKIPYLSVSSGEEGDQLHSNWFMQNLKKDIEILNLYRSYRATEHSTLKVNGKVDQLEFSNGAKFLDLDHLIRMDCIYMRGGETSLTNRDLNSFLKNWKEMKTNERMGFYFIDAAENLDWSIILEGLDGEIRDVRTVRREYKSPWNEIQIYKANGGVDITRTDGKTATIGMRFHMIFEDEPLSRQMIRDYQKIIVGQNMDGQEMDMNFVHDPEYEHLTALLRKTFFVMVK >CRE23567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:140119:142847:1 gene:WBGene00085564 transcript:CRE23567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23567 MIPGSLNIPVRRKEEKGRRKQGKSQCRRGTQKPKAVSNPVLTTSKSPSPASTPSQPAPPAVVIASIPVITNPLLQNLHKDPTQSSPNLSKEGKHTTRKKKNVRGEGSANEKVEKDPPKTTIRKKKKDLQSISIDLKTSSENDGPGGSANDPRKKKSHTSSDSKKKRSSHETKSDGSKEPSDNEEKTAKKFNPEMANNFFKFLKESHRARRRTEDAHLERMPESSQLNYSVRSIRSKLKKGVPKTSSTEITNFFKPNGEPIWVVDSQPTTEIMRDANGVAITNKELVEAMAEDNLELDEKSWFDLIDIFIECKMTRGTQLPEDHQFDSLAPADTLADINEYQSPEAISYNTMKNLVELSEDAIQRYEKRRQGNDNNRPARTIDVTTVEEGPVAPVKVENFQLNTCIVSNITFDLKKNMNVKYDRHNAIASIRKLHRKMSMSMEKTAKGGSNEKN >CRE23580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:241580:243271:1 gene:WBGene00085565 transcript:CRE23580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23580 MSTSTTSSPVKPETEQHQVEKENAENSPSKSDEPKDEQKNTSTETEVTPKLSKKDKKRQDEVAKMSKQLDNHGTHIKSIEGALSLTASSVDEVTARLAQSEEEIASLKEDLEILETRVRPDTITAANHAHVLTRISHIEIMMASLKRKPSLQEGAGPSKIAKSMSSSETGVKPASRPEDSTICSLRDGAHFMNDCVLFPTALSKLNEFKKTGRCLKCAQLGCSGRSQCPNSVKTCTKCQDRQSPPSCYHLTIVCLYDEVFVKRQKDKRERERRAKMMVTPANSEQPQPSQQVQQQQQTIPQQMHQQQQPQQQMPQQQQLQQFQAPSQQYYQQPHQPAQPVFAMPRVPQQQMMPQQMMYQQPHHQQFQQASPQGFNPTPQQPYGCYPQY >CRE23623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:298832:299273:-1 gene:WBGene00085566 transcript:CRE23623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23623 MRAVAKLLLNSLSGQDTDRNLHQSSKVLVHDTSIELLDVRPVNNIVVVQYRKQVETLTSLKTGAVHIAALTTSFGRLRLYKLMEAVGGDNIQECEQNTLRYMVHRHRSSNQGQNSIRVATGAQS >CRE23581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:247333:249114:1 gene:WBGene00085567 transcript:CRE23581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23581 MNKVAQNIIMTETEVFTVAIQEQKSTNEATNYQSFVPYDYTNSLSSLTNITNTILKFITKSLNTKTPENPLLREYKDCDNIVNRTEREVQRRRIARLAIFQEHYKEAKSRNWRFKDNLKPFQSQDGLWRTQRHFSSPNIPMEASQPILVHSEHKLAILLAQEIHLQNAHLPTQYLQMAIRTKYWIRADGRLARTVISKCVACKKVKGLPFQYPYTTTLNKNRTMPSTPFSKVGIDFFGPLKYRTSQFDTQEKAYVLIYTCLTTRCTHLELCADSSTRSYIGALKAIFGQRGVPNYLYSDNAQAFKLGQNILEKDMQDFSADPEMTCFLARHDISFTPITPLSPWMGGIYERVVGTAKSQFRKVLGKLTYTFPELHYTLKRVEGVINSRPLIRNPSEKDDVPVLRPIDFLLPSVLLDVPNDTDNNNGDPVYDPTMTTTESETRQHLLKLDETLNKLWKIWSSSYLLLLRESATKKNRYSITPPKIGQIVLINEDFIPRHRWPLGRITAIKGEHPNIRSVTVSVNGSSKDRAVNQLIPLEIEDDTDTQTEPTSIPDPKESTVKSTRTNNSKTTRIMPSRKAKEGVKRYRHDSSSD >CRE23577 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:230885:231112:1 gene:WBGene00085568 transcript:CRE23577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23577 MAQPVPPPGVQTQRMATAAKPAVKTAAKHRMRRMEAIYPNDLVRYVPPGSQPKQPKPQRAWIRFKGRLYRLNLNE >CRE23631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:380717:381466:-1 gene:WBGene00085569 transcript:CRE23631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23631 MILYEASPEHTNHSFTSTPRIPTLPHGTDLALLTFCDMATKTMLFWRVHKMSSYQMHQIQGVIRGINEMRQFACFGTEPFFESVHDVQYQRKNGSLISLKEAVKECVGLDDWTKEVLWRLDQRIRITDSLIEGHNIIRSFPRTYQDFIYMDTENSHNKLPHGGKSALLTFCDVPTRTVLLWQVHKMTEYQLEKVRGVMRGISEMRSFACFGKEDFIESIYNVQYNRPLTEFSTQRWTRWPCDTFGKDIV >CRE23578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:237745:239458:1 gene:WBGene00085570 transcript:CRE23578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23578 MQEKSVTQIRRLASKKAARRRNQYIFPVEGP >CRE23559 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:90252:92878:1 gene:WBGene00085571 transcript:CRE23559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23559 MLQDAGISESETNRLQSYLLMNAISCPIIYLLLKKYSPNVIATKRKLIILGLTTIIISVQLLLGAVILKRSVVGFEANLRLSISLKSLCFITVTSLSISPFIIHEMYSDSISPHCSERVNPYALSNMGCSLIRFFTLLPIIIICSLFNKPEEYIPCFTALLPLLTVNLMAYALEQPPKIHLVPDGTERTEEMESQF >CRE23594 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:9641:11000:-1 gene:WBGene00085572 transcript:CRE23594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23594 MKILQLLMATYPRNSPRPAPPPQVRWAPIVQITAHVSLCPGNPLVRVTLDLTPFERETYGTRPLQTFEMMDYRLVPCRPRAPAIVHPANAGVRAETFTGSTQTWVRRRAAENHGLRCCCDAMYSHPERRHDSKGMCTAWAVGQMIAEKIWAGDDIKPPMVHEPHPTTPPHNRRLWAPIVEIRVTVYRHRCGISVEAAVNQSPFGPVLQLADRVRFYHILDNQLWSVFENPFGYIPPSEDTTGTIGVTQAWTRRQLPIGLQLSCPCDHRYTPEHRGHNNPDLCLAWKVGQMIADRYWENESIDGMELPRELFVSGNSDHQPTRP >CRE23564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:117325:119056:1 gene:WBGene00085573 transcript:CRE23564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23564 MCSSSLGGLQHQIAQVRTEIEAARLLVYNAARMKEYGIPYVREAAMAKLFASQVATSTSAQCVKWLGGVGFTKEFPAEKFYRDAMIGEIYEGTSNIQLNTIAKLIDNEYKMRS >CRE23626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:356347:357719:-1 gene:WBGene00085574 transcript:CRE23626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23626 MEVMEALVRQFLDGQTNVISVPERMMRRSKVLGGIMTTCEGLNWRVYGKKNENKIMLKWKFSFKSKKRLITDKLVGQIRVNWVNNEDIKCSAIVPFEIPSCSDPEFCVLNGKNSIASYKLIAQWTKKEETRKYVDDVSGKPIEFFICNRLENMDLSMLLRHSPVLEKMFSSGKNEVFGCTLGQLKSMLTLVKKETIKDLDYQSVHEALELSQLYNIKVLELYSISHQSFLQEMSFSILKLPTLPVKQIRLTAIYRSSEDHEDEDTLIDRSVGNCEMKTFILEDNIYSLTRDDVKESVKAGCEYLMDLFKVKVYRGYLYSDGAPTTRHPYFYPFEKLILYT >CRE23558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:88485:89058:1 gene:WBGene00085575 transcript:CRE23558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23558 MLNMIVAHMFCNKCREKPGCPTCKESIIFSYKIDEEEGDDDRQFAGEEEESESGSECDLDEYEPEDRSKTLTKFCKFLMTDPRANVVYVIAHNGGRYDHVMGMAEMDRLAKPPNFIMNGRTFIRYCPYLYNHPDNYDKVLTTLPPKEYYIPDCRQIRRI >CRE23596 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:13886:14533:-1 gene:WBGene00085576 transcript:CRE23596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23596 MFDQKTKMTPFQFRFPSQTTVIGATQSGKTSLVRKILENLDSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQSVMSKPYNAMMINNDPNADPCFRIMENFLHEFPIVYK >CRE23622 pep:known supercontig:C_remanei-15.0.1:Crem_Contig77:274143:275406:-1 gene:WBGene00085577 transcript:CRE23622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23622 MLPLYKTHHIAAERAADRAASEFKRKLRNWLASGSNAETPEQLFSALTEGRFPKAMSSYLCTIDYSTVKEGKSDIKGIQNLYDFRFDMKNSKMTARKFGKIGEGSVHDTKKFMAGTGEMKIEKSGGYHNSDQETFWKSSKCTKKCSEEDIEVQERAEEVNPFEDTDVNVEEPVPTPIETAVFECPEEGCTALFTKYGNLERHLALDKHNFVPEKETLLDFAMNRYAENIEGLRQTPIPCSLKDALTEIPPGTLPFDNLQGWALPHKKTNKRYNRDVVQFVKEKFEEAAKKKLKFYPKLIATEIREQKKDGKLQFPPDTWLNYKQIQNLYNTFGRKSRDLSAKKKETVATSTQIPATLPSSIRTPANRETATAAAHDSKSSSNKME >CRE05290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig772:302:1929:1 gene:WBGene00085579 transcript:CRE05290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05290 RSYLAEIARLKEANTRLSADCLELATRHHEHTSRSEIQRQMTEISSRLAPARDNSMDRPSILATPDPEPEIEEEFIPVPESSSFTPPPSTVDLSPEACRKLKVLQKYSAEFNAVELRAFARNLVEWYNTTKANHKERKTAEKQLKEYEKALDSIEDSIKENLELLSLNVTSGLHELPDIPMPFSDRVMEKMFEYSGEEMLEVEKEEPKEVVVVLEEDDGCLICHEEIEQNDETIRCDTCSNEYHYHCISKWLKINSICPACSRALKDPNEYPRLE >CRE12393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig776:7277:7913:-1 gene:WBGene00085580 transcript:CRE12393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12393 MIPPEEVGFSTTAANLPSSEEPITEEPVDSTTEEEVTTAEVTTAAPVNMCGECDMNDIAPLMMQDNTKFSFTEETPVDGCKRTTALCQRSDDTFCNEIFMYGTNADSTSSITDETTIAAVWATFSCETDGTYSWMGITGITRLSCTFEDCE >CRE11539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig778:1453:7525:-1 gene:WBGene00085581 transcript:CRE11539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vha-19 description:CRE-VHA-19 protein [Source:UniProtKB/TrEMBL;Acc:E3NNA3] MRVLFTVFSLFAACYAYDAVLFSNQREIGGLPVEQLVKGATAEEPIVFIVNPDFTLGQFSVKANAYSAEPSTDFLAKSVKNSNFHESQYFPHQIEASSAQVLTSSASYKSGSAIYILAGEEWTSMEQLAEELISKIDNSIGILTSTDAVYHESTNRVKRVATDEFDAASNSNSPSTGSPASGAFPFPLVLPPYNNTNLKVAPEANLTCLLYLEGVSVVVQQKNDKTLAYAAAFVPGSNLTYAYADGDVKCAKGTIGEFIFRLRLTLTQDIKGKQFNTDAFSMKSGDLIDVTLNITGDIFGYWQLSGATLHEAAITGTGAYKSAKSQEQTIGEVETRYSKINSVAGWALACGQTQAVFFPTDDQTVKIGIALVNTQIQTFNIRNPDAWLKAPHFTLQTEDCTGTFSAGSWMGIVSALVLIAGLIFGYVMLQSVQTMDRFDDPKQKQIVINVRE >CRE22941 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:316720:317331:1 gene:WBGene00085582 transcript:CRE22941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22941 MTVIETMNKMRSLFAELNEVADMNELVYREDLEPLLADQLAKSNGCPDTSIVIRDGYHIVISIHNDDEITTLKMAAFTQSGSTVMAAGSSTCSQNGKTVIGYLFDASDIKPVRGNPGTRCPVGRTPNADGLCALVGNGRRGYVRKDIGVKIPTTIIDTDIWFPESVPDVRIPELPDGIKLSDLSDLFP >CRE22904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:110617:111084:1 gene:WBGene00085583 transcript:CRE22904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22904 MFSQRVPAVIYMSMIDFISSRQSEIKELTIWGDGLTDKHVTEIFDKLRVTDHLEMSHRFSRAPSIPLNSKSISIWNSAWITTQHLNLMKHCIVIELHRSTLTDHDITLFLNDWKSGQFPNLQYSSIKSSFLGKNFTAFGLPSLQNTVNPQYYART >CRE22966 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:149488:152193:-1 gene:WBGene00085584 transcript:CRE22966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22966 MPLVFNQEAINGILFTLHFQKELPIVAHQKLMQMTGKEVMDVKQVTEFFEKIDNEEFGLKKMEEITLAQVLNVPDFGARYLDIDTRLRLRKTCTTIREIINQKPLHIDCLHYECEGENIEISTNEGFKVTYKINEEGLKVTSRDRVKSINAESEEKKIKLIQQDLMSILCSEKLRINTLRIESDQMSLGPRIGMKALRNTLNQIPNKLKINNLEYFVLESRDVVLKAALKKIDPEHLRFLQRKNESFYWASILNIYTAASIKEWKRLKSLKISCPKLLIPDIINSYTHFEYAHLEICRFYGRSDIMLSKYIIVLIDKLLQNPNLKQLKICAENEMGAVEFQDINGILQQYNNNAPYSCWISIPYPDSDKKLEMLVEKNMIWFKGPCYVKGELEEERSDEEDDMPPI >CRE22937 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:290033:292317:1 gene:WBGene00085585 transcript:CRE22937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22937 MPPKYKPNILDGVLYTLHFQKVPSPLAHQKLLEITGEEAMSLEQVTHFYKKIDNGSFDLREKVKTLEEVIGVMKEQILKYVDVKTRLTLRRTCRSIRATVDTCSQNIQKLKYFYGDDFIELFVDDFSVRYEFIKGGILVRHEENLKLVRVTDDEEKLEMMRMELMTILGNEKLRIATWRIEEKDPELQTLGMRVLRHILDQLPPQKLKVTRLEYILLDLSEDFTKTLESLDSAYLESLFLSHDPNHRDCVNFDDAIYDLEQWKQLKTLEMDHHLSDMRELTRSWTHFERARVNFEICVDSETRMWESVHDEVMELKEVLLKHPALNQYQILFKNMRPADFNKLNETLGTNGPAWTTFKDPGSDKNVLKMLVTEKMIWFKGPCYAEEEEVEMAKKNLDSENDSEDEDDDEDDT >CRE22938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:294188:297621:1 gene:WBGene00085586 transcript:CRE22938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22938 MPSIFKQNIIEGMLYTLHFQRVPPKKAYVSLKRMTGEETMSLEQIKDLFQQMDAGTFDLRDKEGEQVSLERAKDVKAEQHMDIKTRLLIHKICSQLPPSLAYEGPQYIRNFIYFYGNNFIELSINDVFHVRYELEPQGITAEHADHQMTCRRFATEKDFPAVLRQKLTAIFGNRNLEIGTMKIHEDINATYGMKVLRRVLRQMNRKYNVKTLKYYVLDVDKNLTKIVKTLTPKYLESLIIHHFQASRHSDNFDTALYETEQWKNLKMVRMKHYLSELPTIIKNWTQLERSSISYKVPRENVVSRRVVLNGFDELLEKYLENENLFDAHIYIRDFNGTAAYQLKQAFKEGGEFRNGMVYFESPFEDGKPMCVEFSRTCLYFLGPAFGEPVDVGDAGTMYSSEEEEEEEEMDEDEEEAEPIYDTEDSDDEEEHIVD >CRE22895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:14098:15433:1 gene:WBGene00085587 transcript:CRE22895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22895 MDRNGHEDENGATGLECNVCMLEYSDTVIPRILIGCGHTVCQTCIQKMLEELKTSLMCPFCRKESTVADGRPSNLPKNYAILQMIQNKNT >CRE22976 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:213752:214069:-1 gene:WBGene00085588 transcript:CRE22976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22976 MGLRFFYGPPILPWASDSAMGLRFFYGPPILEQSQMCCPISYSLHNEIREQLGIHTHRHLGAATVVNFPSDQDRQVSLTVANDTQRLVIKWMTDDYLDLKLRIDL >CRE22967 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:157721:158794:-1 gene:WBGene00085589 transcript:CRE22967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22967 MNQRPFKLLHLPTVALRNVLQFLNPIELFELSQCSQKATSIIPLAGTKKYSCRIDVSYGYILINDYMFCVEHNGLKTGYKLRGERKFKGIIADIEYESEHEIISFWDVINIGLKHVLFYISNIFGCPINSFESSWTMPAEIYNSIIDYIITRQSEIGKLAIDADSLTDADVMKIFRSLRITEELELSVMKKCTVIILSRSTLTDNDMKWFLESWKLGKDPNLEYLSIHSNSFSSNFTVFDLPSLQDTVNPHLFSKDILGETRKVYGAIDIQRDDGVAAKIHFDVKDSIVDLLVL >CRE22905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:114707:115761:1 gene:WBGene00085590 transcript:CRE22905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22905 MNSQPFKLLGLPTLVLRNVLQFFNPIELFELSQCSKRAFSIIPLSGSKKFNLRINERSTISVNGHYFGTYNNSPLSENNLHGTRTFMESTVKAYLHSEHELVSFWDNRNVGLKAVFFHLSKVFDCAIEYARFTDKIPAAIYMSIIDLITSRQSEIKDLTIWGDGLTDEHVTEIFDNLKVTDHLEMNYQYSVPRPIPFNTKSIDIWNSSWITMEHLKSMNKCTVIQLYRSTLTDQDITLFLNNWKSGKFPNLQYLFIKSSFISKTFTAFGLPSLTGNQSHEKTILGIEREIYRGVDVRRDDGIAAKVRFDDKEGVLQILVL >CRE22927 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:255377:256401:1 gene:WBGene00085591 transcript:CRE22927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22927 MSLVFNQGAINRILFTLHFQKELPIVAHQKLMEMTGEEVMNLEQVTEFFKKIDNGEFRLEEEVKEKPQVTLVQVLNVPDFVEQYLDIDTRLCLRKTCTTIRKIVNEKRLHIGCLNREIFWN >CRE22903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:99395:100449:1 gene:WBGene00085592 transcript:CRE22903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22903 MTPQPFKLLRLPTLALRIVLQFFNPIELFELSQCSKRAFSIIPLSGSKKFNLRINERSTISVNGHYFGTYNNSPLSENNLHGTRTFMESTVKAYLHSKHELVSFWDNRNVGLKAVFFHLSKVFDCAIEYARFTDKIPAAIYMSIIDLITSRQSEIKDLTIWGDGLTDEHVTEIFDNLKVTDHLEMNYQYSVPRPIPFNTKSIVIWNSSWITMEHLKSMNKCTVIQLYRSTITDHDMTSLLRDWRSGQFSNLQYLLIKSSFLSKTFTAFGLPSLTGDQSHKKTVLGIEREIYRGVDVRRNDGTAANVRFNDKEGVLQILVL >CRE22974 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:198781:202838:-1 gene:WBGene00085593 transcript:CRE22974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22974 MKLYFCSVWFIHNLLCKTISDTVTDHFQLKNVKVAGTNYWDKEPLPGQTFLTTIYSEPLQFEQRRQILILHDIELPAVHIAKRFGCSIRSVQETIGTRILIERHFITEHLLEDDSESPESSTTTTPSAVKSSSESSTVGAMIRPQKNKIRRTHYVDLNKLVWKHFKDCQATGMQINGKHLKDQAMRYAKEMGLESFRGSEGWLDAFKRRHRIDLKTMTGYPVCYENDMFDEVDKECRDLDMESHMNHLHSNQQAAPSFVPQHSNGGYSAPDEFAASFFNSLSGFPQQMLPLQQQQAPEQQTPLQNMINSMMTSSNSMDFSRMVPNGPSTSSEPQQPSQETPEIVNSAVVRSCQIKIADKEVCHAFDTLRQYILAHDREAMTLLVQLQERLAATSGNVKVGRKNQRK >CRE22933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:281905:283254:1 gene:WBGene00085594 transcript:CRE22933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22933 MPLVFNQEAINGILFTLHFQKDSPIVAHQKLMEMTGKNVMNLNQITEFFKKIDNGEFQLKKEVKEVTLAHVLNIPEFDGRYLNIDARLRLRKTCKTIREALSEKSLNIDNFFYRSNRTDIGISTNDNFYVIYKIIEGGLSVMNEDSEKFFNMNEEEQIEMIQLDLTSILGHEKLRIDSFIIEHSRRTEELPIGMKALQNTFDQVPNKLKVRNLEYVVEENREVFLDTLKTMDPKHLKSLKLWIKLGPDNWVPRWDELNNVEQWKHLKSLYVDYWGLDVLDVIRFFTHLENAHLKIESFYDCLEGIPLHDLLMRFKDKLLQNHNLKQFKVRAERQIRNSHFEIVNATFQQYNTNNTPYPCWISFPYPDSDKKLEMLVERKMIWFKGPCYVEGEWEEEIDHDDDEEEDEDEEDDD >CRE22908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:122369:123447:1 gene:WBGene00085595 transcript:CRE22908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22908 MSQPLKLLHLPTLVLRSVLQLFNPIELFELSQCSRRALSIISLSGSRKFKLHMNEFTNSIHVNGHSFLIHSNGSPSEYPLHGTRTFNGSTVKICHQSDQKSKQKLISFWDNKLVGLKTVFFHLSAVFKCPIECGRFDPTIPAAIYMSIIDFISSRQSEINELCVGGENLPDEYVIDIFNKLRVTEHLVLCHQFCRPPSIPLNNSKSISIWNSSWITTEHLNLMKHCKKIHLGRSSLTDRDMTSLLNDWKSGQFPNLQYLCIQSNFLSKNFTAFGLPSLRDTVNPQPHIKTILGFIIWIYGSVDVQRNDGVGATVLFDKEEGVLQILVL >CRE22979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:257171:258457:-1 gene:WBGene00085596 transcript:CRE22979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22979 MLNQSKRNSFTHGVLNNHFNAKKTFSSAAIRDIIYYGGKHGFSEPTGKEIFAIVSNNCANQVGFKGEDFLEKLWEVLVCNSRAAYFALKSRKFENQYRYRELDHIAYKITDDIQEMMAIMDRGLTRESAWPLYIDTEGAYPRLSHESTLSLITIFDVDSKSVHLFRTVRFTPEELEEIKKAVKRLEEFHNMVTFGPESSLLTKESKEEGKADQKLNTLDIQKGKESLVKMLKRVVGKEISKSETMSCWTVPELRHDQIHYAAMDAIALHYINIKSKVDWSFNPPRMLTPDTTPTFYTSIKPNSDQLKMLGDICDNLEDMEDVVDWMQEFDVCITLEKIRKKLEALRTWEKECDKYWKLVVERQVHGVEDVRTSRQVDRDQLDDLLVQCREDLRKVLEQ >CRE22984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:344979:345676:-1 gene:WBGene00085597 transcript:CRE22984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22984 MEAIEVTVDSQNSENSVRQQKQKVNAQINQKPEAPTNAKPKQTRRANKRKRSKTPTPGSRQSTVTKKFEKPTKSTRRLKIQKASTKPDAVGPTVTKPPTVSPSKPTVPFKPRPRPLKKLMKCRTMSLLSISSASRVARRFPRRRNNPVPMEATKVTVDSQNSKNSVGQKEKQKEKQKVTQTPTTAKPKPTRRANKRKHSKTATVTQKTTKPNQENL >CRE22928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:271827:273746:1 gene:WBGene00085598 transcript:CRE22928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22928 MTHRVETTLWQRSKINLCRRGPPYFSLNFNCYGTSTTTYEDEDDSIEISNENFIEISTNEGFKVSYEIREGSGLEVTNGDRWKFIHEQNKKDQIKIIQRNLMSILCSEKLRIDTLRIESDHITEEDDCDEMIQHLYGGDYDEEDEDEEEKEDKDKDEEEKDEKDKDKEANDEEEKDDEDYDEEDKDEEEKEDKDKDKEEKDEKDKDKEANDEEEKDDEDYDEEDKDEEEKEDKDKDEEEKEDKDKDKEEKDEKDKDEEEKEDKDKDEEDYDDEGWDDNIGMRALQKTLKHLPNKLKVRNLEYCVQELDDVFIETLEKIDPEHLKFLQLRIYRYYICVIDWEYLYNLEQWKRLKTLKIYYPLPAVPDIVNSYTHFENAYLEIADFFLLDGEISKHDVVMQIKEKLLQNPTLKQFKMCTYSDMHDSDFEDINDTLQQYNTNNAPYPCWASIPYPDSDKKLELLVKKKMIWFKGPCYVEEEDSDEDDNEDEEETEDEEEPNFNRDNQQFQQFFNALFLEN >CRE22909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:124481:125558:1 gene:WBGene00085599 transcript:CRE22909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22909 MNQPFTIFLLPTVALRHLFQFLNPIELFEISQCSRKATNIISGTTRFEMSVESSSGSIVFNNYSFMVIGRHCQAEYPPTGIRVFDGNTVSADVCYLSENELLIYWDCPYIGFKTVLSHLVHLFNSTTSHLGLKNVSMPPHICLSTVELIRNRQTEIDSLAISSTTMSVEDVSRIVNQFKVTESLEIYQYFPSDPNIPFTSKSVYISSSSWITLKHLNSMKHCTVIRLRESTLTDDDMTSFLESWNSGECPNLQYLSIRSNTLGKNFTVFGLPSLQDEVNKQWFEKRICGISPIIYCPVEYKRDDGVVAKIHFDKNDGDIQLMVM >CRE22946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:1436:2179:-1 gene:WBGene00085600 transcript:CRE22946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22946 MVEVGKFASDIMGKYLGPIGTVAGFVKDIIEHFEEKKEDPVLREIKELSMQLSALSQKMTTHFDDLKSFVVEQNFYDVSSNQFLLQHFF >CRE22939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:300179:304367:1 gene:WBGene00085601 transcript:CRE22939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22939 MPQPEYLKPNLVEGMLYTLYFQRVAPQKAFERVKRMTGEETMSLEQVKDLFQQMDAGKFPLREMEEKVDTLVKVMKATTRKDLDLKTSIQLRRTFFSLQYIVNLDSFHLYNLRYEFGANKIEITFDYLKVTLIYVADKVTGIMFDGKYRLIEEDMFTLSEGFLHNVIRHDETTIETLHITEHMSELEHILRYQEVKPDFVAKKISLEKVLYELDPRELQHKRPKLKVKNIKYYSLQGFEDLYETIRWLDPDFLNNIQMTGSTTPIQMNESIFAVFYTEQWQKLKRIELMQPCPIEILCTMCHMGLASVRAVSFPTVEEFKKILERLILNISLNQFRIKCPVNEENYNAVIRYIETIEYQRNNHIAWHYLQYPNGSPRWLSMRIAKKRIWLRGPCFQMDDWEEGDGHQLEEDITDEPVQTVVDMADEIGW >CRE22956 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:91920:92867:-1 gene:WBGene00085602 transcript:CRE22956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22956 MFSLLILSYPFLFKTSQPFKLLRLPTVALRNVLQFLNPIELFELSQCSRRVLSIIPLSGSRKFKLRMNQFSSSIHINGYSFRIYKNSSQSEYTLHGNRIFMESTVKICHHSERELCSFWEDRLVGLKAVLFHLSKVFNCHIECGRFTDTIPASFYMSIIDFISSRQSEIKKLHVNGQNLTDKNMTEIFDKLRVTDRLVIGHKFSVPPSIPLNHSKSIDIWNSCWITTEHLDSMRNCTVIQLDCSKLSDQDMTLFLNNWKSGKFPNLQYSSIQSNFLSKTFTAFGLPSLRDTVNPKFHIKT >CRE22930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:275570:277077:1 gene:WBGene00085603 transcript:CRE22930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22930 MPLWNKVKEFFKKIENGDFRLAEKVEEKAEVALARILNVPEFVEKYLDIDTRLRLRKTCSIIREIIYDKSLHIDYLRYTCSGNSIEISTKDGFKVLYESIHGKLRVTNGDRVRVINAKNETEKIEIIQRDLKSILGSKKLRIDTIRIEHQRPSYFVDEYCYWRSLGSQGEQPLTGMIALQNTFNLVPNKVKISKLEYCIDEETDVFIEIMKTIDPDHLQFFQLIRSGHDCWTPFVNLYNLEQWRRLKSLDVRCPQLTVSNIIRFYSHFENAHLNIGSFHDISDETPLQNSIMMIKDVSYISDITNFVFFQKLLQNPNLKQFKVKSRYCMSDTDFEEINASLQQYNTKNAPYQCWVNIPYPDSDKKLQLLVKKRIIWFKGPCYVEEEVKEENAEEIEDDDW >CRE22952 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:46912:48170:-1 gene:WBGene00085604 transcript:CRE22952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22952 MGYKYLEIVKSTMQGYLEQYYIEKKNVANKAANTVCDFQYREDTSVALFEFDGQMWLSRKYKLPVIQGKLSIYPYIGKLTPRHYDVTGTITASKSGTEPVEAGEKNKNGWEWTKTWFDFKFEEVFPKNNEPYAKVVEIGDDE >CRE22924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:249449:251375:1 gene:WBGene00085605 transcript:CRE22924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22924 MEQVYNQEAINGILYFLHSKKFSPFDAHGELMDTIGEEVMSVEEIEEFYEKIERGEYNLKQKKEVTLERILKLPNFVPKYVNVETRLRLRKTSFGIRDFLDNETYNIDKLTYEYGSDYIKISGDEEFAVKYENIDGGILMRNNGNLQLIQEKSEDKKIGIVQSDLMAILRNEKLRIQILKLQKKLTSDNGNYEFGEDVLKHAFSQLLQQLKVRKLISGTDEIVLVMAKMDPSYLQSLRLTDVHTTNSFKETIYGLPQWKNLKIVHINNDLSSVRDIIENWTHFRVARLKFDCLRVILKNKFVHDGVIELIKKLRGSPNLRQYRIQLHRISVAEYNKILETLRTGIFTNKKSNLGNFEYPRSSGTVEMVVTRKSIWFEEQSVSGDWSEEEDEDYEVN >CRE22981 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:306413:307084:-1 gene:WBGene00085606 transcript:CRE22981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22981 MRSLLLIGLLIVHSAYSQHPTSQMAVVEKMNEMRSLSAEIDEVANMNELVYREDLVQLLADQLAKNNGCPDRSIVMRDGYHIVISPQNDDELTALKLAAFTQSGSTVMASGKSICSLNGEEVVGYIIDASDIKPVRGNPGTRCPAGRTRNAIGLCALVGNGRRGYVRKDIDVNIPTNILDVDIEIPDISDILPW >CRE22957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:93307:94140:-1 gene:WBGene00085607 transcript:CRE22957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22957 MSQPLKLFHLPTLVLRNVLQLLNPIELFELSQCSRRALSIIPLSNSKYFKLRMNNNYSSILVNDHAFRVRRNYQWAYILHGTRTFMGSTVKVSYHSEQELGSFWDDRIAGLKAVLFHLSNIFHCPIDCTRLPPGLRESIIDFISGRQTEIKELDVGGRNLIEEHRTNIFDKIRANSKSMIIFFSYWVTLEHLNLMKSCIVITLFQTTLTENDMTEFLKSWQRGEFPNLEYFDIRSKQLNKNFTAFGLPSLQDSVNPQKYAKT >CRE22925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:252005:253371:1 gene:WBGene00085608 transcript:CRE22925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22925 MPQNFSQEDLNGEVEEKPEMTMAKVFNVPGFAEQYLDIPTSEKLRIDTLEIEDDRTSGWEPPIGLRALRNTFSQVPNKLKITNLEYCVLDESEVLMETLKAIDPEHLESLQLNFQRYVYNCNPVWEDFYNLEQWKRLKTLNLQCPGLALSDIMKFFTHVENSNLEIHSFYGVNEISKHNEVMQIIEKLLQNPNLEQFIIEASYGLKSLDFADIYASLRQYDVNCDPWVDIPYPDSDKTLMMWVETNKIWFKGPCFVEEEESSDEDDDEEETEDEDEEDDRMRD >CRE22977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:214684:215151:-1 gene:WBGene00085609 transcript:CRE22977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22977 MAASPSPAVTPSTAESPVVTTSSTPLLTTKKRRGHRTSAVPSVTTSFSTTPSLSTSTFSLPPTSATTLSTSNLHSPTTTRRSGYRSSTVSTPTITTRLSFISTSTVSSTAFTATTFNPSSQKTARRHGPRKVKSYTNSHDTFPNNYNQTRWTENI >CRE22918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:160865:161931:1 gene:WBGene00085610 transcript:CRE22918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22918 MDQRPFKLLHLPTLPLKNVLQFLNPIDLFELSQCSQKTRFNIPLAGTKKFHCRINVASYCIVINNYVFCVKRQHCKTGSKLRGNRNFMGIIADVAHELEHEIISFWNNIDIGLKNVLFHVTKVFDCTIKSFESLWTIPAAIFNSIIDSIITRQSEIGKLAIEAHSLTDEDVMKIFSSLRITEDLELRYRFSRSQAIPYNTKSVLIWHSYWITPTHLSVMKKCTVITLKQSTLTDNDMKWLLECWKLGEYPNLEYLSIHSNALSSNFTAFGLPSLQDNVDPRVFSKKILGENRAIYRTVDIQRGDGAPAKIHFDGKDGTVKLLVL >CRE22968 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:159641:160588:-1 gene:WBGene00085611 transcript:CRE22968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22968 MCTLSSALDPLPQPFKLLHLLTLALQNVLQFLNPIELLELSQCSHKMTSIIPLAGTKKLNCRFNVPYGCIVINDYVFRVEHNRYKTEYKFRGNRTFLGTIVDVSYESEHEIISFWDNIHTGLKHVFFQVTKVFNCPIDSFESSRTIRTEIYNSIINYISTRQSAIEKLTIDAISLTDEDVMKTFSSLQITEDLEFRYRFSRSQTIPFNTKSILIWHSYWITPTHLSAMKKCIIIDLKQSTLSEDDMKWFLESWKLGEYPNLEYLLIHSNSLSSNFTAFGLPSLQDNVNPGVFSKE >CRE22940 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:313619:314170:1 gene:WBGene00085612 transcript:CRE22940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22940 MNELVYREDLEQLIANQLAKSNGCPDPSIVKRDGYHIILNYEGTLKYTAITQSGRTVLAATTTTCPENGETVVGIIVDGADTEPVRGAAGTHCSVGRTPNAIGLCALVGNGRRGYVRKDIDVKIPTTIIETGIRVPKIWFPERVPDVRIPEIPDDIKILDLPDVFPW >CRE22897 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:35514:39356:1 gene:WBGene00085613 transcript:CRE22897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22897 MQMSDANFDFIPSTLSISHFNDALCGLLSRGYSPRQAHRMLIKLTGEEILKLYKVEDIFEYKKNELRRMDKKAWVALEAVVYQRFWLSSVKESKNMKSALPPPQLLPESFDSPTPSINHLLIKISPNRVSIHLDDVTKTYEDFTAGCRVTMDGRDKFLAVVRTVGVAFVDLMRIFEKPELRVYCWEIEWKDGVAGRNPLPRTHLEVYAMLQNVLESTGHLIYVESFKMNTDLASHAHHILPFLHPGTLKKIDIKNSQPEILETKMFDTEAFKGAEQFDCGNLEVTWEDIVGKMTHFGNANISTTYPITVEMFEKLVENLKSNSKLSKLSIQIVNDNPGTTEVKHHLRRGGAGDRTEDVGIHYITPEGSAMRIGVIVSDHHLIVLGSQIQF >CRE22923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:245919:248861:1 gene:WBGene00085614 transcript:CRE22923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22923 MPPPVFTADVIQGALYALFFQRVSPRIAHQKLLKMTGEEKMTVEQVEKCFEEFAREEPEEVEEPVQKLEPTLETILQILGPKVVAQHMDVRSRLVFRKTNKTNRVLMDNSQHYINQLSLQLQENVFLEISTDDGFSVMNQFVDEGFVRDHNDVMKLVQTQSRQESFQILVADLKTIFVNPKLVIGTLRLEDCSQNRRTVARYAWSAIDKLLQVETLDFNSLKNPRDLKKIIGFMDPSTLRALKVAHPEDRLSNSPEFDKLDFVFKDGLYETPQWKALKKLKIDRVQEYMNVICDHWSHLDYLNIGMSIKGMGHGRRYENMHDEVIELRDKLLENPNLNQYKIRLYPMPRAFEEEIMRTIRTHNTRLMDPKYAYFEYPNHPGKYLYMRVEDSIIWFQGPKFVEDVEEEVYY >CRE22936 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:287562:289867:1 gene:WBGene00085615 transcript:CRE22936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22936 MPLVSNQDVLEKNPAMLRPHPRHIKKFTFKYEENYIEIFLTNGFLLKIEFIDEVVLRRCKGRRVKVTKAENELEKSTCVENELLKLFGDENHENLRIDTLRIEECGRRSAGIQILCRTWTRMAYKLKVRRLEYSVMDLDRYLTQTVESLDPSYLKSISLTHNEKYIGDCRYFDESIFKLEQWKNLESIEMMHHWSDMRTLVDHWTHFENLHLSYDVLEDQVTGAVPSIHDGVKELKEVGLVIGEGLKLKLLSNIYLKKYTINIRNINEFDVNRIQQSFEQRVDLQEEYSSFQYPNMDKWLTAYVQPDGIMFFDYKKMMARDEERRKKTDMDCDLDSEENLEVVMDGESSEDMEELADDEDSSGE >CRE22910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:127849:128917:1 gene:WBGene00085616 transcript:CRE22910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22910 MAQPFEILRLPTLALQNVLQFLNPIELFELSQCSQKTTSIIPLAGTKKFKCRINVSSEYIAINNYVFRVERNSCKTGSELRGDRKFIGTIVDVAHISEHEIMSFWDNIYIGLKNVLFQVTKVFKCPIDSFESSRTMSGKIYNSIIDFISTRQSEIKKLEIAADSLTDEDVMRIFGDLKITEDLEMWYRFSRSQTIPFNTQSVLIWHSYWITPTHLSGMKNCIIIDLKQSTLTDDDMKWFLESWKSGEYPNLEYLSIKSFELSRSFTVFGLPSLEDTVNPHFFKKFVLGEQRIVYGAIDIQRDDGVAAKIHFDIKDSIVDLLVL >CRE22954 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:56807:67249:-1 gene:WBGene00085617 transcript:CRE22954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22954 MPPGSMEFTKFGSYAQLPSTSPKKTMKELKTTPKRRKSEIPAPRTKKSSEIMKIERETDDEEAEEDQMDIQDSPDLPGPSTPASTAVPANKPSKKNRKKKTELLAEVYTHRHYRLVLSGYDQDADSDTELADASQGAVITDNWRPEYSYGTQELADPDNIPRIVVEDEDFFLKPKEEFQIPEKMIACDRNAEYFDLRTHELVPTRDPLHYEVTAHDIAWLEMLNKKRKLLDGAVYLSLPDFIRIIQSLERDTFVGMHNKLLDCLHVVYTRPPEDVSGVQAEDDTECDVCRISECDVNDEMVFCDMCNTCVHMLCAGIQQLPEDGIPWKCAKCEYTNTPAPPCQLCPCLGGSMTYNETKTEWAHHSCALFIPEIMFDSEDCRAPMYGFENVPEERFNQICCVCDTRQGACVTCSDPDCEETFHVCCALRAGCTIKIQEVPNDPQQNVTRVTLCHRHSAPRADLNIAEEFFKERKPWLAKWESIFYLMTNYEKTAESVNMDEIIVSDVYEYWKQKRLDAGGPLIPHLHDQIRIEPKIDRVAKKAEENLQFLLNKAGISSGRSPSSDETQFFRPAALMVTESRQIHLKRAADCIERDLVMLRLVENREVVKNNLILTELEQFRLARRILDEKRDSEDVGEILKATLGIQNPKNPKNQNPKELKRGLKEFLKKIKNSEKSTTDSDSDDVMEPPTKKIQKTPQNVKVQNPTVLLKTSPHQNPRILKSLPHHVDRQLNVSTRIQAPPPSQFSPGNQNQNPPPLHHHSHQKQHPRRNPLRGWTTTTTTTT >CRE22935 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:286144:287373:1 gene:WBGene00085618 transcript:CRE22935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22935 MSIVFNNDEVEVKPEATLAQIFNVPDFVGKYLDIDTRFCLRATCTTIREIINEKPLHIDHLNYNSNGNAILISTNGTLKVSYQIIEEGLRVRYWDRMRLINAISKEEKVEIIQRDLKSILGSEKLRIGTFEIENNHISGVYDEKPPIGLRALRNTMSQVPNKLKIINLEYWAVELDEVFIVALKRIDLENFKCLKLTVAPFFNNCTPIWKDLYDLEEWKRLKSLKVYNWQLDVLDILRFFTHVENAHFEINSFYNTSDFTSFVMELKDKLLQSPNLKQFKIHANKKMLDSDFEEINASLQQYNTNNAPYSCWISFPYPDSDKKLELLVEKKMLWFKGPCNVEGEGGEECEDVEEEKEEAAEEGEDEDEEVPWI >CRE22922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:242100:245767:1 gene:WBGene00085619 transcript:CRE22922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22922 MKPNFNIDLLDGMLYTLHSLKFEPHIAHNHIAKMFGEEPLSLREVYEFWMRMEQKEMKLENGHLVKDAWLSPLVVLVLYPPKLQKPTKWAPRPTLTDVLDKIPPNVLVDQMDFGTRMACRNASYRFRQAIDHTRWYIDEISLLFNTEYVELETSDGFHLSFEFVDGGMIHRCQGRMKLIETRDVYENFRIIQPKLVKILDDPKLKIGTLRIDEYKNYSNYRLSGYLEPMTRHFNADHVELIGHIGPQSFAFLTKIQFLNIQTFCHHNVDPDQVPWQYSMQTAQLWRNMKELRISGCTGNLRSIVENWWNMEFVRFEWFNGENGQSIHDDVMVLKENLFRNPNLNQFIIYTPDMPLSDFDALNTTLQTYNFIGSHNWTWAHFRYPGAPENTLSVMVATDFIWFKGPRFEADWDEMVNGMMLDLQWAPEAPPEVVLPWHVAFLIDVVAPEDVEEWSDAESEFPSEKEIYYDTNDSLDNENPSEIYNDTSEI >CRE22915 pep:known supercontig:C_remanei-15.0.1:Crem_Contig78:146160:147149:1 gene:WBGene00085620 transcript:CRE22915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22915 MTEQPFKLLHLPAVALRNVLQFLNPFDLFELSQCSQKATYIIPLSGSRKFKLVVNASPLSISVNDHVFHIGYNRSPPPSWFLKRTWKFMDSTVRVVYLSRQQLISYWDDRFVGLKTVFFHLSKIFNCAIESAKFYSIPAVIYMSIIDFISSRQSEIKELFVGGENLTDTNVTEIFERLRVTDHLSMCHRFSMPPPSISLNSKSMFIWNSGWITTEHLNSMKNGIVIHLDHSTLTDDKMTLFLNDWKSGQFPNLQYLYIQSTFLSKTFTPLGLPPLQDTVNPQFHMKTILGVEKFIY >CRE13173 pep:known supercontig:C_remanei-15.0.1:Crem_Contig780:8506:11228:1 gene:WBGene00085621 transcript:CRE13173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13173 MNQHPNQHLQSGNGRRRQEKEEEEEEPFDEEERRELYAALIREEPMRRIEPSNGKWQLSITETSCDPSFLWDKLEPKGKVSQATRRFVTNDYVNSNLRNNCIFCNGKHKPDECPNVVSVEDRREILAFYKRCIRCLRRHRDEPCLRKNQGECHYCFDEDPEEPKHNSSVCRTAYIPEHMLESNQ >CRE15066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig783:5111:7871:1 gene:WBGene00085622 transcript:CRE15066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15066 MSLPTPAGRYLSAEDLEVVYDQKKFQDAAEIDDVHLLHFKHDRTLFVRVPVNSSDQNPHDGYIWGQTKYGKDRVVVFRHQPTRKIIELYGAKCTSLNCTELNQPHIRNQIFQYQDQIYCYYFTTTDEPISIPSEGLFPVKKKRLTKNRADILTRLVIPGRTVKQALSTAREMGMTDVTKKQVLNVSRRCVDCVFTKNGPRARSSLAMAEKIKQENPDLTWFEQTNNVLTEFTSIRVFKDACKIFYEGCPPLDEWEAYTELVEDMINDKNLRESELPKLYDHYPNGVFFPSRLHVDTTYRLSDMYITVVLGETGNFLTKPSGKARVIPLLYMLHSSRSRITHEKAALALKEALMEHSNPFSPKKFPCLLLDGEEALQVYGEVTFLFKAFNLNGYHYFQTLNAEVIRCDVHLLSVIRYNHGGKAAADAAKPFLFGRMKEGTWHAGILGCFSLSSFNRRVEKCKNKLDPLVYDWIVSNKQMLMQYASSAAKLRSGHLIQFSTNNTNETFNKHIKANLTKMHSASQLIQKIDHFVSGTYYSACTYLLNGFYLDSLKECWLSSIGASDCVKLKVDISTYTENQKLSHYKEIGLSGYIAMGLGCPRSLANGLLMIDLFYASHVVNSDVFHLENEKYSKSDAVNRYVTVRADSSGIHCNLCVITLPSFLCCHITLCLKSMQFSDRQKYWTLLARPKPTQPKNGFSKACGQKPHDRLGTKPSAGNHVRVIEDVTNMSIFDSTSSTMASPAASLNSSSVSTPGDSSRRSSNRKRHRPPRYSPPYGSDTSIMASDVSQNPPSHNVSDFLSSPVY >CRE15067 pep:known supercontig:C_remanei-15.0.1:Crem_Contig783:8356:9123:1 gene:WBGene00085623 transcript:CRE15067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15067 MASRWVVFIILLMACSIQLGCSAPILSKREASEEDTKTTIVSINDWRSKVARWKNIANMNELVWDKELERKASKMTCNRMVTGPDYTVAVIPSEQALAKLGSSYEILLGFCAPTQTKVGCFEFHPPCVGTLGANYAGVCLIGPKNEISHSDSKEGEPGSACPGEKRHDGLCVTQVEQVEEVKEAPKSVEAKMVGADVTPSESNSYFVSIYMAFLCAAIMAYASQ >CRE17668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig784:6454:6735:1 gene:WBGene00085624 transcript:CRE17668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17668 MTSKDKKEANLCLHDDFYTVYLTPSDPDNLSANQRNAKYGLASLEHRRQTTDYKMILKMQLGKIDINAEDFFTTNTFNKTRSNNIFHWKAGKN >CRE17670 pep:known supercontig:C_remanei-15.0.1:Crem_Contig784:3233:3364:-1 gene:WBGene00085625 transcript:CRE17670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17670 MSKRKHTDTLPDDPPQFVAYSDYMELFNHVSKLTAIVNELRLG >CRE17671 pep:known supercontig:C_remanei-15.0.1:Crem_Contig784:3682:3963:-1 gene:WBGene00085626 transcript:CRE17671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17671 MTSKDKKEANLCLHDDFYTVYLTPSDPDNLSANQRNAKYGLASLEHRRQTTDYKMILKMQLGKIDINAEDFFTTNTFNKTRSNNIFHWKAGKN >CRE15805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig786:10269:11800:-1 gene:WBGene00085627 transcript:CRE15805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15805 MENMSSKMSYTEPGVRLSINLRERCRMHDLNEALDDLRNVIPYAHGGSVRKLSKIATMLLAKNHIIMQAKAIEELSVLVSQLKKKKSSESSESAECVNKESSSPKSSLSSEESSNSDNY >CRE29060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:242517:243077:1 gene:WBGene00085629 transcript:CRE29060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29060 MECGTKLHTDCCKHSVDRWQANHPFACYVPLTYSGEWAKTAEVECFMKGHHNGNRLSIFHFIFSDNQQHVNIAEKEDEMLKKWESKFDLLNEVSQLMHKLDNSVKFLSEKKWNKMLGTRLTEKAFFAVTNRYQDIRKQMEQMMHTFQKVAADNTAMEDYRFENR >CRE29093 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:339373:342135:-1 gene:WBGene00085630 transcript:CRE29093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29093 MSGSEENESANNSTNEIINTFNEFFKNVPIEKSFDMTYKVYLRELADMDDHSTLSTRSSSTNIQEPKVLASFGTVPNMNSTSATSSSTEEFARKDRSMVSLTEIHRKINNQSIDSKKKNPDWSLSPTFYNLSILKPSTPSELPPKRSTSSSAPPSTFTKKEKLEFEKIIETKVEENGENGIELYVNINDCFN >CRE29086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:259885:260486:-1 gene:WBGene00085631 transcript:CRE29086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29086 MTGFEGIRVRFPTNERTTFLKPAELKLLVIVNFDRNFRGIEWNKLRIPIFSSNLIRMRAKVWMSFSTTLGILKCSKTLSIQKLSSEPPIYPKSSTNWDVHQEEELII >CRE29051 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:15950:16237:1 gene:WBGene00085632 transcript:CRE29051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29051 MWLVDNWHIILFWCIFDRLFPNVFRYFDPYFIALYNWTSDKVERFLQKDLLCEVCNKTEDECDHEAEGIIDNEMNN >CRE29052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:64083:65555:1 gene:WBGene00085633 transcript:CRE29052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29052 MFFRRIVLGNKNYKCTLPKKEFCEKNILKCKGCRFEKCKRLGMYLDIADIGGTEESRTKQMEGENKDLLELLENLKKLDTLKTAINPDEFSSQFPTMLYFLNCNIIQILGPEDKTQILKYNRQKLGYFIGVMVLLNKSEPDLMMYSNDGWNKDLELVGHMASMKISHEEYLLLILVFFCNPAIPEISQSAIQVLSFYQRGYCNMLLRHCQQTHGRNGISRFSELLLVYERVTRHSRNWF >CRE29059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:237997:240124:1 gene:WBGene00085634 transcript:CRE29059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29059 MTYLTCSMIEIPSEYLVPKNKKLTVSRKKNLDEQVESSDTDLDGCSDSKLPITTWIPNLVLLQYLNDIPIEAKNIVYWSFKVFNEKLTDKLESITKLFDDPSVLNPFVNGALSNRYGDQNSSISFWILQY >CRE29068 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:10198:11666:-1 gene:WBGene00085635 transcript:CRE29068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29068 MITLPVAVTLCWACFIAALLFTIYGFYIGQVGNVLIPIGFCFLFFFVCLCIFISLSYNLNKWQRKKQIGQFVRRRNCMMIGFAAVFLISMVGKVVSFYLRNITDTNPLVLVLLILAVYYGFTVVFDITYYNDCSRCDADFWAIISIIASLHGFLLYYTVIKALELEGYVRFVTIMWQVVFSVFAATSSIDIYIYAKGNIGVHEEMKNKRKEEVPLEEVKVVSEPKAEDTVRKPLELTEPTEPRAPAVVTLIPEGSDSEDSDSAEEDSAEEDTIPYCDTCYDDYSDSRIPRILTKCENTICEECAKGLLRGNAIRCLYCKKITLVNGPANLLPKNFALMDIVERETKKYYVE >CRE29092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:330863:333446:-1 gene:WBGene00085636 transcript:CRE29092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29092 MWLKQGYYQNECDIHRAIKDYGVLMMEYADQNDLSCNIPQIMDIKKIHEFFKHIHTKERALQRILYPTGLEKCDEFDTIKEEDERILNVHQSDPLSTAVAIENEEKDVLSSNETSPHRRNEEMKSEEDMVDETNH >CRE29074 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:72831:73136:-1 gene:WBGene00085637 transcript:CRE29074 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29074 MLKVSFVFFVLLIIVSSSFANSSGPIRSPTSVQKACARRVVMYVIAVCGETCKNQNGLMALESAGCCNSFCPDDFYRSICCPSNLE >CRE29089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:286674:291156:-1 gene:WBGene00085638 transcript:CRE29089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29089 MCTDPITKNVATLQTCRHVCSKKIRAPKQMVHGERKWRHGEDEEGKREDAIGKALISHTAAYRQTLQWKVRELVMDLYKTQTTCYTLIFHYKKLQLHTTRDGQFRKILGGRMNFFNAWSTRAPQPNRLGEHFFLHRTRDSVPGNIIIERFNSREAMFFYRPMREYITIEKYFMIRYGRKLTAVNRPVIRIIPQNILEEEEVTVENLYPMEVISIE >CRE29088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:284657:285086:-1 gene:WBGene00085639 transcript:CRE29088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29088 MAVRSSNSPYPATHVSRRPTLHIHTQLILRRSNPSVHVFSSFSSPFVQISSDLTDFRPNI >CRE29050 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:8701:9403:1 gene:WBGene00085640 transcript:CRE29050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29050 MKIFAEPVIPPGYTSLRCLKVDGPQDVIVTCRSFFFEIKNFRAKYEIFSQVFHVMQEGDVFEFLIGLKSKNEDTSKTLVTPDHKEYGYISFSHYPSLREDDSDESWNLDGTKLLVRIWKPQVHIHGHRMQYLELSEKTEETKRREDLLGMMKIQSPYEETIKQAEVKENFGRGKKKKKGKCTVQ >CRE29073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:57064:57514:-1 gene:WBGene00085641 transcript:CRE29073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29073 MLRSTTLLLCALAVLCASTHGIDDMDHLVEEVEKELDETMLQKVLSGSGSSRGAANGASAATRHCGRKMVAFVRSICGVECQHLSPSDIADQCCRHSCSPEFIRNECCPNF >CRE29087 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:262510:262974:-1 gene:WBGene00085642 transcript:CRE29087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29087 MGELTSIETAVPERNFQCKLLEKENNFWTVLLSTFLVLEIKVVQVQIEKSEKIVFNYRYQKEKNVELLIDEKDCTINHFYEDGGISDTPRTVNIKETKKAFEEAFSGRRILIAD >CRE29072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:45677:47079:-1 gene:WBGene00085643 transcript:CRE29072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29072 MHFLSFCYELETDQKTFKLLDTVFSLVIVALIGFSLCRPDHQSRNCKAYRRPALNEVLTRICLLCHEMFSVDQPNLAAECSSNCFRNPAFNKCLNFFRPKFSPFMIS >CRE29063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:336439:338517:1 gene:WBGene00085644 transcript:CRE29063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29063 MITSDRQLQSPPHREITIKKLAKKRDDLTTYVYRQDSEKEALHFVVLSDGYRRRTCVVFPSHDEYSTISSNFLLNSEIGNVLLERTESKEHFPTHPRRSSKITTEKLRSWRWFEYVRCLIPAVMIQRGCDVREEVYSYVWRMEQVPDFSFQENFRAKLTKTVSRFMGSGIQYIPLFNSCRFIHREVIQAEAIPHLAKEDDMARQKTKSFEKS >CRE29095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:347524:348682:-1 gene:WBGene00085645 transcript:CRE29095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29095 MLRRQSSAYFLISLILFSLAPPAEFRRGGGGSIGGSRGASGGSRGSSSGGFGSRGSSGARTQSSSGAGWLGGGTKTGAGRNDYSRGTNLGSNTRQGTNTGGVWNHQNSGPGWNSNQGQYRGSGGGMNTGSTVQLKKNGNRMKPFSILCLPIIVINKVASMMNRFEM >CRE29079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:108581:111789:-1 gene:WBGene00085646 transcript:CRE29079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29079 MTRHTETSLKKVANCRWIVPIGVNIHIVLYEQNTKNGRSTLKVDEKVYYSDTPKWCASEKFSIEKTTCEIITKKTSNELVYSLKVDNKPFEEFRNSQYKEFERWEVSFKQEGKFNIVLDKELNVFIDGRKVKTERDFTDRNAVATFEFGTHKGQLTSYSSGNQIEHRLTVDGETHPRFIDGLDGVHVLVGDTRHISL >CRE29066 pep:known supercontig:C_remanei-15.0.1:Crem_Contig79:3554:3817:-1 gene:WBGene00085647 transcript:CRE29066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29066 MFFQIIGSHGVLITFIITMMGGVNLFVVFWQVLLTFVFATSYVDIQTVEVGRLKLKKRYEEPTMSAVVAVPMVRRTEEGGSDNSNSR >CRE22188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig792:3212:3681:-1 gene:WBGene00085648 transcript:CRE22188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22188 MKDIYCHRNPGGAAIPTTPKQHCLAALDAVGRPKIKRTTAKRNSTNSTSSTKMPTFHDSHFPFCNDSTNFKSNMSHMYVYFIHNTIRQFPSINSSFTSTMLDGTNKMRSRSRCLRNYHNARW >CRE22185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig792:5:318:1 gene:WBGene00085649 transcript:CRE22185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22185 MVRGKANKPALKTVNIDDDELQRRWKEEKAREEEERADMKRLTLGQHMRIEMEEEKALLAQLHSSRKGNARKNPSRPSFPKPHLEGEW >CRE21620 pep:known supercontig:C_remanei-15.0.1:Crem_Contig793:4838:5503:1 gene:WBGene00085650 transcript:CRE21620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21620 MEKSEPFEEIDRKETKARISRTSETLTINFSGLFQRIFCTGHVRWFNKKEALKIIVRREMLSMVLESERSIGISCQLDNCCTRRGLLWEKLEGLWTRKVSDFQNGIMMGRRLALVKFLHIHQENKEVQNQLDINFHKISNPHVKPIQPIIPRDAIILTSTPKKKHENST >CRE21618 pep:known supercontig:C_remanei-15.0.1:Crem_Contig793:13:969:1 gene:WBGene00085651 transcript:CRE21618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21618 IWRDTTIKELIGEDGNLEDVIEQAETGLLDGFLDYFLDDGAAPEDPLCHLLNQICKENTDEKENKENMDPFGNRLNGQQSYNSVSFGHYPEQQAASTIGPIRQTEKKRSISKPYEIVADAIDTKRTVGDVKNWLKMNGINQTKFAEKVLEKTQGHFSVISRNPAPWEELLAPGRAVFVRMHNWLKLSNEEKTKILSVEKVSVKNDLQEKMKKTRFTFSKEQMEVLMGIYEVNDRPGKELIEELAAKFSLSFIQIKDFFLNRRRRAKKSNL >CRE24875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig795:3250:3927:-1 gene:WBGene00085652 transcript:CRE24875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24875 MPVSVPSQPNVATKPAGFRFRFPSQTTIIGATQSGKTTLLRGVLGALDTCFDVPIDNIFWFYGCDTSSIPRHLSKLRAIEGLPDVELLKQHKDQNNVVVCDDLMNFFARDKKALNLLNDLFCVYAHHLNCAIFNLVQSAFALPPLTRNNSTYIILMRNLSDAAQVRNLLVQQFGEKWRGAYAAYQQVMASAPYQALLINNDPLSEPRMRILSNFLSPYPIAYVPV >CRE24302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig796:346:2563:1 gene:WBGene00085653 transcript:CRE24302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24302 MDLFTHTWSLSVEIQFYIIVPLIFLIGVQFKGSIRYGYYAMIATFSFMFYLSSPPTVSFNSVFARVWQFLIGMMTYFISRSRFVQHEKSIRRSEESEENQEDNVRLMEENPEITAEKVDKTANLVTKCIILALMISVVLLPKELDPVSARFEFTTSNFAYNYFFRAFFTFFTGVLIVLSVEDVILNSRVMIYLGDISYSLYLIHWPVYAYVRLIYKSNFWILTGALLVSILLAVIVYEFFEKWYLKQSNAVITVLILVLFLSNAFYINKDTIQKSMEKKEEIALTPERKYPRLDEMRDNMTLDDAERMNANWNQRDHMAPELQEPNCVKRNAVYDWCEFERPCHQYFKAFRDWYVWRAVDAFSERIIGCEPLGSPHKPVENGGDTAWANYCPGILAKFVNSVKETQPDYVFFLTRWFAVGEPYDTNEKDLEHDTVYLEMKIQLRKILPNIKRKLFILDSFPRTNVDNIKNIAREMKEGKKTMKEINKSLYNPTSFERGRRRHAELVKKECGSKCELIDYVDAFWNKTMNAFQYFDNQGFSYFTSGGHLSAHGLEHVRPIYKKICESL >CRE24304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig796:6677:7176:-1 gene:WBGene00085654 transcript:CRE24304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24304 MQFLPFVVLVLSIAFVLVAAAPTTQSESQSYSFHHNNHCNNNSRTVNNVKFEKINCTAEGTLTVSNGEVCTVSTYKRSTVTVIPLPEGATEDPLNGVAQCTKTPCDVKEAINVDCSVAFTEKQISDILTNTRSD >CRE24303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig796:3613:4087:-1 gene:WBGene00085655 transcript:CRE24303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24303 MNFLLPSVLVLSVTLLLAAPNPIRSELIDIFQQSRMCNDINSNENGVFTTYHHCLHFATWKLSVDGVCTISMYSNKTLTLTPQGNVPVDPTNGVPQCSKTPCGVFTQNVVDCSVAFDEEHLAQLE >CRE23219 pep:known supercontig:C_remanei-15.0.1:Crem_Contig798:15097:15333:-1 gene:WBGene00085656 transcript:CRE23219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23219 MLIKDWLEETQATQEWFATKILKRCRRTLNQCLNNPKDWKELSQKREIYVKMHNWMCLTEEQRLEIMRVYKAPNMDSQ >CRE23216 pep:known supercontig:C_remanei-15.0.1:Crem_Contig798:10180:10293:-1 gene:WBGene00085657 transcript:CRE23216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23216 MTEEQRQEILILLNAPITDTSQTDLSLGGILEELPKS >CRE30793 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1005121:1006036:1 gene:WBGene00085658 transcript:CRE30793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-vps-37 description:CRE-VPS-37 protein [Source:UniProtKB/TrEMBL;Acc:E3LUB5] MFSQNPYESHVDIAVSAASANLRNMTNEQLMALLDDETLLESIIVNLPQVRSMPTDKESALAANKSLAEWNLAQKPRIDATKAQTIDLYEKVKKLQGEVTVLKSQLDSISSSKSLDTTSSLMQVAAQEADDDAEALYTQFENGEISIDIFLKQFKEKKAVAHLRKIKSDRLAALLREQTYSYAQPTVAPPMPQPGYPTGNHMPGMGQIPFGSGYTGYPNVSQPSAGRHPFF >CRE30668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:128438:130364:1 gene:WBGene00085659 transcript:CRE30668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30668 METDFGLTEYASAHTITPVPCLLKEMYSDFIVQEILADGTVLHIPSPDVILESTGTKKKEIEIDATVEKPSCISEETLAALDERFSAKGDQVLVKVENLTKDERKSIHQFIRERYSGKLITETKDDGIYVSNGHTQTTRKRKNWDENIPKECHFTMCKENKETTFACQLIAKFLNVGPNNIKTHGIKDKRGVTAQRVSVTQVLESTVLDLNSKLRGIRVFGCEYKHEPVKTGAHWGNRFSIVLRELANDSEPLLHERLETFQNTGFVNYFGTQRFGSRSSTTAEIGLAIVKREWERAVKMIMSNAMPGHLEYGLVGHAARCFNQTGDAKKAFGKLRGAQAFATIEGHILKCLSRGGTWQKCITEAIPVQSRSLYVHAYQSLLWNKVASRRVKEIGTRVHESDVGADGTPLGEHATHYDIHIPLPGENEAFANTYGAKWISEILEEDGLTQASFTSLQDKFSLGESSRSLFVEAKDLKWKFIHYSQPRTLLQDGLQTRAVPESEQKGPLLALQIQFSLVSGSYATVALREVTGSDMGKKSMRNASLKSQEEESDKVKEEVKEEEEESAESEERQEEEVAASSE >CRE30749 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:632976:633449:1 gene:WBGene00085660 transcript:CRE30749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30749 MVTYTIGSYKIRHKTHFTVSRCTPDPFGGAEIIIGTDFLSRLPPVQFDFRNARLQIGEDAILLGSMKSPQILFSKLCNTVSLAGAISSFQQFSDPVQEDRDNKSVQFKNRSSKQSRNRNSRPRSIGHQTQVPRTTEISSHVRLQEVSDESRCSRPNQ >CRE30930 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:103555:104716:-1 gene:WBGene00085661 transcript:CRE30930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30930 MSHFTNVVGGVFKFSDGHEMPKVGLGISRIPTQEALDISVEAALKSGYRLFDTANLYKNELFLGISLKKYLPVFGLTREDVFITTKVRTLNENTVEKVEKQLANSLATLQTDYIDLLLIHYPRDRDTGNDDDYEVNKSRRKIVWQTLEKAKHSGKLRSIGVSNYEVYHLVEMFQYAKHRPVLNQYEYQPYLTRPTLKKYCDLNNIVVQSYSSLCWGDQNILQEDIIIQLCQKYNQTPQAILYAFAYCSNTSMIPKSATPSRIHDNLHNVSKSCSNLSICIVFQTIKIQLTDEDLKSLRLLDKGKSFPAVGQTWRCL >CRE30738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:559590:561214:1 gene:WBGene00085662 transcript:CRE30738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30738 MFNIMLPKLTGCPFTLNVLDFCKTTFNACLDKKADLLTCKSKFFDCTETPLPPAEKHCAPEVANVCRLLKNAYAVRNDTKSPFEWHVDIRRIVDEIGRDCGIEINTIGSLYQFVSNDKCTQKYKAQLDKGFLELDKKTSMDLQIPADRFRCGWHFGYIGNVFARYWILNSCGHYAIDFNHICSKHYNCYLKQKDKKICDQEYESDRKNLVYGMQSFKDSCQQLIYNKTHEIFQPSENVYKVFEKSEIETKILSFNGKLDKPLSILDTENLNFTTVKVFDLSPDGSLDLAIRDMFNVDIYKNGWASRVIIDSSAMVFEDCRRNNQLRRCLDLLVFSISKISDKPQEFVTAINKFNQTISDLLASPPTKSEIEDMINHNFWWFEIFFVIQYLVMLAIKKLVKCWKRHPPTPPSNGEEVPLQNSPEEGLGSSSMASVNFSVASDTVVVGRCRPEEAEAE >CRE30853 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1402108:1403186:1 gene:WBGene00085663 transcript:CRE30853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30853 MLIFKSGVGAEGRNGRKRNNFLFPGNDGPRNKQPAGPCWFCLSNVDAEKHLVVAIGSSCYAAMPKGPLTDDHVMVLSVGHIQSQVSAPVEVRDEIEKFKNAFTLMANKQGKALVSFERNFRTQHLQVQMVMIDKSSTKALKSSFTSAAACAGFELVTMGPDENLLDMVNEGCPYFVAELPDGSKLFTRNMKGFPLQFGREVLASTPILDCEDKVDWKSCVLSKEKETELVNKLKADFKPFDFTADDDSD >CRE31095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1371243:1372958:-1 gene:WBGene00085664 transcript:CRE31095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31095 MRPFGKECLLSILFELCVVLFIAAVTPLLIRYALLPTSVHHQYPLNVVFHTCDHDLHSVCSFPAATLEYEKNSLFSPNVAYYLNVRLKFADISNSKQLGLFQNVITITGENERILKQYTKTAYVKEPGLITKASRVFLFPLYFLGFFYDYSTLAIPMSADYLEKIDSPSTKLVFTVQDKFANIEEAELIVTARFGLIRHLLYYWPTTSYAAISLSFFAFGVFMIVAKLGYQEYSARAKSLIERDRLQITKSKGEKKTEKNEKNELIPAKGATEEIKRDVKKEIPDASEVRKRK >CRE30751 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:638322:639647:1 gene:WBGene00085665 transcript:CRE30751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30751 MFFLLFLHLIFKISSAVEDPCRPETPFQELVETVPDVQKTWIYYIVISCFVLTAIASTLLTGGFLVMSVVLWNHLKTMKFFWFLTQLTFSSFMISILNLIFNVPATLLSVATKQLVPSELYFIVLYLMDFFLHSILFSNLVIAFQRLFVFFPSKKMEFLFGTPLLYLWMILIYTLPIFILFSLFQNDCSYKYNAIEQKFKLYCPKVVSGIESLTKEPPEGIQILENVIQVGIPVVILVLYIALVIRVIKLKRSKRNTNEIIILKQAFFIFVMFQIYNIVTLFGKTLYINVATAFYLKRAIHTAEIFAGAATPCIVFSTSREIRKVVSSRIGSGSAGKVPSAIKGISFRQT >CRE31112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1551179:1551572:-1 gene:WBGene00085666 transcript:CRE31112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31112 MCLCNDSPIFVLLQNVLQDQDSIDVPVNLASGSTDGRKVTRRKFTFKAIGKDDRSASERRSHIQISTPSDFMHIVHMGPAPVNELQKNLIDLQSNHSHTSSDKDSLNRSVNND >CRE30857 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1504066:1506579:1 gene:WBGene00085667 transcript:CRE30857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30857 MNEAPAPPVIVINSSVEEEQKEKKEEENGKNKEDEMESIDSKSGNDNAGKQDETISSNDGQQKNEISGSVSSSSFEELNVESEEVIEKKERTPEASETSAIVSLAVEEDTKTLEIEVQEEKVREHTEKTPEVVEVCVAVEETHKTEEIVKPTDATEEVKEDNIKENSDSTPEVVQVTAVIEETTVTQEILKPTEVSEVESMKEEEEPVPKMRSVDQVAAPPRPIRRLSVARASMMAPKPSPRLAKYMEPSKQRYEQS >CRE31094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1369540:1370916:-1 gene:WBGene00085668 transcript:CRE31094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-jamp-1 description:CRE-JAMP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LUL2] MSMLSGHASTTQPACLGFCGRTLLLGNHSEDVATATSTSDSTFSRCGPCSFGYRSNAASICESCDNPLQPYDWMYLLFVALLPLLLHMQFIRTARKYCRTRYYEVSEYLCVVLENVIACVIAVLIYPPRFSFFLNGCEKTGIKEWYPACYNPRIGYTKTMRCTYEVVFPLYSITFIHHIILIAAILILRSTLYCVLLYKAYNGKPFYSAIVSVPLLAVIHSIFSGVIFYSFPYILLIGSLWAMCLHLALEGKRPLKEMIVRLVTSPTHWVFLSITMLMLSFGVIALITPLEIAYRWTLLCVVPVPFIFYLLTIPFSNPTTTMRLS >CRE30874 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1610987:1611755:1 gene:WBGene00085669 transcript:CRE30874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30874 MLYVFLFFATYSHAEFIISYNNTTSASSSAISAQKLLSLNTNATPPSSQFTVKNESRFEEMHQDDEGLIDRKSIDRMVDELLAIHDKIEKAISEMRRNDYKCFDSVKYVDQEFYRSL >CRE30746 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:616346:618262:1 gene:WBGene00085670 transcript:CRE30746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30746 MDSYNTAEHDNIDFEISLYFYREKLCKVEKYIEVAKIHQKERLMITTYYILAVGVTWILTLFVPSNTTSIAAFAESSTIVSLFFIGSLITWKYSTEGRQDTTYKKKYICVALCYITAIGVCGYLAVKLPPDTAVMMAFTAPSILVFLCSLPKLVDWVAEIVIINMSYDLLPEATSIEFTNKDQIERETLHEHILELSNKGINPIQHALCILSFIAIRLTLPFVQNLRSSNNIDDITVLDLIFYKVISIVYVLVFLLITICALQTRSIIASKRTIPNK >CRE30719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:457470:458460:1 gene:WBGene00085671 transcript:CRE30719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30719 MANNQCRRFEGKVAIVTASTKGIGLAIAERLLAEGASVVIGSRNQNNVDEAIEYLIKKGLTKVAGIAGHIENTDDQQKLVGFTLQKFGKINVLVNNHGINLRFSHILKVSDQIWDKLFEVNVKAGFQMTKLVAPHIAKEGAGSIVFNSSLSAYKSQPGIAAYGITKTALVGLTRALAMGLAKDNIRVNGIAPGLIKTDMSRPYWEGGEEMEKGLIESQDIALGRLGVPEDCAGTVAYLASEDSSYITGEMIIITGGVQARL >CRE30829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1267845:1268226:1 gene:WBGene00085672 transcript:CRE30829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30829 MSNPAAPDVPDDKGEVNSSSTVAPVPPPRKKRILIPEQSSAPPPPPPAQADSSEVKPGAVGRVQCGSCARVQRRPRPSVPPPAPPTPVADLTPTEGSLFTTASSSSGPKI >CRE30752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:656707:658629:1 gene:WBGene00085673 transcript:CRE30752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30752 MKHFTDEDFTTALKTTQRGLSSLREEHCAIFKSLDTNNKIAVNNDISLPMEKSRQASENMDKLINGEDEASLMLIVSQLMRYGDDQHSKSQDYQRRLKQENACLVEELTNTHRKLQESEKCVGRLQEEVNHFRFMASIREYECDDQNQEVTETNSQIPIMDTLQELGLDNEEDIEDIDSYREHKHDAQSNSSTTPQAASKRIEMLSGMVLQYMKQGRYEIAGPLGKQALEDLISERGPDHLDVATMLNVLAMVYRDQEKYKEATNFLTRALQIREKHYGENHPYVAATLNNLAIIIGRRGRLDEAQTLCKRSLKIREAVYGKDSPDVAKQLNNLGLLCQNLGKYEEAEDYYKKALKIYEMKLDANHSETVKTRNHLSSLYMKQGNYKEAEGFYKQVLAKVYDGEPGNNNNNQKRPIWQIAEEREEKKQKGEVEEEYESGIFANLDSPTVQSTLKNLGELYRKQGKYEAAWTLEDVALRAKKQTEVLSLHSNSTTNSSNNESRDRMTASISPIGIGSKLLNVFGFKF >CRE31043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:967814:968219:-1 gene:WBGene00085674 transcript:CRE31043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31043 MSRLKFVRVGLPFFAIVLGSAYGLHFFQQVRFDFRKIKQEDDNLELLRSDLTKSGLRLREGVTVDSVYKEVAELDTDSWENIRGPRDTEDLTDYNRIK >CRE31033 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:861897:862334:-1 gene:WBGene00085675 transcript:CRE31033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31033 MTTQSIGTSLASDLSSRELQISEQPGHIKIVNTSGKRIVFGVSTALKKATTTPTGVLDPNEAALFIVKSDGKEQNDRITIIYTHPPEGTDKQYRSEYFLGEDTLIVRKNLPIRFEKITAVLARFEKLN >CRE30998 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:519595:519696:-1 gene:WBGene00085676 transcript:CRE30998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30998 MREEAEEGGWAGRRDTEGQTYELEQWDAGDFGK >CRE30938 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:163535:164318:-1 gene:WBGene00085677 transcript:CRE30938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30938 MATGGFREMSDTSLEVAPELDEEESSINPESEEDSIGSPRGAEGSAELEEESSRSRELQREVESTTIPGSEEESARIPGSEEESARIPGSELEPRLGGGIGGHWMIELEQEESSRRRELQEEVESTTIPGSDPELGEGINLVSEEEPTRSREIE >CRE30703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:304288:306853:1 gene:WBGene00085678 transcript:CRE30703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30703 MWPNLLMYLLFLALPHKSAAYQVLHLADFHLDIEYSINGDNQKMCHDDGQKRNKTLGPFGDYMCDAPKPLIQHAIDESARLFPNPDLIIWTGDNVAHIDGYGWEVVLDAVNQTTSLLFSRFPNQTILPTFGNHDYAPSNGFESDSSLYTKTWELWKGKLGDENKATFLQGGYYKYRLPNATAVVLNTNLYYSANKAYVNFTNKADPADQFAFLETELAKAEKCPNRISDNCTSLVHIIAHIAPGVFEKSPNITWFRDEYNERFLNLTIRYANSIGWMIFGHHHTDTFHLIKDPLENVVQLAYMSPAVTPWFSDLPGAGANNPTFRVYETDVYSKIEDIKTYYINLDELNKNASTPFVFEYSFKDAYGITGDITPSTMSDVLEKMKTDDKLFMKYIDYNTAYWNPVMPVQEYRGAQLCSMEYADYPRYYTCLSKYTKFSDSSLKLPIFSLLAILYTEFLL >CRE31018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:716235:716851:-1 gene:WBGene00085679 transcript:CRE31018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31018 MTSQEKQNQVDGKSDNEEVSNPNDNEFMEVPIDESTWLLIGSSSKNYGEKSAKEVIGTYSQEIEEEDVTKNEPAEPEQHIRRLTVRSVVLKYMPITTFFIIMWTYGDLDDIGKYLVMTGSILIVTSFILHINGI >CRE30856 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1503631:1503936:1 gene:WBGene00085680 transcript:CRE30856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30856 MGCQPCRPTVIDEDFGKTLVVPRGSHPFEKTVDRFSSTNPFGIETGESLECFFSAFHHVLECSPATVIIVLHSLRKSELSQKKKLITKKSVRNLSPPCCIS >CRE30745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:612211:612834:1 gene:WBGene00085681 transcript:CRE30745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30745 MTAEELEAYFTASPNQKYIQLEGDFNGNLCPNSAILGAEHLKVNCDGYGDQLLLGFRGKRLACTGSFRDSTIFQFLNAWRLNRGFHNLESVEINSSECNNYGAADPLKDMDVKQLDRPEDILHITWQVRYVQEGFFQMNKLLYFSRLYSSRNVISMFPAKSWKLGFSSRDYLIRDGDGEKASVSIKNHDVYFALWKGNSCEIENIND >CRE30805 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1047275:1048444:1 gene:WBGene00085682 transcript:CRE30805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30805 MSNGVRSFPLLRLPIDCLKYIVQRMEEIDKFAISLVSKKTQSLVTSTNLLKCLEINICVRQDVSIHIVFPSLEQLTCSFKNYQVQLDNLSPRNIKANVFLSETERFVHNKPSYRFEDWLNHILGVYGRCGVRTVILDRLLLNFLSFKKTIKCFSRLVLSETYSNTQVREILKTLRPEKEVYLGYENKNADSESIHEVFMQNFDTFFLSSWTDVTLDDLLVMNSEEIRIRSDRVIDEKILNRFIKHWIAGSNKRMKYLAIAPQRFYYQLVSIDKAAVLKGIRHVLVPKECKRNFKDTSSFKHSIEGGYDFKRKDGTTGTIVFDRIKYFQLFVWP >CRE31110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1547491:1547682:-1 gene:WBGene00085683 transcript:CRE31110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31110 MKAANSRNWLKFRELKYNFLARKQVILMSSVYTVPLGTYRVWCLLLSFETFFNARNKKRKYSA >CRE30845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1362556:1364225:1 gene:WBGene00085684 transcript:CRE30845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30845 MHFFCDLPYVASKKLFDFLDYETRLSLRKCSKRTENLVDIFPACFTSLRLSTYPFSMEFWQKSTGRRFDCSLTNFLHIIWWEALLGIFFRTVDETTIEKTVNKMGMVLQDNNIKIKNLVVSFIHKVPGMEDVTQEQIHLVSNLSKMMLASLNHQLIVENFTMFYCGNQDEVMCFLPFLKPGYLKKIELVNCGTGDASLDNVMNLPQVVKAKEIKIRNIPRNRMPLESFWNIPIVHLARTNINFQEVNSLIQHYFQLDTFEYIRLSAAEMNWFPHDSPTFDDGENRKVMIVKGPKFAIKIIHQMDGGYVTLTKIPLPY >CRE30700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:287867:288109:1 gene:WBGene00085685 transcript:CRE30700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30700 MSEKTRNLMLKNSTPSSSQHHISNSVPLNVFRGTSLITQLEQQKYPTSFTAVASDFDNIIVPSCPNISIPTQTADSTTDS >CRE30806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1049569:1050121:1 gene:WBGene00085687 transcript:CRE30806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30806 MTMVVTSPYLCKIDRLPIGVLAFERAMCFIYNRPTHSIMLLDTHMHFKGRAGSVLCVASFENITDFVVAVTKLVFHEVCKSADYIGQFKITCLMLTNLMNKVHKGNIFVPMKSSMPRVHSARPLRPIRIKAKKMVCVYHENEDEDSGYESSS >CRE30957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:273121:273363:-1 gene:WBGene00085688 transcript:CRE30957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30957 MPIPAVSTVRDLGIHFSSQLSFTHHHAETIRKAHQRINIFFSVLKYSSWKICIHQMLCCLLLSTIGIRHCSHISYSKGER >CRE30779 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:899853:900763:1 gene:WBGene00085689 transcript:CRE30779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30779 MQGNPYLNYQGAFGNLTETDKKQHCSLRIQTWVYIHSGISIVTSLLGITLGSILLVRTEWHEKLFLYRLTLAYLRRSDPSKYWIVYRVFFGVWIAVHSLHLVTIVLTVIGVHKTNLKLLKPQLFVLVFQIGLFILGISSLFVYSMTGTRVAWLALFVVLFHTLFASTNLYLLAKFHRFLDEKLMILRDILSAQAKSVHFKDDSSF >CRE30868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1580128:1580564:1 gene:WBGene00085690 transcript:CRE30868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30868 MVLLRNLIVLLMIVVGMLTVPCPDSCIRNCRRRGESCQINDHDAETCRCYCSTNELAAISLITHTDCPKYSSFQ >CRE30825 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1236728:1239231:1 gene:WBGene00085691 transcript:CRE30825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-grd-9 description:CRE-GRD-9 protein [Source:UniProtKB/TrEMBL;Acc:E3LUH7] MFLSLILSLFIFLPDVFESSCVDHRYGVPSKSCKRIKDPKFQIRGQIKIVPMWEFILMQEPKRVKRGAPHQNGTLHAAHSGGRTSSQVLPIPPRWSPNPPPPNSPIRHQTLIQPVDSNAPVPLPPPQRHIVERQVVPFGRPPPIYNLNPYSAQLNPVQNYIRPNLPSPVQYRNGAPNQSQPQGYQPQNAQQHQPAPPHPYPQQRPISNYNQPQRSPYPRPQPYQQQVSPPKQALENNNYSSDRNGGNYQNPTNSGSSRGNKKKDKKKKGRKNKSSKMCKLCREMSEEEDSEEKEVACDMCKKSSRNGKKKGKSQTGRINGKVGGNRKNKIDSDEHPEGEGAQVEDITGDGDDDYYSDDETTDQTPTTASQPTIIDFAKRAEQNKLMRIPVYRGKKLENKTDSYNTGSGEYAEGDGSEEEEKSEFSTNIREAEKPTKYSDKPNVKYSYPPKDTLPLQTCFHNPSGYVCCNLELNNVVESTYKEIRELPDFNPCNLQVIANKVQRASEKMFQHPFETLVAHADFAQNINFAGDLVCKLEIDGKYMISYGTPYHADEALGPQGPNGEPLPVRTLKL >CRE31069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1136670:1138269:-1 gene:WBGene00085692 transcript:CRE31069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31069 MTVVTIESGFPDVRQISSFVFFHKTRYMIMVLSLLCMTVGQMNSLTFNFTVICMQDVVTDFHQNNRTDLHWMENPSQKSFIFSGVAIGAVIGLIPLVPMLDHIGLRITFTIFGLISAASSLLFPLAVSIDFYAVFIVRILQGIGTSILYTVVAYIPGIWAPKNEMGTFLAVLSCGFQLSNIICMPVSGILCESEYRWRPIYYIFGTLTVLVYVAFFIFYADAPKNHHHVSPKELSLICAEKKPKQGKESVPYRAICSDKCVLATWLAMCGRNVAFYVLILYGPTYLREVLHFDVKGTGWAAALPFVSCAIVKFASGQLTDRLTMFSEKTKFITCTLISMVGLAAGFVVMSLTSSRTIAQISYTFAVTLSGITIMGTIKCLQLRCQQHVHFATAVIAFMACVWQFVVPLGVGYLCPNNTPEEWSFLFFIVSGIVIVVNIPFPFLTTSQAADYTKHK >CRE31175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:2004323:2005497:-1 gene:WBGene00085693 transcript:CRE31175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31175 MWVILPIMDLWVPFHILFNTRWWFLVPLYAIWFYYDFDTPRRASRRWNWARRHVFWKYFASYFPLRLIKTAELSPDRNYIIGSHPHGMFSIGGFMAMSTNATGFEDKFPGIKSHIMTLNGQFYFPLRREFEIMLGGIEVSKESLEYTLTKCGKGRACAIVEKIKTLFGFCLPSLRGRSIFNQYIIGLLPFRKPVTTVVGRPIRVTQTDEPTNEQVDELHAKYCESLYDLFEEYKHLHSIPPDTHLIFQ >CRE30728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:504688:507413:1 gene:WBGene00085694 transcript:CRE30728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30728 MFIKYRKWARKLLFFGIFNYLKLILMPHTGEHKNPMKIFGMEPSTVCWLGYSVWPNVYLYRKKQKEAVIQGIHEYLCQFFGSSINYTILSEKKTKELPPILKGVSSSDIWIPDGKTQEELESYFNDYPIQEYLKLSGKLNSRFIQNSVVYRSEYLKIDNCGNYGEEMLLNFKGRHLIFVTTNFRDSTIIQFLNKWKTNQGFQNVNTLFINLHLQNDNMILFDKMLDNLKINRNTDVRHLKPSEDALLVKWREMTEEMTLESRDYLIRDGDGQGATVMTFPMFFLFVVWNSTENTHIMGSKNE >CRE30894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1806146:1807657:1 gene:WBGene00085695 transcript:CRE30894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30894 MINGVYRKSARFYPFLLVLGICFIITTLYIQNQKASGYLSKVSSRNRVVEEQDSVQRRENLKKVLAKTHKGLVSLNELNENVYKKFGYEIISPTLPVPTLKMIKEPKCGEVFSEWQKISEQPQPEYPPKGIPAERKDEFLLYNYTAVNEWYINDKNSEKGEKPRLWDKLSEMVTWPKEKLGGLAYGTDGVSIYNAMKFHRLDGKSGVVIGSMKPWVEISALLNGAAKVLTVEYNELKIQDEFRDRMSSILPINFVKNWKIYAGTFDFAVSFSSIEHSGLGRYGDPIDPIGDIREMLKIKCILKKGGLLFLGFPLGTDAIQYNAHRIYGSVRLAMMFYGFEWLGTFSGDTEQPNDLTSERLHSKPIFGFFQNTVVLRRL >CRE30679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:209907:209996:1 gene:WBGene00085696 transcript:CRE30679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30679 MPGDDDVPEGLEAINLKMNATTDDVSKWG >CRE31003 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:578309:579344:-1 gene:WBGene00085697 transcript:CRE31003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31003 MRMVKRMVGRSKQKIPKDYFEDECRSNQIDKCNNAFEKIIKDCKAKAKPKKCEKNAEKIREELCRDNPQVNYCTSTNISVTTVEITTTEPTTTETTTLPTTTTTKPTQPAESFTLTTTHYLIGAGILGFLILGLVFLVKWILDKKKNPKKKKKKKEFVYEAQSRDHTRTGTW >CRE30712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:371802:372427:1 gene:WBGene00085698 transcript:CRE30712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30712 MIWQGPVFAIFMHVFAYIYNAILFYMLERKKVVHSRIYMYNMIISELFMNLSQNILVEYPYFVTQDPKFYSFPLVKCVEMFSQLGYQSKFFLAILMAVNRLWVVLIPIGSEVFSSYRLKIYMMIGWIALFCRQLIILIPDDCYFKMDLVQFQVMSVCEDVEKHKLRMRIVSPLKLK >CRE30729 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:508344:509674:1 gene:WBGene00085699 transcript:CRE30729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-srd-35 description:CRE-SRD-35 protein [Source:UniProtKB/TrEMBL;Acc:E3LTZ6] MSQKTTQIANAAVEMYIKILEIVYPTFLGTTIIAHSILLLFIIFFSPCHLKFLRILLLKTSIFDVLATVINFYIQPRILADRKENIPVYCYGLCQEMNTQLCFSLYMAWQNLSLAVGISMSYTLFFKYHKINGKGPLVGWRMFISMFLFYIPFFISTICSVIIVLRNTLPPMSRKVGDEDDDEVEITDMRYSEIGRMTLGEIPNKVNFAMMSYGIYFSPILAFWFRWKSNKNLKTTISGASPYLQYHAKSVMTGLALQVFMHFIFYIPLFSLYLYSLYTGTEILFQQFFLAMSPNLAATFDPLINLYYVVPYRTRIKSWFERSQPQQTSPLRVASITPSAIG >CRE30666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:124651:125744:1 gene:WBGene00085700 transcript:CRE30666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30666 MGSSWRQDDCTSCVCSAEGSADCYKEACDDSLECRGNPLVIKGKCCPVCSDALSSSAVCSYQSSVYSIGEQWQDGRCSNCSCVTGGQTVCRQMVCPHCDDPVPIEGHCCPLCKGTVPFIKALTTLWFSDAKWGPYGYGNGSGAFPTSLGPRVDDGDGSSATSLFVISLMAVSVVALVIVFALLYRWNKKSNKASTQVQRSRPNRIGRGVNCTTVRVKDNWISAKISGLEGYERPVSVFWKNRKTNCRYRSGEEFLKEAGNHIRHDSQNSDDQSDSLLSTMSDTSTAPSTISSSGHVPISDTQPLTPKHRYPV >CRE30844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1360564:1361908:1 gene:WBGene00085701 transcript:CRE30844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30844 MHFFCDLPYVVSKKLFDFLEYETRLSLRKCSKRTKNLVNFFPISFDSMKIFTDPFSMKLWQMGSEVKVDYSLSNGIYNILKEAFLAVFFNTTDETTIEKTVNKMGMILKNKKIKIKNLVISFICEHHGAEEVTREQIYLISNLSKMMLASLNHQLIVENFTIFYNGNQDEVMCFLPFLKPGYLKKIELFNRGSGDAPLDKVMNLPQVIKTKQINISNFDSVPLESFWNIPIVHLARTNINFQEVNSLIQHYFQLDTFKYIRFSAPEKDWFPPDSPTFDDGENRKVMIVKGPKFAIRTIHRMDRRYVTVRKIPLPY >CRE30684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:240053:241795:1 gene:WBGene00085702 transcript:CRE30684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30684 MTTTTEEADDVTTAIDSNDSSRKEKEEPVMLRAAQIRIKQIVRANKASGDLVSPMLFAPDPWAGENSQIGPARPGPLQKYNCPETEIIQMRAPFLGFSVFVRFQSRARIHTIKIIKDEQIDEILMASPVPPYS >CRE31046 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:980385:981647:-1 gene:WBGene00085703 transcript:CRE31046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31046 MWLSKQPQDVNALMAEKQELRKQLDREQSEKQELFMQINSMIAKLADSGDQDEINRLKSDVNSLKRELEAEKIGSNAELSRLKSELQKAKSEIQNSINDGDKEKESMEQEIENLQRQLNIKTASLQSLMLAKSDTNKTDKLTEENESLKLKVEDLQKQVSGFMTQIQDKNLEIAKMKDAVSVGDVSRQNLDSVSEKLAEMDRTLKEEQQQKFQLRNQTETLKNALSASEDTLSKLKDKLATFEENALELKNENARLKMSERDATLFDSGRIKELQQALGDERDNNAILNVQLREKDGKIDVSFVLEMLILILNNF >CRE30971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:351947:354466:-1 gene:WBGene00085704 transcript:CRE30971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30971 MKFQRVKFGKDQYDAIKKFEVQHHGDFWKLIMDKTGKSDGLSILDVSDEACLFALTLAENHPTNKLTIMSSAEKPTFTFPSNVNYHKGYITRSADAIASNGPFDLIIFNEISHEVGDIDGLFKKLKPLLKDSGQIVLFSRPKNPPLPVPDVCLMLWRKLAATKEELLASANAAQLNATCFSAAVPICVDKVQWENILYSGCFPAVKNTPKCDERTIRDFCVAKSGKFEFEEKLLIVMLRK >CRE30985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:443935:444488:-1 gene:WBGene00085705 transcript:CRE30985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30985 MVIRLALFSLLTVFVSSQEIAVDNVAAKNILGIGRMQAVAVSGRLICNGRPAANIKLKLYENEILFDRLMEEGRTDSNGQFRVSGNKREISRIDPKLNVYHKCNYNGLCYKKFTIKIPKDYINSGREAERTYDIGTLNLANQYPGQSTDCLN >CRE30803 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1042573:1044729:1 gene:WBGene00085706 transcript:CRE30803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30803 MLPSRVESDDGYVPAGLLNCPDNTLESSTIKGNEIHEFYNLNKTDSPVIEKSPREPGIFVDLSAKNKKEATSRAVSTSTPSSAPKRPARSVPRVLTIQPGSHLPLLPSDNQRPLLPPRSFHPRGVLPPPLRQTMYRPIAFPPMYQYGGRQIIPSQRPMPFHPPGRIPMKPQPVTIAQQQAMMNPNMYGQPYNMPIPQMSYPSMVVVQQAGPYYPPAQALARPVPQTPVEPIMPPPELKPKVTPETRKKLREEATAKLKAERDARRALARAKKLADKARTMAVKKFDFQQYIISRVSQIFRKKESAVEEGNLRKFGNFSKDMFVIRLKDLDSFSRSNEIWRIDNHVLIQKFCGVPSLKAPARQFQSTNRMSGYDSRATWRLFIINPDSVEIEKYGGEVTIHNFPNITTLRDAKKLAEMKDEAFKEIEKSEFEEKLHKYEQKQRTKMEEKAKKRLERKQKKLKQKLLKNSTDSKSSIKATNDSHTWRGANDISESSLNIVKNDEYVCQDVLNGILDAVDDDEHDEDDSFISSDGDISASDDVGDEEEDYTDDDRDDDDAGSLISMAHSTDEEEEEEFVSNDGIYQLYGEDEIPIVAMEIVID >CRE31124 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1683040:1683309:-1 gene:WBGene00085707 transcript:CRE31124 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31124 MFLLDCKKKNRRLSETFVLKLLVNYKVKKLRFYSTTSNTSEHTAKLYAYSLYSSITTSSETKTQCHNREFSYIDSNSIRGYQFRVRYWL >CRE30756 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:674890:675245:1 gene:WBGene00085708 transcript:CRE30756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30756 MAHFVGKVPRFDDDDEGEDDGDEEDNEDEDDDDDNEEGGFGGKSSANIFGEDDGSSDEEIGANDYEMAGDKFCEMLEDLEEEEEKSGKKRGIKRRGAKKFRKH >CRE30720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:458811:459834:1 gene:WBGene00085709 transcript:CRE30720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-dhrs-4 MSNNQCRRFEGKVAIVTAATKGIGLAIAERLLAEGASVVIGSRNQKNVDEAIEYLKKKGLTKVAGIAGHIASTDDQQKLVDFTLQKFGKINVLVNNHGINPAFGHILEVSDQVWDKLFEVNVKAGFQMTKLVAPHIAKEGGGAIVFNSSYSAYKSPPGIAAYGITKTALVGLTRALAMGLAKDNIRVNGIAPGVIKTKMSQVLWEGSEESEKELTDAQEIALGRLGVPEDCAGTVAYLASEDSSYITGEMIIIAGGVQARL >CRE30782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:920302:922237:1 gene:WBGene00085710 transcript:CRE30782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30782 MLSDFIISHTPLSTPPKPHRTSFSIRQLKRARQRYSKLLKLPNPPADQIFRLRTLIASTSKRIKSNMINLFRNISRFQHGFLSKRSCSSSLVHSISEYRLLLSSHKSLDVVYFDFRKAFDQVDHQFLISKLDSFGIPSNIISWLTDFLSDRTFSVKIDDFVGTPSASIPSGVPQGSVSGPLLFLVFINDLLLKLADISSLCIAAFADDIKLYSHDPLALQMGIDLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINPNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE30818 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1154661:1155421:1 gene:WBGene00085711 transcript:CRE30818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30818 MGLILSILAESKTHYEKDTHEWVNYCNLSQMVYFDIGYETGVPIGRVVIEMNDLLKKNLAELFVKTARGEFVHPAYGKKIEYTGTVLHHISSSKNMIMGGDVLFGNGCGGCAPVCRKVYQENNFSSTVQNTRGKLILLPSDTNPTVFSSIFYILLDKSSPSVVDGCAIGEVIEGIDILDKIVRDYGTENGRPEKKLIIHKCGHL >CRE31161 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1913360:1916721:-1 gene:WBGene00085712 transcript:CRE31161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31161 description:Serpentine receptor class gamma [Source:UniProtKB/TrEMBL;Acc:E3LTG6] MSDSAPSLFILSDQASVCFANSSKIMEQTDRIIIGSTILTFSLISIFLNVTVIVALLHSWSSFRTQPFTQFVLSMILAGTIYTSVNFFVSIPCSFNYCQYLQDDNLLIILSLPNTLSFIVYLLANFGFSIYRSCIVFDIFRNHLKAVQIITLYIPWILCIYTVVNTTFHGCIKRFNRWSIRYTYSCSSCNVWFGISFIDVNFYAGQVLPILMCIMYGLMILNIYWKKTHNDGRSKTFTAFDVKLAVQYLLVCSAQYLASFIFYTAPKVGNDSVLAVIAMNIIDREEVSFSMMKHVGSVDLPLYLLQCFILVFTLLFNLRIIFVIRKLYQKNRRLNSFYSLFLLESLLNSLSIFFLLILNISTEYLSFLSLILDRMFPGPSYLLNVFWISEYIQLSKFISHAFMYINRTICVIYPLKFSFWSAKRLRLCIMFTLLAPFAVFWSVLFSETYLVKGFSGFTHKSKNYSEWLSLSKMFAYFIIIITQCICSFFIIIGIFTRKKTVKRSDKNLCWATVISTINAVGYLTLNVILIVFGNENGSSDLWKQINNMAYVILLFVDPFVKLRLNSVFRKKVLCQEDNVEQGPLKANSSIQINVVEGTAEELRDKMFHKRPVTT >CRE30984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:442476:443030:-1 gene:WBGene00085713 transcript:CRE30984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-28 description:CRE-TTR-28 protein [Source:UniProtKB/TrEMBL;Acc:E3LTX3] MVTISLVLLLLSGTILAQNFGSNDVVVKNVLGIGSTQSVAVSGRLICNGRPAANIKLKLYENEIFFDRLIEEGRTDGNGQFRVTGSKREITTIDPKLNVYHKCNYNGLCDQKFTIHIPKDFVTSGSQASRTFDIGTLNLANNFPGQSTDCIN >CRE30924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:75642:76583:-1 gene:WBGene00085714 transcript:CRE30924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30924 MSFDTLEWCILTRDSNIRHLMSLDSLEWWILPRDSNIRHLMSLDSLEWWILTRDSDIRHLMSLDSLEWWILTRDSNIRHLMSLDSLEWWILTQDSDIRHLMSLDYLEGWILTQDSDMRHLMSLDSLEWWILTRDSDIRHLMSLDSLEWWILTRDSNIRHLMSLDSLEWWILTRDSDIRHLMSLDSLEWWILTRDSDIRHLMSLDSLEWWILTRDSDIRHLMSLDSLEWWILTRVSPRKTPCPASSESSYESRGNSVPVRPGSSRTLSRFGSVRLGSVCLAFGLSRFAKSSESSFPANYSQSSQNKANCSHFTR >CRE30911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1964287:1965955:1 gene:WBGene00085715 transcript:CRE30911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30911 MKKSTRFIENEEVDDVSSFQEECTAECGSLVSYCTGHMPNLVCQLNFVAFLAVIFFAIFTGIFIPVSCLFCWVSGGCRRSKSRRLSFFQNLSSRRRSRSRRGTGNDEERSSLYPSSPPTLQIPAAQKCRQPSSFSLPAVSHDVILEESESSDHEWKKAKRCRKITFDLSMTHRDSDLSHL >CRE30895 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1807923:1809714:1 gene:WBGene00085716 transcript:CRE30895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30895 MPRIYFTFFLLFCTVIIVFYLNKVYVYEKILSQTSLWNTYSGGETRTIFQDPNIFLRQNVRTHIGDISFLEFREELYKKYGYDLTIPTYPFVTVQSKYVMNCTWEFSDWLEQQKKRSSRRPRAYIPDGEQRDFLMNKYSGVSYKYTDDRKKLNITYKSWDNIADIVTWHARDVLRLVDNMSGISMHYAMKHYYLAGKRGLILASDHPIVEVQAIQNGASRILSVGQVSRETNDISSLSLTEFANQHGRYSQSFDFVATYGTIESVGLGRYGDVLDAFGDLQMMAMLGCSLKKGGLFFLGIPIGRDAVIFNQKRIYGHARLPMLIAGFEWIGTFGEDFQASTEITGPEIDRLHKFDHMKRTLVLRKL >CRE30964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:332094:334358:-1 gene:WBGene00085717 transcript:CRE30964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30964 MLIMKRVLKLVTPFELFAISLCSKKSKMICKSTRSQLQCYKSTREFVLKCSSDNEIRLKFDYCPKTEWVFKISTPRRRNVLQKFLPMFPKNEQKSIITWVPMENESISEMSIQLFTSQEDQTATIYTFILYLSDVFNIPLLTIELHFQEFTRVKNEDIVDFYCRDRGTELAVESLRLVGKRSNTPEDDKVVDSILCCQQARYKLQLLFEPTPEFKLKPIYLQHNPFSFEAHHSHYISFEEILECKSSIIRLSHSILNSTNFKWFIENWNDGWTPPWKMIITDYSEDIDIRRNSKLQVHREEKIFNDHHGVSHNIYYCICRPDGTVGEFLVENNNIGMFWVSCEAERNSPSYPKFSWDDWYDDE >CRE31127 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1694032:1695570:-1 gene:WBGene00085718 transcript:CRE31127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31127 MDEFSKKQSTRVSSRNSQKDSPPVPQRELKKRKNVHFEDQKTEYALSTSEFVMRANKQWTFVATCFNLRDDNRTASRDGDKELLELCNYYEEEESVPDLDDDSDDSIPFEIFAEQGMRITWTPGTSHSTVSTLRLSSMLRLESRQQIDPSYEEPDDVPQDSLLSEKEDMETAFQGELQCSNAQKVNRSRGSSKTEKTEEFESSENFWDGVEF >CRE30828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1264684:1265856:1 gene:WBGene00085719 transcript:CRE30828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30828 MSNGVRSFPLLCLPIDCLKHIVQRMEDIDKFAISLISKRTLSLVTSTILLKCWEIDIFVRQAVSIRIVLPSHNILICSFENYQVQLDNLSPRNIKANVFLGQTERFIHNKPSYRFEDWLNHVLGVYGRCGVRTVIFDRLLPNLFSFKKTIKCFSKLVLSETYSNTQVREILKTLRPEKFLLLRLPTFENKNEGSESIHEVFLQNFDEIMLSRWTDVTLDDLLVMNSKEIKIKSDRVIDEKILNRFIKHWIAGSNKRMKYLAIGTQSNFQFTIDKAAVLKGIRHVLVPKECRRYFKNTPYMIHFTEGGYDFKRKDGTTGTILFDHVNYFEMFVWP >CRE30758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:680502:681227:1 gene:WBGene00085720 transcript:CRE30758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30758 MKTERFLYWVHVTFALVLLLLLLKFVIWICKDSKFFTFSEKENKKQTKITRYDKYNLVFGYIGISFISIITQGIILWTGHDFETGVIAFFMYHYLAMILLLFFICRPAFKYEYYYTKDQQCSIQSRVFIQTFFTCPFWPSFWLPIALHCYLAAMFNFLFYELKIVMNDQVKIPGELPSIDEKTDNVELEELPRFRKNRYVMLYPHGL >CRE30771 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:856175:857674:1 gene:WBGene00085721 transcript:CRE30771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30771 MTPTQVKEFVDYRDAFMMAFRRLQVENKEFSDPEIDLPELLAVFEKHEKEVLNEKLDVFSRSFTSNEEAIPAFFKELNGRASIKVKNNETSCLLRAMAYSTFFNNEYAEAFGLLLKNQLIDLTFTAGICANVVFDGNAESINSLNKEIVDTVTRISSHTAKWVNESLIASWPSAHNQILKEQIMRNAGKPGYVGKRSLEISAVIVVPILLRTGLPNYSYKLFIAKGVEPEYELYFEGIDNHCSLKKGSFDFDTVIGRIHRGSASQTSRHRSFGLINDYSELKNKIWSEMNTLDDLPTLPLIAEKLKKKIGKKFITENKFHCWAIVREYASILYGPHPAINSWTKYYDVIDSVTIRTLNYTSTTHDGDKFQFVFFA >CRE30841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1340943:1342714:1 gene:WBGene00085722 transcript:CRE30841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30841 MHFFCDLPYVAARTLFQFFDYGTRMSLQACSKRIGKLEGIMPLYIDVLKISTDLFSIKIWQDFAGSQIQYNFPNHIYNALKEAFWGLFYKVDEKTIEETMSKLGGIFQNKNLRVKRMIVSLLWEFPIVEQVIEDQIYLVSALSKMMLASLDRQVLVEDFTIRYRGNQDEVMCFLPFLKPDASLDRIVNLPQMVKAREVWFRSLPRFRTYMESFWNIPKVNLWQVELTFYEVNQLVQHYFQHDNFEYLAVVGVSDDWFPNDSTTFMDEENRKAMTLRGPRFGIKFVQKLEKNAIVLTRVP >CRE30733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:534715:537187:1 gene:WBGene00085723 transcript:CRE30733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30733 MSMCSKSMKMLCKESRSKKQWNRRIPSLQLRFSSNLEIYFYFHGYNSTWTIRFPKSNLPWIFKRLSYFIGSSWSAIGKNSSRLASWEPAEINDTFNFFGTPIPTHEYRLSAEHQEISIFHEWVMHLSELFKVSLKDMTLEFQHFDQQAAGLITELFIIQDNNSLNTCFLENNKLLGTMEENLISSILNRQNTQKILKLNLEISPQFDFNIINLKNHPKYLIITHSHWVDISIVFEMRCSFIRLQKSNFSKDQCKMLIEKWKQGWTPNWTTLQIQYSENLDVDYCVNEPNAEIKPAEQQDTDAELLISWYRIRAEEQRQDLELYDTRCHIIRQSDECILTFSTKFENYGRMKIVSKSDEVSHDRIYSKLITVYYFILA >CRE30975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:374739:376194:-1 gene:WBGene00085724 transcript:CRE30975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30975 MSWSPIGRPIRVGVFEFQPDAFNCFRDLPQKPCAKPGSEMEIISMVMKILDWQWEVIDTEKEFDVTRDFGHLQPDGNFSGIMGLLANDKIDMCGLSLAISQDRMGFAHFTFPTRYYQQVYIIRNPPENDFRNFVFAPFTTQMWLLLLASAMGVSTMRFICALYFDYRIGSKLSIYTSSLLETFGFMVNQGAQSSNGIPIMFLQGSLIASIMVITQYYQTFMNSLLTAPPSSHIPFYHQNELIELLLKKQTYLTYYLNVSLEATTDENEVNLKRAMVHNPLVIRDTEEELDAEMHKGGVFYSTDDVELLPAVVSVWEKEKGLIAIRDTTSIRSLTGFAFSISNKKLCKMFNKALLRILPGIPTIMSGPGYGTKKQAEDVTVQVKKIKLSMKTHLEQLFLIWIIGCAIAVLVFVIEKVFYRVKDLRRQKVS >CRE31136 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1759386:1760470:-1 gene:WBGene00085725 transcript:CRE31136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31136 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3LUU3] MLSYLMERLSCFKGPNAPVESKWNPLPSDPSLINTMIEKMGVSGIKAVDVVGFDDDSIGNQQYAVILCFPYNPEVRENIRQMYSELKEPEESIFFMKQSEEIGNACGSFALIHSLTNLEERIDLGNGHLAKWLKDAKKVSVEERSNLLANNKGLAKIHKTAAGNGTAVSNPDGRDGQHFVCYVGKNGTLYEIDSRQSFARVVGPATDENLVQNSGAGCQHLIGTLDSIRFSAVALVKQ >CRE30772 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:858537:860577:1 gene:WBGene00085726 transcript:CRE30772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30772 MKTMIKKYFDPEQYKSEEFNQTFRSSCEGWDENSPESILTSMHLMFVRSCRNFVTPTEVQDIADHRTYLMRLFTRLQTSIARTCAYSLYEGDAELINRYTDPIVGRVTDISIHTAEFLNVSLISAWPSAHNQVLKEQIMWNVRKAGFVDNKNLKTVTEITRPLLMNTGVPNYAYEMLIVRATEKEYDLYFEGFDRYYSLRKNVSGFDTIIGRIPLNSTNPHDKLKIKGRMAHLNNLAYDLNATIQFEMGKLYNAPTLSMIAAELKKIGTQFISRDNFHCWAILREWAPKFWSSCPTIDYFSSSYNVSDMSSITTLSYTNRGNIFQKCEEFRFLFFM >CRE30785 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:936587:938493:1 gene:WBGene00085728 transcript:CRE30785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30785 MKTRSLLLSHSLVAIVAVLITTAIWLTTYFVAVNPNINNGGNVVNNNFSNNYCPNTDNTCQLPPMPKCAETCEFVICESIPVGLTFNSSYPIFNSTTNCWLRLMSKLINIPTVFQISCFPEEAKEEILIGSYYWSLLVRDTGDGYDTDPTNTSGDGELIYNTLLSTAVDRNISVRIAQTYENGGYWETENLVQKSNGRIRVQYVKRSVICWFRYPGGILHTKSWSVDGKHFYIGSANFDWRSLTNVKELGVAAFNCPCLANDLKNLLEIYWTMGAPGAQIPKQWDNSLSTPANHQTPMSVYQPTGSQAMYISASPPGFQSCGREDDLAAMIKTIDEAQEYLYMAVMDYAPSTMYLKNENKWKPELDNAIRRAAFERAVHVRLMISLWPHTYASAYGTLYSLQDISDHLPCYKWDSKDTISDNCIKKGSIEIQLIEVPAMQYGKIPYARVYHNKYFVTESAAYIGTSNWCSDYWQYTAGIGIIIRADDSTAKSQLVQQFTSIHERDWFSPYTIPLKNFTISGNRTATF >CRE31073 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:1179007:1179538:-1 gene:WBGene00085729 transcript:CRE31073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31073 MKRTAIREKFDIEKERLERRKVQTRRKTQFKSSTRAITTMHDSIEEPDDFGFSSSLVPMLTTKSNIDVSVGYYGISDQYKHQLFAVSQFLWG >CRE30931 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:106769:107928:-1 gene:WBGene00085730 transcript:CRE30931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30931 MSHFTNVVGGVFKFSDGHEMPKVGLGISRIETQEALDVSVEAALKSGYRLFDTANLYKNELFLGISLKKYLPVFGLTREDVFITTKVRTLNENTVEEVEKQLANSLATLQTDYIDLLLVHYPRDRDTGNDDDYEVNKSRRKIVWQTLEKAKDSGKLRSIGVSNYEVYHLVEMFEYAKHRPVLNQYEYQPYLTRPTLKKYCDLNNIIVQSYSSLCWGDQNILQEDIIIQLCQKYNQTPQAILYAFAYCSNTSMIPKSATPSRIHDNLHNVSKSCSNLSICIVFQTIKIQLTDEDLKSLRLLDKGKSFPAVGQTWRCL >CRE30993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:500123:501150:-1 gene:WBGene00085731 transcript:CRE30993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30993 MRLLNFPAVILRKVFENFSFEELLLLTFCSNRFKHLIRSNQHYRFNRITTIEYHLLSNNIIYITPDPRSLNMFKRFSQCVTLSPYRFRYDHETPIHVFGMKRNTACNMDYSSKWATTYLYDRNQKEAVIQGVHDYVYRFFGSPIDYQIRSTNNELPPILKGVSRSEIKISDELTTEELESYFTDYPAQEYIKVEGNLNGRLSHNSVIYDMNYLEIDTCGNYGDDILLNFKGRNLIFRNTDFDISSIIQFLNEWKTNQGFENLKSLSIDLDDNYYPNVICFDKKKIIKMMRNVEIHHLCSSKDVLKAKSNSKKPKEII >CRE30757 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:679288:679812:1 gene:WBGene00085732 transcript:CRE30757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30757 MADWFKQIAIGFIYGKTIEVTLSCYNIWNGSAQAIGAYIALHFAVRMAMIIENAGFANSVQLIRITRYTMTVIALFCGYQLAAESEKLQREVLKKKINDAENREVRPVDEENGVDIPMERMPVEEVPDQQHLRRRGTHPNIPNP >CRE30744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8:611633:612185:1 gene:WBGene00085733 transcript:CRE30744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30744 MKLLTLPSVVQRNVFELLGFKQLLIISFCSKRTRYLIQSLQKYRWIDIKFVKYSFEEEDKIYVNVRSENINEGFILSPNTLEQLVITPMDVFGMGSEIPICLHPIYYGGRYIYDKEQTQIVVQGIHDYLYQFFGSSIDYEVESIEDQPPANSKKHQ >CRE01139 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:120866:121378:1 gene:WBGene00085734 transcript:CRE01139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01139 MPKSALPTPQDFVVTATQNLLHLLRFWEEALGHENTKIALATHVSDMKESSEIPDDDAIFAAVLDKIASHVVKKLLQSINDVWQTDGKTATLSKGLVKEFLCDAEYLRDALVDLRAGTHSNLDTTIEKLREQLKTMG >CRE01194 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:339228:340801:-1 gene:WBGene00085735 transcript:CRE01194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01194 MLFAYYYRIICLIVPTYLQIESHRPYWIWETESQHTLPNAARLLSAAGKKTIRSTGTRGQDTPRQPLLSFIVLCPTMANAVSSFHLLRLPEKVLTKTLQCMTAIDRHEVESLFIESEHSSCILITCYFRDDNKIKFTIWPVNIPRHFVGLPMKVRVDERNNGLVDFVEYSSRDDDEESEQYAGSDFDDDEDDFDDWDDDDDNENDNDVYDSEDERESETSDSDGDSEDTSESSSSSESEDDSEDFSDGEEKVRPAHWYLRADDVRTVINHCLEIYHKSQLSFVSFLREADMFDIDYLRQTLSGFNTVGLSILMDRTEDPQFAHQVVRLDIPAKKVNASAFIFENTESLHKVLIQNYDSILLQEPLIRNQRLKVDDLLMTNASHIEIENDSISDKELNRFIKHWIRGSNSRLKYMLLSRRDLVGFNKETVLKGITHQEFEEGVVREANVTCFSGFRAVQIPSGFNFNRHDHTKATIRMKNRLERAALELVVWD >CRE01146 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:166523:167683:1 gene:WBGene00085736 transcript:CRE01146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ttr-8 description:CRE-TTR-8 protein [Source:UniProtKB/TrEMBL;Acc:E3MWF3] MFLRALILLTIPTVILALGRTQSVGVRGQLICEDKPASGVKVKIYDEDKLSPDELMASGKTDSSGKFDLKGSADEFTSIEPKINIYHDCDDGIKPCQRKITVYIPSQYIASGSEPKKIFDFGTLQLAGKFSGETRDCLN >CRE01148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:250279:253464:1 gene:WBGene00085737 transcript:CRE01148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-cux-7 description:CRE-CUX-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MWG2] MARNLPAALDYLGSEAEDFNKSQNLYLKPMAVIKITVVLPRMTIPGQSISNWDLMERLKRAIDPIQMDSCKVRESNIDSVIFEAELLSLGIMQKTMKILDGFSMKVSGFAEPLKVKTKEAKLDFPSRHDWDVWFMKNKINEMKPGERPDTVYLAKIPVKWFCVSFWSFCGTENLKFLIFCKIQDGYNDLPSERRLRVAMEAFGAVRDVDIPICDPLRAQMNPKISGIQQKGFGLGQDVFFEAYVQYMEYKGFATAMDSLRNRKWAKRIDGRFFQALIKVDFDRSRHLSEAQIAKRSEERRQIETERLRQEEEELNIKRQEELKEKEEMDEKSRRREDRERKRRERREQERMAEEEKKRLEKERLEAEERARANRRLEGVRLLKFLFEKIEAREERRKKKEEDKLKEELSKIKELAEQPAEQEDALRQALLQQREIRMRERLKDKMKASGKEKKDGKKKEKKSKKERRKRSNRHDTPSSSSTSSSDNTSDGSDSSTSSSSDSESRRRRYRRKRRSDEGSDSRSHRHRSHHHQEKTRRRGS >CRE01116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:4831:6129:1 gene:WBGene00085738 transcript:CRE01116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01116 MSSIKAALTPECQNMWTQRQGSMEMVSKGAKGTPLFPGLKFMVDGMDEKSNYSISLTLERLDSTRLTFKNGSWARSEVRNSKDTHPYKSVNHPNGIQTGEYWRSHPIEFDQLRITSKVDLQKKDENVLFVRTMYRYVAVLTVNDMNSEPIRIMVHTAQFIPVSIYQDSTIGRWKSQYNKYATVGNGGLGAPGGGKVKKSKGRHVLSSPITPETTPMTAPWSQHSGYKTGFYPMSNGYNFPQVNYNYPVMSSQYQCPMSSLQHSGYGGSVTDQWNMPTYPPTATPDITAAHWPQGSSMASSMTAASSFCTHIGCVTGSSPPETSMDYDFNGYTFSKSRGSPSGSSNYSTTSSVAGFISPPSSSSGSSGSSSPVTSMDYSFDGSTTSTSNQSPNGSSPGYSTTTPLVGSTTPSDSSDDSDLYEFLTDDDIPLPY >CRE01181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:177294:184463:-1 gene:WBGene00085739 transcript:CRE01181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01181 MERIDCRGDDVQSYPYKNIKSVGNVNDCPSGFVVDIGKIGDEVSTQSTMQSTVQVKFHDEHLWRQPEQIMEMIANKEGTPISPGIAFLVNGLDEDGKYEVKLSMERVDFERYTYTEWQISTKRKAAPMYLNREVSHKDGVKTGKEWMSEMIKFDEVRITNNKSNESKDNFIFTETMHLYRPVITFKNIDPTQSPIYHSDPLFNCIPVTIYQHTSIGKWKAKNNKFATKKHGEYVLKKLWSWLWAKSPKLEGSDAENNERHIYVFPAITFRTSLSNWNLSLASSLSIDRHVCWRKLFWWMTSRIEIIWSSPWMRILRNFLHTDRPNFILYAVPKRELNRRGVHISMPIQTPTIALCLFAIGKQFFYEIGSYDKGMQVGGGENLEISFRVWLGGGSLEIHRGSRVGHSTHSQDKVIHHNAAPKTEVWMDGFQAFFHKMVPAAQYLSEAPLLADFKSLGAIVNRFTEKCIDANGKKDGWSPGLQEFHGSDGKQ >CRE01185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:249605:249934:-1 gene:WBGene00085740 transcript:CRE01185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01185 MSHTQQQTASSTTTTTTVTVPPPQEENLVLHLSAQQPSTSERRHVVWATETVDNEGMGKKKSKCCCIYKKPKNWQDSSSDSDSDCETGHCRGHVEQKKGGEEPPSKNSD >CRE01130 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:80651:83055:1 gene:WBGene00085741 transcript:CRE01130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01130 MTHLFTKDLERERASARLHRLSEESTPSPSVDEAGPSEPAPRLVPQPPRENFPHPISRRPDPISVDQLAAEIVEGATKQAVFIQQAVENAGVADRAPQPIVETPASSIIADRLAGDIVDDAARQAVAINRIMGNAGIADRPPQPLGESSAAPIVEAPASAIIANQLAEDIVGDAPRQAVVIQRAVDNAGVADRAPQPIVEPSAAPTVVTTAESIIGDRISKAVVVGAQRQAAIINHILENAGVADRPPQPLDEPSTAPIVDVPAGPVENVRDEKEEEPHETVKPLARAASPGGKRGHSPDEPTTKRNRRND >CRE01118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:14008:15804:1 gene:WBGene00085742 transcript:CRE01118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ccdc-55 description:CRE-CCDC-55 protein [Source:UniProtKB/TrEMBL;Acc:E3MWJ3] MDSKRTLGLNIKQKEEPKRVAIKVASVFGDDDDDDMPATATNAASASVIRVQKQAEREHQKAEAEDPTIFDYDGNYDEIQAIKNEKKEEARKADKKRESKYAESIIKAHARRQLETFSREERQQIKEREKEGDEFADKEVFVTGAYRKQQEEVKKYREQEAEEAAFNDMTSVQKQKMWEMGMGRTLLNDLARDPTAIKQRKKEQKNVRKREDSGDEEEKELGEDKKKPEKSIYDSDSEEEKPKIEAPPQKNFEGELKPGLNKVTKKATTRAERVQRNFTPTPPSSDDEGAPRPAPRALGDHRSSRRSGSGSPRRSRDSGRRGSEVEKTEEPVKAPKISLKEKLKPKKIDKVARLEGLKEILKQRNTENDIEEARQRYFERREQGIVVPPL >CRE01182 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:185343:187619:-1 gene:WBGene00085743 transcript:CRE01182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-lgc-12 description:CRE-LGC-12 protein [Source:UniProtKB/TrEMBL;Acc:E3MWF7] MFITKLLTFIYVTISTIEALSDNVTIEINERSLAKFLLDDYYKFTRPVRNHSNVLSVTVQPQIYNLVEVNAKNEQIKLLLWFPQGWKDDYLTWNPDEWGGIEKIIIPKSLIWIPDGYIFNTVEEMETLENHNVRVRYDGNVETDFNKLVDLTCPMSVLSFPFDSQLCALQFGSWSYPYYMLSFNVLGATVDEKNNHSEWDIIAFNFTKLVTRYNDTVGGVNVYEEIVFYLEIRRKPLHYILVIIIPTFLIVTVSNIGLFTPHGVHGDREEHVTIIFFHIVSLGLTTMLTMAVILDMVTGEMPKSNEGIPLLGKYVLIEFSISIIAVLISVLIIFAHERMLYLEARPPYWICRIFCKECRAPLAEMEYDDLLSKPLEFKQELRLCIEKVRKYLDDIDSKDRNELIWQRFFSWTDIFCGLFFCVFNCLITFYMFFEYSF >CRE01174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:123526:128385:-1 gene:WBGene00085744 transcript:CRE01174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01174 MSLEKSQTSRRILILTLKRSAPNIMRFMTCAIVLYAGFLIAGWVIIGPYSMKFRTLAESSEALFSLLNGDDMFATFYTINDSNTVIKVVFGTVYIYLFVSLFIYVVLSLFIAIIMDAYEVVKDRYSDGLRSIEKRGCLRDFVEMIPPPSELGSPTTRDAYAPSNLLNLAAGNDSSRALRALNAIDNARDWLSSLRDGTRFQSFSNPLNDSIDDGLNQNITEPRRPSNV >CRE01152 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:318453:319322:1 gene:WBGene00085745 transcript:CRE01152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01152 MPLDSSQLFCALLISIMFSLLIKSIVFPEKRKRLEKSAAESRKNVTNVRRGSVVKRKSSDTLKSLLELHDVMELRNLSIVDLQTFNGQFSKLTDRYWREIFRRQNDAHHPDHINVSRLHTPNIITVLDEHLPQGAIQELTITGLVPKPFSSRRCEVMRYLRERLSSSPNVELTFQGDQLRITNPALNGDGYSSKETNE >CRE01165 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:62186:62572:-1 gene:WBGene00085746 transcript:CRE01165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01165 MNERKLEQKRKYVIGCIMRLCEDTNKRKTFMQKYGVSVHYPLYDLPNVEIELNSKTSEELKEYRMNVRKVHHTVMHIQLMECDRDGCVGYWDCIGWGQLLKLLWDDMIEAISNLKNITHDRVVPNEIK >CRE01201 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:362616:363694:-1 gene:WBGene00085747 transcript:CRE01201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01201 MAVPPNTKPIPILNLPFIPLNYIIQHFYPLTVLDFSLLSKKCRHIIKSTNLVKYDMGLSFQPDQYLIRFQKKDTFLFYFSIDILSKRNKYLRRKRNRKMSPFSSNGNEVSIKFAKFWVNYVCDLFRTKISMLFLNLNASIEQMSAVAEWTKSLFSECWFCHVAGDDANSESITRFFKIANFPIRFLVFEHKHEYEIAPIDCGVLNAEEVLIYSKIPKYPVNWFTLEQIMRSNWTKLMFGACAFDASDLNQFIKGWLNGNNSKMEVFRAVVKPYIRDLVLDGIEYEERDITQERRPYNTSFFNNPFSAYFIGGYYIRRNDGTVASQDSS >CRE01122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:39898:40059:1 gene:WBGene00085748 transcript:CRE01122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01122 MVPTDEYIHVVGKKTFVHAMIFNKRRVNIHFSTRSPNSSEMWNRCGVEGRSRG >CRE01191 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:331385:331516:-1 gene:WBGene00085749 transcript:CRE01191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01191 MNVYSVFLFAILAISAVSGHRCRGGSYGGGRGGGGIIIGANKE >CRE01154 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:332529:332669:1 gene:WBGene00085750 transcript:CRE01154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01154 MNFYTVVFFAIFAIAAVSGHRCPSRGYSGGGRGGRGGAIVIGSTKE >CRE01137 pep:known supercontig:C_remanei-15.0.1:Crem_Contig80:115556:116429:1 gene:WBGene00085751 transcript:CRE01137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01137 MQSIEDEPIVDEAELQLKRDKCLEKYMTLLEKFDESITSEKSFVEKWTGHFGAYGMHELDEFEKELIQYEKIIRRTDGNPLILTELRRQLIETLAEEAVEKIKDLQLKRDGCLKQFTSFIERLKESKAEESIVENYRFKLASYDSHQLDTCKKIHIQWEPILEQEENWRRGEPERLRQLQEYYAKAQEEAEEKRRNTLRYKTTAFFKGIFKK >CRE01451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig800:13:978:1 gene:WBGene00085752 transcript:CRE01451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01451 MGYRCELSWFRDIRRYCAYQRSKQVRSTKERRRRRSDSPDSQDESSKRSESPPARRRRSKSRSRSRSNSRSQSRTTRSQTRSPTRSASRSPAKKDRASRARTRSNSKNSRVSRSRSQSSRRSESSGRSRSESSRRSERSASRNSSGRESEAASRKSSRNVSRDVSRNESRAESRAESHISSRDVSRAESHDDKKRGKNSQKRRRESVASSRDDEEKPKKKAVESPKLPQIPLPTSTPKSTTESSPSSTTKITPARPSSFIPPPMDMEIESPPTNPYADYQQQEQFKNYHQQQANVSYSVPMEEEATPRMILKKPDYSQNSA >CRE01454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig800:41387:42485:1 gene:WBGene00085753 transcript:CRE01454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01454 MTSSLPLLCVPYVPLKKIIDFMEFSSLVSMSLCSQKCQTVIKTYRRKSIDWRLYVSCFTHFFLSFHKFCHHQFVVVASGLQKMTNKTRFVEMNGQNVALTVNEEKGILETHWKDEVNGLKILTDYVTQLFNIDVLGITFNRKNIWMIDWVNSRQQSHVTSVYCEDWKDTLTEDELTHILRDCPASFQTTIYPSPPPNFQFRENFRSIDSLSIRDGSWVTIDNLLTMDGREIMLFKSSLTSININTFLKHWLAGGSPRLKLFSAKTVNLDLDALFADINVVLVESLRQYTSPSQIKYRFSFGYDLRRKDGVTATVHYYRPDGGIIIAVWPEIVCYH >CRE02712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig801:32555:33659:1 gene:WBGene00085754 transcript:CRE02712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02712 MFQDDYLQSLMRQSDHLSNFLIDRTLNELVAPLRKLNLKEEEIVPLKAIIILNPNAKGLSEHARQAISELRDKVQDMLFQIVKELHPIYSASSRFGNLLLLLPTITTLSGLMSENMHFCQALGGRASGDNLLAEMFGDRVFDDQMISSSISPPLFENPAEICLESISPPMSDRRFVKKTDAATQTNDDLLSSGPYLPHSNSCNSLLNSGYSPPMLSSSPFPLLDDNDEAFQNDISLTELNGCEEFFSQLLEQPILDS >CRE18305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig803:4708:5764:1 gene:WBGene00085755 transcript:CRE18305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18305 MSTFPLLSLPSLVIWNVIDNLNTEETLDLSMCSRKSRFLVRACSKNRYELKIEICYENKLVLYSKQNPADKVTFAFENYSVRCDYLSVAFEPHVRYIPYHRQSGTFSLEKEFIEYLMGTFRCVIDEIELSRLYNLPIYFRDIIDYFSSLQPSVREFYLEGRVKEDDLNYFLNTSTISEALQLEIVTDHKPGTDFYIDLYSIHLWGGGWVNLDHFLKMNFTACFYDADTSLITPTDWLFLMNSWINGWNRRMNMFQFQSHEFDFELTETMLEQVPGQRIDQNVVRFFESPCVNFIEIEEGLEIYGGYDIRRAEDGVVATVVRHPICPVRFVFLVWN >CRE30358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8048:40:546:1 gene:WBGene00085756 transcript:CRE30358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30358 MISAEEIHAKVQELGKKIDAHYANSDKELVLIGLLRGSVIFMADLCRAINKTHELDFMTVSSYGGNTTSSRDVRILKDLDGEIRGKDILVVEDIIDSGNTLSKVLEILSTRHPNSIELCTLVSKPSRREIDLDVKFLGFEVEDKFIVGYGLDYDQKYRHIPFIGEIGL >CRE26867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig805:302:2110:1 gene:WBGene00085757 transcript:CRE26867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26867 MGVGAFLTANRDRLIVESGDVEIESDDDGNMVVGGEALSFEAWARLTKTKASGVVRANDDGSKKIGNGGLSKKQMRQARLVEGMSQEEWKKWRNEKSSARKADIKQRIMARGAVIEGEDSVDGGAEKMDSEATSSELPTTAMTTAPPVPPPVKELDEGEIDSDEEKKDSTKNKIKRRASSDSSDSSSTSSEDDPDGPVDARKRRNKKRKMDRKMPRRNNAGASAQLDPVFKQMFENRKAIIAQMSPAHKAAFASALTQIAQNNAHLPPKGKASQVINSMMSGFK >CRE25979 pep:known supercontig:C_remanei-15.0.1:Crem_Contig806:35467:36087:-1 gene:WBGene00085758 transcript:CRE25979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25979 MPRNNRALSMQVDIRNEQCQSYNDVAKSMFLKYTKKEKDEEERNGDWRKRRIELKSGANVISWIIQNNLGYQASSQPIHIDRIDVLGLAFTRQCTACPPGTSSPGGSAECIPCSPGHFSSKGSSQCGKCPESQYSGFKSEKCIDRPPCRVSDYYPVRETVYKRVKFGPVYKKVLPSICRDDMPFLLLNSPPPTPWKTCPNCNPGME >CRE31580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig807:1:297:1 gene:WBGene00085759 transcript:CRE31580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31580 QNVDQNNSNLNIPLNLNTDQLENLDDADFELNQTRLVDGGIYTDPQMVIPADASEADIAELPTGRVREFLSRKAKAKPINYVNLAEVHVPPTTSPPGV >CRE30563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig808:1537:2840:1 gene:WBGene00085760 transcript:CRE30563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30563 MTSFWKGMKEENHGTQRHDDLRNDSGSIYLNLSKNLECCLCRRRKHSSITAHPQLAYQSLRKKNKVSSIHGEIRCTNDAIGLERSEKTKENNTNDFLLETNEGRKQLDADSRQSEKQIPDPRGSIHREDGNAFCGRACTLRTLHVYNLLSRF >CRE30564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig808:4383:5019:1 gene:WBGene00085761 transcript:CRE30564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30564 MYRKLFYSLVVFLSISVCYGGNPTADPNCAINAVDASLLDSLTLGAGGEFEFPDPPPPYLRTKRPTTPHHTSPGLSSPANPSATIVTDAPPASDPTVVPGDTTFPPSSPGTAQPPEPSTPGNNQAGPSTIPPGPTDSDSGSSTPSPVTSTPSSCNGPLCSIQESLDQLVAQILAYLQELFVNLLAAFGMNLNNIG >CRE02461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:18946:19717:1 gene:WBGene00085762 transcript:CRE02461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02461 MMVIYVPIFFHKHKHIFFSFPILAIATSFGLTEPIVLFGFCRYDFNIPKTCAAFGCAANQCFLTYWSTHKLIILAFILPFSILICLKLFLMYRGKTRESRQLSRANRLAIIDAAIIFCFDFLPIVASKFVVFSFQMSFRLQFVGYDISFSPYLFSQFQNVGPHGAVLQSVGLAIESLLFYRTLSPKSSFQSSDSTIHKIRVKTCTF >CRE02509 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:20887:26539:-1 gene:WBGene00085763 transcript:CRE02509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02509 MHDTHFENEFLEVLDLKYTPMWTIGVAEKRFESAMPLMMSDHYSVHFSTDFTKEAGSCRKVKKLLNYRRCDIDSLNAYLDGFNWAKQFSFFSTLNTKISHFLNIFNESIDMFTPLMTINSRSAVVSRQCTYKLLKRRNKSFDSKKLKSKVKSCLKRIRKRIRKSENEIIGSANSRRLFGFVKRRLTSSSSITKLLVNGSLVTEPSEIADQFIKTFADNFTIPSPPHPALPHPKPKNIFVDLSPLSVFLAISKLHPKIGYSTDRINFYIIKKCANSISVPLSLLFTESLSARKFPDCWKTATVIPLHKKGSTLDPSNFRPISLTHPLARLFERLILKPIKSELAAQLSKKQYGFLSNRSCPLALIDATSQYHLTLSKPKAFMDVILIDFRKAFDSVPHDLLLFKLMHFGLDSSLCDWFRSFLSNRESRVKIDDYISDNSFNNVSGVLQGTVTGPFLFLIYINDLIQSLPSDVYSIAFADDLKIYSENPASLQETLNVISDWCNQWKLQLAENKTVVLHLGVSNPHKDYFIGNAKLASANTARDLGLLVDCDLNSLIPSFVQHSNTLANYFTLQTLSVHLNLNLPSVSSLVKYSTDVTYPSNPPHPMHIFPLMNDA >CRE02554 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:257961:258346:-1 gene:WBGene00085764 transcript:CRE02554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02554 MSRRPLAPLNAGSIQDQERQHTVDFRATIRENYGVVFFNNGIWVMPPPPPVPNDEDEDIEEEEDEDVEEYSDSDADADDEFEDEDESDDEDVEYVFDSDPEEPMDEQREQ >CRE02499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:224191:224460:1 gene:WBGene00085765 transcript:CRE02499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02499 MISRTKEIIPFLGVSIIILTISRTKEIIPFLGVSIIILTISRTKEIIPFLGVSIIILTISNPGGFKPASTEENLSPDKYRLLQRHARSV >CRE02479 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:135391:137729:1 gene:WBGene00085766 transcript:CRE02479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02479 MIIQNILVIISFMTNSLLTFLISTKSPKTMGSYKYLMAFMTGFELVYALIDLLIQPIRFNKQAKITNGVGVRNGRTSNKKLMMRTLYLINPTTIPPKR >CRE02517 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:65552:66693:-1 gene:WBGene00085767 transcript:CRE02517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02517 MNSFVLRNYYMNYSFFQSDGFSVYGVAAVFIALSTVSMVTLLILVFPCYVLVNRANIERDKQLSVYPILKHFYQSICTFYCIIGTGVIYIVYFAILNRKSEEIRFYHGLVTIPMMLIWLFMAIFVETHNFIIALLSLQRFLLVFSRNIEKYIKPSEQESNKYLIGIYALFYMGHFVYFGWCAYQHKHDGVTDLTVEIYLVRELVKRKNDSNNQIFYFVLNLILLLSGLLYIPIVLKIRKLASLSSTIQNRHQRYILYQTCSIVAFKLSHSSIVWYVEFGALPVYCYIFMLLFFDLISTPVLIQTSYLLCSKENFDILQKKLKIEKLKSFSFTARTSRVEPIELQNRTV >CRE02463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:34555:35690:1 gene:WBGene00085768 transcript:CRE02463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02463 MIIMNISAVVISAIGVVSAVFTSVMNILLFRNYKKEKDDILIFYCRFVVDFLMCFLFTCYLLFVIFYSLFTENLFDYHNFIIYISLPASSLKATRSIVNLSIYMERVMAVYAPIFFHKHKQFFSPLPIIVIAASFGLTEPIVLFGFCRYDFDYQKTCAALGCAVNQCFHNYWSTHKLVRFLKPCAITASNLFSLLDHIRIYFLANRLAIIDAAIIFCSDFLPLLASRLVVFSFQNVGPHGAVLHSVGLAFESFIFYRTLSQKSSSHSSDSTIHKTKVKTCKF >CRE02523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:110438:111445:-1 gene:WBGene00085769 transcript:CRE02523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02523 MSLNPEFKFAKLPWIAGRQFFRHASVVDLMNIAQATQSTDWLTEFSSVPTNFSVDFLSFGDKLHDHWEIRMAFADNDSFIWNLWPNTSKTCGTRIKWKIGKHGLYTKIEKPNNPADPAILHSFMIGFHRTFVDVVGWLENLFKCRVNKVDTHYTTIMVQECLTNWPPLKNAKTVTMHDKCNYSAFLNDILPARQANGFRTFIHNVEYENPRRDMSYECLSSLDFKMASLDFSILDNQEIMKYIENLMASKISPNFEVLVARIPFW >CRE02492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:189054:190283:1 gene:WBGene00085770 transcript:CRE02492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02492 MNINFQTNAWTLVYFYIVGVVSFILGAFTVLLIVLRGNYKDARFTSFILLYQLCSACTVLQYTLFTQPISLFPILGGYCNGFPAKYLDIWLHYLLGFLLSSIILQIGSLVFCFIIKHQSIGFILKHNVISDHIYNVGFIFLAFTIIFSYFCFNKIGMNREEQLTFIRGKYSNYIVQFSDLSNYVVYDFNNWFYVLIVYLIFVFGVCSFLYIFTTIDMLKMVQALKKTVSATSFQRYRSAVRSLIAQLAVSAMLLLTLMGFLFLSFGRFENAQVFTQITLNICALHSSVNAIIFIWTTPRFRKLLFRNRFSTGFHVFNVKMPVVRKIQRGSTINNISTNMIAVS >CRE02512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:51391:54048:-1 gene:WBGene00085771 transcript:CRE02512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02512 MAENLRATATDGLLETNRRNRTRKRSTLATLLAVLLVILAVLAIGFLTFLLHFNHPAHNRDCKKRVIGYYEDTDSVEIRVTHIVFSHQFLSAKGTIEFKRHRTKFRFEKLRNKAKSCGLKVMISIGHELHSFLDNSTEFDRRCEFLNFICICILNFINCSKLTDSIVSFLEENQTAGVDLFWKWATTSNKSSYLLFVKELRLKLLEKNKEYILSVTAAPKWIPNDWAVRFDLEDIIKHVDFMNIFSMDFFTPWEWQTGPTAPLYHDIAPRENFTVDYTMNYYACKLKEISKLNLVTPMDARIWRNVKTTLPGSEVFRNVELVNGKEINPTIYKSRSLATESGIKFDAAAWDEKTKTSYIFDETTRTFSSFENKKSIEAKLNYVNEKHLGGVWI >CRE02546 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:213729:214078:-1 gene:WBGene00085772 transcript:CRE02546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02546 MFTASLFSAPRPTAGDEYRAELVSSGLSQQAVDGILNISGTAYVSFAENGANANFGNAIDAVTKWKMTIENFVKTQSKEDQDAYGKFSKKQEEEYKKRFF >CRE02508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:15626:16531:-1 gene:WBGene00085773 transcript:CRE02508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02508 MICVLNYFVMQRIVTDGDHIFLISTGSCTHLGPTACYSGFMFLTCFIEFNLIWIGCSYWFRYYTLHCHDLKARTIRLIAFCQIVVLFFLVTGWISFFNPKTSTVPAELLSMESSEMVILGGPLVYNSTITVFAVLLISVCLVLILYFWIRDVLINFRAATYEVENMNMLLVKVYKIHVILSMFTLLGIAIFFLQFTKTIEHHYLIYTTSLLFMISPILSPFSYLLFLPNLQKHFNTRRVEFEEPEFHERRRSSRYQSVTFMTSSTTLVP >CRE02481 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:150046:150477:1 gene:WBGene00085774 transcript:CRE02481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02481 MLGNEYLIFVCSGLFTMFVWTQIFFFFAKTVNFVFGIKSQSQRTTQLQRQFFIAVCIQVALPFVVIMIPACYILSTIYSKNFDIAFTNFSVIMITSHGLFATILMLLIHKPYRTETLKILGIKKFYKSNKVAVVRMPPCATQN >CRE02464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:38898:40341:1 gene:WBGene00085775 transcript:CRE02464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02464 MKVITQKCEVCSKHPASGFNYGACVCNSCKMFFRRVILRKNITDCENEGVCISKCRPCRFQKCLQAGMTFNPYSPGSDLHNNEVILFAIINNLQHIDNHREKLFNNCLFNGDPSFTEVLGTLNFEEKPPDLNYIYKEWSFIQSITGIDFLMKISFVKKLELPDQIVVIKNIFIQFSIFSIAHSCYTTKLSRLSFPNGSEIPEPNIYGVSDNLRIRIQGRLLARLIELKVSKQEFLLVSVLLLCDPCTPNLSDHGKILITSQQKYYASALLQHCLINYQSSGPSRFTDLLFLHQAISSTIDDLKAHYQLCQLYQASNWLHTKKIFLCID >CRE02477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:113372:114314:1 gene:WBGene00085776 transcript:CRE02477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02477 MSGRFHGKVTIITGSSNGIGKETALLFAKEGAKVTVTGRNLERLDALKQKLLDLNIPESNFLVVPADITTSSGQDELIGKTLEKFGRLDILWTVLAYYPMAKAAIDQYTRTAAIDLIGEGIRVNAVNPGFIKTGFHESELGWTPEEAKKFYQNMGANRSAIPCGFAGRPEHIAEAIAFLADHKTSEFIVGQNIVVDGGTTMVLGVHAGVNDSLVRTE >CRE02506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:12471:13579:-1 gene:WBGene00085777 transcript:CRE02506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02506 MNISAVVVSSIGVVSAVFTSGMNIFLFRNYKKEKDDILIFYCRFVVDFLVSFLYTFYFLFAVFYSLFTENLFEYHSFIIYIGLSCSNLKATRSIMNLSNSMERVMAVYAPIFFHNHKHIFSPFPILAIAASFGLTEPIVLFGFCRYDSIFPKTCAGIGCAVNQCFLTYWNTHKLIILAFIFSFTILVCLKLFLMNRGNESRQLSRANRLAIIDAAIIFWSDFLPIVARKYVVFTSQIVDPNGAVLQSVGLAIESLLFYRAISRKSSVQSSDSTIQMFHKTRVKICTC >CRE02498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:223837:224106:1 gene:WBGene00085778 transcript:CRE02498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02498 MISRTKEIIPFLGVSIIILTISRTKEIIPFLGVSIIILTISRTKEIIPFLGVSIIILTISNPGGFKPASTEENLSPDKYRLLQRHARSV >CRE02470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:75665:76542:1 gene:WBGene00085779 transcript:CRE02470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02470 MMALPERSLNAYLRIDSLPEYQNFSYHFDYVTIIMALLFIFYIPSFCCTIKMLTFLLKTRTQSDSKDIHPYVFKSFLCMQLSNHIAVIFDYIVARIPNTSLVTFYFSSFNPDNIFKYFVAALYLFNYISQLYTVLFCFVRVLILFYPRTHSKVSFHICIVVFKIWSFVSFIFSLAASFPHIIHDFVGIQLDFPFQYGAIALTTTFAYGKYFQVMADFIFSAIVIICIVIMTSMMLMKMRNLKLM >CRE02558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:266356:267452:-1 gene:WBGene00085780 transcript:CRE02558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02558 MSLFDIAYILTKIGFCISFLSNFVLIYLTIFHVKQVFVTYKRMVVYFAVIGILFAGLEVIGRPFAHNFNGSLIFFSSSKLGVPHELLLLSISVWGGFYSLIIAFIAVQFVYRYLSLFGTKAAKKFDGYGTIVWGLYPIIPGAIYTYAFHIFCRPNDYTDGYMRYIFLHVCFNIFPFFRNEVLSTYEFNISEVPRFIMVPYDSDGHLRIESLQNISVDLFLVCSHYLIIIYCGLGMHFNMKKELEKFSVPQQKLQRQFFKALVIQSLGPTIFLVLPAAPVLLTPVVAPLLDMEVHWKTGWLFSLIGLFPPFDSVAFMLIVTEYKKIIKKKFLKQEPEVPKDPSTTALSVRMV >CRE02486 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:169996:171441:1 gene:WBGene00085781 transcript:CRE02486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02486 MSSTAELQIINNATLLINDFLNTGRFSFDHLSTRLPVITELGSFIGTLQNEVPKEPRIREAFLNQEDLMCQLTDKMNRNWDVLKWTMSGNSMFAEVAQEAGTVTNFMLDAIRHPCGHSIGLFGDACNKMSPLMNGYKLITLLEQDSTNPLKVAMNADDYKRTDTFEKWRGILDGAMTHLLFLETFSIGMFWQQNMYGPENLKRKIERMNAKFDYARDEYKINYWPDTVQQMLFKVQNQNQSYGNLEKAKKIQTILRQVLTDDVFYIIVYDSCQGNSFHCNDNQHITSSCQDGSNIVIYRSKEWGNAEKGDVEKMKEDVEKCKEKAKEWIMRLGDLPKWIMTNHVKNSAFVGLIREDNNVQILSAGNFYQKSTGPGHWTNISLGNAEEKYFLIAGYK >CRE02511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:40467:41062:-1 gene:WBGene00085782 transcript:CRE02511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02511 MCLLCPFDFRNFPRNQVNVSEFYHRSWKFIVYRHAACGHFWIQKDYSMRMHIPCPRCYQTSTEPLAAFISLQEAQEYNVFVICHQQHEMIQLKVAQNMNEKIKFIEMTKPKDEVYYMGTKVTRPIMDGSFYEKWVNLSAKDLYLCIYFSSTGKESTGQFLNQAALING >CRE02542 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:208616:208981:-1 gene:WBGene00085783 transcript:CRE02542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02542 MNREFGLKWNRIIDEVMICNPTSFELNYMLLQLCLHNAGKKHQGNVLEATERLLGILADNLHAYYSNKIRTTNYSGRIAQMMKINRMIEVELRDRIEKNSLANVFDLYKVEYSHSEMFDLV >CRE02459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:6391:7597:1 gene:WBGene00085784 transcript:CRE02459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02459 MPTSFLHLNFIAQTVGTFAFTISSFFGIIVIVLTLFGVRKIFGTYKYLIVTFTTVSIGFACLEAVFHPNLHFYNNGFVYFSLSTPFALNKDTLKVIICELPIKNNNNFTIILAMYAGVYSVTISLLAVQFIYRYWALFSLKQLKFFRGCKSLIWAVYCLFFGGMLWIGAYYLLEMDDVAEKYFEEEMLIRYSVSVKEIPLKTFLTYDPEDGSIRWKNVSYSVLMNSIMTIQYGVMIYCGWNMHSKMEQNISHLSVALKRHHRQLFKTLVFQISTPTIFLFSPLILIIYLPYFQIKLSLPGGAAMCLFNVYPSMDSIILLIIVTEYRIATRKILNGVMTKKQTTGQIELSTIGTIL >CRE02491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:187464:188683:1 gene:WBGene00085785 transcript:CRE02491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02491 MSINFQASTWTLVYFYIVGVVSFILGVFTVLLIIFKGNYKDARFTSFILLYQLCSACTVLQYTLFTQPISLFPILGGYCNGFPAKYLDIWLHHLLGFLLSSIIFQIGCLVFCFIIKHQSIGLVLKHNVISDHYYKVCVTFFGFTIIFSYFCFNKIGMKREEQLTFIRGKYSDYIVQFSDLSNFVVYDFNSNWFNVLIVYGIFGIGICGFLYIFTTIDMLKMLKSLKKTVSATSFQRYRSAVHSLIAQLAVSAMLLLPLMGFLFLSFVRFENAQVFSQITLNICALHSSMNAIIFIWTTPRFRKLLFRNGFSTGFGVFNVKVPNVRRLQRGSTINNISNNMIMVS >CRE02553 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:255963:256381:-1 gene:WBGene00085786 transcript:CRE02553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02553 MSCEKMLENVLREFTARLNGVVIRERLLRIMLRMMYQRITGEEQPNADHEENKENIKPIVPE >CRE02518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:67290:68407:-1 gene:WBGene00085787 transcript:CRE02518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02518 MNNSLFQSDGFTVGGVGALFIILSTVSMVTLLILVFPCYVLVNRANREKDKQLSVYPILKHFYQSICIFYCIIGIGVIYIIYFAILNRKSEEVRFYHGLLTIPIVMIGLIMAIFVETHNFIIALLSLQRFLLVFSRNIEKYIKPTEQESNKYLIGIYVAFYMGHFVYFGWCTYQDNQGGVTDSTIRIYLIFYIILNSILLLSGFLYIPIMLKIRKLASLSSTIQNRHQQFILYQTCSTVAFKLSHSYIIWYVEFDALPVSSYLFFLLFFDLISTPVLIQTSYLLCSKENFDILQKKLNIEKLKSFSLSARTSRVEPIELQNQTV >CRE02534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:168943:169292:-1 gene:WBGene00085788 transcript:CRE02534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02534 MFTASLFLAPRPTAGDEYRAQLVSSGLSQQAIDGILNISGTAYVSFAENGANANFGNAIDAVTKWKMTLENFVKTQSKEDQNGYGEFCKKQEEEYKKRFF >CRE02520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:77064:77475:-1 gene:WBGene00085789 transcript:CRE02520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02520 MFYFMINLILILSALLYIPIMLKVQKLSRVSSTVKTDQYILFQTLTIVGFKASHSWVICFATFMEYPAIHYILYLFTFDITSTPVLIQVSYILCNKRHLEVL >CRE02490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:185911:186954:1 gene:WBGene00085790 transcript:CRE02490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02490 MSINFEPSTSTLVYFYIVGVVSFILGAFTVLLIIFKGNYKDARFTSFILLYQLCSACTVLHYTLFTQPISLFPILGGYCNGFPAKYFGIWLHHLLGFLLSSIILQVGCLVFCFIFKHQSIGLVLKHNVISDHIYNVGFTILAFATIFSYFCFSKIGMKREEQLSFIRGKYSDYIVQFASLSNFVVYDFNNIWFNVYIVYGIFFIGVCGVLYIFTTIDMLKMLKSLKKTVSATSFQRYRSAVRSLIAQLAVSAMLLLPLMGFLFLSFGQFENAQVFSQIILNICALHSSTNAIIFIWTTPRFRNLLFRWVTSLFYRFRRI >CRE02485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:165538:168251:1 gene:WBGene00085791 transcript:CRE02485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02485 MLRALSVVAQFGEPLISNCTDGILLTLWKRKSNQTIINQSKHWFYSNPPSWSSFMSRSVDSSNLHIQVCIDHRNKSTVSNTIIHQPFSIPFYDSVSISEEFIDSPPVNSRKRREILVASLVIFLFIFVFSTLIWYYYTNPNIECGKRIVAYYRGWGGRKVSDGQLSKLTHVICSSAVLNSQRNVSFGSKSREDAFSELVENSRKVNPGLKVIVSIGGGSQKSVDLMIESEGHENSTVPQSILSFLERYQADGVEFQWKHWPKDETNHQLLILRKIREVLPARYLLTLSLSSSEFPTTDYLTLDDLLNLVDFVSLTSFDYSASPGPFAPIASKSSQRNVEHTSKSYYCQTKQWEKINMGVAFYGRSWKNVLEPVHQMNETWTSENLDGDSVQWRKILKKADIFCSRMG >CRE02465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:42282:42491:1 gene:WBGene00085792 transcript:CRE02465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02465 MSQPSLGADSGCLDCEIPNGKAAETTKKNRESGSRSYPELGSADKGGFGCKYYYHAASMDNWEEEEQSD >CRE02548 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:218725:219075:-1 gene:WBGene00085793 transcript:CRE02548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02548 MFTASLFSAPRPTAGDEYRAQLVSSELSQQAVDGILNISGTAYVSFAENGTNANFGSAIDAVTKWKMTMENFLKAQSKEDQDAYGKFCKKQEEEYKKRFF >CRE02494 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:200576:200961:1 gene:WBGene00085794 transcript:CRE02494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02494 MVSVLYLINQLKMFTASLFSAPRPTAGDEYRAELVSSGLSQQAVDGILNISGTAYVSFAENGADVNFGNAIDAVTKWKMTMENFVKTQSKEDQDAYGKFCKKQEEEYKKRFF >CRE02538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:192867:194097:-1 gene:WBGene00085795 transcript:CRE02538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02538 MPASFLHLVSIAQTVGTVAFLFSSFFGIIVIFLTLFCVRKIFGTYKYLIVIFTTIGIGLACLEAVFHPNFHFYNNGFVYFSLSSPFGLSKDTLKVIISMYTGVYTVTISMLAVQFIYRYWALFCLDHLTYFRGFKSLIWAVYCIFFGGVWWMRVYNLLEMDDVTEKYFEKEMLLRYSVSIKEIPVKTFLAYEPEDGLIRWKNVSDSLLMNSILPFQYGVMIFCGWNMHSKMEEKISHFSVALKRHNRQLFKTLVFQISTPTIFLFSPLIFFVNLPFFEIELSLPAGAIMSLFNMYPAMDSIIILIIVTEYRMAARKMLNAVMRALFRTKNSSTSQATGQIELPTIRTIL >CRE02526 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:125009:125747:-1 gene:WBGene00085796 transcript:CRE02526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02526 MPTSFLYLVSIAQTIGTFAFTISSFFGIIVIYLTLFGVRKTFGTYKYLIVTFTTIGIGLACLEAVFHPNLHFYNNGFIYFSYIFPFGLSKGTLKVIISMYTGVYSVTISLLAVQFIYRYWALFSLKQLTYFRGYKSLIWVVYCIFFGGIWWTGAYSLMEMDDAAEKYFEEEMLIQYCVSVKEIPAQTVLAYEPESGLIRWKSALYTLLISSIMAFQ >CRE02545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:211632:211984:-1 gene:WBGene00085797 transcript:CRE02545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02545 MFTASLFSAPRPTAGDEYRAQLVSSGLSQQAIDGILNISGTAYVSFAENGANANFGNAIDAVTKWKMTMENFVKTQSKEDQDAYGKFCKKQEEEYKKRFF >CRE02529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:148491:149575:-1 gene:WBGene00085798 transcript:CRE02529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02529 MSCTYRNNYFESDEFLELALHSLSVIQVPLHTLGAYIIIMKTPNEMGKMKIPMLLVHLTFALYDIYTTTLAFPIIIFSICSGYSIGVLSSIGMPISIQCYIGLTLFLLYGPAVTMFFENRYNYLVRLDCDTSSRRFKRAVHYFINYFITLNVLMPSFINMPDQSVAREIALKKLPCLPLKIVNHPKFFMLGNEYLIFVCSGLFTMFVWTQIFFFFAKTVNFVFGIKSQSQRTTQLQRQFFIAVCIQVALPFVVIMIPACYILSTIYSKNFDIAFTNFSVIMITSHGLFATILMLLIHKPYRTETLKILGIKKFYKSNKVAVVRMPPCATQN >CRE02500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:227433:227702:1 gene:WBGene00085799 transcript:CRE02500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02500 MISRTKEIIPFLGVSIIILTISRTKEIIPFLGVSIIILTISRTKEIIPFLGVSIIILTISNPGGFKPASTEENLSPDKYRLLQRHARSV >CRE02501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:227787:228056:1 gene:WBGene00085800 transcript:CRE02501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02501 MISRTKEIIPFLGVSIIILTISRTKEIIPFLGVSIIILTISRTKEIIPFLGVSIIILTISNPGGFKPASTEENLSPDKYRLLQRHARSV >CRE02540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:203918:204664:-1 gene:WBGene00085801 transcript:CRE02540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02540 MLIVTYILALILQVICALVNGVILCLFVKLDSLLKNKHLRLVLYLSVAHFLDAILGFPYIIYMTKNWDPIYFELDPLFILVSEIPVPIGFKFSATATIGVALSRCMAVFSPGTFRKIEKICFSEIVSITGFILGMFDACLSLALSPITRIPNCGTAGCFLSNQFLYYWGISNMIFGFIVIILSITLLVKIQLMDGLKALGSVVSTSQEKRFQQVIFRTNY >CRE02543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:209289:209741:-1 gene:WBGene00085802 transcript:CRE02543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02543 MSGTPATDPPADGHPMEIDPVFPPPKTAEKAPSKNPIGRFLKLFNKNHKSTVHASGTSQMNNRSSVLDST >CRE02532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:154898:155525:-1 gene:WBGene00085803 transcript:CRE02532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02532 MSFNTPQSFLHYVRYAQTVAQLGFFSTTFFCFILVFLTMFGVKRNFGSYKYLLILFPMVGIFFATIELLLYPNVYSHNAGYVFYSTSRPFNMSQDVVTWFLAFYTGVYASTISMLSVQFLYRYWAIFKETKLRFFKGWKFLIWIAYSLSFGFQYAFGIYYFDKIDDYAKNYFRFNNNLI >CRE02550 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:244941:246281:-1 gene:WBGene00085804 transcript:CRE02550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02550 MTSLNDIRLNTNSITGALQAYEVFISVYLITNLTTSQLQTLTGFMYLSALNQDRNNYFHVYDVSYTQTISYQLNSQNPATITTLFLNSDNSSSVTFSRWDQYPDSQVTLYDGFRSSVAERANTQIFSNPLYFQTFGISFSNVETFSVPSRTFHMKSLGGISFRIQPGENQKLVATTAFTTTGLHVKRADQESSIVSTIPGSSSVQVAVYNNPPDNSYYNTTIIPGNTVTSWSIPFVGDKLDIKSSRDNISTIFTQFYVIQGPPRLTTTTQAPPTATTQTLISTSTTTTLPTTTSIVQTTPTTTNPPQSITNSSSTTTTTTTVATTTKLSSVTKLFVTAFVTLSFVFLL >CRE02544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig81:210063:210975:-1 gene:WBGene00085805 transcript:CRE02544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02544 MQYELTDILTKLGFLLSFLFNSFFIYLTVIYIQNVSGLYKKLVIFFSIVGILFSGLEVYARPFAHNFNNSLFYFSLNNSGPESLVIFAIMLWAGFYVVIVSSIAVQFIYRYMCLLDSEKTMNMSSLKNLGWMFYPVLPGAFYTGALYMLCWPDEYSDSYVRNVIFDNYQLDVANLRRFVMTPYNTDESIRWNNFTFHVIAAVLVCFHYSIIIFCGFRMHISMKHELEKFSVQNRKLQKQFFKALLFQSLGPSIFIFMPAVPVLLSPLLPPVLGIKINWQTGWLYLSRN >CRE03530 pep:known supercontig:C_remanei-15.0.1:Crem_Contig810:3007:4053:-1 gene:WBGene00085806 transcript:CRE03530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03530 MIHYRLFAFFLLFFLLPFQFSNAINCFAGSRGYIKGKQEQKFVNETCDEGMKYCLESYTEDFDSVTASCQTLSTSRRILNICESGKPLISSGLTTRCCYDDLCNNIGIEKKLSGLKNK >CRE06205 pep:known supercontig:C_remanei-15.0.1:Crem_Contig813:10755:11365:-1 gene:WBGene00085807 transcript:CRE06205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06205 MSGDLKMKILSLDLSGNKNASSMEVPKNKENVSTWREKILRIRPTSPQPETSSDSGDVPLTPDLSSNTSTTDSDMVTKIINRPVSFVDTKSVSIEVKRWLEISQVCEEWFATKILKRWRTTLTDAINNPKDWNVGIRNNNNMYAKMYNWMSMTEKQRQEILRLMNAPITDTYQTDLSLGGILEELPKS >CRE12394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig816:2911:3558:1 gene:WBGene00085808 transcript:CRE12394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12394 MVDTEPGPTRERPDIIMIQKDGPEVLLADVTVPYENGVVAIEAAWDWKMQKYSHFIDYFARLGKRAVILPLVVGSLGTYWPDTSNSLRMLGLSDGQIRNLIPDISMIALESSKQIYWRHIFGDSYRIVSDLYCRKDQQEIRFGDEPMENVQVSDRFQPFKTREREKKSEEEKKRRSKSKKGKTWRGSKKQTDSRQSGKSNQNQGFQRSVGQGVSR >CRE12396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig816:383:2725:-1 gene:WBGene00085809 transcript:CRE12396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12396 MAEAFIQDTEWEMEEVEEDVKNFRRNLLEELKPNYEIFAEKIKYYNSPGKSFAPSRPTSRSSQCAVCPTCSRSATPMMDVGNMEVDPVPQQQETPKSREPEQDEGWKVVGNTRKRGVVTERSPNISPEAKRQFTGPEIKVVSPGKFHPLVGETEEMEVTCDSPPTKEPNTEPKVTPSLPAMKIASPEVTKKQTSKKKGKYGKKNLQAKTTQPKGESSKKAQPKGGPTKKAQPKGEPAKLIEQVRTWFDRQMESYQEQGSNIQTLTWIADSLTAAIFKANSGNKYLVDKITARCPPPLLNEGEMATQTSRRTEAVKPKDRFVKESNEPLRFQYAKNRAKTFNVIIGKHSARCEIDINVVENHFRQTLKAQPVTEEALNTVCSGIKKANVDPSIEGPISSGEVKAILAKIKDTSPGTDGVKYSDLKWFDPEGERLAFLFDECRQHGKIPSHWKEAETVLLPKDCTEEERKKPENWRPISLMATVYKLYSSVWNRRISSVKGVISDCQRGFQAIDGCNESIGILRMCIDTATVLNRNLSCSWLDLTNAFGSVPHELIRRSLAAFGYPESVINIISDMYNGSSMRVKTAEQKTQNIMIEAGVKQGDPISPTLFNICLEGIIRRHQTRKTGYNCVGNDVRCLAFADDLAILTNNQDEMQNVLNQLDKDCRSVALIFKPKKCASLTIKKGSVDQYARIKIHGMPIRTMSDGDTYKYLGVQTGNGGRASESESLTQIAAELQMVHDTDLAPNQKLDVLKAFILPRLQHMYRNATPKLTELKEFRTQS >CRE12395 pep:known supercontig:C_remanei-15.0.1:Crem_Contig816:5191:8913:1 gene:WBGene00085810 transcript:CRE12395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12395 MVKSGERVVVKRQNLEKVIQNLARINSTLVSNLGNQIICVVPRIKDSTNKEQGYRKEKQMKFHVSFRSVKSQVPPYLRGGGDVMEDTEIRGIRKLEPEAQLDSSKPLICRVLYPTQGYMYKCFYPKCKGHSNGSTDLRSLKKHMVDKHFTNIEFAYKCATCMFLTTGKSATALKSIKAHMASHHKVTMEPGKKSLVQKLNARLEEAAPSLPMPRNRSKVIQLTPEKSISELEKKKQTRSVAKQLSTLKESAQKKEEEVKIAEVKKREPRLSIIPESNVRRSLAAGLEQCINPEQSVAQRIREKREEYAKASREAAAKRRSSLAMKPARLPDKEKEITLQETKKIDDPIVIDLEKECILTAVLQVPRNQFNSWCLEHETTIDAWLTDEVIHMYMCTITENRKYFMAIDPVLWPVYVRNGAEDLLRRTSCPGTFFFPICESNHWVLLVIEHDVYWYLDPKGEEPKGNVEILLESMKRKRQYYEFPPPSQRDNVNCGVHVCLMAKSIVDECGYNWYPEEDVRSFRTNMKNILKSKGYELCPEPYNRQNLLKTEKQKEVILEEMIDSFVVEDDMTFTVHRDSDHGDDEVEHLKTIEQEPENEISEIENVEGSVDSVIPKLMEMRVQTPPVINEKRGKKRVSVKEKPRKQKEKEQKVPTGKPDELVKRVRVWFEKEFRSYVEDGKNFQRLEWITDVLTAAIQKASAGDEKAVELIEKRCPPLEIEEGEMCTQTEKKSKPKSGKGNGGQESMKSLMASYNENRAKTYNRIIGKHSKQCEIPIAKVQKFFEGTTAETNVPKEILKEMCSRLPKVEVGTWIEGEFSESEVTEALKKTKDTAPGVDGLRYHHLKWFDPELKMLSQIYNECREHRKIPKHWKEAETILLYKGGDESKTDNWRPISLMPTIYKLYSSLWNRRIRAVKGVMSKCQRGFQEREGCNESIGILRTAIDVAKGKKRNIAVAWLDLTNAFGSVPHELIKETLESYGFPEIVVDVVEDMYRDASIRVTTRTEKSDQIMIKSGVKQGDPISPTLFNMCLESVIRRHLDRSVGHRCLKTKIKVLAFADDMAVLAESSEQLQKELTAMDADCSALNLLFKPAKCASLILEKGKVNRLNEVVLRGKPIRNLMENETYKYLGVQTGTETRVSIMDHITEVSREIDLVNMSQLAMHQKLDILKAFILPKMTYMYQNTTPKLSELKVFANLVMRSVKEFHNIPLKGSPLEYVQLPVGKGGLGVACPKNTALLTFL >CRE11564 pep:known supercontig:C_remanei-15.0.1:Crem_Contig817:11247:11887:-1 gene:WBGene00085811 transcript:CRE11564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11564 MNCFVAMSFNTSLFILIVAFSSLALCQKDEKKSGPPGHFLFGPSYLANLTKEEKQEYYGIFQNQKLTIKQQEEQRLAFAKKHGFEQAIKDDIKLKEENHEVVRKERPELIKNLLTVHNELMKIFDNKDQTLTQQEAAVSALRDKYPNAPATLYYISRLITGQDRRVKPHHKHH >CRE11563 pep:known supercontig:C_remanei-15.0.1:Crem_Contig817:9422:10812:-1 gene:WBGene00085812 transcript:CRE11563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11563 MNSTYTQQWKSIQNGLQHVSETVSLVINSLLICLIIFKSPSKLGPYKYLMIYISVFEILYSIVDFLVTPIFYSFGPALIVIVNLKESLFNRFFSYVFLSVFLVHQWRFLGIHFIYRYLVASGSVDITIKQLSFCFSHQLLATFSTWKITLWLSLPVLYGVIWGLGSYYACGPTDYTSEFVKMDVLANYGLQMEEIAYFGLHFYRKNGKGETFIVYEQVFGIIINIFLIDSSLFSAIYFGVKCYHKINDLASQISTRNKSLQSQLFYALVIQTLIPIVLMHIPVTIIYSFAFMGYGMGTICGIASITISMYPAFDPLPTIFIIKNYREAVLSELMGFHEILTEINFRFHSFLYETEYSTNGYYFRRNGKPEPKCSECIKSHLDVNYLNDISFSLHFFLFFFSYLLALASPIFSFS >CRE11560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig817:5528:5863:-1 gene:WBGene00085813 transcript:CRE11560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11560 MLREDTVTQLSDGRFEQKIQVDRRKLESMITGRVDNTTHQLPTAESFFANVMAYSNSEVIWPSQLKIGAKTKKDPYNTYFE >CRE11543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig818:5958:6767:-1 gene:WBGene00085814 transcript:CRE11543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11543 MPNNHSRNRLTDNTRLLLTKRRCTNRSDPNFKTLSKECRQAVKKDHENFTKDRLLNAANQKRSLKKVARDINEYQSYIPCLISGETGEKLTSREKMEIEVRRFYANLFATKKPSATTTLPQQTEALPPFLPEEIQHSLNSFQNGKAAGEDKISADFLKSCHFTVHKLLAKKFSRYLKEGKVPTKWKSSKTTLIFKKGDKENLENYRPICLLPVLYKAFTKCILNRIRNSLEEAQPVEQAGFRRSFSTIDHIHSVQRLLEVGRDTKYQSQ >CRE11541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig818:2134:2552:1 gene:WBGene00085815 transcript:CRE11541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11541 MVQDVSNALVYCHRRGIIHRDLKPQNILHSNENIFKISDFGTATDERDNTYCGTLDFMAPELLCRVKQSTSVDCFALGLIVHLCNEGRLPFLLADGQECDEMKTKCSYEPPADMILKIQEVTRN >CRE09689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:277646:281904:-1 gene:WBGene00085816 transcript:CRE09689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-stn-1 description:CRE-STN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MX12] MAAVRSGLVDIFVQGQWHRVLATLDPTAITLQTMEQNEVEAEKRTVRVVKYDGNGLGISIKGGRDNNMPIVISKIFKGMAADQTGELFLDDVIISVNGESLLDASHEEAVRALKRAGRVVDLQVQYRREDMMHRENIVENVEWDDDIRERVRTIGLKLAYVARAGIDADAEGRILEMRSPSGRYSLAMRCSSSEEADGWFEALHACTTCLLTQALAQVNIMLGNNPQVRHMGWVAEQVSENGISMWKPKFMTLTNSEILFYEAVPQLKAEWAEPRLVRPLVATRVVQTSSRSAPVIKGLTDVISFRMRTGTQQGVRTHTIRVETHAELARWVRAIVIGGYEACLATSQVSAPCLWRGESCELIVNLDNGISLLSSAGEVRIRKRKNYNKKKIQVLWQHSFETIRATGDDGGRFLWVDFGPPHGEQELDLLNSAKPVVFILHSFLATKVYRLGLYA >CRE09703 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:365291:366670:-1 gene:WBGene00085817 transcript:CRE09703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09703 MTNKLSYPGLKCVLEYLEANRRIYITARSPALRRIEKSIPIHLKFLEVEKDDICVNDIQMRVYPYNKIMFKKGEKRYEWDYPMDLKHKKAVEMLNEYYLGGRMNIYADDLWFVNREAESFPKFNVTTNKLTILDFLLGDILQYINPSSFPLKKLAIECYHDFNHPHIRSANSLRILLMGDDEKHENAANILSIQNKNVVLSYIELEFVDVMGVLQYWMENGKEVGTTFSCSDYRCVVVDGILNQLRNEFNEIMSELDGASDQNLVGTPGFSIPLNSSSKILVYGRRNSENIPNEKIVLKVVSSQADDNTMEMEHQ >CRE09704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:369320:370334:-1 gene:WBGene00085818 transcript:CRE09704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09704 MTTKKLSYPGLRCVLEYLEANRRIHITARSPALRRIEKSIPIHLELLKFRYRIKLNDIQMGEFDDSYIQFVRGEKFYYWEYPINQTGDDAAQKIKEYYLGGRRSIYADHFWFSYIEVRNFPQFNVTTNELTVRHFLLEEILQFINPKSFPLKEMTIEYYDDFNHPHICSAKKLCVEIRDGDKNEYSNRINAIQHKNVELIYDTLEWTDVMGLIRYWMENGKETGTILVFCGRDDDRHDEILTKLRNEFSEILSELTGVDDQYPNGTPGFSIPLTSSSKILVYDRGSDVDEELALKVVSSQADDNTMDV >CRE09697 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:341100:341587:-1 gene:WBGene00085819 transcript:CRE09697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09697 MPHLLTTVVQRLHSFITHLLILFFASIIFLYFLIFDKEKKDGNQMVTRHESNQLVKYTGTSRNFKINVVGNSIQLSTWSQQIDIQNVVKMSNPTFDLQKNRLIEEKKKPKQYSMIVSLQENLLIQRMNNFC >CRE09701 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:357682:359967:-1 gene:WBGene00085820 transcript:CRE09701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09701 MGDENSPHCPRNLQNLGESYKHDSLKIVMYRKDESEEYRQTGKAVCVECMQLLTVQDGSHVNRHVKERCKKIKLVATTGEDEYNEKKLSAALISKLTDASTKFCLRSGKAFNFCTSQSVNNYTLDVLNAVSSGYGLDCLKQLPTRTTIQKYTEELAKDLVLKAFNTVRPFAGNRLNLILDHGKLINNYLSVMGSYIDEEFKLMVVPLGFTPALDGKSTLETKNLIVKRFEEFDIPEELVLSSSVTADGALSGLSNYFKGYIRCVSHSLNLVAHRAVVPLDIHKNRMTTEELSTLAAVSDLMKNAQKVSNAIRTNVNLCSRLSRLPVLCVETRWIIGIKCLTDVVELSEEIQANFSALSSIGKQAFSALNLDNFKFAKTVVKFFEEIELYTNVFQSQKSVTMHLVLPTYKRLRARWEKFRNFDFNDLKDSDIDNNVIVTLSSSALHSLYHYYAEFDDLHFASVMLSPKTKKMNAFLDSEVRRAKRAIVNMIPKEATPVRVLEPTAKRDGIDSLYKLVSDSPEEQTEFDEFTQYLSEFVNYGSTETVEEYWRKKRNEFPLLFEVATRVFSIVPSEAVCETAFSTASYLLDKRRSRLGSKKAELVVLGSQIATKFPEWI >CRE09657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:319022:319769:1 gene:WBGene00085821 transcript:CRE09657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09657 MSQVRQKVLFSQAYEKAKAQHMLEISQLYNKANTLSGGAFRRKLSKIDRKVIRWNEQSNRQDYYDLHGMSKLGAQRYVESIVAGKTGKFRFETGRDLHSTNNVAKIKNALLEEYRERPRCSIRVDSSNYGVLVLDKW >CRE09690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:283156:283766:-1 gene:WBGene00085822 transcript:CRE09690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09690 MLSRKRKKGISKFANSKLEIQDSGFRIEDSRFEIQDSGFRIQDSEFKPQDSGLRIQDSEFRIQDSGFRIQDSGFRIQNSGFRIHNSRFRIQDSEFRIQDLRIQDSEFIIQDSEFKIQDSRFEIQDSGFRIKDSRFEIQNSGFRIQNSEIQNLKFRI >CRE09645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:235321:235729:1 gene:WBGene00085823 transcript:CRE09645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09645 MSHVDRYDMNKGESEKERKRSRSRKAPPTRSTIDNRPPWNNDTYIEGYEDVDEHGKFRKRGGGMPKTNDRQVRGGQTCSIDNNDILNLYQGLSRQLGVSELKL >CRE09705 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:370732:371654:-1 gene:WBGene00085824 transcript:CRE09705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09705 MKFILLAAFFLLAVFVTSTDAANTSGICIMCSGMIQIPNNWKDTQQLLSYGCKSLGEAANACSHMVEEADLTASYPRMFPYIIQLKDIGCRKFCQ >CRE09662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:361926:362976:1 gene:WBGene00085825 transcript:CRE09662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09662 MTNKVSYPGLRCVLEYLEANRRIYITARSPALQRVEKSIPIHLKYLMISNNIDLNYIEMGVYSDTALYKIEFKVREKSFLRPYPMHLTCEEAAEEMHEYYFGGRLNIYTDNFWFFKREVENFPKFNVTTNEMTVYNFLLNDILQYINPNSFPLKELTIDCIDDLNHPHIRSAKKLCVEISDDQRNESSTRIHAIQNKNVELEYDILEWTDAMGIIRYWMENGKETGTTFVCKGYHGDSNDEIVTKLRNEFREILSELTGVNDQFPNGTPGFSVPLTTSSKILVYGRRNPERNNIEEIVLKVVSSQADGNTMEIKNQ >CRE09666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:403376:406689:1 gene:WBGene00085826 transcript:CRE09666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-calm-1 MGHNASSLSELNLFSKGGVFTREQLDEYQDCTFFTRKDIIRLYKRFYALNPHKVPTNMQGNRPAITTLTFEEVEKMPELKENPFKRRICEVFSEDGRGNLSFDDFLDMFSVFSEMAPLQLKLKYAFRIYDYDGDELLGHDDLSKMIRSLTRDELSDVEVEFIIERIIEEADLDGDSSINFAEFEHVVSRSPDFIRTFHIRI >CRE09663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:372535:373266:1 gene:WBGene00085827 transcript:CRE09663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spch-1 MQLRQRDAKGRVTPRNKKVVKAADRSRSRSRSRSRSRSGSRSRSRSRSVSRGRKSNKRSSKSARRSSISLRTARSPSASSRRSTSRRSVMRSTSRRTSSALSSRGSVYGRTGRSRSRSSTRTNSSRSRSSGSSSSRSASSSRKSSRKAKKMGKKPKEQLKVEVREDRNRSKSPKVRAQSMKKSTPRRKAKG >CRE09648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:264490:265444:1 gene:WBGene00085828 transcript:CRE09648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09648 MMQVATVLFVLRGFREITRKNFFVCTSFLSCSFHSTTDFLLLTEFVDKQHCQLAAYETMPFWTTQDTRNSVISSLIPAGAAVAAFVAFAKDQQVADWWSALKKPNWAPKDVRVYSAIDLLTLSPLGYASYLVYKNGGGFDYNDTKLALGLYGTSVALAVATIPIVKKRELGCLWKNTTVVSLTATGAAYAFYKIDKKAGFLLVPFALWTAFYAYLAYSIKKENDPIKNL >CRE09702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:363890:364913:-1 gene:WBGene00085829 transcript:CRE09702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09702 MTNKLSYPGLRCVLEYLEANRLICITARCPALRRIEKSIPIHLKFLRISHSIGFNDIEMGMYFDNQIEFKKGEKSFLRQYPIDLEFEKTKDKMREYYLGGRMNIYADDFWFFKRGVESFPKFNVTTNEMTVYNFLLNDILQYINPNSFPLKELTVECYDDLKHPHVCSANKLCIELSDDQRNEYSTRIKAIQNKNAELIYDTLEWTYVMGLIRYWMENGKDTGTNFVCKGYHGDSNDEIVTKLKNEFSEIMSELAGVDDQFPPGFSIPLTSTSKILVYGRKNSEIFYEEIALKVVSIQAEDIMMEMEHQ >CRE09659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:342125:344440:1 gene:WBGene00085830 transcript:CRE09659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09659 MELLKLPYLIHLKILSCLEPFEHFFLGLCSRKSALLIRRIRHNFDATTIDLGHYTIKTQNNGTRGDDFIMWTTYYHPKTIDLLTGVFWNQRIKLKYNGRTIRCRITIHPERKIPLLWCREKHLKALPIAINSAICDVFNTSSEIRIMADMDQLSEFPNIDSVDNLLEYSRSVDQIEYQNFFDRIDIRNALSILIKLIPNENAHVFEIRGFVPGWRHIYIEINRRMLSSNTHAIYLHPAGAGLSSHEVLATPQMDVDEDLNVE >CRE09710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:409901:412078:-1 gene:WBGene00085831 transcript:CRE09710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-twk-29 description:CRE-TWK-29 protein [Source:UniProtKB/TrEMBL;Acc:E3MX49] MFPNIFRFPIFLKFPKFFLFAGYGKIEPQTINGRISTVIYGFFGIPLTVILLTNFGRYLEAMATRFRRLLTCRRRREDEDENVSGSTLFFIVLVYLILGAVMIPLMSGQFDFFNGIYYAFICLTAIEYGDIIPQNNWFLPISVFYMCTGLAISTIALDIGSIYVRKLHFIGKKIKNIANIRIWFGARNLEVRELITAVGQNIGIDQNVIADIDIDTLVKTAIQVKLGRLSRVPQTHMIVEGIWPPELVPLFMKDGQFPLFVDSEDDLTGLRPKKYSVHFEDEPMISEIDDTSDSEFAADKSQTTSSRLSPMPPRRPLHLKLEDSTTDSSDPARRDGVMNSSEVTTTLTSELSTDT >CRE09652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:296768:300096:1 gene:WBGene00085832 transcript:CRE09652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-stl-1 description:CRE-STL-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MX16] MALSNRFLLNSTALLRNPTLPLAVTSSRQAHAAHNTIINFVPQQEAWVVERMGKFFKILEPGLNFLLPVIDKIKFVQNLREIAIEIPEQGAITIDNVQLRLDGVLYLRVFDPYKASYGVDDPEFAVTQLAQTTMRSEVGKINLDTVFKEREQLNVNIVYAINKASAPWGIQCMRYEIRDMHMPAKIQEAMQMQVEAERKKRAAILESEGVREAAINRAEGDKRSAVLASEAIQMERINVAKGEAEAILLKAESRAKAIERIATALEKDGGHNAAGLTVAEQYVGAFGNLAKESNTVVLPANLSDPGSMVSQALAVYDSLSKRK >CRE09700 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:352536:353379:-1 gene:WBGene00085833 transcript:CRE09700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09700 MKAIDSYAKKIEELSSSSYSGNEDARNAIRLSLFLRGLKPEIRKEIRRQTPENIEEAVRAARNLENILTLESAEQGNIVAAVQQLKETFRHWNRNRDGLQDHSNRRHYSPRRYNNHHV >CRE09661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:350882:352003:1 gene:WBGene00085834 transcript:CRE09661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09661 MTWQTPLFVFLHSQSTRPVVSFVVFKMTNKLSYPGLKCVLEYLEANLRIHITARSPALRKIEKSIPIHLEFLMFSDNIGLNDMQMRVFYNNQIMFRRGENEYRRPYPMDLEIEKAVEMLEEYYLGGRMNIYANHFWVFNREVDSFPKFNATTNEMTVYDCLLDDIVQYINPNSFPLKELTIDCIDDLNHPHICSAKKLCIELSEEQNFEDPTKINSIQNKDVELIYDFLERTNVEGIIRHWMENGKETGTTFAFRGRDGDPTNEIVTDLRNEFSEISSELTGVNDQYPNGTPGFSIPLTSSSKILVYGRRNSERFSNEELVLNVVSSQADDSTMEMEH >CRE09686 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:241185:252669:-1 gene:WBGene00085835 transcript:CRE09686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ahr-1 description:CRE-AHR-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MX05] MASRLTAFPTPSSIRFHHDVRAMRSDVRVTRKLCVRVRDPPKQLTNTNPSKRHRERLNGELETVAMLLPYDGSTISRLDKLSVLRLAVSFLQCKAHFQACLHNSQFLSAGFPMSTHSYSYQPHPPMPFSNKVPTIYDPRIGTPMLDPEEANFEDIALKSLGGFLLVLNDNGEIYYASENVEGYLGFHQSDILHQPVYDLIHSEDRDDIRQQLDSNFHIPTSTSSTPFDIFSPQNSKYLDRNVNARFRCLLDNTCGFLRIDMRGKLMSLHGLPSSYVMGRTASGPVLGMVCVCTPFVPPSTSDLASEDMILKTKHQLDGALVSMDQKVYEMLEIDESDLPMNLYNLVHVEDAVCMAEAHKEAIKNGSSGLLVYRLVSLKSQRTYFVQSSCRLFYKNSKPESIGLTHRLLNEVEGTMLLEKRSSLKAKLLSFDDSFLQSPRNLQSTAALPLPPALKEDQEGLEPSTSTTLFPTISIPPTPTKPSRRRKETAEIVPVPATIQPAIQPSNAPHFEMQMFDPSWNNGVAWSHDLYHQYPPTYPPPPVAPIVGYPEVPIPNIDYTAAWQQNDLHMQMSALPHSFVQDVQKIVPHQPMNHFIDYSIPTTHHHLHHHLHHPLKQENFHLISEVTNLLGT >CRE09655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:305821:306745:1 gene:WBGene00085836 transcript:CRE09655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09655 MHLRRAHASCTRELCLVVHQINHETPQSDGSLSTCSLPDAISTEPDMKCKSPNFADLHTFRDALNVTYQRMAITGEELSGVPLEDLKTASGHLIEALHLRSKYMERIGNQFPSTTRKFLSGYYPNNLPKHRVKNTDTGKTSFNPPDPPKDHWGKNTPLPKYEKYYKLRRHRGVTEILNEDGTIDEQFQKIAVTKEEFLNDTEKLTSMIVDGPL >CRE09649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:265636:267466:1 gene:WBGene00085837 transcript:CRE09649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-smn-1 description:CRE-SMN-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MX09] MTNVWSADSGMEVEDVWDDTDLIRMYEQSIQEQQVSGVKEKKYTGEDGKTYLWKVGGNCMAPFEENGETSYYAATIDSIGGKDEHEVQVTFLYYGNQTTAHMKDLWLNEEAIAEAVASEKKQKQKVGKKVKNEQGTNSSTPIPKMAPPIPPNILAMAPADKQEALSSMLMSWYMSGYHTGYYQAMSDLEEQGESSDD >CRE09694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:323478:326217:-1 gene:WBGene00085838 transcript:CRE09694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09694 description:Non-specific protein-tyrosine kinase [Source:UniProtKB/TrEMBL;Acc:E3MX25] MELSTKGISMKVPNETAKDVVIREVLPGGAGPPPPGGSKETPTPPPIATPPQEEIKPKEIIDLPPTIQMPLEIKSCKFYHGIIPRVDAEMTLRFVGDYLLRRTEPKEGIGGQYLVISVKREGGYVHVPLSQEKEGGKQFYFMTTVKENTVLDLIDAHLARGQPISTTWNAYLRKPVNRSTWIIAHEDVILYKKIGSGAFGEVFLARMVDPTSEYVLDCAVKKLKLEATMEAKLRFMKEARMMRKTYKHKHVVMIFGIATLNSPLLILMELCPNGSLISHLRKNKGRVTILEKLRFSAEASSGLAYLEKMNCMHRDVAARNCLLSSKNEIKISDFGLADHKGMAIDHSLDKLPIKWLAPETMQDKIYSLKSDIWAFGIMIWEIYSDGEEPYPGLSNVQTRAKIVVHDYRMKFPEETPIEVVQVIDTCWNKNPDKRLTMGAHAMAMQQIYETKMPLLINQMMTAPQNPVAGTNGPIPPEQVPNSPQPEPQEPSAFLR >CRE09658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:320538:323405:1 gene:WBGene00085839 transcript:CRE09658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09658 MLHVDKVDSLLTEKLKITATGLVPFRCYKFYLKLHYHQGCFHSYCVIRSDEFGKIDLSKDKPIRGTYHDVDPMGLFISLQASQELRFGGSLRNDMAKPSFYTLRLISDSEKILDEINLKKHWFHPLVTKIEVSQDGLYGTIFKPPGDGPFPCIIDIPGANGKISNGVAAVYSLEGFLVYTLAFFDYKDLPKRCRDADVSIFSKHINFIQSLPYCSDKIGLYGMSLGGTIVNFLSTKHPELSAVCSMNGPESFYKPTALLKENGKLMECEYFDHKLSINFNGVIKQSPSFTAAFEKLKPETSIKWNQISKNISFRIISTLDDWILDGVVNGSNVRRRLINTGHHVEIDFVPGGHLIYVPYYPHSSHTYNKFDRLSLGFGGECTLHGKSQETIWENNFKFFKKVLGTPPSLPDYERDTVVVVPDSEVKRDSKL >CRE09668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:4195:9019:-1 gene:WBGene00085840 transcript:CRE09668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09668 MKNSRAYWSGSTIDSDQLRDIFTQAYNGHIPPSHWRILEKVCSFQPGYFHVFDVLIGNSLELSYKFVTTPDAKKDKQSDELYTFYQSLPPVDGVENFYKRFEENIVPDLIHFIPNRFVSVQVFVAICQVVKQNAISVSDLYYLATLVYGTRQFFSKFNSRIKQFHIIESGGTRWIRSRSIHDDSPRKSHTYSVNNGGAPRMTGVQDFLTQLRPHIDDILQLLPDAVVNDDEFFKTARLVCGWNGDHEERIWKSIIKDRTKFQEFYDAYRPFLRIEIRMGTIYLRKFTYDDDYETECDGKPVDWIVTPSPPSSLTSSIIKTDKEEKKKAVSFATGIDLIAPDAPPPLENQTNESTQTDHLETEQMWDLERLVIRQLKRDNVTFAEFIESDNAREM >CRE09650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:276526:276727:1 gene:WBGene00085841 transcript:CRE09650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09650 MGKRLKSNARKSAVNKLVKKTDNRIKEEQRVIRTPKEDEQALKLVHA >CRE09664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:388165:389200:1 gene:WBGene00085842 transcript:CRE09664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09664 MTNKLSYPSLRCVLEYLEANRRIYITARSPALQRFEKSIPIHLEHLMISDNIDLNDIEMEVYSDTEIEFKMREKKYLRPYPMHLTYEKAAEKMNEYYVGGRLNIYTDNFWFFKKEVENFPKFIVKTNELTVYNFLLNEILEYINPNSFPLKEMTIECYDDLNHPHIRSAKKLFIEIRDDQKNEYSTRINAIQNKNIEIEYETLEWTDVMGMIRYWMENGKETGTTILRKVFHGDSNDKIVTKLRNEFCEIMSELTGVNDQNLEGTPGFSIPLTTSSKILVYEWRKFGISNKEIVLKVVSSRADDNTMEMEH >CRE09688 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:267772:275792:-1 gene:WBGene00085843 transcript:CRE09688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09688 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:E3MX10] MTKENEKKPKEKPNTRKIAFRNEAINALMDLDDVALVTFQKAMEVAKLDCKKCVLHDTSHNPKTHNCRDNPFCISRLGLEKFEKLIALEQETKEEAKKDQKRRDLNEQPAGLVNGGNFCYVNSFLQVWFNVPEFRQLVYDFRPSDAFEPPPAPRMDVQATMMALQDIFYTLQTTPFNDADKTAGLGKLLRLNSEQQDSQEFGLKFFNALERCLPDHPNGQDSLNRLKDLFTGEICTRIVCKCGQRSEREETAISLSLNIEGHSTLLDALDSYFGEEHLDDYKCQRCSKTGSTSKQSDYVKLPPVIVIQLNRYKYTAKGRQKLKTPMAYPREIPARAFQRTDLKNAPPSEFYDLFAVTIHEGSNAECGHYYDLIKSPLNQKWYRYNDETVESIAKPPGTDKPTTGKVEKSRRKDKEKYPTDQKACYGLLYRRRDAILPLPHPILPPDELIKDSKHAIEELFEGLTKKKIEKSEKRLYDLERRINKLKTSNTKLETHIDKYEKPNEIAFLPISLLTDVLAMEYEVAKGEKKKKKKEKSEKEEEKKTTEDEDLAAAIAASEADQMKHLNSEEGPSTSASGATVAMDDGQDEERAESETPEAANTDASMEEIIDNSEDTPTKEIDILAIAMEESALPTVDVAQEPEQKKRTRQKNGDVKYVFTNTRSPRKSAGNLNQTPLTSPQKQPVSTRVAALLSSHEMSRSLMRNXXXXSIDPILYGDVKAVSRAPAMTLLREYDFRVKVVYDNGEKVYPQADKERDLFVFTAEDICMECILEMREEGIFSNQLEEDDKMVRRILKEERQRCSVKNPSERPEGHVYVAKFALSNFKKSAITNRENKLAKLHTKKGTLSFDPVSVQKTDANYPSLSSLKRPRGRPFVRKSEVPEKMQKLDEEGMAESVMEEDEENSMNLSLDHQNPTRPVDTIDPEILKPVENIEFNSELRCAHGGINFSQFRHSVSPEEWSRLKGYFDECYEVSCSQPVCAECRQMEVDAQNGTDNMRVLVKEMRKRINDTLKAVEARAEAKSEETDVKFGICSVFIEKLKKLTNRQSTSPPSICQECLICQHQQPFKGFLTEDNQKDAHVIGLTEDEWHTILAEIRNLEEAGDEHAILVDPKPIHIENGNILDMCAQCFEQHIKFTEERKYLFDNENIYVKLVNLSDEEDVTKANGKSRRGRAKNVYAIKMSSSNTLMELKVQLYDKTHQLPNDQMLYRTLGGEQFDKSINQKTLFDLRLSPNNNDNPLILIAQQFSPSSQTDETGDRAPERGFVDTALAH >CRE09656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:313854:318410:1 gene:WBGene00085844 transcript:CRE09656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09656 MNINLKNLIIIRDQDGFSNFIQFPFFRKSFCFRRLSYLENKFQLHVLLNELRELHEQKGVSHRDFYNIRKVDTHIHAASSMNQKHLLRFIKKKIKKEADSVVLEIAGEKVTMKEVFKRMGIDSYDLSVDVLDVHADRNTFHRFDKFNTKYNPVGESNLREIFIKTDNFVGGKYFAEVLKEVLSDLEDSKYQHAEPRLSIYGRSKKEWDNLAKWALTHDVWSPNARWLIQIPRLYDVYRSKNMVKNFDDMLDNLFTPLFEVTNDPSSHPELHLFLQQVSGIDSVDDESKHEFVHFDRSTPCPPQYCDLENPPYNYYLFYMYANICALNAFRRARGLNTFALRPHCGEAGHVSHLLTGYLTSESISHGILLRKVPVLQYLYYLTQIGIAMSPLSNNSLFISYQRNPLPEYLQKGLNVSLSTDDPLQFHYTKEALMEEYSIAAQVWKLSSCDMCELARNSVMQSGFEDKVKIHWLGPHYKEEGVLGNDIHRTNVPDIRVSYRHEALVDELNNLFRVQSTLKL >CRE09695 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:329370:332358:-1 gene:WBGene00085845 transcript:CRE09695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09695 MPLKFLNFPYLVQEKIMENMEFSEIFQASLCSKRMKQSVIRARVAIPKTWFVVKEDSRLVGIKPDTSYPAKMLIKMVEKPKIKKRKMIDVKIGEGCEVSAKIRAKYSNYEGHYCQLEVLQIDKTMTKALHDHVKSIFKPTQSFDLQMAASKISDDLPIFENVKDIFIPGATSVLEVEVLERFLEKYPKLHSLNLQPIINGLLTDTSKIFENERVYSRNPGQIGKNLLLNFSGKSLELSNVIISETDIVDIIRKWVTNEGYQDLETLILRMASAIINTNRVFDALPETEMFNPAIRSPNFYYDNKIIHTASFNIELDANSVCRDVIRPIDGKRASIRIECHQLAFVVWN >CRE09660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:349006:350059:1 gene:WBGene00085846 transcript:CRE09660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09660 MTKKLSYPGLKCVLEYLEANRRIYITARSPALRRIEKLIPIHLEYLMISNNIALNCISIQEVYSDTNIDNQIEFRKGEKSFLRQYPMHLTYEKAAERMHEYYLGGRMNIYADHFWFSYIEEGNFSQFNVTTNELTIHNFLLNDILEYINPDSFPLKELSIDCIDDFNHPHIRSAKKLCVEISDDQRNEYSTRINAIQNQNTELEYDTLEWTDVMGIIRYWMENGKETGTTLVCKGYGDPNDEIMTKLRKEFREILSELIGINDQFPNVAPGFSIPLNSSSKIVVYGWRISENIPYAEIVLKVVSSQTDDNIMVMENQ >CRE09651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:287598:289894:1 gene:WBGene00085847 transcript:CRE09651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09651 MELTAFRHQVGGHFGILSCNGHVAKPSNLREMAFYKVMNTELKYFSPAFCKEVDVRASVNPATGQIVVETLEKLECHKKKSSSKHERSSTGFRQTADGRVTVDTEKQWNRWAAECQCKVVERMLKEPEPTPFLLLENVVAHYTRPCVLDLKIGTRQHGDDASESKRHRQLMKCRHSTSATLGVRVVGMQLYEAETKSYTYVEKQEGRRIDAAGFRGYVKRFIKCCGRSRAARIRQKLSKLRSLLAEFEGYRFFSASILIAFDAEAADSSNDDAVKVCIIDFAHSTFSGFFEDLAYSGADEGCLLGLDSILDVMEPNVPKDPVPPVSSSYDIKKVNA >CRE09696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:334368:337761:-1 gene:WBGene00085848 transcript:CRE09696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09696 MKDIKYTRLDELLDDNLEEFEPSESSITLKTVLIGIFLIGFFILFVFYFNTNLKLHADGKFSRRISMINGKLKKLKYKNATKFEDFYFRKSETQFLQCSEFLEDNQTSIDQYVSHGRLRRDKNTTFPLKMDCDSIKTRLFGDLPAFESLGKSIAFVRNAYTLYELQEVFLSMNWHPDHFFCYALDRKSDEKMKKSMRKLDECFENVIVLEKEYDFDRKGHRQDLAHFDCLERLLDRNWSHAITLQNFDMLIKTPRQLSDLSDLLNYTSIMGFDYGLKDRYDVTADWTPAGMKLLKNETGVPQEILHKKMVVRKSLNEVILSKMFVKSIFEKLNIDKVIQRFDDDKRFGVDEMMIMTLYENYLGLDGQMESNCVKEREDKLTRLNYWNLNQPDGVNPDCKSNWLRHSLCVFGVEYLKEISESPMVLGIFFVESEFDLLMFSANKVVEDFDFGTVLCVREMMKSGKTGKNPDTDWLASNFPQFREMEIKANGTFVRGSIEC >CRE09692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:304128:305032:-1 gene:WBGene00085849 transcript:CRE09692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09692 MYSKDKEDKGESYGKPLEQTIRRNSSNSGIPLTNSPPASPNGDSEVPEAVQFEEVEAENGQEEDPAPRASSPRASSPRESTPRASSPLTSSSQAPPDRLQSHVEAVVDKTTYKMRRLERNPMKTTVTDGGQKDNRNEEEPMFPMPARRQIGTPMQGETMPLYWTPQCSVPMSNQQVDRQPQTPTGGQNG >CRE09691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:290107:296152:-1 gene:WBGene00085850 transcript:CRE09691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09691 MVDSLLSTDIYESFNLYSHPEKFFLEPTDLGGGAASKHYLEIDRHTNVMRIVDSRKQRVPIADTDIKFIYGILGTIKLVSGYALIVITKASFIGQISNQNIWTIQDTEIIPYKKTTLHLTEKQIRYNRMFTDMLTHVLSIGGFYYSTTLDISRTFQWLQENAVPLFKTRSMIDRASERFVWNGHLLSQIRQVPGAERYTLPVIHGFIGQNRVNVNGKEIKLTIISRRSIFRAGVRFYKRGVDVEGHAANFVETEQIVEYDSPEKHVTSFVQIRGSIPLLWAQKPNLRWQPMPTLKPTDDQLAAFVKTFSWHKQHYGGKHVIVNLVNQKGREKKVGGELERIARQANIDFVRYHQFDFHKECHAMQWHRIDLLREQLSQEISHFGYFYLSPSSIETSRFQRGFFRTNCMDCLDRTNVVQSMLARESLTEQLKMLGILYQDQKVQDVPLLEGLFKHMWADNGDECSRQYAGTGALKADFTRLGKRTYLGAMNDGVNAVSRYVRNNFGDGYRQDAIDLFLGNFLVDSSDLPNSLETSILSTDQNGLALIAALFAMSMTILCLLVAGEPSFLRVSRKFAIPDNATATVFWLIIFFVCMMFIFLNGEEFVNTPKLKLD >CRE09665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:400481:401690:1 gene:WBGene00085851 transcript:CRE09665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09665 MKFILLAAFFLLAVFVTSTDAANTSGICIMCSGMIQIPNNWKDTQQLLSYGCKSLGEAANACTGMVQAADLTASYPRMFPYIIQLKDIGCRKFCQ >CRE09646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:240230:240551:1 gene:WBGene00085852 transcript:CRE09646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09646 MKVFQIFTVLTFVVLTTFAFSNPFCKFCSPAISIPNDWATVQKLLKISCGNLGSAGKACGALVDAVDLDSSYSKMYPNMVDLREAGCKVYC >CRE09698 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:345099:346694:-1 gene:WBGene00085853 transcript:CRE09698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09698 MELLKLPYLVHLKILSCLEPFEHFFLGLCSRKSALLIRRVRHNFDATTIDLGHYTIKTQNNGTRGDDLIMWTTYYHPKTIDLLTGVFWNQRIKLKYNGRTIRCRITIHPERKIPLLWCREKHLKALPIAINSAICDVFNTSSEIRIMADMDQLSEFPNIDSVDNLLEYSRSVDLIEYQNFFDRVNIRNCARLSAGFFLKPNSSVFSVNHLNCCKSKWLTREHLLSFRGQSALFQSATQIKNEDLIAFVDNWLAGCNTKLQSLMVNGNVFRPDLGYDKDTILRNFETRPWNPEVRERRFKYPPGMKYLSRTDVMDCAFGVDILRERDNLLATILIDSNKFRFFVWHDRFPKDNEPIIRPAARHMPPCYVIGTIFF >CRE09647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:261395:263380:1 gene:WBGene00085854 transcript:CRE09647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09647 MDEATLLSSAPQEVYIPQKLKAFMSEPQGSALVAALESQFQCIISVINDFLSVKSSIPGMQADLSQIENILRDVWQKRDIQLMIREAALNASCTHTCHTILPRAYCAVVFFFSSDIKRRSRCNDMIIDHFTGKVTMFGTEQSVNTAREVMIECLTEHFGLLEIEIPITRRTARMGYNSNAIEMTQNSFNPEVPPPQLPAVCHPPFLNNIFSISEPNAILTSTPAPGPTRDDSSLLPFDNHLLFPSDFSVPPPQLRPVVEAPPKTNNVEKIKHWIPTAEVGKILGNRAAMKKQIEGQFNCVITVHTEVYSHFGMTSVEIMAQNKEQCRGARNAVMSLMSAYQEKAGGSSVNDSGVNSPVSPMTTESPSTTPEKRGGRQYHRSSFRDQPKVMLALTPRKIAPAVTE >CRE09687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:255591:260985:-1 gene:WBGene00085855 transcript:CRE09687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-set-32 description:CRE-SET-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MX06] MLSAKEKQEDLKKQARLLEEWNKRMPVPIQSKVFISKDLPFEPEDDFFAYNQMEYSERRKMIAKNLKIVSRDDNSTHLKAHRLVKTSVKRDSQLAKNWKFRLVDDPDSIAKMFRVLGQRSMISQNREEELNNDKDQDETVPENILDYLPTYRSPEKRILLMKNLTFNKKNPIPVYCDLPFDLKNLENINIPNALVFDYTDINFINQFTEPNLTRALDMSKEGDNTIKCTCHDENGETVPCYDNVSCPCYRVNQVMRSFQEKRNNCSYTEFSSFKPILFTGTHSHFYRHVGFACSELCGCKGNCTNNALLLPNKRLFPIEVFRNNEILGFGVRTLSMIPAGTPVMEFTGEIVGDQLTPGAHWDNGDYAYQISYRDDEQLRNLIKKLNFTPEYEKLIVKLSQKKYYIDPKVQGNVGRMACHSCASNLEWVRVFQKSLSPAHVHLVMVSMVNITAGTPLTIDYGATYTKQRFESACMCGSFACLNGPDAATYSKAMTLKLSMCHKTLYDAQIKEWRQVIKPAPIESNNEANNENNLPEIVEITEKS >CRE09707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:389867:390224:-1 gene:WBGene00085856 transcript:CRE09707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09707 MENGKEKETTLVCKCYRGDLTYEIVTKLRNEFREIMSELTGVNDQNEGTPGFSIPLTTSSKILVYQRRSSERISSEKIVLKVVSSQGGNSTMEMEHQYRTVL >CRE09653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:300950:302842:1 gene:WBGene00085857 transcript:CRE09653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09653 MGSKHSTLKKKQQREQPVPSSQKPTHPVLSEEIIQATTDVNQNPPNIQPSNFHSPSEGSPLFNVNLSKIRSTDTIVGPNQAMKARSFNMTEVDFSIQGISSSFAPVPNLGNDLNNSKEKVDTCQADSPSPKRKVKKQKSPEDSEPPNGYYEAKKQKDRNRHAPSPSKSHNSNNKSTVSSTTSTAKERSPEKKKTTKDQQKKKDRRMTTVAHVDMGLANKGSTSVVGDDFWSPQNSEKMAPKHR >CRE09708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:394308:396076:-1 gene:WBGene00085858 transcript:CRE09708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09708 MAPLSTETTVQPTQVTWKFSKTLGYFVLKRGTMEVETRDDQGLEEDLECTLETKKEYLDRVGSPRIRKQPPPVKRHVAPRRSAFGQKMDKKEKASAQLIREDDLFPLQDQFYTATTDDSAYMQMFQQPLEQLGKGSYAEVFGGIYKGNNTKYAIKKNLKFKPTDRKFLSEVRGFMGVPAHPNILKFIRGWVDGEAVYLQTEICQRDLLSHSKDGLQEEEIWSILSDILKGLSHLHDSGFLHNDLKPENILLGVDGIWKLGDFGHLTVTSPDGFSAGDEGDARYLAPEVLGDMTPSKAADVFSAGMSLLEIVTCILMPSGGESRQRILNNNVLERFFRGYSKDLKGLIGLMIHRDPESRPTAKELLDHPMLQSMIQNKSGSVSRGRKSNKRSSKSARRSSISLRTARSPSASSQRSTSRRSVMRSTSRRTSSATSSRGSVYGRTGRSRSRSSKRTSSTRSSSRSSGSSGSSRSASSSRKSSRKAKKMAKKQPKQQMKVEVREDRNRSKSPKVRARSMKKSTPRRKA >CRE09654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:302902:303903:1 gene:WBGene00085859 transcript:CRE09654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09654 MTQVHDKRDYTVWV >CRE09699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:346904:347246:-1 gene:WBGene00085860 transcript:CRE09699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09699 MKISTVIVFLVVTCLFKTTSSLPPGCIVCTPLLTTPTTWEDLSELMRVACRRLKEAEDACNGIIDNANLTDSYPNMYPHIVNFRKILCKKYCGSEL >CRE13174 pep:known supercontig:C_remanei-15.0.1:Crem_Contig820:1489:3740:1 gene:WBGene00085861 transcript:CRE13174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13174 MLSTIISQIFTYLLPLLSWLCNAILIFLILQRSPQALGGYKYLMLIFSVFGVAFGVINVTSQPKLHFYQAAYIIFSENPLGLPRKVSFWYLALNCAMYAMTLYLLAFHFVYRYLAVCKPHKLQWFTYPYFLVMIGIFLMVTFDWWFMAVWLAGEDEEVEEYISDSMRQTFNLTSQDYTYAASLFYRKNLITGMESASLPDFLFVLNLVLIITSGFSIITYCWFKLHTVFINTKIHFHFRPSQSRRTLEMQHQLFRSLVAQTLFPVFLLFFPAGVLLGFPIFKFEPGPLEAIILPLIATEPVIDSLVPMYFIKDYRKAIRGVFRKGVGAERSTTMSPGNVRVHPM >CRE13175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig820:5641:9159:1 gene:WBGene00085862 transcript:CRE13175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13175 MSSDSSTPQQTTSKSKSKEDDRLLTLKPSTSEAEATIYNQRMFWNLEEVMTYIGARYPYYRTTVLPICIVYFFIYFASRVISETKKMSLNEVAENNGTHEFFYSKCLPNNEDDSLKVAEKADFLHVEIPKGELTIVMNALFMFATTTISPRIMVLVCIGMNSLCYFIMAHFPTQLQMMIYLSQIFTEIYRIVATVTIAESVPKFHRVPALVLIELVRTASRSLSTVFVRLPSEVSLDMTYSLEIFGFLMIFMGFLAYYTFHDSLFSLLARSKTDQMQDRLTHIFDKSDILLAPDTVIDQIAFENFENNTSAIEILTKTLKTFKLIQEVLVCGLISGASLAVNSFAEAEINRHAEVMFFEKTLIPGPTYLISSLLLIMMSFLMPKKRILPVIIVIPVLFLLASAIFMIPAYFKELDKCSQHWVVSSSAFPLFLSVGILTSALTDVIRFLVKLHLLEVMPVLIRVPIISLLFFVQYSFDGNVRDLYATNSIGGETILVLITVLSMLVLLITPRKKNEMNVYFSEYTNNDKERQLPPAPKRID >CRE14387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig822:16950:17857:1 gene:WBGene00085863 transcript:CRE14387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14387 MSRHLILPLLLVLAGSAEVFDIMTDIQDLRVPDSTACIQEHQRLAECVKPHATLINYVMSTFKETDVYNIGFMRKALQISKNVPECLGQEIQCPVPKFVVFSLDTAIYAGEKLYGDAFHCFINAQGLEITENCVKSVSRGPSSIFWLDTSKFQTSKNELIECVAKKLYGVPSCSIGRIVSLYQAGSAGIDWMFEASQFDAGEPTGSVFSGDKYCDY >CRE14386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig822:14232:15325:1 gene:WBGene00085864 transcript:CRE14386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14386 MKKVPEISSYDVTNLVFYVNGKRVEEKDVDPKMTLAAYLRDDYFVFHSPATPVRIRMACEDFVTSHVPSLPEEGTDTPWTASV >CRE15072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig823:5625:10399:-1 gene:WBGene00085865 transcript:CRE15072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15072 MGVSSDGNEDARTNFEKMRDFVRKKTRRPIDDYYDWVPRPGTQFCIHMTIRSIQTAAVVGSVLGPVSALLMHRTDKKDLVEAFRLGGLHGAMVGAALGPVISLATCKNMNRVRKFQNLVKSKFDQSQLWQDHIAIASAAVGYMSNGQIGLVVGLDLSLLFAVITRNW >CRE16514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig825:3074:3939:1 gene:WBGene00085866 transcript:CRE16514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16514 MSNMTEEFGGDEILSSISPEVFKLLNGTDDEVINISSDLSNNTEQANILSLLNNFFPNSTTTMTPIDAEGLEYLNDLSGNESRIIDLNKEYTHNGALMTVSYLVMISFIFYVPRIIYFRVSRISKDRQVPGYFLIWYLSLIACVAAVIHFGCFQMFISGSSHFNSSIISFEEQLSNFYILKASAASINRLVAPIVAVLCIQQIATHTRFDIPILQEVKVHALLCLVITIFVVGYSFLREYL >CRE16515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig825:4097:5010:1 gene:WBGene00085867 transcript:CRE16515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16515 MSGLDSSSEVYPDRIHVDLYDVIAPLITSVLFFFARQNLSRQSVYSTEQCGPNNPTTLDRISKVAVFQTVMIFFTFGSLLMAPSEEQERHADSSGLFLSIFFLTAQTPFVHWVLFRSLLRSRKPTRLCFLSCFGQEEKVGVAPEDVEMERQQNKEANKKKDEEEEEDDDDEDDEPETLDANKIVIMPASQVEEIIRREAIEIIEVNSEDVPEERPEVAGAVNENSQNAGERRNNNDNNK >CRE16516 pep:known supercontig:C_remanei-15.0.1:Crem_Contig825:9963:10256:1 gene:WBGene00085868 transcript:CRE16516 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16516 MIWSATFILAFIRLWHKSHRDLTEERNKWEEQQRVPSNRVRERRIIRRRRRRRRRTSSTTESSAAVNPSDSRESTGSDGDISRDVLLPETEKLLKNA >CRE15809 pep:known supercontig:C_remanei-15.0.1:Crem_Contig826:16025:16342:-1 gene:WBGene00085869 transcript:CRE15809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15809 MLMAPTGKGAKSCGFHRRQYPIRLAYATTINKAQGQTLSKCGLLLHSAVFSHGQLYVAMSRVKRGEDFRLWHYKRGGKDDWNFGGGILVRNVVYRDVIRNDLIGN >CRE15806 pep:known supercontig:C_remanei-15.0.1:Crem_Contig826:8604:9059:1 gene:WBGene00085870 transcript:CRE15806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15806 MPQENSSPLLPVAMSNNNLVDSAMLRQSPPESQENSSPLLSNNAVNTALNTIPVFDGKPGEYSIFMQLFDVLVHKNDKIPMTLKHALLLRLLSGEAKSMLQSVTLSEADYYVLRDSLERQYNREEDTKQNPFTSSASSLSPKTVSRIWRRI >CRE15175 pep:known supercontig:C_remanei-15.0.1:Crem_Contig827:4999:6285:-1 gene:WBGene00085871 transcript:CRE15175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15175 MMNYDLKTLVNSSQTLTSFMSHNGIEIKLITPLSPWQGGIYERLVGLVKNMIYKTIGTSILPFLEMETLIIEVEGILNSRPITPCKKDILDLPAIRPIDFIVPNVKIAVPEATNSGFQDAKYYLTENWSRNYLEELGRIKEKLWDQFAIGYYTTLREYKLDKKHHAHLQPKVGHVVLIDTQTILQRHKWPLGVITSIKRSIDGQPQSVMVRCGTKELEKSVNQLIPLEDLGLPAEDLNEEEKSKSVPPQIESKSFPTILPTKTPDTLLRKRGRPPGSKNKPKDSTNQGNTTTTSDNQGPATSRFKSKSRGPKVIEKLVNNGQKTPTHNQSTSSRKANKKQAEEPPAPPQLQGKEDRSRPYLPRKAKICTPNNGNQDTQPTGENQSISPKEKMFGSSAPGVSRPSYGRSQPENVSGRSSRYRSRKTPDL >CRE20104 pep:known supercontig:C_remanei-15.0.1:Crem_Contig829:3926:4451:1 gene:WBGene00085873 transcript:CRE20104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20104 MSTSGENNESSTGNQARTLVEWIKHTSVGFLSEEELKKEPVNVLRKTVDEAQGSFRGTVTTISLFTELSKVITVDPLGPEAFGECVHDLIIIHNPFSGPKIYVSVSIESPERNQPLGTCFGNLNAITGETISNCLENSGQDLELNTPNVTIKLTYLVSP >CRE20103 pep:known supercontig:C_remanei-15.0.1:Crem_Contig829:248:1965:1 gene:WBGene00085874 transcript:CRE20103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20103 MQFLLKFIEFQVFFQTQTHPTNNINTEGYPPFTFGENYAQNARLMTAGFEMGGLADMRREFDGRDTVFQYLYFPENPNPQGSMNPLHPNAPDSPVNWERLTVRKVIQILDKPLGDGERIDTAEVARKITNLINNTPSGKGKYLECVGGKMKDYKKYIDVFYDLKEYKELNEEGRDVFRRWYNWWNIPDDDKRKSILNMHETLKNEWFNMRSAKNAERIENKLKMKNQELKRKSDAVDEKNRKRYPTCILETRTINQDKDGVTDGTLPKIEHLHLLSNNSTSRGTLIWEPNNGISTIKQYQ >CRE19313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:112214:114279:-1 gene:WBGene00085875 transcript:CRE19313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19313 MSPPSTPTKQKIWIFCGIRGCNVLGRTDRGIQDSNQVDSNEEVESSRLETKAEVMERLGRESRAPPPAPTKKKAASRLSLREREEQKRRSAEKKKEEEPQGALVYEMGGQLSPLTDEHYDAQDPRSAMEQLFTDVETIGKGNFGEVYKGKYKGDKGKWYAIKKSLTTDSGKAVKEVKGFQQIPPNKYILEYVSGWLDRGLVYIQTELCDMSLLAYCRNGLEEDEIWKILVQIVLGLRHLHSSGFCHNDLKPDNILVIKGVIKIADFGLVSRVNEEWCAGDEGDSRYLAPEVFSQKVFTTAGDVFAAGMSLLEITTGLHMPPQGDDRNILIGGRTPSRFFNGRSLDLREIIESMIRKNPEARPSAWELLEHPIVKKKVEISGDHPVTPATSREFNNYRQRCKKSRMARTPPQFQYETPRRVRKVRQEEEKENVDSKVCRLEMNWDFLRL >CRE19299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:292620:293002:1 gene:WBGene00085876 transcript:CRE19299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19299 MILCDPELIKKIPLVERAINAYNPDWETTDTIVKTPLVIPYAQRGGKFVLDNMLKYQTLDKKSVDFEEARNKTFAEYSEIMDVEHHMGCEDFLLWFDYGIIKWLCDNIRIY >CRE19297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:285869:287409:1 gene:WBGene00085877 transcript:CRE19297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19297 MSNETFPLWKLPQVPRKLVFQLIDIIDLLEISMTSRPVRKFLTAFKPHLVDLTWKFDDSQAIRRYRVVGPPPYETYMYLQLYFPCSKQEITYYFVGKNKARSSQERAVNWKEDVVMKCMNKKEMYERQGFMVGGRDDCRRADPGNPDLKIMEDLSTHLTQILRINSYNVKHLRLSEFDFFGCFVWNITKKFKKFVAKNVEINKEATRFILKDLEIEDVEIMKNCKWITINDVMESESKKIKIRFPNDMNVDELVKLVNLWKSGNILRDMKSLSLEGPFDVEKMLLQEHYRLKFFKKLGIIGKRPDRNVFENDIKRDTDGQMARLFFCGRQMTLDLKETTEKKVN >CRE19292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:237937:241804:1 gene:WBGene00085878 transcript:CRE19292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19292 MSHLGVLLLLLLARESTACLPTGLGGIFGGGGGSGCSSSPGGCGGGAATPPAQYAAPMPPPMYAFTPQVYSQPPPPPPPPPPPMVAPSRQIPSAVVIPVSVASSYQGMYQDRPQAPSINPDYQGTAPLSFAGEIASSEDAANTVIDPLKYIEHVSVSHTPSIQVPAQEAYQQPPADPFESINAPDQTPQPVAPAVKHQIQVAPSSAATEDTYLPGPPEKETTNATRSLSTYYNQMCTGEKITVGEKETISSATKKCELLKCVAANAQPDSHGSYTVTYLSTASSRSNSKGNYCLSMKELPVKSKRVIRKFDEMMTSEESTNAVRRKIFKL >CRE19307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:89936:91725:-1 gene:WBGene00085879 transcript:CRE19307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19307 MSSINVWSRGAKPAFVRFLIFGIILQAVLLVLIYKNSKPSRCEFQGFFLDKIILFSDSLPNNQSITANISCDDLLNEWDPEVPVLLIDLDFLENLKNEDCRWDERKRVKIGVHVKDKNGSIIDTNRFDVVFYDSPDNKDFLEFNEDGKRIIPKRFETRQIGNFEIPTNIQRFIEFYKRSKFVECLGLEMNRNKSEVSFNGFQGAFQNGPESAGILSRFRDELIDMGMYPYLNGGTLLGWYRECTVIPHTYDMDLAVFKENYNPEYTEKVLRGETDFILRRKFGMLEDSQEITVVPKEEGRPAIDLFVMYDYVEDGKLIYRYIPGLNDDGTKYRFTHLLLDPSCAAEMHGHLFWILCNPIEQLKHEYGPLWYRDHPTDEYIWNSSGKNVKRVGKFSKEEMKKYYLEYKN >CRE19306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:87801:89670:-1 gene:WBGene00085880 transcript:CRE19306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19306 MSRRSVRNLIFGIILQVVILVLIYNNSKTSRYSTISCDDLLNEWNPEVLVLLIDLNFLENLKTDDCRWDETKKFKIGVHVKDKDRSIIDTNRFNVVLYDSPDNKDFLEFNEDGKRIVPKRFETRRIGNFEVPTNIQRFVEFYKRSKFVECLGLEMDRKRLKRAYQNGPYSSGILSRFRDELIDMGMYPYLNGGTVLGWYRECTVIPHTRDMDLAVFKENFKPEYAEKVLNGDSDFGLRRKFGMLEDSLELTVFMKGTRRPLIDLFVMYDGMENGSLTHHYVSGLNRDGTKYRYTYPIYDPWCAAVLHDHIFWVSCSPMKQVKHIFWVPCDAKEQLKHEYGPLWYRDHPTDKYKWNKSGKNVKKVGKFSKEEMKKYYLKYKN >CRE19324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:265382:269240:-1 gene:WBGene00085881 transcript:CRE19324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19324 MHTTTTRKTKFPKPRFEGWKLAKYSSALQIFQILGSLVTLVLIGSVGSQFPPTYAALLTCVFSTIGAAVFVLCDAFNLKETEPDEWVFWESVFVSSFSFLFTINTLTMLYSSIRWNNTAWWLATVSVSHGHVLNGDFFVLSESGSRNEKTDETTHRRRPTREYRSIQYANESTN >CRE19327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:289094:290263:-1 gene:WBGene00085882 transcript:CRE19327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19327 MPYKKETFPLWKLPQIPRKLVFQLIDIIDLLEISMASRPVRKFLTAFKPHLVDLTWKFDDTRAISRMSLGRTPPSETYMYLQLYFPRYYKKISYYFTSISWTRMMHERAVKWKEGVVMKDMYRKEGFMVGGRKSWRRADPGNPDLKIMEELSIHLTQILRINSFNVKHLRLSEFDFFGCFVWNMTRKFKKFVAKNVEIDEEATRFILNDLEIEDVEIKDCKWITIKDVMESESKKIKIIFPNDMNIDELVNIANLWKSGNILREMKFLSLEGPFDVEKRYLRNEDMAEFIKKVGTIGKRTDRLENYESEVKRDTDGKIAILFFCGRKLTIALKETADKKIN >CRE19308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:92446:94243:-1 gene:WBGene00085883 transcript:CRE19308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19308 MSSINVWSRGAKPAFVRFLIFGIILQAVLLVLIYKNSKPSRCEFQGFFLDKIILFSDSLPNNQSITANISCDDLLNEWDPEVPVLLIDLDFLENLKNEDCRWDETKRVKIGVHVKDKDGSIIDTTRFGVVFYDSQDNKDFLEFNEDGKRIIPKRFETRRIGNFKVPANIQRFIEFYKRSKFVECLGLEMNRNKSEEAYQNGTTSANILARFQDELIDMEMYPLLNGGTLLGWYRECTVIPHTLDLDFSVFKENYKPEYAEKVLRGETDFILRRKFGRLEDSQEITVVSKEEVRPTIDLFVMYDYVEDGKLAYRYISGLSGEKILEFSNKRNPEFSDDGRKFRYTHLLLDPSCAAEMHGHLFWILCNPIEQLKHEYGPLWYLDHPTGKYYWNSSGKNVKSAGRFTWEEMQKYYLEYK >CRE19328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig83:299477:299923:-1 gene:WBGene00085884 transcript:CRE19328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19328 MDYTLRHVLKKYEKKDILIEKLMENQGNEAEPIMQECLNSLYEKTMNQGKDHTPENMLTFCKLFRQIGDYQLACEMIWCCASLAVQEFITTRQTESKATFAKR >CRE17727 pep:known supercontig:C_remanei-15.0.1:Crem_Contig830:4893:5204:-1 gene:WBGene00085885 transcript:CRE17727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17727 MSHSGRPRLDIDDDITDVLRDEPRSSVREVSSHTGPSFATIFRHQKESGRTAEYGQVISHELADSQLKLKKMGSLCIPYSKKQGVLDNDDPLTNQKREMHEKK >CRE17726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig830:4466:4730:-1 gene:WBGene00085886 transcript:CRE17726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17726 MLAHSLLPRLAPSDRLRELKFYSTRRILQTLLQLIIIFFDHCKTRLLLAAARVLRGRNCPTTTMLARCN >CRE22202 pep:known supercontig:C_remanei-15.0.1:Crem_Contig831:9519:13120:1 gene:WBGene00085887 transcript:CRE22202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE22202 MSQIPISIHFGTTPRKPFFLLPSFFFSSRIIPFVVLVSHFFEHFAKRKKQKKICISPAFIHTKIAEFNEKIPEELRGFLKSGEDGQGISRWESCNSISLLLHMLFQEHKDTRALRRWVHKRLQMELNDITTRSAAGRLIQEIRIRELSLGTKFMTINSIRVENVEMAEDKNTFEKIVFILDIDYSGGFETSIDVSTIITKKASLSVKITKLTGMVRVILSRQPYHHWTFSFVTQPVFETDINSQIQGHQLKRLIPIIKEAIRRSLQRKHVWPNYKIRYRPFFPNPIFQASPPINSFTHIKMEGGMEVTVLQCSRLKNALLEDKTKNYEIYCTVSIESRPIVQNEEQGHVVNVLLTFSRYDVASPIGLAFDKSVQTTGVNANRAVKVCTVEDNSLADKAAFKPGDVLVAINNVPIRSERQATRFLQSTTGDLTVLVERSLDDIDDEESKEAEIIVSTVRDLDGGVLGGRCRYDESHEYSIGDDERESEGFDE >CRE21642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig832:6755:8818:-1 gene:WBGene00085888 transcript:CRE21642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21642 LDYKKCNYGLLNSDIASLDWDLILSSLPCPSSKFEKFIQILSDLIVLHTPPKPLPRPSPPSKSIRQLRRARSRFTKLLVSRRASFTDIHSLNCRIRNLSKTIRGSYARAERTLLKSPHTSVARSLITRRLKTLSGIPSLNHQGRVVCSDSEKASIFLSSFLSNFKSSPHVSFVAPHLSSPRPSSSPFQDNDLFAPWVIEHSLLKLPPRCGFSPHLANFLLIKKCATSISLPLSIIFGDSLRCSGVPRSWKKAVVIPVHKKGNPGCPENYRPISLTDPFSRIFERILCNRIKLDCIHKLSPHQHGFLTNRSCASSLVQVVTNYKIILKTHGSLDVVFFDFRKAFDQVPHALLLNKLASFDIPPLFISWFSDFLSSRSFSVKVNSTTDPSSAPIFSGVPQGSVSGPLLFLLFINDLLISLHSIPHLHIAAYADDIKIYSHLPSSLQAGIDLVSNWAASNDLPLAHSKTSLLRLGALNPHYQFHIVGSPILVSNSVRDLGILFEPDLKFSAHIKKSVSLARLRSSQILKSFKSNNPAFYSFLFKTYVLPILEYASVIFCLAPSSSLSRLLESTLRTYSRKTLQRCNIAFSSYSHRLELLSIYSIRHRRLKAQLLLLYKFIAGASHFPNLNSFIRLSSSPRRPMTLIYLSPLSDNFFSFILPIWNAIVANVSSFLSPSQFEHLLDSAITRF >CRE21040 pep:known supercontig:C_remanei-15.0.1:Crem_Contig834:8372:8738:1 gene:WBGene00085889 transcript:CRE21040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21040 MYPPPYEITVSLREPELWKKIHSLGNEIPVKPIGRLMFPLLNYNVSGLDPEGVYTMGIKLRRVNKNILKFKKNTIPNKWRETGQSVEDFLLESNEIFETSKRGEILG >CRE21039 pep:known supercontig:C_remanei-15.0.1:Crem_Contig834:7335:7955:1 gene:WBGene00085890 transcript:CRE21039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21039 MINQVGLFREYYAKAAQVSNMNELIYDYQLEKVARKYNSCHLDQDTWKRLEREPHYYLYKEQLENDFVEYAALHRNDTKGIKGYFGNEDMFSAVLHPKVEKLGCHYFFSLCVHKIWSRADVFTDVKRSTVRGLCIFGPKDRLTPNATLYGKPGSRCSGKLTNGGLCNVPRENYYYF >CRE21044 pep:known supercontig:C_remanei-15.0.1:Crem_Contig834:6120:6916:-1 gene:WBGene00085891 transcript:CRE21044 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21044 MRVLLAFLIWGLCAQSALLPRYHAKPIYHKWLGELNEFRAKYAEAAQVSNMNHLTNDKELAKVARFLKQNDSCFDESTLERLDREPHYAFYKKPLEEDFVEYTALHRNDTEGLNNFFGNEDLFRSVLHPKVEKVGCDFLRSYCVLKTGSRAAIFTNVKRSTVRVLCIFRPKDHFTPGDTFYGKPGSHCSGEVTSRGLCKVTNKTKSNETMTLAMNSTKT >CRE21043 pep:known supercontig:C_remanei-15.0.1:Crem_Contig834:4121:4730:-1 gene:WBGene00085892 transcript:CRE21043 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21043 MHVSLGLSTVVLLFCAQSAFSSRVVKRSEDLRQQVLGELNEFRAKYAEAAQVSNMNELTYDMELEKEASQYNSCHDKESINRLEREPHYYLYKEQLENDFVEYAALHRNDTKGLKRYFGNGDMFFAVLQPNANKVGCYHFTSLCVHKVWSPAAIFTDVKRSTVRGWCIFGPK >CRE21041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig834:9195:9805:1 gene:WBGene00085893 transcript:CRE21041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21041 MISQVGLFREYYAKAAQVSNMNELIYDYQLEKEARKYNSCHLDQDTWKRLERIKGYFGNEDMFSAVLHPKVEKLGCHYFFSLCVHKIWSRAAVFTDVKRSTITGLCIFGPKDRLTPNATLYGEPGSRCSGEHTNGGLCNVPREYF >CRE21037 pep:known supercontig:C_remanei-15.0.1:Crem_Contig834:1128:1698:1 gene:WBGene00085894 transcript:CRE21037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21037 MMKIFGKVLDADLNEGVLKPNAECVEECYQQSKCILVFMNSEEQCLSFYFNLTEKLTVVETAKTDNLFVAFKTQFLLSQCPAYEAMDLSLTVAGESIPWIKNGNEYLFKKCVYDWKMVPRENNMTVCMQTFEIEATSYEEAQTICEGKTIPCKITGVQSTISESGVACGYWLL >CRE24876 pep:known supercontig:C_remanei-15.0.1:Crem_Contig835:1614:2639:1 gene:WBGene00085895 transcript:CRE24876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24876 MPLPFVPNRLHFRNVILFLFLSGLKISDIHKKMAAVYEDESPSFNTIKLWFERFEANDYELDDKPHSGRPPELDLEVLEGIVEADPYQTSREMATMLGVSHVTIIRGLKSIGKVKKLGRYVPHVLKQRDMARRIEMSMFLLTFHRTHAWLDNIITGDEKWIHYSNDVRKSQWVDKDEHALDVAKPELHVKKVMLCIWWSVHGVEYWELLDEGKTITADVYISQLDKLKRAVAKKRGDKVKVYFQHDNARPHVAKVTHAKLLSFGWTILPHPPYSPDLAPSDYWLFSRLQRHLNGKEFNKKADIKKELDSFFNNLELEFYAEGIKKLPERWQKTIDADGHYF >CRE07985 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8375:605:2026:1 gene:WBGene00085896 transcript:CRE07985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07985 MRHHTLAIAISLPVFPAYAIENKQLTVLPVIRVNAENDPFINQSIVVENRNADNKTLGDALKHLSGVQSSAFGPNSGAPVIRSLSGNRVQILENGQSIYGMNSISGNINIPFDPLFTQSVTVNKSSDSVRYGGNAIGGSVNIDSGLIDTAMPNKSSQLELVAKKGWNDFDAKGFKLNLNNQSNFSTNVLFSSQDMSAYKIPGEGKASVCNTGVFPTTGGVNTALADACQKEARIQKNYNTASQPYIDQFMTENPDWADGSFSFYTDKPTSVWSGKTYTNPVNPKYVPNTQQYTENKINKDVTPNYKNKLGNSYLDNQHFAIGSTYFLDNGRIGLSADSKKSEYGVPGFFLENKSFQSTSDSIPVGVKTDQRRYALDSEFNFSPLLLKQIQFDVSKSVNTSGEYLGSKMANNYKFDTQQAELLLKHRPVEFWNGFIGFNQIDRKVVGHGNLRYLPNINTQTQAVFIKEKLDFDG >CRE29263 pep:known supercontig:C_remanei-15.0.1:Crem_Contig839:4598:5371:1 gene:WBGene00085897 transcript:CRE29263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29263 MAAPYTLTAPTAAGSPEDVTFGQPKDVDQFVLNISDNQSMGHYDRPINYSRRRTTSKNMVGNNHKLITSSNDAAYKKSNSIPHKGELHHSFPTNSTGNSVFPQSSEPNNSTLSDTRSHAPDPPQQVVPIEPTDSIDRFSQDLDTKYKTPLIPDKGNKTYVPFPFKGKKTPLHQNSLIALTQLNSQIDAKLTLNAFHKRYNDIKQQQLKFGCVNETPENNKPIGSQYYIRHRVIVKPDFSTTKIRIVLDGFIDKEIEQ >CRE28885 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:114459:117625:-1 gene:WBGene00085898 transcript:CRE28885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28885 MLSTLALKYCHQILYDPKFSIRKEFKFKKLPIIVRMLIIQLMDPAERLQYKKGTLIGNTAMAINKISNIFNMNGLGIQFVAATPSLEFVNKLFSNDTFKTSWNKITLDGCVFNAEIVNFFLNMADGRKEVQIFNSDMPLDFKHENAFKFQTNDYDDARWVTLSDMLNIKGVENVTLDKTKLTSDEVRHFIDSWINCPDDMFLWMKIRAMEIVQLEGLFNELVVLEHDMNPFNIVYSTLAKSTSRAYKLLLIQHSLGAVGLSAWKPYDNAARSGNIQEKYKNVYEIMELLEKEKTLEKEFEETTDATKQREYRDQIQKLERKIHELGVVYRDGRATI >CRE28887 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:120736:122227:-1 gene:WBGene00085899 transcript:CRE28887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28887 MLTFIDENSYIFVTNSKLKINFGPYNLKYDEEEEEITRQAMKSWLTSNSPTLQNIKRVFTKIQNLFICGKFGITYDMTNKNTTVKEVIEAPEFKNFKALHVFGVKCTTKEMDYLMENIQADQDLHIQEGEIPEDYNHPNLFKFTGIHYCDSRWIHLEHLLSIKDNYIITLGKNNLSPTDINKFLMHWVNSENDLFTMFHIDRAQGVPLKLNELFNDLVVLRVIRKGCWCWLIAVKSPEFRTKQLLHLNWNRETIYMNAISINGKLKTRDSEEYQFAPEFHILKMLERKKSLTHELNDTKEILEINMELQKKGVYYDRGLPTVT >CRE28889 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:126932:128146:-1 gene:WBGene00085900 transcript:CRE28889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28889 MADFHKELQILDCDMPLDFKHENAFKFGSIDYGDARWVTVSDMFQIRGVENVALYRTTLTSNNVRHFISHWINCRDDMFEWMRITAMEIIQLEGGLFNELVVLEHHFNPPNIVYFTLAKSTSRVFKLLEIYHEVNSVILSASESYDEHRNGNEEEMLKNVYEILELLEKKKTLEKEFEETRNVAKRRGYRDQIQKLERRIHELGVVYRDGRATI >CRE28862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:366639:368035:1 gene:WBGene00085901 transcript:CRE28862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28862 MDSTEGIQFVVHDANGILPERVVGVQNQYRKYDDGGYYLKFVSDDVHLPVGRFRVYLRLGNSNYRGFGFEFDVNPEVQLLYEPQNCRVGSLCPIIIRNVNEQYNVEISLTPEYMTNFVQIAENGDRVYTFRPRRAGQILSDIRIQNLPVMGNAFQLICLNREDGRVPMGYVAAPAGFDD >CRE28861 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:353719:354391:1 gene:WBGene00085902 transcript:CRE28861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28861 MGAPSLSNPHPDTEVKMPSVLPAMHVLKNTTAYIPLPFHNTYRRVRMNYQNIKYEHIYKTCSGNTTIDCGFWMNVENNKTVPAGRTTFDLKLGKLVVENVRQHDAGMYMTGDRSTVIMVFADNGL >CRE28911 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:291837:293964:-1 gene:WBGene00085903 transcript:CRE28911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28911 MEIDDLDKLLIYESRETVSLMVLNGNICTMNSSGIVTKLETQEKLLECSPLLNPIISSYIIENRIHVVSNKSISSFTPDSPLDYESYETEKPLTKGDIKKFGENRLMIFDRTSQTCSIWEADKPKNPSHQLCFGPSEYTVDVACDNFNLYSLTAAGVVSVWKLRPNGRKYRDQLFTTYLNHCSRLLLTYPQKFVILTASEVHFVVFGTDSDANGPSTRQA >CRE28829 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:11398:11697:1 gene:WBGene00085904 transcript:CRE28829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28829 MKALIPVLLAFCLLQVITADIFCNEKGNCIGDGKPSGDTRCGNCHSCTYKDCCHLKFTNPYSTCKKKGWTSDEYKFAHGIFKLNIENLTTSTYPSNSSA >CRE28883 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:110356:111350:-1 gene:WBGene00085905 transcript:CRE28883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28883 MSGVFNMDGLEMVFTKVTPSLIRLKDIFSNDTFKSSWLKITLHEIVLNSEIVNFFLNMADRRKEFHIFGCDMPLDFKHENAFKFRTNDYGDARWVTLSDMIKIRGVENVSLYRTTLSSNHVRYFIDRWINCQEDMFLWMQIRAVEIIQLGGGLFNELVVLEHHVNPTNMRYFILAKSTSRAYKLLSINHDVDYVVLTAWKPYENIQEKFKNVYEIMELLEKEKTLEKEFEETTNATKWREYSNQIQELKKKIHELGVVYRDGRATI >CRE28833 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:29353:30996:1 gene:WBGene00085906 transcript:CRE28833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28833 MTTSFPIQKLPYLVLMKTVGLMANTERLALLLTSKKTESLVLSLKFPNDKVHTIYFAEGSCGFMLVSDHGENATPIKFDCSVRNGAGRNEEMNVIQKWCDVEGDFIKRTQTIYTKIQKLFPVCGLSLRLNNVSTESVERILAAPEFKHWWDVYTSGNIQPDAIKLIMDHASPKRRIVCDSEVKLPIDFCHPKAFDFNVAKYSVATWASLDQLLAVRYVDVIGLGQTRLRCDDVNVLLHTFLETGYQMCNKLEISVTGGIDVDALTENLHTFKVVNGECNTIFLCTPTKTNSKIAKIVTYRNLIIISIGANEEDFLEARRLLTLIRTRNRIQEEMNAEDMRQMEIEQVEREINEAEQVLMAAMAE >CRE28827 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:8106:9492:1 gene:WBGene00085907 transcript:CRE28827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28827 MVTVQIWTAFFNPMVLFVWATSVFVFCVGKKNEAKLADGSMKPSSEFSETSKASTLQPQSTPQPSPQPAPQPLTTPKNSSSDTKPTPVKTPEEEKKPQLTPPKKVMQKTPDAKSVEKATPPNKSKEKVKSPKREQPQIKPETLDSSRKKKKKKDDTKEKTKTEESDNIIIIKKTEDAEENPKTDLESHQDDEQSERRRRKKEKKKKKGGSLERPESSNYAY >CRE28867 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:21629:23793:-1 gene:WBGene00085908 transcript:CRE28867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28867 MDPGFPPSAVFSAALTIVFLFFAIIVPCLQHFRNVFFPQTKEKKLKNRGHKKTKKSPFPLQKLPALPLELIASLMEKEERLCTALTSTKTENMLMSLWLPRVTGHTILICDQGNGLVADIIIGDENQSYFVVGCGAYDQRTPDHIRVWTVPKWCTTNRSTIYNTRMVYNKIAKLFPTDRLDLCIGRAKTRHIERILSASEFEKWRMASVKERIEKTAMDLIMSNVKTGRTLTCARDAQFPVNYHHDKAFCFEECEYYNAHWVRLHHLLSMKRVRKVTLVHTNLTPRDLNFFIRHCIQSEGQVCYSANIILADIGVDMDALMYGFLYVEDSNLALIMKGMVRVLCAILKTAMNGDGNDSRFAYICVAGSQVFCRIYHQDEGAEYMNVLEAMKARRNLNGSNSAMKKAIEEFVQDPERRYSLTME >CRE28845 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:123312:123616:1 gene:WBGene00085909 transcript:CRE28845 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28845 MSLPIADLKRKATPGEVDCYRDSFNSKSSESKQKQIDDNKEVCKEWAGTDSYSDKDSFGYGVCCDIFDLCGGMSVSEYCFTIDCN >CRE28870 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:46786:50088:-1 gene:WBGene00085910 transcript:CRE28870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28870 MAPGYSRLVYDSNTTLVVSSERDSRQQRQPNIFVRAAVVFMGFFEKRHNAVEQSPSPILELVSACFNGLFKIRIYEPLNLVSTNRLLEHKWEKFSLCGGKVKEAELQCLMNIAGPEASFEVIRCKIPEKFRHEKAFSFKSIIYDDAHWIQIEDLFNLQNADVVKIGDNNDFTNSEYNMLIEHWISHEEDMFKKLEIGRKRTGALHVDWVLEDVVFLEVDGGIGMGEDEETMYCFLAKNTTNRTQTVACVYWKNKTFHMQTFTPQEFGREEEYSILKCLNEQENLNLISELKQIKQHQAEFMTADTEELVEIFDKKCQIVQKIDELQDKGIPEDSI >CRE28864 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:13064:13318:-1 gene:WBGene00085911 transcript:CRE28864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28864 MKFLIAVLLASCLLQVVIADIVCNDHGSCTGDGAPYGDTRCGACHSCVYKNCCHFKFTDPKTTCYIPGWRSDEYNLLHVKLPVA >CRE28844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:122770:123042:1 gene:WBGene00085912 transcript:CRE28844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28844 MNFEDNFIFMFTWAINERVVEVIGNDDEPYAPEFEILRMLERKKGLKMELKKDPKKLEIRKEIDEIEKELCRRGMTFLNGWPTFFKADIE >CRE28830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:12675:12914:1 gene:WBGene00085913 transcript:CRE28830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28830 MKLFLTVLLAFCLLQVITADIFCNDKGECTGDGNPSADTRCGNCHSCTYKNCCHVRFTNPYTKCNIPGWSSDEYKNIHG >CRE28828 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:10086:10325:1 gene:WBGene00085914 transcript:CRE28828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28828 MKLFLTVLLAFCLLHVITADIFCNDKGECTGDGNPSADTRCGNCHSCTYKNCCHVRFTNPYTKCNIPGWSSDEYKNIHG >CRE28886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:118068:119476:-1 gene:WBGene00085915 transcript:CRE28886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28886 MLIIQLMDPAERLQYRKGTLIRNTAIAINKMSNIFNMDGLGIRFTGKTPSLVFLNKLFSNDAFKSSWNKITLDGIEFNSEIVNFFLNMADPFKEFQICHSDMPLDFKHKNAFKFGSNDYGDARWVTLNDILKIRYVENVTFARTTLTSNHVRHFISYWINCPDDMFSYMSIIAMETIQLGGLFNELIVLEYHDSPRSMIYFTLAKSTTRDFKLLFIYHEANYVVLTAREPSEVVKYGNLVKEFKNVYKIMELLEKKKTLEKEFEETTDATKWRELSNRIQESKRRIHELGVVYLDGRATI >CRE28891 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:131462:132974:-1 gene:WBGene00085916 transcript:CRE28891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28891 MLIIQLMDPAERFALSLTSKRMKTDVKLIKQQKYYPQINFKRDRFSRPFILLTLSGEPDFEICCNSLGYKRRGGGYHWLQYNKGALIGNTDLAISKLSHILKLCGVGIQFTKVTPSLILLEKLFSNDTFKSSWDKITLYGIDFNSEIVNFFLNMADLRKEFQIFNSDMPLDFKHENAFKFRTNDYDDARWVTLSDMLNIRGVENVTLGKTKLTSDEVKHFIDSWINCPDDMFLWMKIGAMEIVQLEGLFNELVVLEHGINPSNIFTLAKSTSRAYKLLLIQHSLGAVGLSAWKPYDNAAIFKSTRKESKDIYEIMELLEKEKTLEKEFEETTDATKQREYRVQIQELERKIHELGVVYRDGRATI >CRE28834 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:32976:34765:1 gene:WBGene00085917 transcript:CRE28834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28834 MPAVKSKPFRIQKLPDKVLQSVVGLMDIGTRLSLVVTSAKTENMMLQLEYPKEFIHNILFDEQPILYKADISVLPHCHSPDSVKFNCGRNKKKKQPLPSAYYNLIAKWSGRNTSCIDRMQNVYRKINILLPSHVMNLFLGKLKTVDAQKIMAAEEFSDWYRVRSLPGIKPETIRCVLDKADLNKQFCFDEEEQLPLDFAHPKAFQFEHSSFHDARWVKMPQLLTIKDVYEVRLGHSNFYCKDIGVLLCRMLESQHHMCKFFSVTYAGPFQLADVIQGVVTVKRRSNPLMFLVSPRTKNAAKIGYLTVHLEDSSLTIAVTNDRDQETETRKYMELFKKEIDLNAALKNMSISDSKMKKMRKFEKMLDAEKKEAAQAMLRYWNTPRE >CRE28837 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:52444:53718:1 gene:WBGene00085918 transcript:CRE28837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28837 MFSCWNIQFFNRKDPTFPLSKKMNTCVSFSRPQIADHCEVNLTDGNAVLTIPEVVEFSRKQISNWNNKTSIFTNFWPVNKKKLTSNTMKIVEKIQMAFPKITFSLTLHSNDLKDQNVMDALLNKTWKKVTVDGGELKADDLDKIMGMDNSNKNFVFKNTKFPTNYRHKNAFNFASIEYDDALWVQSDDLLTVTNKQVVRLGRTALKSQDLNLLLKTWHRSPHDIFDILTIRNNTNELNMEETFKDLVTLTVDWRRKHHHSVYLRTDNSNNHRDLPDLTVNISGRNIQMSAHNYDEWLDEPRILKTLIRKKSLEDELVTLDEGSVRRDEIIAEIENVLAQLTIDQIYFEDGKAKTEMTKLAATYMITLYYRTFRAQRGV >CRE28884 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:112455:114066:-1 gene:WBGene00085919 transcript:CRE28884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28884 MLSTLALKYCHQILYDPKFSIRKEFKFKKLPIIVRMLIIQLMDPAERFALSLTSKRMKADVKLIKKQYYYPEIVFHESDSFITLWGVTGFEICCNEQGYKYKGEGGYYWLQYKKRTPILNTALAIIRLSHVINIKGVGIQLTKVTPSLVILKKIFSNNTFKTAWNKITLDGIEFNSEIVNFFLNMADRRKEFQIFNSDMPLDFKHENVFKFRTNDYDDARWVTLSDMLNIRGVENVTLGKTKLTSDEVRHFIDIWINCPDDMFLWMKIRAMEIVQLEGLFNELVVLEVDENPPNSGYFTLAKSTSRAHKLLFIDYTLNAVVLSAWKPYDNAVRYGNIEEKFKNVYEIMELLEKEKTLEKEFGESRDVTKQREYSNQIQELERKIHELGVVYRDGRATI >CRE28922 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:360225:360449:-1 gene:WBGene00085920 transcript:CRE28922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28922 MLSTTPASLLARTLSLRNGRLLCHTSSPLLISPPGNSNDSASTFPSRTMNTVIARSLGYSPTVHSPPISISALQ >CRE28881 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:101730:103258:-1 gene:WBGene00085921 transcript:CRE28881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28881 MLSTLALKYCHQILYDPKFFIRKEFKFKKLPIIVRMLIIQLMDPAERLQYKKRTLIQNTALAINKMSDVFKMDGLEMVFTKVTPSLIRLKDIFSNDTFKSSWNKITLHEIVFNSKIVNFFLNMADLRKEFHIFGCDMPLDFKHENAFKFRTNDYGDARWVTLSDMIKIRGVENVTLGRTTLTSNNVRRFIYRWINCQEDMFLWMQIRAMEIIQLEGLFNELVVLEVNENPPNSGYFTLAKSISRAHKLLFIDYKFNVVVLSAWKPYDNADRYGNIEEKFKNVYEIMELLEKEKTLEKEFEETTDVTKQREYSNQIQKLERKIHELGVVYRDGRATI >CRE28875 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:71890:74356:-1 gene:WBGene00085922 transcript:CRE28875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28875 MSSWLPSFLHKKETKFPLPELPDRPMKNVLQLMEDMEIIKLSTLSKKMSKSVGKCDFKPLSYQVDVLDRDPDVFTFFNERWISNVGLESRQLAIPDPAHIIQLIQTAFPDSQFLITMVISKTDSLNFKIREILLTDEVKKYCTKIIVHGGALTVNELDLFINLRGLKRELVFDKTEFPLEYNHFNAFTGTNVIYEDARWIKISDLCGLESSTESLNLGRNLFYSKHYNELFKFHVNGDRDICTNIQIDCVESALNFEKMFEGIATLKVEKAGKTEVTMIHTNNITRKRPVMGISFKNTSKKTQICIQTYSINTEGNELQTKCYNILQKLNKKTDLEVELKQIETIEYALRGQEEVLKPIQAKKTKITKELKNVREELWEHAVYSVDGNARISPLD >CRE28899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:194268:199121:-1 gene:WBGene00085923 transcript:CRE28899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28899 MESIGPKPPTFPLRKLPFVALRHVIQVMEMDEIVKTAITSKYMETIVKVCYIRIGSTEISFNGENTVIFIYNPDLIICCGNKTLLLDKGPNVMKKNFKSWFSETLTVLESTQKILPRVCDLFHCNQFSLVVFSGSGNWTTKDILEIPDFQNFKVLYLYGVGFEKEELDDVMEFGGREDQSLQIDRGMIPIDYTHPNVFNYTNVYYWDARWIRLEHLLSIKNNTIIKLGLNSLLPTDINKFLKFWANAEFDLFRHMHVDNTRREPIRFKTLFNGLVVLHGYRCRRWYKLIAVKSPETRKRQILSVNWSNECIYMSAWDINERVQVMGKDDEPYAPEFEILKMLERRRALKMELDTVGEDEMRKQELTVAIDQITTGITLKGVTFRNGWPILSR >CRE28924 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:368446:369150:-1 gene:WBGene00085924 transcript:CRE28924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28924 MCLSIIALFENRFFVICSMRGNFIWEKFRPFWLIIHYIGVILIVIPLGMNVPNQEIALKQVFLRLPCLHPQIYEAPIFVMTDDITYPFIVCFSSTTFSVLEIVTFCLSLTWSILKQLKSGRMSRKTFEMQKRFFIALLIQVGVPFFVILFPFLYCARSVLFNYYNQAYMNCAIITWSIHGVVSTIAMVFIHQPYRIVILGVFRRRTHIVSNESSQRSLGVARTTQQPSIAPVHN >CRE28855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:245832:246404:1 gene:WBGene00085925 transcript:CRE28855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-sdz-32 description:CRE-SDZ-32 protein [Source:UniProtKB/TrEMBL;Acc:E3MXF8] MSLLPTCATFFLPSSPRAPTVQITHSVTKPLTALSAEPILKYLSLAKREHLVLHSESNQLQRIHTATPFNWRCCSIGDNTIELDVFTASWTFTSDNTVIRNSNGFRKEVPLRAPQDNVMKRILKYYIGRRGTRIQKLYLKSYKAVQLLREVGIIEKFDIVDKDGVSLRDNVDLVQLLPGEIASKIEWRMI >CRE28908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:279993:280993:-1 gene:WBGene00085926 transcript:CRE28908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28908 MGYCIILYLVSTVILATVIRVAELKKCLMVMFGMDLIVRIGVMTAGCLVVTDMKMMCFFLFLQIISSLIIVFTILPATPLFHTRYNNSLKVVFILFFVIIVIAGLLITFKLYHQGPSAKWGIALFVLSLQTYWLSIFDLLTVWNGNFGLGESEEEKKRPNPSDYNKSKSTESYGITGFTNCSSTRGLC >CRE28840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:75964:78613:1 gene:WBGene00085927 transcript:CRE28840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28840 MVKRNNNDPSTSSPASKIQKTDKIIENNQICERDDRQIQEIIDSQKRIETTIQEIAKQLSSADQIQMNNEDSESATATMIGCPKKSIKNETMLESGKSFILKHIFKDVPALETGKSLCSEWEDHFGALWRMQIRHQDTFLAIYLDFMRNENWENLTTELNFKVKIQPVGCPKDVYHAKATFEKNRKTVTNGYARLINWDDVERKYTENGRLPLEIQVKVNKTIDARKADLRSFGDERSEFSDVTLNVDDELFHVSKHILAYHSDFFRSMFVGNFKESKKSVIELKGVDPYDFENYLEALYGKTSIINDSTVEGILLIADMYGTQIIADTCTKFLEKESTKKSAKKLHMSCCYNLEGLKKTCLSQINTTSEIKAAIPKNAQILDRATMISLLEKLIDN >CRE28846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:139891:140380:1 gene:WBGene00085928 transcript:CRE28846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28846 MGADMNAVRDFKKKAVPEQIENFRKLYESSSSEGKQELIDLNKPQCDEWAKTDSYNDKESTGYGVCCDGLGLCGMSGWIIFLIIFIILLCLAGAAAAFYFFYYKRKMGGSDQEEIESADTVNSKHDISVDSY >CRE28868 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:25419:28164:-1 gene:WBGene00085929 transcript:CRE28868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28868 MEKNLKVEVVAVHGFPIQNLPLELLQLPVSLMTPAERLNLASTSNKMENALMKLRFPKVNLHSLHIHDGTNSGAKVADIIITSTNRADDSVLVVKCGTSSMYSERLVNVITFPKWIDARFSAIANARAMYKVIRHLIPSDGLSLGLGPMKKTDMKRILSAEEFDNWTSADVQGNHDMAAIGFIMNHAYKNRTLDCRANVQFSTDFSHEKAFRFKGVRYTHAPWVRLDHLITMRGVEYIDLGHTNLSALEVNIFIRLCIDSEKPACNCITIGLTGGAPDEKDVLKGFIYIPASVKVEKNMFFACSNSVGNPNIAIITLDDQTVSCHFNSNEKEILQPRITLNAMKQKAKVLKEMAALKLEDVEQRQRLEKQIEEIWDNCEKALAEEDKAHDALMKNP >CRE28841 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:79432:81891:1 gene:WBGene00085930 transcript:CRE28841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28841 MDQDTSEAALTPFSQQSKVLTEGDICHVLRDCKPFHLHRLPLLAFIPIVQSMEPVERFKLSHTSKRMLEMMKTVRSQLDFVTIVLKNDEAVIECKDDENEFHVECSAMGYMIVGTGRYQGILLLKPGSIAVNTARAFEKIIGAFKVKDYGIAIRGEAINTKDFSELLAHPIFQNWLSITIDGISLKTEDLNLVMDRADSKKFLEISNCVFPKDFKHENAFKFANNDYNDARWLSLEDAMKIESARNVTLGYTSFNIDDLKAYLKHVVENDKDLFEWMLIGVHPWTPLQDLFEGMLVLEYLYPFSSLSFFLANSASKTRKSPVIAIHRAPGSVTLSSWSATEPPLGGDVGVHETFKDTLTVLRLLDERSRLEKIVEEKNKDSVVERHEIMKLTQQMESLNVVFEDGRAYVLMY >CRE28880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:99832:101113:-1 gene:WBGene00085931 transcript:CRE28880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28880 MEVRLIKKRYYYPEIVFHESDSFITLREDPSFKVWCNSFGYKSKGERGNYWLQYKKRTSIQNTSLAIIRLSHVINITGLRIHFTKPNPSLVFLKKIFSNNTFKTVWNKITLYGIEFNSEIVNFFLNMADRRKEFQIFNSDMPLDFKHKNAFKFGTTDYGDARYVTLSDMFQIRGVENVSLGRTTLTSINVRHFIARFISSADDMFKWMQIRAMETIQLEGLFNNLVVLERHADSPNIGYFTLAMSSSRIYKLLFIYHNIDSVTLSAWKPSEVVKYGNTKKEVKKVYVIMKLLKRKKTLEKKFEESRDATKWRELSNRIQKLKRKIHKLGVVYRDGRATI >CRE28925 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:370558:370950:-1 gene:WBGene00085932 transcript:CRE28925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28925 MNLTCVPANYFDSPDFLTLALHIITVFSFPIHSFGMYCILMKTPEQMKSVKWHMLQFHCWTVVLDVLLSFLGIPFILVPAVAGFPLGILRYFNIPILYQSLLFVAVFGCKFGYVNSAQFLQPRSTVTEEN >CRE28882 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:108451:109958:-1 gene:WBGene00085933 transcript:CRE28882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28882 MLSTLALKYCHQILFDPKFLIRKEFKYKKLPIIVRMLIIQLMDPAERLALSLTSKRMKVEVRLIKKQYYYPEIVFHERNSFIILREDPSFKVWCNSFGYKSKGERGNYWLQYEKRTSIQNTSLAIIRLSHVISITGLRIHFTKPNPSLVFLKNIFSNNTFKTVWNKITLYGIEFNSEIVNFFLNMADRRKEFQIFNSDMPLDFKHKNAFKFGTTDYSDARWVTLSDMFQIRGVENVSLGRTTLTSINVRHFISRFISSADDMFLWMQIRAMETIQLEGLFNNLVVLERHADSPNIGYFTLAMSSSRIYKLLLIHHNIDSVTLSAWKPSEVVKYGNTKKEVKKVYVIMKLLKRKKTLEKKFEESRDATKWRDLSNRIQKLKRRIHELGVVYRDGRATI >CRE28863 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:10475:10744:-1 gene:WBGene00085934 transcript:CRE28863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28863 MKFLIAVLLAFCLLQAITADIFCNDFGNCIGDGAPFGDTRCGNCHSCVYKDCCNVKYTNPISTCYIPGWRSDEYKYFHENILMSKLPVA >CRE28877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:91363:93112:-1 gene:WBGene00085935 transcript:CRE28877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28877 MSTTATSSTSGIQVSLFNRDQWEKFYPNTEMVLNRTKGRQLFPLLNYILKGYEFLRNKWEKKREGYKIPPIDMKQHTDGWKNGSHWMNSPVSFKHIKLTNDPDNTKEDRVYVQSRHKYLPVVSIQKMGNSVKEEFRLSVTEFIGVTAYQNSHISSLKIELNPYAAGFKAFGGHNKLPKANMKRRGVKRPATELPYPGSPSEMQFAPNFTQSVNHYQENQIGMYPMQMAPGIPYNSQVSNNYRYPQQSHMMSMNNMVPWYPTNMTMGPSNQMQMYQGMHGMVPNMVPRMPLTSYPQNWQYPQGNMVAWNGGYG >CRE28832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:15051:15290:1 gene:WBGene00085936 transcript:CRE28832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28832 MKFLVAILLAFCLLHVITADIFCNDRGICTGDGAPAADTRCGNCHSCTFKNCCHVRFTNPYTKCNIPGWTSDAYNNIHG >CRE28831 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:14147:14404:1 gene:WBGene00085937 transcript:CRE28831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28831 MKFLIAVVLAFCLLQVITAQIFCYKSGECHGDGAPYGDTRCGNCHSCMYKGCCHFKFTDPENTCYLPGWRSDAYKHLHHEKRPVA >CRE28893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:137207:138817:-1 gene:WBGene00085938 transcript:CRE28893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28893 MSQQTPYPNESLSLLSETATTLENTRQLFTRIYKLFQCGPYKLCISSSTQNIKVILEIPEFRNFTVLFLVGGHFKKEQLDEVLEFEREDQDLHIIRGVIPEDYYHPNLFKFTDVHYCDARWIRLEHLLSIKNTFMITLGMNNLTIPDINTFLHHWMNSEYELFEFISIDIEKGPSTPLDVLFRGITVLKGYRFGTWRRLISVNSPDTRSRQIMSIVWSKSRIDMSTWSIHERPKQLDRNDDSLMHFDEPYTPEFIVLQLLKQRRVLDSKLEKVGEDYLKNQELIKKIDETNNQLQFKGVEYKNGWPVLRGVDASSRVLA >CRE28888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:124764:125827:-1 gene:WBGene00085939 transcript:CRE28888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28888 MLVFCNILGITSEPDAIATRSLQYKKRTSIQNTSQAIIRLSHVINITGLRIHFTKPNPSLVFLKKIFSNNTFKTVWNKITLYGIEFNSEIVNFFLNMADRRKEFQIFNSDMPLDFKHKNAFKFGTTDYGDARWVTLSDMFQIRGVENVSLGRTTLTSINVRHFIARFISSADDMFKWMHIRAMETIQLEGLFNNLVVLERHADSPNIGYFTLAMSSSRIYKLLFIHHNIDSVTLSAWKPSEVVKYGNTKKEVKKVYVIMKLLKRKKTLEKKFEESRDATKWRELSNRIQKLKRKIHKLGVVYRDGRATI >CRE28909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:282132:282776:-1 gene:WBGene00085940 transcript:CRE28909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28909 MVYCIILYLVSTVILATVIRVEELKKRLMVMFGMDLIVRIGVMTAGCLVVTDMKMMCFFLFLQIISSLIIVFISLPATPVFHVRYKSCLKVVFILFFVIILIAGLLITFKLYLQGPSDKWGIALFVLSLQTYWLSIFDLLSVWNGNFGLGESEEEKKRAAMTPEERTMQALHEVGFY >CRE28835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:35309:37496:1 gene:WBGene00085941 transcript:CRE28835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28835 MLRNNTSEKKEDSNAFPLYELPMFALNQIIESMDVPERIELALTSERMRNSIRFARAKVPYSIHFKGNATYIEIEGEDIEIHCSRHEYVPVGFERRTMTLGQWINRNNPSLSVLDNTVNNFLRIQELAPSSRLTLQFHLDWMEMEATVKEFVDIPAFQNWDIIMLHGNSGREPISAVKEYGLNDRFQVNLDNDYIMIKYRYGRH >CRE28836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:38333:40138:1 gene:WBGene00085942 transcript:CRE28836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28836 MDCLSSQDYTFFLGLLAGILTSIGYWNVLCIIGKAKAERKLEKKISDGFKLNKMPMLMIRKTIQLMTVSERVDLAFTSQQMKRKIQAMTAQIPYSVHFKGDETYIKMEGEDIRIHSTREGKNQIIGDVRQKKTLSKWIHENNPNFSSLENTINNLNRIQELVPPCHLTLHFYPEQMEETANIPAILELPEFRNWVKIVLHVEGIRTLDTAFQWLDGNTELVVDQFVIGRQHRRL >CRE28904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:243353:245051:-1 gene:WBGene00085943 transcript:CRE28904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28904 MMSVLIDNQSVTQEIQREIAENMIRASVGWVSSYTLLCLVLRLDAQKIRELSRGEKKIDGLSSYLQSEVESICQALTYNKEFFENLMERIASPDSEPTDAIICKTVERCLLDFVRFSSELLVHCGLLDTQIAQFVHNTDVALNDALAIMSITFFGVFTIRNSPKNERFTIRLLKNVIMR >CRE28890 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:129229:130543:-1 gene:WBGene00085944 transcript:CRE28890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28890 MSDVFKMDGLEMLFIKVTPSLIRLKNIFSNDTFKSSWLKITLHEIVLNSEIVNFFLNMADRRKEFYIFDCDMPLDFKHENAFKFGTICYFDARWVTISDILKIRDVENVSLYRTRLTSNHVRRFISRWINCPRDMFKWMRITAMEIIQLEGLFNELVVLEHDMNPPNIGYFTLAKSTSRAYKLLFIHYKLNAAVLSAWKPYDNADRYGNIEEKFKKNSRRDENEEMFKNVYEILVLLEKKRTLEKELEEIKDVAKRREYRDQIQELERKIHELGVFYRDGRATF >CRE28894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig84:140902:148117:-1 gene:WBGene00085945 transcript:CRE28894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE28894 MAPPLSKRKLTISDDDDSSGFVPFSAYRQLHEHVGVLTNIISDLRNALLSSSAKSVIDKVSSLVPAIPTIPVLSEPLFPSPLPSSTVPGTASLITTIPIPSNTQAANLSPSLPNPFEIALKAATILDKSKRAVLERFPDDPNDSNQDLSQLNILTKLAESHGLPKPSRIHRHPCKSKFRPLKIQFISSDDRDAFIRGYNSIKNLDPSIKSIDPKPRIPCPPLQLSSLPNTSSTLLPSSSSHTSFASCLANEPDVRISFLLSNIRGIASISKIILLIDLFASSNLQLLALTETFLDETVPSTLFNLHGLSILRFDRSPSIHRKKSGGGVAIIFKKSLSLSLIDINMKLHYPLHQSEIIACLISPSDSPLLSSFSPFTFCLVYRPPDTSRSQNAALIAHLDQFLPLNCCLLAGDFNYPSLKWDSPHTSHEFLSFINSKGLFQHVKFPTRSSSSSANILDLVITSKDLPVAKLSQHPPLLNSDHFSVEFDLLPYPIKLPSNNNIVTQSSKPRLNYNKCNLKNLNANLASYDWDLAFSTHSSPSSKYTIFIELLSQLIFRNTPIAQTQKPFRPSNLINRLRRTRHRYAILLKSASSPASQIHKLKSLLSTIKKKLKSRNIRSETLILSAPHSRAARSLIKKRVRVRSSVPPLSINNRLVSSNSEKATIFAQTFAKNFSPNNYLPHLSSLPLLHPVSPPISEIFPPWLIEKTSKNLPPRCGYTSHLANYFILKNCATSLALPLSIIFSDSLLTSEVPDSWKHATVIPIPKKGSLSSPENFRPISLTDPFAHLPSPLREPTNCCLFTINSMLWDQIQELERKIHELGVVYRDGRATI >CRE18309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig843:11050:11340:-1 gene:WBGene00085946 transcript:CRE18309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE18309 MNGGCFKLKYIVIFMDSSMDPINYQVVMNGIQFINRNRELKRSFVNDENRPWTVRGGVDIQRSIDGVTATVLDRSHELNRFHLVVWPDFAGNSHLT >CRE31584 pep:known supercontig:C_remanei-15.0.1:Crem_Contig847:10974:11481:-1 gene:WBGene00085947 transcript:CRE31584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31584 MTKFLAGRNEPKPTKVQRKEPNGLKFRLNNTSKKSLQVCRNKIPIQIGAEKLMKYSKELVKSHPDLSIEQALAIEKQRQNDRKRRAEEMVVDPYKSCRIEEEFEIYMKEADSYKDEEMKENGKIVEYEIKEIVEDVEGMIIEDKENF >CRE30283 pep:known supercontig:C_remanei-15.0.1:Crem_Contig849:11509:12790:-1 gene:WBGene00085948 transcript:CRE30283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30283 MRNGRGLVTSFIAAQRLANLRNTLWNRQQLAFSSSVASSSTPPVQEESEQLAIRFEYGLPLLDVPLPSRNEPCQFTMRPLSDSVGSLCNFLRQEDRGIDYVAVYGTNGVKLATCTSIEHLLQFGAFRLRLNDKFYNVSVPKSGTTPYDSDKLRQLDDLRATVASLHAALCVDEYKLSREKKLLLQLENAETLLAPLHEAKQRIEQECEAHTDRVMWSGFAAMGVQTGLFARLTWWEYSWDIMEPVTYFATYSTVCATFGYYLYTKQVRNQRIIQIANKYFQSFEYPSARERVYTKQFYRRAQKQNFDIERYNRLVNEVEDLRNQLKRMRDPLFQHLPVSYLSNLETEK >CRE27736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:232810:233333:1 gene:WBGene00085949 transcript:CRE27736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27736 MRRGKYSSNVTWFTFVLYWNMALVYKKFKMPYLSYFEALEECDLKSLEHRRLCIDLIFTYKLMVTKEIIIDDPIFEFLDHSRLRRHRYYLKSLTTNSNKLSSQILSNRVLRCWNSLSDLMFPVKPSTAVFKSRIYKYNLNHFLSLNPTNY >CRE27760 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:153551:156776:-1 gene:WBGene00085950 transcript:CRE27760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27760 MRQHDRSGRSLFPDSPNSKISVEVSSDGGKDKNEMSLIKQLEQISSVIVKVSFWISVVSEHTVTGSQFYRCRLLYYDSKLLLDRVTVTGFFDLCPAYPLTNLKHFKEIAAPNLPSTSTMHIKMEDGQEESGPIVIQGQGSSEDSSPGVKQKVVGVVQYVICQLCPEEEQKSMDLSNQQQMEEHFLDKHVDKEKRKCEACPSDQFQPHNIGQHYRLHTNSVYACQHCGKRGRRNYLMSHVRTHTGERPYSCDTCSKSFSDASTLRRHRLVHTGEKKYQCPVCGRAIARKDNVKVHIRSHGIHV >CRE27780 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:328971:329691:-1 gene:WBGene00085951 transcript:CRE27780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27780 MSYEQLQTIQREMKIVANNRRIVSFGPETTIKCTTSDIQRHPRLSLQAAADRIRVPISKSETMSNWCGAQLRDDQIQYAAMDAIVLHNINIGTALDWSYSPPRPSRPDISPRFFDPVAPTPTQMHKVAEIRFEMMEVVDWIWDVTIIDILEATNTQLGLATGERSWEIEVSKQVHILEDVKEELGDQRKSRERIGWTIEALRGVLEHF >CRE27748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:315523:317847:1 gene:WBGene00085952 transcript:CRE27748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27748 MDFLTRSNLTFFVSFVSKCQSCAEVKFSKKEEGRRKKEEGRRNNIFKKEEGRRKKEVKKARKKEEGIGSGEQFQRKKEEGIKFQKRKKEQGRRKNKISKNEEGSEKMPGRRKKEEGIKFQKRKKEQGRRKNKISKKEEGTRKKEVKKCPEEGRRKKEFRTAELRGRRRKKEVKKARKKEDGRKMKEEGSWVPNREIGSLILKMTI >CRE27768 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:204349:205713:-1 gene:WBGene00085953 transcript:CRE27768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27768 MDDYVQINLYESVTVRLVSDLEDNFRFLDLTSKACERQHNGKSFQNYAEKYNQFWYNRHFNETSPIYMKAEVKCNKELMKKEHPLDREKTLKLGYAFLPLFESGVRIAKTPFSATRKRSSMRKYCGNKSEAIMAHHVNRARKCYQCDQASQTPIMY >CRE27783 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:362107:363372:-1 gene:WBGene00085954 transcript:CRE27783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27783 MYCEEDYKLFVFDTGNANEEFFGEYPAEGFCNPYPPQKWLVTTNSGELKEFNQLNGVCVKKTICPCTHVELDNSNGADYLGNTDYYQTTLKYYRFSQSNITVGADGCQKTRFCSDGLTRLTFLGGRDKDTLPAELTNWIPSKTTVPAGIVEI >CRE27781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:343012:343834:-1 gene:WBGene00085955 transcript:CRE27781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27781 MSSIPAILRLLTSQKTTNRSQESEEQKTSRCVTVASHAISRRSEESDDVVKKRRSSTRIRNAVSRAKQTVRQRFIRNAAKRVRKSTRQGALLGIAASGAQLDEKKKLRADAKNFRENIRQYNNSTAVACMKAEVKLPSGGPYTYCVHKQMVGKNFNHILLISNPGGFKPASTEENFSPDKYRLLQRHARLV >CRE27750 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:323803:324003:1 gene:WBGene00085956 transcript:CRE27750 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27750 MEGDVFLEGFQVQASENGKSNFKLIDDDSLILVSLTRSKHFTTIFGNDQFLRSIPRWKSILELILA >CRE27731 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:197162:197870:1 gene:WBGene00085957 transcript:CRE27731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27731 MSSPFPLLRLPRLVLLDVFKSLSIGEKINLSLCSKKIFTLINNGRLYSKKVIVDLDMTFLNIEVHSENKKDRIQIFNCSGMVINNDWDIQQHRFEGHNVPVDPSERKTKINN >CRE27747 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:308797:309651:1 gene:WBGene00085958 transcript:CRE27747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27747 MVIIVSNNGTLCPEEILDIVDEMWRKNVNFIHVQKYRALCNGLLNEDYNWEAMVELRNPSIDPEKQRIYYKEFDSNILGPFQIKITDSLIEGHDIIRSFPRTYKDFIYMDTENS >CRE27784 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:364336:365339:-1 gene:WBGene00085959 transcript:CRE27784 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27784 MKPFLFFLLSVFSISNACLVIQYSVPPACACKAQKLDSSNIFKNVQEGNIYFYNVTTSIIKESEQDDGFPLMFKLQPDRFLKTQLHFELQVRISRAETSQK >CRE27745 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:293244:296797:1 gene:WBGene00085960 transcript:CRE27745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27745 MSDSEDDISSSESDTDTEDLEEDTDMEDLDTELESVHSDEECLEIEDAAKKHFSEEIWQLKKLPYRAELEEQANRHFQMIKKGLAESILLNDAETGFWHWTMELDRYIDFYGRRFSKEEHIQLIRIFLPLLKKGALFRNVKIAMRRLCTLLCKKDFLTREDLVIEWRPLMELYVEVTFKNLEDDGLFLMPVGFRTDLHTLIVYARPYFSDESVQELLDEVRPFMCIWDDKPCLQYWKLMDLFLCTSLPVEKQLTHGSAIWLDEAWYWYEQITNNSFFETKAIKMFARLSVECPGHIDWTDKLDLIFSRLLRSLRLRHVTGLCQIFNLKYGSIWLVFMMGTKSQEKLMSHLRDLFNQVESFLHPSNNGLHTKRIMVLLSKLLSNTLLRLKRERSKKSRTLTKIPDVMRLTQEHLDKLVNMLLPSLKLIAFTKTCKELVSPAFRSACLLCPKIILPVVLEMVYPALETLVEPHRLLQTLETLLGVLIPLVNDEPDENGKTYRIRVITIINSLLPCYLDCNDISKCQVTYKIITVIVNMIPIVDCSEAVHVRCDLSEDEKDLCSATASFDSLISMLMDRMFDMLIAVGQTASTTSTHGPISAKTVNNIEDKIFHRGTLSVFRGICRNSSTELFNIAVNKLYNFACEHVFDSRVANEVIGDMIQVACQFHPDIVFQKFFKLVISKLQGCITPEFYTDEKVEFGTLWWISISSRIVKAHPKLLLDNWNMVETLMDLVMPDKKCTNATEKALNVLENLLSQLTSIQINSLVERRKMYDLPTDKFLAIRHWAAPVDKKNWNPEWIIPTQESIDRSTELILEI >CRE27733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:215526:215957:1 gene:WBGene00085961 transcript:CRE27733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27733 MELANFLDTKCPGWQRRSLTTINDRLSNIGSITIRFAHRQREIVGTLVMESFNSTNAFFWFQEIRRWCTVSQYYFIQYGIELVQPETNLFRILPSFSLEEDEVSSDNLFPMELIRLDSNFYPLSFYS >CRE27778 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:319024:321118:-1 gene:WBGene00085962 transcript:CRE27778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27778 MALATLFIPYSFYFGLYDIYYKTDTKLDDLESSEAQKLLIKWILKNILIIAYFFPGLPLRWFINETTLLKYGSAGIALTTVWIPCVKNYPNLTILLMILHVICFIVGPFNTFRNFLRGGYRQYRGSTLVQYINMFNLFGKAIDSAHLLIPLVLIHAFCFVIGPLHYYIQYIREYIQGFHREPNDNDLFIFSGFFWLFTGNGIVKHFIEARVPDKLILMDHLSYMLVPQTPLDFAKVESSLAIVLFVLTMIPERVHVEKELLIILFIFLTGLAYVGYSCVCYSCI >CRE27767 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:200419:200862:-1 gene:WBGene00085963 transcript:CRE27767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27767 MSISELISEDEKWCVIDYIDSLPYFKRFDGVQKKEIHHLLIHSYYECMGGFDFKKAHLWSNPPGDDYVFNIHPFDQPFLDSPQLICWYQKLLRDGQDKKILAVFTNFKDARSRLQKPVDIPVCLLDPMNLKYKIMHLFVVFVLKFEK >CRE27738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:237924:238319:1 gene:WBGene00085964 transcript:CRE27738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27738 MAQPVPPPNAKIVFKLPKDGDQKKATNAMAPSVLPGARPTAAKQLSRMAAVYPTLYVPPGVIQATEAGKEESNPLLFRQQKPESNPQESNPLLFRQQKPEKKWVRFNGRLCRLH >CRE27744 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:287996:290729:1 gene:WBGene00085965 transcript:CRE27744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27744 MSRLGCSRYITRGWNGRRGLGRPVIPIGALLIRAAHISSTFAAEELFIITLQERGNVRNDLIRGQSAPALRDENGVNGLVGNLPYCTIHTVDSLFSTPWKQDALLKVLDKSKGKLDVAVMKCCAGNMVWTLKKVE >CRE27775 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:311917:312279:-1 gene:WBGene00085966 transcript:CRE27775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27775 MMKHLGSIMSYSSTQKLTSAQQLDFSLTVKSFLIELRTTYPDMTVTPKLHILASHVMPFIEKFGVWGKTSEQSIEHFHRLLARLERQFGQVSDIITRYKCILLSHNLVNLRHDTLFKSRF >CRE27777 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:314722:315180:-1 gene:WBGene00085967 transcript:CRE27777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27777 MLRTETGYFLDSLRSVTSRKSVKEGSSFIFKLVPLKRIVPSSLHIVMGLAQTYGFNIIKQLADSQDAAEPTPLPKSSQKLKREGKEELEKSKKLVKDCDLHITSMECVKKSYQNIILKTIDDSGLEERECASKMCVYRDSAMDTASFKIATR >CRE27774 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:285352:286626:-1 gene:WBGene00085968 transcript:CRE27774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27774 MNKRRKRSQVLELDDISDSCSAESSVEDDTFSVSFDQLDEEDSIPEYEEYLSESSVDSLDFERCESSSDEEKEVGVSERVGTEHVSDHEFYTEDEDSRDANCSQEPNTKLEDYRVLTYMNFVLSEGISVKTMQRMESLMTVLYNSPPPILYGEINKKLEDLKKEAVRSVSYYCHNCGTKKAGKKAGCSICSLSDNRLCETVTLIQCDYMKQIRSLLEEKGHEIVEAHRKIHSKKEMFESNDIRRYPGYQREVECRHDFTNHKINLVCTISSDGARFKRVSKREATPVLMRVEGVDMETRTGGKCMILIAMCYSDGGVKKNFVNVFVAK >CRE27782 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:358909:359825:-1 gene:WBGene00085969 transcript:CRE27782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27782 MDEETKIRMIFALSAPIDSGFLVKIKESDDVQTDHARRITEYKTKAGGFELREELIYFPSDISKQAVISTTNALVPTVPKSSGRRTVNKAYSPCIGAFDVSLRLSISLSVSRISPFNFLLPTYLNTCVCFLTSRFTSPNGD >CRE27769 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:212579:213138:-1 gene:WBGene00085970 transcript:CRE27769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27769 MARLNLNERRLVEQAETLRLEKEQLQNELAQVRRDLERSLRNQAEAEVIHEDNANELGEVRAAMAAMRAVVQGYDGGRGIHAAMAGSSARLRPCILLKMYRYPHNRSEPCQLPFM >CRE27741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:273544:273855:1 gene:WBGene00085971 transcript:CRE27741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27741 MPVGLFVNACTINSIGEIIDNRPESFKFSTDSTPITVRLFEDGEERYVMKEELYHALNRVSPESEDLKIIHSDGLIFEGMSMKDVEAEYGDRIQNIEVWREEK >CRE27734 pep:known supercontig:C_remanei-15.0.1:Crem_Contig85:218454:218790:1 gene:WBGene00085972 transcript:CRE27734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27734 MEKLDSSYFTGHHLAETVKLPFNGGCLPDHYKKAFGVDIHHRMSPIVMNLRLPHAMEFIWFRHEMECGDTTIDEEEETEVKFSEMETAESDNDNH >CRE05293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig852:242:970:1 gene:WBGene00085973 transcript:CRE05293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05293 MSLALYCRECALNFESLPEKEKHNEEVHYGFAQPYPEISEKEFELMSSWNTHKLVHHCPVCFRHFRVINHLIEHLATSHPIRCLNNPLAQTSKEVVENYWKLLDHVLPGERANSMRLWKADTVSKKCPYCPTYNPALRLTYNHIRCYHHRRGNNIPLPAYEKYLRWKDHVENLYPGQLKKVSNSMKFNASNILFLQMDEEFIYGHGILDQPQEEDFDAIFLESFPF >CRE05294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig852:2776:3450:1 gene:WBGene00085974 transcript:CRE05294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05294 MPMSSGSPPLQTKHTPGFRFRFPSQTTIIGATQSGKTTLLKKIIENCSTSFDTPITNIFWFCGVKTPGIPTNVPNLRVYEGLPDVELLKEHKDQTNVVVCDDLMTEFARSKDSLNLLNTLFTVYAHHYNCAVFNLVQSAFALPPVTRNNSTYIILMRSLSDAAQIKNLLVQQFGDRWRGAYQAYEDVMSKPYQAMMINNDPHSPPSMRILSNFIEEYPVAYETV >CRE05297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig852:1219:2598:-1 gene:WBGene00085975 transcript:CRE05297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05297 MTDFYVTLPSNVPNAPFHNTTSRYVTRLPEVLQLQRDEWVVALTDLVYPHSFVNVGRPLHYWIHFKGGRQPIRVTFPAADYSNLEGVISALNNQVHTRMKRSAVDNVIEENLKKAKREMPKEEKESILAILNDKERMKSAQNTPVVQSTETVKQSPVQTPVPTPPVPPPVPTPPVPPPPKKIEEEKKTTPAPKKIDEKKIDEKKVELKEEKQTEQKKIEPKQEKKVEEKKTEQKEEKKVDEKKPDVIKKPPLKKPEEPKPVIALSPEMKQSMAEYAAIANNVLNRPNDATTYRNMMEEFERIRSLVSVDKPDYDTNQYLGFTVQDGKVKINFLNPAEVLFVEFDKACGYFLGFEDTIVRESSVAPHKVDFFGDVSVIYLYSDLVDPIIVGNRKSNLLSVIPCTGKYGSIIYYTVPNPRYVPLINSNIDSIRIELLTDGGDPIPFSWGTTIAVLHFKKIK >CRE12402 pep:known supercontig:C_remanei-15.0.1:Crem_Contig856:6081:7158:-1 gene:WBGene00085978 transcript:CRE12402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12402 MNEKNTNCSCNRYGKKRLTKEEEQVMMIILLMMIVVPLVVGLGFFLIDYILQRKRSWKFHEITNFPKQPDWLMKLEVSALTKKEEKYFTIYTKHYSKEFGAKIYPTVVKKLREVLGNHFKFTIDSDVIPDLTCIISVPKRNFKCGGIPGEFIPKHGESPGCYFEYQMIGDDRIQVTWFMVEGKKYVAGICLYMKNPEQCNYKYREQVIEQLLKEPDYWSTYGLKEETMKVLIRKDNEEWNLFTNNRYGEERCFRFISEKRQLDTYRPFASKHYGKKKSSEYPGDLTVQVCKSRNEMVMIGMRDGERMHATWNKKHQQMEYYRCASCAELYDTPPPTYQSLQHIH >CRE11567 pep:known supercontig:C_remanei-15.0.1:Crem_Contig857:1374:2321:-1 gene:WBGene00085979 transcript:CRE11567 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11567 MNKLLKRSPKEDNPEIVPCFIFDEDASKEVEDETLLPGGVSLKIAMLPFPGISVNWVGRRAVSKAVVRILDLICSRDKYFLIYSSPDSDRPTTYPTLSLDFFTSFSTRKHSTVYSEKRRSKKKTEVDGLFAEFQQLIEIDSQFRLKEDPIHNLRSIPNVVKARRIERSDPISFESEMAENHASSSAQTRKKPSYFFSQTGFNQNRNARQWTIYF >CRE11568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig857:2491:3097:-1 gene:WBGene00085980 transcript:CRE11568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11568 MSQLFRTPLRFNQQRNGIPKIPKRSFEGRFPACSESLKGETSDTTKRYQMEERFPTSSDSSREEPSGVSKDTKRFKLLNRSYTEKESITDHYISRHQQSLHREEEDIYSEDEDDMSYSKSTRIESPETLHTEEAPKNRKRFNSSERNAWNPANLITNTCYNQSTGILL >CRE09901 pep:known supercontig:C_remanei-15.0.1:Crem_Contig859:8686:9779:-1 gene:WBGene00085982 transcript:CRE09901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09901 description:One cut domain family member [Source:UniProtKB/TrEMBL;Acc:E3NNV9] MSTTNVIKSAKDFEGYQEEATLKELVGKNGDIENVIEVSENIISSNFLDFFLNDEAVEPADDNNTDKNLITLLDDICAESPPLDVSGTILNPDVFTTDKKMLRGNVVEKENKVPFGNGLGARWSYNIASFGLYPNQPEDSAIRAIRSGEKKRILKAKKPYNIPAPVPVNPDISLQEVTHILDTPVSGVINTKEVVGDINSWLKKAGINQTTFAEKVLEKTQGHFSVISRNPAPWEELLAPGKAVFVRMYNWMKLSEEEKNKILNVEKVSKKKDLQEKKKKTRFTFPKEQMEVLMGIYEVNDRPGKELIEELAEKFSLSPNQIKDFFLNRRRRAKKSNL >CRE09898 pep:known supercontig:C_remanei-15.0.1:Crem_Contig859:3652:5263:1 gene:WBGene00085983 transcript:CRE09898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE09898 MSIMNPPNEPQGSHPQTPSTSHQMTVSVPSTSGDSNRRSEPTFRSQESSGEEQDISDMDSNVEEEQSENNGSNDHPPSIYHQNLDPTPSTSNEWNQEANTWNLRDALSEMKKLHPSIEQNILQTETVYNPLNPNLTSSTGQDPSTLYSPTHNPTYQNLKKVWFSGDDGGNYQEVKSPSIDRSESALQSPDTSVPQDDEGAMGKLSIKNLHISMTSSGALQSPDNTVQHPKSLICDNAEATKNAVERIDNYNVPNPLHPKEIVKKYKNWRQERRPGNANIKKIFGMDGRTISAYLVTPQPWDKCGKEKILYLRMHNWLQLTPEEKEEVLSLDLNAYREKHPNKQEGKYERPMLPEDIRKLLKEKIDLKGSALSDKEMRQIAKEKKLDFLSVNNFCRNKIREKKEKNKKKKRARA >CRE26738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:70733:71641:-1 gene:WBGene00085984 transcript:CRE26738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26738 MGSAISTRKSPRTPKNTVVHEEFAVCSLPSASSGYDRTTKCLNHYPSEILQFNKKTARKISNWCKFEMARDLVEKIKKMKYLSLASIAVQNQEYNAREEPEIVMDEDSKQDVK >CRE26718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:232139:233179:1 gene:WBGene00085985 transcript:CRE26718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26718 MPSTPFPLLRTPHVVIREVLELFHCRELVMFSLCSKRTTRLVKLHKNRYNGNELHVNCDTVPEVRWCQTGDQSTYTLLGVSSMAEVISDSKEEIIEIRGHRVPIIYDHLSKIWVTYWDDPIQGMKTVVEFLSSVHLDSRLNFRFNEYEFILQNCAAPILHLRTNPVEILIYTYHGHFLKRDTIRIANGQWVTLDNLLNLNCAEVNLEFTLLNCKELNEFLKQWLAGKFPRLEGVRIAMKPFHYSEEDLLKGIENPMTKRVEGKEWKRKTFKFSSRGWDIEMDDGRTLTACYSINNMSVCMAVWPQ >CRE26707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:79944:80508:1 gene:WBGene00085986 transcript:CRE26707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26707 MSLSKSEIEELFIKEATPIMMAEFLNVVRDKIGKEEDVKFNKLKLTESLKVPEVQCERNYAEDTEKLIKELMEKYPSDEQKQEEEAETVGDDTLLSCLLPSRTEVNCKWKECEGSKNPKALCVCGPKKCKMNSDGLCDKKDDEDDEEDGSSSLFVFGTILHVTIFYLLSSFF >CRE26719 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:233972:235519:1 gene:WBGene00085987 transcript:CRE26719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26719 MNLLPPLFSVLTLLADGCFPRIYFDDIDRRIPELSGKVKECCKFPVLSNGLNPTIPLQENWKNCENIRLTCEISSVYKEIAEVSVLANYTNLHFSKYKKYKLATSHQQVSVTVHCNRDTKLWFVVGGNRSNEYKKFTCLWMMDSGLYFPSFFE >CRE26712 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:153511:155151:1 gene:WBGene00085988 transcript:CRE26712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26712 MGQKSANVLVILRDECIHQLSRLEEAREMNLVSGWDSCLGYPHLIVCDLHSGASKPLSIGTMVPEDLSSQNKKLYAVLKFSIMSTVRITNSIKMSPVVVISR >CRE26758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:293943:294551:-1 gene:WBGene00085990 transcript:CRE26758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26758 MSKEPEETPEMEGNTEKEVENENKEDVKEPEVVEQKAAEAEKVEEPRYFKLKIVIFKLKIMILNSEIAFTVKNWSKLSFLREKSLFS >CRE26741 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:86772:87083:-1 gene:WBGene00085991 transcript:CRE26741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26741 MGVLAPAQPPPPYPGSPAIRSDSDIMKVGEEEEEEDEPTSTTEDMEVDGLEEPLLNDDDVIVGANEEC >CRE26735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:11455:11901:-1 gene:WBGene00085992 transcript:CRE26735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26735 MLVHITSQEEFDSITKQKSDASFILYFREEKSKNCEKSDVRMSQLSQQHQNIEILSIDIKECAGIYNHYNLSEIPAFILLKNGKIEEKLEGEDNKKFEELVEKTCG >CRE26743 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:149770:151663:-1 gene:WBGene00085993 transcript:CRE26743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26743 MGYYEEQNTLGKKNGGGSNAFPARCSPNPGTWMTILGTSPSKNERCGQGVERDNRTTTLKFYMRETSINEHWQNLRNEGSSNQFHPNLPINVYETRRGGSFQFDDITRPTGELESRSHDIIIIIIIIILRQ >CRE26752 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:242953:243270:-1 gene:WBGene00085994 transcript:CRE26752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26752 MEQQTEISLEKEFEKTMTMKQREGKEEQKKKETLPWKLCTICSEEYGEEGDRTPRNLDCGHTLCLGCCKMIVQLNKIQCPFCRVDTQLIGRTVSNLPKNYLALSM >CRE26740 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:76753:77400:-1 gene:WBGene00085995 transcript:CRE26740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26740 MQLLLVAAILLAYGPNFVITDFNSDIEQSVCNKVLTELVENLNKERDEEAKKLGMKAKVLKPTESLKVPEIDCGRNYFEESLEFGKELREKYPSDDRKQMEEAEAEGEETFATCALLSRTEVNCKSKVCEDKDPVTLCICGPKKCKMDSDGLCEEKDGSSSLFVCGTIINLTIFYLISSFF >CRE26722 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:258996:260163:1 gene:WBGene00085996 transcript:CRE26722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26722 MGYTEKFMISHTFQNVEHGKLGGYSGPRKTINEQNCYIFCMKTNESNWHCCLNGVETVGTTDGRIENSPGITLQDDPKYFVNGNMRVECYVEVYEVDENGIRIPPRLFDESVKEYSDVVLIVEEKKFYVNKLYLASESSFFKYLFIGSFEESKKDEISLKDVEAKYFQLFLESLYGDPVINGILPESDQNCGRYSCCNVRESQGNGSRRVGIPFSKSSFSS >CRE26721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:251955:253465:1 gene:WBGene00085997 transcript:CRE26721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26721 MFSSLSLFRNYVFFRNNQSVSSLTMDRTGKFIYSHTFLNVELSNPIYHLGPRKMINGLDCCISCYKQNESEWVCYLNVWPKPSLSLGWKIDYKIKTKNGFETVGTIDERIEDKSGISFREDPKYFVNGKMTIECHVEVYEIDKNGIRKPQTVTENERGIGLHNQKSQLTNEEKELQTAISLSKKEFEQQKSKERQKAKEEQKAKEQKKKKETLSCTICLLEYGEEGDRTPRVLDCGHTLCLGCCKSIARLAQIQCPFCRVVTQLTGRTVSNLPKNYLALSM >CRE26715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:209478:210806:1 gene:WBGene00085998 transcript:CRE26715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26715 MAEAISDSEEIVEIRGHRVPIIYDKQLKMWVTYWDDPIQGMKTVVEFLSDALKCPPVRIRFDRKSMWAIDYANSIGITTVYSDSRLNFRFNEYEFILQNCAAPILHLRTNPVEILLYTYTGHFLERDTIRIANGQWMTLDNLLNLNCAEINLEFTLLNCKELNEFLKQWLAGKFPRLGWDIKMDDGRTLTACYSYNNMSVYMAVWPQ >CRE26762 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:386607:388359:-1 gene:WBGene00085999 transcript:CRE26762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26762 MATAYPDAKDSKFVHMDKKADGINGNSMDSEEKVKTVIVKKFEGSRKNWRKGAVKLERHVSKDVFADSDDEKDSDAPISEEKDSEEVRKAQEHLKNMNIQFMDEIFRVAATFKSTKDRIEMIKKLGDEYYKDEKIRHAPQPLRFPATSFTGEVLSTQNTYIDLMPSLNNSVDLKKRKGGTINCNEAKMPKTDE >CRE26753 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:244732:247180:-1 gene:WBGene00086000 transcript:CRE26753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26753 MVRPGKFMLYHSFRAVDLSKESPCCGPIETINGITCWISCFKMSESKWTCSLIASNQTLGMKIKYKIITKNGYETVGTTDEKVGDSSTIFFRDNPKYYVNGNMTIECHVEFYEVDGNGIRKPGRNTQFICASSLTMDLTEKFVLSHSFRAVELPNGDWASGPTETINGIDCYIYCHKVVESTWACALLTLSEIPSLGWKVEFKIRTKNGYETIGTGDGSTQKYSDIWFQDDPKYYVDGNMAIECHVKFYEIDGNGIWIPRKVIQKETEIGFHDQKGS >CRE26720 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:249430:250653:1 gene:WBGene00086001 transcript:CRE26720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26720 MVRPGKFMISHTFLDVEHSKPYYYYMGPNKTFNGIRCYIRCHKRKESKWECHLYLLEPPLSLLGLKIEFKIQAKNGVETVGTTDIRIQDISRIYFGDDPKYFVDGNLTIECHVEPYETNDRIMKPQTTIENKTEIGLHNQKSQLTNEEKELQTAISLSKKEFEEAMAKEQQNPKQQQKKKENLSCNICLLEYGEEGDRTPRVLDCGHTLCLGCCKQIVQLNQIQCPFCRVVTQLTGRAIYNLPKNYLALSL >CRE26739 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:73169:73738:-1 gene:WBGene00086002 transcript:CRE26739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26739 MSSSESEIEDVIRSDATTAGVAKAFNAVRAQTEKQYGIETKQLKPTDSLKVPEIECGRDYYEEALKLNQEVGSKYPSGSREQLEAVETAGEDTVASCFISTRTEINCKFKECEGSKRPKTLCICGPKKCKMGSDGLCDKEGSKDDEEDGSSSLFVLGTIINLTTFYLIASFF >CRE26730 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:353846:359427:1 gene:WBGene00086003 transcript:CRE26730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26730 MDDEIPTLRLLARTKHVESGGGEVEEEENLVGRELVEEEEEEEEDYFDEDSDGEESATKPPSDMTSHMVQKIEGCRLKYGVIQFLTLFVGDAKARWENMQNFDDLENGNDWRTHWHVKDYKKHNKTHWQKIFKRYRLNLGPNKPDDPDFRERRTAPPKRPRRRSSESSSSPPPPPRRVLPPPIPFIDNYESIHVPKGTRLAQIQQERDRRLRARLGPKPPKIQKTQFESAEAKAAKRAARTTVAVLRDERGWTRFRSHRYTAPKFHNDPGYSDDVENQNQGEDVKPPMSSDIEDWDSEDNDEEEEDETEGRKELWEMPQDQSTISRLSTAIETKNWSLVEELIKTGFQVHSLLFRRFNLVDEICSAANNIPEEYQNLFVLLAQRGADIRMAYIDERTPNRLKRIEERDLYLDLIRKSISDGIKVIYQKSITSGFPVITFPGNENGRVLSIQRSSFRIEELEEDKKYAFVIVAMEWDGETFQVRQHLPLLTLRFNQEKIPIKCHETPLTEYPAFYSIHPTKGKNEFEIHLKQRMNGFIAVWPILVVEESSLEEKEDDGSSM >CRE26708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:83427:83976:1 gene:WBGene00086004 transcript:CRE26708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26708 MSSFENDVKELLYKEASPAVMAELLNAFRDKMTEEYGFKFNKLKPTESLKVPEIQCGRNYIEDLEKLIEEAKNKYPSDDQKQLEEAENTGDDNFFSCLSPSRNEINCKAKECEGSKDLTMLCVCGPKKGSEDGDKKKDDDEEDGSSSLFVFGTILHLTIFYLIASFF >CRE26709 pep:known supercontig:C_remanei-15.0.1:Crem_Contig86:85545:86351:1 gene:WBGene00086005 transcript:CRE26709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE26709 MLDDGDGPRVFRKKTSFPTQEELNFFHANVSIGKEPTMKRQNAAYRAMDPVRIKFINLDKVAFGSDLHYHMSTLFKRNVWFQLEHSGLLEKSGIVRNHLTYNLSDGGVIMNKLADAMQSNKEISIDEGFSVTMNVFRPKKQ >CRE14391 pep:known supercontig:C_remanei-15.0.1:Crem_Contig862:9776:10491:1 gene:WBGene00086006 transcript:CRE14391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14391 MSKFLSSSLTRRAVFKPIGPLLAHAHLFGATAESTSVDVPKTWEYSIAMCLLSALFKIGRILIQHFIRDQKLFLDEDIKFSLDKHRPAKNSGNFESAEVAGTTRMLNESRYSKIENID >CRE15264 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8626:100:524:-1 gene:WBGene00086007 transcript:CRE15264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15264 MPTNFSIEFQPPSYLAEHFYISGTISVICNAFISYLLFFKGKKLDTFRYYLLAYQLFCAIGDIHLSVLMQPIGLFPITAGYSNGLLGKFLSVPVDIQMTLLSLLASMHVIC >CRE15075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig863:6699:7148:1 gene:WBGene00086008 transcript:CRE15075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15075 MNVRISSPELEEEESSITPEIEDQSKRSPLPEDQSKRSPQPKDQSKRSPLPEDQSKRSPQPKDQSKRSPQPKDQSTRSPQPKDQSKRSPLPEDQSKRSPQPKDQSM >CRE29421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8648:86:1021:1 gene:WBGene00086009 transcript:CRE29421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29421 MGWAILHSQIGVSQMPIPRDRTSQYGAYASTRLNLADDLKVLLGTRMINYKMKGLQGVVEEKNRFIPYVGVVYDITDRVSAYASLSDIFMPYDIWYKSSANKILDPDEGKNYEGGFKFQSDDAKLNASIAYFEIHESNRAIEDIVYNGAPSNPAVDFAWIGAKAKTKGVEIEASGEVFPNVQVQSGYTYKQIKDHDGEKISTWEPEHQFNISTQYKFDQQLEGLSVGGNVRWQNHGWQTVYNNVKKRSEVIDQKAFVLVDLMANYQFNEHLSTAINLNNIFDQHYFTNIGFYNSGVYGEPRNFMLSVKYKY >CRE16523 pep:known supercontig:C_remanei-15.0.1:Crem_Contig865:3842:5899:-1 gene:WBGene00086010 transcript:CRE16523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16523 MGKFSKYLNDLPDGKKKCIICSHEFNKQKDSSTNVFKYHFSHKHPKEWNKLTGNTEKLEETDDPPMKRPFFQKTLEQSFSEYESDGVKSKRIERAVMQLISSASLPLSIVDNVAWKNFTGIAIPRFKNKSRHYYQRTVLPEVYMEYKDKLFRELKNASNISLSFDGWNDSSNKHQYLGVIVHFIEKDELTFRLLGTIDISAERHTGEYIKRKITEILEEFEVSDKLVACVRDGASNVKLAAELLDRTHFDCMAHKLNLAVRDGIDTFQNARSILDKFKKLCKIINKSGNLRREYEQISETFNIPALSLKKHIEVSFTVRWNTVHAVFERALKVKEVIDYLSSEHADWPQLSGLEWSTVESTVAILQPIVDATLLIQNRGMTSSAIIPLCTVLIGELNSNEKFQKFCQAITGRLQSELAKYENNEYLQFGTMVDVRFKGDYTNEEWKKKLLLKMYETQGSEVEDTDELSEVSGVKENAFSRFLKGADIKNMPKPATGSRREAIHQEFLKWFEQKSDIDQNPIYFWNRAVNKGMFPTLHSVHKLYLCSPATTAEAERLFSSARTILTDNRKILSSENFSRLLFLQKNIRLMGFGCQNSRPDN >CRE16522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig865:1886:3009:-1 gene:WBGene00086011 transcript:CRE16522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16522 MATATLLPYLLEYSYMCKFTRNNYCDQNFMLLTGVLAGCGRAVYKNNIAEMYHGAYIYFIGMFVIDSCALFGKPRKVFFLRGLVGKYVICRIGHKVRQGYLKSLLSGDELNLELIYSQRVLNGKVRKRLMIIRLYNVDSVKER >CRE16520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig865:6499:7381:1 gene:WBGene00086012 transcript:CRE16520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16520 MTKSQYFYILMCLLNLKRRTTRKKRRKNRLTEYRNRLTTQIGFSQPLGSLNPHHQFHIVGSPILDSSSVRDLGILFEPDLKFRAHIKKSVSLARLRSSQILKSFKSNNPAFYSFLFKTYVLPILEYASVIFCLAPPHLSLDFWNPLYEFTIGKLSNDVISLIRPIHIVLNSSQSTL >CRE15176 pep:known supercontig:C_remanei-15.0.1:Crem_Contig867:741:2321:-1 gene:WBGene00086013 transcript:CRE15176 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15176 MFKAKHNRGRMLSRKAVWIFGMVERSSNKALMFQVEKRDAATLLPLIKDHILNGSMVVSDGWSSYGGIPQIQANFAHRWVNHKLNFVNPTDRRVHTQSIEATWGAFKRELKSKFGIPDENLGEYMAVYMFRRFFRRERLLNHLLIEMKTFGRRRNQLETTSQSPSDDSSQDEEEPIEDPEDADGTYRSENEHSDENLIDVENLPSDDKEVYPVPMTVCHIIPVQWRAILIQTTADLPLRRNQNANYQEAVRR >CRE20113 pep:known supercontig:C_remanei-15.0.1:Crem_Contig868:3193:4973:-1 gene:WBGene00086014 transcript:CRE20113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20113 MGTTHFHRLLVSKYPFSQTSCFEVPIFTDFLFRFENGYYPFSQTSCFEVPIFTDFLFRLENGYYPFSQTSCFDLKMGTNPFSQTSCFEVPIFTDFLFRFENGYYPFAQTSWFRGNYPFSQTSCLDLKMGTTHFHRLLVSI >CRE29299 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:80154:81950:1 gene:WBGene00086015 transcript:CRE29299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29299 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:E3MXY9] MRSLALARAARLEKLNRRSAANEVQMDEPGPQQNDEGVVIAPINQPNHGGDVGGAAEEVQAQNQENIAARDTTQRNDVKREELEVVYNPNNDDGVEQPAAGNQVILQPPEQNAGIEQNVKNEDEESDPRDTMPGRIQTTPENIITFNVPFEISQTHIMKIQNLSDRRMAFKMMSNCPDRLEFNPLFGTVKQGEFTYVKIKTKPFQVHHNFGDRIFVTWINAPRNGDIREDWFMERGTRSQKIMVVEYLS >CRE29314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:203828:204550:1 gene:WBGene00086016 transcript:CRE29314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29314 MSPHSCLDVKNVRKISAFVSPRTTTHIPSTRPPTFSDKEFMKISMGCMTTKEHEGISGNMLKDEMARDVNLKLLDDSQTIIGRQELRSILGFAPPGDWRTRKPPSEEEIAGAGTVEAYYELKEPLSRHQDSDEDVFLPKQFPPAIAFLDARFPGIREMYRRELREKFQDIESKGPINRKGVDYMIDMFNNVQSNVRFATLVAVMHQC >CRE29319 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:238207:239520:1 gene:WBGene00086017 transcript:CRE29319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29319 MMINKLILILLLLWRIHPELTIQKIDPCTSCPVRVENLGKSIESSLQTATAPIKIFCSSVDFELKKTNDCWDNVTDFEEIFSFVIDEVFRKATSIRLFCEYVFRVCVIKDVNSQAVSTNGISCDNCRILLTTATTIVTKVLEKTPKIASRIVCPTGLSSCNEFFENSKVVTELISDITLSPVALDLACKFSFKCEKIDPFQLSV >CRE29352 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:151697:152701:-1 gene:WBGene00086018 transcript:CRE29352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29352 MLIIYSQFILFLAQFVSSSPPPATCETYLHTVSANALHMMKETAIRFLKQKPEATSRSDASSVWRNTSVTPADDTGQQMLEVTDYTRVQNAREVSAHIPIHQITDDLQPSPATTATAAADTTEVFADNDHNNQSVSIR >CRE29334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:66565:67195:-1 gene:WBGene00086019 transcript:CRE29334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29334 MNHIRTVFCFTNPQDLSFYRGCERFEVQSLKDTIGNVDDLYVADEKQFNRFVKHWIRGSNPRLQYMSLFIEITNSVSREEPLKGIDYVDVAEEDQLEICRKHRIKSYYMVQIRRKDGTPAVIAAEDFGNVLHVCFLVFY >CRE29375 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:315332:334478:-1 gene:WBGene00086020 transcript:CRE29375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-gop-1 description:CRE-GOP-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MY55] MFRKLGSSGSLWKPKNPHSLEYLKYLQGVLTKNEKVTENNKKILVEALRAIAEILIWGDQNDASVFDFFLERQMLLHFLKIMEQGNTPLNVQLLQTLNILFENIRHETSLYFLLSNNHVNSIISHKFDLHNDEIMAYYISFLKTLSFKLNPATIHFFFNETTEEFPLLIEVLKLYNWNESMVRIAVRNILLNIVRVDDDSMIIFVTRHIKDYLSELIDSLVALSIEMDTFVRSAENVLANRERLRGKVDDLIDLIHYIGELLEVEAVAESLSVLVTTRYLSPLLLSSISPRRDNHSLLLTPVSALFFFSEFLLVVRHHETIHTFLSSFLFDNQKTLMTHWIRHEQNFYLEPMSMSSPVTENVVDQDFAFFDNLLEAFETCQTDDSRSFYGLMLIYSMFQNKADVGELLSAAHFPVLMRETSNPSATTTSGSLAQQTLARLRISSTSSITKRTRAVTEIGVEATEEDEIFHDVPEEQNMPEDTSRSRFQSAADELPVPTSSESFPTSSDLDSRLFDALSSIIRAVGTDDNRIRPITLELACLVIRQILMTVDDEKVHTNLTKLCSEVRLKLLSCIGQYVNGENLFLEWFEDEYAEFEVNHVNFDIIGYEMLLPPAATPLSNLVLHKRLPSGFEERLRTQIIFYLHIRKLERDLTGEGDTELPVRVFNSEQEPVAVGDCINLHNSDLLSCTVVPQQLCSIGKPGDRLARFLVTDRLQLILVEPDSRKAGWAIVRFVGLLQDTTINGDSADSKVLHVVVEGQPSRLKVRN >CRE29342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:102184:103270:-1 gene:WBGene00086021 transcript:CRE29342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29342 MTSSFPLLNLPPEAILHVLKSMDYGEIVILSLLSERAKQSVESVNLYSRGSSAVLSDLFRLIMNFDKKQVELTFTMDEIRENRTNDFSSVPKNIELITYNNTAETVELCIKGLNLRKWINHLKAIFHFSELYCLQFDENASLFDIKELRTMFNSYYQLCILSDNGSDVKSILENIPTRRLFFENDVFNRLENPYQVLIQNYDELAIGPELESPNSLELDDLLMTNSKAIKIFDSNWAEKELNRFLKHWMKGSNPRMERLSIYFFPQETLNNSKILKGIKGMEVPVEHMRWFKSYDEVVEPVTGGYDFYRCDGTKATIAIRAHDLNMVEMYVWYPHCVGEAEEMGN >CRE29337 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:91853:92443:-1 gene:WBGene00086022 transcript:CRE29337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29337 MFNSYDELCIISDNGSDVKSILKHFPTRRMSFDNDVFKRLENPYQVLIQNYDGLAIDPEPESPSTLELDDLLTTNSKAVYIYSLNWTEKEVNRFLKHWMKGCNPQLEKLYIDFCSLEVVNKSDIFKGIKCMEMPAEHTRWFKFFEGVVEAVNGGYDFYRCDGTKATINFSKYGINLLLEMYVWYPHCVGEAEEMEN >CRE29357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:166384:167474:-1 gene:WBGene00086023 transcript:CRE29357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29357 MPPSFKILLFFFITGIVFLPILAQSTKDCDDTCAKRVGEVQKLLKKSHGLSMSVLELVCSFRHDKIVCDETVQKIQDVITEAALEFIKFPGINGSPNILCETILGWCPPKHRNIDRTKMTCSHCKALLKSGKNAPKLFAKLVCRNSSYCEDFIN >CRE29340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:98066:99153:-1 gene:WBGene00086024 transcript:CRE29340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29340 MTSSFPLLNLPSEAILQVLKSMDYGEFIAISLLSERTKESVELMNLYCRKAFALISNPIRLFMCFDKADVELMFTVDNVSEERANGFLPLTKKMELEICINELVKVELSMKKVCIKKCIDHFNAVFHFSELNCLQFGENASRFDFKELQIIFCSYDVLRISSDNGSDLKSILKHFPTRRLFFDNDVFNNLENPHPVLIQNYDELVIDPDMESTNTLQFDDLLIINSKTIEIYNMNWTEKELNRFLKHWRKGSNPRMERFSIHFFSLTLTTLFEILKGIKYVEMPAEHTRWFKSSKGAVKTVRGGHDFNRCDGTKATIIIPAFTTHMVEMYVWYPHCVGEAEEMEN >CRE29304 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:112836:113749:1 gene:WBGene00086025 transcript:CRE29304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29304 MILQRFSLLKLLEFSLISSRARQLVRSLNLTAEPIEVYIYDSEISISIHGNHWNMRFSFYGNQSFNENQIRRLPPPRFADVLINNEMYKLYKKEFEMKDWVDHLLSVFNCSTTNQYTVVLNFLKFSDVEGIWNAFKTCNNLNINRFVYKGNEEKIHKILMRNYTSLKFFEKTTLSLDDLLTINSSHAQFFYASFTEKMLNRFLKHWIKGSNPRLESALFSCSLEQNFELDLLLKGIDYKVVPSDRSYWIFDDDEGVGIEIKRRNGTFATLEIFANGKFVTIYMTVRYN >CRE29345 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:108707:110119:-1 gene:WBGene00086026 transcript:CRE29345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29345 MKFAYSLLLRLPDSTILNLIRRFNWVKLLQFSLVSSRARQLVASLNRKTNQFNVYIENDEINISVSCNKCSIGFEFYKDKSVNDDLSIRRELLPPRIVYAKINNNSYKLHREGFELKDWVDHLLFIFNTSKVNECYLATNKYDFHSLSKTFDEIETFHLDLPEGISDEDALNAFKTSHTLCIDRSVNEEEKFHKILMRNYTCLNFMERTTLTLNDLLTINTSYTSFFDVSFTEKVLNRFLKHWIKGSNPQLVGMFFNCILEREFEMNQLLKGIDNKVTGLAANEETPNGNFVIKRFNGTRATLKITLFPNAASILMIVPAGVQKYHF >CRE29361 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:183180:183740:-1 gene:WBGene00086027 transcript:CRE29361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29361 MIYLPPPTISTTPSPPLLDIDKELSMISSICLPREEYETLTGDVVKGRSAFYFNLDLLQNSQQVIGFQELRAAIGTPLSIEWKKSEKGIKFLDKRVPAVRSFYRQRFEKVRRRPDAKLIVHGVEIDYNIILIHIMYAKYDELKPAFDRAISRMLENTKCWEVDQKKHKKNRKYEKLRRINFRNPVK >CRE29338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:94372:95455:-1 gene:WBGene00086028 transcript:CRE29338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29338 MTSSFPLFNLPSESILHVLKSMDYGEFISISLLSERAKRAVESMNLNYRYSFAVISNHISLVINLKATRVELRFTMDKKRENQANGFLALPDEMELSIFTSRSFEKWIGKGSCIKRWINHLKAVFHFSKFDCLQFDENASLFDIKELQIMFYSYDVLRISSDNGSDLKSILKHFPTRRLLLENDILKLLDDPYPVLIQNYDELVIEPLFDSPNIMKLDDLLIINSKTIEINNMNWTEKELNRFLKNWIKGSNPRMERLSIHFFSLEVTNLFEILKGIKCMEMPAEHTRWFKSCRGSVEPVTGGHDFYRCDGTKATIIIPAYTTHMVEMYVWYPHCVGETEEMEN >CRE29341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:100151:101176:-1 gene:WBGene00086029 transcript:CRE29341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29341 MADILSTFPFFELPGKALSNVVRCMDLPEFLCLSLISYKSQQLVKSLNIDSQISSIHVTDEVVITLYDFHHFYFVWDYDYPENLEVVEKIPDENGFEGFRNEGLMILTEEGFNLGHWMSHLQSILIEPEIQVVSLYSIPAPVDAQWFFNSITGSGIKYLAIHESFSVKECRPLLPFVKELDMYNGPSKDILIQNFDCLTIQRTQLSLDEYLIMNSSHIITESWNVSEKLLNRFFKHWMRGSNPRLRYIKFNAPYQHRLNVEKILNGINHQALPETHVQIFNLDLICSERTEVRGGYNFYRNDGTRATLTIRPYCRTGFIVSLYVWH >CRE29316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:214299:214802:1 gene:WBGene00086030 transcript:CRE29316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29316 MKISMSCTTTKEHEGITGNMLKDQMARDVNLKLLDDSQTIIGRQELRSILGFAPPGVWRTRKPPSEEEIAGAGTVEAYYELKEPLSCHQDSDEDVFLPEQFPPAIAFLDARFPGIREMYRRELREKFQDIESKSPIDRKGVDYMIEMFYNVHSNVRFATLAAALHQC >CRE29317 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:221890:222600:1 gene:WBGene00086031 transcript:CRE29317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29317 MSRSGSTQKSRKKWSCVCHSQENPNGARVLIRNIFTVSTLTSSNTTKPSFDICLPRREFELLSNDILAGSMVDFYNWKLLDNAHRAIGIRELREVLGFDFSVEWTNGCRPTRDDLHATSTIEEYYELSEARKRFSAIKTDHFFEKQLLRGIEFLDNWVPAVRTMYRQKFEKFRGRPEAPLVLDREEIGDMLDDEYGDVEEKVQREITMMRIKTKWCQEDVERKIKKSETTSKRIVE >CRE29346 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:114978:115664:-1 gene:WBGene00086032 transcript:CRE29346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29346 MGRWIPHKLSNFDLERRVDMSLHLLTHHPNFNWLDHLVTSDEKWVLYENHHRRAQWVDADKQPEDVVKQELHPKKILLSVWWSVHGVLYWELLPEGKTITADYSSSQLQKVKSKLKTSPLHGHRVHYLHENAKPHTAKTTKSLLATFHWTVLAHPPYSPDLELSDYHLFSDMHRSLKGQDFKTKSEVEKWLKKYFDSKQPEFWRKGIESLPTKWQTIVDKGGHYVWFL >CRE29343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:104020:105102:-1 gene:WBGene00086033 transcript:CRE29343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29343 MTSSFPLLNLPSEAILHVLKSMDYGEFITISLLSKRAKQAVESMNLYCRHASVVISDSIILFMSRDSTHVKLNFTMDNVSDERTNGSLSLPDTVKLKIYTIGSQEMKWSIKGICIKTWINHFKAVFHFSKFDLLRFDENTSRFDIEELQTTLRTIGVLYILSDNGWDVKSILKHFPARRLWFNNDVFKRLGNPHSVLIQNYDDLEIFPEFELPNTLDLDDLLTTNSKTIDIHGLDWTEKELNRFLKHWIKGSNPRMEKLSISLFSLKASNKFNILKGIKCMEMPADHTRWFKSCNGSVETVTGGYDFYRRDGTKATINFWEFGMGEMYVWYSHCVGKEEEMGN >CRE29321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:244547:251280:1 gene:WBGene00086034 transcript:CRE29321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-prmt-5 description:CRE-PRMT-5 protein [Source:UniProtKB/TrEMBL;Acc:E3MY44] MSGRTYADDLFPLKTDHPDEHMSVSTTSTPSSPNSVDAANSRIHVGWMTTPMDVVEDLDRNVAPYCTKLGEFKYNFVVFPVGGVVRAYWKPVEGNEKHPPVIDLPDVQLGNNLWESYVTGKISPWIDCDSPDTDFAALSEEHLIKELNYICYLGLQSMTIELKRISSPKTAAIMNKWLWTKNSRFIVWVQLPSSVEICADYDYFSSSNVDLWTIWADFRKQCNNFSGVYLQVVLTISADLPDEFMEEKLVNRWKAEPLAAFVVETSAFGTARSGEAALPNSHISLLKYLWTSDSLRLVLRATTDTHKYNIQIKSEYSLALRQAVRDVHYKRQQELDEGSNDSSHSLNVGEYKDVLQAPLQPLSENLDSGVYNTFEQDKMKYDVYEAAVVGALKDLGADGRKTCVVYLLGGGRGPIGTKILRAEKEYNTTFRQGKDPLKVKLYIVEKNTNAIVTLKFMNSRSWKRRVTIVESDMRSLPGIARDRGFEQPDIIVSELLGSFGDNELSPECLDGVTDFLKPTTISIPQKYTSYVKPIMSSHIHQTIKAQSIPYLSRALPCHGRGEPELEEDGSWVQKFPQGNVVSNMDQIYVVYLSKYIPLAESTKPVFSFEHPNFLKKSNERSEIIEFVMDRNADLMGFGGYFDLQLYKTVMLSIEPSTQTAGMLSWFPAVIPLRDQLRVAEGDTIRLKIDRKIDEGGVWYEWRVELKKPNGEITSTPLQNSNGESYYMRM >CRE29300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:83269:85428:1 gene:WBGene00086035 transcript:CRE29300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29300 MPNTSFFHDPAQFWKMFHDTSIILENVWTFKGTVMAPHGHRRHAHVSSYGRLHLYRLMEKVAAENICYTEYQKGQQIHWKARWDRSLDNAPASYAYKEILANGDIKIKVKSKRISLNSEAAKKVTVEQMEEMVEEVLTGISRSSIKVPQQQSKVKSKEISLNSEAAKKVTMEQMEEMVEEVLTDVARSTLEVPQHQV >CRE29331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:46276:47365:-1 gene:WBGene00086036 transcript:CRE29331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29331 MTEYFKSDPIAMRHAILYEFVGGKPIFETYKKLCERLGVVDYLEFEFWFMRFVRGEFDINHDKNLEPKTRTINDLPVRIFEEIGTYLDVDDRYNLRHVSKNIQRIVDTWKPDIDFLYFDKNMPEDKRRKLAYLLRNPKLELKSLLVLFSDNRSAELVVSVLKEIEHPVRVEVFTMMPVISEAAKIISKLDSKSLKMVGIRINDGSVKNMNELLQLEQIKRLDHLFISTDLEPSQFPLQSFYNCSVFHIEFFEVKNLKPLISFIKNLLKSSTNLLKCYFSFDVYRPREKFFRKVFSKLGTGDLKTRRVSIPGTKDFYKLEYSNIGITVTRES >CRE29302 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:93564:94025:1 gene:WBGene00086037 transcript:CRE29302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29302 MGPFLGQCTSELSGKTKRFVTTGPESYGYMEVLENGDSKADQSEVNVFPRRSTEDHRVCHTGKSKKNTTRAVPTTNQSASGIPSDPATTMQCANSYTTTNGRKSSKAHFRDEDSCRKGCNLKFPIQEFEGYSHMNKRVGV >CRE29363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:189170:191472:-1 gene:WBGene00086038 transcript:CRE29363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29363 MPNTLVIWILLVTCLHAGSAPNTTPPTATSDDSVTTSPPSRFDFDEQLSKINNSFLPCHEFNQLVGDLVSGDVADSYNQYLLKTAHRAIGLSELRTVLGFHQPVEWTAEYIRSDEVLKYDLTIEKYYERMESKYCYFLYTLFFESQLLSGMDFMDKHLPAVRNVYRRKFEENRRITSTKLIVDREEVDRMLENYIDIKDQMEDAIIGVLRNQKSCNSVDPKHEKKRKEDSEKVFSFHDF >CRE29301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig87:87190:87520:1 gene:WBGene00086039 transcript:CRE29301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29301 MDKAGVITPHPTPTRMQHLTNVGTNTFSDGNVKADHFCAMIPCPKNESKPTRLSGYYDMRVLSEFATCSPEGRLKIMCVTPENQGLDVARAPSS >CRE21646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig872:9:3056:-1 gene:WBGene00086040 transcript:CRE21646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21646 MGSASSTQSSLNNFVGMGRLNEDMKIYQLNRGKGGRNESKYREISWKLEERGSMGETIIGCCLLHASELHNALVIKILDYYPKLLNDIHISEDFYGLSPLHQAIINTDCKLVYKFLKLGADVNARCYGSFFCADDQKTSRTDSLEHEYVELSIKTNYTGNMYLGEYPLSFAACLNQPETFRLLLAFKANPNAQDTNGNSVLHMCVIHENMQMFKLALECGASLRTVNKQSLSPLTLAAKLAKKEMFDEILELEGDSVWAYGDASSTAYPLAKIDTINETSGELNEASALSLVVYGQTVEHLELLDGLLDTLLEAKWEAFAKRNMIVSFTAFTFYYICFVTAFTLRPIGFSTEMITEGWINRYSEPFPGRHGKDGEPQLSPLINTTKGLKTWEEKLTQCHLRDYWDPDIPFANAYIRLVFEVLVVIGLVIQMFLDFHDIKRIGRKKWRAVLVSQISSALPLQV >CRE21645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig872:7921:8474:1 gene:WBGene00086041 transcript:CRE21645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21645 MAPKQRMAVANKQFSKNVTQRGNVPKGNKATESKLPTSQWLIGLFIFVVCGSAIFEVIRYIKA >CRE21623 pep:known supercontig:C_remanei-15.0.1:Crem_Contig873:4922:9423:-1 gene:WBGene00086042 transcript:CRE21623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21623 MVHRNRVEQSGRIRVANQANQDYPQSHAIYFYLPTLLFYSSLLFYEMSVLRYVQGVVTKSCGTLKTYIEECEVNLVDWGHLDSNDEAIRTEIAKTIHILEAKISTVNVAMNNFLIKAAEIDEKNSAEQEKVEEKISQVIEITDIANSVMARAQAEMKRMSQKAEKKEEKKMGETIFAHRNNQMAATMPKVPKFNGNRSDYENFWLLFETSLKVNGVENDLLKFNFLLNSLEGEPKELMRQFRLSEENYQKAVNLLQKKYYDKKRIVTELTRQLRSIRAKSESTSDQRKLLDTITIIVSQLNENRGQIDAEMTKDIIVEKFDMRIREKIEDMQLDAQNDWTIEELLEKMDSVITREEKLNIKLDRSEKSEKSSKETSKVRTSNNYSTNNSNNGKSKSRENGRDTDRPKTCVYCKADGHWGFECVKVSTAKERKDILKSEERCMQCTVKGHNMDNCRRKRKCYHCKEIGHHSSICTNKPKDSSDDSSSRRRETADKNKQKTKTTAVAQIEEDETTSERKEEELNSHVSKVEKKAKTRAFIPTLRTRAYNPQNGQWDEVSMMLDCGADQTTFDSEEAALKTYGRTNIKILAGAKTMEMDVLVSANLAGKVKKARLTEEDWMYVNQKQLQINEDCKEDISFPDVILGCDYLGGYRDRKQNQTTIRMTHSPLEKEDNEEKFIMVAIEDSERTGQDIEESQKRDTAMKTQEEFTGSAQEKKTRIDAEVWEFFKRTVVKKNGRYYVRIPYKNGHPVLPDNFSIALKRLISVHRNSSKEILKMIDEVFKDQLKKGIIKEVNPKKYTQFLVHYNPHQPVITPQKTTTKCRVVIDGGSHYKGKPSLNDVIHQGPVMDMLIRFRAGKYAMISDVEKAFLQVFLKPWNLLKHVSEDSVLRVNECNGRVNNILICIYNGFWTIAQKCGFRRRFQRPKWYEPLIIITIILWTVSVAQSCQEIDVVTQFKTVCNEDQKDQKCETFTEEVIQLNSIQREGCLRITNNKTTIRDIRVQLMEIQLHCFKETIVFTQDVEVKKCAKISKDTLLPELSQANQFIGNTGCVESCGGAGCGCFYLSSGCLFYRIYAKPRSSDKMEIFRCMEYNPVARIQVVSTSLNSYKNFAKTEEIKVQSGKVVSLKDITITVNSMQIPSSPVLNSWFLKNGNQTATWIENQMPSFQCQTGNCILHEKCTCSPAETMNCYCEDDEVNTLFQTVDRRLPVQKGIWRFETDDEKIMARTKNSISTTITLKINKLWQTKVIRSADTCHATTSHAVGCYSCESGTQVDIRGSSKHAATMANVDCGGRRSIYNPMHTGRNKYKHHFLLRQGEIQENMCS >CRE31365 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:47316:47748:-1 gene:WBGene00086043 transcript:CRE31365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31365 MSDHVFTPERGRDMSRLEHELGEFDVDIDTKNMKNLQGQCANPQLGKEMKVGRARSLSAVRPAPRDELAFPDEEKRAHVDKLRTKAMRGLRREAKKGEADRHVYDLKPKHLFCGKRGNGKTDWR >CRE31370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:79469:82005:-1 gene:WBGene00086044 transcript:CRE31370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31370 description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:E3MY85] MPAEKRVKYILVTGGVISGVGKGIITSSLGVLLKNNGYKGKLMKLIKFHFQVTAIKIDPYLNIDAGTFSPYEHGEVFVLDDGGDVDLDLGNFEQFLDIRLTRDYNITTGKMFKHVMEKKRRGDYCGKTVQMIPRYFDGTSEQPDVCIIELRGTNGKIEGMTYLSAFERFQRPTLKNHLMNVHVSLILHPNATGEPKTKPMQNSVRHLRAAGVVPDLLICRAREKLDPHLREKISRFGMMDLEQVVGVHDVSNIYKVPLLLQDRRVLEAIKHRLCLTEVCEDLKKDLYVKIADTYASVNKAFGIIVPGGFDIRGVEGMIKAAEYCRKNNVPYLGVCLGMQVAAVEFARNVLGIKGANSTEFNSELYEDQLIVIDMPEHNVEENGLGGTMRLGRRSTVFLTDYCILHRLYGAHTVEERHRHRYEVNPRVVPQVARNGFLFVGMDYPYFVGAQFHPEYLSHPLTPSPLFFGLLLVATGLLTSYFSGSRSPALQVVAEERSPLDGFQKSSSSTSGDLVGEMLDKLKINGNATPGTQRIASFH >CRE31331 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:73211:73466:1 gene:WBGene00086045 transcript:CRE31331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31331 MRIPLKLRAHIYASGLFVFVTGAAYCAYTGAMEIRKWSMSAPRLQHQEMEDYLRFKEEQARRKAAPPV >CRE31326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:36850:37474:1 gene:WBGene00086046 transcript:CRE31326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31326 MPDIDEIIKYHKEVSSGKQISPANSVKQEELEEKKVKLEVVQEPPKKKIRVEEESEIKPIVQPTQHDKSPENLIHDRPEPSQNPLSEEDQISQMKHQILLGNMSTQQLEQYTAYRRSRFQKSTIRKLVKEFTGGLNVNDNVVITIGALAKMLVGDIVEEALDIRDLKEDEADLPLKPHHIRSAYMKVARQGQF >CRE31368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:76589:76864:-1 gene:WBGene00086047 transcript:CRE31368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31368 MGCMNRDYPNHEPLSPPKDSEDENDLPTPQHIIVEQILLRTKHMLSSEHIPVKIIVLRSLSQGIEFMKLYDDMLLPMIHQNWFGLMAIAAK >CRE31357 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:13996:15557:-1 gene:WBGene00086048 transcript:CRE31357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31357 MDDDANKIIKLINLFEVVPENPIHVIVSFVLAIIALAIVVFLIRKLTAKFQPVIRIILKEFIAVVCYSLCYHSQELMLHHLGYPGMFCAILFQMLIFLSVNKKNGGNLLILMDEMTRKDTAIGSRKVGEENTWWYFLTFTTHVLGAVFTSFMLTKYNQLPTCTSLYNLSVYEGGVKPKIAFVILSEFLGGFFFNLFLRYFNRNHTVIALAYAIISTSSRSAIGVYSAQLHTFVIRFISCSDVVENGFWGFTVPTMTLPSFMGWIFASQFGGWESLKSIWWLRLERIERMERLAAERAEEEQEEEQEEEEVPEEDEPGQQNQENQKTGVWKQKQEDKVNKKKKKNKNRF >CRE31327 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:37618:37976:1 gene:WBGene00086049 transcript:CRE31327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31327 MIEKMGDEDEEILTKDIQLILEVSLFGVTRKFRPPTYSLFSQPTKNAREFLKIFKCMIYGLESTSLYDILCKVQQTADNIEEQIITISDINQVIQNILFTLSR >CRE31344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:178245:178815:1 gene:WBGene00086050 transcript:CRE31344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31344 MLSRFESFLDQNFPNWKSLSDDVLNQILDDFVGSIHLRIRHRRCTIYGVSQISRNPKHEYWWSNGQLVSIASYIQREWAVQSNHPSGPYVYIKKDESSLYPIDLIHYIDEETDEEIRERQQMEKKERWDALISVTKQITVLGRKHGYVKDLNFKNELYKKVEKLARRMIGITLNS >CRE31328 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:38530:39158:1 gene:WBGene00086051 transcript:CRE31328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31328 MESLDARPSEENGAEYVIHEEYVIEDDDEDLMIMDEQNENQRNRVKEEDEEASSLDEPNISLTCLLKTLWNFVYTLDSPLLAKLRTNIEKEILLLKYTDKQITIKTLDMAMKAALYEMTKKPTHEIEGETWGLKEVLKMFRSITSKFARQSKHLEDLEKQVREEIRKSTVREKKEMMIQVRTAIETSLKILVP >CRE31353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:966:2273:-1 gene:WBGene00086052 transcript:CRE31353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31353 MDEADEKFNVLEFAYNATVYAACMRQEWKDTLVSCLVYNLILILAVLFFRKIAQFSMKRDYFYEIIAAFSFGVCHYTEELMFRAFGYYGMFPMVVVNQVIFQKLNRRHGENAMIVAEEFVTGRVGDEDCLAVLSLQFAGALFCSFFFIVTAQDVFLKTKPLGCLFKYTKPLPIVMLCDFLGGLALRVLLELFQGRIISIAVIYAFLFTIGHAAIGVPVAHSVLSVAKAPECWTMVYELLPNLCLHIFSTLSGWLFLPYACQIKTTLRSMWAQKFEKDEVKRIAREKAEKQEQDAKLKKALKAEQQAIDAENRRRNQELRSRNSRRK >CRE31386 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:268083:269848:-1 gene:WBGene00086053 transcript:CRE31386 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31386 MKNSSILHLIFVMEDSEAERLVVLSCLRPEEVPHFREIIKKYNSGEANVKIFAQKLVELLGPGRKKRLSYLKHVLRADDIPQFDSAILESLEHLNGGNHDGFWIFYFEFCFFSLKIFGKNSDFLFFKI >CRE31385 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:249721:267050:-1 gene:WBGene00086054 transcript:CRE31385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31385 MSSTSRPSSGSNSGAAGGGAGSGGGGGGSGGGGGGGIRGFFSKLRKPSDQVNGSQVQVGSRTFEAHELQKLIPQLEEAITRKDAQLRQQQSIVEGHIKRISELEGEVTTLQRECDKLRSVLEQKAQSAASPGQPPSPSPRTDQLGNDLQQKAVLPADGGGAQRAKKIAVSAEPTNFENKPATLQHYNKTVSAKQMIRDAVQKNDFLKQLAKEQIIELVNCMYEMRARAGQWVIQEGEPGDRLFVVAEKTTQFD >CRE31387 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:277293:280624:-1 gene:WBGene00086055 transcript:CRE31387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31387 MEPSTSEFAIDFIGIISNENYSGLDDFGRTDFLRIYDKAKKSNSIKTVTNLDVNFRSFALQVLHDKIVVVDRKTKKCSFEVSIPLIFSCGSLSEDGLVLFTFNIAPYQGNLNYRDLLVLALPDEKTAEKLSEELNSKFARFAEQQLQTASLSSSMSLDSYLFALNSYQKMV >CRE31374 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:114491:114836:-1 gene:WBGene00086056 transcript:CRE31374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31374 MLMMNTLGSSKSSNKFEIDEIINRFLISVSEREKFLGVLALLMKIQVEMGKKHSENQERLVQEGVNRIEEAVKSSSSLLGAFYEHMKIVLQQKKTDNL >CRE31336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:106401:107136:1 gene:WBGene00086057 transcript:CRE31336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31336 MPVVVVNGDSDFDRKFVSANGKACFIDFTASWCGPCKYIAPIFSELSDQYKGSEAPAGPDPLAPLLNIIDTINQRLNGFGIPNIDAGGFQIQPFHMCLALTLLFFFGPFGALIALAICFFTQQRAPAPARGGGAPRGAPGGGAAPGPRPFGGSGQRLGGN >CRE31362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:44420:44650:-1 gene:WBGene00086058 transcript:CRE31362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31362 MFQFLDFDSNRFNEKAWFLMEKVLELSDPSLLEWRIRCDWWLRYHRTRGARDKRKMGEKAEKTKMKVLKPLEDIVL >CRE31350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:242336:242671:1 gene:WBGene00086059 transcript:CRE31350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31350 MTTMTPLHVDAVKVSLLGDVVTGFSIQETRIEDVRIHRIILPRGRWILILPRLLLLLIHLLNPRIQMTPPCHQKILLEDVVVKIQESGDVHIPDEPINANMLREDLICTKN >CRE31355 pep:known supercontig:C_remanei-15.0.1:Crem_Contig88:5112:6349:-1 gene:WBGene00086060 transcript:CRE31355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31355 MLHHLGYPGMFCAILFQMLIFLSVNKKNGGNLLILMDEMTRKDTAIGSRKVGEENTWWYFLTFTTHVLGAVFTSFMLTKYNQLPTCTSLYNLSVYEGGVKPKIAFVILSEFLGGFFFNLFLRYFNRNHTVIALAYAIISTSSRSAIGVYSAQLHTFVIRFISCSDVVENGFWGFTVPTMTLPSFMGWIFASQFGGWESLKSIWWLRLERIERMERLAAERAEEEQEEEQEEEEVPEEDEPGQQNQENQKTGVWKQKQEDKVNKKKKKNKNRF >CRE01462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig880:3192:4312:-1 gene:WBGene00086061 transcript:CRE01462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01462 MTSPFPLLRVPNLPLARIIDFMEPNELVPLSFCSQKSHSVIKTLRKASCDEHLLVGGSEKNVLSILFKNFGCVLSTTDSAYISSFQKEEYVKLGGQQVRVKFHRLRNHLITYWEDKLTGLEAITDYVIDLFNIDVSEVCISKYSFKMIEWVNNRQTTPLKKIVYMAMAWGPCSSEDEMNYILKDCRCSSEILIYSEAPPNFRFLNNFRRIDCLDISNSKWVTIDNLLSMDGIDIILGSSTLTSSDMNVFLKHWLSGGCPRLKLFLARIDTVDVSQLLDGLVHNAVLVVDRRDYTSPFGHIRTLPSGVDIRRADGVTATVCYQQTGKLVIAVWPETTYNYN >CRE01461 pep:known supercontig:C_remanei-15.0.1:Crem_Contig880:9897:15264:1 gene:WBGene00086062 transcript:CRE01461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01461 MDSPPPPDPVARQPEQYWAIGPLVQVNVKTCLLDDDLTAWVTLDLEPFEPHEITVAMEEEEEESPGYILMDGRLHAATAPPWRALSGPTTSSLRPRNPSHNSVKRQPKPGKDASRSTISVAVAIRNMTRTTAFSNMKRAFTESQPSIPPRPGRQDPPPFKIVHLVKFNVTIRLHEDTRTVWIARDDSPFSTYDVKENLPGYVLEDGRLASGGPPWRQDRRNNPLPLRSPPQFRIVSTEKPTNQLTDQAMTDNPADPPLNDQPPPTDPAAAAEDLLLSNNDIEMVDVSPEQEAEILGVDVTETSTEETQESTEKPSSVSTVHGVENGFQTDRSSEMNSDIQTSNAKTSIHEEENCFQKDRSSEMNPATPVVNETNSALEKITSLPEDRSLNSVDSHPIQTYVTSDSADPSDHPFAPHYTFVKNTPPSMNEHVRWIATQIDLAHVTFFRAKTEAASQIFTEGGGGRSGAPKTALTGITSKPSDWGVIYIIVESDGRGWYAKPHLLEVVANDRPDLHMVYFDVFADDLSNPGVVPHTSNYYPGDALYVTELVTRPKATVGEKLVSFDGIHSTKNHHFWKIKTCYLLHRTIQEDVVAVKVNSSKSRSGKVLCVAAGFNTLVTAKADMFKALDKTASAGTIVSAKIFCPKLKTGEFVWNLSDESRPYAADQTRDHASSIPTLPSVITLAATSTETQETMCHTVQPFKKFPSDIRKCYKALFDSAYLGLSGTLALANKNKDFQVHTVLIDRVLTVRNKPTISFVLTNLSGPAQISQWARSSVFLMKADGRNLQMEVDDASFVDNDLVIRAKLITSESEAVGTAYKMNRVRTIVWQEMENNEYHLKLFPVRRSLPDNGIPKLQSAVVGSMPRKPTNSLGIDSRITVSTSKMVGKTTMIAAALHITIRESAGNKMHLAMATTNAATAAIVLSYSKISNAATIIRMISAANYDHIDPQHRTSFDFPVVWPREFDKLLQRTDSDDQAPITEIVLDAYAHLRRVQTISLKLVRRKDLQNALKAVQKPIRTIFEILVQLINPRGIIGTISSVTDALRENGAMSQYGSRVATVQMDEASQIAIHSVIALGPLCPKARYALIGDIKQLKPYADIDSTKSSKSPQSETFRRCPFEVTATSSAMFYQNRLTSIRSPGERSRFLDLLAFNNGYPLQIIDTTRFAAQQTSGTSLFNPTEASIALAITSRVLAREEKASVGILTYYKAQAGYVARELDDTPAFVGTIDASQGQEFDLVIVLTSRSKSFHSSDRAGERNKAPDGAATADPDFIESPERLNVAMTRTKSLCLVLVDVAAAGRSKLWSNLFCKIPPGAFHKDPSHLMRHLQTLH >CRE01464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig880:18793:19328:-1 gene:WBGene00086063 transcript:CRE01464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01464 MIYILKDCRPISRLSIHLKPPQNFRFTEKFPKVDCLEISDGEWVTLDNLLTMDGIDIVLKSSTLTNTDLIIFLRHWLSGGCPRLKLFSAETGSLDILHVLDGLLPNPVLVEDRRDYTSPFGYRRTLPFGIDVKRADGVTATVYKQVNGTLIIAVWPETTYNN >CRE01460 pep:known supercontig:C_remanei-15.0.1:Crem_Contig880:5313:5676:1 gene:WBGene00086064 transcript:CRE01460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01460 MDGIDIILKSSTLTSSDVNVFLKHWLAGGCPRLKLFCLKTGSVNILQVLTDLLHNAVLVDDHRDYVSPFGYSINLSSGYDIRRADGVTATVCKQENGTLIIAVWP >CRE01459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig880:4611:5042:1 gene:WBGene00086065 transcript:CRE01459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01459 MTSPFPLLHIPYVPLGRIIDFMEPKALVSLSFCSQKSHSVIKTQRKVLFDGRLLVAGTDKNTSFLSFTYSVFGIVRKSNHVLSAQKFVDNINYEDMEFVKIGEQHVRVEMDHLHGHLISYWSNTVDG >CRE13892 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8821:1568:1981:1 gene:WBGene00086066 transcript:CRE13892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13892 MSSKDWLSQGTLVLASNNKGKIAEFEKLFAELALPVEVIPQGRLNIEDAIEDGLSFIENAIIKARHASRISGKPAIADDSGICVPVLGGAPGIYSARYAGEHGDDAANNAKLLENLKPLRQDDQAIEAMFVCVLGIG >CRE27939 pep:known supercontig:C_remanei-15.0.1:Crem_Contig884:11578:11878:1 gene:WBGene00086067 transcript:CRE27939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-spe-29 description:CRE-SPE-29 protein [Source:UniProtKB/TrEMBL;Acc:E3NP09] MANYVYNGTGRIWLVCSWEKGPICYGLTLDIIGSIALISFFVLVVGTVFFGWLGIFPKAIRRKLHD >CRE30568 pep:known supercontig:C_remanei-15.0.1:Crem_Contig888:4589:6570:-1 gene:WBGene00086068 transcript:CRE30568 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30568 MYPNYYQNSHNYPVAAQNQQTDANGQHGNQDYLQYAANQYWTQTGYMNNYNPPAPPVQTPFEQITPDSIKVTLHDSKLWKAFHVLDNEMVTLPNGRQIFPTLHYSVSGLPHSANYIFGLKLQRVNKNYLMEYRGGEWKETGKSVKADLESNEIFAGVMGGGVNFKNARIQSLRRYNTRGKDKEESEKPKADQNKDISLFVTSHCRYTPILSVYYMQANEKKFLKSFVFKETQFVAVTGYKNEAVRKLKTNKNPFARPDYKEDYKEDYKEDVVENSEKDSTWDSGISSMNSTVASSIESPTSRRDSGASSHSQNQYQFWGSSMTPGSFIKKEPAPENSRFNMNYTSGGASTSDSQNQYQFWNSSMTRGPSSGVKMEPISFNMDLNSQYNNLPPLHSWQPDPYPLTATPGPSNQPWDENSFGQKQNYKF >CRE30110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:163551:163745:-1 gene:WBGene00086069 transcript:CRE30110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30110 MVGEVKVKDKKYRTHVYQNDPHGILLDPLLSCEPILALDHYSSITTVRLLCPLYFSTEKENTNL >CRE30052 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:57413:57937:1 gene:WBGene00086070 transcript:CRE30052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rpb-7 description:CRE-RPB-7 protein [Source:UniProtKB/TrEMBL;Acc:E3MYE9] MFFHLSLDHEVCLHPKYFGPNLNETIKMKLFNEVEGTCTGKYGFVIAVTTIDTIGHGLIQPGRGFVIYPVRYKAIVFRPFKGQVVDGVVTQVNKVGIFCDIGPLSCFISRHCIPPDMEFDPNSEKPCYKTNDEATIIRNDDEIRVKLIGTRVDANDIFAIGTLMDDYLGLCPAE >CRE30058 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:73742:75249:1 gene:WBGene00086071 transcript:CRE30058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30058 MRSSAPLRELHVALVGMSGSGKSAVAVKYITKRFIGEYDSTLEDNYCRQETVGGQCLMVWMMDTVESATKDEMRWIAWADVYVVVYDVTSQLSFQYAEGILERISRHEHVLCPREHRTLLVGNKSDLERYRQVSETEGERLASQQKAYFAECSAASDLRQFTQSLHSIFQNIISGARSPSPRQCSSDSEIITPRKGAFSSLRSSSRSSQVRAKTSTTKTPAPLHKTPSLSKLKTGSKLLKLFHT >CRE30079 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:216856:217493:1 gene:WBGene00086072 transcript:CRE30079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30079 MMFSQRRTGSRIDLLDPMIRGQKPRRKRVDYDQISMNWMRMNGLGPSLRPFLNGGNHTPKKTIIHNSQSTTQISRLGSLRRMHAAEASIDGKLSLSGTQADVANACGGGSVVTETRIVVMCHESSRE >CRE30097 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:83558:84620:-1 gene:WBGene00086073 transcript:CRE30097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30097 MFHVLLFSVLFVFPIPSTSEHCDQSILLSLFKCSDIASNLTIQLQKLKDMPPASQMGTYLDLCESYLFCLEKIECPDAQKHLQPREKAVTSMCNGMKFMAGPFGKCVEKLRSNSPSLKKYPCARHFVNQVGRPGNCEMYQTEYECTQSLVKDQCGIEALDELETHKSYILSQMHCK >CRE30076 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:179530:179691:1 gene:WBGene00086074 transcript:CRE30076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30076 MSIMLNDFWNRDSVSSQWVRYDFFQALSAVGGLLLLIHTGPGELSIDEMKKKW >CRE30063 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:96569:97276:1 gene:WBGene00086075 transcript:CRE30063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mrpl-17 MSAHRVAASLPRIGVTIGHTPQKLKTGGIEPSRRARLEVLRRIVTRTVREERVELKWNRAVEARPYVERLIQLGVERGPLDEYTAEMMEWWLPEKDLITKMHEVIVPRFQNRDSPFTSLFRLPPQRLQQFIQNKREVWKRYDIGVLEIDGNPFPAIQAAHQEQSDSILDVLLADALRNHQITLQEKLEASTESK >CRE30054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:63332:64096:1 gene:WBGene00086076 transcript:CRE30054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30054 MEIPSFFSPLTFFLISSSSLFLDRFIFIPQNFRMSSGKRRNPLGLSLPPTVNEQSENGEAAAEEANPTVPLEEQLKKLGLTEPQTQRLSEFLLAKEGIKELSEDMLQTEGELGHGNGGVVNKCVHKKTGVIMARKLVHLEIKPSPIYCWILWCFR >CRE30078 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:212361:213550:1 gene:WBGene00086077 transcript:CRE30078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30078 MSASPMLQHMYSLDESSLMGVSHSPYRQQRKRRAGISGATTSVQRDGPFACAGGTGYSSAGFSNGRGFPPLGGRSPQSSFDSNQDVAQGKT >CRE30059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:75410:75856:1 gene:WBGene00086078 transcript:CRE30059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30059 MKSTPLKRKHVSSLDALLKATATGTEQANSIDKALNTPTDRIMKTTLEFDKVHTIKATDSSEVEKYTVLKATLHDEMEAARNLKQLGITPLDRCCRIEPIPPAYLKCKKIYKKSQ >CRE30082 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:229308:229848:1 gene:WBGene00086079 transcript:CRE30082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30082 MTSLFFTILILASFPLIYFYYGGSDDYSHNCSSQFENMQNVLDGILSGQSMKIHDPTEKLHLACTETIDCFEKNIGEESDPGYQEWSKLLVEVKEHCDSLCYYTEKYFKCISKSQTTQSELKSNSSTLQNQITSDSSEFTDDGPRGLREKHYVQE >CRE30086 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:241525:241927:1 gene:WBGene00086080 transcript:CRE30086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30086 MEDFLESLFVTLKAILLLILSTIRNLFPTGFLPRKDVRGQTVLITGSGSGLGRLMSYEFGKLGARIVLWDINEGGNLETLKELESRGVEGV >CRE30083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig89:231376:231696:1 gene:WBGene00086081 transcript:CRE30083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30083 MTSLFYTILILASFPLIYFYYGGSDDYSHNCSSQFENMQNAINGMTSEQCASMKIPAWTEKLYMTCAETVDCFEKNIGEESDPQHQEWSKPSVEVKEMCDSMMRLK >CRE05301 pep:known supercontig:C_remanei-15.0.1:Crem_Contig892:7940:10183:1 gene:WBGene00086082 transcript:CRE05301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05301 MSVSNSSKGFDEPLSSKVFDNPHLLEIIVSNLTWNCESNLSTRLINKSFNSLFLRIIRRNHRKMKIEFIGLAERCDETAKDWIFINYRKIKKSIIPGYFNFLNKIVGVKVEEIITKDLWLPEEMFARNLHDIIYSDLIGGNRESVRRLIGLEDVCEGCWNCANMARQCVEYGPVRFRMLRRVKNPIHYRKLHISDKLLEDIANDCTLKSTTKEECFKHLHGVIRPSISCDTLVLWICELKEYYVDGVMMNSHFAMPREVLDVMIRKWNVKTIRMNMVACTSENECDENWIDSGYFTKIKLDDPYCHWKHKYSGSLEEFMKNILRVIQLEKQRKLEVNIQFFTEICSFKVGNSEELAEIPSEYLLLSDRVECFRMFVPCEIVESGPERLNMIKWVGRRFQVKDMDNHFTLNLNIYVKETELKELDNGLMETHPNSLIGVFLLVAT >CRE30649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8927:774:1214:-1 gene:WBGene00086083 transcript:CRE30649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30649 MRVLLGTACIAFLTSTAFAEDIVGTWRYIDDKTGEAKGLVKIEKQSNGIYAGTALKATPRPGYTPKEFCTNCPAPYTNKPIIGLQVISGLKTDDHVSYTDGKIIDPVSGKIYRLKGKVSPNGKKLFLRGYFGISAVGRSQTWLRVE >CRE30650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig8927:2161:2343:-1 gene:WBGene00086084 transcript:CRE30650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30650 MLTGIPFELVNIRAERKKPGLMRQHLVCVQASQRISNAIVEGAELHSQKLYFQPPTSSSG >CRE06210 pep:known supercontig:C_remanei-15.0.1:Crem_Contig893:1023:2982:-1 gene:WBGene00086085 transcript:CRE06210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06210 MRHLQQKGTNVAELLDNEKKQVQAVQQIVNELSKAGISARVVTRQQLVQYLPDTDLVISAAGDGTFLAAASAVSDQTPIIGIIFSSHSLIHFYFLMMS >CRE06211 pep:known supercontig:C_remanei-15.0.1:Crem_Contig893:3429:4586:-1 gene:WBGene00086086 transcript:CRE06211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06211 MVYYTKKYTSLANVRFDTKQEEEIEYHSDWRSFNASIYSHQPKPSLGYGDKTTGSNLCNILKVGTIPPHILFNYMTFQKFLNNGKVSLCGAQVLVLAKRYPDESDVSDIISQLRANHVMVRIAVDSIPSGGSNSASLYEMSYQTNGYCAFATGQDLEIAFAYMTEIFRRPYQFIAQNFVVSGSGRIEKPAFEIPASDGFINWCKFAITVQNHTLDNSFVSMNYTIERTDGTHVYEYPSDYSHHLSGTAQTDEFYCNSSLSYKWTMDYHYNTNEQQIIQLRMYNLFYHDFLPLPPFE >CRE07906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig895:455:2097:1 gene:WBGene00086087 transcript:CRE07906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07906 MIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQISEAKPPVDEKYLYEGNITEMDDTQKSYTSYEDGSDSDMSTTLSPAIPTPEKPSTDTPLSSPIATKPITRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVYSRMFYVEPLKSKKGEEVVVALEKIISKFKSPPIYVYSDFGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVDVLSKVVDGINRSVNRSIKKAPIDVKNGDFSFRRRERRVRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEVIDGKFYYHEMIRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSAEFDSWVNESEILDIQQ >CRE12404 pep:known supercontig:C_remanei-15.0.1:Crem_Contig896:2126:3198:1 gene:WBGene00086089 transcript:CRE12404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12404 MDFSYNVLMSYENRTEYDFFTVEPILNNYILVSYTLLAFTSFPCYINVYQKNKEQDKRTVVFPVIDHLFKTVIASYFFVVVGLCCYKIYAKLIDDRSSVIDTIIFFSIFVALAIIAILCEVNQILLSFLFIQRFILYFLPGSEKFIDFSEITMKKLIWSLYILLNIEGIIMLYDTYFDQIQTALKIYLNFYIALNVLVLASATLYIPIMFSIQKFSHLASAQLNKPQKYILWQLIAIVTQKIILIPIIYFLVDAPFHEIVCYCKLTDAAMIPTLIQVSYLGCNRRNLQTMFVSLKPKNILKTLFCACFPSSRVTSNELYQLEPTGSSS >CRE11569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig897:1756:3095:1 gene:WBGene00086090 transcript:CRE11569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11569 MTSPFPLFHIPYLPLGRIIDFMEPKTLVSLSFCSQKSHSVIKTQRKAPFDGHLLVGESDKNSTFLSFTNFAFGMVPKSNQVLSALKFVDNINYEGMESVKMGGRVVRVEMDHSDGYLISYWKNTTEGSKVITDYVTNLFNIDVSDIWASKQSFHIIQHVISRQKTPLRYFPKIDCLDINDGKWVSLDNLLTMDGIDIIMGLSTLTSSDVNVFLKHWLSGGCPRLKLFCAETGALDIFQVLAGLLHNAVLVENSRDYTSPFGYSRTLSFGYDIQRADGVRATVHYQPPRTLVIAVWPETTYNYN >CRE11574 pep:known supercontig:C_remanei-15.0.1:Crem_Contig897:16029:16527:-1 gene:WBGene00086091 transcript:CRE11574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11574 MTATFDLPYQFIAQNFVVSGSGQIEIPAFKTPIPVRYNDYARFAITIQNHTLDNSFVSMSYTIASTDGSYVYKFPSNDDSSLYGTAQSDYFDVSGSLSYKWTIDYHYNTDAPQIIECRMYSRYYHDFLPLPDFK >CRE11571 pep:known supercontig:C_remanei-15.0.1:Crem_Contig897:13237:15606:1 gene:WBGene00086092 transcript:CRE11571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11571 MNFSVFFLLVLPVLAQFGSDEEPQETVPVGYSTPEIDTEPPVVDSIPKVEEAESVVVAEGMPDTEKLFGIPNNLWRCGSGDFVTKMAINKINETCPLAAAEFNHCCAVHDDCYDGQRGQKHCDRQFCECLEYHVATDPNAANCGNLTKMVCPLLSYYGRFAYDDSRNAKNSSVTESPVAAESLKVPTQIPHLSEPYVDIYASCDEQHATFASCALNNDLCYRTPRAEPKEQCTVHLIRCLDDTRFNRKPSKTCDLAIDEYLWKLIRFGGGSEDTKEMDTLQDKDKKKEIQMNVLMMQEILTNETLVRNIYLQIVRHSSSLGWLSCLTFLFCVFSCCGIVIYAFSRCGEEEDIRRHRDEVINVHVTSSASEAPSSSTMSSMKSSSSSTRK >CRE11575 pep:known supercontig:C_remanei-15.0.1:Crem_Contig897:17055:17986:-1 gene:WBGene00086093 transcript:CRE11575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11575 MFQIPNLEIWMGVESSPDACVPSYNITVLYAYSNDIDQDTYLKGVHNVVSFANDYNTMANVRFDTKQEEGIEYHTDKQSLSDSLSSHKPDPSLGYGDKIGSNLYNVLKKFLNNKKVPICGALVYIAVKRYPDETDVSDIISQLRASHVIVYIAVDSIPSGGTNSASLYEMSIQTNGYCYFATGSDLWNVSSFRKSEN >CRE11570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig897:4063:4678:1 gene:WBGene00086094 transcript:CRE11570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11570 MIDWVNSRQLTPIATAFCEKWEDTLTEEEYTHILKNCRSSFETVIYPSPPPNFSFLENFRQIDCLIIYKSGWVTIDNLLTMDGIEIILHTSSLTCIQINMFLKHWLAGGCPRLKLLLVETGNFEFDSIFTDLHVILVEDMRKYTSPFGSEQYLINGFDLQRQDGAIATVCYDGYLRIVVWPEITCRYN >CRE11573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig897:9227:12164:-1 gene:WBGene00086095 transcript:CRE11573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11573 MANVRFDTKEEEEIEYHTDAGSLENSLYSHPPDPSLGYRDTTTGSNLYTVLKVCPVSSHLLLRIFQKFLNNGNVSLCGAQVLITVKRYPDESDVSDIISQLRANHVFVYIGVDSNPSGGSNSATLYEMSYQTNGYCAFATGNDLVEAFYWMTWILQHPYQFIAQNFVVSGSGRIEIPTFKTPIPDGQDDFVRLAITVQNHTLDNSFVSMNYTFASTDESYVHTFPGDRVSSLYGTAQSQWFSVNGSLSFKWTIDYYYNTSKPQIIECRMYSKYYHDFLPLPHF >CRE29432 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:115273:115849:1 gene:WBGene00086096 transcript:CRE29432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29432 MFRKNTFLFMTFALLVIISRAQVDSSDDVESTTEFDYDYDGDNDEQVEVTEEPEKEEETPTEKPEEETTAEVPIEETKTTEAESTEEEKKTTPAPAPIAPRVTAAPQVADPPPAEVEDVVKIENTTSSSTFMSYGFGASVVLLAAL >CRE29586 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1139214:1139707:1 gene:WBGene00086097 transcript:CRE29586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-htas-1 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:E3LVU2] MARTKNATPLPSPPGTPQHTTKAKAQSKKRTTRSKRAGLTFPVGRIHRKLQVATNGHRVSPGASVYLAAVLEYMTAEILEISGMVAEQSKRKTVTPRMMQLAVQQDNEFAEMFKDITISQGGVLPKPIHESLLPKKNASGQGTSAGGAP >CRE29704 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:468064:468547:-1 gene:WBGene00086098 transcript:CRE29704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29704 MLPDPAPATVYHTPTREEMRKKDEEEYSQLISEEHTPTVPITLPTPKRPLKRLNGKQMIRMDQKPEEFSASLKNRRKGCNPQKSKLVGRNMSHIAGVRFCKECRESVSIDENRKMIVQLCGRCKICTCK >CRE29427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:51693:52270:1 gene:WBGene00086099 transcript:CRE29427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29427 MIQVIINWLFDNKSVLFGALLPILLSPVVGFDCAGKNKIGELQVRSTGIRTLVVTGKNKNMKKKKQEETESENSKKKKKKRSKEDTSGDSVEDSAPMKKSVMKKSSPFKKLKNKKSKGPAIYKPPPLHERPKNPGFEAPIEPTEDTNTYRQIEGFRDAQNFA >CRE29748 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:811297:811804:-1 gene:WBGene00086100 transcript:CRE29748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29748 MLPELCTKRDDCQADPRIPKWMIVVAVLMLIERFIGSVNTVKDRRFIRENPKPVFEEDGDNHVLIDWAQRRKHNKSSVFAVLGAFVRLVQFITFILGCVYVFGIYSISDQCNPLVFWTSYIYCLLSIIFYIIGACVLGCVCCCVALMNDSFAQ >CRE29508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:627217:630875:1 gene:WBGene00086101 transcript:CRE29508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29508 MENGQKDEEEVMPSTSSKLPVQPKNGIMKTYENPSRTFTRPYSAKTVYFYKEGDVFFTGIRVPVSQHRFKTMTTLMDELNQSMFLPYGVRRITTPMGRTNISSLDQLQHLGRYVASSSNPPKGVDLDKIQEKYSASQRRETQKQTAGGQAYWVGLYKVSKRPRAEIQLLTEYQFVVGSN >CRE29763 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:914248:915524:-1 gene:WBGene00086102 transcript:CRE29763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29763 MSHITLFARQTETKNMVQCCFCEKMYKIDNGSSGFIRHLRCKHPEFLHKMGGVSERSKERKMKSSDTLLLGKGETENNNIDDKVKVEPSDGMILDTEEFSSNQILANFVNQMELGQSDVRIAEKRPRISPSPLEILTAPDKSFEEPDFKIFAGDNPLQKFLSDINRKFGVQNGEITSQDSNQSGEASTSMTALEMPISQKASRDPSPNSSLISDINGGTETVDSSPRLRFNPMIPFGCVFCPCSFPLINDLHIHVTHVHRTSYRCNCCLAEFVAPEHLEHHFTMVHVTRGVVETTNSQ >CRE29689 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:362087:362626:-1 gene:WBGene00086103 transcript:CRE29689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29689 MISNVVNILSATRRIRSPEIEEEESSITPEPEEEPMRSQESEEDPRLGGVHEEARMGRGAHSPQPDEDPESEEESRIRGGVQNQRKSPESEGSTRSPRRSQNRRRRGAHEKPTVGGAVRIRGGGEESRRSPRGAESEEEPRIGGIHKEPSIGRGTRSRELEDIED >CRE29582 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1103383:1105402:1 gene:WBGene00086104 transcript:CRE29582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29582 MYKVPKKSNTNLARSIRKREFSYVDRQKASKPTPLSEDDWKSPRSEDSFDLLDPSNSSKEPTTSSRPLPIPTIRPPEVVIQIDEVDSPILGLIDESDDLHPDVFDESRRGRLEPSSSIDANSLSATRSSSVIEDDVRSQISFVMRERLHSIAKEVHRRTSAVREDLIRETPEDTVSVASNIPKQSEHRPSLMSLIGLQVRFIFEISKFFIFKE >CRE29628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1461951:1465540:1 gene:WBGene00086105 transcript:CRE29628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29628 MARVKQAKVSEPDSLSLVNETEDSDAKIVRAIDVFDGSQRDLYASLPEELRDLVNRAQRADLKKIEYIVRELKENSEALGEAMKVLRKLQDKASKKKAEKLVQIPLNNSQLSEEMVEPKGVINDEASDQTPLQEEEEKEIGSHCSEVETCSTETDVELVTPSIAQAETTENHSTELSDSRNLESQLLPREDEKKRLLELENEVEEATKGISRFLGLKTDFTNLSEAVQSMQASFQLEHGKHVFSLTALEAKNKENEKLALEKNRLEETVKKVGEEKKKESEELQKTKTLLKKTRKEKDNAVKKLKRIADKDKPEDINVSERLSDISANESGVNTSNYSVESSGGDTTTTVDKKSERRIAELQRSTESLRKRYEEVCQKLETSEKSLEIQQELNAQTTSLSAEQSQKQSNEISDLKETVEMLKTTTVQQQEELIRIKQLERTKTDVLVSLLEDEKQSIRDECDSLKHELERSQKALLEQKSEAKKHLENELWKLKKAAKSAEKRKSETPVSPKLKDTSPMVQHCDVQKVIADLTMLQEKVKDASSDEAISKIGNVLQSAMASVEAIANKANRLEAEHKLKDQVNEELRKTNEENLKKYENSLSEERRKCAEEVAGFKMQLEELDSWMEKLREQEKEKEAMRMEYQTASEDLATRILSYEREKAKFQEELYDLKKDIDQKTADFRKVNEEKLQLQRETEQITKQLEESLSPKKDKAAQLESRLEVIQVAAKAQQKRLDEDLKSARIELQEAIAKCDELQQQNEVLQEKLTSTEKLTLSLTEKLSEVKETEDTVVELQKTISQLKEENSYLQSESAQDRSGLVTALVATQNKLTKCQKAFSVPSLGEQLTDLDESQNNVDEAQSSRQTNNQTQAVIEQAYPDIDSLLRQLVHHLAQNQNYMYGVFGIVIYIILIHLYILL >CRE29573 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1058089:1058545:1 gene:WBGene00086106 transcript:CRE29573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29573 MTKEKKEEYKKKKKTLRLTGQRKLGKEEEEEDDADANDVMAEFQVMKG >CRE29835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1347377:1348422:-1 gene:WBGene00086107 transcript:CRE29835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-him-3 description:CRE-HIM-3 protein [Source:UniProtKB/TrEMBL;Acc:E3LW07] MSPQPATKQNSQSGNSKWESTFPVEIELEKESYVFVHRFIKCASAFILYKRGLLGEKCFRTRNIERLLIPTFDRAEPKAERLNKIMDSLKEAIEKKYLRQFALVFYRKPNEEDIVEVFAFRLMYGKEDEIVLSVDSGAGFEDFNQNLLSATFTSLKETQMYFIDTFKKLCKCFRLLGSLPDASDASFRISFTEAAPNDYMPDGFNESPKFYNIGSDFKSTQMGIVCGGFHKLQIAAASTLMKSETDFDETINSMNLSVIAKEPPSSVKSRKGAQKKIARKDQRAPYGKTETLNKRNSVAQEPV >CRE29576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1071602:1072629:1 gene:WBGene00086108 transcript:CRE29576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29576 MEVNSEVIYRPTYTNLNRLVAQIISSITASLRFEGALNVDLTEFQTNLVPYPRIHFPLVTYAPLVSAERASHEQNTVADMTHACFEPGYQMVKCDPRRGKYMAVCLLYRGDVVPKDINSAIASVKTKRTVQFVEWFGEGNFHKMMCFVKVSNWFQSRNQLSTTNCCSGWRFGKTNENNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDMAALEKDYEEIGEDELPDDIDDQSYRGRSAASRY >CRE29781 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1075410:1076692:-1 gene:WBGene00086109 transcript:CRE29781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29781 MDFNRDAGKETVSQLQLNIQNLNQQVIQLESFITNLSDSSAAGQREREIFNKKAHDAQELSKDTNTLLKKLVVMSNSDKNLRGVLLNRLQASQRRAAQTEKAGMVAAEMDAQAARDENEMYGNQGRSGQMQMTAQQQGNLADIKERQHALQQLERDIGDVNAIFAELANIVHEQGDMMDSIEANVEHSQIYVEQGAQNVQQAVYYNQKARQKKLLLLCFFVILIFIIGLTIYLAK >CRE29541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:815839:817132:1 gene:WBGene00086110 transcript:CRE29541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29541 MTSVRKSTRRSSRFSLSAENMEEQLRELRRYEQAMLDRMIAQNIQIEDNQEIQSAPPQAPGIAPQHAAAIPMLLPDDESDSDDDVAVVIRAQQEARAVSPPRSRVVSARSSSDDGSIVDSGRRTYHDRPIAVTGPGVQSRSPAPNRIRSGYSITANFDQHPAAGPIRRSSRQAAARRNNATHAPVPREVVRTLFDHVSATRGVLHPSTLTRDADINVQPIVHIVVPSDSSSDEDTFNSRLRVVPIGGVRVSTNPIQHRNVIPEVRVPRAGMYASNDPNRPYRRLARSPVRFGTPFRVGTPVTTWGNCTMCFDSPIDPQGCNRCQQILGCKTCVISWFESSESPSCPLCRRKWARKPDVARMTTIDKRKTAKAARRVPRRSRRSQVV >CRE29669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:248888:249845:-1 gene:WBGene00086111 transcript:CRE29669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29669 MLSLCSKVSQSKCKLMKPAKPLRLKVHIGIGVKLTVSFENPRPETESVKKNPEWKPLYVDDFVNPYPFTIAHEFSYLTSGGWLSNNYNSKTDRTTSKYWISKVFHWEIHEKVMKWIDTRRSSIDKLSVHCCEPLKSLQNILKYSKPKKEFNIKCEVFNPGWWVSEDTKNTHVVSSMHFNSLLKLNCPIIDSKCTAFTDEELNFFLKNWKKGYINVEFLSVAQTSSSSDIDEKTVIEELDVVKLDRALFMKPRGEIVLFRGYSIQNDQGKKALFEFKK >CRE29674 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:306698:307042:-1 gene:WBGene00086112 transcript:CRE29674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29674 MRIQSIILLTIISIIGYSTCVEDEEELTIYDHPGVESDLLASKNAERELQISENAVSIDDDEEFEDCNEDEEDEEEDDDDEEDFDDEFLFRSKDSIGKEL >CRE29679 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:329498:329928:-1 gene:WBGene00086113 transcript:CRE29679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29679 MVKLIGDNFTPVTAGNYHVLDTWIGSKRRPECIKTGSANIPGYETDLSSPCSRVRVFEWLHGVAPNPPNFEADWDHIREPNFLFRREECQSVMKRSKEEATLNDIACSRPFNFFVEEKHQSS >CRE29579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1095176:1095579:1 gene:WBGene00086114 transcript:CRE29579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29579 MSKLLVLLLSVFVLGTLCLIPDVDDIVDQALDDTEPMGGSMPIADCPDQVCPKGFYCRKGVCTYWQPIKKFADQDNVTARRKRKSKRFIKKNMRPGMNCKERYECPHGMTCTNGLCL >CRE29446 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:190191:190500:1 gene:WBGene00086115 transcript:CRE29446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29446 MGGSHKNLKTFTLFDADKGWLIYRLKPFKYEGEVKTFHESDSGLIDCSEGYYIMRNDGTLGTLVFNENGVMQFVVWL >CRE29556 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:921269:921901:1 gene:WBGene00086116 transcript:CRE29556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29556 MAGADVEKLLKEEHIKVDDLLTDGPNNRLNLQIHTDDTTVGNTKGGKKSKYRKASRRVHRTMVITKHEDVLPVFLPIVSFCGALLLFIQSLIAIAILFTPFPSN >CRE29684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:349164:350271:-1 gene:WBGene00086117 transcript:CRE29684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29684 MGQETGGDSDGFQNEQLQCNYCVHSRKNKDVSEGVKHEPDCMNHLKFLSRPDLRRTCTPSEKYCVTTVTNLNGFFIEVERDCAESCEQGCEQHGYGLFHTECTRCCREPLCNEFDGRHFYEPLDAPRSQQLFFILFFIAVALVL >CRE29578 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1081224:1082251:1 gene:WBGene00086118 transcript:CRE29578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29578 MPCNPHSKYLHSSLEYTQFLLYYSTTTHKDPDRFTRIYSKLETLNRDETVTENDMNQLRFLECSVKNQWIDYERFSREREEEWKQVVRSVTIFSQIIIFQKFGSLIITFILGLKIWYVQYYIFLNHRMPWFMEYLMSCASYWLYIIAFYVWIFMYSEEAVDPPKSNKSFQSEIKYSIPNSTEFIRRQLSLINEHSEKMESFRMRCLTAVLLQMRTFIIALILFIFYFLFRQYHETEENEHAWFCILAFVLEL >CRE29439 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:156603:157426:1 gene:WBGene00086119 transcript:CRE29439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29439 MKVETVYEDEDEIFELFGKQDDIVKNLEKIEIECLCNVSDEDVLSLNASVISLNSRNFTVDLVYKLIEKFTNRREDGSAFCIENSSKRNLNLKIIPPGFEETDSSRGYKEYRNQLINTNHPTVYLRVSEDRVRLQIGDTKKAKFWNENESDSDTNDDSDSSFGWASDYDAYEDAFDQDYHDYDYDFFWG >CRE29433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:117644:118099:1 gene:WBGene00086120 transcript:CRE29433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29433 MIQKTTILFMALTLLAIFARGQNNVTAGGQNNTAQGPPPAAAPGPAATEVEGTGEPAGNGTSSSAYLNYGLGASFVLLAAL >CRE29539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:809395:809987:1 gene:WBGene00086121 transcript:CRE29539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29539 MVCGDCEKKLTKIVGVDPYRNKKVNRNADGTGPKTMTTKNRLIGVQKKATIVGAKCKLCKMLIHQAGSHYCSTCAYQKGICAMCGKKIQNTKGLRQSIT >CRE29601 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1247492:1248930:1 gene:WBGene00086122 transcript:CRE29601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29601 MYADISAKSQLDVIRNVASIVRNSALSTPYCFVPRLRVSFKNVDRCLFVIYSDVEKFLRVEFRKESDGIVIKRMMNSYDENDSVAEKIDGTTPISLATSILATAVMDRPLGVLEIEFFDPNPQEQRKIFNEMLKTLQSKIEGFRYYFRPQKFVANCLTDGEIFTSFHEEMLDLIRLKESAMETISMENHSLEPRSLIDTTSFMDDIQVALSGTELFSTKPDERYLSNLMVQALNTDGFATLRFARKSDAGWWNKQKGKVFILNDSVLMKRVTLSDNLVAHWNLSECGLWVDISTLKNERKYWSCFEKEKCGLRSFCQKCAHRFDYWFYRDLPRRIINEPEWVIVREEKKDEKEKSGEEEATDTWEEMEFVDEFDQMEAFGCSAKMEKPIWSERLLKKLI >CRE29660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:200568:200954:-1 gene:WBGene00086123 transcript:CRE29660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29660 MLPFVFNADDDGKRVQNEERGYDPSDHQRQMEDYYKQYEEQRVVNSYHNTSGRSDLAAIQARLAQTEQAIQRLNQETE >CRE29821.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1288348:1289280:-1 gene:WBGene00086124 transcript:CRE29821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tct-1 description:CRE-TCT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVY8] MLIYKDIFTDDELSSDSFPMKLVDDLIYEFKGRHVVRKEGEIVLAGSNPSAEEGGDDEGSDEHVERGIDIVLNHKLVEMNCYEDASMFKAYIKKFMKNIIDHMEKNNRDKADVDAFKKKIQAWVVSLLSKDRFKTLQFFIGEKAAEGAENGQVAIIEYRDVDGTEVPTLLLVKEAIVEEKC >CRE29821.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1288356:1289487:-1 gene:WBGene00086124 transcript:CRE29821.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tct-1 description:CRE-TCT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3LVY8] MLIYKDIFTDDELSSDSFPMKLVDDLIYEFKGRHVVRKEGEIVLAGSNPSAEEGGDDEGSDEHVERGIDIVLNHKLVEMNCYEDASMFKAYIKKFMKNIIDHMEKNNRDKADVDAFKKKIQAWVVSLLSKDRFKTLQFFIGEKAAEGAENGQVAIIEYRDVDGTEVPTLLLVKEAIVEEKC >CRE29680 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:333276:334373:-1 gene:WBGene00086125 transcript:CRE29680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29680 MCDADLCQKIWGLRAVVFHSNSAFDLFNKFQTHFFIAAGCRAALAFLYLLAIFDYVGLPEEEAEKIRETFWNKFMNNFLIHFIYSAFILAWLLCPSRCWYGFLSVLQLFYAVFAVFETSIELKDYIAAQHGGENYEHFKIGMFGYFAHNYLSVVVAFYVGFILVRLSCLSPPKQPDLYVVHVIHDKTKDSSSSSSSEKKSEDRESQKSP >CRE29672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:276691:279315:-1 gene:WBGene00086126 transcript:CRE29672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29672 MRLLILLVGCLLSFGFSQKLSTAEDEERSKTCGRIPNQNAKTNDELSPWTVRIQIKDKHFNPATLVSRRHILSSGSIVIGKVANEEKWKWSMNGEEIDMANCKDNKMEVPTELLTVDLVACSNHFLCPWRPEVPIRSAHYIGPCDAKSSPEGLLIVEMERDIPEDSEYFTPACIPESDISIAIDEQLTSHKAHIDNNQNIVIEMLPTTIIACSTPSDHLFCGELSKCPEGSTSPLIKIVDGVDTVVGFSVYYNDECKKKTFASVMHYKQQLCDLTGICQTLPIDLTPWTVNVNTPNDNGYIYNPATLISKRHVVVAAFALFQDKPAKYFDGTAVDLSKCQNNVMEISTEIIKKTSVDLSTCNDPSKCGSQISKQVKSAVYFGVCEPEVRAFGVVLLEMDSDLPAELPYFVPSCIPGGTAYLLIILSRNMNGQETLIGILYYRGPKCKNDQYVSIEMMSDLFCLYAGICAEKSSAPVTVPSIPAATGNVPIETTPSKSDPSTEKARDQDTVTTIPEDSSAEDATTQKDDKVTDQKPEDEITPEAVQPTDKPEEPDTTEPAETDGDGTTVEDNPPTEKPDEPEPTEPGDDDDDDPEEDPKEKPKDVQNPEKQVQNPRKVPRVDDEEEEEDDEEEEENEHKTTTVITSSAMISSSKIVVSLICVIFGFEI >CRE29836 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1348684:1349494:-1 gene:WBGene00086127 transcript:CRE29836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29836 MSTTKSMKSDSNSSSENEKKTMTPSESTEEQLSFRSPEADRVSIVPECAYSAWNIFIGIFLLFTTIFLTPGEIPSIVTQCFGVLFIFVGLLAFVLIFKSARSIFIVLTVFSAITIVLTMLTIIGFVYTIDGSFPVPELMLKSKNETCSKCFNNAFSDTFLEIVLAGLLLFHIVFNLFSFFIFLKRSCKSQNTLNDEHNQRSFRSSAQAQEKQEKGSAEIIVMDY >CRE29803.1 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1209167:1211711:-1 gene:WBGene00086128 transcript:CRE29803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29803 MTLLRFGTLLVLATILPLEQGFYVPGVAPVDFRAGDAIDVKAIKLTSSSNIMPFEYYSVPFCKPTDGDIQYKSENLGEVMRGDRIVNTPYRFQMKKNEQCLTLCSNKLSKEDVLLFKERIRQEYSAHMIVDNLPVATVISPGKSGDIYYDLGYRLGWIDENAKVFLNNHLQFVVKYHQHTPGLYRFVLSFICYTCKKFFRVVGFEVRPRSITATKNSDSTCSLPEDGGRHVELGDAEQTIEFSYSVTFEESDVPWASRWDVYLTTKAVDIHWFSILNSIVVVLSLSGFLSVTIVRTVRRDIAQYNRDDEEDDTLEETGWKLVHGDVFRPPPHQMILVNMVGTGIQLLGMSAIVVVCAMLGMLSPASRGSLMSAAVFLFCFMGLISGYHAGRLYKTMKGRNPIRCAVQTATLFPSLILGAGFLLNFFLIGKHSSGAVPFGTMIALLVMWFCIDMPLIFLGFYFGYRKQPYTHPVRTNQIPRQVPEQPWYLRLIPSSLIAGVLPFGAMFIELFFIFNAIWENQFYYLFGFLFIVSIILAISTAQISVVATYFSLCAENYRWWWRSFVISGGSSFYVMAYAVFYYNTKLTIEGFVPTVLYFGYSSLIALTFFFMTGTIGFYASHFFLTKIYAAVKID >CRE29803.2 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1209216:1211711:-1 gene:WBGene00086128 transcript:CRE29803.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29803 MTLLRFGTLLVLATILPLEQGFYVPGVAPVDFRAGDAIDVKAIKLTSSSNIMPFEYYSVPFCKPTDGDIQYKSENLGEVMRGDRIVNTPYRFQMKKNEQCLTLCSNKLSKEDVLLFKERIRQEYSAHMIVDNLPVATVISPGKSGDIYYDLGYRLGWIDENAKVFLNNHLQFVVKYHQHTPGLYRFVLSFICYTCKKFFRVVGFEVRPRSITATKNSDSTCSLPEDGGRHVELGDAEQTIEFSYSVTFEESDVPWASRWDVYLTTKAVDIHWFSILNSIVVVLSLSGFLSVTIVRTVRRDIAQYNRDDEEDDTLEETGWKLVHGDVFRPPPHQMILVNMVGTGIQLLGMSAIVVVCAMLGMLSPASRGSLMSAAVFLFCFMGLISGYHAGRLYKTMKGRNPIRCAVQTATLFPSLILGAGFLLNFFLIGKHSSGAVPFGTMIALLVMWFCIDMPLIFLGFYFGYRKQPYTHPVRTNQIPRQVPEQPWYLRLIPSSLIAGVLPFGAMFIELFFIFNAIWENQFYYLFGFLFIVSIILAISTAQISVVATYFSLCAENYRWWWRSFVISGGSSFYVMAYAVFYYNTKLTIEGFVPTVLYFGYSSLIALTFFFMTGTIGFYASHFFLTKIYAAVKID >CRE29803.3 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1208805:1211711:-1 gene:WBGene00086128 transcript:CRE29803.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29803 MTLLRFGTLLVLATILPLEQGFYVPGVAPVDFRAGDAIDVKAIKLTSSSNIMPFEYYSVPFCKPTDGDIQYKSENLGEVMRGDRIVNTPYRFQMKKNEQCLTLCSNKLSKEDVLLFKERIRQEYSAHMIVDNLPVATVISPGKSGDIYYDLGYRLGWIDENAKVFLNNHLQFVVKYHQHTPGLYRFVLSFICYTCKKFFRVVGFEVRPRSITATKNSDSTCSLPEDGGRHVELGDAEQTIEFSYSVTFEESDVPWASRWDVYLTTKAVDIHWFSILNSIVVVLSLSGFLSVTIVRTVRRDIAQYNRDDEEDDTLEETGWKLVHGDVFRPPPHQMILVNMVGTGIQLLGMSAIVVVCAMLGMLSPASRGSLMSAAVFLFCFMGLISGYHAGRLYKTMKGRNPIRCAVQTATLFPSLILGAGFLLNFFLIGKHSSGAVPFGTMIALLVMWFCIDMPLIFLGFYFGYRKQPYTHPVRTNQIPRQVPEQPWYLRLIPSSLIAGVLPFGAMFIELFFIFNAIWENQFYYLFGFLFIVSIILAISTAQISVVATYFSLCAENYRWWWRSFVISGGSSFYVMAYAVFYYNTKLTIEGFVPTVLYFGYSSLIALTFFFMTGTIGFYASHFFLTKIYAAVKID >CRE29797 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1166277:1167883:-1 gene:WBGene00086129 transcript:CRE29797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29797 MQNNKLYAEVPNDTNALLRYMTFYEKPELYSWICTIQKTDTCLDDFEKYRDLMIVSCIAFEISAFEEDSLKLSVGDCREARVSDCSFFNLSSLSLKAIISENIRDIKKLQAMENIT >CRE29468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:319866:320821:1 gene:WBGene00086130 transcript:CRE29468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29468 MGACDKADLSQKVMCCAGKVQVIILAGIFFLAGIASAGLIYWQISTFQTIIPDKDVSSMYILMMLPGLMVLSALCLFAMLGINPKMLLVICIVWPVLTAAVAGGLIYFCYYLVEYSQKEGNHPGMSKKELLKTFEDHGIYIFYVVPAGAAMHIILAIYCIVLLVCLACCQRGGAGRDEDLYSLEENEEADGIESSTKSHISIE >CRE29430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:112410:112804:1 gene:WBGene00086131 transcript:CRE29430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29430 MIRKTTILFLALALLATVARGADGDNVEAGDAQLPSGGAQKQGGENKSTEEKKSTEAPKLETPAENAPKDTQPDAGSNSDAATPEAPAEGGNGTSSSGFMSYGLGASVVLLAAL >CRE29469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:325736:326587:1 gene:WBGene00086132 transcript:CRE29469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29469 MTKMKQDVVLKGLGGLTMIPFGVSVGFLGYNGYVLFYEKLKYVWPPFVLKEVQSPVPPGTPITAEDMVVYFTKVQISAITFAMFAVVSILVYCSFILANLSFLYKAPQPLPRPRRRAPMKRHEEEDDVDETDGDDNEKEEKGEKDKNEKSKRKKKEENPNDKARSEKGTGAGTEPGTGTGTGTGAD >CRE29532 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:755015:755311:1 gene:WBGene00086133 transcript:CRE29532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29532 MDDGVAAVVARFSLPLYILYTQRKFCGDSLNFQCSFLWSSIVRFLKKTATKATPAPHEGTDTHTHGGWGKNEKERGKPKAIGDGNNMEKSREFRDIIL >CRE29687 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:356676:357958:-1 gene:WBGene00086134 transcript:CRE29687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29687 MTYPTIHTCNPDCKYATIPFPPKYTFKKIPRRFKRYSFLIHILPFLQFKKKMKLLNFPILVQKEIWRSMKVEEVLLLTFCSNRAKNSILQHRKLLFKNTESVCYETNGDVTSNIKVKMTNEWRYLLSFLSEKDFNSSHRNDVVRLEILGLYFQCWYVSIFDFRRFIDKYEISACARVTWTSCLIRLNYAIDPAILEEYLFLSPNQETIQFDINLKSELEQNSKIYETEHLRVASLGQPTDHFLLNFSGRSLFLENTNVQKETIIECLRAWNSDMRYQNLNFLSIELYDVFTDVRQIMNQFNVKEIEKERNPPVRLFSNISKHFRSFRSFFLSGTYSVELASRRYVVNESNGHVATFSMSNKIFAFAVWRMTEKEFLDKVSS >CRE29560 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:938992:940410:1 gene:WBGene00086135 transcript:CRE29560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29560 MPRPRKQNLNVLYTTTSHHRATRQGRLRTEEEEKENKGESIPQGDNPEGKKERAVPLWPMVQKKSVNFSSASTQLWTHKAGVVVVSSSSCVVVAGVVV >CRE29758 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:886354:888315:-1 gene:WBGene00086136 transcript:CRE29758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29758 MNGETAPEGYEVEKCGECEAVDEEQTTSLDVDDPLNVFRMPKKMTLAEKVKNTDEVIAKNLRFWRETFEKQKITKVLAPMVDQSELAFRLFTRKYGAQLTFTPMIHAHLFVNDGTYRRNSLALVKADRPLVVQFCANKVETFLAACRLVEDVCDGVDLNLGCPQMVAKRGRYGSWLQDEVDLICEMVSAVRDYCKLPVSCKIRVRDDRQQTVEYAKRLVDAGATMLTVHGRTRDMKGAETGLADWSRIRDVVEAVGSRVPVMANGNIQFPGDVERCMEATGAVAVMSAEGLLYNPLIFDDSNSHIDTWKIAAEYLQYAKKFHAGTSAIRAHVFRICHHSLLEYEDFRMRVSLEHRVEDFENIVEELGKRATADAEAGKEREEEARKLFELIRNGELMDAIEVSKQPHWISKPYFRLKEVAKESIVGEGEKTFREKQKEKLAQTAKEMGVSMKQARKRERRQLAGARITEAKRTKFPPCARCKQPAGQGCTMSKCKKCCRYQCKNEKLDCKSHRYLFASQPSENIQEIST >CRE29830 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1317612:1319276:-1 gene:WBGene00086137 transcript:CRE29830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29830 MEKFKTLLKSVVDSALVNVYERLDNVESRLQRLENQQKMKKRMNILFSNDDASWDTLINDSFDKFVQGLEKEFRENAEFRKEVLRHERWRVAKKREQIKRNVSEQKQEWAEKQAYAKLQRMRELKKLKKRRKDQRKKVMKIRRQTWIAFRIFVMIFLSEIK >CRE29524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:727278:727815:1 gene:WBGene00086138 transcript:CRE29524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29524 MVSSPAIHVTATLEDDVLSVTTSWTILRDNNCDVYRKQETTRSARGIAVDITISCTHRRVCGSVRGPGPAVPISCDVFNRNM >CRE29819 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1285087:1286386:-1 gene:WBGene00086139 transcript:CRE29819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29819 MSSKPTSSATTEVWVNTKVCVKFPFEPYECQRIFMKNVVDVLDRKLDAALESPTGTGKTLSLLCSTLAWVQKQKEIKPLDFSTWQSSGAGGAEKTEEKLKNSFIPTIFYASRTHSQLEQVVHELNRTEYKWVKTTILGSREHFCINQKVKKIKESNRQSHVCRGLVSKRACHYYNKFDAMTTDKMNEVLDKGDAMDIEDFVKLGTQNSLCPYFMSRQRSETAELILLPYNYIIDPKMRRRYKLDLKNSIVIFDEAHNLESICESNASAELSSTNIALCIEELKKVLALLVDEEETARAEADMDTEAFGNQKIDLTKKLIENLRTEDLMAVLEKAFLLEEELDKLYESNLLKVSPFHHWMEKPVTEKFFWKLSQSQDSMEIQLNDW >CRE29449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:194157:196719:1 gene:WBGene00086140 transcript:CRE29449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29449 MLALRISFTIIIHLIWSTFQAPTDINLAGVRLDSSGDLEVTPNQSFAIRVLGNNIPRGGDFYFTTATTCEDAYLPNNDKISTVPVVSLHSACSFAVLEVRDGLPFNVSSSTYHLCYKGFNAYTEEFLNIKSTDPTTQKFGLDSVIVCCFCILMSAYAAGMTLGYMKFSIVELNNLIKQVDASLAKKARRILVVRRQSNYLVTSFSLFSSIFTVLFTTNVEKLLNGAPNEAVLKIVVPALISLIFAEVIPQAICNSKFGFDLASGLWFVSYFIFVVTFPIAYPVSRVLGRFLKRDVREVLTEEEKTCMIQNMAKNANEKVKTILENATTFANKKVGELMVPIDEVFMLSRSQKLNRSTILTLVEKGYTRIPVYHDKNKNTIVGLLNMKDLRLVAGDLGREPTVREVLLQLETLKEKNKKAKFVAKYVNVEMNAQLLLNQMRTGDFHFACVVKYTSYESKVIGIITIEDILEELFGKIDENNQRRVRSSVDDRADNAVIGWCREAGTDKNYPLSFSQQLRVLQYLLEECQVLKSLEIGYLKCRQILAVERIRIAKKDEVLSVEKVLLVIWKGKVSVTNDSGSFDQEIKVPSAKSTSKDQDEKTVKPNVPVLIAGKEIMNSLMIRLGSPFREIINETEYTRKIVALSEVHYFKVLRIEDLLNAINGSVQAKKTDFVQPADSLIQRLNSRQTTSTHTPKTRTPPRTGQQM >CRE29766 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:965928:966725:-1 gene:WBGene00086141 transcript:CRE29766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29766 MSTEVNLSPLCIAFLQVSWVTYAVTTQSFLGYLCGYDTEPRRFKLMSTEVNLSPRFIAFLQVSWVTYAVTTQSKIGGKKSDE >CRE29431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:113545:114032:1 gene:WBGene00086142 transcript:CRE29431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29431 MIQKTTILFMALALLAIFAHGFPTPPSGGADDANKDEDTPADTPEDTTDDNAAAGVPGTPDLPRLPQLPIPAPEAPKAPEDPKDGTSNQGGKDEEKSKSTEAPPATAESGSNTTPEAPVEGGNGTSSSAFMSYGLGASVVLLAAL >CRE29690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:363299:364291:-1 gene:WBGene00086143 transcript:CRE29690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29690 MISINTGDDDLKPVVSFSSEDLILIRAVRDGIEVIPINVFGISSFCCMRSGYFEIMANESNIQTLLLSFYNYVHEFLGPSPEYQLSVEPEGFLPKIKNVNVTKLHFRQCPRANVLEEYFSCSPNQECVFFKTWAQFEFIPQSKICDTNNLKFDCLGQPTDQILTDFNGRSLVLELTSIKESTIIEFVNQWRSNRKYQNLEFVHINLFRESYEDPLELMEEIGVKENGLAVYHFKNRLDIESKSITSIKQKSWHYVVNESTGHVATISIGTDQFHFAAWRITEKEFLVGHPIPKVPSNDA >CRE29606 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1326024:1326681:1 gene:WBGene00086144 transcript:CRE29606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29606 MAFNRSAPKRKQTFSEDDLPLQFVPYKAYKELYDNFVVLISLVNQLRGAIIDSGQNKLALVVGESTFFSASAYHAFIDTSSKIPRVPAPIAPVATINSLDIAREAAKLLDKATRVVIERMDNPNQESQYLDFFQKLAFTNRLPPPKKAHRHQCSSKFCPLKLQFDSSTDRDNFLLGYHKIHSTDKSLLDIVTKPRVRRDLLL >CRE29527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:735322:735578:1 gene:WBGene00086145 transcript:CRE29527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29527 MNIFSIISFTLILIASLFEHVNAQALSPWGKIGLAALGGAVVDRTFSRQPTNNYYYSYPGYYTYGKKK >CRE29665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:230612:231478:-1 gene:WBGene00086146 transcript:CRE29665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29665 MTDETNMFLSKLVLHGESILAEIFRLSSFVPKDFKDPTKSTKFRSIVQLDFKYLSKKEQIEKELEKDLRLQSLFYSTFEPVLIAFEQLFSSISEFVQTFSSYALEIQTIQSGDRMHNVNRTSELEAYCLYISGLLIIYLDTYLPAPIRERIYVAIYRKSDERVNAEFLVDFLKATVPGNDSMIRRIPLPDSFIRSILHTIEVMEASSLQTPRAHLMYVALQFDRQLLTNDVAKMTKIVNSIFRETWVRLV >CRE29840 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1372758:1373571:-1 gene:WBGene00086147 transcript:CRE29840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29840 MEEIHEQEESNNHQPDSITAETTEDGPGKEYEIYTRNEELVDKLKLLNYEEGFLKLGVAYKPILKHYFVKSRNVGEQFFLFTSLAAWLIRKSGDDSYNMPQEFDDPNSTIANIMAGAKSKHVHQSGDDEQKQHMRMRK >CRE29832 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1321295:1324418:-1 gene:WBGene00086148 transcript:CRE29832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29832 MSDSVKPFAPSPTTTPALSERQPSASSPPQQPSETEEEVRGIVGDEEHYDVERKKVKKPLDCVNRQVTDNNLQENRLEDMKRQIIVFGEEYDNVYQDHFTIEQLNGLCALLKPIVNKMESNQELRNLFFCQKVSSDLYHYCLKQYCLQSSMFLVFTMVGETREILDEKSDIFELCEKIMGNWKIHNDTEAMKRNSQDMMRRPRSEYHVQRVLNLLDQADNNTLIGILLDLLDFLTSSQSGIKSLMRHGMFKIVKNYIKKAKKTKTSQRQLFYSSLPEMLLKIEDSDAFEDGLIERLIYSSLEKKPANGTFTYHRSSCFLTSVLELCLAHNQISDAVRGFRLSPQKGSEFALLVFSCAFHVAAQYPDRRINSSILFEMLDGKQYGQKTIMEEMGGMTEYLLIHIINNIGSLRNMFSFRFNRHKKDVLETVDTFVLVLTNRNISNKVLKVEDVELVTIPKHLFVHFDTEEKPTIQMLPLELTSIKGGIVFYKLRMFTTKSIENKIAHAIGYVKEDGTWKKYNSGRKEDIHFDGNEVNNFSIGIFELDD >CRE29514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:646663:647584:1 gene:WBGene00086149 transcript:CRE29514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29514 MRAKKRQEAAAVKSISSNTGKSADGPLFEVDETWLKDEKKEKKKKRKELKAKEESRKGTIGKVSYVDKNYDPKLEAKKAETYEEKVEKAVDAAGVHDAEKRQKEIELDPSKFLELNTNDLTQVSSDFVEKMDQFDEGLFFRSCFYYLLVFSEAAQVINEAFKDDDVIGDFEDAKEGVKQKEKVKDINLNLSGWGSWVGPGITEKKRRRNFVIKAKEKKRKDGERNGLIIAESVTAKDGIGKIQPRSLPFPYTRVEDYEAVLKQPLGLEWNMEKMRDELCKPAVVVEVKIY >CRE29706 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:479436:479645:-1 gene:WBGene00086150 transcript:CRE29706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29706 MRSLSDAAQIKNLLVQQFGTSWRGAYQAYQDVMSRPYQAMLINNDPQSPPRMRILSNFIDEYPISYEPV >CRE29721 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:580603:582135:-1 gene:WBGene00086151 transcript:CRE29721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29721 MSHLLIANQNQSAFSSDLHNDWSGSVNCAWSNTVQHSNELYDLHGVGTVKNVLCLRLFASSIYEIANASKDGRKLMTAIFGEQLIRNIAEYDVQIYESIAQTVQYLAWKIRKSQILLFRRTSENHGWLSVREILVRILSNDNETHGKIIAGMSATILQDIHRSHVSRTNFREDFPLLPKNRFHDVFRVAFVLFNSTIGEYIQKGKKEVVYEMIASRLVYFYTRLAADTWRILVVRNGPKVETEWYMLRGVEDVEVDTRSSNRHQLTNTSSYNNNNNNSKTYNLNLSPAISQIHHANFMKQFTNQRPLMRKPLQ >CRE29477 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:397203:397751:1 gene:WBGene00086152 transcript:CRE29477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29477 MVQLRKITRVVVPGGTKQPEGRCTSDESSNFTFRHYAAWTPADPRAFLTPVSQSKTGHLKDFVAPRIGRQSRPTKKTEYTVRFFQKNIIHSSTGQRRPLMETPVADTNIVPTLPPHCKAESRTLRTTLCNQQGQRNQGAAPLLLAGSLSLHRETSNSGMPPGQTKTCGRQSTTPTSSNGRLQ >CRE29558 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:927781:927963:1 gene:WBGene00086153 transcript:CRE29558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29558 MSGKLEIISLEEFDEPFEEILKSEAEEAEKYADKWSGNSIDTWETVSSVGVNVSYSNYQF >CRE29518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:668703:670411:1 gene:WBGene00086154 transcript:CRE29518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29518 MAIGISVFIGISTAIWLIVGCGGKKKKNAPKGAGGAKYKSFQAPTPPAPPAAPKADSKMKAPEEKEKSKKSEKKDEPKKEEEKKEKSKKSEKKDDKKEEKKEEKKEEKKEEEKKEKSKKSEMKDDDKKDDDKKDEEKKDDKEKKDEKKEDEKDKDDDKDDKKEEEKKEEKKEEKKEEKKEEKKEEEKKEEKKEEKKEEEKKEEKKEEKKEEEKKEEKKEEKKDELKPHGKQSVPVIIASIHISPRLVLNGCRPRVDPYGSIMDPSDIPTASARSAFHP >CRE29613 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1377685:1378390:1 gene:WBGene00086155 transcript:CRE29613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29613 MNITFARSLYTQGQYNGKIREYFYYISHNGFLFLDDSRMKNFTAAYKDVPFLNFFYARIKENKTGRYEDTFPWVSLCGIERNFLRCDDTPLVYTELDPTEQSLKVGQSSIQYPFEVHQPSTLSMTSTGRVYHKSSIGGKALVADKLTDKLYHRFRFDKDGNPIGFEFENQIVRLNDVK >CRE29462 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:291965:292530:1 gene:WBGene00086156 transcript:CRE29462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29462 MYCQICATQTDCNEHSNGGFSRTQNGREVLIGVMYYHDSTCTVEDLVSMEVLSDLFCLYAGICNTKSTVNATGQKHGGKDSQKQSVPQKQAGNPKKPDGAEETGDGGDDSKVDAASSCLLTIYFSAVAFSLMSTLFGF >CRE29588 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:1158107:1158841:1 gene:WBGene00086157 transcript:CRE29588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29588 MLIRCSKIGTSVYNRLLINSWENALEKVQWAWSCVAQIPDGTTVIRSVQSSSHSILYLRMSSFFFYLRNRSLTPFEQNCLDDHSRQMAQIMISTEEKFHVYERLAKVHCSSGPSSSSSSIVPPFIANRAASISRSVSSGSSTTASSRSTPRSTSPYRVSLVANPFYDPSYKRYSPKATTASTPSSGSTPTSPLAAKLIANPFYDPSYVLSSSKPTSSDPTPSFIRLIPNPFYNPNYVINSQSPQ >CRE29656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:165231:167935:-1 gene:WBGene00086158 transcript:CRE29656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29656 MIEIGTEPRAGTLEWLDNERNRVSWTELRTSFHLLYRHTATDSLSDSLIFHVYAARESTRRASRIRISVDIQIKNPPDPDVQVILFPESVSILNSGSTPLLADMLMTRHKTVPPQSIVYSTQQRGANGVTIRRGDDEIDQFSQQEVNDGKISIWHTANRHQPTATWHDVIVLDIEGHTRSLIVDIRPLDLMLKNHSTIWYPQGKTYVVLNSEHLGAFSMGNRSSLKYKITSGPENGTFYWVAGEKEAKEFSQKDIDDGRVLYAQLNMHSYQDKFDFVVENDSRDVLRNSSELKVRALVTVQPVIVEADTATPLTTSQINASTLLNASPRFFLTSPLKYGRLTFDPNTNYSTYYFTYTDIQKGIVYYKAFSTDEEVHEIVQLEVRADNVQPARFTMPITILRADMESYDLTPSGDSNGTPFSNGGSGLPKFSILGENSLPVVILGAIVAATMFVLICRRCGDGKKKNKSRTPISTKLDTPTRLPPSPSESIDHEKPPDLLGTTVFASVRQAEDRMTMKSFNKPPKPESASSRKPPNPRRQNVSSLDYAGISSDTPPPMRLFEQVAQTQPTNHYWV >CRE29707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:480030:480395:-1 gene:WBGene00086159 transcript:CRE29707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29707 MTDFARSKDSLNLLNTLFTVYAHHYNCAVFNLVQSAFALPPVTRNNSTYIILMRSLSDAAQIKNLLVQQFGTSWRGAYQAYQDVMSRPYQAMLINNDPQSPPRMRILSNFIDEYPISYEPV >CRE29485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:496173:497841:1 gene:WBGene00086160 transcript:CRE29485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-paxt-1 MGKLEDVEAEKKVWESDDAWELRKAFMLAHYDDYPKIQLQCLSQLFINVTLLGCEYSESLMQKIRTMGAGIAANKDRTKTGSYVKASAAKKRQAVKPSDLEGAPVEAKREKVEEAPVSDHEAYNERLEKLRSTLSLTPHHLTGEQMMKAATNGCLMKWYVKKVNQKIEIYIDRYVAFRHTFSQYCVDIHDCAVNALIESILSCTAALNDDGDEIRFDGVPADECYAKSVERRLGKIKGGLGNGTHTLKAFSTQLESVNMSLIQNTKKLEGWSQQLDLVTADLLLGSRILSSTECTKPAMATIADEMCAQICQLILTNNATVINSMKSHSSLAFQV >CRE29551 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:894778:895560:1 gene:WBGene00086161 transcript:CRE29551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29551 MRWDLEIRRVTDTETKEKKFVVRGYYRCTFHDDILQETKSSAPSGLKLKCVGGGRIKHDDAGKDLLVYGYSQGYGRADHQVAVDILKHKYPDYHIHFSNDGY >CRE29662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:217720:218121:-1 gene:WBGene00086162 transcript:CRE29662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29662 MRTPALFVMLLLVFIATATAQESGGNDKPATPEPTDKETTQKKIEEKPKETEPKDKPNEKDSSKTPPVDTPRNGDEKGSGSENSEGGTGVEEGAGSEGGAEGVPEGPEEAAVTSASNIVGGLLGVAAVLTYLF >CRE29737 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:727932:729282:-1 gene:WBGene00086163 transcript:CRE29737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29737 MRGITVLCLLTICLACFVAQTEAQRGGGRGGGGGRGGGGGGRGGGGWGGGGRGGGRGRWGGRGGSSSYSSSRSYSSSRRGGVDLVDLLLFGSAAYGMNNGYGGGGGYGYSGYGYGQQCRVAQFVDYVGQVPRYYCDCPPYAPHYQYYTCSSSYGKR >CRE29426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:48428:49226:1 gene:WBGene00086164 transcript:CRE29426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29426 MQPINTFLLAILLIAAAPVVFSEDVAVTPAPGDEIAVPGVDEALPATEADVPTETKEETEEATEAAAVEATKVPEVPEVPEATDATAPEVTEAPEAAPEVPEPTDATEPEVTEAPEAKEAVVTEAPEVVVTEAPVTEAPEVVEEVTSRPAFTEEPQVADDEDIEDVTTLEDLFNSTTNSTSAGFRSSGTFFVAPIVLYALVQ >CRE29651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:135092:135615:-1 gene:WBGene00086165 transcript:CRE29651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29651 MDIFSQEIIWKLKEIAGIRPIQILNLSQLWKSLRNQHRWSKSALFLHKNLREKIQPVLHDIVDLTVEVKIKIIFCASSRLDDRLKSMVSTAEYDEGGRIQRIRIGNEMLEGSHDGKLKNSFRRAKEAEAEQQERERRQ >CRE29643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9:68638:70950:-1 gene:WBGene00086166 transcript:CRE29643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29643 MNLSLQPKTLSQYYLTNYTYCPPNKNLIESPDFLLKAAISESFILIPVNLFGIYCIVWHTPKYMESFQFHLFHLHFWLLLLTICYTLLTTPYYFFPVQVRYSLGLFRFISMKNQHQHYIVSCIYGGILVSVLMMFENRHRQLVPPNDLFYKLRNSHRVFLVILNFCCGSAGSLPIFMEDLSNQEQMKLKYLEEIPCPTEIYFDPHAFALGNSTNIYTAIALIFNLIGAVQLFFFIIHSTMYLRKIQLIQTFSIRTKRIQKSFFKSALAQVASPISVLLVPLMLLTYVRITRQYLQGLTNICILSIPAHSIFSTASLLIFNAPYRKFTKDLFRLSEYPSNRVVVPSLQQQ >CRE03334 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:266029:267780:1 gene:WBGene00086168 transcript:CRE03334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03334 MKLLKTFKSLVVVISALLFFFLLIRFDSFLESNYSINLRNVLQIRADPPRLRIFSLNGCLGNNKFLYVDLYYKDKITPTRMKVYGNTLDENCPSDFAPTRLCFYSPHTFVENLSVTEGLTKVVIELGLRKVELSVQEIHKPVQQGLTICVQPVYYYTQWQNIVLYIEAWRAQGATRFIVFYHSSTKDTRKVLDYYQNLGIIEMRPWGSFGNLHKDIVDKKPNIDNNSYLFSYILASNICVLDIKTTLGAAIDFDEIIVPINGTMLDYATKEMSGTDVGALLFESNYVAMKPSIYTSDFSGVSSPSFYGKGLNKYVFNVSVIDLCETHFVKSFIDKSKFTKDAAGLVLHMRFNAKDFGDVPTSKPFHFFPNDTSQHILNMHKIIQTIFGSSPPPVPMETLNVFVECGHRKFKQGMCHGASCKPDMDAVHEWVYDKTEGLFLAGEY >CRE03329 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:198497:209102:1 gene:WBGene00086169 transcript:CRE03329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03329 MVHRNRVEQSGRIRVANQANQDYLQSHAIYFYLPTLLFYSSLLFHEMSVLRYVQGVVTKSCGTLKTYIEECEVNLVDWGHLDSNDEAIRTELAKTIHILEAKISTVNVAMNNFLIKAAEIDEKNSAEQEKVEEKINQVIEITDIANSVMARAQAEMKRMSQKAEKKEEKKMGETIFAHRNNQMAATMPKVPKFNGNRSDYENFWLLFETSLKVNGVENDLLKFNFLLNSLEGEPKELMRQFRLSEENYQKAVNLLQKKYYDKKRIVTELTRQLRSIRAKSESTSDQRKLLDTITIIVSQLNENRGQIDAEMTKDIIVEKFDMRIREKIEDMQLDAQDDWTIEELLEKMDSVITREEKLNIKLDRSEKSEKSSKETSKVRTSNNYATNNSNNGKSKSRENGRDTDRPKTCVYCKADGHWGFECVKVSTAKERKDILKSEERCIQCTVKGHNMDNCRRKRKCYHCKEIGHHSSICTNKPKDSSDDSSSRRRETADKNKQKTKTMSCKSKKEVRTSSSLNSTETSPIQEASKQPDQSEMIIQPIIPSSNFNTSRVKLPDQSQCTVFLLSTVFVILISRMCLNCDLLCMTTNSPIWNPDKQLSEQFSEMSQFDDGQQPFERVVNKLVLKAREFKEKFRIQQNAVEEAIFQKSRIVDQLRNKKETKDKLQLFQSLEDTRTKMDSALMRAQAAIRELRRQQKTAQLLVETGVGEQELVDNKMADFGINVAEERKSLEYLEQDVERERVEFSNLLKVGWKAVQQVSDTMELKIEEIQETSEAVEKKIDVVQNDIKKGFKNEQFFERMARLLEYRLSRVEEIQTAGASASTDNQVRIVFKETGTSPCQLDRSPSPVRRRSPSPVRRRSPSPVRRRSPSPVRVRSPSPQPTHSRRQHSPNEERNRHLGSQQGTGSSFPVRCVFCLEEHLSDTCRRYWTTAARRERLDQRSRCYRCLRPHPNAPNHRCPPVKQCYYCNSSGRHHRSVCRQRPQ >CRE03318 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:30242:30694:1 gene:WBGene00086170 transcript:CRE03318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03318 MSSASSPWSSAYSFPWTSSEHTEIREIGLKIDWKTEKTVKQQLEKAQLENSIRKQKMEILGLEIAEISKNFEKSLKGIEWRRLTFEQLSAGNRLAMRMLKEQLKEIETLRAGIQKNQKNQKTLENQKTCEAAEHIMKYVMEQRKMQPSAK >CRE03350 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:268947:270042:-1 gene:WBGene00086171 transcript:CRE03350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03350 MPFALFRGIEATWNALKSKLRNRFGTPEHRLGGHMYNYMWRRFYDKEKLLNRLLIEMGSYRRVDSFEDIHFSDSSPSSESSPERSPSPSSSPPDSSPSSAEDEAGFIEDESEAEQEDEPNNDEEDKSDTESEPESQDDSEPDHQQGDIGTSCACPCEPRPSRNRHREHHQEQHVPDLSQIGPTVEDQTTEPPVVAAQHVTRGLRRKLTTEAPIAVPTTKAPLKLKPTRKPKPTNSKKTGH >CRE03321 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:112039:112297:1 gene:WBGene00086172 transcript:CRE03321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03321 MFFKIFFAVVFCFALTSALPIIGDVGGSLPSAVGSTIKQISDLDSVIAQIPIRLPRLPTTPPATTFPSPA >CRE03325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:160708:164680:1 gene:WBGene00086173 transcript:CRE03325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03325 MTTGHTDLQTQPSVTMADNDEQYDEDRVIADFLEQSVEEDRIESKSLLVYKMGAIGIGGVVNKKLTMKEEIEALSVESKKTPNFFQNKKIYLDRHSLPTVPTDPQFSGALEHVTKYSAEQAHRFLAMAEVWKQREELRTPTLPQYPVEPIDDLMVDTVAGHYGLYQITRQSNVYHTATAFHKSLGRIIGRMRIESGSTILGPDGSCYLTPLRQLLLGDTIAVKEVTFVDGKACATKFCLFKRTVIENQKIHIGLNRKPVIFGTGKVGIVAEDPSLTSGTTHRADIFYPVDWKGRPNSHRNPCLSMTQPIVLNNSTDLSRKETGCHLDKNCKYTPDVLEYLVALGSSAITAARRGVWDTLHHLCTFREEDGFLKFTLRSTSSLWPPGTRIAIVGAGQAEIISTFNRLCDKNRVTWIPITAKPLGNFNFENLNDPNGKWVYQIVQRAPPILPLGFFEKMENGSNEKRIIKAFYGGLSIGVDGKDQERSGFLGRGKIVAVKFPECLQITPSDSLATYPITLDIHQSTYVSRLATGSFQYPITVGNFPILSGKTTTVAIAALEAAKTHPGTQHIIFVPDDFGAQSLVSRMESIQAKSNVRVRAVRLIDSNDPDEKTHLDYPILLKKFVQEVKDGKHNLEKIIVEKAKEYKEGDIIDDIFWLCNYFEQVRPQIIISKFQTIFSNATLFQFLDKVATIQLDNADQIPQIDLVQTCIQFPSAKYGLIGDAVKDRPSFDCMSLPQTNLAIGWLIQKSVTQKMLPIVTSNNIYGGSINPKIVALIGNLYYKDRELHYEMSQAGTVNYLENRPDIWTNPYVLFPVKILNHGFEHSEREARQLELLEKLVKKLADPPGDHPRVPMEHIGMVCFFYRHAVMLHKAFQDSGVTYGQPEVFHGIQKEILIIWGGFSSFKDTGLTMNESTFMLTRGKQAVFILGSTEEMSNMKADRNRVNWDTLVQMVKENEGVLSAEEFIEG >CRE03316 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:2110:5114:1 gene:WBGene00086174 transcript:CRE03316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03316 MVTSSSGTFSPPPIDPPFDSPSNRLSTLPDIDGILRKHHSRKRVTFDLNPNSILTTSGANPRLLHSMMTSSTSSSTQNDVLEHALTEASSENALLRQQVVDLNSAVESMKSEYESEILKYTKEAKTAGLKAKVAATARIKELETTLEHINGKSQEETERLQEEVDTLRSSRNWEIEQNGHLRDQVAHLKEKSFKLTEELDESEKTRRHLDSEVEESKKLMELMVEDLGQAEHLINYHIHQKEAIFEDIDRLKDAISAQDRFIEVLEQDIVIYEQHIGLLRENLGASQIDHRALIKSKAFETKLLALEREKEKSDKKSNGKNSDGNFRVRIEFLD >CRE03343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:111282:111549:-1 gene:WBGene00086175 transcript:CRE03343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03343 MFFKVVFAVVFCFVLTSALPIIVGFGESLPSVVINTVKKTTVYPFDLPAEPIFIPKADLRTFPKLPDFIHEIA >CRE03326 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:169409:170932:1 gene:WBGene00086176 transcript:CRE03326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03326 MRRNAMNLPSIFFLSILSVSCWAALPTCPTAGCPASGIWSEWTTTDNCPTSCGACSKAFYTRRCLTEEAGCPCTGNTTRYYPCNTLTCLYPAQRTCCIPYVPMTINGSMQCGPLPKEPPVTSCCPQGGLWSEWGGFVRNAEDTAFEQSRRCLSEAAGCPPCQGNAVNLLEAGKCPCNNFVQTYNRNFTWDGSTLVSPIEPGLDNKTCIYEARLNKDPNNCNQWGSYKSTSVIRYWPKDATDKPTEYRMADCKATVDEYFRVYCDFKSPYYRFYNTDEEILAWSQIRKP >CRE03323 pep:known supercontig:C_remanei-15.0.1:Crem_Contig90:124456:126173:1 gene:WBGene00086177 transcript:CRE03323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03323 MTAMRELDKAKIRVVEQNDVLKAAEQFLATARQDMILLDENGIMLEEKYNTVRQMINNFETGINRLSEPLNTHQYSIISRQLDEEKNMLLEKSSEICLILSEINVLLRLVLPNIPREKLLEIMDELMSLVSDIEETASSVLAAIRDHCNDSMTLEKTEKWGELTGKVYWTLTAIHLSLPSFNLSIIQTNLIHNLITHINSFYMPRWWVAFLEVVGKEKDVESFWSKVYKYRDELKVLTEQVEAILGYD >CRE14392 pep:known supercontig:C_remanei-15.0.1:Crem_Contig902:1888:3094:-1 gene:WBGene00086178 transcript:CRE14392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14392 MASDLQQQLAASSLYDAESLKAFNQMYNSSQNPYGNGFDKNYPTSALPFFQHFAPYTNPNATASSFSPSGSSTSSTSSQQHQHPTKKKPVPVPAEQKDETYFERRRRNNEAARKSREARRKQDNDNGTRVIQLEQIETLLHM >CRE16525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig905:1509:2325:-1 gene:WBGene00086179 transcript:CRE16525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16525 MDSATKNEDEKKMFREKDVSTRLIRPTITEIHVDKLALFVERWNHDWEIDGSIQIFDEGIAQYMLTDIESHYKYFAALILSKPSLRCRIVKEEPRDELEEQENRIILLGGEKLDHQSHGSIEFLKNILHKRGYRFE >CRE16524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig905:11026:12027:1 gene:WBGene00086180 transcript:CRE16524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE16524 MFTNPRTRCLRCFGTQPKTNPTKANPTKTNPTKTSFRSVSCQVKPNNIPGFHCLQYIGTESQYTGVHFKEDYGYIRTKVEVPTFFPFNAPIPDSIPEKVLSVWDKEKKAGKVTAKKAQVQGIIRNPVETAPVEEKYCGPLLDGSAPEMIVPSGALGMTDTRRKQQINYQQSKRKRTIDAGFGISEAGPPKVYKPKTYQPIDHLNSHDSYYEGSMPSSSSSFPTISDFESEPGNIDPISQSILRCIENSLTETREESDFPIEYSNEKSIEVDNLIAQVHEFAAPIEEP >CRE15810 pep:known supercontig:C_remanei-15.0.1:Crem_Contig906:3478:5120:-1 gene:WBGene00086181 transcript:CRE15810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15810 MIKRYRLIEIDGHNPLDPPRRLLDEILNDKTLDVDSKRQFYQDLLYRVKNLPHLRIIDRNVLKTFDELVKNYEKTPNLKQISEEKPPVDEKYYDEGNSTEMDDTQKSYTSYEDESDSDMSTTLSPAIPTPEQPSTDTPLSSPIVTKPITRQTVKQTTGQQYIVPVKPRIKPARLPAIPIFRKGKDAYLNPKNPCAFSSVNAIHQFVKHRGITRRRVEEVLENIEAYTKHFPVRSKFPRLQTTSSGIENSIQIDLADVSRHKKYNDGVTFLLVCVDVNSRMFYVEPLKSKKGEEVAMALKKIISKFKSPPIYVYSDLGKEFYNVHVKNYLDSLSIRHCTPKSEIKCAMAERANRTLKSRLAKFMTSKYNWRYVDVLSKVVDGINRSVNRSIKKAPIDVKNGDFSFRRRGRRVRRKYNIGDHVRIYAKQGTFDKGYEERWTQEVFVITHIFPTDPVTYTLADENGEVIDGKFYYHEMIRVFYEQDQVYRIEKIVGYRKHKGKKQVKVRWEGHSAEFDSWVNESEILDIQQ >CRE15811 pep:known supercontig:C_remanei-15.0.1:Crem_Contig906:5444:6070:-1 gene:WBGene00086182 transcript:CRE15811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15811 MTPFQFRFPSQTTVIGATQSGKTSLVRKILENLDSSFSTNIDNIFWFYGVDNDGIPKHLPQITCIEGIPDVEFLKQHRFKNNIIVMDDLMNIFARDKKSLHLLNDLFCVYAHHYNCAIFNLVQSAFALPPTTRNNSTYLILMRNLSDASQIKNLLIQQFGEKWRGALKAYQSVMSKPYNAMMINNDPNADPCFRIMEDFLHEFPIVYK >CRE20118 pep:known supercontig:C_remanei-15.0.1:Crem_Contig908:16668:18847:-1 gene:WBGene00086183 transcript:CRE20118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20118 MQSSILLLFLLLILLGYDGEASSSPRTMKLCLYLKAIRRSTAECDKVTLDNVEDPSSNSTMSPLAKIIANIEKRNEKLKLTKKFRKLAAPLPQCSSNLDSGYKQCRMDITCSSGYSCETKSKTRCCMEANHSPEIERKTEDFKTCPSHHQMSYFCQTSSSLSRAKKTCKTDTDCMFSNVQKCCDAGCGFNVCVVATGNFTRNALIFLGS >CRE20116 pep:known supercontig:C_remanei-15.0.1:Crem_Contig908:4742:5151:-1 gene:WBGene00086184 transcript:CRE20116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20116 MTTSFLLLVCFFIMIFRKETMCQSVCKTVTCYGQAICHEIDEPYYNGTLLPIPKVAQCSDKNIEDYIKDIIASKNIEFKAPQLDELTNFLNYIVR >CRE20110 pep:known supercontig:C_remanei-15.0.1:Crem_Contig909:2222:3533:-1 gene:WBGene00086185 transcript:CRE20110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20110 MFKKIFDHFDNAQFLSRIFSIDIILSVMLFMPSEKVIDGYGIYSLWLVFAYFGINGYVTFSIEESAIRQVDYYKRNVRVAIIGTIIYLISHFIILYSLDPDGWTHYTIFCLLNVFYLLFYVRYTWKVKDISGFNLRYGYGIGPAVIGMISYALYCYQNFKTIHALQFYTLLHCIYDFCLTFKYFCVELPDPEETLLQRIKTE >CRE20111 pep:known supercontig:C_remanei-15.0.1:Crem_Contig909:3759:4739:-1 gene:WBGene00086186 transcript:CRE20111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20111 MLNNLRVVEIPSESRDFIARILSVDVSIIVWMFIIGKQYLLFFICAYYAFNVYVSENPPLEEIRSVGYYKRKIRIAIIGSILYIISHVIILNSLDLSGWTYYTIYCLLNVFYPVFYIRYTWKVVVFSGFNLKYGYGIGPVIFGIIAIVILFYQNPQGGQQIHICALLHCIYDFCLTFKYFCIELPGPEETLLQRIKTE >CRE20109 pep:known supercontig:C_remanei-15.0.1:Crem_Contig909:264:2042:-1 gene:WBGene00086187 transcript:CRE20109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20109 MLYVVEKPSEYRDFIARVGSLDVIYAIWTVNTACGNHEIMGYLPFLVLGYLGFNLNLSIKMSLDTIRSVDYYKEKTRVAIIGSIIYLIGHFIVLYSLDSDGWTHYTIFNLLNVFYGLFYIRYTWKIVDFSGFNLKYGYGIGLAVCALVTVIVCWYKNLRKMRIYEVYALLHCIYDFCLTFKYFCIEIPGPEETLLQRIKTE >CRE20112 pep:known supercontig:C_remanei-15.0.1:Crem_Contig909:10389:10592:-1 gene:WBGene00086188 transcript:CRE20112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20112 MFFPCLNIALLSTLPLLLAFFLKNLKNLSDYSLEKFYNDATSLILLTSTVSKFSIFTQHAIADLNHN >CRE04247 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:264025:264545:-1 gene:WBGene00086189 transcript:CRE04247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04247 METSRPLTLFRLPAIPLTKISRYMHLQEILLISLASKKLAYIMRSLLPLNWFNLKLSFHNETKIVLGAKGTWDRDPVTIKGQKDGYLFKLHVTQLSGDVSYQWAGSQLQELVKILLTHFATVFNPTVSIHVEKVYSQNFLMNVMHQVKQLNFMITSLK >CRE04249 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:281488:282191:-1 gene:WBGene00086190 transcript:CRE04249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04249 MSEVSKALPLFRLPTILLRKINRYMDLQEILLISLASKKSAYIMRSLLPKNCFTLSLLFICNHSDIFLGSKGLWDRVKVSGQNVGDRFKLQVAQPSGDVTYLWAGSYLEDPVKLLLSHFAIVFNPTISIYFGDTCTQNFVMDLLLHLKQLNILMKDLTLSRFFISPENYKYVLDEYREVSELYLFCEVASNFEYRAGPDFRVDDFCVSDGH >CRE04244 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:257545:258344:-1 gene:WBGene00086191 transcript:CRE04244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04244 MSKVSKPLPLFRLPTIPLTKISRYMDLQEIFMVSLASKKSALIIRSLLPPNLFSLKIVFSVESKATIGAEGHWIDPLVIKRKGVYGRPVARQFCDVCSQTIEQTVYSHSVEIIRRCDGKKATVKCGSRDFVFNVID >CRE04241 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:250356:250911:-1 gene:WBGene00086192 transcript:CRE04241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04241 MLSHLAIVFNPTISIDFEEICIQEFVMEVMNHVKQLNIVTKSVELSCAALSPENYKYILDECKNVPRLWLLCEVSPDFEYRAGPDFKVDDFFVRDSHWIHLEGFSNCKTVYIHQKPDYINLEGLRALIRKWIESECQLEHFTVSSIRSTFDSKLEELELRITMA >CRE04163 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:106608:107804:1 gene:WBGene00086193 transcript:CRE04163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04163 MEQSEKDNQATSPPPSSTDQNRKSSDTESSQIYTRCVNDDSDGSILSDWMDHLSDFEQPTDQSILKELMKSSSQYLLEKNEKRNGEMREVNSEYAFDITMY >CRE04187 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:236322:236558:1 gene:WBGene00086194 transcript:CRE04187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04187 MANAVQVLPSMGNPRPNNLVEEELEEEGSLLTRFVCCLIVAIVVIFLITLVVMGLVTVFWPSTMPSQPVTSANNGFGG >CRE04231 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:211092:212160:-1 gene:WBGene00086195 transcript:CRE04231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04231 MSLSKPFPLLRLPRLPLFKVFNDIGVWQQFYISICSSKAKYAIKFYNERQKFSVTFRFTETFAFYIGIGYTKFHIDVQTITPTLGSMGIFLSSVDVEAPTNVQRLLLFLSDVFNTPAIDLFFEERPHDFVSGFINFIHSMKLKIHELEIKSNNEEDVEFILDNCREGFSKLDLNCPMFHTEFEYLNKPLIPKFSLDELAINYAGWVTTRHLTKLFINCKHVSLDGCSASNLDVKQFIQKWKNGYSQLKFARLEFNHVNFFLADIMRGIPSTVVPIEELGREFGLFDSKVYRIQQQKTGREAYVFSDESYIVLTDSLQ >CRE04233 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:219280:220637:-1 gene:WBGene00086196 transcript:CRE04233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04233 MAYLYEMRVYNRPPGETPTPVESPSISIESLESVHALEAAQARAPSPIPPAASNFGTRCVNFWIGFAILVFFLALLALVLVCKFAPHWLPGRVMVIYTGAKFAPKKKLIMPPSPPEDNDIPWLVKILIGFLIIAAVLNFWNVTQHFVELNSSCETLAAQCNWTEVMLRECVRRNRWPVYLI >CRE04242 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:253382:254438:-1 gene:WBGene00086197 transcript:CRE04242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04242 MTEVSKPLPLFRLPTLLLRNISRYMDLQEILLISLASKKSAFIIKSLLPLNSFNLKLLFCTETKIVLDAKGPWDRDPVTIKRQKDGYIFKLQITQPSGDVSYQWAGPQLQELVKILLAHFATVFNPTISIKFGDWKFRNLGQGFAMSVLKHVKQLNFMTTSLKIFADISPENYKFILDEYREVPELWLYCEVTKDFEYHLGPDFRVDDLLISDGHWMHCEDFSNCKKVAVWNSSGHKQLKYANLEVPRALIRKWIESDCRLEHFTVSSFPIKFNFRLVLQGLGFRTIEQTEDFHGVEITRKCDGKKATVTCSEYDFELKVID >CRE04204 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:5484:6597:-1 gene:WBGene00086198 transcript:CRE04204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04204 MTTPFRLFYLPYLPLKQDLDNVGSEALIILSMCSLRSKSIAVSYRGPSKDVRLTLDFGLGDRLEDSDEYYTNVLLVVKKGFPMGHNTLETVRIGSFERVSVRMEFLYVKGDKRGLITYWEDPVTGVAAIGDYGREIFNRDIYEVCIGEKQTNDDHRRAAEWFLKSQKSVQSLHCDFKPKIDNDLDFILENFNYTENLSLHVKALEHYSPVRLPNFQIDNLSIIYSFWIKQEHLLTMDCKYIGLQDSTLSSRDFNVFLKHWMNGGCFQLKECCVDVPGLDYQIVLDGVEFIKRGDDVERVFVDEDRIPHTIRGGFDVKRSNVTATVVNQSGDEFWMIVW >CRE04199 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:289968:290330:1 gene:WBGene00086199 transcript:CRE04199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04199 MIPPVHYKLILDLWSGVSEFYLFCQVPSNFQYRAPPDFKMEKFHIYDGHWIHLEDIMNCKEVTIFNNSNQVWLRWANAEVLRGFTQKWIEADCQLEHIKLHSYPAEINNIGEIFSGLGER >CRE04166 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:126006:126896:1 gene:WBGene00086200 transcript:CRE04166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04166 MTVSIAQSSNSNSGSLTAVTSDTAIFRAFPSSFAVYAPLKTVSEREWKTNLNMHLAEDFISLKQKKQHKKIVNEILTSDLPEDVDESVWGCFKGDIKLSDDVPAKKEIKIQRRAVNMDKKKKNPSVTDVPIKKQFNCQKNTTSMDKKKNQMKAAQCSMNQKTFATDKTSSSPLTIDTSVPPPSFFLTPPTSGPAFPTTTTKSPSFVFNMGVVNAPTAGVKVAKRDLPGSGDAFEMIVDHSKPKKVKIFSPEEVKKQESLVNEWKRKILESQ >CRE04183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:199874:201802:1 gene:WBGene00086201 transcript:CRE04183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04183 MSALPILHFPTNTLTKIIDFLSVEEQLNLSKTSKSALKTVQTTTALYSYTLGIYYNDKFEIRLSQLLKTGIEVDSQLMRAGSSYSSKLENFIKRLATVYHKPTVCLTFSKGLSSTKLVTCTITLIKSLKLEIVSLRTHASWATSRHLNKLTTTKTIFFTHCKLDLLDLVRFLKRWMEGSQQEYVRLDGCQVRLKTIFGNLYGTRVRRVMLDGSATGTPDDSSAAGTPDDSSAAGTPCDSSAAYTPADSSAAGTPDDSSAAGTPADFSAAGTPADSSAAGTPDDSSASGTPVDFSAAGTPADSSAAGIPAYSCASCIPSNNLKHKIMTFSRPRWFPKGECFLVRQKNGREALVYQDETTNEDFVLRTDFHIIPDSREDSDSDSDDSDEEDTESETAGDCYSSDEYGDENYRLC >CRE04181 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:189292:190153:1 gene:WBGene00086202 transcript:CRE04181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04181 MSEIPNVDHILVIIIGSILRQTYTIAQAQIFLQLVDTCYICHEHFPSACQEICKFLGIKDLRLVSTCEMDRLVELMQSVNRVFPGYSDAKVEEIVISFYETYKKVIEATLRPPATVPVKPTPAIAQ >CRE04171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:148998:150330:1 gene:WBGene00086203 transcript:CRE04171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04171 MKLGLLILLLLVSVYADTYSPLSYVDRPCGVDLSNLWLDVVAVVDNSQGMTNDGLNNVASDIFTVFSSGTRIGSDSSEPRTTRLGLVTYNSNATQQADLNKYQSIDDAFYGIFDSLSTVVYTADSYLATGLILAEKMFNEQSVNNVRSNYKRVVIVYASEYNEDGELDPLPVANRLKLSNVKIITVAYEQPGSVGLENGLSQIASPGFSFSNNVVNISQEIQNALLQSNCFCANDWIQYRTSYSDPASSRYGVCLQPVSVPTVWTSAKMSCMNRWSNSSLATEFCQPKHDFILSAVQNTQGFSPPYQYHIGLIYASGSWVWTQPTGFQQVPLQQPLMWSSGYPQLASDKSAVMNQQSWLGTGWQNIATMNVVANYVCETYSCDTDNYCDENMNKKQ >CRE04188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:240244:240474:1 gene:WBGene00086204 transcript:CRE04188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04188 MVNPTQVFRNMGIRRQVLQQREIQQQQQQEEEEQPDRFLWIAIVAIVVFYTVFVIVCTLRVDKNMPIKPSNIGYKG >CRE04214 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:49602:50531:-1 gene:WBGene00086205 transcript:CRE04214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04214 MTTPFRLFSLPYVPLKQVLDNLGPHGIILLSLCSRRSKNLAVSYRGPSKNVQLHLMYGDSFGSLANDLTGVWEAIDIKNLGSVRLGTLPSGKFRDVQYKMDGDCLVTLWEDKLTGLIEIGNYAREIFNQDIYQVSIGDEQAVGYRRLIEWTMDTQKSIERFYFGIKKTLDEDLDYILENLKCTGSLSLLARPSKNYRTAKPLFFNLNVIYICKSFWITQRDLLGMNCKIITMYNSKLTSEDFNVFLKHWISGGCSKLKLLLVSVKELINFESVFDGVEFTERGRDVERVFIE >CRE04236 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:237970:239023:-1 gene:WBGene00086206 transcript:CRE04236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04236 MTEVSKPFSLFRLPGVPMTKISRYMNLREILLVSFVSKKSAFIMKSILPPNWFNLEMKFSENSEISLIAKGTWVPIKVKSQKTGDVFELQIAQDNGVIAHRWTSRDFKAIVKQLLTHFATVFNPTISIDFETAYIQEFVIRVLQHVKQLNLVITSLKILSGNISPEGYKYILEMHREVPELSMFCEVASHFKYRVGPDFRVDDFLVSDGHWMHFEDFVNCKKVTVLHRNDHKQKTYANPEVLRAFIRKWIESDCRLEYLEVYGGFILFRFREVLSGLEYRTIEQTEYSHIVEITRRCDGRKGKVTCGTDHFELNVID >CRE04197 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:285763:286783:1 gene:WBGene00086207 transcript:CRE04197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04197 MDRGFPLFRLPGVPLTKISRYMHLRDILLVSMASKKSAFIMKSLLPPNWFNLEMKFSNESEITLDLKGTWNPMKVKSQKNGDVYELQIAQDNEVITYRWTSRDFKAIVKSLLTHFALVFNPTISIDFETVYSQEFVIRVLQHVKQLNLMITFLKILSGNISPEGYKYILEMHREVPELSLFCEVAAHFKYRVRPDFKVDDFLVSDGHWMHSEDFVNCKKVTVLHRNDYKQNTYANPEVPRALIKKWIESECRLEYLEVYGGWLGIDFRQVLLGLEYRLVSMRLLELLCVFLQNNRTIRILSKCRNYQEMRWEEGDS >CRE04237 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:242872:243941:-1 gene:WBGene00086208 transcript:CRE04237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04237 MVTVTMVTVTLVIVTLVTVTMVIVTMVTVTLVTVTIVTVTLVIVTLVTVTYFRLLLSHASRKSAYIIRSLLPRNVFHLRLSLWHETKIVFDTKGTWNTVTNTVTNTKNYKYLLEQWKKVSELFIFCKIAPDFEYRAVAEFEIDDFHVDDGHWVYLEDFFNCRKFSLFNQSRRRDFRCAKPEVIKEFIRKWIESNYRLEYLEIFSDPVEMNFEGILSGLEYRPVESKVSHYQIVEIARRCDGKKATVKRGEYSLELKIIE >CRE04198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:287501:288530:1 gene:WBGene00086209 transcript:CRE04198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04198 MSEVSKPLPLFRLPAIPLTKISRYMHLREILLITLASKKSAFIMKSLLPLNSFYLQMRFSLAAREIFFGTKGPWDPVTIKIQTEDDIFKLQVTQPSGDVSYQWAGLQLQEPVGLLLTHFATVFKPTISIHVEKIYSQEFLIGVINQVKQLNLVRKSIILHRVNLSPENYKFILEECKEATELLIHCKVAPDFEYRVGPDFRVDHFSVSDGRWMHLEDFTNCKTINIYENPNYINPEVLRTLIKKWVESDCRLKHLKVNGSSVGIDFSELLTGIEHTTTEQTGEPHSVEITRKRDGKKALVKCHRGDFELKVID >CRE04185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:208293:210341:1 gene:WBGene00086210 transcript:CRE04185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04185 MMLNAMRTIQGWEIETFTSKEEPDVFMKRLDEEVFKMLSEFDPKDPMAVRLREELHKTEGRVSNLLNDSQEAGSGFPAIFNTPEGFERVRSEHEETRLDLAVTSHTPIVEEPFNLSICSTKAKNTIKAYTKRFKYSITFYFQENFTFSLGTGPDQNIKLDVKTHKDLFKSLFSFLRVSTVTNSTVTTSISWTRFENDVKLFLVFLMDVFHNPAVSLKFKDRRDVFVTGFIEFMNSQNLKIQKLEVESKSGEDQVVEFVMDHARHNPEVHLGCLTTSRFEYPNRSLTPKLNIEILRIDHSKWVTTWHMTNLFINCKRLVLLDCTSSNLQLNLFFKKWIATPSQLKYAELQCYSPSLSLAGIMTGVPAIRLQRAVADDRVLNHASYLITQQKTGVKALVYWHRFITLTTDFEL >CRE04160 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:47905:48966:1 gene:WBGene00086211 transcript:CRE04160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04160 MTTPFRLFSLPYVPLKQVLDNLGPHGIILLSLCSRRSKNLAVSYRGPSKNVQLHLMYGDSFGSLANDLTGVWEAIDIKNLGSVRLGTLPSGKFRDVQYKMDGDCLVTLWEDKLTGLIEIGNYAREIFNQDIYQVSIGDEQAVGYRRLIEWTMDTQKSIERFYFGIKKTLDEDLDYILENLKCTGSLSLLARPSKNYRTAKPLFFNLNVIYICKSFWITQRDLLGMNCKIITMYNSKLTSEDFNVFLKHWMSGGCSKLKLLLVSVKELINFESVFDGVEFTERGRDVERIFVIVDVSARWPSSGQVKYPTIGRSLICNSKQRMRKNGKVCEYEHRTI >CRE04250 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:283092:284078:-1 gene:WBGene00086212 transcript:CRE04250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04250 MDSTEKLLLSLASKKAAYVMRSVLPQNSVKLQITFSEITDIIIETRTEKTCWTLLKIFNSSKTPVFKLSGDVIFQWEGPNFELNGSVKSLISHFAIAFHPTIAMLFGHGCREDFMMEMMNHVKELNLAKTSLEISMSSLSPANYKFVLDECNQVSKLRLFCKIAPEFQFRAGPDFKVEELVVGDGHWMHLEDFSNCKKVTVLNCTGHKQPKYADPEMTRALIRKWIESEEDDCVLEHLEICSDPNDFGSVLAGLEYTTIELTAKLETVEITRRSDEKKAVVKCGEKMFQFNVIID >CRE04168 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:137744:139020:1 gene:WBGene00086213 transcript:CRE04168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04168 MNLHIILLSLVAYADTYSPLSYVDRPCGTDLSNLWLDVVLVVDNSQEMGSQRLHDVTSNILSVFGADTRIGSNSVEPRTTRVGLVTYNSAATLNADLNQFQSFSDLRNGVISFLKVAANTKDSYLATGLAMAAQVLNVQGLRDHYQKVIIVYASKYSGYGDLDPQPIADRLKGSGVKIITVAYGDETVLESLSSPRFGFNSASGYPQIQNALLESNCYCPHTWIQYRTDYSDRSSSPYGVCILPVNLAANWFAAKYQCSNSWNNSHLATEFNQAKHDFIFNVAKDYLRQNPYQYHIGLHYANGDWVWDQPAGQPQVNLQRWSNWQAGFPIGSPASQSGVSNIQNGVTTKWNNVPLYTMTADFFCETYSCDTDNYCDAEKY >CRE04196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:284383:284975:1 gene:WBGene00086214 transcript:CRE04196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04196 MELVELPSIDFETAYSQEFVIRVLQHAKQLCLVITSLKILSGNISPEGYKYILEMHREVPELSLFCEVAAHFKYRVRLDFRVDDFLVSDGHWMHLEDFVNCKKVTVLDRNDYKQPKYANPEVPRALIRKWIESECRLEYLEVYGEQSNNWNTLKVLKSPEDAMGRRQ >CRE04158 pep:known supercontig:C_remanei-15.0.1:Crem_Contig91:40284:40797:1 gene:WBGene00086215 transcript:CRE04158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE04158 MPHPFPLFSLPYIPLKQVLDSFGPHGIIILSLCSQRSKNVAVSYRGQSKDVQLKLKCCNGFHLCHDYTNLVDVENVLDLDDIVLPTVPIGKFRAVQYQMDGDCLVTYWYNELTGLTEIGNYAKEIFNRNIDEVSIEGEDMDNYTLEDFLGLPM >CRE17728 pep:known supercontig:C_remanei-15.0.1:Crem_Contig910:9206:11153:1 gene:WBGene00086216 transcript:CRE17728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17728 MIESVLLRNVQKFNGHTSGTRETVNRKFSVVSYRETVQAESSQICLAKSANKLNRLYCSAQPMPDGLADDIEGGVINARDEFKSRAKILSEKYNYDVTEARKIWCFGPDGTGPNLLFDVTKGVQYLNDIKDPMMAGFSWATREGVLCEETLRGVRFNIHDVTVHSDSMHRGGAQIIPAARRVFYASQLTAEPRVLEPVYLVEIQCPEPVIGGIYGVINKRRGLVIEESQVIGTPMFIVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQVLPGDPLEIGSKSNQIVTDIRKRKGLKEGIPALDNYLDKL >CRE21628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig913:9213:9787:1 gene:WBGene00086217 transcript:CRE21628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21628 MCRKEQSLLPSTTNSSDNGSDFGESFRKELQQEIDCGKPMNCYYMQLYMTQLNQAQLYMNYYIEHLESCVGMDVTQIIYGQCTLRTQKTYKEDETKEEYYDLTLDDKCAIKKLLEYSKCENKDVPHYLHYHRLLYSYYSFQDKYRNELKPYFFKSVIPFK >CRE21626 pep:known supercontig:C_remanei-15.0.1:Crem_Contig913:2764:3392:1 gene:WBGene00086218 transcript:CRE21626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21626 MFLFLLVLVPIATSGQETIAPTVLDPQCVEDFNVMLGCVKNRTVFSRIDDLSLNEEWLDRNLAAEIGNVISCSRLPTCSSAQIFYIYLQQVEWAIGFYYRELESCLGNGTLKEIKRICNSIPRPPSDEVDLSPCQGFFDPCLSDELVKQKTCTDEHLPNFQALSSTLYTDCKALYQNAADWKQYSIYWYRSS >CRE21627 pep:known supercontig:C_remanei-15.0.1:Crem_Contig913:7289:7814:1 gene:WBGene00086219 transcript:CRE21627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21627 MLGCVKNRTLFSRIYDLGLDEEWIDRNLAEEIGNAISCSSMPTCLDAEDFYRLLLQEKWTIDFYHSDLKSCLGNGTLKEIKRICNSIPRPPSDDLSPCQGIEDPCFSEELVKQKTCTDAHLPDFKVFSFALHTECVSLHVPYLADTWKEYSIDYYRSS >CRE21630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig913:12935:13649:1 gene:WBGene00086220 transcript:CRE21630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21630 MFFFTLLLLPFSISGQTDYILNPACLNEFNEIYSCVRNQSLFQYFESSPRDDSALNHEISEELQYVLACSGPLHCPISQIFRSFLYQKKCILDYYNENLEACAGMYVVLDVWRRCGTGDVDDDFFELDEKCAVVEFLNHSTCDNKDASRFLLFTNLVRSFYESGIRYGPEIKHYIEKISISF >CRE21631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig913:14707:15256:1 gene:WBGene00086221 transcript:CRE21631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21631 MLFYFLFLFPLVAFGYPPLTPECIEEIENSNGCVKNRTLFSKLDSTFHPYPSEHISLLADINNALGCLPLKSNCSSTKVYRESLTIISINLQWLMEKIRECFTSEIKDEIWEKCKQGQDEQKGQETQDSFGCKCIGEAQKSIKLNNILEKMAYETMKEMGLPILY >CRE21632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig913:15983:17121:-1 gene:WBGene00086222 transcript:CRE21632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21632 MFDRDILMMQVGAAMTPPLKFIIHLLQRFGLDKWATIEFEQDEATAAQIKPESKDLSKTMVTIAEEFFQCLILVLCERYAHGVGKTNPIDGVKREVIHILCTGSHTFSQIQQKVSNDINAKRISLHDVVNQVADFREPLSTSAGQFHCKESSLPFYSPFFMHYSKSDRLAAEQSQARVRENLDKNIRACAPPVLPDFLPFFEQIPMLLKSGILIHVFRIVIDRTTRRSRFSSDRLFHKKAEESVGLLALLEGLIGKPESSICPILLEVIVEKYRKLLKFNIGPSEPTLTVD >CRE24879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig915:1150:2553:1 gene:WBGene00086223 transcript:CRE24879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24879 MKFVTIRRILNAFLNVFRKNPKTFNELDKYLKERNVWRFQPEQYFELWRIFGESTIDTCPIAVQLVCDQIAAEITAHENWEKDPRGMAQHLKKIQMKLGAASTTEKYDHLKGKKRFIPGGGGATAALYYIKAIQKKFRPEQIMELAEIDMLPTIEVTQDLLNDGIMWEMKHDSLGAIRRHVLETSQKKGGETGEAGGKAARPRERRHAPLPIQPRLLEFYERVAPYIRGKPFTADVFKKFGEEFYQIQKELNEKEMKRKLQKTMTMDEIDGAPEDDFIKEKYVDEASGDLGVPEKVFVA >CRE24309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig916:15647:16248:1 gene:WBGene00086224 transcript:CRE24309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24309 MSTDNGSPVVIDNGTSTIKAGFAGNDFPPLVFPSNVGESGLVGSKAFKKRFQVGLTHPIKNGIISDWNSMEIIWDHVFTELNADSKTIPSFSRSLH >CRE05059 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:16350:18747:-1 gene:WBGene00086225 transcript:CRE05059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05059 MSYDIRHNQTVRGWSYPPFYRTRYANVSGNYTTRNGTYENSYKINSNSTTRNYHRAHNNTPKWTPMYPRFNRTIFMRESWTYTNATTRRSRFTPFYNSSRRNISEFEYDTKIRNHSGIHQNLTSRNQSYPRFYRTTVRYDHRHNQTTRGRSYPSWNRTSPVNASDTGNYTVRNGTHGNNSRIFEKIDSLPPYGDRLNSNATTRNYYRIYNNTPKWTPMYPRFNRTIFMNDSKNHNNATTRRWRFTPLYNNNRRNISEFENDTSIRNHSGIHQNLASRNHSYPRFYRTTVRYDHNHNQTTRGRSYPSFNGTRPENVSDTGNYSVRNGTYGINSRIFEKIDSLPPYGDRLNSNATTRNYYRTYNNTPKWTPMYSKFNRTIFMNDSRNHDNATTRRWRFTPLYNNNRRNISEFENNTSIRNHSGIHQNLTSRNQSYPRFNRTTVRNDHNHNQTTRGRSYPSFNGTRPENVSDTGNYTIQKGIRGNNSKIFETIDYFPPYGDRLNSNTMTRNYYRTRNNTPKWTPMYPKFNRTIFMNDSKNHNNATTRRWRYTPFYHNTPKNASGNGNGTNGSDFKNYHRATHGHYLHKLYHNSTDRKVSKSRPTRASPHHKA >CRE05023 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:88674:88957:1 gene:WBGene00086226 transcript:CRE05023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05023 MFWITRSQPIFLKHYLPISCVFDVISTLLLIQMSYLLCYQQTMQELKTYMSLKQLFLRIIMRRRVQPVAPNGNDSFTRY >CRE05092 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:153544:153938:-1 gene:WBGene00086227 transcript:CRE05092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05092 MDVEKQEQYKKPCKDEAVFYIAINTILYLIPPVFLVTIGILNIDDSTFTIWIFLTSSLMLVYIASYWMNLLNEAKYTRTVTEKSEILSEERYLKTKDTIEHHQLLMKMIFYLL >CRE05069 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:50443:52310:-1 gene:WBGene00086228 transcript:CRE05069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05069 MYFSFDLESALFSRETRNGNSTVDGIYFVTIRSSWFCMQLDDCFCYLEVWKLLTFICYSHCKSIIFSVDCRMAYLDTMWMFEYSEQTICQTYLKFNDLGKITFFAISNVVLDTITVTRLCYKKQKLQKSTNSMPSVLNKKEIDFLKQSFSQGIMLFLGLSVFIFAPEMVMDPMRAFLMSSLFWCFLHAFDGWED >CRE05098 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:166316:167766:-1 gene:WBGene00086229 transcript:CRE05098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05098 MSAIVNEKKLLSHMIKETVGKTTLRRVEFAQSYARISSSGLVLVLKAISRIFRKLHKLECDLLVKARLAFLFRGLLNYHLKKQIKTAGTIKLKKGYLMEFRSNDGTLRLRCDPNSNPSRSVTKEDDSNDGEEGNNEVLGEMKVETDQVEDRNPGAKEVEEAKLEVNDGAQLPLENNLSTRVLIKEEGGSEPVIPDNSSRDPSAIKAESVPSTARDLDYKMEEPQKEAVSASKLATGIKMVAYACRLDDVHQKAEKTLADPGIQEQVINWDLSIFVEGLVGRIQRSQLPDGCTETVVMKVFLGKIADTILYLVGAESMSQTIQFINDETSRIDFERKITLETARTSLISLLNLIN >CRE05083 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:114989:116774:-1 gene:WBGene00086230 transcript:CRE05083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05083 MRLLGNYLQILIVVLFLVSYYWVVQQPSDDFDSEIPIERIVKLYERVISALRENSSEICEGTFKYCRRPETRHINCGEVLKGNKTYISTITGENRVPLVSNPYLNMTCPQISNRIRSSYEMNPLKVGGIAFARNVYTDYELIEKQVQMTWHPENRYCFVVDIDADIHFIWKMIQLVNCFENQMTMLPVTLYMDSAGHNQNLAHTQCMGSLLQYPNWSYLMLLQNHDIVTKTVYELDRIFEIMNGAADLEIENDTLDGSLRRFNFNPKNLKLFRNGLFEQQMFHNFLFPETGISENNLKTPVTLVSGSVAASLSRESVQWLIDTTDVTVFTEQLNRTEYGGDKKFMASLYTNSQLGMPGHFTSECIKQGVPVAHFTRFLFYLSMFYFHGFSMSQRADNESYKCATKTTRHKTCLFGIEDLKSIAEMPHLTWNKVYPSFDWSIIDCTAELLFNRTFLGQENKHFDEDYYMNSISVEYHRNRNNPGYKLNCTSNQKTKIYEYYL >CRE05042 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:190378:191253:1 gene:WBGene00086231 transcript:CRE05042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05042 MNNVNSNHYSSCVLLDFLVCSMSTPYVFLHFLGFFGAGFFSGFGVSNMVQIVTGLLVFFGMVNSNIFVFESRSSSLQMNRFRMTRTSVRIMYHVVSFCLNSSICLFLLFSPDDQSAAKLDALKLDPCPTREFFINDILIISTDIHTIHFILWICGPVIMLHMTIHLFFHAICTIYYLYVAPSKSISVETQRNQRKFFMGIIFQTTIPMVVFLSIVGLIVIDGVKQSMSQSIMNSTIIAIGGHGIVESISVILVHRSYRRVVLRMLKKQETKSKITI >CRE05036 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:161112:161936:1 gene:WBGene00086232 transcript:CRE05036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-261 description:CRE-CLEC-261 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ58] MNFLILSLLPLLVVSRDFDDSSCSSSEESGGGGSHRPPGGGGGNGGGGGRGCPSGWLRFRRPSGVWCVLVGHRAQADGMLTQAAAEAICQGHGATLTGFQNYEERMAVANEGLKHIATLKKFVGGLWVGATNNAGCKEKSCGPLGTFRWTDGSTSGTSGFGWGTGEPDNLNWPGATACIQQLIMHPSFATSNDGLAAWRTNFKHGDLDKYQCTTPAGPAARLYACGKRGSR >CRE05018 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:53465:54715:1 gene:WBGene00086233 transcript:CRE05018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05018 MDNLNKYIGNEPSLVNKIIVFFSAILFFFLFLFFLVLLILVFPLFVFVYKSNRERERKTAVFPLINHFYKVTCFYYVLFFLLVSSFLLRRISKPSKTKFMLPYNLTEKEIYEAICIWMIFIIVIYVHIHHLIISLLALQRFLLYFFPSVDRYVNIPEKSMNIVLFGIYGVFYSCCLLFTAVYEYLVSQDYENYEKISDSSVIIAVVYYGIIITILFLSSSLYVLIVFHVRKHSFLISVIKKKPDKYILYQTKLIIAFKIMHFISFLSNYVAARPLSSVRKKGIVIERFHFILLILILFYLRPQGYVIDKNMYQFNLAVFQFPVFVAQMQLFLFLISWNERFFEGDWRWISMLIVL >CRE05056 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:4373:5660:-1 gene:WBGene00086234 transcript:CRE05056 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05056 MSLTYSASDFKEFSRSTQQTLVRIGNIIGSVSLSLYKFNLLFAFVGVIINVLHLAILSRKSMNTNSINVLLIGIAISDLFSMSILVYQFVLFFSQPNVSDDCLPPASYYLQLIDFYLLSARESFHLISTWLAVIMASIRYLVMKYALKSNFQNLSKKATGQRFVIILFICSLLMSLYYSVRVKFVELPERWKPAENCKLPKNTSFPDYDIVDREWFLSADWIYEIFVILEGLLKIVPSILLPILACLLIKQIKLAGNVKRKVSSKTEESKLDHTSKLVFIMTIIFSLTEGPLGIVVVLDGLATNHTGLLYIINDIMGILLIFETLNSSTHFFICVGISSQYRKAIREMFGLKKKKPKTVGST >CRE05057 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:6065:6804:-1 gene:WBGene00086235 transcript:CRE05057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05057 MKHIILCSVCFLVVVNSFVLKQEQYPAGGDNSHGGAGGAGGSGGGCGQPGQPGEDGKPGQGGRGGDGGKGGDGGAGGNCGNGGNGGNGGNGGNGGDGGNKGHGGYETVDVNYAKSAGDGGNGGNGGNGGSGGNGGNGGNGGNGGSGGGANFIFAENPGNGGNGGHGGNGGPGGNGGHGGNGGAGGPGGNGGNGGNGGNGGVGGHGGEGGKGGPGGVGGHAGHDGHDGKEGK >CRE05072 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:58542:58964:-1 gene:WBGene00086236 transcript:CRE05072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05072 MAEMEKEMLIEIGLDGNESSNDNIRFKLISPHELDRQDVLAAHHKVYGREKQIGKDFLSVAFSLNPEDSTILPRGLTIYPKKPIKPESSRINFHLLESLLRCAQLSFIVPRWKRLMRFTNIENGQLKHRSHRMKNESGMI >CRE05054 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:2204:2587:-1 gene:WBGene00086237 transcript:CRE05054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05054 MKIILLLIAFSILATECFVILKEGNTDAGGFVQCCGITPAVKTLKLRHGEDHREDRCKCNCGPDEGDGPHGHDFVVVYEHGDHSGENGCNCRKECSGKDNERRGGDGPHGQ >CRE05071 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:57953:58171:-1 gene:WBGene00086238 transcript:CRE05071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05071 MGKIQLTTVEKIKFRQYYILEPGFEEMPENLEPDRKSKSGDVSMEQSPEFVHQRDEISEEEDLEEEEEYPTK >CRE05041 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:190132:190350:1 gene:WBGene00086239 transcript:CRE05041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05041 MSPELEKYYNTNYTKCNCTNDFLASWQGVAYPCHTLQAIALPFQLLTFWIIINKTPANMKSMKFPLLFNHIW >CRE05019 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:55434:56105:1 gene:WBGene00086240 transcript:CRE05019 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05019 MFFTNFLYYTLFNRQSIFNYTLIFFAVTIVILVHVHHCIIALLGLQRFLLYFFKKLETRISLKEKSMKWVIYSLYILLYSTYNISGGVYKYLSTYKNQGDESVAEIGYNMYLIYYLMINIILLVSASLYIPISVSVHRLAKLSSSVTNKPHRYILNQTISTVSFKSVSRCMASDIFCFSYTC >CRE05075 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:72479:73623:-1 gene:WBGene00086241 transcript:CRE05075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05075 MTSPVSLIDMPDLVLNNIFSNFDPRSILVLRKVCRDLRNYIDDVKPDLQIKCIEISIGLTRQRVREVSLILMASKSSHHIFYRKYRNGTMVVCGKKKKVLEDADYFNVFYEELDFLLSRQKSLLNSFRLNLTEYDSEFSKLLKRTLKNKNEFQELEVEYFQIKADKHQVFEILSYISPKSLTRIELHLAKPTEIETEQLEFMDRFHDTKELYMENIIILGRVECFIHLSRAEITVYRVTVEELFLLKEAFLENRHFKHFLLHYRHFVDDGISYLRRIILENRRLRETFGPPSTTNNKSKEMEWYFKIPFTDDALYFFFSYQRSRFARVPLSVVPNVAV >CRE05100 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:185227:185649:-1 gene:WBGene00086242 transcript:CRE05100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05100 MTPELDFYYTTLYPRCNITYSFLSSREGLIYPCHVIQLIVLPLQVLTFYVILKKTPMTMKSMKWPLLINHFWCSCVDLLFCSLVTPYLYIRIFGFICMGLLSYIGVSNLVQVILSVLSVFCKFL >CRE05088 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:133282:134122:-1 gene:WBGene00086243 transcript:CRE05088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05088 MCGGKKVDKNAKKSSISVGTPPTPKSTKSPKPDSANPPAGASLLKAPALEPERPASKVVNLEKEPNAAKGEKPEEKKEKSKKSDKKSDKKSKKSDKKSGKKSKKSEKKSKKSEKKSKKDDKKESKKGSKKSKKSEKKTKTKTEDDNYQRPDRPEKLTTEEDPPKKPTEKDSKENQAPTPSKRPEGQAAEVSNPIVTPETDEFPTLDDEPEKPKKDDEKDKKTEEKKTEEKKDGKGEKKTEKDKKK >CRE05089 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:135758:137281:-1 gene:WBGene00086244 transcript:CRE05089 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05089 MSEQTPELGVKETSSTESPSAWTPTNSDNHFMMSSQADSKSSASDNDSQGPKESNVFMQEGKAVGTQRKLRDEGQGESTRRFESNRRTSSADQSVTPDTVRTFDVVQMFRATGSTAGKGPGPTSQKVKIEISSIETKSLKNLVLKLKPDSEKSGVSDSEKSGREELSICEGGSAQEKRNHENGCHPLLTSQQCHKLTITSSTSNKTTKSKDANRSQKTKTSKRSTSGMVYNYFPIMSIWKSSSFYPPSVASLAPSYYASDTTHRDTVTNSPKQAHYGTPTTLIQTTANDVSASPSPKHSLDAATHMKRMRQ >CRE05102 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:193988:194740:-1 gene:WBGene00086245 transcript:CRE05102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05102 MERIIILVDLLLVESWASDNSLPLAHSKTALLRLGPRNSSHPYTIGGSLIDSVDSVRDLGLLIEPNLKFTRHINRAVALALLRSKQLLKSFKSNSPQFYIFLFKTYVLPLVEYCSVVYSPPPSSKLAHKLETPLRFFSRKILQRCNTPYCSYSDRLSQLDLFSMRHRRLKAQLLLLYNLIIGASYFPSLETHVRLSSSSRRPMSLICINSNCSNFFSTVIPIWNALTINVPHFLCPSEFNSLLVNNIARF >CRE05024 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:93552:94826:1 gene:WBGene00086246 transcript:CRE05024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05024 MFDRTQQALQRVSILKYFTEFLAMLILIILSCLVILFVSIFPVFIKVYRANKEREKETAVFPIVNHFYNFIKMYYLAAFVLLLLAVLLLLTGNKNTVFLSQMILYWKLAAISLFSIFVHVNHIIIFLLSLQRFILLFFPSSESYVRLFEKRTSAVIFALYFILSLLNLSFLVWTMSSAGKDFLKVKVYVVYFVFVNCILLSSAALYIPIVLSIRKYSQLSSSVQNQPQKYILYQTFFLAMFKAWIILCGIFDAISTPVLIQASYLCCNQRNVKSLSKAFSIFNIRSLRISPVILKSDNTTFANTETKF >CRE05096 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:162232:162885:-1 gene:WBGene00086247 transcript:CRE05096 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05096 MLVVCFINKHQTIGSILKTHVASRYSKLLAWVYFVTIFPMPAIALNIIHVPKEKQYQYIQTHAPEYIYNFFELPNLDIWEHNYKYVIITVIALTTMFVGTIFVTFLVVDILRLMQRLRLQISVQTYQKHKEAMRSLMVQSITVVFCILPIFIFLIFNLLELPHSQFISELCIVWFSGHSSINMVSLMMFFRPYRQFISKRIKM >CRE05094 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:158793:159365:-1 gene:WBGene00086248 transcript:CRE05094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05094 MNRFTFEFVTHIISTIALSLTSVLLLVFSVKEEFEQGQSSDTFVPYWMGIVAVLIVLELLVSWKLYKEGASHEKCLFWSDLVLRIGVCAVTVPGVLMEFIYIQSVGGFSKAPILFIYAFSFGCIIVKVYICILLKFFILVCIRYWKGGEDRTELLYTEI >CRE05016 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:14782:16068:1 gene:WBGene00086249 transcript:CRE05016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05016 MNITTDSSMNSDSNDTPWPIIISRIQRGSTCFGMLTNFILILLIWFKSPKNFGVYKYLMIYVASFEVAFGVLDLLTVPDMYSQDSAFFVMVDPRKSALPESFIQVADLAFCGAFGVSLAIFGVQFAYRYFVLTGHSFLATNKHRNFVIWLGSPILFASVWTFSCATFMGRNDFVDQVLREDVLPNKLDNLEIDRLAFVGVIFFPKQENSTKPTVNLDSFYAMGICSVVLSSTEFIMFFFAIKTYLATKRFMAQASGSTKLKRLQWQLFYATVSQSCIPIFFMQIPITAIYISTFFNSSSQIFGQIQAITVSFYLATDALPTIFIIKPYRETILNYFRCIKIEITFVWPKKRKGDTTGGTTSNIQPKVS >CRE05025 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:95248:96362:1 gene:WBGene00086250 transcript:CRE05025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05025 MANSFTSNLLFIFLVLLFGSIGTLLVIIFPFYVRVSLANREKDKNAVVYPIIKHFFNAICFFYLSVVLCLTFFAMNALMGIFDNKDFEILLLFPWAIFVHAHHLILFFLSLQKFLIYFFPETENFLITTGKSTDLIAFSLYLILTTTHLIFFLWIMFQSGDDYPNVEIYTGYYIFINSALFSSVLLYIPIVISIGKHAHLYSFVRNKPHKFIVYQTLLIAFFKSPSLWSSWYAYTESYSIIMCLIMCIVFDLISTPVLIQVSYLFCNKRNVNTLSKQLPAMKYIKLVFYTKTSRVNPMTIQTDFQTVGQ >CRE05032 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:142588:143086:1 gene:WBGene00086251 transcript:CRE05032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05032 MCVAASRECFGDGDAETSLANYILFPNTFKFFYVGYQNSLNEEALSSEMGTPYLSTDPTKCNQKRWFFKFPENDHLILSILLVPNQVFVTCIGQEDVPNGACIQNS >CRE05022 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:86798:87444:1 gene:WBGene00086252 transcript:CRE05022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05022 MMRLFFVLEFLLPLPLYLNFQDSFKQKILHIVFKAYVALSFFLLCSVLLSIPILVRNWNISKGSQLVINPLQQCFQYQMVAVAVLKSVSFPIGVKSSFKLFQCHFWAAILFWNRPFLVPNYVIATTVLDFLSTPLFIQLSYLICYKKKFRNLKDNVSFGMILQGVIRTPKVQSEDFSNSSSLNKI >CRE05095 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:159940:160248:-1 gene:WBGene00086253 transcript:CRE05095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05095 MILVQRIEEVSKKTAVCFLITSNIIFVIIPVYFMVVGLFSITKCPGNQFLPFWLIGVAILIIIDRVMFWKILVNETNFEKNVHQKF >CRE05026 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:102179:103323:1 gene:WBGene00086254 transcript:CRE05026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05026 MNVSLLASPQFYSRTLYVVGCISIPIHIFAGYCILFQTPVSMKSVKWNLLNLYFWSTFMDIFLNIITQPFLTPPSISGFLMGLIHYTGLDTGIVLYFGITMMAFVGVSIVSIFENRYFILCGQNTWWRRVRYPFLIVNYLLASVHYIPTLIEIPDQIAGREGMFTMYPKARVFDNPENPIYVISYEKNDWYAIREASIILMFTAEVTGFVIPVQIYMRRAVKKFNLSEHSISIQKTFLRALHLQIAIPALIMLLPHVATAILGIFVSTSQATMNISYIVISMHGVFANLTMMYVHKPYREYCKSRVCFSSRVDRFVSSTGLFSTHT >CRE05028 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:105450:106637:1 gene:WBGene00086255 transcript:CRE05028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05028 MPTWLDLPLQFKISVVEILDYKSRSRFRQCSTSEKLIVDSCPVYLEYVKLEKTSNSDYQIPRAFPWKNLQCTQKQIDSTFQFSIKEYDEFYPDTCSLTTSSFSEFFSLFESPFSSVRKLVLKGFDYQNSKIIFLNQMKQSILSKRETNYDLFDLKIRAKSVCWFLVGQIGKELLETLALFDSNVLRRVCITESISLKVFEKLVRTEHWRNLERVMFVSRMDVSIDYFLHLGRYDIKLKELKAADVWRAVQKMLFNDAPRLSGFTIFYSNTLTVEEIFKHTIIPITDEPLKETWLTARHTQRFRMNTGVHIFVLLFLDGWIQGFVGRAIDINQEYSRFMNNFF >CRE05035 pep:known supercontig:C_remanei-15.0.1:Crem_Contig92:154144:154581:1 gene:WBGene00086256 transcript:CRE05035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-clec-233 description:CRE-CLEC-233 protein [Source:UniProtKB/TrEMBL;Acc:E3MZ54] MVASKHFFFSLANVTARGGQVAGLWLGATNLPGCRSPSCGPFNTFQWTDGFTTGVGGLKWGVGEPDGNNWPGPTACIQQFIISPNFVAGANEFAGWKGAFVNGDLDKYTCVSPAYPYTRMYACGKVGVRR >CRE02717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig921:2:1882:1 gene:WBGene00086257 transcript:CRE02717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02717 IVIPGQAVCDAPQQFMRGHGTYIRDGEIVSSLSGVVQQLNRLLMVKTIKQRYAGEVGDVVVARVVEVQAKRWKCDVSSRLHANLPLGSVLLPGGDFRRKDVEDEEKMSEFLKNGELICAEVQQVQHDGTLMLHTRNNKYGKLQQGILIKVPPHLIKKSKKHFHTLPYGMAVIIGCNGNVWVTPALPETTVEEDGSHVHEFQIVPQDIRLVMIRVAACVRLLRDYSISIFLNSLTTCYEMSQPYDIKELSEQETSSRLAYLIAARLLQELQQQK >CRE02718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig921:11792:13682:1 gene:WBGene00086258 transcript:CRE02718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE02718 MDRESVLRLEAPRRELISRARSFSLATSKNRCKKMDNEKALRFELECRRTGKCREIVADVQHSRMPRHMEQEACSLAAKSIMTYHLEHDIARHLKLAFDREYGPDWHCICGKHFGSFVTFEPDSFIYFRIGTIAFMLFKTSLQRLPIMEKHLENVKLTPKTILGRSRLMTPKSEDDEESTSASDVS >CRE30285 pep:known supercontig:C_remanei-15.0.1:Crem_Contig929:10690:13554:1 gene:WBGene00086259 transcript:CRE30285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-rho-1 description:CRE-RHO-1 protein [Source:UniProtKB/TrEMBL;Acc:E3NH51] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPDVYVPTVFENYVADIEVDGKQVELALWDTAGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVRHFCPNVPIILVGNKRDLRSDPQTVRELAKMKQEPVKPEQGRAIAEQIGAFAYLECSAKTKDGIREVFEKATQAALQQKKKKKSKCMIL >CRE05934 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:202684:203028:1 gene:WBGene00086260 transcript:CRE05934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05934 MSHEDQAFLMGSDIEDDLVVEEDMEHIEHDQHPAPSQPAANIHHVNEIDTEADRMLETPWQNLTPDQCEVISRLVEKADSSQMSRAELLNSIEDSLMELAAIRGRNNGIPQQRK >CRE05961 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:36234:37551:-1 gene:WBGene00086261 transcript:CRE05961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05961 MEHKKTRSRQESTLSSSSEDSGQPSNQYDPREAAKKIVPRRHIRGRRIPEKPHTSGPKVVNWDTKANSKYNGISESYLREKYNFTRFTKEEERKRNAEAQKLFDMRKKRKQLEESYRKRKREEEEQKKWERYMEQLEEEAKFWENSVIRSLDEKNRKDREERKKKEEQHPKKQQKMFMSFNQE >CRE05933 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:195269:195631:1 gene:WBGene00086262 transcript:CRE05933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05933 MSSEPLPISKLPTDFSELHKQEMARREKEEQENNKWVFEKPTAEENQKPAEPRRLSPEEIALWESLGDSNDEDEEEERIDKESLKRKCRNHINNRLQILKEGTEEILTTRGKFFFIYLHV >CRE05970 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:128030:128350:-1 gene:WBGene00086263 transcript:CRE05970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05970 MRFIPILLIAVLAFIVIIEGCTVGDCDYPERNPRPRPKYPDDDFPYLNGDNNKAGWVSEVTGVPNYKTCNSDEDCRHDFMELEIPRCTDGKCNNYTPDPSEIGRPF >CRE05950 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:291260:291976:1 gene:WBGene00086264 transcript:CRE05950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05950 MHFAVIALFPSLSFIFPANVSHLASVMYKSGYTPSAKCDREISISTECVDEIMLRHNISNTSIYNLSNEKLDKYHYEIATTQCLKHSDCTEVEYIRDFYYDATYIVSDVYKRAYGCLEEEASNILSNFNKCLKDHPQAIDREFLEKCSRPVIETFKCDSEQMKAINKFLNETIKLSEDYSIPNYFSTNVANQGDIIQFFLSLSILLVLYI >CRE05958 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:25730:26351:-1 gene:WBGene00086265 transcript:CRE05958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05958 MPPIYCEGEMAELCQHAYCFRGAPTILDSYLLGPIDAYVIAGLIHHNKKFHGRHLVGQMDRLKNMLEEKNTLLHIPYSRKFLSSLRNKILHIEHQMEHREADMERSARRKEEDQGNQAKTLNSKRMEPTEEKKATPKKAKKAKKSKKTN >CRE05917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:9901:10592:1 gene:WBGene00086266 transcript:CRE05917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05917 MEEILSYSNARTRAILNDLRVATKDEISTRVIGENFYVEEENTGRLFKVQSFRILDQWAHFEVEWVMKRKMEEMMDEEEKKKENARRAKCKNAPAQ >CRE05993 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:309267:309905:-1 gene:WBGene00086267 transcript:CRE05993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05993 MSDLCNGFEPQNYLRERCKKCFRPKDKHVEEATVTLSTLKKERRRSWKAASNLDNGGPDDEKYINQIRLKS >CRE05971 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:129575:129889:-1 gene:WBGene00086268 transcript:CRE05971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05971 MRFIPILLIAVLAFIAIIEGCLEGDCEHPERNEEPRNDGGDFFNFNGDNNKAGWVSEVTGVPNYKECKSDKDCRHDFMELEVPRCTDGKCNNYTPDPTKIGRPF >CRE05928 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:132594:133045:1 gene:WBGene00086269 transcript:CRE05928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05928 MQLGLNGAKDLNLNVESWSTTINIHQDNKYDEQSGENQCATSSIFENPLIIGVIAIFIHYLLLWLRNKMTGDGKTNKQTGDTVVHASFEIDGDTYILLKCNNSNDSYSEDFEEQDNEIGETYF >CRE05918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:14209:14864:1 gene:WBGene00086270 transcript:CRE05918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05918 MESRCMPCIIATLYDFGYLEASYQQNISGYTQFNPTMHFLDKKDMEKILNLTAIRMLIRSGRTPKTVREYRVRVMNKEQEPRFLHVTSLKVCDIENMVVKFYWKPIRNLETTPSETNTVKIPINSKRIANFFSGKCVTP >CRE05916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:6516:7933:1 gene:WBGene00086271 transcript:CRE05916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05916 MAPSLRRRVKNAGEIAKAPKARRATKASSASSKKIILGKVPQQDWEYDATESPVYLRYKPTMMLLQHVNDEWKNIMQDLGRARKQNLNTTIVGNNFYAQEEGTERLFKIQSFRILDPGRTVLVEWALRKKSKAMMDAEQKEEEEAEAKENRRKKKAPVNKE >CRE05942 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:261802:262579:1 gene:WBGene00086272 transcript:CRE05942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05942 MSFCTDHNKSLCEYAFGLRYSVKSDCLDIYLFGAIDPETMAAYIIHNNEFHNGWTYEQLGHLLRIMDSRTKIFGHVYNWRHIIKMTERKVDEYNARKQQARLALEEQKETEDCPSPPVYGQDDVVEITPSLPDPVPPTTRRSAFVAYQSSSTSITSAGTQSRKRQGQVSNSTVHVPPKRHLQFDGTLELD >CRE05957 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:23656:24294:-1 gene:WBGene00086273 transcript:CRE05957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05957 MGAKRSSSEEKGKNYARQQSSHYPPPEYQQSLCDTAQAFRKPKNRMFDSEMSMYLLGTLNIDKISQLIHHNNTNHQGSTVEQCGRLVIILDARKIYGEYYKKSSVLKEVIEAVEQLEVMDQVIPPPICKCANED >CRE05975 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:139772:140202:-1 gene:WBGene00086274 transcript:CRE05975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05975 MDLPRGDQSYNVQKKKELSESLLEMILDTKFTDVAQILKGQNLELIEELVCKDPYILHKAFSSYWEIKDEVTEKEFESLTKNLLKFLSDVFALLNKIPASYKKLLSCQDNGETLIHWAVRIQNVSF >CRE05990 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:275855:276413:-1 gene:WBGene00086275 transcript:CRE05990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05990 MSSADGNGNNEEREATEEIQKLKLEKGEQEKEKEEEKKDDSNKEAPEENNKEPTTSKPKRQKRKNRGLNGANSLYVKLVSRDEHEFIIKRELAEKSRVLKNMLRCPGGGPSNNTVYLHMIPSGVLQKMCNYLMYQKQYLKKQGEIEEFEIKPEDALDLMYVAGFFEI >CRE05984 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:229640:229948:-1 gene:WBGene00086276 transcript:CRE05984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05984 MAEDISERGSCGSVDARRKSQDTIDLGEMASEVAGWSTEVLAQCVAEPFSQMSVGEEHRQKTTIWIEERPRKRMPDRREEGEVASPKFYILETPWNHRGQRK >CRE05923 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:71933:73358:1 gene:WBGene00086277 transcript:CRE05923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05923 MEDPVEEVENVEDAADVEVIEAPANEEPIDEPDNIEPIEILANVEPIEVPANVEPIEVAINGQEGAENGAEGDGEGGNGNDGDEAIVLDNDEEQQAPQVIPDDIDGDVVNVENDGNAPIAVDNAENHLQAPAAQDDDVEVVAVVLGGDTLHQPSRHKQAREIAELQRRQTVLEKKVDAQAATIGTLIQFLLNRAPQPQLPPPPPFIPAPLVPVDASMAQGMMAPISTQPPLKFVPYSACADIASLPIRLPNREEYFPTEEDGEEQEDGEEGPSKAAEEDKEEGEEGPSKAEEEKKEKIEKKEKDGTGEKNADEDEPVEKKRREE >CRE05972 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:131507:131800:-1 gene:WBGene00086278 transcript:CRE05972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05972 MRFIPILLIAVLAFIAIIEGCLEGDCEHPERNEAPRDDGGEFFNFNGDNNKAGFVSEVTGVPNYKECKSDQDCRYEFMEREVNRCIDGKCNNYTPPY >CRE05929 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:141929:143137:1 gene:WBGene00086279 transcript:CRE05929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05929 MIEFPVEHLISGKLPLSEPLHISLVRIFQRMEGVTLLKVVTFEKSILPFVDNYFKQLCVAHRVPIPNPWKPYFYYFATCYLKTINRPLKKWEQDLMILKMSSIAGNNDNLPGGYSTTLVNNSSQLIISSDGDSSTQKKKHATVSTDSYPINPNPTNLKEVSVQMAKNLSKVREIKLKQPQPRFSAKLSKYRRMSAHN >CRE05996 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:329080:330818:-1 gene:WBGene00086280 transcript:CRE05996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05996 MVSADRKKKNRINHRINLRTRKQQAWEQLPDSFIAKCRAKVPFTEPEGIEDGSKEEKRSMDFFSAPTLSHFNPEWISKPYSFSDEYSIHATLGRTVMKGWHESGCIVAMKKCHVPPEDILQLEEVKALSSINHENVVKLLSLRLTVSVQYLVLEYCHFDLEVLIHLPKFKIEAEHIKRIAFALLSGLTAIHKKNYAHRNLRPNHVLLTQDGVVKITDFGLSCSTEAASPISTSQTENIHYAAPESLLGCTEIRRNADLWSVGVIIGELFLRASLFDAKSNREQVVQLLDLLGHFQGNFYEGNQKYQQIRSAWSGDKNGSFLKVFEPRLCNGSLYDRQGVRFVENMMKTNIDRRLTVDEALSHYWFASGVRGNVKDLLQAFKKPCRSRIFQESNDDSND >CRE05977 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:173344:174605:-1 gene:WBGene00086281 transcript:CRE05977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05977 MVPETVKCGEKREPFSEQNDTKEEFKSHAFNLKVHLEVVSVFGKVSTFSFSGKNNSDQSTRSILSFEENDSSHLSPKIRRSSTPCLSSHQ >CRE05997 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:332098:332424:-1 gene:WBGene00086282 transcript:CRE05997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05997 MTRRQFIDFPIYNRTRASLILIVMVRPEQGCQKGRGPILYLSSQPWKIFASSGGLVEDQKISRCTKIQPIWFIRGLGGPKGRGPRPAAAGRATALGLSINLFLCELCI >CRE05964 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:61105:64806:-1 gene:WBGene00086283 transcript:CRE05964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05964 MIAKIKFCLSRLLQCTKRASGMMRYLNFCSNSAKSKLVINRSHALIFSSRSCASSIESGACRGLMMSATTIIENKLFLKKLHPASKKKQRFGCEKMRNRRIAYESPPNRSDRPYSIFLRENFVQLRKKSNDSGVRSNGSGLEPSLQTIWSNDFCKYLI >CRE05986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig93:258483:259556:-1 gene:WBGene00086284 transcript:CRE05986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05986 MSLLQHFNLYHGDWMKKREELNELFESRPPFPFTVYPEDEIIYGQPFIIDSFVHEITTRIPSTNKVVPVIDYYLETFGYEIKFPETFAICFTYNPLFFYPAELLYLHELDSYETPLPNPFTIVV >CRE07122 pep:known supercontig:C_remanei-15.0.1:Crem_Contig934:476:2218:1 gene:WBGene00086285 transcript:CRE07122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07122 MPKPAHPSLTVPAAFTQVAIRYEHQDGRPVVVTRSQVGAAGYGGEHVTTVIGKDDGTIYGYTRQTADFSADALPSREEAEQAAFRLLNSIDPAFAQGLATQWIDRHDETVADANGAPAIVSGMKVKTRHTSGLYTWVIVGADNKIVTYERDVAWNTEHSRRQTAIWAPMIHYNAPTAATPRLVHVHGGDAIPGISQAVIVKHGNIAYLSGQVPLAADDKIPVDFEAQLAQTFANLNAALCSIGATTADLVRITIYIVGLTNEKLQLIRAARDHFIGSTDDPPASSLLGVAALFHEDVKVEVDAIPSTCKTATRTSRRPIRLAPQPLLPNKDLPAFRLLRHLRHIMPRHFVIATSRLRPLTTTANRDHETRYLSLLETSPMEFTTQPGGGWFVLAFINAGLAEQKNRSRLNWFLLSLLFGPFATAYIVLTAKPGASAEIVAIEPQTGLWLLAGILLAAAFLSGIFAFTTSWLWFIAAGCVVLAVTAGLLARKIVASEAMLRSTDEV >CRE07125 pep:known supercontig:C_remanei-15.0.1:Crem_Contig934:8977:11472:-1 gene:WBGene00086286 transcript:CRE07125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07125 MGESGGNVFLYRTELAGARAALRAGKNIRIRGTRQSGMSTLLREITLDSEAAGLEVIEVRGDHLAQHQPGRVLEEVRAALGLHRRSRHLGESIDEVAQELSPESVLCIDDPHLADAQSLRTLHVIRCRLGLRTVIAERIGEDRDSDFPPVWPETTIELAPMDLATTGAVMRDILGGPILPGSVVRVYGKTGGVVGTTVALVESARDRGLLRLHNGVWKAQGRSLWSADLLPFVDSLLLGCSDELKALLWQLSRTGPQSLDALVDTVPMVALEEGINRGLISPVRGQSGMRYQVWPSIYVERFRHSPTYGIHGSAPGVSRPSLPGSFSPARGNNVATLARAFSEHSSLVLGELYEAWEKTRGPVPAAKYLDEALGIDSESERIERVMNETRATLRSPSVEELGFVIHLATWALVEREDAVAAREHLDTLAMFSPQLSGSVESIWAMLGALHGDGAPSENFSEHQDPFGFSVAARAAGALMRGSLSAARSALAEMAAHPWLRDIYVYLQAAALLLSGDPIRALDFISEEREAAIASFDRQMFATLSYAAAVIHFYMGDARAANTAVEEGLVVGRPGLAMTPVYAAMLNFEAMAAHFRGQKTIRDDLIQSAAHLSAATGPFLGAGVDAIEIIASAEQSLKTVDAERDLALVDAIQVRCEQGYIIGAVQLAMAILVLDFSKETAGALIEAEKRTEETIYRRPASLVAALVAEDISLIAQILAEEPTHHDRNLRTRLVTAAARRANKEGRGEIARKLFSITEPTHAGDQKSGVSEGQSPLSKREAEVARLAVTMSNPEIAMRLGLSRRTVENHVANALRKTSLRNRRELAEFVEQN >CRE07909 pep:known supercontig:C_remanei-15.0.1:Crem_Contig935:3192:4238:1 gene:WBGene00086287 transcript:CRE07909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07909 MAKNLVSPLRLLKLPEKVIDEVLCNLEINEAISFSLCSQKTKNLFHSLKQYKVENLKMRICTEVRIHGIFNGMDCYFVLNRNPIPQVGRSYVKDMRQSEMLYLCMNITKFEWRNLGFDVGDWIKHLLFIFNQTSLIELYIASNSVVWSLDIQGIEIYKLFFAFLVPDYNFRSIMETVRNFRAIVLRRNPFENKLDLQKVLMQNLDSIKCHRLDDVLICNSLIFCIVPNIKQKGLNGLLKLFIFGAMPRLKHLRFVYMNRFSGPLQEETVMKGITYTVQEPNVIRTFGESPASEEINIRGGLDFQRADGTQATVILLDGDAKSFDIFVWD >CRE07910 pep:known supercontig:C_remanei-15.0.1:Crem_Contig935:12268:13764:1 gene:WBGene00086288 transcript:CRE07910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07910 MGSAGSVDRGPIRTLVLGCMGAGKTSFMRQMVKNHTKQVCLRREYYVYCVQLNLLNVYRELKNVCTSMEIAINEEQSKAFDTIDEYRHKEKFPQKVIDSIVVLRNSQLFDLCRMRQRILPLPQNYNFFIQRADEFMQQDYSPSENDIMMSYSQTCGLYMEEVTCQGYKFELLEMPGHHLWRAKWAQHFDDPALVVFVIDLSELCDPAFYNQGHLENKTVSVFNSLVNNPVLANVHWLLIFNKTDTFNDHSAGFDFKRLANHLDTGDSARSFYRSQFTTKLPRGKCFAHMVSLVNFKDSQTILTDMFKRIGKMHRERPNLT >CRE12405 pep:known supercontig:C_remanei-15.0.1:Crem_Contig936:4735:5598:1 gene:WBGene00086289 transcript:CRE12405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12405 MGHGAPLNAYQQGQLDAWAFIGTVSESKTREISKRVMSGPAHKKTSSTSSELSDTLDEEDDHFDERNE >CRE11576 pep:known supercontig:C_remanei-15.0.1:Crem_Contig937:637:940:-1 gene:WBGene00086290 transcript:CRE11576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11576 MNRREADKMMINVKKRFKMIKCFKCQFFDVTNLFVEDKKYLMFDRDQMLSYVDNTLHLTHSGLKVTEPELKRVAKEVISNEIYYK >CRE11580 pep:known supercontig:C_remanei-15.0.1:Crem_Contig937:12072:12902:-1 gene:WBGene00086291 transcript:CRE11580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11580 MIERTQTTNVTQSEISPPGALAPIVTVSKPPAETAPIAVPIKQQQHRSMFSTTNHISNPIVDAYHIHSQPPVVTQNIDLRHVLNAVNNIAEAQVASERNILQQTTHMVKDMEQRLGAQIHERAESIRSRATSRSHPQSSASSESSFMRRYERRDRSESETETEHSPPPRSQTSRRCNAENRSESPRRQGDGLKVDTLIRFLHKFDGSGDLELFQTLYNKFIMSNRDLSAEVKYAVLLNHITGPAQKCVSRAQDTVLAIATTFRFTQQSLRQSEQQA >CRE11579 pep:known supercontig:C_remanei-15.0.1:Crem_Contig937:10325:11549:-1 gene:WBGene00086292 transcript:CRE11579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11579 MHSSVKSRSHAPGRGSMSVVIQQMTDRGVPADDYMTMWTIAAKLPEDLRKSLARFSVKMGDSLTHEMVLDRISRDIETLAMEQIYTSQVNHHPLNELPTSYASVNFANANSNSSSVPPNTAQNRTSHSQNTQNPLAYIPSQHPTEYIDPVTKSKLEGYYAPGPKGVHLKVIPRSFPYEKEEDTKCRACDEVNVNKEISAPTVSEDTTSLNVAHNTVADTVMACITWEAAHSKNTTETRRTIPPKPNRLKRFFVPTTSTNLSRGITAGTESNVPNSVSQADLPTALLKNPLIKTWSVRSTNPVSKNCSSTTIRGIANPKTIEYFKNLIKNDYPEEPDSDKLALLLFTKFLARSQPHQVHFTHARDDYGRLTFVCLETARGQPLLALVDSGASL >CRE10732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig938:4041:8030:1 gene:WBGene00086293 transcript:CRE10732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10732 MTQHRRDPRKQDLDVARILLDDTFHRSACYDLESLLIQWFYSDGLYTVINANDGHRNEEYAGRAAFQPRFREIFEDLRKRGLFRHSLREIENSDFFKLSPFKSLVPDQERAILEILKALFEDIEAGRRTTMVVAGNPGTGKTVVGISLVKTLRDIQTSRGIDDAEQGSSLSDYFAQGYPELLQTLRVGIVVPQQSLRSSIAKIFDRAPGLERSEVLTAYDVGKATEPYDVLVVDEAHRLNQRANQSSGSLNKAFAEINERLFGRDSTEFTQLDWIRAQSTHNILLMDAEQSVRPADLPPEVIGEVVGEAKASQHFFPLRTQMRVKAGADYIGFVRDMLAGRNPERPELGEYEFALFDDIGAMEKLIRKRDRTEGLSRLTAGYAWTWESDPKKTGMKRLPLAKRPYDIEIDGHRWRWNSAEKDWINSPDALDEVGSIHTVQGYDLNYAGVIIGRDLRLDPKSGEIRFDRTQYRDKKGVENNRQRRIVYTDADIERYVKNVYAVLLTRGIRGTYVYVCDEALREHLRTFIPTAFVLERGRHRCSALSSAVLGSSPNRHYDDWCPTSDAASGRTGRSMTDRGVYVSALELFSLGIGPSSSHTVGPMRAGAMFRERLVAASDLERVTRFVVRLQGSLAATGIGHGSPDAVIAGLRGLQPETVDPDLVHGEWDRLDAGETIDVDGVAMTKDDIVFAPFSRHDGHPNSLVLAAEADGETLLSETYLSIGGGFIERVGDPETDAAGTGAVEDPASPQLRHRYASIAELMDVVGDRRIADIAWEDEVALHGAERARAGLDLIWSEMRSCIERGLEAQGVLPGRLGVKRRAAEGLRKLRERDGCDTAEEALALYSLAVNEENAAGRRVVTAPTNGAAGVLPAVLYFATVPGGFNPEAIHTFLPTATAIGSVFKANASISGANAGCQAEVGNANPHPPDNGDRQGKTFKANASISGAEAGCQAEVGSACAMAAAGLTAIRGGSVAQIENAAEIALEHHLGLTCDPVGGLVQIPCIERNAVAASTALTACRLALLGDGTHVVPLDTTIETMRQTGADMSERYKETSTGGLAVNVVEC >CRE10733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig938:40768:43343:1 gene:WBGene00086294 transcript:CRE10733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10733 MQRSMGARHLIMIALGGVIGSGLFLSSGYTISQAGPLGAVIAYGIGAVVVWLVMACLGELAVAYPVSGAFHIYAARAIGPATGFTTAWLYWLCWVVALGSEFTAAGILMQRWFPGVEVWVWCLVFAAALFAINAISARVFGETEFWFSLIKVAAIIALIVLGGAAIFGFTPFSAEPHPAVLFENFSTSAGLFPTGFGGVLVTALAGGGRLQRLRAGGRRRRRDTGSGPQHPPRAPFHRASARRAQREHGRRARLPHHERRGGGDRLSGAGLNRRFRGGRGLDVDRRVVVLPPPSLRARRRRRRIARLPHPAVPVRADSRIRAARHLDDRCRLRPEPGRGALLRDPIRGAVLPLLLVAARPTRHGPRERFDGRYRVEPALGREPGGNGRVLGPAAGRMTAGGARVEIDRSALESNLRYLLAEMGSASPEVCAVLKSDAYGHGIEHVLPVVLAAGVTAVGIVSNPEARLVRELGFGGRILRVRAATSAEARGALPMAVEEWLGGLAHARALAAIAEAVDVSIPVHLALNSTGLSKESLDLGASGADAELAGIVASRRLDVRGIAAHFPREDAHDVREGLSAFRADADRVLEALGPDRAAGVQRHCATSFAALEVPESRLDLVRIGAALYGDSSAAAPWQRRAMRIVSEVSTVCRYPAGRTVGYERHHRLDAETRIATVPLGYGDGVPRSLGGRGWALVGGRSVPIVDHLAMNTLAIDVTEIPEVGPGDEVVLYGRQGEAELDGGALELASGQIAAAAYTGMGRILPREPVA >CRE06888 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:89217:89950:-1 gene:WBGene00086296 transcript:CRE06888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06888 MSTSHHHNHVVISIDALRCKVCSRIFPGIPQKMNCGHSLCLRCYDRFAIEHESFHCPICGKPVWNICTAPNFELKGILDSMDKIAGDQRGLLESNLDVATERMIEDNKLMAKQVEKLERENGQFRRDNEQLRQKYSILKEYSSFLGLLFGYAAIAAVWLAVDRFILKYLL >CRE06844 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:291014:294896:1 gene:WBGene00086297 transcript:CRE06844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06844 MSQKVEDMNNFSEAPSEMEQIKQEPMKYSESMTNEYPEIGLLPSPDVDAVHNNWNESKNNEWQAEFKKLDRVYNRSPSLPDAQLLMDSQRDVANNARAKDVFGRVIVSLNVDKNVDLKLIPISAYRECFPALGLPISNKDPSKRKKHDRAARSDKLEAPRRETMGIAIVNDSIDLDGDFRLKENAGRLLNALLAEYDIGNLTVTDARDGIQAKGNKQWINMICQDRCRRYIVRNLCFNGAMYYDQIKMFLNSCKSLESITLESVKTFLAVQETAEKTTCIIKNVSKKSGYFDIVKLLGRAPNIVSGYTVILLVKNGSKKFNDAVPSYAPSQHRKRMFNLILSKTRWLKVTEDFENRRRCIVQVFDREKTPYPSNIDQLGLNHLSSPFLFCP >CRE06904 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:305627:306016:-1 gene:WBGene00086298 transcript:CRE06904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06904 MIFCFILIFLLPHQLLTVEWSNGTLSRWKRVQKSRVTIVDPSLVTQCTSSRCGNLYRNHSNNLETGVVKSTRRVDNFISCHKKEKNHKKIHKPND >CRE06878 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:54726:55805:-1 gene:WBGene00086299 transcript:CRE06878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06878 MLGEPIEYESVDCSVSSGTSVLETKTHNGITCVWSGKWNNHQITFAWEFDWDELKSEGVDEITGYITIYSVNGYYTAKKIDVKITEDNQIITQQIGANYTPDTCYYQYSLVPHYAPITEKRDYDKMFQPSDKNDTILIVDGKKLHVSKAFLSYHSEYFSALFSSNFKEGQMDEIPIGDVSYEDFALLLSTFYPNPSYPNDSTVEKLLEMGRRFLVSSALSSAEHHLLNMSKINNEKMLWLADEYGMPKLLEKCIRKINTLEKARELKRSEKYDQLSAETKLKVYERLVDSNSF >CRE06862 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:16106:17246:-1 gene:WBGene00086300 transcript:CRE06862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06862 MSVSTPIFWRQKRIVALHVDEITGFIIVSSNNNLITPTIIDVKITEDNQEITKVVGSRYAAYNVYFEYSLTPHYAPGFEKPDYDKMFAPSDQNDTILVVGKKKLHVNKSFLCYHSEYFRKLFSSNDKQGDAKPPKRQRKQVPDNKEGQIEEIPIKDVSFKDFALLLSTFYPNPVFPTDATVEKLLELARRFVVSSVINIIEYHLLNNSKINSEKMLWMADEYVMPKLLEKCIRGLNTAEKAKKLDQSPEYKKLSDSTKAKALDRLIKLF >CRE06847 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:316786:317408:1 gene:WBGene00086301 transcript:CRE06847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06847 MAPRPIPHSILSSSATLIPATRPHPIQKTPLETSAEKVARYSKILKHSNCRHAVSHALLRLAQLNCPMRVFETCQTEKRLQRFEGNVECLYQVNILKRKFEELRRKEESKKFGKKSSKLELKEEEYDPEFSGSNGLKTTIMEMYAEYKPTPIIRKFNR >CRE06850 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:356667:357116:1 gene:WBGene00086302 transcript:CRE06850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06850 MSEGLSTSSYSTFMKLEALLFNVKLLIIDKVSKVSNVILMKIHRRLREISVIPLPFGEYNIMMLGDLLQLPTVKASHVFTSKNEQINRIFGMVNSNVNLWETLEYRELLQNMRQGKG >CRE06914 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:354578:355402:-1 gene:WBGene00086303 transcript:CRE06914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06914 MAPSSQCLRCVSIVTAEHPKNFNVAFDLQQSALYGILSSIPFSMSIHPKHYQIIPETYTYAISFQNIFSNMSSTQPDQGEISNAEIRERFESTIENTKSTISTFLECNQWFFRRRTGRKFIVRKTVELCNQHKNQKRLSSMPTAKMLQDGLSNVRYSRLGQWIFIG >CRE06908 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:318534:319010:-1 gene:WBGene00086304 transcript:CRE06908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06908 MAPRPIPHSILSSSATLFPAIRPHPIQKTPLETSAEKVAKYSKILKLSNCRHAVSNALLRLAQLNCPMRVFEKCQTEKRLQRFEGNVECLYQVNILKRKFEELRRKEESEKFGKKAAKLELKEEEYDPEFSGSNGLKTTIMEMYAEYKPTPIIGKFNR >CRE06907 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:313527:314003:-1 gene:WBGene00086305 transcript:CRE06907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06907 MAPRPILHSILSSSATLIPATRPHPIQKTPLETSAEKVARYSKILKLSNCRHAVSHALLRLAQLNCPMRVFETCQTEKRLQRFEGNVECLYQVNILKRKFEELRRKEESEKFGKKAAKLELKEEEYDPEFSGSNGLKTTIMEMYAEYKPTPIIGKFNR >CRE06879 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:56193:57155:-1 gene:WBGene00086306 transcript:CRE06879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06879 MITDIIGYESKLKQVATNTNVLEKSVNKGITCVWSGTMNSYYDINFTWKFDWSELKNQGIDEITGHITVKSSNNYFEDTKVNVKVTKNDQIIKKQIRIRYNYDYVSYQYSLTPHFRQYKHILTPSELNDKILVVDKRKVFVNKTFLSYHSEYFRALFSSNFKEGQMDEIPIREVSFEDFALLLCTFYLNPVLPTDETVEKLLEMASRFMVSSVINIIEYHLMNNTKINSDKMLWMADEYVMPKLLEKCIRELNSMEKAQKLEKSPEYEKLSDSAKAKALDRLIKLF >CRE06877 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:53325:53736:-1 gene:WBGene00086307 transcript:CRE06877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06877 MLGEPIEYESVDCSVSSGTSVLETKTHNGITCVWSGKWNNHQITFAWEFDWDELKSEGVDEITGYITIYSVNGYYTAKKIDVKIRGKKCRNFFVATIRYASPQTLCVLSHLQ >CRE06905 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:306878:307903:-1 gene:WBGene00086308 transcript:CRE06905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06905 MYKYYKQGDNLLTPPAILVQHAVFLGCGSANCNMKNNTESMSVRSDRNYCEICNRKVRSGDHKCGDKSSHDCAHSSPSPKNKRDCLKKQKEYRLFVVDIESKVTSSSSPPTNSATKGPAHVPNVICGQFMCDKCVGELGCHHCEQQPIHV >CRE06903 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:295840:296230:-1 gene:WBGene00086309 transcript:CRE06903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06903 MSEYRITGAIAKYKAYYQPQFMTPANKAKFDVCMRRIRIMSSLSTRRYCDTAKMMCAFLSTRLPSTLRLAHKSSTIGIQSFKRALWQDSCHEA >CRE06851 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:375677:376863:1 gene:WBGene00086310 transcript:CRE06851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06851 MKTSPLADHAITTVIKSTSTPLTIVFSNYPCRKHQGVGANPHAKNLLSNEVRSTFFFNISDQLRMNAKSRRKQKQSSTQADLRRAERCHHLRKNRDSNSSSESLDQLKKKAILSKKNRVKSLDKLIFLRTTPKGLHPRSRDRLATRHTQSTNYTESWHSEEDSSTKKRSSELFDKEAGSPSTSTTQWKGVKLIGCHWRTSIMSSDMDGHQWDRRVDKPEEVDNILQIRFFNRENPRTFAKEVIMSTSNQKSEIERCQTKMKKERFRKIAKSKEKLKSEQTPELGVKDTNPTSVE >CRE06906 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:310770:311178:-1 gene:WBGene00086311 transcript:CRE06906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06906 MAHLKGDVLGMLTDEVQAGTKIVEVVTVAPKCYALKMVNAKGEITYSIKAKGMTLNGATLQSVSFDTMKKMMKDHIADKAVVPLHGREIQFTKGTKRALDRPHTRFSRRRGCGRSRIRAC >CRE06869 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:30431:32517:-1 gene:WBGene00086312 transcript:CRE06869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06869 MLGEPIEYKSTNYPVSINSNVLETKTHNGITCVWSGKFINYQINFSWKFDWNELKSQGVDEITGHITVYSVNNWSTAKKIDVKITEDNQIITQQIGANYTPDTLIIDNDNSNTCYYQYSLVPHYAPVTEKRDYDKMFQPSDKNDTILIVDGKKLHVSKAFLSYHSEYFSALFSSNFKEGQMDEIPIGDVSYEDFALLLSSFYPNPVFPNDKNVEKLLEMASRFMVSSVINIIEYHLLNVSRINSEKMLWMADEYVMPKLLEKCIRGLNTVEKAKKLDQSPEYKKLSDSAKAKALDRVMKLI >CRE06899 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:238383:239787:-1 gene:WBGene00086313 transcript:CRE06899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06899 MQLESTKDWDLYLDRCPDLGVGFARKFMDIDVNIRSSLNFGSVSNETIDDFIERMGDLKIFDRTDALVRFETNNPEKHMIMKKFERRQYSREHRFVMVVVSADIEASQYDNYLFG >CRE06855 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:2001:3005:-1 gene:WBGene00086314 transcript:CRE06855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06855 MITFINSEYRWGAVIIQVPFYYRCRYNTVFTVTDGITCVWKGIIKSYHVMHFTWKFDWNKLKNQGVDELTGHISVVSNYNWFTTTRIDVKLTKNKQEIIKQVQCQNYYDDVSYVYSLTPHYAPTPRKLDCPKMFQPSELNDTILVVEGKKLHVNKTFLSYHSEYFRRALFSSNFKESQMDEIPIGDVSYEDFALLLSSFYPNPVFPTDATVEKLLELARRFLVSSVISIIEYHLMNVSKITHEKMLWMADEYGMPNLVEKCIRELDTLEKAKKLKQSDEYGSFSDGTKAKVLDHLMDLI >CRE06846 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:312357:312833:1 gene:WBGene00086315 transcript:CRE06846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06846 MAPRPIPHSILSSSATLISATRPHPIQKAPLETSAEKVAKYSKILKHSNCRHAVSHALLRLAQLNCPMRVFETCQTEKRLQRFEGNVECLYQVNILKRKFEELRRKEESEKFGKKAAKLELKEEEYDPEFSGSNGLKTTIMEMYAEYKPTPIIRKFNR >CRE06848 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:348976:349089:1 gene:WBGene00086316 transcript:CRE06848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06848 MEFLCCEIQHSRFQRRRRSFLFFCTSDTGCPSRRSSR >CRE06918 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:394376:394579:-1 gene:WBGene00086317 transcript:CRE06918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06918 MFFPCLNIALLFTLLHLLAFCLKNWKNHSDYSLEKFYNDATSLILHISTVSKFSTFTQSAIADLNLN >CRE06854 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:1026:1564:-1 gene:WBGene00086318 transcript:CRE06854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06854 MPSGAIEYKSGTYLVSTNVLETNANSGIRCVWSGKMNTYRIDFAWKFDWDELRSQGVDELTGHIIVTAKDDWFTTTKIDVKLTDDNQEITKQVQARGYLDTASYEYSLIPHYAPVTVKPDYDKMFAPSDQNDTILVVDGKKLHVNKIVSKFVKCLKG >CRE06835 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:116912:117993:1 gene:WBGene00086319 transcript:CRE06835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06835 MENKTFEYSSKRADLNGGLQDIGGGYGLRCMCSNYGKTLEWKFDWDELKPQGIIGFTGHIEASVNRRVFKIDVDANERFNTFLFPYSEHGIPSKPKISFCYNYALEARYFPTKQYNAFILPSDFADVILKIEDKTLHVNKAFLSMHSEYFRALFSENYKEGNMDEIEIKEISYLDMCLLLGTIYPDTIFPVDSTVDQLLELADRFMMPCVIRHVEHHLFNCSKIGKEKILCIADKFGMEKLLDKTIKEISSVQEAKLLKTCEECKELSENTKLKLYHKLMEII >CRE06917 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:392432:393738:-1 gene:WBGene00086320 transcript:CRE06917 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06917 MIRVSRDSRLIKPTPIVLANLKKEAMMSVYNKHSSFFQVGEPRPVLRTRALRNDSGSVASLLFHAFRGRFCTPRKYANFVRKVGDPLTGGLRTRALRNDSGSVASLLFHAFRSRFCTPRKYANFVRKVGDPLTGGLRTRALRNDSGSVASLLFHAFRSRFCTPRKYANFVRKVGDPLTGGLRTRALRNDSGSVASLLFHAFRSRFCTPRKYANSVRKVGDPLTGGLRTRALRNDSGSVASLLFHAFRSRFCTHNSQ >CRE06896 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:202777:209966:-1 gene:WBGene00086321 transcript:CRE06896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-mnat-1 description:CRE-MNAT-1 protein [Source:UniProtKB/TrEMBL;Acc:E3MZI1] MSKIRECKKCKSNEYTNKQLVMMINECGHPLCKNCVDNIFALNSGNCHVCARVLRKNGFREQIYDDPLIDKETFLRRKLRKVYNLKQDDFETLKEFGDYQERFETLVYNLVFETNVNETNAEIQAFEEKNKEKIDRNKRRLDDDQKWIEDQLRDERQMKARMTEHMETDARDKENATAVTDTRKIMDELRDSNVAAEVILDRERKKQIEKELEEKEEQEKRKRRNKEMLQTRKRAAENMSFNTVIRIAGRAYLHQPLELVINGPPMPNASQIESMGYLAHIRNATPDLIAGGYTSALGCSRALFEARIDLFAF >CRE06849 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:352789:353265:1 gene:WBGene00086322 transcript:CRE06849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06849 MAPRPIPHSILSSSATLIPATRPHPIQKTPLETSAEKVAKYSKILKHSNCRHAVSHALLRLAQLNCPMRVFEKCQTEKRLKRFERNVECLYQINILKRKFEELRRKEESEKFGKKAAKLELKEEEYDPEFSGSNGLKTTIMEMYAEYKPTPIIRKFNR >CRE06880 pep:known supercontig:C_remanei-15.0.1:Crem_Contig94:57374:58220:-1 gene:WBGene00086323 transcript:CRE06880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE06880 MTSCTINFKWKFDWSELKKQGVDEITGVIIVKSAEEEYRSAEKLELKLSEDDQVATNLIVSAMYFDICNYEYYLIPHHASGKRDYEEMFAPSDQNDTILVVEGKKLHVNKTFLSYHSKDFRALFSCLESKELKMDEIPIRYVSFEDFALLLRTFYSNPVFVTDATVEKLLELARRFLVSSVIKVSEHHLLNMSKLDNQKMLCLADEYRLPKLLEKCIYELNTMEKAKQMKQSKEFKKLSDETKAKIVDRFFKLAHI >CRE14396 pep:known supercontig:C_remanei-15.0.1:Crem_Contig942:9611:10718:-1 gene:WBGene00086324 transcript:CRE14396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14396 MSSTPFPLFSLPYLPLKQVFDNFGPHGIIIFSLCSQKSRNMAISYRGPSKDIKIEIHFVEMDENGYLKTYWEDRMVGMSILGDYIRQVFNQDIHKLLLGQEHKENDHRRAVGWLMASQNEQVKHLCCNFEPKTDKDLDDILEACNYTERLQLLVKPSENYRPTKMPNFNVIHLSLYPSFWIHLDHLLTMNARTIVLTDSKLSSGGINVFLKHWMNGGCFKLKYIVIFMDSSMDPINYQVVMNGIQCINRNRELKRSYVNDENRPWTVRGGVDIQRSIDGVTATVLDRSHELNRFHLVVWPDFAGNSHLP >CRE14393 pep:known supercontig:C_remanei-15.0.1:Crem_Contig942:7080:9364:1 gene:WBGene00086325 transcript:CRE14393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14393 MPLYLENYYIVRPDDHFMTSYMVMDNGTVITLTYTFYSWPVLFPNLINILDMIVQLAAIHFVWTGKMFRKTKFFMLLLIFSTTISWRTFTFIICTTLASSIGTGVIYRITTYISLYTDAITDYFSMQMIFLMSLNRCLSFTRDTWNSRIFGGSRYILTVIGSGVLSIISAISGLITSKVYRHFNYAYGFVDYGYSVGIRAEISRLYYTFQVSSVFCYAILYYYMRKQNKTISNQSANTNQGEKKVFVQLCVAAVLEVTLSVFYECNLLLARLDRSQKTSGFGNDTLQDTAMGILNVTNYFPEISLPFLMLISHYRVRERIFNFISPSGSTVVVGRRVMETRV >CRE14394 pep:known supercontig:C_remanei-15.0.1:Crem_Contig942:11848:12597:1 gene:WBGene00086326 transcript:CRE14394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE14394 MDENGYLKTYWEDRMVGMSILGDYIRHVFNRDIHKLLLAQEHKENDHRRAVGWLMASQNEQVKHLCCVFKPKTDEDLDDILETCNYTERLSLHVKPSENYRLTKMLNLNVSQLTFYPSFWIQLDHLLTMNARTIVLSDSKLSSEDINVFLKHWMNGGCFKLKYIVIFMDSSMDPINYQVVMNGIQFINRNRELKRSFVNDENRPWTVRGGVDIQRSIDGVTATVLDRSHELNRFHLVVWPDFAGNSHLT >CRE17672 pep:known supercontig:C_remanei-15.0.1:Crem_Contig944:9401:9908:1 gene:WBGene00086327 transcript:CRE17672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17672 MAKFLAGRNEPRPTKVQKKEPNGLKLRSNNTSSKSLKVWKNEAIIQIGAEKLMKYAKELVKSHPDLSIEQALAIEKQRQNDRKRRAEEMVVDTYKSCRIEEEFENYMKEADSYKDDEVKENGKIVEYEIKEIVEDVEGMIIEDKENV >CRE15813 pep:known supercontig:C_remanei-15.0.1:Crem_Contig946:10435:11078:1 gene:WBGene00086328 transcript:CRE15813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15813 MFCVKVIIQFLFHWFNLTRVESPAIVSNHFLTFTTNYSHIADGYSCIKVCSTDDTECLGNHTREVLYQFRAVPSLKTITTPIEVSKIVTHMGVPFSVDYSLDYVGKRHFQIVQDKNIGHQISPKSFYYRNCQLVRPIRGPTVETIKVNIHTKSRTGVILAFNEAIIEISVSKYSF >CRE15178 pep:known supercontig:C_remanei-15.0.1:Crem_Contig947:8355:10459:-1 gene:WBGene00086329 transcript:CRE15178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15178 MSRMDRTFRDLSPANIIHPPREVRSLVRKSIQEDIAETFRLFYDSVVIIAPFFAVIMKWSPLSMISICGIAYDNLLQGSYRVANGMRLRVKSLHQATKLFAAISMLCLCMTTLGGLPGANTAYSVLYVIGQSRTSIHLGWLSSGMELIAPYVGCTVAAVVHNTIKFWEADEMIGSVAIAFTTLLISIWFLKQKLESKIRAPEPVRNEDGDF >CRE15177 pep:known supercontig:C_remanei-15.0.1:Crem_Contig947:2705:4941:1 gene:WBGene00086330 transcript:CRE15177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE15177 MTSLTIFLLSLQLLCISAFDFMQNFENSFFEIQVDRDHPDNLYKEFEEFQVKYKRQYKDEIEKKFRFEQFVRSHNQVGKMNKKAEASGHDTKFGINKFSDRSKDEISSMFSKVGPSNISQSNIPMFDMKNFRVKRQMEGLPKMFDLRNKKIGGRYIIGDIKNQGECSCCWAFAATSLAEVAYSVHLKKPVVLSDQEVCDCAAKERPGCSGALPTDGLHYIKEMGLAKENEYEYSQERSTELGRCDAEKHERDLNPLMLDYYFIDPFNAEYIITHHLVQYNLPVSVAFKVGESLKWYKEGILELADCEDEKEQHWHSATIIGYGTSTNSAGRKVNYWILRNSWATDWGETGYARIVRGTDYCSMESHGNGARIPDE >CRE20120 pep:known supercontig:C_remanei-15.0.1:Crem_Contig948:4288:5552:1 gene:WBGene00086331 transcript:CRE20120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20120 description:Delta-like protein [Source:UniProtKB/TrEMBL;Acc:E3NPE6] MNHYYSLLFGILFLVFSQAKCTGYLEVSFKSDFNLKSVLNVSSLNTNSSNSRLIPFLVSPNKTEKLAKIPIDFNETVIITVFVINQDRLDIDNATITSTFIPRRGLLSPLTVMYPFTGIKINIGCDTQYYGDQCNVFCCSETASRVGKECNSLGQLGCPVGKKGLDCKQSISKKWCKCKNKGSCISSFGKNLHERIQCSCLVGFTGIQCEKEVPSVEMMSVYGVDPKKFEIGTAKMLYESVVDNEMVEVTRPHSSHLLHNLKINDA >CRE19569 pep:known supercontig:C_remanei-15.0.1:Crem_Contig949:1143:1325:1 gene:WBGene00086332 transcript:CRE19569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19569 MDEFDNGGYMPPEDQEAPVCQEAELEAVKQKMEQQLAALKVRSARKPSTKHGVKSSNYSN >CRE19570 pep:known supercontig:C_remanei-15.0.1:Crem_Contig949:8735:9187:-1 gene:WBGene00086333 transcript:CRE19570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE19570 MAISNNSLEDLIKPEMREEFEKYKNNWFPRTDTEEHCRIDKRTPGLFKIEKEGDGMVALCSKTYCIWTNDNQSKVSSKGVQQKRNSSILTKEKYLECLVNKQTTDGVNKGYRYQNQEMKTYEQKKVGLSPLYTKGVVMDDGIHIRPIIFE >CRE07707 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:35749:36149:-1 gene:WBGene00086334 transcript:CRE07707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07707 MSYDGRCCTQTSLDNMKEIGDPNWKNITDLQRRLSAVNWLMRLRLCVD >CRE07738 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:278020:278262:-1 gene:WBGene00086335 transcript:CRE07738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07738 MTEIPKNRAFLNLEINKIIIEIPKNRTFLNLEINKIMTEIPKHRTFLNLEINKIMIEIPKNWVLFMKLTSPTILICVGTG >CRE07718 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:69184:69837:-1 gene:WBGene00086336 transcript:CRE07718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07718 MILTTILVVVGFCFGGVVADSDRCCHYPISTTTINSANVTSMNFKCVEPISLKCQNVNSGVVKKIGIAGFKDRSDTSKYTILSVSEEELVEKTMICSPSTMWHLEEKPEDEYLSFSCAYMLQDGTWFFQ >CRE07713 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:60060:60914:-1 gene:WBGene00086337 transcript:CRE07713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07713 MAPSWRNETSNHAQVNNHLDQKGYCGTTLNCYWLDKNCCNQDCIDFAKRESLQWFNNAGSGYRSRLNSTLYSMGLCSAVTTTTATTKDLDCSYLPDVCCSVPTLNYLSVMLPNWKRSSSSEWKARLVPHLTERGYCPTLSTTTTALNCFYLRESCCNPRAVMYLNTYVSTWEKVTDTVWQTRMQDNITKQGYCPDGATTVSTLDCGWLEGKCCTPEAVELANNASSSWRSVTNETRRANFQSSLVSKGLCDNGECKRMSTMRPGLPMQPRSIDQNGHFLRHGEH >CRE07715 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:63641:64458:-1 gene:WBGene00086338 transcript:CRE07715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07715 MLLTTILVVVGFCVGGAENWKMENCPVTSKPVTEKPITEEPITEEPVTEEPAKCCEWPISAIGVNSLNLTLNDFECDEPIRIDCARASLNDGAQKVGIAGFKDRSDTSKYTILAAMEFRVQKTVICSPPNNKWYPEGTPEDKFSGFTCAFLLNNGTWQYAIYLK >CRE07692 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:256448:257203:1 gene:WBGene00086339 transcript:CRE07692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07692 MFDNYGYIAMIVVSSFNINRFYYLHRRADEKLWETQNGLRARLANEDFGGYEKVLRFLGGWFSYVPSYLCWAVIREAFGIVLKKHGGHYPYDNALFTIILTEFVFGAAIRVMFDWYSDSKLLHLIPLIYAVIFSSGQQVGRPPGAHPIITFVGITMGVEPNFLYFFLHAIYIYAGWMLVVKLPLPEALLVRAPYLSERRAQNQKLLNKILKTRKGK >CRE07732 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:199788:201461:-1 gene:WBGene00086340 transcript:CRE07732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07732 MFFLLVSLAIFTYYFYHWTYWKRRGVPGPWGLPIFGKSGIMLDDRVAPSLIIQKWTQKYGKIYGFTEGMQKVMVISDPNSVQELFVKQFDNFYGRRLNPVQGDPDKDEGVHIVAAQGFRFCQEYTMDVIMRIAMGQPYSRMFNNPILYDCEGFFEKNRWQIWMFGSAFPFAIQIIKYIFLKLGKFGAGPFIRIQKTVTDAVMARIAQREADKKNGIEPGEPQDFIDLFLDARVDNVEHFGETNDEFHKKTSYNNRQLTTAEIISQCFIFLIAGFDTTAISLSYVAYFLALHPEVQQKLQKEIDKECHDSEITVDQLSKLKYMENTIKEALRLHPLAAFVNSRQCMRTTKLGNHVVEAGVDVLVDTWSLHYNKEIWGEDADKFKPVRLVRVPSKSLTDSRWESPLNPHQAFLSFGAGPRQCLGMRLAYLEQKSLLAHVLRKYSFVPNMKTQIPMKLVGRQTSRPESLILTLKARD >CRE07693 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:257963:259177:1 gene:WBGene00086341 transcript:CRE07693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07693 MFKLFRSGGSQFDRVSPIESRVKLANKLTNEFLATFSLCFLFNSEEVIFDNYGYFGMFLAVTIHMSIFQLRNNGAPMNQLLYVEEALAKKTSDAPRALCALWFHILEALASDSYSDFIWFIIEKATGLKVERRPCYFVHTVPVNAIFVAEFAGAFLLRFLLSRTVNRSFLATPLVYASFFIAGKYFVGVPGVYPAITLARCSRCWSADTIVALLYHLIAAIPGWLTASLIEPTPLKTMWRECHEGEERTRKEQRFRQLLRQLHLILLERHHEEMLRRIEEQRERMRQGERRRHENDNPRRRDRRGNYIGLYRINMRKMSRRRNRQNSRGNYQLPHRGR >CRE07714 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:61623:62436:-1 gene:WBGene00086342 transcript:CRE07714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07714 MLLTTILVVVGLCVGGAENWKMENCPVTSKPVTEKPITEEPVTEEPANRISVMLEILECCSSISEYENCGRLLDNEAVNDAPIFRLLVRTLAVIRDFPRDSYHRLLEISYQKRRRFVSTMRHFETRTRQLSILPECCQYPIRTTTINNANVTAPNFKCVEPIAMKCQITNSLGGLVNNIGIAGSSDRYISAIVSFSTRSIHLTYHFQLIVSKDIIEKTLICSPSSAMWHLEGVPEDEFSSFTCAYMFSNGTWLIQ >CRE07694 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:259559:260805:1 gene:WBGene00086343 transcript:CRE07694 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07694 MDDDKVNFAELLQRVPTESWYALFVYILYTAFLALNVYMARAFTTYFPLYIGRVLREFIATFSYCACLYGDEILLHYFGYIGLFAGILLHFSVFQHLNKRNGENLLIIGEEALRMNIYVLDYGLVIVAQISAAFCSRYYALLILDTTLVPVSEICHLKHLFYENDALQPILIIVVLLEFLGGAALHMILRQFQKRIETIAFFYALIFTISHHAVGVFAPHPMIFMSRYAYCSVDMVTEEALLAFLVHNLVPLIGWVFVPIVTRKPTTLRSVWGQRFEEMEGSQAPVNKQGGNNKKRR >CRE07699 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:268629:269864:1 gene:WBGene00086344 transcript:CRE07699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07699 MDSVSNPNALNSFDFDVLKVATLYVTCVFIVCEVLRYGLTQCKSPNSIFPEALIQLITTLQICIGFYEQSILKSTDYISIFFINAISFFSVHNIKWGIASPFTQFEDIVFNRKKTGFLGPICNLAGQFVGAFLAAGMTIISWEVLSQQTWITHIATMHSELLERPWCSWKSEDFPRFLFAFVSQIVIAASMRMILTKLNTKFTPAIYTFYYTFARVLIGFPGFDVMYSFSHLAVCSLKTDEILGLFLSYMVAPVVGWLCCRFLLDSPITASQLKKEKKRERQEREAELLAEKTEQEARRKAGKQGKKRD >CRE07675 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:143358:144741:1 gene:WBGene00086345 transcript:CRE07675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07675 MFANISQSCIHYDCPHYFPGDIVTGAIVLHFEKDLRASRLKISWRGDVLATHIRGDNGEHHKSFSYFSDHTIAWRAENGLNKLPAGYHRFPFSFQLPEKLLPSYSPKPPATGYVRYFVGVKIVRPMRINYFVRKNFLVAKQSELPSYSSFDRMPPPNYEE >CRE07690 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:253461:254663:1 gene:WBGene00086346 transcript:CRE07690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07690 MEDFEYYIRRGSGTSHQDYDLIEGVHPFRCCITFSILTFVFSELFRKIIKEMNWNVDTLMLYYEFINSVTFFMLYHSEAAMFQHYGYSAMIVLSAIHFAVSFYLNRRAAAYTDDLYDQEKPDWVTPPNTGEDGKMLRTIGAFLSYIPSCFLWHLIQQYTAMYVTEHVGMYQFTDSLVTIIGFEFFFIASLRLACALLPYSRLQKFIPLIYAGIFNSGQIAEKSRVWHPIITCMEMFTGNGQSFGGFLFHCVILYSGWLIASQFAPKRKIVSPSLLKLRRKHKAAVEAEKRNGTDARLVEKAALDREREMRHAVEREFFDETLGNAETFSLQHFVFDHFNQ >CRE07702 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:277426:277746:1 gene:WBGene00086347 transcript:CRE07702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07702 MLGIILIVLGPDFTVKFNLNRTLLNVADRSTCFQYWEILARIVKEEKIIWPHLKLAISESVETTQTDAATGASGSGFGPIRAHDMSRGKKLGSYGLGSYSKFRQHR >CRE07684 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:192313:192738:1 gene:WBGene00086348 transcript:CRE07684 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07684 MNPSTPAAPSPAQKPAAPPPPKPMLTLKLTPQEEAKYMVDLKFKLMQMKKVLIGVKTELENKKGIHEAAQKDVAELEDRAKTAKENFKKLSDDIKKQRKAKGKDKEKSKDNNHEDDEKESTDEKKE >CRE07726 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:139428:140009:-1 gene:WBGene00086349 transcript:CRE07726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07726 MVKPDVYIFFNKSHYYPGETVKGYVLVEFEKDTRAKSLKIGWGGLLSRRCGRGEVKTSINELPLKETAVWEAENNWNKIPAGEYEYPFRFKLASTAPPTFWTRPCDIQYQVIVKLEKPLWIFNTTHKKEFKVLKGLRLSVETDESETESVRCPNAPPRYDEIDGCSVREPLPPNYEP >CRE07673 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:135165:137379:1 gene:WBGene00086350 transcript:CRE07673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07673 MQSKSPNDGLKGNEADSAEEQMKKKTQKELEQMAIVNRAFSAESSEDPPPLSQKPSESTLAALNSQLKSVPLVKAFNSAENKLDQNKK >CRE07710 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:49755:50690:-1 gene:WBGene00086351 transcript:CRE07710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07710 MQILLFILFLLKFTYCKPNGNQDCFRLKDTFEYTDTENVRRKYVGGCCTPECLALLGTTDSLTWSKTSSIDTFISTLYKEECCNDDSLAIATNETSTPTTVTSSISTTPSLTSTTDTTTSITATSPGACHVTSALTSLMEKIHSHRTLKETLDWLDPKASQNSIF >CRE07696 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:263013:264554:1 gene:WBGene00086352 transcript:CRE07696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07696 MDDDANKIKLINLFEVVPENPIHVIVPFVLANIALAIVVFLIRKLTAKFQPVIRIILKEFIAVVCYSLCYHSQELMLHHLGYPGMFCAILFQMLIFLSVNKKNGGNLLILMDEMTRKDTVIGSRKVGEENTWWYFLTFTTHVLGAVFTSFMLTKYNQLPKCTSLYNLSVYEGGVKPEIAFVLLSEFLGGFFFNLFLRYFNRNHTVIALAYAIISTSSRSAIGVYSAQLHTFVIRFISCHDVVENAFWGFTVPTMTLPSFMGWIFASQFGGWESLKSIWWLRLERIERMERIAAERAEEEQEEEQEEEVPEEDEPGQRNQENQKTGVWKQKQEDKVNKKKKKNKNRF >CRE07691 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:254921:255896:1 gene:WBGene00086353 transcript:CRE07691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07691 MNDELLEWVYPGSAYLIYTVLTLSFMSVFREVLINKLFGPEVVIMSYEIFGSVMFCMCLFGEAVIFEYYGYIPMLFVTICHNLLVGMLNMLACDRHYAVLAELKNEGNAINKRTKQGRMALRVIGAVASTFITHIMKMMVVERCLSFEFTTQEAAAYPYTDYLVFIVLFEFSFALVLRLAWTWLPDSGIQVFLPIVYAMIFKSGQRVGKYPMVHPILTLLGVTRNARFDTDCLIHTIILSAGWACGYLLRCEPTTPRRHHPNRRALNMLSTP >CRE07716 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:65704:66295:-1 gene:WBGene00086354 transcript:CRE07716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07716 MILTTILVVVGLFFGGVRADKCCEWPISAIGVNSLNVTLNDIECDEPIRIDCARAWPNDGAQKVGIAGFKDRSDTSKYTILAAMEFRVQKTVICSPSNNKWYPEGSPEDKFSGFTCAFLLNNGTWQYVFY >CRE07717 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:67359:68173:-1 gene:WBGene00086355 transcript:CRE07717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07717 MILTIILVVVGLCFGGVEADKCCPYPIRTTTISSVNVTSMEFKCVEPIAMKCQNVNGGLIKKIGIAGGSTNTILTVSEEQLLEKTVICTPSSTKWHLEEKPEDEYSSFSCAYMLNDGTWIFQ >CRE07708 pep:known supercontig:C_remanei-15.0.1:Crem_Contig95:40477:41010:-1 gene:WBGene00086356 transcript:CRE07708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07708 MLFFLLLLSSSTIIICQTTKNILDCEYLADSFQYTWNNTVYNYTGECCYSEAVKYLDKNQNGWRSEDVANKTAYVRYLKSYGFCNQSTSSTTSTTTPLPTTTIKIDCGFLDPDCCSLLSLEYMNRIHPGWNNFVAELLLKAVYETELKNNGFCGVTVTTVTSTRKIGKILKIEDMLS >CRE23224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig958:228:353:1 gene:WBGene00086357 transcript:CRE23224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23224 MIFESNEMTRQQDIGGYEKQIRELKDRLIAREEEIWELKNK >CRE29265 pep:known supercontig:C_remanei-15.0.1:Crem_Contig959:847:3850:-1 gene:WBGene00086358 transcript:CRE29265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29265 MAITPYFDHNDRRETDGGNANGMSVDNDDVNTHPPPERNWVMIRHADPERPIATFTVLCYNVLCDKYATVNQYSYCPSWALNWEYRKTLIIKEIRTYEADVITLQESLARLGILGPFDPQWVQSNKILGNVLSRVTYFFISCPGFPHPHVASDHIPIMAQYAIIPTTHQRQPPPPQQTGHPPPVGVIGGGYPAPPQHSFLR >CRE29266 pep:known supercontig:C_remanei-15.0.1:Crem_Contig959:4459:5088:-1 gene:WBGene00086359 transcript:CRE29266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE29266 MADSGEGESPSRRNSSGKRFVRRARRWAELQQKRQGRRNCEDPDVPVGQNETKFHMHHPSLAFLNSQHSKDQKYRPPEPPHVYDYVEMAAFGSGAMVVDDELNYDIVAHEENQLKQNLGHTRSFPITILQPRTHEIQMPTGFPVSYGYTDHRPCQRATSNAYFYPSYLESSCPHYQSSRSSFEQRQVRPISMMKSVDSALTLITNDLLL >CRE08464 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:233160:233685:-1 gene:WBGene00086360 transcript:CRE08464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08464 MIEAPGDCGKSRMLKILVNDFFGSENACLVTPFIGGAAFNVGGNTIHSTLGISPKSVAEFKRMNNSLKTQLTDLLKDVKVFFIEEISLISVVLLIQASVHLQILKNDGRPFGDFMQLLPVQSPPVFGDFSSKLKAPRDMLVENV >CRE08453 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:63229:63916:-1 gene:WBGene00086361 transcript:CRE08453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08453 METDVMTDQLAMTLSTKLLNAFDSRNFTEFKDFDVRFTYDQCHKIYEKAEFLKAVEDYRNTFASGTVIKYALISAFPIKRQYNGIQFNVHTEFLGQHHDILVNFQKTRPTLGSMWRWVHAWKLNCIRDDHRVFQENELRQKVLS >CRE08431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:31920:35360:1 gene:WBGene00086362 transcript:CRE08431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08431 MSSSKPFPLLHLPRLPLFKVFNCLGVQEQFYLSFCSKKSKYAIKFYTDRQKYSVTFYFEETFAFSLKTTNSKFLIDVQTHTPIFGSMWTFLSRVENEAPTNEKRLLLFLLDVFNTPEICLVFDGRRHQFVKGFINFIHSLKMNIQTLKINSMSDKTGEFVLDNCRDVSEVLLECFTSTSFEYLNKSLIPKFSFDKLTINYANWVTTRHLSNLFINCKHVILYGCSPQKLEIQQFIKKWIYGYSQLTYASLAFNYVDFFWNDIMREVPSTIVPIEEIGEAFFTNPVYRIKQEKTGVQAYVIMKNDRTIVITDSLNLF >CRE08447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:258498:258863:1 gene:WBGene00086363 transcript:CRE08447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08447 MLNQSKRNSYAHGVLNSHFKARKTFSSAAIRDIIYFAGKNRFSKTAGDEIFDLISKNCASQDGFLREDFLEKLRKVLVGNSRTAYFDLESRVFKNQYHYTELDHIGHCITGDMGCNHCGHS >CRE08445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:231550:231848:1 gene:WBGene00086364 transcript:CRE08445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08445 MTMNSGNSKKITFDTMEKSMKEFIEYGVTDALEGNMLIFKRGEHALEGLWACVLKKRFSPRKWRELWVHSVMTAALIDDYLF >CRE08465 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:241715:242881:-1 gene:WBGene00086365 transcript:CRE08465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08465 MGGNVLRRTDNTTRLSCSQTERCLTGKQTGRGQKPGASRRESVNGGRGTSGNTHVRGQQRRGAGAGRVQSTSGQRRTDGNTPPLRRGQSSGRGQTQRVGRQEQLSDNQDRGDVTICRGTLQVRVNSGSVMGDRRGQSPTETGGRRPGRAQRARGRGQNL >CRE08466 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:249004:251049:-1 gene:WBGene00086366 transcript:CRE08466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08466 MAAQLIIHTDFLNKVMKQNRAAIRTATSKQINILVEIVFNMLNSKNIPLTIKNSVVFFHYSLTCRCKATLMKLIRKYHVIPYENGFALESAKRFLETILSDTSLDVCTKCRFYQDMLYRIRNHSDMPIVNDEMMSHRMKRWKEEEEGRRRRSVSVKKEILPPKLIQDTPQPPKIAQTVSESRNFGPMHNYRGFPDYRYVPYKAPMKKRRVSQTPNRGVKRKVEDDGPEEGRKRGKWDISSINGLKMENVKVSSSRKKNKKKNGVKKEDGDMKPKKKGVEP >CRE08435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:76850:77989:1 gene:WBGene00086367 transcript:CRE08435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08435 MLKTSIGLFNSSFQLEYNAQDSNNDNCLECGDGEKIGFTRMPNSSETMIEYYKKYFGINLRHVFSPVVRGMDGMPNPIEMISVRMSVDLTEEMVEEDHEELDSQEGEDELKELDLDFATFEDE >CRE08469 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:281139:281784:-1 gene:WBGene00086368 transcript:CRE08469 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08469 MQSMNKAQLQQAILLSLLQNPANHLPEISNIVSPEDLHKDENFIFNKYPRVAQLFLEDDRVFGLLEAFLEIEKNQPEDVRKEFWEGMDPLCHAFMKAPAYVNGNKKHNGYKICCEMADYCSFYKQTWFFIVCGAVGFLLLVGIAGVVFFIIRRKNKKIGGGNTKKGGNKA >CRE08429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:22862:23194:1 gene:WBGene00086369 transcript:CRE08429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08429 MMVHTDTMALEKKMEEEFKKLNDRMERMEATDHDVVFMAQKMDIHQQQAVIVVGPPAVSDEMVQMEEGEEEQIQLEEEDEVPRFGLGNTDTADSSLTVPCPSTLIVLYAL >CRE08440 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:184798:190099:1 gene:WBGene00086370 transcript:CRE08440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08440 description:Protein-tyrosine-phosphatase [Source:UniProtKB/TrEMBL;Acc:E3N011] MNILIILITAILANAVPIIRDLPPEPNIPPNGDFNLSEDVLHRTSNPSEIHQRFYRASDPILDRHVFNLKMVARITNGIYLIQGITSGTIDSDELISELLRFGPVKPSDISAIDISKVKDAVNDMKSLPEQLVATAEAEKVEKVFDGLKEILNEVDGIGNLAEWTDEKEHFKNEIGRLAKDGLSITDVSDINSGCSDWKTNYQKLSGNTPSIDHIKEAVRVLGKIKKASVNLNTFPIFWKFSNFSSAADGISPILKAEIGVPLFQSLSKSLAMTESDGNIYKTFAKSIPGKVDPLIAHLGAIPVVSKLLASRSASKRQLHHTLGLPNGVLDLSLITDAIVDPWITKVVKTKILKTALTRLEGLAEVSKTVDKSLEKDLDVDSLSNLLVSMSDVSKKIVAIKSGIPQGYKCVRPSPDDVNPKQFTDLKDAVKKIDAKLRELSKNRDELFEYLKSSQIIEMCDDLLAICKKAKDDGSNLQEVVTEIQGYENLDVMTTHTNQLETITNRIKPLKEIQTDAVTANGVISSLDEYQKDLKTYSDYFKCLQDQDQLPSVFEAIGGLKKIRGWKDDTTYSKTLTDGLNVVQKVVDVKSGLEKMKGSIKELSELKTSETDAMKDLPEAATHSDVIGKAVQGLAGMAEALEKEDDLKKIVDNIDVVESGKQKTTDPEDVESLNELVKLSKDITPMLNSLTIFSTSLSEFSKSDSLAVQSDIFSSAKQVSGVTGTFSRMSKAVGELKKISAPDAAELTKVEEGLKTMDTLDLNFAGFHKSFDDSKNSLTALDLFFAKTWKKFQPTTPIPAQRPTLGLETSTGDDVGSDAQSAAEKDEEKKEDNDDLKYAGGSVAFLLVTLAIVFFLLYKFQRPWLMKRLPCLCWKKEKKKEKQEDEKPSEPPLPPLPPLIGPILPPIGYFLKLFIVPMFKQFEVIRKEHNVEKENLIFCLYEFYEARHDACRLIAWVTRSNPPLLDHCRFWILKGKSLKKQLIFFSRFNYGRTIEDPVELENYGDRFQQTYLHGNYLMFDDFDFNQAKEDRTKWVLIEGPMPSSPEPDDPETPREKNSTIGKFWWLAKQNKTQSIVALLSPNEIKDYHYFPRKVNETFKEKDLTLKCDKLEIEFGGLLEIRTITGTFEKEPPFTLTHFIYTGWLNGSPPKVLTPLKKIFRKLATDSAPPIIHCTDGQERTGMFALAGLMKYRLKTRMGDLDITKCLIDVMKARQNALGDMSDVLFAGHLCMELLADGKKLPKSLQNDVDKLRSAWDRFNVENDRREPESVIQNFDKLRDSEKVHELRGKLEKKKNRKMEEAKQRKEEKK >CRE08471 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:284116:284679:-1 gene:WBGene00086371 transcript:CRE08471 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08471 MQSMFQMRNRSDASNEIDKIISPEDRHKDGDIEFILKKYPIVAQYILEDLWLCLNIQFGLETEKDFPADRRKEFWNNCLLINYCCLVMKTPAYKNGNKKHNGYKICCEMNDYCSFYKQTWFFIVCGAVGFLLLVAIAGGVFFIIRRKNKKKLGGGNKA >CRE08468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:278843:279592:-1 gene:WBGene00086372 transcript:CRE08468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08468 MQSAKEMQSMDLMIQMITLKQQLRKIISPEDQNKDEKFILNKYPRVAQMVFENDAVFEDLKKILEIEKNKPEDERKEFWKDLDSLCHAFMRAPAYKNGNKKHNGYKICCEMADYCSFYKQTWFFIVCGAVGFLLLVGIAGGVFFIIRRKNKKKVGGNNKKEGSKP >CRE08459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:170068:171794:-1 gene:WBGene00086373 transcript:CRE08459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08459 MPPPGLSPSTERLIPVVSLSISGTFPKNPIAVTGQSVVFKVYSKLYRRDVAVKIVSQNAIPAAVAEKFLPRELDVTMKVRHPHIARCLAITRPAPTKIVIISDYYERGTLLDLILEQKRLKENPLAVTLFRQIIEAINYLHTRGITHRDVKLENTKIVGFSQNNEIFSQNFDI >CRE08476 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:338533:341453:-1 gene:WBGene00086374 transcript:CRE08476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08476 MKLLLVITSIWPFSEEKTHVYYNVTFKCARDFCVEGWLVEEDCMKHLQHAAGSVCEHCSRNGHCKVINCVSGKTNVADEGTEWIFSKEHRGFFGIAHNASGYDGQFILKSFISRNKARPAVIMAGTKVISLKYKGVKLIDSLKYLTMSLAAVGKAFRIPTENGDFPVKFIKREKFDYVGDIPEDKFYNLEHKSITVRQKLTDCLKEERATRTFSSWQVLSSHL >CRE08467 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:268807:269069:-1 gene:WBGene00086375 transcript:CRE08467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08467 MCIICLGNAKHHPAACYGLKRTDYLCQEESCQKDFQIHHKSICDKAHEEEDLGIDQLMKDHLDEDIQATQ >CRE08473 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:286811:287425:-1 gene:WBGene00086376 transcript:CRE08473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08473 MQSMTQMPNQEEFFKDLEKWVKKNPMEAQIAFGKEDVFEITKDFLKIEKDRPENERKEFWKYMDLMCNAYMRAPAYKNGNKEHNGYKICCEMADYCSFYKQTWFFIVCGAVGFLLLVAIAGGVFFIIRRKNKKKLGGGNTKKGGSKP >CRE08470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:282922:283553:-1 gene:WBGene00086377 transcript:CRE08470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08470 MQSMSQAQLQQILWMSLLQHKDNRPPISDIVAPEDFHKDEKFILNKYPRVAQVLLENDFVFGILEAFLEIEKNLPEDERKEFWKGMDPLCNAFMKAPAYINGNKKHNGYKICCEMADYCSFYKQTWFFIVCGAVGFLLLVAIAGVIFFIIRRKNKKNGGGNTKKGGNKA >CRE08475 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:324315:325308:-1 gene:WBGene00086378 transcript:CRE08475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08475 description:Galectin [Source:UniProtKB/TrEMBL;Acc:E3N035] MKDTHLTREVFGDVTFKRSINLRVFHGTDIVNPNAPDTKYNDAIEDPSVGIVVSIELYIHERLDIFFHAKKTQASKEVIPLHISIRPNEDAIVFNSLIRDKWDHEERRRLPFEIQSLVLIDVKFDYRHNE >CRE08449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:292676:293149:1 gene:WBGene00086379 transcript:CRE08449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08449 MSYGMFFYDKKPIGTNFNKVEFYINASSAGHCGWTSSKNDETFELDQDIDKHIVKNTCIKDKDLVYVEGASIGKTVGEFDIRGKNVTLLSCFHILVLGFICEKGRNSSTPRPTPRKSPATTSSHPVCFFIFLFNIKS >CRE08463 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:224078:224282:-1 gene:WBGene00086380 transcript:CRE08463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08463 MHLFQAITDVMLRNPYVINNLDRQYNLLNRRTNYSKFSQIMASSIHVMDM >CRE08451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:18570:19516:-1 gene:WBGene00086381 transcript:CRE08451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08451 MSVDLTRSNMLSTDPMYNKPIGLLQTEILRLREENEKLAMANHSANAQNEGLHIINQLVKLDAEHGRLRDRHAGLAVDDFWAEQLSEERARRDVIRLEAFRLRAELAATQALLEKERADARRTDEILKVFIGTHDRVLEEDNQPEHRSDCMIYGDDGERRMHKCGVGRREAWFRMARDMKDDGNLFVSCGVCGDGKHIF >CRE08430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig96:23488:23964:1 gene:WBGene00086382 transcript:CRE08430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE08430 MCLVGNAFGKDIHAICSNHGIHISEYFETFETCVEDYCTDYHRLKWNNMNNEYDVWIPRDTKTTTQDFQWKEMKTWEFDCPSVPLGDTIDCTICWTNILNPVCHIWWAVFRFGTMLFATQSGPPIEGEYTVHNFSFGNEKSYKATSIKTTIHVKTDHE >CRE01468 pep:known supercontig:C_remanei-15.0.1:Crem_Contig960:5780:6625:1 gene:WBGene00086383 transcript:CRE01468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE01468 MAPTPRIQTLAQRAGRLKTCITRVIDGSKQVLEYVDQWEADRKAACQAAEHENRGHPIPDLAVTTDALNTLISMETQLEGLPQILQVKAAKLVEEAEENNEEWEELENLCKLAVEEREDQRKHLLIAVKSKIEMFREIHEASEEVVPPPPLSPQQVLHIMAESIDAPNQEPVVTSPMKYPLYHELHMANSTGMIGNNSELSPETHQLPERIQDLNLNGTLEKQTTAADTPILNSNCHINPELGGLPTGNIVYQPVNMRQKGRQNINENSNYPAAAGFSHRI >CRE27948 pep:known supercontig:C_remanei-15.0.1:Crem_Contig964:14846:15073:1 gene:WBGene00086384 transcript:CRE27948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27948 MSTEISVAEMRAAHKRTAPFLHNTPIMSSENINEKVGVPVLFKCEHLQKTGSFKVRGALNSAVLAKELKAKGVVS >CRE27947 pep:known supercontig:C_remanei-15.0.1:Crem_Contig964:9233:11853:1 gene:WBGene00086385 transcript:CRE27947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27947 MLWKSGFLVVFLVGLAVSESILPSFRIPDLDVILQRHHIPTPDAKYTNAFQDFLVKYLREYKTEDELVKRFTIFSRNMDLVERYNKEDLGKVTYELNDFSDLSDEEWKKFLMTPKPKSPSKSATKLFTPKEKRVIPESVDWRNVKGNNHVTGIKYQGPCGSCWAFATAAAIESAVSISGGGLQSLSSQRTEPTHALIVIGYGPDYWILKNTYSKVWGEKGYMRVKRGVNWCGINTEKPLLPIL >CRE27946 pep:known supercontig:C_remanei-15.0.1:Crem_Contig964:633:2318:1 gene:WBGene00086386 transcript:CRE27946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE27946 MNLDVILQRHHIPTPDAKYTNAFQDFLVKYLRKYKTEDELVKRFTIFSRNMDLVERFNKEDLGKVTYELNDFSDLSDEEWKKFLMSPKPKSPSKSAAKPSALKEKRVIPESIDWRNVKGNNHVTGIKYQGPCGSCWAFATAAAIESAVSISGGGLQSLSSQQLLDCTPVSDKCGGGEPVEALSYAQFHGVTSARNYPYYFWSTTCRENVPTVAKISTWAEAENEEELAEMVALKGPMIICANFATNKNRFYHSGIAEDPDCGTEPTHALIVIGYGPDYWILKNTYSKVWGEKGYMRVKRGVNWCGINTEKPLLPIL >CRE25986 pep:known supercontig:C_remanei-15.0.1:Crem_Contig966:81:2223:1 gene:WBGene00086387 transcript:CRE25986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25986 MTFYDIRVLKISREAYQKPVRESEIICYVPGVLCGCFGVTITFFAIHFVFRYFALERQGRLSYFHGCYFIVWLTIPILFGTLWGMTIAFLVGPDEEKTEYLRESIMSNYNLSMENITYVGSVYFRKNAKGEEEVVVNSMIAILIFTTMMGTSFAVVCYYGYLSYKRITSLIEEGESSYTRNLQRQLYKALVVQAIIPIVLMYLPVGNYLILPVFGVNISPFSKLVTFLYAAYPAVDPLPLMFIIDNYRNAIADFFYCCSSNKNRVTASEDEISRGQTTI >CRE25988 pep:known supercontig:C_remanei-15.0.1:Crem_Contig966:8162:10682:-1 gene:WBGene00086388 transcript:CRE25988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25988 MAANNNVRDIPTLQDIAGRIALREKRLNPNPKTLTEKEMLTYDYFGFQVATKIYNIWKHSVKKSLTRLCRIELVAHLELSPSPTSPEDIKLCLSDPADLYQARGYSLSHLYLSGTGICSTICTRKIGAVFRNLKCLKFVGITTSPRDIDKLCGSLPNLIQLNISETRVPKITNMGSLRSLKFLIMRDIIKCPRETWINLGSAPQLEYLDISQQTSRRYLPDITQDFLSSGAVLKKLKTLDCSKTKVTEECLTQLKRRHDALETVIVLDVEAVKSTDIDGLFLVNTATLKQSLYAMKYCTLLRRKSDLKYVIRDILELHMKDNSLQSLSDRVDKYLHWIHRIMDEFSDENDMMQLSILLWNQLCNAACGEVNVLDRAPFETDMNRFGDHMLLAMDMFKSSTPENIHVLLWDTMKFGSHSDAFRPMDPDIFCYISAIYITAVWERCDNSQYSSLSCLNNVQRPIEVMLACTKKAKEDFDPSKEGDKTTPLAEDYLDLFFKEIFCFFDMHSGIVRDDGKCEGYTMICTIFIRLMRRSEKLREKLHGIGAIEQLLNHLRVMRTEEVLEKMTTQKQNIPKVQRKVTKMIREICILPDITDLGATIFDTRLLINIMNDENTDVNNEFYVASICSTYAFCLELKGVESAEEDMIEYLNARALGLSKESEFSCCNVNVDAYLKNSIVQEILQRSKITGVVYWAMEVIAILINQGHNPSLSYKRSLPPLLPFVQNYETDDEVLLKMKANVLEWAD >CRE30292 pep:known supercontig:C_remanei-15.0.1:Crem_Contig969:3149:4352:-1 gene:WBGene00086389 transcript:CRE30292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30292 MTSFSPLFRFLSWIISVLFQTFKSFLIFNGLLPPPHFPLLRVPYVPLRRIIDFMDPDALVSLSFCSRKTHSVIKTQRRAPFDGRLCVSEYPRNVSFRTFQNHTRVLSVCNSSFITSKEREEMEYVRMNWMYRRVPVHNSNGNLVWYDDTKEGLETITDYVTDLFNIDVSEVCVFGDAIKMIKWVNWRQKTPLKKVVYMNWNVIPSEEMIFILKKCTTLSEISIHSEAPPNFRISKKFRRIDYLDIWHGQWVTINNLLTMDGIVIHLEKSSLTNTDLNVFMKHWLSGGCPRLKLFCAEIGSLDILQVLDGLLHNAVFVEDRRDYNCPYGHRWILWDGYDIRRADGVTATVHYQPLSTLVIAVWPEATHNYT >CRE30289 pep:known supercontig:C_remanei-15.0.1:Crem_Contig969:5534:5762:1 gene:WBGene00086390 transcript:CRE30289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30289 MHNAVLVENRRDYTSLFGYRIALSFGIDIQRADGVTATVCKHENGILFIAVWPETTHNYN >CRE30286 pep:known supercontig:C_remanei-15.0.1:Crem_Contig969:28:1069:1 gene:WBGene00086391 transcript:CRE30286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30286 MNCKFLHFICFQIYFFQFRVPLSFCSQKSHSVIKTLRKVPHDERLLVGGPYINSSFLSFTNCDCVLSTGNSAYISSSEKEEYVKLGGQKVRVKMHRLVEYLITYWEDKLTGLKAITDYVTDLFNIDVSEVRVCKDSFKMIEHVNIKQKTPLEKVVYVDWNVVPSEDEMNYILRDCRCSSQICINSEALPDFRFSNNFRRIDCLEISNSKWVTIDNLLTMDGIDIHLNNASLTNSDLNVFLRHWLSGGSPRLKLFCARTGSVDIFQVLAGLLDNAVLVEDRGDYTCPFGYSRTLSFGYDVKRGDGVTATVCEQMNGTLVIAVWPETTYNYN >CRE30290 pep:known supercontig:C_remanei-15.0.1:Crem_Contig969:6102:6472:1 gene:WBGene00086392 transcript:CRE30290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30290 MLHLNFPLNSSLTSININTFLKHWLAGGSPRLILFCAKTVNFDLDALFDDINVVLVENFRQYTSFGYDLRREDGVTATVFYRPDGEMIIAVWPEIVCHY >CRE30293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig969:8914:9986:-1 gene:WBGene00086393 transcript:CRE30293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30293 MEPKTLVSLSFCSQKSHSVIKTQRKAPFDGHLLVGESHQNASFLSFTNFAFGMVPKSNQVLGALKFIDNINYEGMESVKMGGRVVRVEMDHSDGYLISYWKNTTEGSKVITDYVTNLFNIDVAEVWASKQSLHMIEWVNSRQKTPLRYVSYSDSSAISSEKEMIYILKYCRPMFQLSMHLKPPPNFRFTEKFPKIDYLDINDGEWVTIDNLLTMDGIDIILKSSTLTSSDVNVFLKHWLSGGCPRLKLFCAEIGSLDIFQVLAGLLRNAVFVENSRTYTSPFGYRRILSSGFDIRRADGVTATVCHQQTGKLVIAVWPDTSNNDN >CRE30287 pep:known supercontig:C_remanei-15.0.1:Crem_Contig969:2042:2526:1 gene:WBGene00086394 transcript:CRE30287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE30287 MTWGLHFPYQFLAQNFVVSGSGRIEIPAFKTPIPPHVQGPCLYAVTVQNHPPDRSIVSINFTIESTDEYNVQIFSDSVYGKAQLDVLKLSGSLSYKWTIDYHYNTDEPQIIECRMYCERYHDFLPLPDY >CRE12140 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:8456:9438:1 gene:WBGene00086395 transcript:CRE12140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12140 MYEFMSEFVFRYIYDDLYMFAAHNYRPGEQEAYLDAFGKYKQEMNVKNPSIELVGSWTCSFGRTRDQAIHLWRHNKGYEDVDSSIALHGKDSGIRAADNDVAKLCGRRKNLIVKSFSYWREPEQRPPNHVYDLRSYVLQPGTMIDWASAWAKGIQYRREANQDVGGFFAQVGQLYVVYHIWAYPSMSDRNDTRHATWAKPGWDATVANTVPLIKKMQSKILVPTRFSQLE >CRE12148 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:81484:82056:1 gene:WBGene00086396 transcript:CRE12148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12148 MWLRVGEEEEEEEEEEEEEEEEEEEEEEEEEEEKEGETKTVITWLKCATATEVPHEALVVTYRTIPSPLVLIAKDATVVVLDALMTKELLGELCKFGKSTDFFALGCFARKQFIASGSALVQNGGDDGSIIVTSSLPRLIQRLTNNEKQRKTTTDQPICVIKNFEPSEIITCD >CRE12222 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:269835:270563:-1 gene:WBGene00086397 transcript:CRE12222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12222 MSLALYCRECALNFESLPEKEKHNEEVHYGFAQPYPEISEKEFELMSSWNTHKLVHHCPVCFRHFRVINHLIEHLATSHPIRCLNNPLAQTSKEVVENYWKLLDHVLPGERANSMRLWKADTVSKKCPYCPTYNPALRLTYNHIRCYHHRRGNNIPLPAYEKYLRWKDHVENLYPGQLKKVSNSMKFNASNILFLQMDEEFIYGHGILDQPQEEDFDAIFLESFPF >CRE12188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:97069:99454:-1 gene:WBGene00086398 transcript:CRE12188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12188 MGKMNDSVQKRYRNNVRVDPASLNHYLCYFCGKTASDRMEYQLHMLKVHEVLSQSYQLWSDHTMDSLGLRWSETKGRDDRETSSSLSVPASPVSREPRHRNSDEDDEDYVYEEEKPTKRRKRDEERSRRSLAERQKRAVEEQRRKAEAAADRMRRAEEKRLEKMKRDEERRRIEEAKRALQQQAAADKVERVERFEKAERIEAERRLSEVDSRETPEKQIKSEAEPKRKRKKNNEGPPRWKDIVSEANKQEHPDVAFLVQKILAEGKKKEASSEEVQEEDLTPEIVDRKPFDFSKNATKYCKVCKKGTHYTFASLFEHYQDHHHAILKSFDYYGYNNKKLIGKKHLLERDYCQRCVMRFPRARDYFSHMIRHHINESVRCQLDFENANNADVEVRMAFRDRIITLGYNFQFEEETLLSDSNLDSVVDDFRAGTSSAAYQNYNEPSTSSTPSGPFAMDKQIKIEPPGDADQSSSPLKVPKVEILQIPKIEPLQIPKIEPS >CRE12215 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:237279:237762:-1 gene:WBGene00086399 transcript:CRE12215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12215 MPRSSSYKTPNPNVSIQMTSTPNINCYPVPQTSSPIIPNIDSTSYAYAHNSNNIYRTTDNYNYWNYTETAQPPATLQTNFSLDTPFTESSTTGISNGNNNITWNNDTDEAEARERFWKWLDGIPD >CRE12170 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:273043:273302:1 gene:WBGene00086400 transcript:CRE12170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12170 MEQLWGDEFLEDSSLWPEKSPAYAQPLEETQIPGTWKLLHSGPVEASGNVKVNVYLDGKITRIVYITV >CRE12198 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:134640:135499:-1 gene:WBGene00086401 transcript:CRE12198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12198 MPIDKLFDGDVSGIPLLAVPNDDNTRYVILEGHQRVPTALGDICSMDYRGCVYRNISKEKINFLNNMPLDKMFDEDVSGTPVLAVPGGDDDTRCVVMQGCIDSLRSCRDRSLKKRSKSNRFDSFDSLSRLFY >CRE12183 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:81252:81423:-1 gene:WBGene00086402 transcript:CRE12183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12183 MTEEYALENGFKPKAYLRDYLYVAQDTNNQFLLSQPTSF >CRE12224 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:280158:281226:-1 gene:WBGene00086403 transcript:CRE12224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12224 MDSIDSGGTNLAVDLEAYYTAIMNSINDRTIAMDKIIDSILAILLNNPNILNPVLPPATPTPSIPRMTDQFSNNPNVDTNNQMVGGSLLLSLLLNQTNAPVQLNQTLQTPSPPITVPFSQQIGSELTASLLSSSAPVFLSPNLKTPIPRVVRNPASAKKNTKERARNISKTLKLNEKSNKNKNEEICLICEKYIPFEETGESHMMIHHTIFNNPTKCGCCFWVFRDLSTVESHCKQLTNRNGNTEIPLIINNCPPGNQLTPMDSVNQEYKEFLTAIQIGIIHNVKGSYE >CRE12196 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:122943:123904:-1 gene:WBGene00086404 transcript:CRE12196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-tpk-1 description:CRE-TPK-1 protein [Source:UniProtKB/TrEMBL;Acc:E3N076] MNRYFHPFKIFTKPETSVSIWLNGEPGAIQKEAENIWNSSKYRVATDGAINEITKRHESVEWPHAICGDFDSIDKKIDMRGAKVIHLPDQDHTDLTKTIEWCLEQKNEKLWSFDRITLLGGLNGRFDHTMSTLSTLVRFVRNETPIIVLDSCNLVFSLPEVSFSRKKTTDSCFQGESKIYVDLEKTTKMCGVIPIAQKETILTSNGLKYEMDSLPLAFGELVSSSNEVVTNEIKLKSTAPLIFTIDIVK >CRE12171 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:273468:274039:1 gene:WBGene00086405 transcript:CRE12171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12171 MSAAFSGALPNSSYVPKTPLKNPNKFQQRTTGKWKRSIEDPHAGANPIKRTPTQNSGEVEGQSSGDNATNGTLHDTAQARKDYLENKATGVELPTEESAKLDPKFAFGESTLIIHMCSECRAASRGLDCVELKNGDKGLVINLCTICRALFNTQRRIKFFQHELACIKRRQIQ >CRE12184 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:85154:85684:-1 gene:WBGene00086406 transcript:CRE12184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12184 MSTEQAGQYAFMMAPSTQSSFFRHFEKMQTRNRCHRNIFLASLVNDDDCASLIEEIGSKGLSKSEFIAKQIETPTATSENPSEGDNGITWMKCQSAEYVPQGALLITHRIMPSDSIEKDVTVIVTDPSMGKELLGQLPSLGSRRTSSFRTRNHFWLLDH >CRE12223 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:276551:277654:-1 gene:WBGene00086407 transcript:CRE12223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12223 MVNSLTNPPIVMDNNLNALLSPFHVWSNQMGNTPTVPLPPTMFNTDVYANITKSLAFFYQMCSLQQISMTPFATPFSPLLFPTMPPIQFMQNVIQNSTMANNNPVRFTYFDSSHNLTKKRERDEDICLICDEYLPAEVSAKSHLKNFHDYKRPIRCGCCHWVFCNQETAFCHRKMLTNRYGAIENPLILNKYSPGFHLTNIDTVNREYEMYLIHLGKAARERISKTTVAFTAPPDSDSNK >CRE12185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:86512:87718:-1 gene:WBGene00086408 transcript:CRE12185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12185 MPLDKLFDEDVSGIPVLAVPNDEDTRFVIIHGVHRFVSLLSRSLTEEEKQIKIKIDVYCVGKSNFEVLLSSTTPISPGMYITKTAEFEFDRCHPELLSLLWDSYAKERNRKECHFTEKERAFILFHILKSRLDEQQRREMIHHCIQRRNIYMKLFTEGMVPKPSEMGTEQLGLFAFLMSPSTQKPLFLHYEKIPKRNRCHKNIFLVSLQNDVSCVLLIEELGSPKTQTSENKSDGNNRMMFLMCKSADEVPHGALVVTHKVIPNPSNLIGKDLTTVVLNALMTNELIGQLSKLGKSTDVVVSGVFAKKPFIASGSAIVQNGGSTIVTDNIGCLIRRLTNDQQSRKRKATDCNYQLCVVEGYVPPGLISEK >CRE12212 pep:known supercontig:C_remanei-15.0.1:Crem_Contig97:216697:217176:-1 gene:WBGene00086409 transcript:CRE12212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE12212 MFIANNCMPQFRWEDVYSKYRKSFNRECYPFGRRLDDCRVNGR >CRE03541 pep:known supercontig:C_remanei-15.0.1:Crem_Contig970:1412:2465:-1 gene:WBGene00086410 transcript:CRE03541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03541 MTTAFPLLSLPDKALVLVIGCMKYTEIVTVEDIIRILFDTNDDIPSSMVWSFYPGEENAGRKPIPVYMPAQVSVATVRDMVNQQNLMKYLNPGRSVREWVDHAQYIFSIDKIEFLLFENEACQFDWISLKNVFGKIDIDTLFFNELCSLECAQLAIRHFQSARCVTVFCPSFNDPSCYRNILIQNFDALVLGYKDMSLKIGLDDLLLMNSKEISIRSPNLTNKMVNQFLKHWIEGSNPRMENAHLRFVNNQIVTKEIILKGLQYQGMLLDDVNVNGQNTEVYYIFRRDGTAGLISIERDDQENVVHFRIS >CRE03540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig970:4072:5128:1 gene:WBGene00086411 transcript:CRE03540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE03540 MSSDFRLLRLPEKALNLVIQCMEYTEIVGYSLASNKTKETVKALNLQITKLLLTTEEIIEAHIQGPSSIAWSFYPGEENAGNEPIPVYMPACVTAMRSNVREKLGYLNPGYSIQKWIDTVQYIFSHPVIDYLIFMRETCKFDMNSLMETIGTAKVKEFLFYDQCRVECAKMAVRRFPGISRMYARSQNMVETSRYTDILMQNWDGLILGQGDVIMRMEFDNLLLINSKEIKIRSRDIKDKMINQFLKHWIRGSNPRMEFTLLLFPDGRIFDINAILKGLNFYEAPLDQVRLFTKPATQETVEIMGGYDIRRMDGSVGTLKIEQREEGGAFTFCVWN >CRE05305 pep:known supercontig:C_remanei-15.0.1:Crem_Contig972:7459:11845:1 gene:WBGene00086412 transcript:CRE05305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05305 MIFLIIFSLFLLYIFDLLYWKRRNLPAGPTPLPIIGNLYLMTDGVKPGYKMYQNLKDKYGPVFTFWLANLPMVTVTDWKLIKQHFIKDGANFVGRPEFPINIEIRKGPYGIVESHGDRWVQQRRFALHILRDFGLGKNLMEEKVLSEVTAMIESVQKNKEDIDLQNLFDASVGSVINNLLFGYRYDETNMEEFLELKSLMNKHFKQTAEPIGAMLIMYPWIGKLPILSGYKKIVTDSWEGLLKMFRKQAEEKLATINYDSDEYSDYVEAFLKERKKHEHEEGYGGYEMEQLDSVCFDLWVAGMETTSNTLYWSLLYVLLNPKVLERVYEELDTKIGSDRIITTTDRPNLNYINATINESQRLANLLPMNISRTTACDLEIGGYSIPKGTVITPQICTVLYDPEIFPEPYEFRPERFLESDGSLKKVEELVPFSIGKRQCLGEGLARMELFLFFSNLFNKFHIQFHESNPSPTIEKDCGVTMKAKNFRVVVKERH >CRE05306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig972:5751:6823:-1 gene:WBGene00086413 transcript:CRE05306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE05306 MFETSGQAGPRKILISAMSMTIFLTSLAIFIHWMWFSKYDMVIGKRLRKNALKYGDRLYMKGLALPSSYYTELKQRKEERRKEELELERMR >CRE07916 pep:known supercontig:C_remanei-15.0.1:Crem_Contig975:12534:13586:1 gene:WBGene00086414 transcript:CRE07916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE07916 MDSKTTSRSAFSLYLLPVVVLNEVLKLFTPFELVALSLCSKSSKKHCKSIRSEAKCVEQTEKFHLDFSSKTEIRLRFQFHPESEWVFQFDNLPKAERKLTRMKNMVSSFFRTNTRNKRKMNYSKVMEHLYFSTFDPIQRDSTYNFFWKTFPITEYSLLIYYSENQVSAVTSWILYLSYLFNVDLDELILNIDQFKNEVNTELKHLLSWKQKNPVKGLTLTSNSSLNDEFLEQVLSLQDAEMYLKLDFNPSPQFSFDFRKFKRKLFLLEITHSHWVHSEQLYELNVRYLTLKRSTLSSVDMKSIVQCWKMVGRLSGSS >CRE11581 pep:known supercontig:C_remanei-15.0.1:Crem_Contig977:14596:14915:-1 gene:WBGene00086415 transcript:CRE11581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11581 MSENPQKPLADKILPEKNLTYAERRRRNNEAARKSRKARMEQEIADAKKGIQKEQKYKHIKAFLPSCSQEYRLAQKNNF >CRE11358 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:219463:220326:-1 gene:WBGene00086416 transcript:CRE11358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11358 MGQTSSKTLQLPQQPIVQQQQSESLVQTVQSVTPGFGRAQTMLDVTQAQLAASTTDVAVGGLPRSTSDPALNTSSQTACQKSYQQALEWGRNEQFLKLAHTFHTNNY >CRE11297 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:33147:33993:1 gene:WBGene00086417 transcript:CRE11297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11297 MLKHQTVPITRSLPVTPSIHCQNRERRAETDGELCLLAPGSVNSTDVFLDSIPPFTFSFINVSLTNPQDVSILEAEAKKINVTVSSVITEKMPVGQNCYIQHFRVQNRSEKTRMSKECNKLDWKEKWALSEIIKDTATPFFVRNIRFEVPLRFIIYTTKYPKNESYYRILCKTHVKSCLNDLDLINKIEKYLNEKGRKDFHDIIRTTINHFERDAATAVRLANRFLQSK >CRE11353 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:200197:204070:-1 gene:WBGene00086418 transcript:CRE11353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11353 MVLWWAGGRWEVGSLGCFWGRKRDERVVKWMGEQWNVVRNKRKCDKSGCEGIKEAKIIAMTCTHAALRRNELVKLGFRYDNIVMEEAAQILEVETFIPLLLQNPQDGHNRLKRWIMIGDHHQLPPVVQNQAFQKYSNMEQSLFARLVRLSVPNVELDRQGRARAQIAELYQWRYKGLGNLPHVDGLPQFQNANAGFAFPFQLIDVPDFNGQGETQPSPHFYQNLGEAEYAVALYTYMRILGYPAEKISILTTYNGQAQLIRDVCQRRCETNPLIGMPGKVSTVDKYQGQQNDYIILSLVKTRNIGHIRDVRRLVVALSRARLGLYVLGRAKVFMDCLELTPAMRIFAKSPRKLIILPFEAHPTQRKWNERSQDGEPMEIEDTLHMTHFVHEFYMGNLPAMKEAYDQAMSEYMESQRILNPPIDESQMDVETEEEKKRREAMERKKKQEMDDKKEADIHFEDMDHEMQEQEAAPAQQPPVQVPPPTNP >CRE11315 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:149976:150698:1 gene:WBGene00086419 transcript:CRE11315 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11315 MSNESFDHLSDASDLGDFSNQDQMEDPVPLAPTKQREDVVQQKFLQFVLVLVALCFLAASNPKKAAPVANTTINTTFDATAYRLALGERQMLQRTKLFENEEKIARAQRVMQSRTWSKLADVPEYYWDKYIPDPTRFEGVEMYLHMTKQNGTQVAEALYFYPIKFRKGGKEGDILVSWPSLNGDIFDVANVGSCDPKTECLQGTYQIEKGDLVFEYTFTMEGGQKLIVKHVFYIPVDSIL >CRE11336 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:52111:53937:-1 gene:WBGene00086420 transcript:CRE11336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11336 MNQHTFHVDSQGGTVNFTINNVCNIIVAPNTFVPPPFHPPQEDPPTYNNHQNEGATRSREHEQNDNGDAPNRTTNATTLPEGHSDELPPPRENRSPPNVIILPYLVDNEQQAVIPVEHRLLPSNNPLSVATQGEITDTERKDSEQARGYDESAQTGQTLEADARIAEMSMNDAFLTDVEMDVTPLAETLITDDETAAAQTEEVQTADGETAHAPIIDGQFIDVQMDTTPITDGPPVNEQLADTPMGDTSSTNVHLAQNLDTMAPTFEIKDTATPFFVRNISLEVPLRFIMYTTKYPTNESYNRVLGNSQCKTRAKSYLADESFINEIEMNLYGKGKKDFHDIIRTTIDHFARDAATAVRLANRFLQSTR >CRE11303 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:111102:112571:1 gene:WBGene00086421 transcript:CRE11303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11303 MNIMSSTDPIMMRGHGRRSTRKKRNSLLGPYEFKLSRETGSLVQLDLAGCQLSRRSAKSRANDMDER >CRE11300 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:86634:87683:1 gene:WBGene00086422 transcript:CRE11300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11300 MGDQRGAYQPTYNIFNLTAQTVTINFAVGLHVGSNINCAVDDSCTVGHEDSTTSSTVGLHVGSNINCALDNSCTVGHEDSTTSCTVVKDSKPFSCHFEEKVLCVREIQFSKKRKVQGGFVEYGSGVHSKRHYFTLVNVSAVNKYDRKGLQTEADKYGFTIATYRPIRMPFFQQVFMYRFSVVGDENAARVSRARISLDSWQETWSIASLTSPQAKPFIWGDLKDKYIIKVILCASQGPVNNQLSGVSGSLARSAFMKNYTADDKFNDDLKMQPTRKVSMMSSSG >CRE11356 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:214305:215772:-1 gene:WBGene00086423 transcript:CRE11356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-ztf-20 description:CRE-ZTF-20 protein [Source:UniProtKB/TrEMBL;Acc:E3N0I9] MNKFLEQLEILKTTFSELPEECLIDAIERMDQTVEQLSRSVVFVEGDPQADYLADMGKDVVQDFLHTTDFDFFSSAPSTSSNDFPIQFPNFPSTDIQQIATSLLYCYTCGEGFNNRKALYRHGKATNHSTRPSKCHREVEAQGEEIQKTLGIQTSSDSDERRPVENLNNLRGPSDISLASLHLGQEDFLDSTSFLENSEHDDDGESNISCFECKRVFTNRKALYRHGQQTNHRLRLRRATKVKGGPIRCTKCKYSTDKSMNFRAHLRRNHSETPRDNVF >CRE11314 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:148569:149054:1 gene:WBGene00086424 transcript:CRE11314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11314 MEYMRTLGERRMMRTSEILEDQEKVARAQRVVESKEWSKLADVPEYYWDKFMPDVTRFEGVDAYLHKTKLNGTQVEEALYFHPIKFEKINEDETIDTIWLSLNHGIFDMANVGGCDPKTDCRKSIYKIEKGNLVYEHTFTMEGGQKMFVKRVYYIPADKFI >CRE11363 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:237902:239103:-1 gene:WBGene00086425 transcript:CRE11363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11363 MESKNRTKKGFLKWFDLPTAEIKQMADVKSQFFYLTETEFHSFYPSQLAHCLKRRPFENTVLSALRWPSFEAVIANSCSLLTYK >CRE11341 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:67980:68910:-1 gene:WBGene00086426 transcript:CRE11341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11341 MLKQLIGAINAVQTLQNNDVVVAEGSGSTLPVTRSVPDTPSIPRQNRKRRAETDDGLCLPMPGSVDSTDVFINSIPTYTFSYTNVYLTDPQDVSILESEARKLNVTISNVMTEKMPVGQNRYIQHFQVQNRSEKRRMSEECNNKLDWKEKWTLSEIIKDTAMPFFVRNIRFEVPLRFIMYTTKYPTNESYNRVLGNSQCKTRVKSYLADESFINEIEMNLTEKGKKDFHDIIRTTINHFARDAATAVRLANRFLQSK >CRE11340 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:63855:67745:-1 gene:WBGene00086427 transcript:CRE11340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11340 MQVIIVRVMNQHTFFVNVHGEHVNYTINNITNIIVTPNTFAPPSFRPPQEDTPTYNTHQNEGTSRSSGNEPNDYGDAPSTTANASNVPEGHPYDENEVPPPRANLSNQHDIIGMPAPIAISYLDEQAREPDEPARTAQTLEAETSVSDTAVAAAATELAETQTADTVTTDAETAVAETAVAAETAEIQTSAAETTDILLIDAAETAAAAGIANTQTAAAETSDTQLIDFQIDDIQSTDVEMVNTENDEDLNVVAVGLERDRHFGAINAVQTLQNNDVVVAEGSGSKLLVTLSVPVTPSIPRQNRKRRAETDDGLCLPTPRSVYSTDVFINSIPTYTFSYTNVYLTDPQDVSILETEAKKLNVTVSNVITEKMPVGQNRYIQHFKVQNRSEKRTMNKECNKLEWKQEWPLSEIIKDTATPFFAHHITLDLPLRFIMYTAKYPTKESYNTVLGNSQCKTHVKSYLADESFINEIEMNLTEKGKKDFHDIIRTTINHFARDAATAARLANRFLQSK >CRE11313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:145895:146785:1 gene:WBGene00086430 transcript:CRE11313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11313 MSTESLDQLSDLSDISDYSYQSDSDEDEMVQVVRRQKKNQESGIDAEDVFCIILILIISMLLVTSGFTVFRMVFLRKPIQNTENALTITNTTNTNGTFNPTVYMKSLGEKRMMRTIEILEDQEKVTRAQRVVESKEWSKLADVPEYYWDKFMPDITRFEGVDAYLHMTKLNGTLVEEALYFHPIKFVKINEEGTINTSWALLNDGIFDLENVGSCDPKTECYKGTYKIEKGDLVYQHTFTMDGGQKMIVKTVYYVPAETFISFEWTHRVESSNFFAVLCCVLLIFFSFLLFLLFFC >CRE11291 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:14127:15995:1 gene:WBGene00086431 transcript:CRE11291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11291 MILRNVSKSLRNLDDQKEDFKCESIDIRCYKDYIRGRFNYEDVIYAATSWRMPIDYENWANGHSKVIRSADYKKIACDQLKYVLTKRKLRLNRLCIGTLSNVTEFSQFKCFESLFSSIGRKDVHAVEESDAPYRTINDDLISIRRQSSDTMDNAFVNSPSADMSTPFDPSSMTPNRTRTVASYLLSQLLARNAPTSPPDKTTHGSGSLAIAGTERRKASSSEMRRKRPRMEESGSEGNTEVSTNPFDMSRWSCMQKMLDPISFMMVNIELRDEDDTSLLESEARRISTRSRELYTTVRDKLDTQETLRKFLSCPLFVNDFKQHLNHIGEA >CRE11342 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:69200:69803:-1 gene:WBGene00086433 transcript:CRE11342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11342 MNKHTDCVDSQGGTVNYTINNITNIIVTPHTFAPPPFRQPQENPPTYNTHQNEGPSRSSGNEPNDYDDAPSTTTNASNVSEGHPDDENEVPPPRANQQDIIGMPAPIAISYLDGG >CRE11310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:140844:141629:1 gene:WBGene00086434 transcript:CRE11310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11310 MSTESLDQLSDLSLISDCSYHSDSDQDQVEPVFQEERKPVVRRQKNQENGIDLVEVGGIILISVILTLSVAYLNRPIHNQTTESAPTVTNTTNTTDTFNPTEYMKTLGERRMMKTSEILGDQEKVARAQRVVESKEWSKLADVPEYYWDKFMPDITRFEGVDAYLHKTKQNGTQVEEALYFHPIKFVKINMRGTIDTTWPSLNHGIFDMVNVDSCDPKTECLKSTYQIEKGDLVFEHTFTMDGGQKMFVKTVYYIPAETFI >CRE11338 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:56605:57212:-1 gene:WBGene00086435 transcript:CRE11338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11338 MNQYTDCVDSQGGTMNFTVNNICNVIVSPGPSRSSGNEPNDYGDAPSTTTNASNVSEGNPDDENEVPPPRANQQDIIGMPAPIAISYLDGG >CRE11306 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:128574:129425:1 gene:WBGene00086436 transcript:CRE11306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11306 MSTESLDQLSDLSLISDCSYHSDSDQDQMEPVFQEERKPVLRRQKNQNNSHHSDSDQDRLEEAAFQQKLLECERDAFVQITIILLVMLSVAVFFLLRNNPNQTTESAPTVTNTTNTTDTFNPTEYMKTFGERRMMKTSEILGDQEKLAKAQRAVESKRWSKLEDVPEYYWDKFVPDITRFEGVDVYLHKTKLNGTRVEEALYFHPIEFEKVNENGRIRISWPSLNEGIFYVHNVGGCDPKTECLQGIYNIEKGNLVREHTFIMEGGQTMFVKTVYYVPAETFI >CRE11325 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:1422:1484:-1 gene:WBGene00086437 transcript:CRE11325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11325 MREKRKRKRMKIHIFSIISE >CRE11333 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:35578:35685:-1 gene:WBGene00086438 transcript:CRE11333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11333 MEEPVANEDATISAPETAPETPETTPTIDFQCSST >CRE11368 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:247835:249027:-1 gene:WBGene00086439 transcript:CRE11368 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11368 MSQNKKLTKKEMKTLKNALGKQGITMEEHEKAQKSTKPCEILQIDCFTIGDKDKLLLSDGWYIGYAVEYNGVGMEAGGGLRPPPDEPEESDSSDESEEGVDPEDSDEDEEDTENETSGFDSNPPSDAEGAEGAEAARSSKHLRVAIRCLTALNPMLRGSLALLNSAKAPHCRLFALLTPLYSTSPSFRTTERFVTEKSNRYELHRGYQLIGDDDIPCVMTEDSEEEEEDRSSEPDYTAHSGLWCNFVIAPIELQDIETRRKAKIAEEKSSTIPLDKDKIAQISAAMANIKLPTPPGWEGISDSKILEFVRDKM >CRE11309 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:137192:138079:1 gene:WBGene00086441 transcript:CRE11309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11309 MSTESLDQLSDLSDISDYSYHSDSDQGEMVEVVRRQKRNQKNGIDAEDVFFIISMLIIVMLLVTGIFICFRAAFLRKPIKKTENAPIIANMTENDIFNPMEYMKSFGEKRMMRTIEILEDQEKVARAQRVVESKRWSELADVPEYYWDKFMPDITRFEGVDAYLHMTKLNGTLVEEALYFHPIKFVKINEEGSINTSWALLNDGIFAMENVGSCDLKTECYKGTYKIEKGDLVIENTFTMEGGQKMIVKTVYYVPAETFISFEWTHRVESSNFFAVLCCVQLIFFSFLLFLLFFC >CRE11347 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:153139:154778:-1 gene:WBGene00086442 transcript:CRE11347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11347 MTTDYYKYPIRVNVELKPANYQGLYHTTFQIPSVAGFHDSILKVYLNRHEEIFVASATIKLGGSKEALVRTRCCVEIVNRDEKDSAFYEKECVFELGKEYFLMENFSLLNILDEEEGWINNGELKIEFGIYAYAIYEQNIWFFNFHDNLFDAKDINQTILLAKRDSNEKLECNKQLLAIHSSTFGKCRPYTNSRVKLLPDIDMDILYICVQMAHGVQIRCDASTLNYVIQMGQYLKLRSVQIYCERQLIHEYSHLEVTSKKILFAFRHDLYRYLNLNLQKLESFKDFQEVLKKADIQMMSTESMKLCIKSFVGNEKWE >CRE11293 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:24672:25530:1 gene:WBGene00086443 transcript:CRE11293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11293 MTSSSFTSGAPPRPPTNNTTGYHTGEPSTFEDVPAAGESDAPDPPINNDLISIRRQSSDTMDNAFVNPPSADMSTPFDPTSMTPRKMRQPRRSSEMRRKRPRIEDSGGDGNTEVTTNESVWYESLELYEKNAGPDQLYDGQHKVHKDTSFLEKDVSLHSHSKRTKYNFQFLQWNRHSKHSHFPDAVRRRPVLPDILRLGRECGQNQKCNGLRELDGTVENK >CRE11335 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:44045:47814:-1 gene:WBGene00086444 transcript:CRE11335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11335 MNQYTFFVNVHGEHVNYTINNITNIIVTPNTFAPPPFRQPQENPPIYNNHQNERTSRSSGNEPNDYGDAPSTTANATTVPEGHPYDENEVPPPRANLSNQQDIIGMRFPRKIGRPPITNVIIPSNNSSSVGTLAEVSGMEGRDSEQAREPDEPARTAQTLEAETSVSDTQTADTVSTDAERSVAETAVADTAVAAEAAEIQTSADETTDILLIDAAAGIANTQTAAAETSDTQLIDFQIDDIQLTDVEMVNAEMDEDLDVVAVGLERDRHFGAINAVQTLKNNDVVVAEGSGSKLLATRSVPVTPSIPRQNRKRRAETDDGLCPPTPRRNTTASRFLLIQYHPSLLRSSMSPLPIRKMYQFLKQKPKNSTVSNVMTETMPVGQNRDIQHFRVQNRSEKKDEQRVQQVRVEGAVGIIKDTATPFFVRNIRFEVPLRFIIYTTKYPTKESYNKVLGNSQCKNHVKSSLADESFFNEIEKYLNEKGKKDFHDIIRTTIDHFERDTATAVGLGNRFLQSK >CRE11324 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:940:1002:-1 gene:WBGene00086445 transcript:CRE11324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11324 MREKRKRKRMTLHIFSIISE >CRE11294 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:28839:29532:1 gene:WBGene00086446 transcript:CRE11294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11294 MLNQLIHQWLIRQWEVSVPDFLMKANILVMHHCYLWKFITKSFEFPLKLILVFFQKDRHSGAINLSQISQVIDNLVAERSGSTLPVSHSFPVTPSIPRQNRKRRAETDEELCSPTPGSADSDMVFINSLLMSFTFTFMNFFIRRILEAETKKFNVTVSNVMTKKMPVGRNRYIQHFAVKDRREKRRMSQECNKLEWKQ >CRE11307 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:130628:131344:1 gene:WBGene00086447 transcript:CRE11307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11307 MSIRNNHSDSDQDQMTVLVRRQKELELERDAFVLITMILLAICLVTVPFLLRNNPNQTTESAPTITNTTNTTDTFNPTEYMKTFGERRMMKTSEILGDQEKVAKAKRVTESKGWSKLEDVPEYYWDKFVPDITRFEGVDAYLHKTKLNGTRVEEALYFNPIKFVKVNENGRIKTSWPSLNEDIFHVHNVGGCDPLTGCLKSIYNIEKGDLVYEQTYSMEGGQTMFVKTVYYVPAETFI >CRE11312 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:144198:144989:1 gene:WBGene00086448 transcript:CRE11312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11312 MSTESLDQLSDLSDIFSHLSDSDQDRLKKVVRRQKQLERERDAFILITMILLGMYLVTVPFLMRNVFNQTTESAPTTNNIINTNGVFNPTEYMRSFGERQMMRTSEVFQDQEKMARAQRVVESKRWSKLEDVPEYYWDKFMPDITLFEGVNVYLHKAKLNGTRVEEALYFHPIKFWKTSEDGFFTSFFKENNIWSMIETTWPSLNHGIFDMANVGSCDPKTECLKVVCKIEMGDLVFEHTFTMEGGQKMIVKTVYYVPADTFI >CRE11343 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:79676:81122:-1 gene:WBGene00086449 transcript:CRE11343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11343 MFHSRRDELAGTEEADAPIGNAETGGAQFGAVQSTDARIAEISMNDAFLTDVEMDDTPLAETLITDDETAAAQTEEVQTADGETAHAPIIDGQFIDVQMDNTPITDSPPVDEQLADTPMGDTSSTSVHLAQNLDTMAPTFERDHLAGPTNSPQTSQNNDVVVAEGSGSTLLATRSVPVTPSIPRQNRKRRAETDDGLCPPTPGSVDSTDVFINSIPTYTFSYTNVYLTDPQDVSILESEARKLNVTISNVMTEKMPVGQNRYIQHFQVQNRSEKRRMSKECNKLEWKEQWALSEIIKDTATPFFVHYVRLEIPLRFIIHTIKYPTNESHIGVSIANLNARCMSKSV >CRE11344 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:84491:85082:-1 gene:WBGene00086450 transcript:CRE11344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11344 MYQHTFHVDSQGGTVNYTINNVCNIIVAPNTFAPPPFRQPQEDPPTYNTHQNEGATRSREYGQNDNGDAPNRTTNATTLPEGHSDKLPPPRENRSPPNVIILPYLVDNEQQAVVRI >CRE11296 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:32262:32874:1 gene:WBGene00086452 transcript:CRE11296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11296 MNQHAYCVDSQGGAINFTVNNICNVIVAPGATRSREYGQNDNGDAPNRTTNASNVPEGHPDDENELPHRTNLSNPPDIIGMPAPIALSYLDGG >CRE11367 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:246646:247688:-1 gene:WBGene00086453 transcript:CRE11367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11367 description:General transcription factor IIF subunit 2 [Source:UniProtKB/TrEMBL;Acc:E3N0K1] MTSTTRKRYDNDVDCELAKRSIWLVKVPRYLSELWEANEGHVVGKLQIGAQVEFLTAKGLIQPTPKDEGEGTSAAASATNAEASEIPTQYSFILHDVKSQTMSVLSEDKQALGSEATVKTGRLAIEGRIIKKAECRPPATSKYMKMKLAHIVKNTQPKKTVKMIDKAAVKFKPVSVHAEDMIKSKQKKDGAKTYRADRDVLRQALFKAFEKHSFYRLQDLQQLLQQPVSYVKEVLQEIAVYNTAPPHKSLWCLKPEYCNYKVNAQSEQ >CRE11308 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:132503:133294:1 gene:WBGene00086454 transcript:CRE11308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11308 MSTESLDKLSDHSDIRSYHSDSDQDQRKEVVRLQKQLEREKDAFVLITIILLVMLSVAVFFLIRNNPNQTTESAPTITNTTNTTDTFIPTEYMKSLGERRMMRTSEILGDHEKVAKAQRVAESKRWSRLGDVPEYYWDKFMPDITRFEGVDAYLHKTKQNGTRVEEALYFHPIKLWKISKDGFINTFFEMISMWSKIETTWPSLNHGIFGMVNVGSCDPKTECLKAVGKIEKGDLDIEFTFTMEGGKKMIVKIVYYIPSETFI >CRE11362 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:237075:237533:-1 gene:WBGene00086455 transcript:CRE11362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11362 MSSKTVLPLLINLSKHAHPSPTTFVDSLSCLATAFSQPKPLFQSNELLIASSAVSKSIHLLHSAIKQLDIGMNIDRRQDAQKDLSGLFYISQELENEAGLRELINSRHVKSIIGFIENTEGVEPENVEWEEVDLTGIPKSHYWWFQSIESNE >CRE11370 pep:known supercontig:C_remanei-15.0.1:Crem_Contig98:253750:256255:-1 gene:WBGene00086456 transcript:CRE11370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE11370 MKQRNFELLCAAMLGFGQLCIMVGYDSESFILESVIHSIHERNPEKVSQYAGYYGQAVIFAAYMITCLFAPSILHISTSKSLLIFSAVAYTMFPFGFLFFNIYFYFFSAVLLGIGISFYFSGMNSYLSQHSTRETIESNVSISWSVGNCCLMISACILAGITSFTVPTIPTVTQNSNSTLQDPHKRSYSDNEIKMLSAAFSGISALAIVTFALMPSKSVENSLESAEKKHGFMDSLKLTCSTIISPKLMQLLPLTILGGFNVSFWLAIFPTAMHFTKSNASLIYIPAIYSLGAGLGEVLSKSRESRQHSTRFFSVGILISALSKRIKGFGLKPTMLIGALTVCIYCGLVHISTPFEAPMRPTSQDSIWIQQGYPLVFIISFFCGISDCCINGVRSVICALVLPQRRAQSYAVTRMYHAAACMICFFFSPIVPLYIYTFVLPVLSICSTFLLFRVVDSTVIMERKMTEQMNIVKIEVFKTNVQ >CRE13188 pep:known supercontig:C_remanei-15.0.1:Crem_Contig980:9909:10166:-1 gene:WBGene00086457 transcript:CRE13188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13188 MSLLYKIFVFLGLVAIVSAQLGLGLGAGPVGANANLGGYGNQGYGGQGVYGNGGVGVQPGGVVGGLLG >CRE13186 pep:known supercontig:C_remanei-15.0.1:Crem_Contig980:6068:6238:-1 gene:WBGene00086458 transcript:CRE13186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13186 MIDMVSMIRYWMKHPKEIGTKYTFVEFDSKLVYSELIHLKREFEEIRHDLEGTTVK >CRE13189 pep:known supercontig:C_remanei-15.0.1:Crem_Contig980:11874:12143:-1 gene:WBGene00086459 transcript:CRE13189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13189 MALLHKIFVFLGLVAFVSAQLGLGLGAGPIGANANVGGYGNGYGNQGYGGQGVYGNAGMGVQPGGVVGGLLG >CRE13185 pep:known supercontig:C_remanei-15.0.1:Crem_Contig980:8114:8370:1 gene:WBGene00086460 transcript:CRE13185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13185 MSLLHKIFVFLGLVAIVSAQLGLGLGAGPVGANANLGGYGNQGYGGQGVYGNGGVGVQPGGVVGGLLG >CRE13893 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9821:278:1081:1 gene:WBGene00086461 transcript:CRE13893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13893 MELIIYLGIGAIAGFAAGLFGVGGGLIIVPILYVVFTKMGYDPSVIMHMALGTSLATIIVTSISSVTAHHKKGAVLWNVFKNLAPGLVIGSFIGAGIADILSGQHLQLIIGAFAIWVAFKMFKGANVKVDESKQLPTAPMQMLAGGGIGVASAIFGIGGGSLTVPYLNKNGVVMQKAVATSAACGLPIAIAGALGFMFFGAQEPTEIKNSIGYVHIYAFIGISVMSFITAKFGAKVAHALSPAMLKKCFACLLTVVGLYFIYQGITK >CRE13894 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9821:1242:1937:1 gene:WBGene00086462 transcript:CRE13894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE13894 MHSEEVDSLSEQIAKHISEQIISGELVEGERIQELRIAKELDVSRGSVREALLLLERTHLIEIYPRRGAIVSEMSAQQVRALFDTSALLLGQIVQRMSETWRNHEAEAIQQMMNHLVEQVKQGNTEKFYDGIFQFLSGQQDMVGNPYLMKFYKELLPSLRRSYFLTLNTSRRELQEALELLKLVIDAILIRKSQQATLFMEDFCRHLRNLVLESLTRMKQIELAWARRSRR >CRE20123 pep:known supercontig:C_remanei-15.0.1:Crem_Contig988:8678:13110:-1 gene:WBGene00086466 transcript:CRE20123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE20123 TAAINSRPLTYNEDDVNSTSIIRPEDFVYQRIQTTLPLSSLQDQTEEYRPSREAQGALTKNETIEALQSSIEATESVWKVWREKYLAELREAHRIQIDKKRGHPAIPKKGQVVIICDPDHPRGYWRLGKIVEIIESGDGAIREVHLLTKPAKSKPHVIKRPPNLIVPLELDCVENSQEHGVPVQENSGRGRENEVEIEESSSTSEPPRYNLRRRKLVNYQDPNEDLEVGIQGRLPSIHVNFIAMMLSIFLCFLSGVGAAETQNEIECTNSGLRLTGQYEAFEACVKNFCTNRPKLQWNPRGPTEVWIPLALKTRPHRATVKIFDGLKLHVLEKICPAVSTCEAIECTICLQNIFNPECSPMWAWIGLAGILYCIGMALYCCFQVPITLGGPVRILWRIWKLTMLGFIILARMCFKKNEVEPKPAKERSLIYTKEVEIKVWSTKRCPHMGSCKEEKCAKINGSSMIPELEHTHGYVGTTGCVESCGGPGCDCFFPSLACLFYRIYAVPQSTDLYEIFKCIQWERSLVIQVKTTSLNSKRNEVVAQKVELFLNQPTRVGNSKMAASTISTSPSPLLNTWFIRNENETAIWPTMELPSYQCYSEKKALVNECQLRTNCQCNPAESEMRCECAERNLTTHFNTIEWRLPVQNGHWTFEDEGKSVLAHSTEEVSTEIVIRNEGSTNIEIWKEQDKCDVEASHIQGCYACAEGGHTKVACRAEKITTVGTIKCEDHIMTVVCSPIGHVQELTFFSERAQFYKKCEVSCGNESREFHITGILKYTGSIWTSAYRLIEGNSSIYNEINLPDLTHLYDGFMTFIKTETMSSPRSRAVGDEILATGMKKMTEIANKARVIEQAKNLPRRGRDAMIQRKDMRNEGETKKDILLNVLKIQWDIDTISEKYRDLEKQYKNEIEKLRVLGRLEIYSMDYIEYQLSQSEVNWQMNLRNFQEERDERASDRTRAKREIEELRREVQEEEDQEKEEKLTNNQRLELFGKILLDRIIKIEQAIVAGACSKSTPNEDEIDNMDELNPEGKLEHSDEMKEMIEREIKRKRERSLSSSESERKRRSSSSSYKQAQDVEEIEEVEDDKEAEDDEEDEEDQEDEDEQEDEEEMEDEEDQEVQEAQNERHRDRHQENHPDRGRHHRSRSREQARRHRSRSIEERRRSRERHQNRPIIVRQLFPPDTNRHNFNTLCVFCRCRHHSNECGTYPDVAVRKAMIDAQTRCRVCLNKHPYRRCHKEGHTCSFCLKRGERNTSHHAALCEWPINRM >CRE25060 pep:known supercontig:C_remanei-15.0.1:Crem_Contig9886:1089:2038:1 gene:WBGene00086467 transcript:CRE25060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE25060 MVVDSAVIATWTSVLVSVSCIAFCAFFATSVCDDINQFLDDSIISFKEGQGKTDDAWNEVVYLNDKRFKSDLVSNSATIRQKRSDLPAHCNCLTQNQCPPGPPGPPGPAGMDGKPGEPGVAGPHGMSGSELVKIMRNDDTCIKCPAGAPGPRGEPGAVGEPGPQGRDGIPGRSGNVGRPGPPGPAGDQGAPGQKGSPGTHGRQGMPGVRYLLGEPGPAGFPGPRGAPGKQGPPGVAEEDQMGCQDLHDHQENQECLDQWEHPANQEKLEFQGRCCILLCQERAL >CRE10519 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:211379:212667:-1 gene:WBGene00086468 transcript:CRE10519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10519 MTTAFPLLRLPYLVLMPVLEQMEFLDRIALSILSKRTRKYVKLLKMKSKNVKLKWNSNRIEMIVFCDFTIVLEANMYIDEYQQSFFMNRYKPVYSWRDSSLLPADYVLSIMDVVHCKSIDKFIIVRISEHDCIPIVAKLPKIDQVVVEHIPSDSYYSYEAIFHKEKKLLKVLRTVFPVSSAVTISSRFQNHNHLREILKGNFDAVILNHFNKLITLNDLWITNAKILELYYGTINVRDLNRYFKLWMKKICNDRLEYLKMGIYDKKTVDLLLDGLNAVPVPIETQREFRVLGNVKQLCSHERIIFEFDITRVDGRTATIRISNYGNVIFYVWPESTNLKPNLVPNQTSLISPFSNSCIEHLERLFLSFLLVCESFFLFYSTNFNWNPDCDVLSICLSLLIVFLVCCFLNLLL >CRE10524 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:227804:228915:-1 gene:WBGene00086469 transcript:CRE10524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10524 MTTAFPLLRLPYLVLMLILEQLDFMERIALSILSKRARMFLKLLKMKCNHINISLEGERIRMEVSFDNSIELTLNMYANHYTRIRSNYENDYHDYWSCTRPHVDYVLPIMDVTHCKSIKKLTFPKVAEYNPKYDPHIPLLMKLPKIDELIVEHTSLYYFSPDSPLLKVLRIIFPVISAVTISDQVRKPKYTREVFKGNFDVVSLRHPWNIKGFSLNDWRIANAKTLKLDGSSFKVENVNRYFKLWMKKKCNPRLENLIVRTRENVTKDLLLKGLNAVQVPINADRSLRVLGNIKQYHSDEEILFEFDITRADGRRATISISNYGLVFFYVWPFSQNQSSFIRAFSFISTFYNS >CRE10507 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:162245:163542:-1 gene:WBGene00086470 transcript:CRE10507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10507 MATTFPLLRLPYLVLMPVLEQMEFMDRIALSILSKRARMYVKLLKMKCKYISLKLKDYKIEMEVFFDISKVLRVDMYIDVLQRSNFMNRSRLYSWCDGSLLPVDYVLSIMDVMNCKSINHFVVSEISEHDCIPIVAKLPKIDEVIVEHDWSSNSLSYEALFQKEKRLLKVLRTVLTVSSAVTISYGFQNYEHLREILKGNFDAVILKSSDNWITLNDLWITNAKTLDIDTQTLNMRDLNRYFKLWMKKICNDRMEYLRVRIYDKISVDHLLDGLNAVPVPIETKRAFRVLGNIKQVPWYEKISAEFDITRADGKTATIRLSEYDYIQFYVWSEATNNNTNLVSNQSSRISTFYNSCVEHLERLFLCFVFSCETLFFFFSTSFNWKDNGAFFIHLSFWIVLLLCCFLYIALTNFLK >CRE10539 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:254920:255946:-1 gene:WBGene00086471 transcript:CRE10539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10539 MTTPFPLLRLPRLALIPIFQQMEPIDVIAFSLLSKKANCVSKIHCKLSAGSINITVCSNYPRIKVALRNDTSAGLYLYTQKVPDVANMMIQNETVSCEIESVTVAKLVERIVDVTSCKSLELVVLRGPLQLKVCDTLALLTKLWRLAILPGCSDSFAKKALEIVSTVTTEISLFRIPFKTREEFQTFLKSNLNYLNINSDFSKFTLDDLLVTNALKVELRQVSFWVTDITQFLTNWFQNKHNSRLEHLHLYVKTVVHATFLPGVLKAVSFSRDRERTFFYSKPLDTPSKTFRGGYDIRRSDGKKATITFTYISGWTDIDLYFWP >CRE10485 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:32179:33200:-1 gene:WBGene00086472 transcript:CRE10485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10485 MTTPFPLLRLPRLALIPVFTQMESIDVIAFSLLSKRTYNLSKHLCKTISSHFINLEMDNYCVCISILMADGSHIALFFYPEISNTVVVVYRDNNVQWKNVGLSTGQCIQRVFDVTKCRSLRSVTLDGTPNCDVLSFLNAVSYLQITNNCTQTSAIKALQVLSPVTSWITLFKLPFSNREEFQRFWLGDVKCLRIHDDDLSSFQFKIDDLLESNAVKLQLLGVKMSLRDLNRFFSCWLDNTSNHRLKHLSVKSLEDFNEDVLLDGLGATRFTENRTREFRSTNLFPEFREFTGGFDVRRIDGKLAAVTFGNVFEEIFINFDVWP >CRE10435 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:45295:45504:1 gene:WBGene00086473 transcript:CRE10435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10435 MASRRIPKRRQCTPKRVQTINQPPRYRFQGSQVPKSAPGTCDEGIHLLTCNSHWARIDRGNNQQEDVKE >CRE10451 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:129173:129787:1 gene:WBGene00086474 transcript:CRE10451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10451 MEPLGIIAFSLLSKHAMRLSMFFRLKSQAIRLAVGTNRINMMVCLTCGLELVLDYQIGDGRVIWRIEQKKIVWPKVRGLSMMECISRIIRVIHSNYIDYLSVSELPRYDVFNLLGSLPTIREVSVSSSMPATAVVQVLRVVLLKTSELNLYPTDQLTNMEKFQEILIANLDVINIGRDFGGRFSFRMIF >CRE10520 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:213804:214658:-1 gene:WBGene00086475 transcript:CRE10520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10520 MFLKLLKMKCNHINISLEGKRIRMEVSFDNSKELTLNMYAKQYTRIRSNYENYYHDYWSCTRPHVDYVLPIMDVTHCKSIKKLTFPKVAEYNPKYDPHIPLLMKLPKIDELIVEHTTSYYFSPDSPLLKVLRIIFPVTSAVTISDQVRKPKYLREVFKGNFDVVSLRHPWNIKGFSLNDWRIANAKTLKLDGSSFKVENVNRYFKLWMKKKCNPRLENLIVRTRENVTKDLLLKGLNAVQVPINADRSLRVSFLYIKDNWALSEALSAAVLEREEKLSQRAKPN >CRE10438 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:47545:48627:1 gene:WBGene00086476 transcript:CRE10438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10438 MEGERPKPVSWEDELDKLSMEFSDRIVQAEMRMEGEVEELKKHAEKLEDETKKAAESETIREAIETAEDTSQRFNKTEERIRSSFTENNDRLRSLLNSMKERKERAERELETCASEMSKASELAERENARKKKRDEDRQQAVLARKLKEEKKAMNEEAERQRQGEARARKEEKKKQEEEEAKKLMIAKEEDQNRKKTMMKEVGPKRFETRTFRQEKSLGPARRPLPSSQPLQTMQQLFFQPNFPPSFEQAPPFMNRIQQQPQNMPFVYMQPNTNPMSTTWRTVAHTGWTTASPTIAERIQFPSQAASRQRSPRKRENPMTLSRDHGTPPIFVKRQKKDPEEMKVIVEMTPEKRGRNGGER >CRE10501 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:100841:101834:-1 gene:WBGene00086477 transcript:CRE10501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10501 MELIDVIALSLLSNRARILSKTSCGLSVTSINIAAINHSLNLDIVLSDDKKLQILLCLIPENYKEFVVVSVDNKTVIWRTLGLSTTECVHRILDVTNCESIQELKFYETDSFDELPILATLPHIEQIYISRDCNEVFVHKMLEMLSKVTSNIDMCQDWFRNLEQFQKVLMLNMNSITIYAMNLRDPTRVRLSLDDLLISNAVHLHLYDVMISVKTLNRFFKLWMRKKSNPRLEHLKFMTMENMSPDVLLKGLNAIEMPQSTTRTFRVSDHANSRCREKVVTGGLDVMRSDGTRATVAIEAKAGTTILDFYVWM >CRE10508 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:165577:166873:-1 gene:WBGene00086478 transcript:CRE10508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10508 MRTAFPLLRLPYLVLMPVLEQMEITERISLSILSKRVRKFVKLLKMKWKYVNLRLDDNRIEMKVFSDNSEEFAIVKHIFNDQFNYLFYQYQGYFTGCTGSPFPVGYVLSIMDVMHCKSINQFIVAEHSELDSIPIIAKLPKIDEVVVENRWSSNVLFDKTLFQKEKRLLKVLRTVLPVSSAVTISCCFQNRNHLREILKGNFDTVILKYFNKLITLNDLWITNTKVLELHKVTLNIRDLNRYFKLWMKKLCNDRLEYLEVRIYGYPSMDLLLNGLDAVPVPIETKREFRVLGNVKRFVPEGLYEKIILEFDITRVDERTATIRISNYETVCFYVWPESTNNTRNIEPNQTSFISTIYNSCVELFERLFLCFILFCESFFIFFSTSFNWNLDYDVFFICLSFLIVLLPYCFINLLL >CRE10421 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:327:1115:1 gene:WBGene00086479 transcript:CRE10421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10421 MDVMHCKSINQFIVAEISEHDCIPIVAKLSKIDEVVVEHDWSFNVLTDKALFQKEKRLLKVLRTVLPVSSAVTISYRFQNRNHLREILKGNFDAVILKHFDKLITLNDLWITNTKVLELHKVTLNIRDLNRYFKLWTKKICNDRLEYLEVRTYSNTSMDLLLNGLNAVPVPIETEREFRVLGNVKELRWDEEITGEFDITRTDGRRATIRFGKCHVDNYIHFFVWPESTNHTTNLEQNEFSLIRMFSTIYNSCVELFERMFL >CRE10490 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:43102:43635:-1 gene:WBGene00086480 transcript:CRE10490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10490 MANCPNSFAKKALEILTPVTTETVMFKIPFESQEELEIFMKSNKKYLSIFIEGFSELKFKMDAFLVSNSLRLLLREKSLSARSIKEFLSNWLQNEHHSPLEHLTMIIDESVDRLELLEGLGAAPFSEERTFHYSKELEILPNTFSGGYDIRRINGKKATITFEDGYQGTRFDFYVWL >CRE10433 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:35174:35623:1 gene:WBGene00086481 transcript:CRE10433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10433 MFKIPFKDQKEMEMFMKSSTKSLYILADRFSKFKYSIHPFLVSNSLRLKLKQWSLSARSVNQFLTKWLQNEHNSPLEHLSIDIDQSVYIPHVLEGLGAVPFLEEREFHYSKQLDIPPKTIHGGYDIREMNGKEVTIRYGFYRIDFYVWP >CRE10543 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:266990:270714:-1 gene:WBGene00086482 transcript:CRE10543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10543 MAPPLNKRKLTVSDDDDPSGFVPFSAYRQLHDHVGTLTNIISDLRNALLSSSAKSVIDKVSSLVPTIPTIPVLPEPQFLPPLPLSTVPGTASLTTTTIPIPSNTQAPSLSPTPPTPFDIAMKAATILEKSKRAVLERFPDDPNDPNQDQSQLNFLTKLAETHGLPKPSRIHRHPSGPPLQLSSLPYTPSFPSSSHSSLASCPNKPDVRISFLLSNIRGIASLSKINLLIDLFASSNTQLLALTETFLDETVPSTLFIQHGLSIHRFDRSPSIHRKKCGGGVAIIYKKSLSLSSIDINMKFHYPIHQSEIIACRISPSDSFSSPPFSPFTFCLVYRPPDTSRSQNAALISHLDQILPLNCSLLAGDFNYPSLKWDSPHTSHEFLSFVNSKDLFQHVTFPTRSSSSTANILDLVMTTKDLPVSKISKHPPLLNSDHFSVEFDLLPYPITLPPNYNIIPQSSKPRLNYKQCNVKDLNAYLASYDWDLAFSTHSSPSSKYSFFIELLSQLILRHTPLSQVHKPYRPSNLINKLRRTRHRYAVLLNSASSHASQISKLKSLLTTIKQKLKKCNFRSESLILSAPHSRVARSLIKKRVRGRSSVPPLSINNRLVSSNSEKATIFAQTFAKNFSPNNYLPHLSSLPSLHPVSPPISEIFPPWLIEKTSKNLPPRCGYTSHLANYFILKNCATSLALPLSIIFSDSLLTSEVPDSWKHATVIPIPKKGSLSSPENFRPISLTDPFARLFERVICEYIKLHFAHKFSQNQHGFLAYRSCTSSLVHSISCYKSSLSSNNSLDVIFFDFKKAFDKVNHKLLLQKLALFGIPHLFIEWFSNFLSGRTFSIKIEDFTDTAITQIPSGVPQGSVSGPLLFLIFINDLLLDLALIPSLQVSAFADDIKIYSSNPVAVQKGIDLIETWASSNSLPLAHTKTSLLRLGSKNISFPYFIAGQPIETSKSVRDLGLITDSTLKFKSHINKTIASALLRTKQLLKSFKSTSPQFYIFLFNCYVLPIIEYCSVVYSPPPASKLSLSLETPLRFFTRKIFQRCNITYSSYSDRLAQLNLFSLRHRRLKAQLLLLYKFLSGTSYFPHLDSYIRFSSSTRRPMNLICIKPKCSDFFSHTIPIWNAITSQSSHFLSPSEFNTLISSSITRY >CRE10528 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:236075:237105:-1 gene:WBGene00086483 transcript:CRE10528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10528 MRTPFPLLCLPRLALIPVFQQMEFIDAIAFSFISKKTCNLSKILCKKISSCYIDMLIENDCLRMTIAPTNGSPLSLYFYTDVSKTVEGIYRYKIIQWKNAGLSIRQWMERLLDVTKFPSLRKVKLNGTPDYDVFSILDIVPKVSDLHISRNCTNTLAKRALQILSPVTSSITLFKLPFSNREEFQRFWLRDVECLSVSNDNLSRFQFDIDHLLASNAIKLELLEVSMSLRDLNRFFSCWLNKTSNQRLEHLSVQSLGDFDEDILLNGLNATRFTENRTREFLSTNTFSQFRLFTGGFDVRRKDGKLAAITFAKTFWTTYINFHVLP >CRE10518 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:192976:193566:-1 gene:WBGene00086484 transcript:CRE10518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10518 MCDALSSLKNISELYIHPGCCPESFAEKALKILSPVTNEITMWSIPFENREEFRTFLKFNLDYLSIYNCASEIPKFEFSMDDVLIANPLKLDLIEGPSIVEDINQFFLNWLQNTIDSRMEHFSMYVRENVDEDNLLNELDVVPFLEERTFHYSKEIDFPFEAFSSGYDIERVDGKKATITFGNSYPIRRIDFYIWP >CRE10538 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:252366:253364:-1 gene:WBGene00086485 transcript:CRE10538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10538 MTTPFPLLRLPRLALIPVFQYMELIDVIAVSLLSKKANNVSKIFHKLPFCSVNLIVETDHLDVRVEFEMWRQMGLKYYFNTENIPNLVNVMFQQRAFTRENSGLTASQWLERVLDVTNCELISQLDLKGSQQVDVLDTFATIQNIRKLYVCKECSDSLAKKALEILSPVTTQIILFKIPFETREEFQTFLKSNLNYLDIHTSTFPTFKFALEDLMVTNALKLNLNDGKLNLKEINQFFKNWMENKCDPRLEHLIVCTSEDVDEKNLLEGLKTVSLPRDRKRAFHYSKQVDKSSESFSGGYDIRSTDRKKATITLG >CRE10511 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:177276:180366:-1 gene:WBGene00086486 transcript:CRE10511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10511 MTTVFPLLRLPYLVLMPVLEQMEIMERIGLSLLSKRARIFVKLLKMNCKHIDLILTSNRIVMEVFFDNSKELRVLMYTDKCQRINFIYPDIMSISWFPGSLLPVGYAFSIMDVMHCKSINQFIVAEISEHDCIPIVAKLPKIDEIVIGHIWCSNSLSYKALFQKEKRLLEVLRTVLSVSSAVTISYRFQNRNHFREIFEGNFDAVILKYFGNQITLNDLWITNAKVLELHRVNLNVRDLNRYFKLWMKKICNDRLEYLEIRIYGRTSVDLIMDGLDAVSVPIETKREFRVLGNLKKFVPTEPYEKINWEFDITRVDGRTATIRISNYETVCFYVWPESTKNTRNIEPNQSSRISTFYNSCVHHFEQLFLYFLLASEYFFISFSTSFNWNQDYDMTTASENLNDFCFHYAFFSRIALSLLSKRARMYVKLLKMKCEHINLKWNSDRIDMIVFCDFTRVLEVNMYIDEYQRSTFKNQYEGVYSWRDSSLLPVDYVLSIMDVMDCKSINKFIIVGISEHDCLPIIAKLPKIDEVVVEHQWSDITSYEAYFQKERQLLKVLRTVLPVSSAVTITYRFQNQNHLREILNGHFDAVILKRSDNWITLNDLWITNAKILEIHTAKVDVRDLNRYFKFWMKKICNDRLEYLEVRICDKPSMDLLLDGLNAISVPIETQREFRVLGNVKRFVPGRFENDRITFEFDITRADGRTATIRISNYGNVIFYVWPESTNLVPNLVPNQTSFISTFYNSCVHHFERLFLCFIVSCESFFFFFFTNFNGRRYCDVLFICLSFLIVFLSCCFLYLLL >CRE10423 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:4098:5114:1 gene:WBGene00086487 transcript:CRE10423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10423 MTTPFPLLRLPRLALIPVFQQMEPLGIIAFSLLSKRAMRLSQFFRLKSEVVSLVLGANRIDMMVRLTCGLELGLDYQIGNGRVIWWIHQKKIVWPKLRRLSMVDCISRIIRVTHSNFIDDLSIFEVPRYDVFPILGLLPTIHKVSVSNIPDTLALGALKIVLLKTSKLDLCPTYQLRSMEKFQEILIANLDVINISRDLPFTLDDLLMTNAIKIKLKNPTLKAKDLNRFFKLWMQNRCNPRMEYLSVWTDNFFREDLLRNGLNAVQVSGGTTRTFRASKYVEQQPNCKTISGGFDIKRVDGTKGTIEIRKVFRDVQIRFYVWP >CRE10430 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:15675:16856:1 gene:WBGene00086488 transcript:CRE10430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10430 MTTAFPLLRLPCLVLMPILEQIEFIERIALSVLSKRARMFVKLLKMKCKHINLILRDNIIKMIVFLDNSEEVNVQFHIHRYQNVDLNYGYGWWPGRPSSIQYALLIMDVTNCKSIKKLIIAEASEYDILSYEASKKFLTNLPKIDEVVVEDTNSQSFSPDSGLQTLLNFILPVCSTVTKSVHVQKPEHLREICKGNFDTVTVENYWKDYEPNSKIFALGDLRMTNAKSLELPGAAFTLEDLNRFFKLWMKNKSNPRLEYLKVVQGRWIKSETMNLLLKGLNAVQIPIETKREFQVSGNIKHFIPQGWYEKFTGEFDITRGDGRQATIRMGTHGTVCFYVWPESTNDTTHLEPNQSSFTRKFSWISTVYNSCIERFK >CRE10514 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:184516:185872:-1 gene:WBGene00086489 transcript:CRE10514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10514 MTTPFPLLRLPRLALIPIFKEMEPIDVIAFSLLSKRTYNLSKSLCKKISSRYIDLEMDNYCVCMSIDLTDGSHLALYFYPEILNTVEVFYRDKSIQWKNVGLYTGQWIQRVFDVTKCRSLRGVKLNGTPNCDVFSFLNIVSYLQITNNCTQTSAIKALQVLSPVTSWITLFKLPFSNREEFQRFWLGDVKCLRIHDDDLSSFQFKIDDLLESNAVKLQLLGVKMSLRDLNRFFSCWLDNTSNHRLKHLSVKSLEDFNEDVLLDGLGATRFTENRTREFRSTNLFPEFREFTGGFDVRRIDGKLAAITFGNVFEEIFINFDVWP >CRE10540 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:256739:258871:-1 gene:WBGene00086490 transcript:CRE10540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10540 MPIFYDHIISLDTRHATKMSFCFNDQEAYGTISQFFDLAKCHYRHVQGSAGVSTGFTCYTTEYLVGDYFMSVVCKDVEAFVICRIKKLDQLSINILENVGDWKMLKRVINRICRSLEISLESRTYKLKVKQFSLSVFDIYQAVSAVNLLDREILQEVTLHLPFEDQVFTAYDFIPLIEGQRRQRLDLRIHLHEFSLEVLEEVRKVRIFNLIAETVHSFDEKTVKFLIDHADDPTEEMATLNLSDSKCPMNIFEIPSIMSSIASNLEFSQILSLRKVSRGIRQCVDYVKPDPFIHLYCISLRHSFYSVAINKTMNEEVVDWRKKSLEQEAIQIVNDFHLNTRHQKSCMDHLLIDVFQGIKYLKVEDDLVRAKFFRGMRDVLKSRASPLKVKELLFATNLQWLMMDIVPYLDAESLEEIFLMKMKNIDEECTINLDEISKTEQWIKAKRLRIEELTVRMSIQDMNILNFGWIRIIVETMSREDITYCRRNLPQSPVFQNFTIHIKNCSTENFLAALGAPYRVVDDIQYIWYFRIENTQYYLAVALEQGPLQIEGLSHFEKIHQDDTPFFKIS >CRE10522 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:219621:219990:-1 gene:WBGene00086491 transcript:CRE10522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10522 MTTPFPLLHLPYLVLMPILEQMEFMERIALSVLSKRARMYVKLLKMKCKYFNVILEEDRIVMNVFFDNSEELTVVMYINKYQLGNFISGYRMFFSWCPGSPLPVG >CRE10437 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:46598:46966:1 gene:WBGene00086492 transcript:CRE10437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10437 MRHHHNQQFIGHQEGQKGVKSDHDRKDSDATTVSEHHNSSSSADNYTRRNINHFKSSSLRQSTSCQGARKNHPRSIQDSSTASTRPSATTEAPQTPFFTLKLIYQIQIPANLFPAHSSPSSL >CRE10500 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:99016:100079:-1 gene:WBGene00086493 transcript:CRE10500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10500 MTTPLPLLRLPRLVLISVFKHMEPVEVIAVSLLSKRANNLSKILRKISPRYIYLKVNSDHLDISFRFESWNGLGQSLSFYTENAPDLADVVVRNRAFTDGNIGLSASQWLERILDVTNCESPAKISVDGTPQFDVCDAFATLTKLPSLLIKESCDESFAKKALDIFSTIAPETTLFKIPYKNREEFQTFLKTNLNYLFIYSHCFWRFSLDDLLVTNALKVQLLGVMMTARDLNLFLISWFQSKHNSRLEHLTLRIFEMFNDWSLPEILNAVPFPRAQERMFYYSKPLDTPSKTFRGGYDIERSDGKKATITFEIKFNMMYTRAVRQQLFSATRQLGN >CRE10515 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:187864:188827:-1 gene:WBGene00086494 transcript:CRE10515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10515 MEFIEVFAFSFISKKTRNLSKILCKKISFCYIDMVIVNNCLRMSIALTNGSFFALYFYPDASKTVEVFYPYKKIQWKSVGLSTKQWIERLFDVNNCSSLREVILDGTPDYDLFSFLNVVPQISNLHVSHNCTNTFVTEALQILLPVTSSITFSKLPFSNREEFQRVWMGNIDCLCIQYDNLSSVKFNIDDLLASNAVKLELSDVPMSLRDLNIFFSCWLNKTSNHRLKHLSVKSLEDINEDVLLDGLDATRSTEKRTRKFRSTNMFTQLTTFTGVFKVRRIDGKLAAITFGNHFSETLINFDVWS >CRE10527 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:234545:235503:-1 gene:WBGene00086495 transcript:CRE10527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10527 MTTPFPFLRLPRLALIPVLQHMEIIEVIAFSLISKRTHKLSKYLRKTICSRYIDLRIENYYLCMRIPLTNRPLLLLYFNACTSKTVEVFYPYQTTQWKNVELSTGQWIERLLDVTKCPSLRKVILNGTPGCDVFSVFNVVPIVSNLTLSQLPFSNREEFQRFWMGNVRRLSIHNDDLSRFQFSLNDLLASNAIKLELCEVSMSLRDLNRFFSCWLNKTSNRRLKHLSVQSHGHFDEDVLLKGLGGTRFDERRASEFPTSDTFPHFTTFTGGFDVRRIDGKLAAITFRENVTKKFINFNAGL >CRE10512 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:181386:181676:-1 gene:WBGene00086496 transcript:CRE10512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10512 MDHFVYVPFSNREEFERFWMSSVDCLRIHDNDLSSFQFNIEDLLASNAVKLELSDVPMSLRDLNRLFSCWLNRTSNHRLENLSVKSLDFMFCLIIF >CRE10531 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:242660:243807:-1 gene:WBGene00086497 transcript:CRE10531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10531 MFVFPECTSAALRSCVAVSPPTPPDIDSSFHLYPLSAAKMTTPFPLLCLPRLALIPIFQQMKLIDVIAFSFLSKRTHNLSKFLRKKTSFRYIDLAIKHNCLRMRIVFTNVSHLSLYFYKNVSTTVEVIFSYKKIQWNNIGLSTEQWVERVLDVTKCPSLRKLKLDAVPKFNVFSVFDVIPKVTVLEIWSNCCSALAKRAVEVLSPFTSSINMLTVPFSNQEEFQTFWMSNVDCLSIYNDHLSRFQFNLSYLLISNAVKLELREVVLSLKDLNRFFSYWLDKTSNHRLEHLSVKSSGHFDEEILLKGLNATRFTENRTREFLLTNTLSWLRFISGGFDVRRKDGKLAAITFRDTFWKTNIYFDVWP >CRE10529 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:237733:238756:-1 gene:WBGene00086498 transcript:CRE10529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10529 MTTPFPLLRLPRLALIPVFTQMESIDVIAFSLLSKKTYNLSKSLCKTISSHFINLEMDNYCVCISILMTDGSHLALFFYPEISNTVQVIHRDNNVQWKNVGLSTGQWIQRVFDVTKCRSLGSVTLDGTPNCDVFSFLNAVSYLQITKNCTNTSAIKALQVLSPVTSWISLFKLPFSNREEFQRFWLGDVKCLRIHEDDLSSFQFKIDDILASNAVKLQLLGIKMSLRDLNRFFSCWLDNTSNHRLEHLSVKSLEDINEDVLLEGLDAIRFTEERTREFQSTNMFPKFREFTRGFDVRRIDGKLAAITFGNTCDEIFINFDVWP >CRE10506 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:143725:144976:-1 gene:WBGene00086499 transcript:CRE10506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10506 MPVLEQMEITERISLSILSKRVRKFVKLLKMKWKYVNLRLDDNRIEMKVFSDNSEEFAIVKHILNDQFNYLFYQYQGYFTGCTGSPFPVDYVLSIMDVMHCKSINQFIVAEHSELDSIPIIAKLPKIDEVVVENRWSSNVLFDKTLFQKEKRLLKVLRTVLPVSSAVTISCCFQNRNHLREILKGNFDTVILKYFNKLITLNDLWITNTKVLELHKVTLNIRDLNRYFKLWMKKLCNDRLEYLEVRIYGYPSMDLLLNGLDAVPVPIETKREFRVLGNVKRFVPEGLYEKIILEFDITRVDGRTATIRISNYETVCFYVWPESTNNTRNIEPNQTSFISTIYNSCVELFERLFLCFILFCESIFIFFSTSFNWNLDYDVFFICLSFLIVLLPYCFINLLL >CRE10513 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:182897:183676:-1 gene:WBGene00086500 transcript:CRE10513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10513 MDINGLRMRIAVTNGSPLALYFYPDDPKTVGVFNRRRMLQWKNVGLSPKQSMERVFDITKCPSLNKLVVNGKTDYDVFSVLDVVPKVSELKIYPNCRNAFSSSITSFEPSFSNREEFQRVWMSNVDCLCIYDDDLSSVQFNLNDLLASNAVSLELSEVPMSLRDLKKFFSCWLNKTSNHRLEHLSVNTFKDINEDVLLDGLGATRFTENRTREFRSTNMFPKFTEFAGGFDLRRIDGQLAAITFGNTFWTTYINFDVRR >CRE10441 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:56910:58166:1 gene:WBGene00086501 transcript:CRE10441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10441 MADTTTGDQIKQLTEQINTLVGVVSTLAKNQAQFQNSGNTETSTTNDTKIFDSICARIPMFVYDAEEEKTFDNWYTRYEEVIIKDGASLAEDLKTRIVLSKLGQKDYALYTNRCLPKLPNEISYTDTIKNLKALFKSTTSIFRKRQEFLRTEFGGGSLEEYTGTVLRRFATSEFKKMTDEQTCAMVWIAGMRDPSYQDIRARALQVLEQKPNLTLLELESDVKRLLDIRADARAVGGSVAATSDVNAVQKFQKSKKNTPEKKDSKQPPSACYRCGGNHWVRDCEHKKVTCSYCKKPGHLEKCCRNKNRENKSPKVKTVYIGAASIDGAERIYRQVQINGHCVKMLLDTGADITLLSQNDWTALGRPKLEKPTIKVKSATHEPVKIFGSLHCKYMMNGRQESGVAFVSNTDTLLGRDWI >CRE10533 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:245934:246170:-1 gene:WBGene00086502 transcript:CRE10533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10533 MEHFSMNVRENVNEDNLLNGLDAVPFLEERTFHYSKEIDFPFETFSSGYDIKRMDGKKATITFVNSYPIRRIDFYIWP >CRE10491 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:53829:54402:-1 gene:WBGene00086503 transcript:CRE10491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cre-fbxb-17 description:CRE-FBXB-17 protein [Source:UniProtKB/TrEMBL;Acc:E3N0W3] MTPFPLLRLPRLALIPVFQHMEPSEIIAFSLLSNRAKNLVKMLWKPSVKTISFLVVSNDLSILAYLSNYDKPLRLRIKTKTVNGMIPLSKWLERVLDVLNSYSIFQINLHGSPQLEVCGGYRFSQWITKYPSLFEAAERKVRLTLGIFVEDKNEVVQSLFIDSCYGHINILGIS >CRE10544 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:280743:281213:-1 gene:WBGene00086504 transcript:CRE10544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10544 MSSDVCVLEKPLNLKINNFSQSLRKVSRGIRQCVDYVEPDPHILSYYIWLGNSLRVRIDSMMNRPIWAHYKGYLEQDAVQIEKDFDLNTRHQKSCMDELYIEMFKGIWKLLEEDHWVRSMIFIRLKHVLMSRTSPLKVRKLTLITHWQCLVMDILG >CRE10497 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:92199:93238:-1 gene:WBGene00086505 transcript:CRE10497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10497 MTTPFPLLRLPRLALIPVFKYMDPLDIISFSLLSERTNKLSKSLRKISINSISAFVRSDYPRITLALRCDMSLGLHLYTEILPGEVNVMLRNGMISWEKGSITVAKLVERILGVTSCESLEYVILRGPLQLEVCDILAQLPKLQKLVIDDSCSDNFAKKALEIILPVTTEISLYRIPFESREEFQTFLKSNLNELYIHSQFSTFTLDDFLVTNALKVELRQVLFSATDICQFLTNWFHSKHNSRLEHLSLLVNEDVNEACLLEVLNAVPFLGDRGRTSFHTKQLGFLSKSYCGGYDIERTDGKKATIRFGTFYGEKSFDFYVDRALFEM >CRE10545 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:284925:289478:-1 gene:WBGene00086506 transcript:CRE10545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10545 MDVRQDQDVEDGFDWDEEEYDPENLDNQQILDQGLLEDEQEFPVDDEIGQQNNITMKQRKGEMYPVIDKDLRTIDIPIVSGNPFGPDSDSEEEPLIDRYRGTDNYDDYVPQELDNVDMDREREVELEEDRLKQREESVRGTREEEDEGEEDENEELELDAVCYGDEHDAEPLALARKNDGVLVKNIGQRLNVSRAEHANFLFQDREEIPNRYQGESRTLGQLVVIDYGARMIEGRMNALLVHRSEFHRFAGRSQVFKFQEQMVNEKFNGVRKLGQLATLPSSVPGTVKYQRELVMTGVTLANKLGKPHLFITYTGNPKWPEIQRETKLRGVNWTDIPTFVNTVFWTRFEIFIEEELLGPKKKISAQGGKIVREGGNFGVVRWFIYSVEFQQRGMPHVHLIVCLEKPITTAAEVDDIISAEVPEMPKRNDPEYEEKLRYYNLVKDMMVHFPCENDPTAYCREGAKLHWKQCVKSFPKKMSDFTVLTDNQYPDYKRTKTNKFVLYRKGKAYLAGSEYVVSHNKPSLMKHECHINVEVITTLHTLKYIFKYLFKGPDRMLLEVCENMEKGNPDKTAMTLRGNVFAPANLPEGKLRARQRQADKMMDAAGVTIPKDKRLSMNECTAVLDMAAMTANEAAWKLASRPMHGCSHIVFKGYVHEENNELLYFKRGLSAASAKKLLEQKVAGQMAAWFNENKNPKKLKNGMMTTDLTLPEMFRFYMFSMKTQKFILRKRDLSGKIFGRIQAPQPRNLELTAVRLLAHHVCGPTSWQDLRTYKNVVYPTCLQAARARRLMNGEQEWNDLLTEIAGYESPIESRRMFASILLHCAPANPKDLWDSHWETLVSNKTSWSESQKKAHALRHINFLLQRHGMNLDQFELEGDYKKDDLPLIDPAEDFDNPDFVNLSRNEHESKGRTLYRDLNTEQKNFVDRVLEMDDETEVPRMVFVGGAGGTGKTYCYNTIYHVLKARGKQVGTVSHSGIAASLLPNGCTAHRKFSIPLEVCDRMNCSIDLISAEATALRALSAVIWDEVCMSDRRIVSAVDNLFQELHESTLPFGGVLFIMGGDWRQILPIVQGVRDQGVIEYILKKWEVWNQVEKFHLTINQRAIDDPDYARLILEIGDGSNYVHEKRQMVSIPDKLILTGTDTDLVDWVFPDVNTYKLVESSAVLTVDNRTALRINEYILEKLNGEMREFVSIDTADKDNALNVDPAIFATETPPGMPPHRLRLKVGAQIVLLRNLSVEAGLCNGTRLTIVSFGEDIIYCHRNTDPKQQMVFLHRILMSPSGKGGKSCGFRRRQFPIRLAYACTINKSQGQTLTRCGLLLHSPVFSHGQLYVAMSRVRRSEDFRLWHYKRGTPDDNYIHGGILVRNVVYREVLQLGN >CRE10458 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:146061:147094:1 gene:WBGene00086507 transcript:CRE10458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10458 MTTAFPLLRLPYLVLMPVLEQMEFMDRIALSILSKRARMFLKLLKMKCEYINLILHDNRITMAVLFDDCEKLRVDIPMIGDREVLIIGYYQNLKYGHDSNEYDYIRWWPGTLSPMDYVLLIMDVTYCKSIKKLIFPEVSEYDAGYDTTIPLLTKLSKVDEVIVEDFTSNSFFYDSRLQNVLRIVFPVTSAVTIPYHALKPEEIREIIRGNFESVTVRKYSADYMPNHDMKFSLNDLKMTNVRSLKLAGPAFTLEDLIRYFKLWEKKKSNPRLKYLQVATRKSERSEIVRIFLKELNVVLGGL >CRE10448 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:123670:124751:1 gene:WBGene00086508 transcript:CRE10448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10448 MDVMHCKSINQFIVAEISEHDCIPIVAKLSKIDEVVVEHDWSFNVLTDKALFQKEKRLLKVLSTVLPISSAVTISYRFQNRNHLREILKGNFDAVILKHFDKLITLNDLCITNTKVLELHKVTLEIRDLNRYFKLWTKKICNDRLEYMEVRTYSNTSMDLLLNGLNAVPVPIETERESFGKYESKNCLPKSVCL >CRE10444 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:79565:80147:1 gene:WBGene00086509 transcript:CRE10444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10444 MNVLPFLDGESLKSIRIQKAFKKDEEYTIDLEEISKTEQWSKAKELKTDLTVRTSIRDMNILMFERIFITLETMSQEDITYCRKNLPQSLVFKKFSLLIKNCSADDFLAALGDPYRIVNNIQYIWWFRIENTRYYLYVMFRQSRARRLVYLKVHQDDSPFF >CRE10525 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:230840:232132:-1 gene:WBGene00086510 transcript:CRE10525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10525 MTTAFPLLRLPYLVLMPVLEQMKFLDRIALSLLSKRARMYVKLLKMKNEYVNLKLNVDYILSIMDVIHCKSINRFVVSEISEHDCIPIVAKLPKIDEVVVEYDWSFNVLTDKALFQKEKRLLKVLRTVLSVSSAVTISYRFQNHNHLREILKGKFDAVILKWPDNWITLNDLWITNAKVLEIHTVKLDVRDLNRYFKLWMKNICNDRLEYLEVRIYDKTSVDLLLDGLNAVLVPIETQREFRVLGNVKRFVPGRFGTDRIAFEFDITRADGRQATIRIGTWGTVCFYVWPESTNPVPNQSSLISTFYNSCFHHFERLFLRFLLACESFFFFFSTNFNWNRDYDVHPICFYFLAVLLACWFLNSLF >CRE10498 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:93726:96025:-1 gene:WBGene00086511 transcript:CRE10498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10498 MWYNVELSLYFYKENAPDLADAMLRNRAFTHENTGLSASQCGPGPARDKYGVDGTPRFDVCDAFATLTKLPSLLIKESCGERLAKKTLEILSPVTAKVELLKIPYENREEFQTFLKTNLNYLFINTHQYSMFTLDDFLITNALKVELLRVTLRLRDLNQFLTSWFHSKHNSRLEHLKFRIFESFDETCLPEILNAFPTDQERTFRYSKQLDTHLKTFSGGYDIERADGKKATITFENKFDMMFTDFYIWP >CRE10492 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:55039:56079:-1 gene:WBGene00086512 transcript:CRE10492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10492 MTTPFPFLRLPRLALIPVFQEMDSIDVIAVSLLSKKANNVLKIFRKLSSCSVHLTVESDHLDVRVEFGMWRKMGLKYYFNTENIPILANAMFQKRAFSHKNSGLTASQWLKRVLDVINCKSICQLDLRGSPQVDVCDTFGKLQNIRKLYIFKNCSNIFAKKSLEILSTVSSEITLFKMPFETREEFQTFLKSNFNYLNINTNTFPNFKFTLEDLMVTNALKLNLNDGKLNLEKINQFFKNWMENKSDTRLEHLEICTFEKVDEKNLLNGLKTVSFPRNRKRAFHYSKQLDFLSVSFSGGYDIRRADGKKATITFRDVWKMTFISFYAWP >CRE10470 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:204590:204946:1 gene:WBGene00086513 transcript:CRE10470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10470 MTTAFPLLRLPYLALMPVLEQMELKERIAFSVLSKRARMFLKLLKIKCKYINVILQDNRITMIVFFVSTFAQKLLMIQRIVNQISLHACIFSGFYFLQFIY >CRE10521 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:217323:218389:-1 gene:WBGene00086514 transcript:CRE10521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10521 MATAFPLLRLPYLVLMPVLEQMKFLDRSVKTCMISASITIGLSLLSKRARIFVKLLKMNCKHIDLILTGNRIVMEVFFDNSEELRVLMYTDKCQRINFIYRDMMSISWFPGSPLPIDYAFSIMDVMHCKSIDKFIIVKISEHDCLPIIAKLPKIDEVVVEHDWPDVISYEEYFQTEKQLLRVLRTVLPVSSAVFITYQFQNSNHLQEILKGNLDAVILKHSDNYITLNDLSITNAKILEIHTGKLDERDLNHYFKLWMKKICNDRLEYLEVRTYGKISMDLLLDGLNAVPVRIDTKKTFRVLGNVKRFVPEDLFEKMTREFDITRVDKRTATIKISNYGNIFFLRLARIY >CRE10426 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:8488:8787:1 gene:WBGene00086515 transcript:CRE10426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10426 MWMKKKCNPRLEYLQVVTRKWLSSEVMHLLLNGLNAVQVPIRTDSQLQELGNIKQLRFSNEKITSEFDITRSDGRTATIRISNYGIVYFFIWPFGQKNS >CRE10499 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:97198:97966:-1 gene:WBGene00086516 transcript:CRE10499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10499 MANSFPLLRLPRLALIPVFQQMEPIDVIAFSLLSNRARFMLKSHGLTVTSINIMTVNHSLVLDIVLGDNKRLRLFLRLIPENLSDFIGVLVDNKIVKWRNLGLSRAECIQRIMNVTNCASIQELKFCGAESFDALPILATLPHIEQIFILENCNEGFVHKMFEMLSKLISNVEIFKNQFENLEEFQKVLMLNMNSITINVMTPRDPTRLQCCASRSLWCHDQCERSQPLFQIVDKKQV >CRE10502 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:102465:103486:-1 gene:WBGene00086517 transcript:CRE10502 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10502 MTTPFPLLHLPRLALILVFQHMGQRYSMHEILAFCHVSKRARSVAKCLKLISPKYFVLKQHASSMEIILYFMRHPTVRLCYRKEKGSVTLQSEATATAWTNIGFSVGEWTERMFDVSNCEKFETVMLHKPPEFDDFFSIFSEHRRRIGKLILYPVSTDSFTKQVVETLLPITSNISFYISKDSFKDPKELRKILSQEIDSMEIHVRGSPFSLNLSDLITSNAVKLFLRGLTLTQKELNEFFKMWKRNECNPRLEYLTVLLYEDVFEDAILSGLDAVKVLNGSSSRSSNIGYSDKIAGFDIKRIDGKIETIKFGLNFVNFYVRS >CRE10454 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:135064:136224:1 gene:WBGene00086518 transcript:CRE10454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10454 MTTVFPLLRLPYLVLMPILEQMELMEKISLSILSKRARMFLKLLKMKCEHINLKLNGDRLEMTVVFNNSEELKMDMYIYRTKEIGLKYGHDYISRWPGTLTPMDYVLPIMDVTHCSSIKQFTIASVSKYDTLSFLAKLPKINEVIVEESPLPKVLKIILPVSSAVTIIFTISVYADKPKYLREIFKGNLDAVTVREYRVSIMPNRDMMLSPNDLRMTNAKMLSLPCTFFKVKDVNRYFKLWMKKKCNDRLEYLRVTTGRWLKKIKINKLLKGLNAVQIPIRTDKTFRVLGNIKQFNSEDSNEEITSEFDITRADGRQATIRISNFGTVCFYVWPESTDDTTNIEPNQSSFTRKFSRLSSFYNSFIERFK >CRE10459 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:148406:149425:1 gene:WBGene00086519 transcript:CRE10459 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10459 MTTPFPLLRLPRLALIPVFQQMEPLGIIAFSLLSKRAMRLSQFFRLKSEVVSLVLGANRIDMMVRLNCGLELGLDYQIGNGRVIWWIHQKKIVWPKLRRLSMVDCISRIIRVTHSNFIDDLSIFEVPRYDVFPILGLLPTIHKVSVSNIPDTLALGALKIVLLKTSKLDLCPTYQLRSMEKFQEILIANLDVINISRDLPFTLDDLLMTNAIKIKLKNPTLKAKDLNRFFKLWMQNRCNPRMEYLSVWTDNFFREDLLRNGLNAVQVSGGTTRTFRVSGYVKQQPIGKTISGGFDIKRVDGTKGTIEIRKMLFRDIQIRFYVWP >CRE10431 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:19543:20672:1 gene:WBGene00086521 transcript:CRE10431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10431 MTTPFPLLRLPHLVLMPILEQMDFMERIALSIYSKRARKFLKLLKMKCEHINLRLEDNRVEMKVFFDNSEELKVDMYTDRYKIDLKYGNDHIFWWSGTLSPMDYVLPIMDVTHCNSIKKLTIPKLSTHNPKYDALIPLLTKLPKIDEVIVEDTTSWSFSPESPLLKVLRIIFPVTSAVTISGHIRKPNYLRETFKGNFDAVSVSFYLNIDERFSLNDWKFTNAKTLKLAGPAFEVENLNRYFKLWMKKKCNPRLENLIVRTRESVTKDLLLKGLNAVQVPIRTDRSLGVLGCIKQYHSDEKITWEFDITRADGKIATISISNHGLVCFYVSPFRQNQSSFIRAFSSFSSFYNSCIEHFK >CRE10483 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:29843:29926:-1 gene:WBGene00086522 transcript:CRE10483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10483 MTTPFPLLRLPRLALIPVFQCLEFIEV >CRE10449 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:125523:126596:1 gene:WBGene00086523 transcript:CRE10449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10449 MTTAFPLLRLSYPVLMFYGTIVMEVWVDKQEELKLEMYTSGYVEFIYRHHISLCNTSGVPPMDYACWVMDVMHCKSIHQFRIAQLSHYNILPLLVSLPKINEVIAEETHNSSSPDPRLQKILKIVLPVSSAVTIPYHALKPEDLREIIRGNFDSVTVRNYSVDNMPNHNMKFSLNDLKMTNVKSLELAGPSFKLEDLNLYFKLWMKKKCNTRLEYLRVWQNGSVNKDLLLDELNAVQMPIRTNRKFKVLGNVTQLGSNEKIDFEFDITRADGRTATIRIGTHGTVSFYVWSDSFNNTTSFDPN >CRE10505 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:140289:141637:-1 gene:WBGene00086524 transcript:CRE10505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10505 MTTTFPLLRLPYLVLMPVLEHMEFMDRIALSILSKRARMYVKLLKMKSKSINLILKDNKIEMIVFFDISKELRVDMYIDVLQRSNFIGYQPCVSWCDGSLLPVDYVLSIMDVTHCKSINHFVVSEISEHDCIPIAAKLPKIDEVVVEYDWSSKDLSYETLFQKERRLLRILRTVLPASSAVTISYRFHNHNHLREILKGNFDAVILKCSDNRITLNDLWITSAKTLKLHTETVNVRDLNRYFKLWTKKICNDRLEYLKVKIYGNINMNLLLEGLNAVPVPIETKREFLVLGNVKQVRWYDEITSEFDITRADGRQATIRLKRRVYDYIQFYVWPESTKDTTHVEPIQSSLISTFYNSCIDPFDRLFLCFLLSCQSFVISFICSFLSISFYLLSSLFIFFSTNFNWIRDYDVLFIYLVLLTVLRACCYIYLLL >CRE10536 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:249230:249948:-1 gene:WBGene00086525 transcript:CRE10536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10536 MTTPFPLLRLPRIALIPVFQQMESIDVIAFSLISKKAQNVSKIFCKFSSRNVHLTVKSDHLYICVSFEMWRQMGLKYYFNTENIPNLVNAMTQKRTFTHKNSGLTASQWVERVLDVTNCESIGQLDLKGSPQVDVCDTFATIQNIRKLYIFKECPNIFAKKSLEILSSVSSEITLFKIPFDCREEFQTFLKNNLNYLNIFTNTFQTFKFNLEDLMVTNALK >CRE10436 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:45541:46020:1 gene:WBGene00086526 transcript:CRE10436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10436 MRRRTSKQRQCDAGAHKTSFSPRDAESRVQPSTQECARHLEDEHHLLNRDSHRARIDRGSDQQEEVERRPKTMLGSVLVTRPKHYRFFNRIPAEETTRSMYTGRDHTNQIGVERFQTQTIERRNEDKLNENRPKLENSIATPDVISEAPIQTGIHQKRR >CRE10493 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:61436:62468:-1 gene:WBGene00086527 transcript:CRE10493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10493 MTTPFPLLHLPRLALIPVFQCMEEIDVISFSLLSKKARNLSKIFRKLSPISMNLLVESDHLYIKVDLRGEKSVPLYFNTESAPQLVNVMSQERRFTHENSGLSASQWVERILDVTSCESIYHLELKGSQQLDVCDTFDKLKNIWKLYIFSECSDNFAKKALEIILPVTREITLLKIPFENREEFQPFLMSNLNYLNVQTSTFQKFKYTLDDLLVTNLLKLKLREVFLSATDISQFLTNWFHSKRNSRLEHLSLCTVGVINETCLPEVLKAVSFPVNEKRTFRYSKQLDFISESFRGGYDIERTDGKKATILFLTFYGRTFIDFYVWP >CRE10429 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:13748:14904:1 gene:WBGene00086528 transcript:CRE10429 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10429 MTTAFPLLRLPHLVLMPILEQMEFMESIALSVLSKRARMFVKLLKMKCEHINLKLIGDRLEMTVVFNNRRIYVDINTDKKQPADLKYRDDYIRWWPGLPVPIHYALPIIDVTHCQSIKQLTLDRVYEYDPSPLFAKLPRIGEFIVEDNFWTRDIVQQKIKLQKLLKIVLPISSAVTISSHILNPEDLREIIRGKFESVTVRKYRIDNMPNRDMKFSLNDLKMTNVKSLELAHPVFTLEDLNRYFKLWMRKKCNPRLEYLRVWQNGSVNKDLLLDGLNAVQMPIRTDRTFRVLGNVIKLGSFEEITAQFDITRVDGKTATIRISTYGTVSFYVWPESTNDTTNHEPNQSSLTRKFSWLSIFYNSCIDRFK >CRE10489 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:41555:42561:-1 gene:WBGene00086529 transcript:CRE10489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10489 MTTPFPLLRLPRLALISVFMHMEPNEVIMFALLSKRANKLSKCLRKLSASSIDLVVENDSHHLTVLFMHREELPFNTNNFWSTETILHKNVGLSVSEWIERVQDVTNCKSLKRVDLRGSPRLDMCDALSSLNNISELYIHPGCPESFVEKALRILSPVTNEINMWSIPFENREEFRTFLKTNLDYLSIYYCASEIPKFEFSMDDVLIANPLKLQLIEGPSSVEDINQFFLNWLQNTIDSRMEHFSMNVRENVNEDNLLNGLNAVSFLEERTFHYSKEIDFPFETFSSGYDIERVDGKKATITFVNSYPIRRIDFYIWS >CRE10447 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:120412:121556:1 gene:WBGene00086530 transcript:CRE10447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10447 MATAFNLLRLPYAVLMLVLEQLEFRKRISLSILSKRVRMFVKLLEMKCDHINLKLKYGTIVMEVWVDKQEELKLEMYTSGYVEFIYRHHISLCNTSGVPPMDYACWVMDVMHCKSIHQFRIAQLSHYNILPLLVSLPKINEVIAEETHNSSSPDPRLQKILKIVLPVSSAVTIPYHALKPEDLREIIRGNFDSVTVRKYSADYMPSPDMKYSLNDLRMTNVKSLELAGPAFTLEDLNRYFKLWMEKICNPRLEYLRVWQNGSVNKDLLLDELNSVQMPIRTNRKFKVLGNVTQLGSNEKIDFEFDITRVDGRTATIRISDYGTVCFYVWPESTDDTTNREPNQSSFMSRFSYLSTFYNSFIERFK >CRE10534 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:246353:246939:-1 gene:WBGene00086531 transcript:CRE10534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10534 MTTPFPLLRLPRLALIPVFMHMEPNEVIMFALLSKRANKLSKCLRKLFASSIDLVVENYSHHLTVLFMHREELPFNTNNFWSTETISQKNVGLSVSEWIERVQDVTNCKSLKRVDLGGSSRLDMCDALSSLNNISELYIHPGCPESFVEKALRILSSVTNEINMWSIPFENREEFRTF >CRE10427 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:10268:11404:1 gene:WBGene00086532 transcript:CRE10427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10427 MTTAFPLLRLPYLVLMPILEQMEFMERIALSILSKRARMFLKLLKIKCKHINMILLDNRITMRVFFENSKEHTRISLNYYYYIAWWPGPLSPMDYVLPIMDVTHCNSIKQFIVGRVSEYDTLPLLAKLPKINEVIVEESPLQKVLKIVLPICSAVTIFYPALKPEDLREIFKRNYDAVTVREYRFDFMPYHDMKLSLNTRFSLNDWRFTNAKTLKLDGLGFKVKDLNRYFKLWMKKKCNDRLEYLRVTTGRWVKKIKINKLLKGLNAVQIPIRTDKTFRVLGNIKQFNSEDSNEEITSEFDITRADGRQATIRISDYGTVHFYVWPESTNDATIIEPNQSSFTRKFSRLSSFYNSFIERFK >CRE10482 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:28856:29803:-1 gene:WBGene00086533 transcript:CRE10482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10482 MYLFFRIAFSIISKRTNNLSKCLYQVPPCSINLQVNPFFSEIRIFPANLILHFEENASETVGLLHHFQPVKWKNAGLSTKQWIQRVLAVTKCSSLNEVLLFGKHDYDVFSVLNIVSKVCCLYIMPHCCNALAKEAVEVLSPVTSSITLFKVPFSNREEFQIFWMGNVECLSIYNDDLSSVQFSLNDLLASNAVKLELTDVPMSLRDLNRFFSCWLNRTSNHRLEHLSVKLLEDINEDVLLERLGATRFTEQRAREYQSTKTFPKFRKFTGGFFRSSNTFTEFIGGFDVRRIDGKLAAITFGNTLTKKLINFDVWP >CRE10456 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:138394:139642:1 gene:WBGene00086534 transcript:CRE10456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10456 MVLLPNLTRVLRRGLTPIAPHFPHSLIPPHLFFPLFYRDDDCISSSPSSVSCADAYLGSNGIYGKVSEYLYNFCFHYASFFRIGLSLLSERARIYVRLLKIKCNHITLKLNGDIILMTVFFDNRREEYVDMYIDKYRPVDSTTDGGPECQFQFTMPLWIKKECNPKLEYLGMETRDNVDNDLLLNGLNAVQMPIRTDRTFRVLGNVKQLGLWEKINFEFDITRVDGRTATIRISKYTKVCFYVWPKSTNDATNNEPNQSSFTRKLSRLSSFYNSCIERFK >CRE10504 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:119767:120316:-1 gene:WBGene00086535 transcript:CRE10504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10504 MRYFGKVYVYCILFPIVTALLVREIRKANTKRLNMKSSTPNNSKNTSKLVLFLTLPFFIAELPLGIIFAISPFNIFHGEAGVAGFIFLREDSEKFFSFILTASTATHMIICVLMSSQYREVAYSIIRCGYLLERKKDDKILERTLTVCNL >CRE10445 pep:known supercontig:C_remanei-15.0.1:Crem_Contig99:104223:105225:1 gene:WBGene00086536 transcript:CRE10445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE10445 MTPFPLLSLPRLAQIPVFQCMKDNEILAFCHVSKRTSSVAKCLKLMSPKYFVLKQHALSMEIILNFVRRPTVRFCYRKESKNSVTFHTGTTAWTNIGFSGEWIKRMFDVSNCDKFETVMLHKPPESDDFFSIFSDHARIENLILLSGFINSSVNQVVETLLPITSNIEFFFSKDSFKASEDLRKILIQEVDSMEIHIRGHPSYFNLSDLLTSNAVKLVLRGVTLTPKELNEFFKMWKENKCNPRLEYLTVLLYDVNFEDAILSGLDSVRVPEGSLLRYSNSGYSDNIGGFDIKRIDGKIGTIKFGLNFVNFYVWS >CRE17735 pep:known supercontig:C_remanei-15.0.1:Crem_Contig990:8005:11125:1 gene:WBGene00086537 transcript:CRE17735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17735 MKFLAIAFLLVQSVHGLTTTRVECADNVVNYFLEDKFLRDPLMKQRDDTRFPIESSQAADRNLIDSASIITTDGFISANRGNLIISKILKVGPAVVNAQQKALLLKQKTPDGIRSIRKALMPQNEPMLYDQSTTGHRELMDKLKAPEAKTSLVPTPVTHDPRLHCSFHSNDSSRIQHPVQLHHYVEHTSHEVTPIRGCIDAHSLRMAN >CRE17733 pep:known supercontig:C_remanei-15.0.1:Crem_Contig990:1214:1832:1 gene:WBGene00086538 transcript:CRE17733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17733 MKFLAIAFLLVHSVHGMTLTRVECADNVNHVRAENAEHRQWGNVNKLLYNISLEKTLSEFLNQYNGCPGPIHIGGSEYLVTLNIHEYFPLGEEFMRSEVEKGAFGMPKSTMMACALTTCLEDGSQIFSVITDAVKFSAIKGTPGSNCSSSGRLANSKGLCYLGSDQKKFVRKGVLQQVGDVIDNKLFGWG >CRE17736 pep:known supercontig:C_remanei-15.0.1:Crem_Contig990:13023:14216:1 gene:WBGene00086539 transcript:CRE17736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE17736 MLRHPNHRTSALPPSRKACSFPTEKEQPRMRAKTRSKSQPKSLVTSIVDSTKAEITRTATKAKALATAAEAVLLFLKDPTIKQSDDSDENTLRSAASFTFQMKAVVAKIEDFDSYIYNQFQKPELKDSPDRETLLRDVTNTLLGSGADGFQKKLAAQIAEVESVLMGYGQPLSSFQPSNQPQNPHVRDPRDNMENYINEPHRSQETITLVNELPCSLESSANSRRITSSQSRDLLMMFSNSGFPDRTKELQQSLTSENRGLQEENAKHLRAQYEESQSRLALEMKDLQYKEYLQAELRRVQAKEDARKAQLAQLVEQSEAQERANQRPAAVIIINSPIPPFEQSAVRTSTAPAVESFEPSAENRVAPSLAQLSALATFPQRENVPGNTDPSFNKSDQ >CRE21053 pep:known supercontig:C_remanei-15.0.1:Crem_Contig994:4692:7119:1 gene:WBGene00086540 transcript:CRE21053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE21053 MAMMTSQYSGNQASGDHNNNNLSSSESGVELKSLLSLIDNVYEMSPPTSDNQVNTDSESCYQFQSRFKMSRIPPSGEFSIPGYVTMSETLLVPVKKYPKYNFVGRILGPRGMTVKQLEKETGCKIFVRGRASSLAANPVVKSKNRQSGPNNYNPLLNPNQCAKPSLSNISKCALTEEPLHVFIECYNTPSVAEQKMIEAVEILQDLLSPPVDGKDELKRQQLVDISLINGTYRATSASNDYVRKLRSLG >CRE24886 pep:known supercontig:C_remanei-15.0.1:Crem_Contig995:11616:13392:-1 gene:WBGene00086541 transcript:CRE24886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24886 METGDNSNVQTKREKNFYIGTTKIIVPRARTTMENFMKEGLIEDWDLFEKIVEHSYENILYSNPSEHPVLFSESAWNDRGRREKLTELMFEKFQVPAYYLSKNVVLSCFAQGRTHGLMVDSGASQTSTLFSNVTVTGGNSLILGFTERLNYALATKCPPTIKLRVFAAPTPQERKYGAWIGGSILGSLVCSIQYKARDKRYIFQGTFQQMWVSKAEYDETGKVIVDKKCP >CRE24313 pep:known supercontig:C_remanei-15.0.1:Crem_Contig996:9879:10166:-1 gene:WBGene00086542 transcript:CRE24313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24313 MLFMYTGGRLFVNCKSSNFETIVVMIECPTILAFVNYSTSEQRNEFHLFVNHQKFVENCNVKCGQDVQHFVVNGTIGNIQHTGQQIRQLPGTNIF >CRE24310 pep:known supercontig:C_remanei-15.0.1:Crem_Contig996:48:353:1 gene:WBGene00086543 transcript:CRE24310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE24310 ENDYRLTIEVCRATSEDNKNYPKDYTVAFIVSYFLSSEFRAESGNIFQIISCVASMFAVLVVFLRPEYKRSDSEKKQTYLASQSIEFERKCFPPIDNQANH >CRE23800 pep:known supercontig:C_remanei-15.0.1:Crem_Contig997:567:935:1 gene:WBGene00086544 transcript:CRE23800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23800 MLSAVIHPERSQPAMPLAEQLAHQRFVQPGPLVLGSDPLKFPTRAADRDRTVSRRSKPSSRTALMGEQPNPWDQLQPQDATSRHRGAKPCRRYGLLGKISLLSPRYLLSVERQRFHKPLPDH >CRE23227 pep:known supercontig:C_remanei-15.0.1:Crem_Contig998:14801:15052:-1 gene:WBGene00086545 transcript:CRE23227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE23227 MRSQSLSNCFQYNFSFTAFFLHYLGINELELDNNVWCDDFSTLKCLASWDSIAAKKKTYRMLSLARAAYLSKDGFHSCLREAL >CRE31642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1240:7969:8452:-1 gene:WBGene00119199 transcript:CRE31642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31642 MGGSASTPPKPALSEHSKQIVEEVKQHAVVIYTKDGCGYCVKAKNELYEDGITYTEKNLNTVAKVIPNPQEYINGLMDLTRQRTVPQIFICGKFVGGYTELNALRPNLAKILETCSLDKGETLRREFASKI >CRE31643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2401:4745:5731:-1 gene:WBGene00138712 transcript:CRE31643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31643 MPTDLQQPVVTTDHPWQHNKSGESSAPPPRTLESHPGWLDTQLVNTIMNSPNQPIPSSNSPATPTTVLGHLIGKPIQPQAILPQAATPTALGSAEKPKSSKINLSDNSAFKAINSSQKQSVPKATAPPSSEKIRSASLSGNTKPDTRKATHSLYNSVVSPVSSEIPSVQDDDPSMWEEGWYREQLVATAGTSISSLAASNQELSMINRDTPVEQRNQYMKQVYQPVPTNSKSDLVPLCQQWTKKIHLNAEYIAGPLEVWIQQFSINNQPPNAVL >CRE31644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2410:31:1929:1 gene:WBGene00138722 transcript:CRE31644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31644 SVFCRVWQFLIGSAVYFLSVELIELDTKTIKYTELLLEEQGDSDEEEKEENDEDDEVIFSKPRRNLSRSPLYILLWTSLLFLIFLSFAPWAIPASALRITCTFLSAMIILTGTLCDTNPMKNRVLVYIGDISYSLYLVHWPIYVYVKHYYENQFPVYIIGILVSIILAIIISETFEKWYLKLGKQETLFMILICYISIVLIVFKRNEISSFVDNVKYGHEENLMGKVHSSYENITLKQAILLNKKWAREEYKNLVIPNCYPNKTEHGFCEFDKGNLTGDMTVFIVGNSLTPNLGSLVYKTFNNHAKVMYKYSNSYCEILTVASEKRCRKAHDTYEEEVFKKLPDVLFMLDRQDKLRRPIKGPVEKDEIFREALATLRKYESNVKKKIYMLESYTPSTNLPLSKFAQMLEEGKKVKQSLYKLDLSYLNALQRQEELVKKCSKCELIRISDILFNGNQTKNYDEETKLGYYYDGLHITPFAMNLILPLFQKASDNFS >CRE31645 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2410:4201:5106:-1 gene:WBGene00138723 transcript:CRE31645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31645 MNICGTYPNHYYSMLPCSYWTSQTVRNPNMCDNGGRKVGVGWYYNYQCTPYGAGVVCVNNCCCTTPTFTTRAPIIPTTTTRSVAALAYCYNGQRTQVRCTTSVDCAAGQTCINAVCCSTTGQEYTGTCGGLPAISSCQANRVCGQFVCTTSNYCCECQFGRTAGLCTNVGVGLTCPTGYSCAANGYCCATCANGRAPFGSCFNGLCANGYTCQAGNICC >CRE31646 pep:known supercontig:C_remanei-15.0.1:Crem_Contig971:12562:13214:1 gene:WBGene00164970 transcript:CRE31646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31646 MPSTTSALLLFSLLFLDLAGACHSFLPHAQYVGARAYLLQQKMECKGGKVYDIDNVQDIEQCKEACRQFDCDGVNLFQVGEFAFKCEILSYVTNLQPASGAACYYAQDALNGGFNGGFNGGFGYPGFGKKRK >CRE31647 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1612:5064:5571:-1 gene:WBGene00164976 transcript:CRE31647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31647 description:CRE-NLP-20 protein [Source:UniProtKB/TrEMBL;Acc:E3M7G9] MQATLLALLLLIVPFFAFAASPYSDDEAELLNNNERFARDLELRKKFAFAFAKRSAGDADVLIEARSGPQSLAHEGAGMRFAFAKRRAPKEFARFARASFA >CRE31648 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1612:7660:8629:-1 gene:WBGene00164977 transcript:CRE31648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31648 MFAITTRLFASKGAAPRAAAVASAQKFPTGMKISPYAMYIKDNFKNDANVKNTELMKDLSVKWKDMTTNEKNKYSELSQKHNQKKINDFLKLSIEEQSKLVNEAQEKKAEKAARRQAKERREKRKEEGRPTVPPSAYALFIKEKLSGGGVDVKDKMKEAVAEWKTLSDDQKKKFTDEAQKLKDAYQVTIEKWEAEKKEKASK >CRE31649 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1612:15:445:1 gene:WBGene00164978 transcript:CRE31649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31649 VGGQQKIRALWKLLFFVVDSSDIERLPDAKEELFNLLAEQELADAQLLVFANKQDMPNAKSPAELTHLLDLGSIKNREVLFLELFFRRKKDFQWYICGTNAHTGQGLYDGLMWVKKQMKA >CRE31650 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1932:10:1114:1 gene:WBGene00164979 transcript:CRE31650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31650 CAGHFVSEHYVFKKDQETYSYYGPINMVVFNVGYHVEHHDFPYITGSNLPKVREIAPEYYENWQTHESWVGMMADFIFNPNMTLRKRIKRKYAKPDQFSFYGTGPYETSHVYKSIANVVNTLTGFGGRKSVVKCD >CRE31651 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1932:3582:4319:1 gene:WBGene00164980 transcript:CRE31651 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31651 MMTPFLVISLMFVVSIQAFDSSEIRMLDEQYETKNPYFPFLENEKRSDRPTRAMDSPLIRFGKRAADGAPLIRFGRAPEASPFIRFGKRAADGAPLIRFGRAPEASPFIRFGKRASPSAPLIRFGRSPSAVPLIRFGRSAAAPLIRFGRASSAPLIRFGRK >CRE31652 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2412:446:1218:1 gene:WBGene00164981 transcript:CRE31652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31652 MLRYLQNVETHISHSYRQISTTSTLHKNRAAKTKSTSNRTQLLTYEMAQKPHHIGVRKSWLTWHSQNLEEFRQTQPLVVAQDEVVRRFIRGFFPQNLVVSGNEIVIKRRGNVLIVAGFLQYSRRLDIRRIYWMFGFAEEFLSILLKQPVKLELAFVESEEEVAYNYI >CRE31653 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2373:3585:4056:-1 gene:WBGene00164984 transcript:CRE31653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31653 VFLVEPEDKQLSNLLSNNVPCPVDTLNTMADGVRVAHVGTLCEPILRKFCTGKVISVKEEEIKEALKLVWTRLKQRIEPSAALAFAGVLYHKPEHVKRPLVILCGGNVDTNYVID >CRE31654 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1654:8030:8966:-1 gene:WBGene00164987 transcript:CRE31654 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31654 NEYCVRTVVRLLEARHHLERAFDLLFEQLEKNKENDERMGELNFISSKVKSYPSTAEWLDETMKFCSRQSTKDDNSDRMMRVFQFISKRAAQVGEDSEKQAKIDESLRVMCRQILATGTRYAKQLVDQLLDSPSFSGSSFIDNGGLIMDILSSCDYEAEIYQEMIYLIREENLTFAQKLELEVSRRAPLMYNSQCITCEQPMNKSGYVFRCGHFQHIECSTSIERICTCDGIADRLVVPREKPDKPNKRDIFKNWESKLNCRALPK >CRE31655 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2774:721:1836:-1 gene:WBGene00164988 transcript:CRE31655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31655 MLQPAAAKVVAEPLGVVLIIAPWNYPLMLALSPLIGALAAGNAAVVKPSELAPATSSTIARLLPEYVDRRAVAVVEGGVPETTALLAERFDHIFYTGNGRVGRIVAHAAAEHLTPVTLELGGKSPVYVDDSVPPLAAARRIVWAKYLNAGQTCVAPDYVLGTADVLRRLAPALSDAIHELYGSAVAQNPDYGRIVNDAQFERLVGYLRDGEVVAGGRSDAADRFIEPTVLRGVSPDSPVMRDEIFGPILPLVEVPGLDAALGFVNGRDKPLSAYVFTEKADVRRRWEQETSSGALTFGAPVLHLTVPELPFGGVGPSGMGAYHGERSFRVFSHEKAVLSKPLAPDTLAATIMPPFTAGKDQLVRRWLGKLR >CRE31656 pep:known supercontig:C_remanei-15.0.1:Crem_Contig939:9975:10962:-1 gene:WBGene00164990 transcript:CRE31656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31656 MFPFVFIKFTFFVLSLIEWQHQSKRKMKKLQRIEPESLQLSTKTEEERLLRAGRVCAAIKPYKGGCVIKVKQTEETFMSGLCHYFKALFRLTEPSYLIMNVNRLSERMLFVEDVNKAYITGQTLEVKDLDLFLTKHPNLEVLKIESSINGELEEISRILSVENLRLSNAGHFGMRVLSNFTGRNICLFESVLVETELNEVIRKWINGEAFQNLEAVYARNKISFVRGMELDQICDGIAVERFNSANRPQYFQFDKKLFGHRCKPYSFSGSFCYDVIRKSDGKRASVLAIHMLFKFVVWN >CRE31658 pep:known supercontig:C_remanei-15.0.1:Crem_Contig100:291311:292340:-1 gene:WBGene00175032 transcript:CRE31658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31658 MLYNVDFSKPYWIISCYHVIGITSLFLNSLGIYLLIFQCKKLETFRFYLLTYLSMCFLTDIHMTLLMQLVPLCPFLSAYVVGILSEWFGVSLHYNLIILYSMIALQFEFLLLSFIQKHQAIARILKTHILPKFVFFIFYFICLVTPSIATTGFNLIRVQKEEQFRHIAEFYPEYLSNFQELSHFDYYIKNSMYVLVIAFMLIFLSLICLILTLTIMDIFRLMSVLKLHISAGTFKKHKDAIRSLIVQITTTILCVSPTSLMVVFVVLELRNAQFLISICLVLFTAHSSINIISLFLFFPPFREYASRYIPL >CRE31659 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1702:4528:5190:-1 gene:WBGene00175033 transcript:CRE31659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31659 MTGVFDQVTAGLIDEEIRKARILRKQIIAFENARKQKEEQERLIKEMELKRKEKEKEVARNLAMKIAKEVTDKDLRRIKVEEMKKEGRERERKLAQHIVNKFWKEVLRSVDCHVKTICVEMVNEKEEILDRLAVISDRLSKQWLLQFWNRWREWVQIKKMMRRCVPRWESEDYANNLVKKYGGKSQTKRLFSFSQFWTVQSTIFR >CRE31660 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1269:493:7685:1 gene:WBGene00175037 transcript:CRE31660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31660 MFKMIQRFFPLFSLLLINETMCVHNETTAILNDIFLGYDKRVLPFVQGPVLVNMTIVLGILIELKENQQLAAYVISHTQRWYDNRLIWDPTKYRGQREVIVPQNMVWIPKLFVYNSLESKDMLTENRADVRLYSNGRIKINIPQYVQAICRIQTQAFPCQFCAVALASPLLNVEEMIVNATQPPRDSYFTGNAEWFLFNVTVRHMTFEEEGESRVEIHYIFHLQRRPIYYITVIVAPTFLISALSILGIFSPGSNDGPRNEKVSLGLGSLLAMTVLLGIVAGAMPKSNDIPLLGYYILVVIVLCAVAVGISMAFLAVSRHYIHKESTSQSSFIPEQMPSKRLLRFMFLNEYRKRRSTVFRGVHNYRFSQECDDLMYSKVPEIQSICMMMEEIADSHRSMRRKADQKANKKLIEREWARVFARFDYFFLVVFETLNLSALAVFLRVAWLPTPELREQIL >CRE31657 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2816:15:676:1 gene:WBGene00175040 transcript:CRE31657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31657 YDVRVAALQVDPEGGETTKTFSGISKITTTGTSSRERNFYFLILLILILLLLLIIICICCVVCRHRGQNYPVSQREREQGREPILGKPDYKTDDDEKRSLTGSKAESETDSMAQYGDTDPGVFTEDGSFIGQYVPQKSLMPAERPQKGSTSTFV >CRE31661 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2546:2335:3912:1 gene:WBGene00185032 transcript:CRE31661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31661 MAISEWIQALQDVAWPGFLQPHVEVLLLWITWAVDYIDLDYLEYLLWLLLPFFILFIFPIFLVLFIYGCVIFVHIYGHRHQIREAYHTSYWEGARVAIASFWDGVGNVWHGYELRGIENVPDEGPALFIYYHGCLPLDVYYLISKLVIHKNRSLHCVGDKFIFKIPGWRPLCKLFSITSGTVEECTEELKEGNLLCIAPGGVREALFSDPNVYDILWGKRLGFAKVIIGSRTPVIPMFTENCRESFRTPEWGRSFFRWIYEKTKIPLCPIYGGFPVKMV >CRE31662 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1470:9195:10222:-1 gene:WBGene00185063 transcript:CRE31662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31662 STATRGATVTGKLAPSPSTSSTQGTGTVTTGETGKSGSTSTTHPKPTISGHIIDYTGTVETTPTAKTSESSSGATGKGDSSSMDSSTKSSSSSTTTKPTQGTGSTSKPGITDSSKTSSTSKKPETGTSSYVSATTTKSSGAKSTSGTNGTTKSSSSKTTSTTAEVSKGAGATTSSKSDETNTTTAKSTKASSTSEKSKATDSQKVSTKTNTNSPSSSSSSVTTSTTTSTPPPTSATCPMPDIDRRFTNRPTDAELKPFYAPGERVIHLCIKYYKMEWAGQPLRIYQCGEDGKWIGTFQRCVRKLRVGSLSNDVVLISADVPSPKKEL >CRE31663 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1189:5325:6579:-1 gene:WBGene00189940 transcript:CRE31663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31663 MWPPIVEDQCNYSYQEHAGLNPHDHYHHHHYPHSSAHHTECYQTLSCPSDLPIETSYYNNMPPTYQDLGQTDLSPQFWCAEVDCAHERCATREIPHEQSKIFEEISKECDHILNNSDECEKCKVQHEDGAHEAIPINDLVDIVMQTVDNLKKNDSSQEETKMLSRKREQNKVAAARYRDKQKAKWQGLLDKREAEEKRNVRLKRQVAQLEKEVADARQAFLLKLSQK >CRE31664 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1189:2867:3650:-1 gene:WBGene00189941 transcript:CRE31664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31664 MSLKLTVFLVLAGVATCQNATRPATYCKSQSRSECFMGACPSGFECIGNQCCSEADVVQPGGDCTDYLADCTNVECNSIGMQDFARANCARTCNMCYSTASVSPQYACSDLLTDCANRTSSCQDIDFIDMMALYCPRTCNLCLWQAATKIPNCGNQLPDCPTRGNFCTATSISLYQKRVGCGNTCGLCSSVSTPAPVQLSTNSNGFLFFGRK >CRE31665 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1989:546:3928:-1 gene:WBGene00189942 transcript:CRE31665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31665 MTIQKGVYLLFVLFQVLNCQTVDVSKRVDCYPEPGASQGSCQSRGCIWTEAPSGSPVGTPWCYYPTESGFTVQSTGTNSFVLAAKTKNPFGDNISPLNVKYSTNGATLLLTIGNDDRYVPPVNIPKKPSTSTESLKFTSGNIGSSDIFSFKVTRASTGIALWDTSIGGMQFADKFIQIGTYLPTKNIFGFGDHIHKKMKVSSNGSLCVQMSRSF >CRE31666 pep:known supercontig:C_remanei-15.0.1:Crem_Contig4788:2042:2557:-1 gene:WBGene00189945 transcript:CRE31666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31666 MSSVSSSASSTQEEVAAHFRRSLSGKWPKRCKVNSDESRNSPLRRRPSFNTHTSVSSLSVHSVSPTPPNPPVPQTIIVNNRCSDTSLSVADHFRRALFGKGIFDFQRKSDK >CRE31667 pep:known supercontig:C_remanei-15.0.1:Crem_Contig393:8625:9933:1 gene:WBGene00189959 transcript:CRE31667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31667 MKILIPPKKEQPSLISTLFEELLLEDSRIQTQEQVVSFSKLLLKQSGKLREDSKPTEEESLQLENLRNTLNSQWKEKRDLVGKTKIDVCYAKITMLVLMMIIYASDTVSVFNTQTIFFVWYSSVIIMGILLSLLVLYTLLKLFRRIRAINQSDVEHGTEKQICFGNMELSFTEQLEKCVDFYSKEVFPLKEQARSRDKYASFLMKSSLIVFILNAVALTVYCIIAALKLLDNESIAALPLLFMIPFTAAIDFILIVAFSDETTCSRVTSEGTTLIIL >CRE31668 pep:known supercontig:C_remanei-15.0.1:Crem_Contig591:5739:6416:1 gene:WBGene00189960 transcript:CRE31668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31668 MKKVKQQSQLQANAGNAALQQQAALLQQQQAAEYQQILLNQASLYDFSAIQQYAAGQNAVAAAQAQAQAQYGALAAAAAANSAQAGQQQYADYAGVDLTSQQSAHGGYYVRRWA >CRE31669 pep:known supercontig:C_remanei-15.0.1:Crem_Contig11:515842:516275:1 gene:WBGene00194694 transcript:CRE31669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE31669 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:A8VT33] MAQSVPPGDIQTQPNAKIVFNAPYDDKHTYHIKVINSSARRIGYGIKTTNMKRLGVDPPCGVLDPKEAVLLAVSCDAFAFGQEDTNNDRITVEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >CRE32628 pep:known supercontig:C_remanei-15.0.1:Crem_Contig2157:1733:3165:-1 gene:WBGene00194758 transcript:CRE32628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32628 MSSSFSTDFDASNMLKPSSSQMFGPIDIHLPRKDSRHHHHLRNLRARLAPERLCFGTMKPQTAAYLICIAALHEITFGTILVFASDYGEKESWYHSAQWLVILTCRLLQYPSCLIALFGIRNNNPAFIVPFMLSQVSLGSYADLHTYIQMVSKCSSSAPLPTTSPIVLIVIPILVYAGLLMFFIYNMYMVVKCITVIRGDSGRGLDGPQPSERSINDDLFL >CRE32629a pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:2005628:2006734:-1 gene:WBGene00194773 transcript:CRE32629a gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32629 MLLVQLNSIPDSPITLSEMDWRQPYPANSNLRNELMDGLQRDGGYYPSRPGPSAQEEFPPSESWWKETDPRQNWKPLKTDNERRENCRISRIESRNELQSNQEEMEPRNEDECLEIVVTSTKMYFSRCMKTMPGTIIDERTYFLKGDIPMIYFVNCSPERFDTLEKFCREALHIESSSCDQEICRDGTLIATKKKTVERIRDGQFQERTEYTYKFHKSANVTFYVAMHSDHA >CRE32629b pep:known supercontig:C_remanei-15.0.1:Crem_Contig6:2005634:2006734:-1 gene:WBGene00194773 transcript:CRE32629b gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32629 MLLVQLNSIPDSPITLSEMDWRQPYPANSNLRNELMDGLQRDGGYYPSRPGPSAQEEFPPSESWWKETDPRQNWKPLKTDNERRENCRISRIESRNELQSNQEEMEPRNEDECLEIVVTSTKMYFSRCMKTMPGTIIDERTYFLKGDIPMIYFVNCSPADSERFDTLEKFCREALHIESSSCDQEICRDGTLIATKKKTVERIRDGQFQERTEYTYKFHKSANVTFYVAMHSDHA >CRE32630 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1324:36796:37205:-1 gene:WBGene00194778 transcript:CRE32630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32630 SGGSQPQGSPQQPPEAYDSFDEEEDVDDDTVIRGDRTMTDGADDIARHYGSTDQYRDTWRKVRDTDMVRAPILTGQPSSAAGRSSTTDSTSEGPWANPSNPSLTAGFSSFV >CRE32631 pep:known supercontig:C_remanei-15.0.1:Crem_Contig5208:2312:2759:-1 gene:WBGene00194782 transcript:CRE32631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32631 MKELPKPVRAGSDFLDNSKAYIIDNGVILFVWVGSACSQQWIQDVFGVGAANQIDTETGTIPEKDNSHSRALRRTIQLLPRGIRHRKTYIVVEKSGLEPWMKKYLVEDKSGAANMSYVDYLVDIHRKIRDLIS >CRE32632 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1047:4923:7756:1 gene:WBGene00194789 transcript:CRE32632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32632 MLKEPATPEKNASTLTLPGSRQNSSARLQVQRSTSVDAPSTTKPRVTYKDDDPVTQYYQRNHYTVTGGTQETFNKLPRNVSANRFKVDKASISHKSFGRGAADTEMMQMLPQERQFDKSFYWFAMHRKKFGFRYIALLFLALAYTLFGATVFYLIEGSHEKSILRVREQNLDKVLDQLAKVLSEAVNDPEQSSEHQRMKGFIKESYISLQKHEEQYKWSTYYRLENPDNLKWSFSSAFFFSMNVYTTTGYGSISAQTFGGQLFTMLYAFCFVPMTLVILRDLGQMFLVNFTKLYAHALTFVRRMRGKREIDEDEMIQLPVKYCMGILIAYLLLCTTFVYLYDAIMGPEWDDGLPYFTAFYFSFISLTTIGLGDVMPNNVPYAPPVSIIFFIGMAVTKVVNRATFIAVENGVFGLMTLAETKIDQVLTKKPAETGVPVRRRKASTSSSDGSSQSSEGPGFALYDNSSTDSVSDDGVSWKGYCSIV >CRE32633 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2811766:2812460:1 gene:WBGene00195132 transcript:CRE32633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32633 MSNKTVLNLLACFVSPAFNLLCSIICSLLQHRRKVQNHIDPASIIKISNSKFENQTDRLSAELSSAARIRCNLRSYKPV >CRE32634 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2805875:2806559:1 gene:WBGene00195133 transcript:CRE32634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32634 MVILEEPVNQQLKNASAAATHCNV >CRE32635 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1605187:1606268:-1 gene:WBGene00195141 transcript:CRE32635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32635 MFDENFSKFGENVPLKMNKKISLYAEFNEFSRKQIQYFVDTFKKYDEDQDNFIDFNELKRMMEKLGEAQTHIALKELIKKVDEDQDGKISQREFLLIFRLAASGELSCSEVFKTLAESVDVSKEGVLGAANFFQAKIEEQTKLSRFEEEMKEEKEEKRRQEEEKKARREKFLASKSIFH >CRE32637 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:2146707:2147394:1 gene:WBGene00195156 transcript:CRE32637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32637 MNVHYALVLLVLLAVSVLSDQADPDAQYRKDLKQINYYNWLLHRHRTEKQKIEKVNNDIKNARLVKLQEILDTPAPHNVSLIATETDFAKIRRFVHPVGNKPVTESIAELTAILSPQRRQARQGDAAGKQEKPEGLVEFQLSEREYQKFSKFLNKKTMRKKLT >CRE32638 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:2241904:2243374:-1 gene:WBGene00195162 transcript:CRE32638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32638 MNSQISELLKGNPQALRSIILYEYASGKSVFEGFKNLCDNIGDDVIEFNDFDFWYHQFATGNLDLNYDRSLASHETEKKAKQLSDIPVDIVEKIIKKASWKDVMSLRQVSRDLRSTVDGINFVFKKVSIIVEKFKATMTIDGHSQVYERQSTIDWDHDLTRNYHSNIKRMFTDLKVLSHLPKLLIDHLHITNNQPGIYEYFESIYIDDGNEDWLHFERFFDNLKQFLPVKGVHIHLSIFLDNYILHSLKPEILEEIELSGDGNDNFDITPFEQYKQAKVYKSSGINYFYRENNLNHLVQFDVQAMYHHPKDIINLKNEILESEKFQLGRVFWKANTWYKNGFDNLEKQLVEFTRKESSEPNCRIYVIEERSKKLQIKVTFNSMEIQRLL >CRE32639 pep:known supercontig:C_remanei-15.0.1:Crem_Contig3:1124307:1124902:-1 gene:WBGene00195163 transcript:CRE32639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32639 MPPKLSEYINLFCKPKSSKVKDEYSDIPIRKNEDELEWEKCGKLIMEQPLLKKDTHSFYVGINLNGSSFQEEVWSFDTIFDLKMKISRSQNVPFRLIIASQEERLLDDHHTLEHHGIGRDSTIDVYTRPIIQK >CRE32640 pep:known supercontig:C_remanei-15.0.1:Crem_Contig0:30284:32288:-1 gene:WBGene00195167 transcript:CRE32640 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32640 description:XO lethal protein 2 [Source:UniProtKB/TrEMBL;Acc:B6A8F4] MEKKLQLINGDWNIENVRSCTVLECLNEHGNAGERTGTGFAPHVVNIARCGFMAVNKVCIVNSHILHERVPQNVYSHEIQVDAENFGRISLPIIHDFLERMELKNTYKISIYNALDYNGRIAPFSILAAIWKSLSIFEKRETTYFGDDSIWEDKVFYKYLLDSKVDIEGKMPLASSIINGSMTHVYLPPLDENDNQIRSVKSTAFYENFDVMFVRTNLHKSDFEPAELLEGSRRNGQVERFRKCMAEENSDFYDDTAGVPFLIMSTSETRFGVENSNPSDEFEQDCHAGVQVARDQYKEELRGFEVQQGGIMIVLKKNEFFNNEDLLKVISNSIAEQSKGGKITQISFNLLQPSRGAYGREHDPKTAKFISPICRQKAKKIPSSRGEPNRTGKYAKMMKTKAPVFRRQLTNRVATLRRDLGNDESEGDLSNDDTISEVSHGDFLDRTLDDF >CRE32636 pep:known supercontig:C_remanei-15.0.1:Crem_Contig1:1073828:1075277:1 gene:WBGene00195191 transcript:CRE32636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32636 MFSDRPRYSSDRNILKRYLKTALIVLSILVICGIVALGLSNVTLLKTLPSAASCGKRIVGYYTEWEAPEVTENQLRKLTHVIFLFAAIYEDGSARFWDEHGESRFLTLKEMAKKLNTGLKVMIGVGGYMVSPRFGPIVSDSKLRKKLISDIASIIDEHDLDGVEIFWIFPGQTHKKYYLKLIRELREKLSQMEQIKNRAEAYILSIVAPKFKVQLKNGYDLKRLLEYADFIDVLTYDYFNKQYSSDRGVAGPTAPLYGGNGKNIEETMKYLVCETREPTRINMAISFYGTFWHNVNGSLDDDSVDIWTPTESNLHAVRWRELEGDGWNKSSSLWHDKSKSSYIWIEETKTFLGFENERSLEEKIKYARDKNLGGFVIWAIDQDDDENTLLNVLSPASLCNENDRRTVNYVCEN >CRE32641 pep:known supercontig:C_remanei-15.0.1:Crem_Contig12:1306205:1307512:-1 gene:WBGene00235168 transcript:CRE32641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32641 MMRSSRGGYHYGRSGGKPSGNDLNGDRSIHQARSPERFGSRSPSPTYHRRGHRASPPLNRPPSWNPYPIEDSPRHNPPGFSHYGTDQRAVPFRYGNRVPSGQRVQPNLYGNPMMSPSDSRGDSMNYGMPRESRRYNRQMPEPLGRRNREFGDSPMQESSRNSRRKDALLDKLERCEEELASYQAVVRKIKTQRDDILAEIDSLRPRPRFQRPLIEKREYFLDTQKKVTLLKMFQLFLKQNIKQLRAISVYLTLANIPYMLLNMISCSDGLFKSLPAVASLIEDVCIRFSLKL >CRE32642 pep:known supercontig:C_remanei-15.0.1:Crem_Contig110:144239:145461:-1 gene:WBGene00236796 transcript:CRE32642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32642 MTINQKKFEVYRGNEGFLHLISDTTVEDGLITLINYFKRTFGVGVNRLSIYLTQKNFVVVKSVINYINSTCTSIKKFHFQSVSAEDYYLKSILSNIDAWDTFNIDIKTSFHFECLRYFKSENFELKSGGWFTFYNLQLVDCAQIKIMDSLLTNCNMKEYLMQWIEGNFDELRHLDVEMREVLDEVYLLDGMSPGSFTREENCIAFKRSDGKTAIIELSPEVKTNWFKITVY >CRE32643 pep:known supercontig:C_remanei-15.0.1:Crem_Contig37:436219:436890:-1 gene:WBGene00249824 transcript:CRE32643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32643 MLPICHHAYGYIKSQDAQFFTHFLLVTMDPFSYPLPIFDVDSTSTPTYSGYYPNTDYWNWVNYYYQHGFTYDQPTSSEYSFMTSPSTSTMSTPSTSVGSDPTLLSMTPPMPTSTFATPNTSSASSSTATPSSMLSMTSPFYPMPTSTMTPPTTTTTPHRPQDAPKQCSNCFVTETCQWRNVRSENGVLCNACFIYQRKYKKTRPVTAMEKYRSKKAQRQSNFD >CRE32644 pep:known supercontig:C_remanei-15.0.1:Crem_Contig82:134607:136099:-1 gene:WBGene00255508 transcript:CRE32644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE32644 IPHFINKPSEIDNLVFEFLKCLKNEQDLKRNGKSDEKNFQNRRIVCGAHETLKNLERGKIKLIFYAKNLNVEENNVRAASNFHSLQKLCPIEKIPLVEALTRKEMSRIMNKFPYVGVVGVMDFQHFEKGAEKIVDNWRRSDSFKLFHIDQSSLLP